lactone oxidase gene knock-out mice (Gulo-/- mice) unable to produce ascorbate
and wild-type C57BL mice (WT mice) were injected intramuscularly with
carcinogenic nickel subsulfide (Ni3S2), and observed for the development of
injection site tumors for 57 weeks. Small pieces of one of the induced tumors
were transplanted subcutaneously into separate groups of Gulo-/- and WT mice and
the growth of these tumors was measured for up to 3 months. The two strains of
mice differed significantly with regard to (1) Ni3S2 carcinogenesis: Gulo-/- mice
were 40% more susceptible than WT mice; and (2) transplanted tumors development:
Gulo-/- mice were more receptive to tumor growth than WT mice, but only in terms
of a much shorter tumor latency; later in the exponential phase of growth, the
growth rates were the same. And, with adequate ascorbate supplementation, the two
strains were equally susceptible to acute toxicity of Ni3S2. Statistically
significant effects of dietary ascorbate dosing levels were the following: (1)
reduction in ascorbate supplementation increased acute toxicity of Ni3S2 in Gulo
/- mice; (2) ascorbate supplementation extended the latency of transplanted
tumors in WT mice. In conclusion, the lack of endogenous ascorbate synthesis
makes Gulo-/- mice more susceptible to Ni3S2 carcinogenesis. Dietary ascorbate
tends to attenuate acute toxicity of Ni3S2 and to extend the latency of
transplanted tumors. The latter effects may be of practical importance to humans
and thus deserve further studies.
PMID- 21878347
TI - Some properties and cDNA cloning of proteinaceous toxins from two species of
lionfish (Pterois antennata and Pterois volitans).
AB - Lionfish, members of the genera Pterois, Parapterois and Dendrochirus, are well
known to be venomous, having venomous glandular tissues in dorsal, pelvic and
anal spines. The lionfish toxins have been shown to cross-react with the
stonefish toxins by neutralization tests using the commercial stonefish
antivenom, although their chemical properties including structures have been
little characterized. In this study, an antiserum against neoverrucotoxin, the
stonefish Synanceia verrucosa toxin, was first raised in a guinea pig and used in
immunoblotting and inhibition immunoblotting to confirm that two species of
Pterois lionfish (P. antennata and P. volitans) contain a 75kDa protein
(corresponding to the toxin subunit) cross-reacting with neoverrucotoxin. Then,
the amino acid sequences of the P. antennata and P. volitans toxins were
successfully determined by cDNA cloning using primers designed from the highly
conserved sequences of the stonefish toxins. Notably, either alpha-subunits (699
amino acid residues) or beta-subunits (698 amino acid residues) of the P.
antennata and P. volitans toxins share as high as 99% sequence identity with each
other. Furthermore, both alpha- and beta-subunits of the lionfish toxins exhibit
high sequence identity (70-80% identity) with each other and also with the beta
subunits of the stonefish toxins. As reported for the stonefish toxins, the
lionfish toxins also contain a B30.2/SPRY domain (comprising nearly 200 amino
acid residues) in the C-terminal region of each subunit.
PMID- 21878348
TI - Towards a better understanding of Ipomoea asarifolia toxicity: evidence of the
involvement of a leaf lectin.
AB - Natural intoxication of livestock by ingestion of Ipomoea asarifolia leaves has
been reported to occur widely in Brazil. Previous studies carried out by our
research group provided strong evidence that a lectin could be involved with the
toxic properties of I. asarifolia. To reinforce this hypothesis, a lectin
enriched fraction (LEF) was isolated from I. asarifolia leaves and its toxic
effects were assessed. Leaves of I. asarifolia were excised from plants growing
widely in the field, mechanically wounded and maintained in a chamber at 25 +/- 3
degrees C for 72h in the dark, under near 100% relative humidity. The leaf
proteins were extracted, ammonium sulfate precipitated, chromatographed on DEAE
cellulose and Phenyl-Sepharose to produce LEF that under SDS-PAGE showed a
molecular mass of 44.0 kDa and after N-terminal amino acid analysis a primary
sequence composed of AGYTPVLDIGAEVLAAGEPY. The in vivo toxicity of LEF assessed
by intraorbital injection in mice showed induced severe uncoordinated movements
without death. LEF reduced the muscular contraction in a dose depend way and at
29.8 MUg/mL (CE(50)) it produces 50% inhibition of contraction, suggesting that
LEF blunts autonomic neurotransmission. Isolated rat kidneys were perfused with
LEF and no effects on the perfusion pressure or renal vascular resistance were
observed, but urinary flow and glomerular filtration rate increased. Moreover,
the percentage of tubular transport of Na(+), K(+) and Cl(-) decreased.
Histological examination of the kidneys perfused with LEF exhibited little
alterations. These toxic effects observed above were concomitant with the
increase of LEF hemagglutination activity, which strongly suggest that one of the
toxic principles of I. asarifolia is a lectin present in its leaves.
PMID- 21878349
TI - Oxidatively modified nucleic acids in preclinical Alzheimer's disease (PCAD)
brain.
AB - Previous studies show increased oxidative DNA and RNA damage and diminished 8
oxoguanine glycosylase (OGG1) mediated base excision repair in vulnerable brain
regions of mild cognitive impairment and late-stage Alzheimer's disease (LAD)
subjects compared to normal control (NC) subjects. Recently, a preclinical stage
of AD (PCAD) has been described in which subjects show no overt clinical
manifestations of AD but demonstrate significant AD pathology at autopsy. To
determine if DNA or RNA oxidation are significantly elevated in PCAD brain we
quantified 8-hydroxyguanine (8-OHG) in sections of hippocampus/parahippocamapal
gyri in PCAD and NC subjects using immunohistochemistry and confocal microscopy
and in superior and middle temporal gyri (SMTG) using gas chromatography/mass
spectrometry. To determine if increased DNA oxidation is associated with altered
repair capacity, levels of OGG1 protein in HPG were measured by
immunohistochemistry and levels of OGG1 mRNA were measured in SMTG using
quantitative PCR. Results show significantly increased (p<0.05) 8-OHG
immunostaining in DNA and RNA of PCAD HPG and significantly increased 8-OHG in
PCAD SMTG. Quantification of OGG1 showed significantly elevated mRNA in PCAD SMTG
and a trend toward elevated protein immunostaining in PCAD HPG. Overall, the data
suggest oxidative damage to nucleic acids and a compensatory increase in OGG1
expression occur early in the pathogenesis of AD.
PMID- 21878351
TI - Correlates of moderate-to-vigorous physical activity among preschoolers during
unstructured outdoor play periods.
AB - OBJECTIVE: Quantify moderate-to-vigorous physical activity (MVPA) and its
correlates in preschool children during outdoor unstructured play periods using
direct observation. METHODS: Cross-sectional data consisting of 204 observation
periods collected from 51 four- and five-year-old children using the Observation
System for Recording Physical Activity in Children - Preschool (OSRAC-P) at a
preschool in southern California, autumn and spring 2009-2010. Gender and BMI
classification and OSRAC-P environmental codes were related to observed MVPA in
multiple logistic regression models. RESULTS: Less than 21% of intervals were
spent in MVPA overall. Boys and normal weight children engaged in higher
intensity levels than their respective counterparts. More MVPA was associated
with normal weight (OR=2.49-3.25, R(2)=3%), location (grass, playground, looping
cycle path; OR=3.21-4.90, R(2)=4-12%), play context (ball/objects, wheel, open
space; OR=2.78-8.51, R(2)=9%), and group composition (solitary, one-on-one;
OR=1.34-2.08, R(2)=1%). CONCLUSION: Open spaces located in playgrounds and grass
fields, and activity-genic portable equipment, manipulative objects, and riding
vehicles are some design and equipment features that appear to foster MVPA.
Lowering play space density and engaging children through teacher prompts and
teacher-arranged activities may further increase MVPA on playgrounds.
PMID- 21878350
TI - Minimal structural requirements of alkyl gamma-lactones capable of antagonizing
the cocaine-induced motility decrease in planarians.
AB - We recently reported that the natural cyclic lactone, parthenolide, and related
analogs prevent the expression of behavioral effects induced by cocaine in
planarians and that parthenolide's gamma-lactone ring is required for this
effect. In the present work, we tested a series of alkyl gamma-lactones with
varying chain length (1-8 carbons) to determine their ability to antagonize the
planarian motility decrease induced by 200 MUM cocaine. Alkyl lactones with up to
a 4-carbon alkyl chain did not affect planarian motility or antagonized the
cocaine-induced motility decrease; only the compound gamma-nonalactone (a gamma
lactone with a 5-carbon chain) was able to prevent the cocaine-induced behavioral
patterns, while alkyl lactones with longer carbon chains failed to prevent the
cocaine-induced effects. Thus, we conclude that the optimal structural features
of this family of compounds to antagonize cocaine's effect in this experimental
system is a gamma-lactone ring with at a 5-carbon long functional group.
PMID- 21878352
TI - Time jitter of somatosensory evoked potentials in recovery from hypoxic-ischemic
brain injury.
AB - Impaired neural conductivity shown by delayed latency and reduced amplitude of
characteristic peaks in somatosensory evoked potentials (SSEPs), has been used to
monitor hypoxic-ischemic brain injury after cardiac arrest (CA). However, rather
than characteristic peak deferral and suppression, the time jitter of the peak in
SSEP related with time-variant neurological abnormalities is diminished by the
commonly used ensemble average method. This paper utilizes the second order blind
identification (SOBI) technique to extract characteristic peak information from
one trial of SSEPs. Sixteen male Wistar rats were subjected to 7 or 9 min of
asphyxial CA (n=8 per group). The SSEPs from median nerve stimulation were
recorded for 4h after CA and then for 15 min periods at 24, 48 and 72 h.
Neurological outcomes were evaluated by neurologic deficit score (NDS) at 72 h
post-CA. The SSEP signal was analyzed offline with SOBI processing in Matlab. The
N10 feature of SSEP was compared between good (NDS>=50) and bad (NDS<50)
outcomes. After processed by SOBI, the N10 detection rate was significantly
increased (p<0.001) from 90 min post-CA. Statistical difference of the latency
variance of the N10 between good and bad outcome groups existed at 24, 48 and 72
h post-CA (p<=0.001). Our study is the first application using SOBI detecting
variance in neural signals like SSEP. N10 latency variance, related with
neurophysiological dysfunction, increased after hypoxic-ischemic injury. The SOBI
technique is an efficient method in the identification of peak detection and
offers a favorable alternative to reveal the neural transmission variation.
PMID- 21878353
TI - Role of macrophages in early protective immune responses induced by two vaccines
against foot and mouth disease.
AB - Foot and Mouth Disease (FMD) is an acute disease of cloven-hoofed species. We
studied the protection and early immune response induced in the murine model by
vaccines formulated with inactivated virus and two different adjuvants. The
presence of IMS12802PR or ISA206VG adjuvants yielded protection against viral
challenge at early times post vaccination and induced FMDV-specific, but non
neutralizing, antibody titers. In vivo macrophage depletion in vaccinated mice
severely decreased the protection levels after virus challenge, indicating a
central role of this cell population in the response elicited by the vaccines.
Accordingly, opsonophagocytosis of FITC-labelled virus was augmented in 802-FMDVi
and 206-FMDVi vaccinated mice. These results demonstrate the ability of the
studied adjuvants to enhance the protective responses of these inactivated
vaccines without the increase in seroneutralizing antibodies and the main role of
opsonization and phagocytosis in the early protective immune responses against
FMD infection in the murine model.
PMID- 21878354
TI - Application of kinase bypass strategies to nucleoside antivirals.
AB - Nucleoside and nucleotide analogs have served as the cornerstones of antiviral
therapy for many viruses. However, the requirement for intracellular activation
and side-effects caused by distribution to off-target sites of toxicity still
limit the efficacy of the current generation of drugs. Kinase bypass strategies,
where phosphorylated nucleosides are delivered directly into cells, thereby,
removing the requirement for enzyme catalyzed phosphorylation steps, have already
changed the face of antiviral therapy in the form of the acyclic nucleoside
phosphonates, cidofovir, adefovir (given orally as its dipivoxil prodrug) and
tenofovir (given orally as its disoproxil prodrug), currently used clinically.
These strategies hold further promise to advance the field of antiviral therapy
with at least 10 kinase bypass and tissue targeted prodrugs, representing seven
distinct prodrug classes, currently in clinical trials. This article reviews the
history of kinase bypass strategies applied to nucleoside antivirals and the
evolution of different tissue targeted prodrug strategies, highlighting
clinically relevant examples.
PMID- 21878356
TI - Involvement of DNA ligase III and ribonuclease H1 in mitochondrial DNA
replication in cultured human cells.
AB - Recent evidence suggests that coupled leading and lagging strand DNA synthesis
operates in mammalian mitochondrial DNA (mtDNA) replication, but the factors
involved in lagging strand synthesis are largely uncharacterised. We investigated
the effect of knockdown of the candidate proteins in cultured human cells under
conditions where mtDNA appears to replicate chiefly via coupled leading and
lagging strand DNA synthesis to restore the copy number of mtDNA to normal levels
after transient mtDNA depletion. DNA ligase III knockdown attenuated the recovery
of mtDNA copy number and appeared to cause single strand nicks in replicating
mtDNA molecules, suggesting the involvement of DNA ligase III in Okazaki fragment
ligation in human mitochondria. Knockdown of ribonuclease (RNase) H1 completely
prevented the mtDNA copy number restoration, and replication intermediates with
increased single strand nicks were readily observed. On the other hand, knockdown
of neither flap endonuclease 1 (FEN1) nor DNA2 affected mtDNA replication. These
findings imply that RNase H1 is indispensable for the progression of mtDNA
synthesis through removing RNA primers from Okazaki fragments. In the nucleus,
Okazaki fragments are ligated by DNA ligase I, and the RNase H2 is involved in
Okazaki fragment processing. This study thus proposes that the mitochondrial
replication system utilises distinct proteins, DNA ligase III and RNase H1, for
Okazaki fragment maturation.
PMID- 21878357
TI - TRAP-1, the mitochondrial Hsp90.
AB - Protein folding quality control does not occur randomly in cells, but requires
the action of specialized molecular chaperones compartmentalized in subcellular
microenvironments and organelles. Fresh experimental evidence has now linked a
mitochondrial-specific Heat Shock Protein-90 (Hsp90) homolog, Tumor Necrosis
Factor Receptor-Associated Protein-1 (TRAP-1) to pleiotropic signaling
circuitries of organelle integrity and cellular homeostasis. TRAP-1-directed
compartmentalized protein folding is broadly exploited in cancer and
neurodegenerative diseases, presenting new opportunities for therapeutic
intervention in humans. This article is part of a Special Issue entitled: Heat
Shock Protein 90 (Hsp90).
PMID- 21878358
TI - Radical reversal of vasoactive intestinal peptide (VIP) receptors during early
lymphopoiesis.
AB - Successful thymocyte maturation is essential for normal, peripheral T cell
function. Vasoactive intestinal peptide (VIP) is a neuropeptide which is highly
expressed in the thymus that has been shown to modulate thymocyte development.
VIP predominantly binds two G protein coupled receptors, termed vasoactive
intestinal peptide receptor 1 (VPAC1) and VPAC2, but their expression profiles in
CD4(-)/CD8(-) (double negative, DN) thymocyte subsets, termed DN1-4, have yet to
be identified. We hypothesized that a high VPAC1:VPAC2 ratio in the earliest
thymocyte progenitors (ETP cells) would be reversed during early lymphopoiesis as
observed in activated, peripheral Th(2) cells, as the thymus is rich in Th(2)
cytokines. In support of this hypothesis, high VPAC1 mRNA levels decreased 1000
fold, accompanied with a simultaneous increase in VPAC2 mRNA expression during
early thymocyte progenitor (ETP/DN1)->DN3 differentiation. Moreover, arrested DN3
cells derived from an Ikaros null mouse (JE-131 cells) failed to completely
reverse the VIP receptor ratio compared to wild type DN3 thymocytes.
Surprisingly, VPAC2(-/-) mice did not show significant changes in relative
thymocyte subset numbers. These data support the notion that both VPAC1 and VPAC2
receptors are dynamically regulated by Ikaros, a master transcriptional regulator
for thymocyte differentiation, during early thymic development. Moreover, high
VPAC1 mRNA is a novel marker for the ETP population making it enticing to
speculate that the chemotactic VIP/VPAC1 signaling axis may play a role in
thymocyte movement. Also, despite the results that VPAC2 deficiency did not
affect thymic subset numbers, future studies are necessary to determine whether
downstream T cell phenotypic changes manifest themselves, such as a propensity
for a Th(1) versus Th(2) polarization.
PMID- 21878359
TI - On the impact of second generation mating and offspring in multi-generation
reproductive toxicity studies on classification and labelling of substances in
Europe.
AB - The possible impact on classification and labelling decisions of effects observed
in second generation parental (P1) and offspring (F2) parameters in multi
generation studies was investigated. This was done for 50 substances classified
as reproductive toxicants in Europe, for which a multi-generation study was
available. The P1 and F2 effects were compared to parental (P0) and first
generation offspring (F1) effects with regard to type of effect as well as
incidence, magnitude and severity (IMS), at any dose level. For every study with
unique P1/F2 effects, or differences in IMS, the influence of the P1/F2 findings
on the classification decision was investigated. Unique P1/F2 generation findings
did not play a crucial role in the classification decision of any of the 50
classified substances, except for fenarimol. This substance however provided
abundant alerts on the basis of its endocrine activity and developmental
neurotoxicity and would therefore also be expected to be identified as a
developmental neurotoxicant in an Extended One Generation Reproductive Toxicity
Study (EOGRTS). These findings, in addition to the increased number of parameters
analysed, increased statistical power and reduced animal use, provide strong
further support for replacement of the classical two-generation reproductive
toxicity study by the EOGRTS in regulatory reproductive toxicity assessment.
PMID- 21878355
TI - Genetic influence on the working memory circuitry: behavior, structure, function
and extensions to illness.
AB - Working memory is a highly heritable complex cognitive trait that is critical for
a number of higher-level functions. However, the neural substrates of this
behavioral phenotype are intricate and it is unknown through what precise
biological mechanism variation in working memory is transmitted. In this review
we explore different functional and structural components of the working memory
circuitry, and the degree to which each of them is contributed to by genetic
factors. Specifically, we consider dopaminergic function, glutamatergic function,
white matter integrity and gray matter structure all of which provide potential
mechanisms for the inheritance of working memory deficits. In addition to
discussing the overall heritability of these measures we also address specific
genes that may play a role. Each of these heritable components has the potential
to uniquely contribute to the working memory deficits observed in genetic
disorders, including 22q deletion syndrome, fragile X syndrome, phenylketonuria
(PKU), and schizophrenia. By observing the individual contributions of
disruptions in different components of the working memory circuitry to behavioral
performance, we highlight the concept that there may be many routes to a working
memory deficit; even though the same cognitive measure may be a valid
endophenotype across different disorders, the underlying cause of, and treatment
for, the deficit may differ. This has implications for our understanding of the
transmission of working memory deficits in both healthy and disordered
populations.
PMID- 21878360
TI - Neurotoxic/neuroprotective activity of haloperidol, risperidone and paliperidone
in neuroblastoma cells.
AB - The neurotoxicity of antipsychotic (AP) drugs seems to be linked with
neurological side effects like extrapyramidal symptoms (EPS). On the other hand,
neuroprotective effects can mitigate or slow the progressive degenerative
structural changes in the brain leading to improved outcome of schizophrenia.
First and second-generation antipsychotics may differ in their neurotoxic and
neuroprotective properties. The aim of this study was to compare the
neurotoxic/neuroprotective activity of haloperidol, a first-generation
antipsychotic, and risperidone, a second-generation one, with paliperidone, a
relatively new second-generation antipsychotic, in SK-N-SH cells. Haloperidol,
risperidone and paliperidone (10, 50, 100 MUM) were administered, either alone or
in combination with dopamine (100 MUM), to human neuroblastoma SK-N-SH. We
examined the effects of the drugs on cell viability (measured by alamarBlue(r)),
caspase-3 activity (measured by fluorimetric assay) and cell death (by measuring
the externalization of phosphatidylserine). Haloperidol significantly decreased
cell viability and increased caspase-3 activity and cell death. Risperidone and
paliperidone did not affect cell viability or cell death. Both second-generation
APs decreased caspase-3 activity, especially paliperidone. In cells treated with
dopamine in combination with antipsychotics, only paliperidone (10 MUM) induced a
slight improvement in cell viability. While haloperidol potentiated the dopamine
induced increase in caspase-3 activity, risperidone and paliperidone reduced this
effect. The results indicate that haloperidol induces apoptosis, whereas
risperidone and paliperidone may afford protection against it. Of the APs tested,
paliperidone always showed the strongest neuroprotective effect. The different
antipsychotic effects on survival and cell death might be related to differences
in their capacity to induce EPS.
PMID- 21878361
TI - Toxic profile of bergamot essential oil on survival and proliferation of SH-SY5Y
neuroblastoma cells.
AB - Cosmetic, pharmaceutical, food and confectionary industries make increasing use
of plant extracts in their products. Despite the widespread use of products
containing plant extracts, the mechanisms of their effects are not fully
characterized. Bergamot essential oil (BEO; Citrus bergamia, Risso) is a well
known plant extract used in aromatherapy and it has analgesic, anxiolytic and
neuroprotective effects in rodents. To elicit neuroprotection, BEO recruits Akt
prosurvival pathways. However, Akt stimulates cell proliferation, which may also
pose risks for health in case of prolonged use. To study the potential effects of
BEO on survival and proliferation of dividing cells, we selected human SH-SY5Y
neuroblastoma cells. BEO triggered concentration-dependent mitochondrial
dysfunction, cytoskeletal reorganization, cell shrinkage, DNA fragmentation and
both caspase-dependent and independent cell death. Analysis of cleavage products
of poly-(ADP-ribose) polymerase (PARP) revealed caspase-3 activation, but also
activation of additional protease families. As result of increased proteolytic
activity, Akt protein levels decreased in BEO-treated cells. Our data show that
BEO can be lethal for dividing cells by activating multiple pathways. While this
may reduce the risk of unwanted cell proliferation after prolonged use, it does
suggest a cautionary approach to the use of inappropriate dilutions of the oil
that may cause cell death.
PMID- 21878362
TI - Screening for in vitro antioxidant properties and fatty acid profiles of five
Centaurea L. species from Turkey flora.
AB - Centaurea species are used for the treatment of various ailments in the popular
medicine in some countries. This study was designed to examine antioxidant
potentials and fatty acid profiles of five Centaurea species from Turkey flora.
Antioxidant properties of methanolic extracts from these species were evaluated
by six different methods: phosphomolybdenum assay, free radical scavenging assay,
beta-carotene/linoleic acid test system, metal chelating activity, ferric and
cupric reducing power. Total phenolic and flavonoid concentrations of each
extract were also determined using the Folin-Ciocalteu reagent and aluminum
chloride. The results of these assay showed a significant antioxidant capacity in
all researched extracts. Centaurea cheirolopha extract, with the highest amount
of total phenolic and flavonoids, showed the highest antioxidant activities in
all assay, except for metal chelating. Fatty acid profiles of these species were
examined by GC-FID and 30 fatty acids were identified. Palmitic, linoleic, oleic,
and linolenic acid were detected as the main components. The results of the study
indicated that the Centaurea species can be considered as a source of new natural
antioxidants and unsaturated fatty acids for food, cosmetic and pharmaceutical
industries.
PMID- 21878363
TI - The serotonergic system in Parkinson's disease.
AB - Although the cardinal manifestations of Parkinson's disease (PD) are attributed
to a decline in dopamine levels in the striatum, a breadth of non-motor features
and treatment-related complications in which the serotonergic system plays a
pivotal role are increasingly recognised. Serotonin (5-HT)-mediated
neurotransmission is altered in PD and the roles of the different 5-HT receptor
subtypes in disease manifestations have been investigated. The aims of this
article are to summarise and discuss all published preclinical and clinical
studies that have investigated the serotonergic system in PD and related animal
models, in order to recapitulate the state of the current knowledge and to
identify areas that need further research and understanding.
PMID- 21878364
TI - Amygdala hyperactivation and prefrontal hypoactivation in subjects with cognitive
vulnerability to depression.
AB - The hopelessness theory (HT) of depression is a diathesis-stress theory which
construes cognitive vulnerability (CV) to depression. Neuroimaging studies
examining depression have implicated the amygdala as an important potential locus
of dysfunction in the processing of salient threatening stimuli. However, little
is known about neural activation in the brain of subjects with CV to depression.
Medication-free major depressive disorder (MDD) subjects (N=29), never depressed
subjects with CV (N=26), and demographically matched never depressed healthy
control (HC) subjects (N=31) were scanned using functional magnetic resonance
imaging (fMRI) while performing an emotional matching task. The MDD subjects
showed elevated left amygdala responses and reduced left dorsolateral prefrontal
cortex (dlPFC) activation levels relative to HC subjects. Similarly, CV subjects
had greater activity in the amygdala bilaterally and lesser activation in the
dlPFC bilaterally, relative to HC subjects. The present findings raise the
possibility that cognitive vulnerability to depression might be characterized by
hypoactivation of the prefrontal cortex and hyperactivation of the amygdala in
response to emotional stimuli; our observations might provide a potential
interpretation to explain the abnormalities in neural networks mediating
cognitive modulation of emotions in individuals with cognitive vulnerability to
depression.
PMID- 21878365
TI - 2,3-Diaryl-2H-1-benzopyran derivatives interfere with classical and non-classical
estrogen receptor signaling pathways, inhibit Akt activation and induce apoptosis
in human endometrial cancer cells.
AB - OBJECTIVES: The present study was undertaken to explore the mechanism of anti
proliferative action of benzopyran compound D1 (2-[piperidinoethoxyphenyl]-3
phenyl-2H-benzopyran) and its hydroxy-(D2) and methoxy-(D3) derivatives in
Ishikawa and human primary endometrial adenocarcinoma cells. METHODS:
Transcriptional activation assays were performed using luciferase reporter system
and cell viability was determined by 3-(4,5-dimethylthiazol-2-yl)-2,5
diphenyltetrazolium bromide (MTT). The stage of cell cycle was determined by flow
cytometry and real time analysis of cyclinE1 and cdc2 genes. The apoptotic
effects were measured by AnnexinV/PI staining and TUNEL. The expression of PCNA,
cyclinD1, pAkt, XIAP, cleaved caspase-9, -3, PARP, Bax and Bcl2 were determined
by immunoblotting. The caspase-3 activity and mitochondrial membrane potential
were measured by colorimetric assay. RESULTS: All three compounds inhibited E(2)
induced ERE- and AP-1-mediated transactivation and proliferation in endometrial
adenocarcinoma cells dose-dependently. Compound D1 caused the arrest of cells in
the G(2) phase while D2 and D3 caused arrest in G(1) phase of the cell cycle. All
compounds interfered with Akt activation, decreased XIAP expression leading to an
increased cleavage of caspase-9, -3, PARP, increased Bax/Bcl2 ratio and caspase-3
activity. CONCLUSION: Findings suggest that benzopyran derivatives inhibit
cellular proliferation via modulating ER-dependent classical and non-classical
signaling mechanisms, interfere with Akt activation and induce apoptosis via
intrinsic pathway in endometrial adenocarcinoma cells.
PMID- 21878366
TI - Privileged coupling between Ca(2+) entry through plasma membrane store-operated
Ca(2+) channels and the endoplasmic reticulum Ca(2+) pump.
AB - The sarco/endoplasmic reticulum Ca(2+)-ATPase (SERCA) is the third element of
capacitative calcium entry. It colocalizes with STIM1 and Orai1 at puncta, where
couples plasma membrane store-operated Ca(2+) channels (SOC) to Ca(2+) pumping
into the ER. The efficiency of this calcium entry-calcium refilling (CECR)
coupling is comparable to the classic excitation-response transduction
mechanisms. This allows efficient filling of the endoplasmic reticulum (ER) with
the Ca(2+) entering through SOC channels with little progression of the Ca(2+)
wave towards the cell core. CECR coupling is very sensitive to changes in
stoichiometry among STIM, Orai and SERCA, with excess Orai antagonizing ER
refilling. ER takes up most of the calcium load that enters through SOC, whereas
mitochondria take up a very small fraction. This difference is due to the spatial
positioning with regard to SOC, the amplitude of the high Ca(2+) microdomains,
and the differences in the Ca(2+) affinity of the uptake mechanisms.
PMID- 21878367
TI - Redox-regulating role of insulin: the essence of insulin effect.
AB - It is well-known that insulin acts as an important hormone, controlling energy
metabolism, cellular proliferation and biosynthesis of functional molecules to
maintain a biological homeostasis. Over the past few years, intensive insulin
therapy has been believed to be benefit for the outcome of diabetic patients, in
which the suppression of oxidative stress plays a role. Moreover, insulin is
accepted as a key component of glucose-insulin-potassium, a treatment which has
been believed to exert significant cardiovascular protective effect via the
reduction of oxidative stress. Furthermore, accumulating evidence has suggested
that insulin exerts important redox-regulating actions in various insulin
sensitive target organs, implying the systematic antioxidative role of insulin as
a hormone. It is time for us to revisit insulin effects, through summarizing and
evaluating the novel functions of insulin and their mechanisms. This review
focuses on the antioxidative effect of insulin and highlights insulin-induced
regulation of various antioxidant enzymes via insulin signaling pathways and the
cross talk between key transcription factors, including nuclear factor erythroid
2-related factor 2 (Nrf2) and nuclear factor kappaB (NF-kappaB) which are
responsible for the transcription of antioxidant enzymes, leading to reduced
generation of reactive oxygen species (ROS) and the enhancement of the
elimination of ROS.
PMID- 21878368
TI - A conserved surface on the ligand binding domain of nuclear receptors for
allosteric control.
AB - Nuclear receptors (NRs) form a large superfamily of transcription factors that
participate in virtually every key biological process. They control development,
fertility, gametogenesis and are misregulated in many cancers. Their enormous
functional plasticity as transcription factors relates in part to NR-mediated
interactions with hundreds of coregulatory proteins upon ligand (e.g., hormone)
binding to their ligand binding domains (LBD), or following covalent
modification. Some coregulator association relates to the distinct residues that
shape a coactivator binding pocket termed AF-2, a surface groove that primarily
determines the preference and specificity of protein-protein interactions.
However, the highly conserved AF-2 pocket in the NR superfamily appears to be
insufficient to account for NR subtype specificity leading to fine
transcriptional modulation in certain settings. Additional protein-protein
interaction surfaces, most notably on their LBD, may contribute to modulating NR
function. NR coregulators and chaperones, normally much larger than the NR
itself, may also bind to such interfaces. In the case of the androgen receptor
(AR) LBD surface, structural and functional data highlighted the presence of
another site named BF-3, which lies at a distinct but topographically adjacent
surface to AF-2. AR BF-3 is a hot spot for mutations involved in prostate cancer
and androgen insensitivity syndromes, and some FDA-approved drugs bind at this
site. Structural studies suggested an allosteric relationship between AF-2 and BF
3, as occupancy of the latter affected coactivator recruitment to AF-2.
Physiological relevant partners of AR BF-3 have not been described as yet. The
newly discovered site is highly conserved among the steroid receptors subclass,
but is also present in other NRs. Several missense mutations in the BF-3 regions
of these human NRs are implicated in pathology and affect their function in
vitro. The fact that AR BF-3 pocket is a druggable site evidences its
pharmacological potential. Compounds that may affect allosterically NR function
by binding to BF-3 open promising avenues to develop type-specific NR modulators.
PMID- 21878369
TI - The role of thioredoxin in the regulation of cellular processes by S
nitrosylation.
AB - BACKGROUND: S-nitrosylation (or S-nitrosation) by Nitric Oxide (NO), i.e., the
covalent attachment of a NO group to a cysteine thiol and formation of S
nitrosothiols (R-S-N=O or RSNO), has emerged as an important feature of NO
biology and pathobiology. Many NO-related biological functions have been directly
associated with the S-nitrosothiols and a considerable number of S-nitrosylated
proteins have been identified which can positively or negatively regulate various
cellular processes including signaling and metabolic pathways. SCOPE OF THE
REVIEW: Taking account of the recent progress in the field of research, this
review focuses on the regulation of cellular processes by S-nitrosylation and Trx
mediated cellular homeostasis of S-nitrosothiols. MAJOR CONCLUSIONS: Thioredoxin
(Trx) system in mammalian cells utilizes thiol and selenol groups to maintain a
reducing intracellular environment to combat oxidative/nitrosative stress.
Reduced glutathione (GSH) and Trx system perform the major role in
denitrosylation of S-nitrosylated proteins. However, under certain conditions,
oxidized form of mammalian Trx can be S-nitrosylated and then it can trans-S
nitrosylate target proteins, such as caspase 3. GENERAL SIGNIFICANCE:
Investigations on the role of thioredoxin system in relation to biologically
relevant RSNOs, their functions, and the mechanisms of S-denitrosylation
facilitate the development of drugs and therapies. This article is part of a
Special Issue entitled Regulation of Cellular Processes.
PMID- 21878370
TI - Form of dual-specificity tyrosine-(Y)-phosphorylation-regulated kinase 1A
nonphosphorylated at tyrosine 145 and 147 is enriched in the nuclei of astroglial
cells, adult hippocampal progenitors, and some cholinergic axon terminals.
AB - Compelling lines of evidence indicate that overexpression of dual-specificity
tyrosine-(Y)-phosphorylation regulated kinase 1A (DYRK1A) in subjects with
trisomy 21 (Down syndrome[DS]) contributes to the abnormal structure and function
of the DS brain. In the present study, we used a novel, phospho-dependent
antibody recognizing DYRK1A only with nonphosphorylated tyrosine 145 and 147
(DYRK1A Tyr-145/147P(-)), to investigate the expression pattern of this DYRK1A
species in trisomic and disomic human and mouse brains. Immunoblotting and
dephosphorylation experiments demonstrated higher levels of DYRK1A Tyr-145/147P(
) in postnatal trisomic brains in comparison with controls (by ~40%) than those
of the DYRK1A visualized by three other N- and C-terminally directed antibodies
to DYRK1A. By immunofluorescence, the immunoreactivity to DYRK1A Tyr-145/147P(-)
was the strongest in the nuclei of astroglial cells, which contrasted with the
predominantly neuronal localization of DYRK1A visualized by the three other
antibodies to DYRK1A we used. In addition, DYRK1A Tyr-145/147P(-) was enriched in
the nuclei of neuronal progenitors and newly born neurons in the adult
hippocampal proliferative zone and also occurred in some cholinergic axonal
terminals. Our data show a distinctive expression pattern of DYRK1A forms
nonphosphorylated at Tyr-145 and Tyr-147 in the brain tissue and suggest that DS
subjects may exhibit not only upregulation of total DYRK1A, but also more subtle
differences in phosphorylation levels of this kinase in comparison with control
individuals.
PMID- 21878371
TI - Effect of unilateral subthalamic deep brain stimulation on rat digestive motor
activity.
AB - A significant proportion of patients with Parkinson's disease suffers from
digestive symptoms. Bilateral deep brain stimulation of the subthalamic nucleus
has become a reliable therapeutic option for parkinsonian patients, but its
effects on digestive motility remain poorly investigated. The aim of our study
was to assess whether subthalamic stimulation could induce changes in gastric,
colonic, and rectal motility and modulate brain centers involved in gut motility.
METHODS: In anesthetized rats, unilateral subthalamic nucleus stereotactic
implantation was performed while intra-gastric, -colonic, and -rectal pressures
were recorded during the ON and OFF periods of the stimulation. c-Fos protein
expression was quantified by immunostaining in the nucleus of the solitary tract,
the dorsal motor nucleus of the vagus nerve, the locus coeruleus, and the
Barrington's nucleus. RESULTS: Compared to baseline, sham stimulation did not
change phasic gastric, colonic or rectal motor activity. Unilateral subthalamic
stimulation increased colonic phasic motility (P<0.05) compared to baseline and
the OFF period with no change in gastric and rectal motility. Pre-treatment with
atropine, or specific D1 and D2 receptors antagonists prevented the rise in
colonic motor activity. An increase in c-Fos protein-positive cells within all
the studied nuclei was observed in the stimulated group compared to the sham
group. CONCLUSIONS: Unilateral subthalamic stimulation impacts on gut motility in
anesthetized rats with a significant increase in colonic motility probably via
the modulation of several brain centers. These findings warrant further
confirmation in parkinsonian rat models before being transposed to clinical
conditions.
PMID- 21878372
TI - The temporal pattern of postnatal neurogenesis found in the neocortex of the
Gottingen minipig brain.
AB - The Gottingen minipig (G-mini) is increasingly used as a non-primate model for
human neurological diseases. We applied design-based stereology on five groups of
G-minis aged 1 day, 14 days, 30 days, 100 days, and 2 years or older to estimate
the pattern of postnatal neuron number development in the neocortex. Two time
periods for the postnatal increase of neocortical neuron number were observed
from the time of birth to day 14 (P=0.013) and from day 30 to day 100 (P<0.001).
No significant change in neuron number was found from day 14 to 30 (P=0.58) and
day 100 onward (P=0.39). The average estimated total number of neurons in the
neocortex was 236, 274, 264, 338, and 353 million, respectively. Since
neurogenesis and neuronal migration in the human neocortex are generally accepted
to be complete before term, the application of G-mini as human disease models may
be inappropriate before day 100. However, G-mini may serve as a valuable model
for the studies of ongoing neurogenesis in the living brain.
PMID- 21878373
TI - Hydrolytic fate of deoxynivalenol-3-glucoside during digestion.
AB - Deoxynivalenol-3-beta-D-glucoside (D3G), a plant phase II metabolite of the
Fusarium mycotoxin deoxynivalenol (DON), occurs in naturally contaminated wheat,
maize, oat, barley and products thereof. Although considered as a detoxification
product in plants, the toxicity of this substance in mammals is currently
unknown. A major concern is the possible hydrolysis of the D3G conjugate back to
its toxic precursor mycotoxin DON during mammalian digestion. We used in vitro
model systems to investigate the stability of D3G to acidic conditions,
hydrolytic enzymes and intestinal bacteria, mimicking different stages of
digestion. D3G was found resistant to 0.2 M hydrochloric acid for at least 24 h
at 37 degrees C, suggesting that it will not be hydrolyzed in the stomach of
mammals. While human cytosolic beta-glucosidase also had no effect, fungal
cellulase and cellobiase preparations could cleave a significant portion of D3G.
Most importantly, several lactic acid bacteria such as Enterococcus durans,
Enterococcus mundtii or Lactobacillus plantarum showed a high capability to
hydrolyze D3G. Taken together these data indicate that D3G is of toxicological
relevance and should be regarded as a masked mycotoxin.
PMID- 21878374
TI - 32P-HPLC analysis of N1-(2-carboxy-2-hydroxyethyl)deoxyadenosine: a DNA adduct of
the acrylamide-derived epoxide glycidamide.
AB - Acrylamide (AA) is produced in many types of food products cooked or processed at
high temperature. AA is metabolized to the epoxide glycidamide (GA), which can
bind to deoxyguanosine and deoxyadenosine in DNA. The GA-derived N7-guanine and
N3-adenine adducts are the only products which so far have been analysed in vivo.
Because of previous excellent experience from analysis of adducts to N1-adenine,
the aim of our study was to investigate if the N1-adenine adduct of GA could be
used as a biomarker of AA exposure. A 32P-postlabelling method was developed and
tested (a) on DNA modified in vitro with GA, (b) on cells treated with GA and (c)
on liver DNA from mice treated with AA. The N1-adenine adduct of GA (analysed
after conversion to N6-GA-deoxyadenosine-5'-monophosphate) was easily detected in
DNA reacted with GA and in DNA from cells exposed to GA, but not in DNA from mice
treated with AA. The reason for this is currently not clearly understood, but
some of the possible contributing factors are discussed. The application of the
method in other experimental conditions should be further pursued in order to
solve this matter.
PMID- 21878375
TI - Dimethyl sulfoxide (DMSO) attenuates the inflammatory response in the in vitro
intestinal Caco-2 cell model.
AB - This study aimed to investigate dose effects of dimethyl sulfoxide (DMSO) (0.05
1%) on the intestinal inflammatory response in confluent- and differentiated-Caco
2 cells stimulated with interleukin (IL)-1beta or a pro-inflammatory cocktail for
24 h. Cyclooxygenase-2 (COX-2) activity was assayed by incubating inflamed cells
with arachidonic acid and then measuring prostaglandin-E(2) (PGE(2)) produced.
Soluble mediators (IL-8, IL-6, macrophage chemoattractant protein-1 (MCP-1), and
COX-2-derived PGE(2)) were quantified by enzyme immunoassays and mRNA expression
of 33 proteins by high throughput TaqMan Low Density Array. Data showed that DMSO
decreased induced IL-6 and MCP-1 secretions in a dose-dependent manner (P<0.05),
but not IL-8; these effects were cell development- and stimulus- independent.
Moreover, in IL-1beta-stimulated confluent-cells, DMSO dose-dependently reduced
COX-2-derived PGE(2) (P<0.05). DMSO at 0.5% decreased significantly mRNA levels
of 14 proteins involved in the inflammatory response (including IL-6, IL-1alpha,
IL-1beta, and COX-2). Thus, DMSO at low concentrations (0.1-0.5%) exhibits anti
inflammatory properties in the in vitro intestinal Caco-2 cell model. This point
is important to be taken into account when assessing anti-inflammatory properties
of bioactive compounds requiring DMSO as vehicle, such as phenolic compounds, in
order to avoid miss-interpretation of the results.
PMID- 21878376
TI - Preparation of a solid-in-oil nanosuspension containing L-ascorbic acid as a
novel long-term stable topical formulation.
AB - L-Ascorbic acid (AA, vitamin C) easily decomposes into inactive compounds in
aqueous solutions and this has limited its topical use. This work reports the
preparation of a solid-in-oil nanosuspension (SONS) containing AA and validation
of its basic storage stability. Although AA itself is water-soluble, it can
readily be nanosuspended in squalane via complex formation involving a
combination of sucrose erucate (i.e. lipophilic surfactant) and sucrose
monolaureate (i.e. hydrophilic surfactant) to yield SONS with a very low moisture
content (<500 ppm). To extract encapsulated AA, a lipase-based enzymatic
degradation technique was used to degrade a formulation phase making it easier
for AA to distribute into an extraction solution. Our results demonstrate that
almost all the encapsulated AA (95.3%) was readily extracted from the SONS upon
addition of medium-chain triglyceride, which offers the possibility of degrading
the formulation phase using lipase. Finally, its storage stability study was
investigated at 25 degrees C over 90 days under protection from light. An aqueous
solution containing AA was used as a control. Compared with the control, the SONS
markedly increased the stability of AA due to its low moisture content and, thus,
the potential usefulness SONSs as a novel long-term stable topical formulation of
AA has been proved.
PMID- 21878377
TI - Guest molecular size-dependent inclusion complexation of parabens with cholic
acid by cogrinding.
AB - Effects of p-hydroxybenzoate (paraben) ester chain length on the stoichiometry
and structure of grinding-induced inclusion complexes with cholic acid (CA) were
investigated. Physicochemical properties of the ground mixture were evaluated by
powder X-ray diffraction (PXRD), differential scanning calorimetry (DSC), Fourier
transform infrared (FT-IR) spectroscopy, and solid-state nuclear magnetic
resonance (NMR) measurements. Ethyl-, n-propyl-, and isopropyl-parabens formed
equimolar inclusion complexes with CA, and the complex structures were of the
beta-trans bilayer type. In contrast, the stoichiometry of the CA-paraben complex
was 2:1, and the structure was of the alpha-gauche bilayer type when
isobutylparaben was used as a guest molecule. Although the stoichiometries and
structures of the complexes differed, solid-state NMR showed that the molecular
states of parabens in the complexes were similar and independent of the ester
chain length. Complexes between CA and parabens with longer substituent groups (C
>4) were not observed. Steric effects induced by increasing the guest size are
likely to influence the overall structure of inclusion complexes. Mechanical
forces and thermal activation by grinding were important factors in the mechanism
of CA-paraben complex formation.
PMID- 21878378
TI - Enhanced bioavailability after oral and pulmonary administration of baicalein
nanocrystal.
AB - The aim of the study was to investigate the potential of oral and pulmonary
nanocrystal to enhance the bioavailability of baicalein, a bioactive flavonoid
isolated from the root of Scutellaria baicalensis Georgi. So far, the nano-sized
delivery system of baicalein and its pulmonary delivery have received no
exploration. In the present investigation, the baicalein nanocrystal was prepared
by anti-solvent recrystallization followed by high pressure homogenization. In
vitro characterization was performed including particle size and distribution,
Zeta potential, dissolution, scanning electron microscopy, differential scanning
calorimetry and X-ray powder diffractometry. It was indicated that no crystalline
change was observed after nanocrystal preparation. The baicalein nanocrystal
containing only trace of stabilizer exhibited a significantly enhanced
dissolution of baicalein. In vivo test was also carried out in rats and
pharmacokinetic parameters of the baicalein crystal and the baicalein nanocrystal
after gavage and pulmonary administration were compared, based on the
simultaneous determination of baicalein and baicalin by high performance liquid
chromatography. The mean relative bioavailability of oral baicalein nanocrystal
was 1.67-fold that of oral baicalein crystal. The pulmonary baicalein nanocrystal
had rapid and extensive absorption and had almost identical pharmacokinetic
parameters to intravenous baicalein injection.
PMID- 21878380
TI - The effect of Compound Danshen Dripping Pills, a Chinese herb medicine, on the
pharmacokinetics and pharmacodynamics of warfarin in rats.
AB - AIM OF THE STUDY: Significant pharmacokinetic/pharmacodynamic (PK/PD)
interactions between various herbal products and warfarin have recently been
reported. The present study was conducted to determine whether Compound Danshen
Dripping Pills (CDDP), a Chinese herb medicine used for the treatment of
cardiovascular diseases, interacts with warfarin when administered concomitantly.
MATERIALS AND METHODS: Each day for 7 days two groups of rats were treated orally
with CDDP (50mg/kg and 250 mg/kg, twice daily), and the control group received
similar treatment with appropriate volumes of water only. Sixty minutes after the
final daily administration of CDDP or water, an aqueous solution of warfarin
(0.2mg/mL) was given to each rat at a dose of 1.0mg/kg, and blood samples were
collected at 0, 0.5, 1, 2, 4, 8, 12, 24, 36, and 48 h after warfarin-treatment.
The concentration of warfarin in blood plasma was determined by high performance
liquid chromatography (HPLC). Prothrombin time (PT) in blood plasma was measured
using thromboplastin reagent. RESULTS: Excellent linearity was found between 0.05
and 10 MUg/mL with a lower limit of quantitation (LLOQ) of 0.05 ng/mL (r>0.999);
moreover, all the validation data including accuracy and precision (intra- and
inter-day), were within the required limits. No significant differences were
found in PT(max) and AUC(PT0-infinity) between the two CDDP-treated groups and
the control. Besides, there was little alteration in any of the pharmacokinetic
parameters of warfarin between the two CDDP-treated groups and the control.
CONCLUSION: The concomitant application of CDDP and warfarin did not give rise to
significant effect on the pharmacodynamics of warfarin, and practically no effect
on its pharmacokinetics. It was speculated that the PK/PD interactions between
CDDP and warfarin was likely to be negligible as long as the patients took CDDP
at a normal dose.
PMID- 21878379
TI - The relationship between MOC reflex and masked threshold.
AB - Otoacoustic emission (OAE) amplitude can be reduced by acoustic stimulation. This
effect is produced by the medial olivocochlear (MOC) reflex. Past studies have
shown that the MOC reflex is related to listening in noise and attention. In the
present study, the relationship between strength of the contralateral MOC reflex
and masked threshold was investigated in 19 adults. Detection thresholds were
determined for 1000-Hz, 300-ms tone presented simultaneously with one repetition
of a 300-ms masker in an ongoing train of masker bursts. Three masking conditions
were tested: 1) broadband noise 2) a fixed-frequency 4-tone complex masker and 3)
a random-frequency 4-tone complex masker. Broadband noise was expected to produce
energetic masking and the tonal maskers were expected to produce informational
masking in some listeners. DPOAEs were recorded at fine frequency intervals from
500 to 4000 Hz, with and without contralateral acoustic stimulation. MOC reflex
strength was estimated as a reduction in baseline level and a shift in frequency
of DPOAE fine-structure maxima near 1000-Hz. MOC reflex and psychophysical
testing were completed in separate sessions. Individuals with poorer thresholds
in broadband noise and in random-frequency maskers were found to have stronger
MOC reflexes.
PMID- 21878381
TI - Anti-inflammatory and antinociceptive effects of the stem bark of Byrsonima
intermedia A. Juss.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Byrsonima intermedia A. Juss. is popularly known
as "murici pequeno" and is native to the Brazilian Cerrado. This species has been
used as an antimicrobial, anti-hemorrhagic, anti-diarrheal and anti-inflammatory.
Nevertheless, scientific information regarding Byrsonima intermedia is limited;
there are no reports related to its possible anti inflammatory and
antinociceptive effects. This study employed in vivo inflammatory and nociceptive
models to evaluate the scientific basis for the traditional use of Byrsonima
intermedia. MATERIALS AND METHODS: Carrageenan-induced paw edema, peritonitis and
fibrovascular tissue growth induced by s.c. cotton pellet implantation tests were
used to investigate the anti-inflammatory activity of Byrsonima intermedia
aqueous extract (BiAE) in rats. Mechanical nociceptive paw, formalin and hot
plate tests were used to evaluate the antinociceptive activity in mice. High
performance liquid chromatography (HPLC), phytochemistry screening and
determination of total phenolics and flavonoids were used to determine the
chemical profile of the BiAE. RESULTS: BiAE at test doses of 30-300 mg/kg p.o.
clearly demonstrated anti-inflammatory effects by reduced carrageenan-induced paw
edema, by inhibited leukocyte recruitment into the peritoneal cavity and, in the
model of chronic inflammation, by using the cotton pellet-induced fibrovascular
tissue growth in rats. The extracts at test doses of 30-300 mg/kg p.o. clearly
demonstrated antinociceptive activity in all tests. Administration of the opioid
receptor antagonist naloxone completely inhibited the antinociceptive effect
induced by BiAE (100 mg/kg). CONCLUSION: BiAE markedly exhibits anti-inflammatory
action in rats and antinociceptive activity in mice. Thus, it may be useful in
the treatment of inflammatory hyperalgesic disorders, which supports previous
claims of its traditional use.
PMID- 21878382
TI - Ginkgolide B reduces neuronal cell apoptosis in the hemorrhagic rat brain:
possible involvement of Toll-like receptor 4/nuclear factor-kappa B pathway.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Ginkgolide B (GB) is one of the ginkgolides that
have been isolated from leaves and root bark of the Chinese tree Ginkgo biloba L.
(Ginkgoaceae), and is a specific and potent antagonist of platelet activating
factor. There is a large body of data showing that GB possesses a markedly
neuroprotective property against ischemia-induced impairment in vivo and in
vitro. Recently it has been found that GB can inhibit the inflammation in the rat
brain tissues with ischemia/reperfusion injury and in the astrocytes treated with
lipopolysaccharide, as well as protect neurons against beta-amyloid 25-35 and
ischemia-induced apoptosis. However, there have been few reports on the influence
of GB on intracerebral hemorrhage (ICH). This study was to investigate the
effects of intraperitoneal GB on neuronal cell apoptosis, inflammatory cytokines
and Toll-like receptor 4 (TLR4)/nuclear factor kappa-B (NF-kappaB) pathway after
ICH. MATERIALS AND METHODS: Wistar rats obtained an intraperitoneal injection of
5, 10 and 20mg/kg GB after ICH once a day till day 5. Rats were sacrificed by
decapitation at hour 2, 6 and 12, as well as day 1, 2, 3 and 5 after ICH. Gene
expressions of TLR-4 and NF-kappaB, concentrations of tumor necrosis factor-alpha
(TNF-alpha), interleukin-1beta (IL-1beta) and interleukin-6 (IL-6) as well as
number of apoptotic neuronal cells in hemorrhagic rat brain tissues were
determined. RESULTS: The administration of 10 and 20mg/kg GB could significantly
suppress gene expressions of TLR-4 and NF-kappaB, lessen concentrations of TNF
alpha, IL-1beta and IL-6 as well as reduce number of apoptotic neuronal cells in
hemorrhagic rat brain tissues by Least-significant Difference test (P<0.05), but
the administration of 5mg/kg GB not (P>0.05). However, a clear concentration
response relationship was not found. CONCLUSIONS: GB may inhibit TLR4/NF-kappaB
dependent inflammatory responses, and furthermore lessen neuronal cell apoptosis
after ICH, which may support the use of G. biloba extracts for the treatment of
ICH.
PMID- 21878383
TI - The synergistic anti-inflammatory effect of the combination of sodium ferulate
and oxymatrine and its modulation on inflammation-associated mediators in RAW
264.7 cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The combination of Radix Angelicae sinensis
(Oliv.) Diels and Radix Sophora flavescens Ait. was extensively used in
traditional Chinese medicine to treat inflammatory diseases, such as acne, heart
disease, and hepatitis. Sodium ferulate (SF) and oxymatrine (OMT) were effective
component of Radix Angelicae sinensis (Oliv.) Diels and Radix Sophora flavescens
Ait., respectively. AIM OF THE STUDY: In this study, we investigated the
synergistic anti-inflammatory effect of the combination of SF and OMT, and its
modulation on inflammation-associated mediators in RAW 264.7 cells. MATERIALS AND
METHODS: In vivo, the anti-inflammatory effects of the combination of SF and OMT
were evaluated with the xylene-induced mouse ear edema model and the carrageenan
induced rat paw edema model. In vitro, chemokines and cytokines mRNA expressions
in lipopolysaccharide (LPS)-activated RAW 264.7 cells were determined by real
time PCR (RT-PCR) microarray analysis. The levels of interleukin-11 (IL-11), C
reactive protein (CRP) and interferon-gamma (INF-gamma) in the supernatant of LPS
stimulated RAW 264.7 cells were measured by enzyme-linked immune-sorbent assay
(ELISA). RESULTS: The combination of SF and OMT could significantly inhibit the
edema in the xylene-induced mouse ear edema and carrageenan-induced rat paw
edema, but no effect was found when each drug was used alone according to above
doses. The combination exhibited a better effect in down-regulating mRNA
expressions of inflammation-associated mediators in LPS-stimulated RAW 264.7
cells than SF or OMT alone. The ELISA results showed that the combination
synergistically inhibited LPS-induced IL-11, CRP and INF-gamma production in a
dose-dependent manner. CONCLUSION: The combination of SF and OMT showed
synergistic anti-inflammatory effect, and the activity was probably related to
its modulation on inflammation-associated mediators, especially IL-11, CRP and
INF-gamma.
PMID- 21878384
TI - Reproductive toxicity of Rhizoma Sparganii (Sparganium stoloniferum Buch.-Ham.)
in mice: mechanisms of anti-angiogenesis and anti-estrogen pharmacologic
activities.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Indications and preliminary studies of Rhizoma
Sparganii (RS) suggest its pharmacological mechanism is involved with
endocrine/angiogenesis functions. We therefore studied its potential toxicity on
reproduction in mice. MATERIALS AND METHODS: Reproductive toxicity of 100, 200
and 400 mg/kg RS extract were studied in pregnant ICR mice and its offspring. The
embryos' fibroblast growth factor-1 (FGF-1), vascular endothelial growth factor
(VEGF) and estrogen receptor-alpha (ER-alpha) were evaluated as targets of
endocrine/angiogenesis by immunohistochemical test. RESULTS: The offspring of
treated mice (100, 200 and 400 mg/kg RS extract) during their pregnancy had
various pathological conditions, suggesting an abnormal FGF signaling phenomenon
during pregnancy. Embryos from the 400 mg/kg group had significantly depressed
levels of FGF-1 (P < 0.01) and VEGF (P < 0.05) expression levels as compared to
controls by immunohistochemical test. Dysplasia in the heart (12.9%),
craniofacial region (18.3%) and vertebrae (32.5%) presented in embryos of the 400
mg/kg group. Furthermore, the ER-alpha expression was inversely proportional to
FGF-1 levels in the same embryo (P < 0.01). CONCLUSIONS: These results implicate
a FGF signaling abnormality in vivo and indicate that RS has anti-angiogenesis
and anti-estrogen toxicity effects in pregnant rodents.
PMID- 21878385
TI - Can we jog our way to a younger-looking immune system?
PMID- 21878386
TI - Toxicological evaluation of ammonium perfluorobutyrate in rats: twenty-eight-day
and ninety-day oral gavage studies.
AB - Sequential 28-day and 90-day oral toxicity studies were performed in male and
female rats with ammonium perfluorobutyrate (NH(4)(+)PFBA) at doses up to 150 and
30mg/kg-d, respectively. Ammonium perfluorooctanoate was used as a comparator at
a dose of 30mg/kg-d in the 28-day study. Female rats were unaffected by
NH(4)(+)PFBA. Effects in males included: increased liver weight, slight to
minimal hepatocellular hypertrophy; decreased serum total cholesterol; and
reduced serum thyroxin with no change in serum thyrotropin. During recovery,
liver weight, histological, and cholesterol effects were resolved. Results of RT
qPCR were consistent with increased transcriptional expression of the xenosensor
nuclear receptors PPARalpha and CAR as well as the thyroid receptor, and
decreased expression of Cyp1A1 (Ah receptor-regulated). No observable adverse
effect levels (NOAELs) were 6 and >150mg/kg-d for male and female rats in the 28
day study and 6 and >30mg/kg-d in the 90-dat study, respectively.
PMID- 21878388
TI - Drug release control and system understanding of sucrose esters matrix tablets by
artificial neural networks.
AB - Artificial neural networks (ANNs) were applied for system understanding and
prediction of drug release properties from direct compacted matrix tablets using
sucrose esters (SEs) as matrix-forming agents for controlled release of a highly
water soluble drug, metoprolol tartrate. Complexity of the system was presented
through the effects of SE concentration and tablet porosity at various
hydrophilic-lipophilic balance (HLB) values of SEs ranging from 0 to 16. Both
effects contributed to release behaviors especially in the system containing
hydrophilic SEs where swelling phenomena occurred. A self-organizing map neural
network (SOM) was applied for visualizing interrelation among the variables and
multilayer perceptron neural networks (MLPs) were employed to generalize the
system and predict the drug release properties based on HLB value and
concentration of SEs and tablet properties, i.e., tablet porosity, volume and
tensile strength. Accurate prediction was obtained after systematically
optimizing network performance based on learning algorithm of MLP. Drug release
was mainly attributed to the effects of SEs, tablet volume and tensile strength
in multi-dimensional interrelation whereas tablet porosity gave a small impact.
Ability of system generalization and accurate prediction of the drug release
properties proves the validity of SOM and MLPs for the formulation modeling of
direct compacted matrix tablets containing controlled release agents of different
material properties.
PMID- 21878387
TI - Multicolour interphase cytogenetics: 24 chromosome probes, 6 colours, 4 layers.
AB - From the late 1980s onwards, the use of DNA probes to visualise sequences on
individual chromosomes (fluorescent in-situ hybridisation - FISH) revolutionised
the study of cytogenetics. Following single colour experiments, more
fluorochromes were added, culminating in a 24 colour assay that could distinguish
all human chromosomes. Interphase cytogenetics (the detection of chromosome copy
number in interphase nuclei) soon followed, however 24 colour experiments are
hampered for this application as mixing fluorochromes to produce secondary
colours produces images that are not easily distinguishable from overlapping
signals. This study reports the development and use of a novel protocol, new fast
hybridising FISH probes, and a bespoke image capture system for the assessment of
chromosome copy number in interphase nuclei. The multicolour probe sets can be
used individually or in sequential hybridisation layers to assess ploidy of all
24 human chromosomes in the same nucleus. Applications of this technique are in
the investigation of chromosome copy number and the assessment of nuclear
organisation for a range of different cell types including human sperm, cancer
cells and preimplantation embryos.
PMID- 21878389
TI - Desensitization of nicotinic acetylcholine receptors in central nervous system
neurons of the stick insect (Carausius morosus) by imidacloprid and sulfoximine
insecticides.
AB - Imidacloprid, sulfoxaflor and two experimental sulfoximine insecticides caused
generally depressive symptoms in stick insects, characterized by stillness and
weakness, while also variably inducing postural changes such as persistent
ovipositor opening, leg flexion or extension and abdomen bending that could
indicate excitation of certain neural circuits. We examined the same compounds on
nicotinic acetylcholine receptors in stick insect neurons, which have previously
been shown to desensitize in the presence of ACh. Brief U-tube application of 10(
4) M solutions of insecticides for 1 s evoked currents that were much smaller
than ACh-evoked currents, and depressed subsequent ACh-evoked currents for
several minutes, indicating that the compounds are low-efficacy partial agonists
that potently desensitize the receptors. Much lower concentrations of
insecticides applied in the bath for longer periods did not activate currents,
but inhibited ACh-evoked currents via desensitization of the receptors.
Previously described fast- and slowly-desensitizing nACh currents, I(ACh1) and
I(ACh2) respectively, were each found to consist of two components with differing
sensitivities to the insecticides. Imidacloprid applied in the bath desensitized
high-sensitivity components, I(ACh1H) and I(ACh2H) with IC(50)s of 0.18 and 0.13
pM, respectively. It desensitized the low-sensitivity slowly desensitizing
component, I(ACh2L), with an IC(50) of 2.6 nM, while a component of the fast
desensitizing current, I(ACh1L), was least sensitive, with an IC(50) of 81 nM
I(ACh1L) appeared to be insensitive to the three sulfoximines tested, whereas all
three sulfoximines potently desensitized I(ACh1H) and both slowly desensitizing
components, with IC(50)s between 2 and 7 nM. We conclude that selective
desensitization of certain nAChR subtypes can account for the insecticidal
actions of imidacloprid and sulfoximines in stick insects.
PMID- 21878390
TI - Molecular and functional characterisation of resilin across three insect orders.
AB - Resilin is an important elastomeric protein of insects, with roles in the storage
and release of energy during a variety of different functional categories
including flight and jumping. To date, resilin genes and protein function have
been characterised only in a small number of flying insects, despite their
importance in fleas and other jumping insects. Microscopy and immunostaining
studies of resilin in flea demonstrate the presence of resilin pads in the
pleural arch at the top of the hind legs, a region responsible for the flea's
jumping ability. A degenerate primer approach was used to amplify resilin gene
transcripts from total RNA isolated from flea (Ctenocephalides felis), buffalo
fly (Haematobia irritans exigua) and dragonfly (Aeshna sp.) pharate adults, and
full-length transcripts were successfully isolated. Two isoforms (A and B) were
amplified from each of flea and buffalo fly, and isoform B only in dragonfly.
Flea and buffalo fly isoform B transcripts were expressed in an Escherichia coli
expression system, yielding soluble recombinant proteins Cf-resB and Hi-resB
respectively. Protein structure and mechanical properties of each protein before
and after crosslinking were assessed. This study shows that resilin gene and
protein sequences are broadly conserved and that crosslinked recombinant resilin
proteins share similar mechanical properties from flying to jumping insects. A
combined use of degenerate primers and polyclonal sera will likely facilitate
characterisation of resilin genes from other insect and invertebrate orders.
PMID- 21878391
TI - A comparison between rectal and colonic biopsies to detect Lewy pathology in
Parkinson's disease.
AB - We have shown that routine biopsies of the ascending colon obtained at
colonoscopy allow the detection of Lewy neurites (LN) in the enteric nervous
system (ENS) of Parkinson's disease (PD) patients. Although colonoscopy is a
relatively safe procedure, it requires colon preparation and anesthesia. The
present study was therefore undertaken to evaluate whether descending colon and
rectal biopsies that are obtainable by rectosigmoidoscopy allow the detection of
Lewy pathology in the ENS. A total of 9 controls and 26 PD patients were included
and analyzed. Two biopsies were taken from the ascending, descending colon and
rectum during the course of a total colonoscopy. Immunohistochemical analysis was
performed using antibodies against phosphorylated alpha-synuclein to detect LN
and neurofilaments 200 kDa to label the neuronal structures. Biopsies from
ascending, descending colon and rectum were morphologically comparable. LN were
detected in the biopsies of ascending colon in 17 PD patients (65%), of
descending colon in 11 patients (42%) and of rectum in only 6 patients (23%). No
LN were seen in control biopsies. Our results show that Lewy pathology follows a
rostrocaudal distribution in the colon and rectum of PD patients. Therefore,
rectal biopsies have substantially lower sensitivity than ascending colon
biopsies to detect Lewy pathology in the gut.
PMID- 21878392
TI - Strain differences in seizure-induced cell death following pilocarpine-induced
status epilepticus.
AB - Mouse strains differ from one another in their susceptibility to seizure-induced
excitotoxic cell death. Previously, we have demonstrated that mature inbred
strains of mice show remarkable genetic differences in susceptibility to the
neuropathological consequences of seizures in the kainate model of status
epilepticus. At present, while the cellular mechanisms underlying strain
dependent differences in susceptibility remain unclear, some of this variation is
assumed to have a genetic basis. However, it remains unclear whether strain
differences in susceptibility to seizure-induced cell death observed following
kainate administration are observed following systemic administration of other
chemoconvulsants. In rodents, the cholinomimetic convulsant pilocarpine is widely
used to induce status epilepticus (SE), followed by hippocampal damage and
spontaneous recurrent seizures, resembling temporal lobe epilepsy. This model has
initially been described in rats, but is increasingly used in mice. We
characterized neuronal pathologies after pilocarpine-induced status epilepticus
(SE) in eight inbred strains of mice focusing on the hippocampus. A ramping-up
dose protocol for pilocarpine was used and behavior was monitored for 4-5 h.
While we did not observe any significant differences in seizure latency or
duration to pilocarpine among the inbred strains, we did observe a significant
difference in susceptibility to the neuropathological consequences of pilocarpine
induced SE. Of the eight genetically diverse mouse strains screened for
pilocarpine-induced status, BALB/cJ and BALB/cByJ were the only two strains that
were resistant to the neuropathological consequences of seizure-induced cell
death. Additional studies of these murine strains may be useful for investigating
genetic influences on pilocarpine-induced status epilepticus.
PMID- 21878393
TI - Isolation, folding and structural investigations of the amino acid transporter
OEP16.
AB - Membrane proteins compose more than 30% of all proteins in the living cell.
However, many membrane proteins have low abundance in the cell and cannot be
isolated from natural sources in concentrations suitable for structure analysis.
The overexpression, reconstitution, and stabilization of membrane proteins are
complex and remain a formidable challenge in membrane protein characterization.
Here we describe a novel, in vitro folding procedure for a cation-selective
channel protein, the outer envelope membrane protein 16 (OEP16) of pea
chloroplast, overexpressed in Escherichia coli in the form of inclusion bodies.
The protein is purified and then folded with detergent on a Ni-NTA affinity
column. Final concentrations of reconstituted OEP16 of up to 24 mg/ml have been
achieved, which provides samples that are sufficient for structural studies by
NMR and crystallography. Reconstitution of OEP16 in detergent micelles was
monitored by circular dichroism, fluorescence, and NMR spectroscopy. Tryptophan
fluorescence spectra of heterologous expressed OEP16 in micelles are similar to
spectra of functionally active OEP16 in liposomes, which indicates folding of the
membrane protein in detergent micelles. CD spectroscopy studies demonstrate a
folded protein consisting primarily of alpha-helices. 15N-HSQC NMR spectra also
provide evidence for a folded protein. We present here a convenient, effective
and quantitative method to screen large numbers of conditions for optimal protein
stability by using microdialysis chambers in combination with fluorescence
spectroscopy. Recent collection of multidimensional NMR data at 500, 600 and 800
MHz demonstrated that the protein is suitable for structure determination by NMR
and stable for weeks during data collection.
PMID- 21878394
TI - Variation of the gene coding for DARPP-32 (PPP1R1B) and brain connectivity during
associative emotional learning.
AB - Associative emotional learning, which is important for the social emotional
functioning of individuals and is often impaired in psychiatric illnesses, is in
part mediated by dopamine and glutamate pathways in the brain. The protein DARPP
32 is involved in the regulation of dopaminergic and glutaminergic signaling.
Consequently, it has been suggested that the haplotypic variants of the gene
PPP1R1B that encodes DARPP-32 are associated with working memory and emotion
processing. We hypothesized that PPP1R1B should have a significant influence on
the network of brain regions involved in associative emotional learning that are
rich in DARPP-32, namely the striatum, prefrontal cortex (comprising the medial
frontal gyrus and inferior frontal gyrus (IFG)), amygdala and parahippocampal
gyrus (PHG). Dynamic causal models were applied to functional MRI data to
investigate how brain connectivity during an associative emotional learning task
is affected by different single-nucleotide polymorphisms (SNPs) of PPP1R1B:
rs879606, rs907094 and rs3764352. Compared to heterozygotes, homozygotes with GTA
alleles displayed increased intrinsic connectivity between the IFG and PHG, as
well as increased excitability of the PHG for negative emotional stimuli. We have
also elucidated the directionality of these genetic influences. Our data suggest
that homozygotes with GTA alleles involve stronger functional connections between
brain areas in order to maintain activation of these regions. Homozygotes might
engage a greater degree of motivational learning and integration of information
to perform the emotional learning task correctly. We conclude that PPP1R1B is
associated with the neural network involved in associative emotional learning.
PMID- 21878395
TI - Squeezing lemons in the bathroom: contextual information modulates action
recognition.
AB - Most every day actions take place in domestic rooms that are specific for certain
classes of actions. Contextual information derived from domestic settings may
therefore influence the efficiency of action recognition. The present studies
investigated whether action recognition is modulated by compatibility of the
context an action is embedded in. To this end, subjects watched video clips of
actions performed in compatible, incompatible, and neutral contexts. Recognition
was significantly slower when actions took place in an incompatible as compared
to a compatible or a neutral context (Experiment 1). Functional MRI revealed
increased activation for incompatible context in Brodmann Areas (BA) 44, 45, and
47 of the left ventrolateral prefrontal cortex (vlPFC; Experiment 2). Results
suggest that contextual information - even when task-irrelevant - informs a high
processing level of action analysis. In particular, the functional profiles
assigned to these prefrontal regions suggest that contextual information
activates associated action representations as a function of (in-)compatibility.
Thus, incompatibility effects may reflect the attempt to resolve the conflict
between action and context by embedding the presented action step into an
overarching action that is again compatible with the provided context.
PMID- 21878396
TI - Abstraction of complex concepts with a refined partial-area taxonomy of SNOMED.
AB - An algorithmically-derived abstraction network, called the partial-area taxonomy,
for a SNOMED hierarchy has led to the identification of concepts considered
complex. The designation "complex" is arrived at automatically on the basis of
structural analyses of overlap among the constituent concept groups of the
partial-area taxonomy. Such complex concepts, called overlapping concepts,
constitute a tangled portion of a hierarchy and can be obstacles to users trying
to gain an understanding of the hierarchy's content. A new methodology for
partitioning the entire collection of overlapping concepts into singly-rooted
groups, that are more manageable to work with and comprehend, is presented.
Different kinds of overlapping concepts with varying degrees of complexity are
identified. This leads to an abstract model of the overlapping concepts called
the disjoint partial-area taxonomy, which serves as a vehicle for enhanced, high
level display. The methodology is demonstrated with an application to SNOMED's
Specimen hierarchy. Overall, the resulting disjoint partial-area taxonomy offers
a refined view of the hierarchy's structural organization and conceptual content
that can aid users, such as maintenance personnel, working with SNOMED. The
utility of the disjoint partial-area taxonomy as the basis for a SNOMED auditing
regimen is presented in a companion paper.
PMID- 21878397
TI - Molecular epidemiology and genetic diversity of Mycobacterium tuberculosis
complex in the Cross River State, Nigeria.
AB - This study provides with a first insight on Mycobacterium tuberculosis complex
epidemiology and genetic diversity in the Cross River State, Nigeria. Starting
with 137 smear positive patients recruited over a period of 12months (June 2008
to May 2009), we obtained 97 pure mycobacterial isolates out of which 81 (83.5%)
were identified as M. tuberculosis complex. Genotyping revealed a total of 27
spoligotypes patterns with 10 clusters (n=64% or 79% of clustered isolates, 2-32
isolates/cluster), with patients in the age group range 25-34 years being
significantly associated with shared-type pattern SIT61 (p=0.019). Comparison
with SITVIT2 database showed that with the exception of a single cluster
(SIT727/H1), all other clusters observed were representative of West Africa; the
two main lineages involved were LAM10-CAM (n=42/81% or 51.8%) of M. tuberculosis
and AFRI_2 sublineage of Mycobacterium africanum (n=27/81% or 33.3%). Subsequent
12-loci MIRU typing resulted in a total of 13 SIT/MIT clusters (n=52 isolates, 2
9 isolates per cluster), with a resulting recent n-1 transmission rate of 48.1%.
Available drug-susceptibility testing (DST) results for 58/81 clinical isolates
revealed 6/58% or 10.4% cases of multiple drug-resistance (MDR); 5/6 MDR cases
were caused by strains belonging to LAM10-CAM lineage (a specific cluster
SIT61/MIT266 in 4/6 cases, and an orphan spoligotype pattern in 1/6 case).
Additionally, MIT266 was associated with streptomycin resistance (p=0.016). All
the six MDRTB isolates were concomitantly resistance to streptomycin and
ethambutol; however, 4/6 MDR strains with identical MIRU patterns were
characterized by consecutive strain numbers hence the possibility of laboratory
cross contamination could not be excluded in 3/4 serial cases. The present
preliminary study underlines the usefulness of spoligotyping and 12-loci MIRU
VNTRs to establish a baseline of circulating genotypic lineages of M.
tuberculosis complex in Nigeria.
PMID- 21878398
TI - Mechanical testing of electrospun PCL fibers.
AB - Poly(epsilon-caprolactone) (PCL) fibers ranging from 250 to 700 nm in diameter
were produced by electrospinning a polymer tetrahydrofuran/N,N-dimethylformamide
solution. The mechanical properties of the fibrous scaffolds and individual
fibers were measured by different methods. The Young's moduli of the scaffolds
were determined using macro-tensile testing equipment, whereas single fibers were
mechanically tested using a nanoscale three-point bending method, based on atomic
force microscopy and force spectroscopy analyses. The modulus obtained by tensile
testing eight different fiber scaffolds was 3.8+/-0.8 MPa. Assuming that PCL
fibers can be described by the bending model of isotropic materials, a Young's
modulus of 3.7+/-0.7 GPa was determined for single fibers. The difference of
three orders of magnitude observed in the moduli of fiber scaffolds vs. single
fibers can be explained by the lacunar and random structure of the scaffolds.
PMID- 21878399
TI - Evolution of load transfer between hydroxyapatite and collagen during creep
deformation of bone.
AB - While the matrix/reinforcement load-transfer occurring at the micro- and
nanoscale in nonbiological composites subjected to creep deformation is well
understood, this topic has been little studied in biological composites such as
bone. Here, for the first time in bone, the mechanisms of time-dependent load
transfer occurring at the nanoscale between the collagen phase and the
hydroxyapatite (HAP) platelets are studied. Bovine cortical bone samples are
subjected to synchrotron X-ray diffraction to measure in situ the evolution of
elastic strains in the crystalline HAP phase and the evolution of viscoelastic
strains accumulating in the mineralized collagen fibrils under creep conditions
at body temperature. For a constant compressive stress, both types of strains
increase linearly with time. This suggests that bone, as it deforms
macroscopically, is behaving as a traditional composite, shedding load from the
more compliant, viscoelastic collagen matrix to the reinforcing elastic HAP
platelets. This behavior is modeled by finite-element simulation carried out at
the fibrillar level.
PMID- 21878400
TI - A quantitative PCR assay for the detection and quantification of Shiga toxin
producing Escherichia coli (STEC) in minced beef and dairy products.
AB - Shiga toxin (Stx)-producing Escherichia coli (STEC) are amongst major causes of
food-borne infectious diseases and outbreaks. A new quantitative PCR (qPCR) assay
was designed to detect all known stx gene subtypes in a single reaction,
including the most distant variant stx2f. Performance of this assay was evaluated
in combination with two different internal amplification controls (IAC), a
competitive one specific for the assay and a universal IAC based on plasmid
pUC19. The qPCR assay was 100% specific and showed analytical sensitivity of two
STEC genome copies per reaction. The diagnostic approach proposed, combining
enrichment, automated DNA extraction and qPCR detection, could reliably detect
the presence of STEC in minced beef and cheese inoculated before enrichment at <4
CFU per 25 g. A comparative study performed on 240 minced beef and 113 raw milk
cheese samples demonstrated that the method developed was as effective as two PCR
screening assays used routinely in our laboratory to detect STEC. The new assay
also proved to be appropriate for the direct quantification of STEC in milk and
minced meat. It was found to be quantitative over a five log dynamic range, from
4 * 106 to 40 CFU/mL for milk and from 107 to 102 CFU/g for minced beef. In
conclusion, the qPCR assay developed here represents a valuable tool for rapid
detection and quantification of STEC in foods such as minced beef and dairy
products as it ensures a high sensitivity and an optimal STEC diagnostic
spectrum, taking into account the genetic stx variability observed in STEC
population.
PMID- 21878401
TI - Physical development in patients with phenylketonuria on dietary treatment: a
retrospective study.
AB - OBJECTIVES: To evaluate the growth and physical development in patients with
phenylalanine hydroxylase deficiency who follow exclusively dietary treatment.
METHODS: Anthropometric measurements of 160 patients with hyperphenylalaninemia
who were followed at our center over a 25 year period were obtained. Only
patients treated exclusively with a protein-restrictive diet supplemented with
amino acid mixtures were included. Height, weight and body mass index were
measured at birth, at diagnosis, at 6 and 12 months of age, and annually until 18
years of age in patients with phenylketonuria or until 9 years of age in patients
with mild hyperphenylalaninemia and compared to official national reference
values. The final height of PKU patients was also compared to their expected
family height. RESULTS: The analysis of z scores suggested no significant
differences in physical development between PKU patients and the healthy
population during the study period. The final height of PKU patients revealed
that they were 2 to 4 cm taller than expected when compared to the mean family
height (p<0.001). The mean weight and BMI at puberty suggested that many patients
with severe PKU, but not other phenotypes, were overweight during this period.
CONCLUSION: Physical development can be optimal in PKU patients regardless of
their phenotype and the severity of the diet. A tendency to excessive weight gain
is seen in adolescence in the most severe phenotypes.
PMID- 21878402
TI - Multiscale modeling and simulation of the cardiac fiber architecture for DMRI.
AB - Cardiac fiber architecture plays an important role in the study of mechanical and
electrical properties of the wall of the human heart, but still remains to be
elucidated. This paper proposes to investigate, in a multiscale manner, how the
arrangement patterns and morphological heterogeneity of cardiac myocytes
influence the fibers orientation. To this end, different virtual cardiac fiber
structures are modeled, and diffusion tensor imaging at multiple scales are
simulated using the Monte Carlo method. The results show that the proposed
modeling and simulation allow us to quantitatively describe the variation of the
measured tissue properties (fiber orientation and fractional anisotropy) as a
function of the observation scale.
PMID- 21878403
TI - Multiscale modeling of circular and elliptical particles in laminar shear flow.
AB - Drug delivery systems for cancer prevention and pain management have been
improved related to classical cancer chemotherapy. Nanotechnology with
nanoparticles offers new ways in transport of drug molecules and contrast agents
by the blood flow through the circulatory system. In this study, we use
multiscale mesoscopic bridging procedure of the finite elements (FE) coupled with
dissipative particle dynamics (DPD) and lattice Boltzmann (LB) method to model
the motion of circular and elliptical particles in a 2-D laminar flow. Four
examples are considered: 1) one sedimenting cylinder in a channel, 2) two
sedimenting cylinders in a channel, 3) motion of four elliptical particles in a
linear shear flow, and 4) motion of circular and elliptical particle in the
arterial bifurcation geometry. A good agreement with solution from the literature
available was found. These results show that the multiscale approach with coupled
FE and DPD/LB methods can effectively be applied to model motion of
micro/nanoparticles for a drug delivery system.
PMID- 21878404
TI - An estimate of the dispersion of repolarization times based on a biophysical
model of the ECG.
AB - Temporal heterogeneity of ventricular repolarization is a key quantity for the
development of ventricular reentrant arrhythmia. In this paper, we introduce the
V-index, a novel ECG-based estimator of the standard deviation of ventricular
myocytes' repolarization times s(theta). Differently from other ECG metrics of
repolarization heterogeneity, the V-index was derived from the analysis of a
biophysical model of the ECG, where repolarization is described by the dominant T
wave (DTW) paradigm. The model explains the shape of T-waves in each lead as a
projection of a main waveform (the DTW) and its derivatives weighted by scalars,
the lead factors. A mathematical formula is derived to link the heterogeneity of
ventricular repolarization s(theta) and the V-index. The formula was verified
using synthetic 12-lead ECGs generated with a direct electrophysiological model
for increasing values of s(theta) (in the range 20-70 ms). A linear relationship
between the V-index and s(theta) was observed, V ~ 0.675 s(theta) + 1.8 ms (R(2)
= 0.9992). Finally, 68 ECGs from the E-OTH-12-0068-010 database of the Telemetric
and Holter ECG Warehouse were analyzed. The V-index coherently increased after
sotalol administration, a drug known to have QT-prolonging potential (p <<
0.001).
PMID- 21878405
TI - An atrioventricular node model for analysis of the ventricular response during
atrial fibrillation.
AB - This paper introduces a model of the atrioventricular node function during atrial
fibrillation (AF), and describes the related ECG-based estimation method. The
proposed model is defined by parameters that characterize the arrival rate of
atrial impulses, the probability of an impulse choosing either one of the two
atrioventricular nodal pathways, the refractory periods of these pathways, and
the prolongation of the refractory periods. These parameters are estimated from
the RR intervals using maximum likelihood estimation, except for the shorter
refractory period which is estimated from the RR interval Poincare plot, and the
mean arrival rate of atrial impulses by the AF frequency. Simulations indicated
that 200-300 RR intervals are generally needed for the estimates to be accurate.
The model was evaluated on 30-min ECG segments from 36 AF patients. The results
showed that 88% of the segments can be accurately modeled when the estimated
probability density function (PDF) and an empirical PDF were at least 80% in
agreement. The model parameters were estimated during head-up tilt test to assess
differences caused by sympathetic stimulation. Both refractory periods decreased
as a result of stimulation, and the likelihood of an impulse choosing the pathway
with the shorter refractory period increased.
PMID- 21878406
TI - A preliminary model of gastrointestinal electromechanical coupling.
AB - Gastrointestinal (GI) motility is coordinated by several cooperating mechanisms,
including electrical slow wave activity, the enteric nervous system (ENS), and
other factors. Slow waves generated in interstitial cells of Cajal (ICC)
depolarize smooth muscle cells (SMC), generating basic GI contractions. This
unique electrical coupling presents an added layer of complexity to GI
electromechanical models, and a current barrier to further progress is the lack
of a framework for ICC-SMC-contraction coupling. In this study, an initial
framework for the electromechanical coupling was developed in a 2-D model. At
each solution step, the slow wave propagation was solved first and [Ca(2+)](i)
in the SMC model was related to a Ca(2+)-tension-extension relationship to
simulate active contraction. With identification of more GI-specific constitutive
laws and material parameters, the ICC-SMC-contraction approach may underpin
future GI electromechanical models of health and disease states.
PMID- 21878407
TI - An anisotropic microsphere-based approach for fiber orientation adaptation in
soft tissue.
AB - Evolutionary processes in biological tissue, such as adaptation or remodeling,
represent an enterprising area of research. In this paper, we present a
multiscale model for the remodeling of fibered structures, such as bundles of
collagen fibrils. With this aim, we introduce a von Mises statistical
distribution function to account for the directional dispersion of the fibrils,
and we remodel the underlying fibrils by changing their orientation. To
numerically compute this process, we make use of the microsphere approach, which
provides a useful multiscale tool for homogenizing the microstructure behavior,
related to the fibrils of the bundle, in the macroscale of the problem. The
results show how the fibrils respond to the stimulus by reorientation of their
structure. This process leads to a stiffer material eventually reaching a
stationary state. These results are in agreement with those reported in the
literature, and they characterize the adaptation of biological tissue to external
stimuli.
PMID- 21878408
TI - Novel estimation of the electrical bioimpedance using the local polynomial
method. Application to in vivo real-time myocardium tissue impedance
characterization during the cardiac cycle.
AB - Classical measurements of myocardium tissue electrical impedance for
characterizing the morphology of myocardium cells, as well as cell membranes
integrity and intra/extra cellular spaces, are based on the frequency-sweep
electrical impedance spectroscopy (EIS) technique. In contrast to the frequency
sweep EIS approach, measuring with broadband signals, i.e., multisine
excitations, enables to collect, simultaneously, multiple myocardium tissue
impedance data in a short measuring time. However, reducing the measuring time
makes the measurements to be prone to the influence of the transients introduced
by noise and the dynamic time-varying properties of tissue. This paper presents a
novel approach for the impedance-frequency-response estimation based on the local
polynomial method (LPM). The fast LPM version presented rejects the leakage
error's influence on the impedance frequency response when measuring electrical
bioimpedance in a short time. The theory is supported by a set of validation
measurements. Novel preliminary experimental results obtained from real-time in
vivo healthy myocardium tissue impedance characterization within the cardiac
cycle using multisine excitation are reported.
PMID- 21878409
TI - A multiscale framework based on the physiome markup languages for exploring the
initiation of osteoarthritis at the bone-cartilage interface.
AB - The initiation of osteoarthritis (OA) has been linked to the onset and
progression of pathologic mechanisms at the cartilage-bone interface. Most
importantly, this degenerative disease involves cross-talk between the cartilage
and subchondral bone environments, so an informative model should contain the
complete complex. In order to evaluate this process, we have developed a
multiscale model using the open-source ontologies developed for the Physiome
Project with cartilage and bone descriptions at the cellular, micro, and macro
levels. In this way, we can effectively model the influence of whole body
loadings at the macro level and the influence of bone organization and
architecture at the micro level, and have cell level processes that determine
bone and cartilage remodeling. Cell information is then passed up the spatial
scales to modify micro architecture and provide a macro spatial characterization
of cartilage inflammation. We evaluate the framework by linking a common knee
injury (anterior cruciate ligament deficiency) to proinflammatory mediators as a
possible pathway to initiate OA. This framework provides a "virtual bone
cartilage" tool for evaluating hypotheses, treatment effects, and disease onset
to inform and strengthen clinical studies.
PMID- 21878410
TI - Multi-channel microstrip transceiver arrays using harmonics for high field MR
imaging in humans.
AB - Radio-frequency (RF) transceiver array design using primary and higher order
harmonics for in vivo parallel magnetic resonance imaging imaging (MRI) and
spectroscopic imaging is proposed. The improved electromagnetic decoupling
performance, unique magnetic field distributions and high-frequency operation
capabilities of higher-order harmonics of resonators would benefit transceiver
arrays for parallel MRI, especially for ultrahigh field parallel MRI. To
demonstrate this technique, microstrip transceiver arrays using first and second
harmonic resonators were developed for human head parallel imaging at 7T. Phantom
and human head images were acquired and evaluated using the GRAPPA reconstruction
algorithm. The higher-order harmonic transceiver array design technique was also
assessed numerically using FDTD simulation. Compared with regular primary
resonance transceiver designs, the proposed higher-order harmonic technique
provided an improved g-factor and increased decoupling among resonant elements
without using dedicated decoupling circuits, which would potentially lead to a
better parallel imaging performance and ultimately faster and higher quality
imaging. The proposed technique is particularly suitable for densely spaced
transceiver array design where the increased mutual inductance among the elements
becomes problematic. In addition, it also provides a simple approach to readily
upgrade the channels of a conventional primary resonator microstrip array to a
larger number for faster imaging.
PMID- 21878411
TI - Joint modeling of anatomical and functional connectivity for population studies.
AB - We propose a novel probabilistic framework to merge information from diffusion
weighted imaging tractography and resting-state functional magnetic resonance
imaging correlations to identify connectivity patterns in the brain. In
particular, we model the interaction between latent anatomical and functional
connectivity and present an intuitive extension to population studies. We employ
the EM algorithm to estimate the model parameters by maximizing the data
likelihood. The method simultaneously infers the templates of latent connectivity
for each population and the differences in connectivity between the groups. We
demonstrate our method on a schizophrenia study. Our model identifies significant
increases in functional connectivity between the parietal/posterior cingulate
region and the frontal lobe and reduced functional connectivity between the
parietal/posterior cingulate region and the temporal lobe in schizophrenia. We
further establish that our model learns predictive differences between the
control and clinical populations, and that combining the two modalities yields
better results than considering each one in isolation.
PMID- 21878412
TI - Accuracy of carotid strain estimates from ultrasonic wall tracking: a study based
on multiphysics simulations and in vivo data.
AB - We used a multiphysics model to assess the accuracy of carotid strain estimates
derived from a 1-D ultrasonic wall tracking algorithm. The presented tool
integrates fluid-structure interaction (FSI) simulations with an ultrasound
simulator (Field II), which allows comparison of the ultrasound (US) images with
a ground truth. Field II represents tissue as random points on which US waves
reflect and whose position can be updated based on the flow field and vessel wall
deformation from FSI. We simulated the RF-signal of a patient-specific carotid
bifurcation, including the blood pool as well as the vessel wall and surrounding
tissue. Distension estimates were obtained from a wall tracking algorithm using
tracking points at various depths within the wall, and further processed to
assess radial and circumferential strain. The simulated data demonstrated that
circumferential strain can be estimated with reasonable accuracy (especially for
the common carotid artery and at the lumen-intima and media-adventitia
interface), but the technique does not allow to reliably assess intra-arterial
radial strain. These findings were supported by in vivo data of 10 healthy
adults, showing similar circumferential and radial strain profiles throughout the
arterial wall. We concluded that these deviations are present due to the complex
3-D vessel wall deformation, the presence of specular reflections and, to a
lesser extent, the spatially varying beam profile, with the error depending on
the phase in the cardiac cycle and the scanning location.
PMID- 21878413
TI - Minimum-volume-constrained nonnegative matrix factorization: enhanced ability of
learning parts.
AB - Nonnegative matrix factorization (NMF) with minimum-volume-constraint (MVC) is
exploited in this paper. Our results show that MVC can actually improve the
sparseness of the results of NMF. This sparseness is L(0)-norm oriented and can
give desirable results even in very weak sparseness situations, thereby leading
to the significantly enhanced ability of learning parts of NMF. The close
relation between NMF, sparse NMF, and the MVC_NMF is discussed first. Then two
algorithms are proposed to solve the MVC_NMF model. One is called quadratic
programming_MVC_NMF (QP_MVC_NMF) which is based on quadratic programming and the
other is called negative glow_MVC_NMF (NG_MVC_NMF) because it uses multiplicative
updates incorporating natural gradient ingeniously. The QP_MVC_NMF algorithm is
quite efficient for small-scale problems and the NG_MVC_NMF algorithm is more
suitable for large-scale problems. Simulations show the efficiency and validity
of the proposed methods in applications of blind source separation and human face
images analysis.
PMID- 21878414
TI - RRED indices: reduced reference entropic differencing for image quality
assessment.
AB - We study the problem of automatic "reduced-reference" image quality assessment
(QA) algorithms from the point of view of image information change. Such changes
are measured between the reference- and natural-image approximations of the
distorted image. Algorithms that measure differences between the entropies of
wavelet coefficients of reference and distorted images, as perceived by humans,
are designed. The algorithms differ in the data on which the entropy difference
is calculated and on the amount of information from the reference that is
required for quality computation, ranging from almost full information to almost
no information from the reference. A special case of these is algorithms that
require just a single number from the reference for QA. The algorithms are shown
to correlate very well with subjective quality scores, as demonstrated on the
Laboratory for Image and Video Engineering Image Quality Assessment Database and
the Tampere Image Database. Performance degradation, as the amount of information
is reduced, is also studied.
PMID- 21878415
TI - Antialiasing filter design for subpixel downsampling via frequency-domain
analysis.
AB - In this paper, we are concerned with image downsampling using subpixel techniques
to achieve superior sharpness for small liquid crystal displays (LCDs). Such a
problem exists when a high-resolution image or video is to be displayed on low
resolution display terminals. Limited by the low-resolution display, we have to
shrink the image. Signal-processing theory tells us that optimal decimation
requires low-pass filtering with a suitable cutoff frequency, followed by
downsampling. In doing so, we need to remove many useful image details causing
blurring. Subpixel-based downsampling, taking advantage of the fact that each
pixel on a color LCD is actually composed of individual red, green, and blue
subpixel stripes, can provide apparent higher resolution. In this paper, we use
frequency-domain analysis to explain what happens in subpixel-based downsampling
and why it is possible to achieve a higher apparent resolution. According to our
frequency-domain analysis and observation, the cutoff frequency of the low-pass
filter for subpixel-based decimation can be effectively extended beyond the
Nyquist frequency using a novel antialiasing filter. Applying the proposed
filters to two existing subpixel downsampling schemes called direct subpixel
based downsampling (DSD) and diagonal DSD (DDSD), we obtain two improved schemes,
i.e., DSD based on frequency-domain analysis (DSD-FA) and DDSD based on frequency
domain analysis (DDSD-FA). Experimental results verify that the proposed DSD-FA
and DDSD-FA can provide superior results, compared with existing subpixel or
pixel-based downsampling methods.
PMID- 21878416
TI - Iris recognition using possibilistic fuzzy matching on local features.
AB - In this paper, we propose a novel possibilistic fuzzy matching strategy with
invariant properties, which can provide a robust and effective matching scheme
for two sets of iris feature points. In addition, the nonlinear normalization
model is adopted to provide more accurate position before matching. Moreover, an
effective iris segmentation method is proposed to refine the detected inner and
outer boundaries to smooth curves. For feature extraction, the Gabor filters are
adopted to detect the local feature points from the segmented iris image in the
Cartesian coordinate system and to generate a rotation-invariant descriptor for
each detected point. After that, the proposed matching algorithm is used to
compute a similarity score for two sets of feature points from a pair of iris
images. The experimental results show that the performance of our system is
better than those of the systems based on the local features and is comparable to
those of the typical systems.
PMID- 21878417
TI - tOWL: a temporal Web Ontology Language.
AB - Through its interoperability and reasoning capabilities, the Semantic Web opens a
realm of possibilities for developing intelligent systems on the Web. The Web
Ontology Language (OWL) is the most expressive standard language for modeling
ontologies, the cornerstone of the Semantic Web. However, up until now, no
standard way of expressing time and time-dependent information in OWL has been
provided. In this paper, we present a temporal extension of the very expressive
fragment SHIN(D) of the OWL Description Logic language, resulting in the
temporal OWL language. Through a layered approach, we introduce three extensions:
1) concrete domains, which allow the representation of restrictions using
concrete domain binary predicates; 2) temporal representation , which introduces
time points, relations between time points, intervals, and Allen's 13 interval
relations into the language; and 3) timeslices/fluents, which implement a
perdurantist view on individuals and allow for the representation of complex
temporal aspects, such as process state transitions. We illustrate the
expressiveness of the newly introduced language by using an example from the
financial domain.
PMID- 21878418
TI - Fuzzy integral-based gaze control architecture incorporated with modified
univector field-based navigation for humanoid robots.
AB - When a humanoid robot moves in a dynamic environment, a simple process of
planning and following a path may not guarantee competent performance for dynamic
obstacle avoidance because the robot acquires limited information from the
environment using a local vision sensor. Thus, it is essential to update its
local map as frequently as possible to obtain more information through gaze
control while walking. This paper proposes a fuzzy integral-based gaze control
architecture incorporated with the modified-univector field-based navigation for
humanoid robots. To determine the gaze direction, four criteria based on local
map confidence, waypoint, self-localization, and obstacles, are defined along
with their corresponding partial evaluation functions. Using the partial
evaluation values and the degree of consideration for criteria, fuzzy integral is
applied to each candidate gaze direction for global evaluation. For the effective
dynamic obstacle avoidance, partial evaluation functions about self-localization
error and surrounding obstacles are also used for generating virtual dynamic
obstacle for the modified-univector field method which generates the path and
velocity of robot toward the next waypoint. The proposed architecture is verified
through the comparison with the conventional weighted sum-based approach with the
simulations using a developed simulator for HanSaRam-IX (HSR-IX).
PMID- 21878419
TI - Limb-state information encoded by peripheral and central somatosensory neurons:
implications for an afferent interface.
AB - A major issue to be addressed in the development of neural interfaces for
prosthetic control is the need for somatosensory feedback. Here, we investigate
two possible strategies: electrical stimulation of either dorsal root ganglia
(DRG) or primary somatosensory cortex (S1). In each approach, we must determine a
model that reflects the representation of limb state in terms of neural
discharge. This model can then be used to design stimuli that artificially
activate the nervous system to convey information about limb state to the
subject. Electrically activating DRG neurons using naturalistic stimulus
patterns, modeled on recordings made during passive limb movement, evoked
activity in S1 that was similar to that of the original movement. We also found
that S1 neural populations could accurately discriminate different patterns of
DRG stimulation across a wide range of stimulus pulse-rates. In studying the
neural coding in S1, we also decoded the kinematics of active limb movement using
multi-electrode recordings in the monkey. Neurons having both proprioceptive and
cutaneous receptive fields contributed equally to this decoding. Some neurons
were most informative of limb state in the recent past, but many others appeared
to signal upcoming movements suggesting that they also were modulated by an
efference copy signal. Finally, we show that a monkey was able to detect
stimulation through a large percentage of electrodes implanted in area 2. We
discuss the design of appropriate stimulus paradigms for conveying time-varying
limb state information, and the relative merits and limitations of central and
peripheral approaches.
PMID- 21878420
TI - Associations of toenail arsenic, cadmium, mercury, manganese, and lead with blood
pressure in the normative aging study.
AB - BACKGROUND: Arsenic, cadmium, mercury, and lead are associated with
cardiovascular disease in epidemiologic research. These associations may be
mediated by direct effects of the metals on blood pressure (BP) elevation.
Manganese is associated with cardiovascular dysfunction and hypotension in
occupational cohorts. OBJECTIVES: We hypothesized that chronic arsenic, cadmium,
mercury, and lead exposures elevate BP and that manganese lowers BP. METHODS: We
conducted a cross-sectional analysis of associations between toenail metals and
BP among older men from the Normative Aging Study (n = 639), using linear
regression and adjusting for potential confounders. RESULTS: An interquartile
range increase in toenail arsenic was associated with higher systolic BP [0.93
mmHg; 95% confidence interval (CI): 0.25, 1.62] and pulse pressure (0.76 mmHg;
95% CI: 0.22, 1.30). Positive associations between arsenic and BP and negative
associations between manganese and BP were strengthened in models adjusted for
other toenail metals. CONCLUSIONS: Our findings suggest associations between BP
and arsenic and manganese. This may be of public health importance because of
prevalence of both metal exposure and cardiovascular disease. Results should be
interpreted cautiously given potential limitations of toenails as biomarkers of
metal exposure.
PMID- 21878421
TI - European birth cohorts for environmental health research.
AB - BACKGROUND: Many pregnancy and birth cohort studies investigate the health
effects of early-life environmental contaminant exposure. An overview of existing
studies and their data is needed to improve collaboration, harmonization, and
future project planning. OBJECTIVES: Our goal was to create a comprehensive
overview of European birth cohorts with environmental exposure data. METHODS:
Birth cohort studies were included if they a) collected data on at least one
environmental exposure, b) started enrollment during pregnancy or at birth, c)
included at least one follow-up point after birth, d) included at least 200
mother-child pairs, and e) were based in a European country. A questionnaire
collected information on basic protocol details and exposure and health outcome
assessments, including specific contaminants, methods and samples, timing, and
number of subjects. A full inventory can be searched on
www.birthcohortsenrieco.net. RESULTS: Questionnaires were completed by 37 cohort
studies of > 350,000 mother-child pairs in 19 European countries. Only three
cohorts did not participate. All cohorts collected biological specimens of
children or parents. Many cohorts collected information on passive smoking (n =
36), maternal occupation (n = 33), outdoor air pollution (n = 27), and
allergens/biological organisms (n = 27). Fewer cohorts (n = 12-19) collected
information on water contamination, ionizing or nonionizing radiation exposures,
noise, metals, persistent organic pollutants, or other pollutants. All cohorts
have information on birth outcomes; nearly all on asthma, allergies, childhood
growth and obesity; and 26 collected information on child neurodevelopment.
CONCLUSION: Combining forces in this field will yield more efficient and
conclusive studies and ultimately improve causal inference. This impressive
resource of existing birth cohort data could form the basis for longer-term and
worldwide coordination of research on environment and child health.
PMID- 21878422
TI - Interaction between smoking and obesity and the risk of developing breast cancer
among postmenopausal women: the Women's Health Initiative Observational Study.
AB - Obesity is a well-established risk factor for postmenopausal breast cancer.
Recent studies suggest that smoking increases the risk of breast cancer. However,
the effect of co-occurrence of smoking and obesity on breast cancer risk remains
unclear. A total of 76,628 women aged 50-79 years enrolled in the Women's Health
Initiative Observational Study were followed through August 14, 2009. Cox
proportional hazards regression models were used to estimate hazard ratios and
95% confidence intervals. Over an average 10.3 years of follow-up, 3,378 incident
cases of invasive breast cancer were identified. The effect of smoking on the
risk of developing invasive breast cancer was modified significantly by obesity
status among postmenopausal women, regardless of whether the obesity status was
defined by body mass index (P(interaction) = 0.01) or waist circumference
(P(interaction) = 0.02). A significant association between smoking and breast
cancer risk was noted in nonobese women (hazard ratio = 1.25, 95% confidence
interval: 1.05, 1.47) but not in obese women (hazard ratio = 0.96, 95% confidence
interval: 0.69, 1.34). In conclusion, this study suggests that the effect of
smoking exposure on breast cancer risk was modified by obesity among
postmenopausal women. The modification effect did not differ by general versus
abdominal obesity.
PMID- 21878423
TI - Association of prenatal exposure to polybrominated diphenyl ethers and infant
birth weight.
AB - Polybrominated diphenyl ethers (PBDEs) are a class of persistent compounds that
have been used as flame retardants in vehicles, household furnishings, and
consumer electronics. This study examined whether concentrations of PBDEs in
maternal serum during pregnancy were associated with infant birth weight, length,
head circumference, and length of gestation. Participants were pregnant women (n
= 286) enrolled in the Center for the Health Assessment of Mothers and Children
of Salinas (CHAMACOS) Study, a longitudinal cohort study of low-income,
predominantly Mexican families living in the Salinas Valley, California. Blood
samples were collected near the 26th week of pregnancy in 1999-2000, and
concentrations of 10 PBDE congeners (BDE-17, -28, -47, -66, -85, -99, -100, -153,
-154, and -183) were measured. Multiple linear regression models were used to
investigate the association of lipid-adjusted, log(10)-transformed PBDE
concentrations and birth outcome. In adjusted analyses, negative associations
with birth weight were seen with BDE-47 (beta = -115 g, 95% confidence interval
(CI): -229, -2), BDE-99 (beta = -114 g, 95% CI: -225, -4), and BDE-100 (beta =
122 g, 95% CI: -235, -9). These findings were diminished slightly and were no
longer statistically significant when maternal weight gain was included in the
models. PBDE congeners were not associated with birth length, head circumference,
or gestational duration.
PMID- 21878424
TI - Methicillin-resistant Staphylococcus aureus colonization and risk of subsequent
infection in critically ill children: importance of preventing nosocomial
methicillin-resistant Staphylococcus aureus transmission.
AB - BACKGROUND: Methicillin-resistant Staphylococcus aureus (MRSA) colonization is a
predictor of subsequent infection in hospitalized adults. The risk of subsequent
MRSA infections in hospitalized children colonized with MRSA is unknown. METHODS:
Children admitted to an academic medical center's pediatric intensive care unit
between March 2007 and March 2010 were included in the study. Anterior naris
swabs were cultured to identify children with MRSA colonization at admission.
Laboratory databases were queried and National Healthcare Safety Network
definitions applied to identify patients with MRSA infections during their
hospitalization or after discharge. RESULTS: The MRSA admission prevalence among
3140 children was 4.9%. Overall, 56 children (1.8%) developed an MRSA infection,
including 13 (8.5%) colonized on admission and 43 (1.4%) not colonized on
admission (relative risk [RR], 5.9; 95% confidence interval [CI], 3.4-10.1). Of
those, 10 children (0.3%) developed an MRSA infection during their
hospitalization, including 3 of 153 children (1.9%) colonized on admission and 7
of 2987 children (0.2%) not colonized on admission (RR, 8.4; 95% CI, 2.7-25.8).
African-Americans and those with public health insurance were more likely to get
a subsequent infection (P < .01 and P = .03, respectively). We found that 15
children acquired MRSA colonization in the pediatric intensive care unit, and 7
(47%) developed a subsequent MRSA infection. CONCLUSIONS: MRSA colonization is a
risk factor for subsequent MRSA infection in children. Although MRSA colonized
children may have lower risks of subsequent infection than adults, children who
acquire MRSA in the hospital have similarly high rates of infection. Preventing
transmission of MRSA in hospitalized children should remain a priority.
PMID- 21878425
TI - Infant rotavirus vaccination may provide indirect protection to older children
and adults in the United States.
AB - Following the introduction of rotavirus vaccination in the United States,
rotavirus and cause-unspecified gastroenteritis discharges significantly
decreased in 2008 in the 0-4, 5-14, and 15-24-year age groups, with significant
reductions observed in March, the historic peak rotavirus month, in all age
groups. We estimate that 15% of the total 66 000 averted hospitalizations and 20%
of the $204 million in averted direct medical costs attributable to the
vaccination program were among unvaccinated 5-24 year-olds. This study
demonstrates a previously unrecognized burden of severe rotavirus in the
population >5 years and the primacy of very young children in the transmission of
rotavirus.
PMID- 21878426
TI - Unexpected benefits of rotavirus vaccination in the United States.
PMID- 21878427
TI - Longitudinal changes in cardiac function after cisplatin-based chemotherapy for
testicular cancer.
AB - BACKGROUND: Cross-sectional studies showed that treatment with cisplatin
chemotherapy for testicular cancer is associated with an increased incidence of
cardiac dysfunction. We investigated longitudinal progression of and contributing
factors to cardiac dysfunction in testicular cancer survivors. PATIENTS AND
METHODS: Cardiac assessments were carried out before 10 months (range 7-15
months) and 6.9 years (range 4.9-9.7 years) after start of cisplatin-based
chemotherapy, consisting of echocardiography [systolic function (left ventricular
ejection fraction, LVEF), diastolic function (myocardial tissue velocities;
tissue velocity imaging of early diastole, TVI Et)] and plasma biomarkers (N
Terminal pro brain natriuretic peptide, NT-proBNP; galectin-3). RESULTS: In 37
patients [median age 34 years (range 24-51 years)], the incidence of abnormal TVI
Et increased from 0% at baseline and 4.5% at 10 months (in 27 patients) to 16.7%
at 6.9 years post-chemotherapy (P = 0.03). One patient developed LVEF <50%; no
other systolic abnormalities occurred. Hypertension, obesity and age were
associated with larger decreases in TVI Et. Changes in NT-proBNP and galectin-3
were not related to echocardiographic abnormalities. CONCLUSIONS: In this
longitudinal cohort study, we observed a gradual decline in diastolic parameters
after cisplatin-based chemotherapy for testicular cancer, whereas the rate of
systolic dysfunction remains low. The association of larger declines in diastolic
parameters with hypertension and obesity stresses the need to monitor and treat
cardiovascular risk factors.
PMID- 21878428
TI - Grading system and management guidelines for dermatitis induced by head and neck
radiotherapy plus cetuximab: clinical validation required.
PMID- 21878429
TI - Impact of sunitinib pharmacokinetic monitoring in a patient with metastatic renal
cell carcinoma undergoing hemodialysis.
PMID- 21878430
TI - Getting ready to leave: transition readiness in adolescent kidney transplant
recipients.
AB - OBJECTIVE: To develop a measure of transition readiness and assess factors
associated with perceived readiness for transition of healthcare responsibility
and transfer among adolescent kidney transplant recipients. METHODS: The
Readiness for Transition Questionnaire (RTQ-teen; RTQ-parent) was created to
assess overall transition readiness, adolescent healthcare behavior, and familial
involvement in healthcare. Participants were 48 adolescent kidney transplant
recipients ages 15-21 years. Thirty-two (66.7%) of the adolescents' caregivers
also participated. Adolescents completed the RTQ-teen, as well as self-reported
measures of adherence and barriers to adherence. Parents completed the RTQ
parent. RESULTS: The RTQ showed good internal consistency, inter rater
reliability, and demonstrated construct validity. Increased adolescent
responsibility and decreased parental involvement predicted higher transition
readiness. Additionally, greater adolescent adherence factors predicted greater
transition readiness. CONCLUSIONS: The preliminary psychometrics of the RTQ
appear to be supported. Additional research should evaluate healthcare transition
programming to identify clinical components related to improved transition
readiness, adolescent responsibility, and medical outcomes.
PMID- 21878431
TI - Intra-aortic balloon counterpulsation and infarct size in patients with acute
anterior myocardial infarction without shock: the CRISP AMI randomized trial.
AB - CONTEXT: Intra-aortic balloon counterpulsation (IABC) is an adjunct to
revascularization in patients with cardiogenic shock and reduces infarct size
when placed prior to reperfusion in animal models. OBJECTIVE: To determine if
routine IABC placement prior to reperfusion in patients with anterior ST-segment
elevation myocardial infarction (STEMI) without shock reduces myocardial infarct
size. DESIGN, SETTING, AND PATIENTS: An open, multicenter, randomized controlled
trial, the Counterpulsation to Reduce Infarct Size Pre-PCI Acute Myocardial
Infarction (CRISP AMI) included 337 patients with acute anterior STEMI but
without cardiogenic shock at 30 sites in 9 countries from June 2009 through
February 2011. INTERVENTION: Initiation of IABC before primary percutaneous
coronary intervention (PCI) and continuation for at least 12 hours (IABC plus
PCI) vs primary PCI alone. MAIN OUTCOME MEASURES: Infarct size expressed as a
percentage of left ventricular (LV) mass and measured by cardiac magnetic
resonance imaging performed 3 to 5 days after PCI. Secondary end points included
all-cause death at 6 months and vascular complications and major bleeding at 30
days. Multiple imputations were performed for missing infarct size data. RESULTS:
The median time from first contact to first coronary device was 77 minutes
(interquartile range, 53 to 114 minutes) for the IABC plus PCI group vs 68
minutes (interquartile range, 40 to 100 minutes) for the PCI alone group (P =
.04). The mean infarct size was not significantly different between the patients
in the IABC plus PCI group and in the PCI alone group (42.1% [95% CI, 38.7% to
45.6%] vs 37.5% [95% CI, 34.3% to 40.8%], respectively; difference of 4.6% [95%
CI, -0.2% to 9.4%], P = .06; imputed difference of 4.5% [95% CI, -0.3% to 9.3%],
P = .07) and in patients with proximal left anterior descending Thrombolysis in
Myocardial Infarction flow scores of 0 or 1 (46.7% [95% CI, 42.8% to 50.6%] vs
42.3% [95% CI, 38.6% to 45.9%], respectively; difference of 4.4% [95% CI, -1.0%
to 9.7%], P = .11; imputed difference of 4.8% [95% CI, -0.6% to 10.1%], P = .08).
At 30 days, there were no significant differences between the IABC plus PCI group
and the PCI alone group for major vascular complications (n = 7 [4.3%; 95% CI,
1.8% to 8.8%] vs n = 2 [1.1%; 95% CI, 0.1% to 4.0%], respectively; P = .09) and
major bleeding or transfusions (n = 5 [3.1%; 95% CI, 1.0% to 7.1%] vs n = 3
[1.7%; 95% CI, 0.4% to 4.9%]; P = .49). By 6 months, 3 patients (1.9%; 95% CI,
0.6% to 5.7%) in the IABC plus PCI group and 9 patients (5.2%; 95% CI, 2.7% to
9.7%) in the PCI alone group had died (P = .12). CONCLUSION: Among patients with
acute anterior STEMI without shock, IABC plus primary PCI compared with PCI alone
did not result in reduced infarct size. TRIAL REGISTRATION: clinicaltrials.gov
Identifier: NCT00833612.
PMID- 21878432
TI - Association between serum cathepsin S and mortality in older adults.
AB - CONTEXT: Experimental data suggest that cathepsin S, a cysteine protease, is
involved in the complex pathways leading to cardiovascular disease and cancer.
However, prospective data concerning a potential association between circulating
cathepsin S levels and mortality are lacking. OBJECTIVE: To investigate
associations between circulating cathepsin S levels and mortality in 2
independent cohorts of elderly men and women. DESIGN, SETTING, AND PARTICIPANTS:
Prospective study using 2 community-based cohorts, the Uppsala Longitudinal Study
of Adult Men (ULSAM; n = 1009; mean age: 71 years; baseline period: 1991-1995;
median follow-up: 12.6 years; end of follow-up: 2006) and the Prospective
Investigation of the Vasculature in Uppsala Seniors (PIVUS; n = 987; 50% women;
mean age: 70 years; baseline period: 2001-2004; median follow-up: 7.9 years; end
of follow-up: 2010). Serum samples were used to measure cathepsin S. MAIN OUTCOME
MEASURE: Total mortality. RESULTS: During follow-up, 413 participants died in the
ULSAM cohort (incidence rate: 3.59/100 person-years at risk) and 100 participants
died in the PIVUS cohort (incidence rate: 1.32/100 person-years at risk). In
multivariable Cox regression models adjusted for age, systolic blood pressure,
diabetes, smoking status, body mass index, total cholesterol, high-density
lipoprotein cholesterol, antihypertensive treatment, lipid-lowering treatment,
and history of cardiovascular disease, higher serum cathepsin S was associated
with an increased risk for mortality (ULSAM cohort: hazard ratio [HR] for 1-unit
increase of cathepsin S, 1.04 [95% CI, 1.01-1.06], P = .009; PIVUS cohort: HR for
1-unit increase of cathepsin S, 1.03 [95% CI, 1.00-1.07], P = .04). In the ULSAM
cohort, serum cathepsin S also was associated with cardiovascular mortality (131
deaths; HR for quintile 5 vs quintiles 1-4, 1.62 [95% CI, 1.11-2.37]; P = .01)
and cancer mortality (148 deaths; HR for 1-unit increase of cathepsin S, 1.05
[95% CI, 1.01-1.10]; P = .01). CONCLUSIONS: Among elderly individuals in 2
independent cohorts, higher serum cathepsin S levels were associated with
increased mortality risk. Additional research is needed to delineate the role of
cathepsin S and whether its measurement might have clinical utility.
PMID- 21878433
TI - Need for critical reappraisal of intra-aortic balloon counterpulsation.
PMID- 21878435
TI - On the cutting edge of acute coronary syndromes: adding oral factor Xa-inhibition
with darexaban to dual antiplatelet therapy: the RUBY-1 trial.
PMID- 21878437
TI - Genome-wide association study of circulating retinol levels.
AB - Retinol is one of the most biologically active forms of vitamin A and is
hypothesized to influence a wide range of human diseases including asthma,
cardiovascular disease, infectious diseases and cancer. We conducted a genome
wide association study of 5006 Caucasian individuals drawn from two cohorts of
men: the Alpha-Tocopherol, Beta-Carotene Cancer Prevention (ATBC) Study and the
Prostate, Lung, Colorectal, and Ovarian (PLCO) Cancer Screening Trial. We
identified two independent single-nucleotide polymorphisms associated with
circulating retinol levels, which are located near the transthyretin (TTR) and
retinol binding protein 4 (RBP4) genes which encode major carrier proteins of
retinol: rs1667255 (P =2.30* 10(-17)) and rs10882272 (P =6.04* 10(-12)). We
replicated the association with rs10882272 in RBP4 in independent samples from
the Nurses' Health Study and the Invecchiare in Chianti Study (InCHIANTI) that
included 3792 women and 504 men (P =9.49* 10(-5)), but found no association for
retinol with rs1667255 in TTR among women, thus suggesting evidence for gender
dimorphism (P-interaction=1.31* 10(-5)). Discovery of common genetic variants
associated with serum retinol levels may provide further insight into the
contribution of retinol and other vitamin A compounds to the development of
cancer and other complex diseases.
PMID- 21878438
TI - The use of Hegar's dilator for finding correct planes around the uterus.
AB - The Hegar's dilator could be used during both laparoscopic and open surgeries to
find the correct planes to enter into either posterior or anterior fornix with
minimal blood loss and with ease.
PMID- 21878436
TI - A genome-wide association study identifies a region at chromosome 12 as a
potential susceptibility locus for restenosis after percutaneous coronary
intervention.
AB - Percutaneous coronary intervention (PCI) has become an effective therapy to treat
obstructive coronary artery diseases (CAD). However, one of the major drawbacks
of PCI is the occurrence of restenosis in 5-25% of all initially treated
patients. Restenosis is defined as the re-narrowing of the lumen of the blood
vessel, resulting in renewed symptoms and the need for repeated intervention. To
identify genetic variants that are associated with restenosis, a genome-wide
association study (GWAS) was conducted in 295 patients who developed restenosis
(cases) and 571 who did not (controls) from the GENetic Determinants of
Restenosis (GENDER) study. Analysis of ~550 000 single nucleotide polymorphisms
(SNPs) in GENDER was followed by a replication phase in three independent case
control populations (533 cases and 3067 controls). A potential susceptibility
locus for restenosis at chromosome 12, including rs10861032 (P(combined) = 1.11 *
10(-7)) and rs9804922 (P(combined) = 1.45 * 10(-6)), was identified in the GWAS
and replication phase. In addition, both SNPs were also associated with coronary
events (rs10861032, P(additive) = 0.005; rs9804922, P(additive) = 0.023) in a
trial based cohort set of elderly patients with (enhanced risk of) CAD (PROSPER)
and all-cause mortality in PROSPER (rs10861032, P(additive) = 0.007; rs9804922,
P(additive) = 0.013) and GENDER (rs10861032, P(additive) = 0.005; rs9804922,
P(additive) = 0.023). Further analysis suggests that this locus could be involved
in regulatory functions.
PMID- 21878440
TI - Skeletal fluorosis mimicking seronegative spondyloarthropathy: a deceptive
presentation.
AB - Skeletal fluorosis is rarely recognized early and is a major cause of morbidity.
We report on a 40-year-old man with skeletal fluorosis mimicking seronegative
spondyloarthropathy.
PMID- 21878439
TI - Treatment protocol and relapses of brucella endocarditis; cotrimoxazole in
combination with the treatment of brucella endocarditis.
AB - Antibiotic treatment, surgical intervention and postoperative antibiotic regimens
are recommended for the treatment of brucella endocarditis (BE). Our clinical
antibiotic regimens involve a triple antibiotic regimen for treating BE before
the operation. The combination of three antibiotics is continued for at least six
months and until the titres of the Wright serologic test are diminished to 1:160
levels. In this study, our aim was to evaluate the effects of combined medical
and surgical treatments on survival and relapse rates in the periods of mid to
late terms. We investigated 13 patients who were treated between January 1993 and
June 2009. Our clinical observations led us to use a combination of rifampicin
(900 mg twice a day), streptomycin (12 to 16 mg/kg/24 h intramuscularly) and
doxycycline (200 mg/kg twice a day); rifampicin, tetracycline (8 mg/kg three
times a day) and cotrimoxazole (15 mg/kg twice a day) or rifampicin, doxycycline
and cotrimoxazole regimen for treating BE before the operation. This treatment
should be continued for at least six months after surgery in order to prevent
relapses.
PMID- 21878441
TI - Prevalence of Nocardia species among HIV-positive patients with suspected
tuberculosis.
AB - The objective of the study was to determine the frequency of nocardiosis in HIV
positive and HIV-negative individuals clinically suspected of having tuberculosis
(TB). The study population (n = 171) were those who attended chest hospitals in
Khartoum State, Sudan, between January and March 2010. The patients suffered from
pulmonary infections with positive acid-fast bacilli. Blood (n = 171) and sputum
(n = 171) samples were collected simultaneously. Blood samples were tested
serologically for the presence of antibodies using HIV/Intensified Combination
Prevention (ICP) test and sputum were cultured onto Lowenstein Jensen slants
according to standard methods. Isolates showing rapid growth characteristic of
Nocardiae were subcultured and subsequently identified using glucose yeast
extract agar medium. All candidates in the study population (n = 171) suffered
from pulmonary infections, nocardiosis was diagnosed in 4% (n = 7), HIV-positive
cases were 17 (9.9%). Five Nocardia species were isolated from HIV-negative
patients whereas two were from HIV-positive patients. Nocardia spp. cause
pulmonary infections (4.09%) in both immunocompetent (2.92%) as well as
immunocompromised (1.17%) patients who attend chest clinics in Sudan.
PMID- 21878442
TI - Clubfoot in Malawi: treatment-seeking behaviour.
AB - We explore the treatment-seeking behaviour of guardians of patients undergoing
treatment for clubfoot at clinics run by the Malawi National Clubfoot Programme
(MNCP). Core data was collected and analysed using qualitative methodologies of
critical medical anthropology. Sixty detailed case studies were completed, each
based on an extended open-ended interview with patient guardians. Two positive
drivers in seeking treatment for clubfoot were identified: a desire to correct
the impairment; and a direct instruction to do so, usually from a health-care
professional. Four main barriers prevented treatment seeking: lack of knowledge
about the condition and its treatment; familial resistance; logistical obstacles;
and socio-economic pressures. In delivering effective health care, organizations
should seek to minimize barriers and their impact, whilst maximizing drivers that
lead to positive action.
PMID- 21878434
TI - RUBY-1: a randomized, double-blind, placebo-controlled trial of the safety and
tolerability of the novel oral factor Xa inhibitor darexaban (YM150) following
acute coronary syndrome.
AB - AIMS: To establish the safety, tolerability and most promising regimen of
darexaban (YM150), a novel, oral, direct factor Xa inhibitor, for prevention of
ischaemic events in acute coronary syndrome (ACS). METHODS: In a 26-week, multi
centre, double-blind, randomized, parallel-group study, 1279 patients with recent
high-risk non-ST-segment or ST-segment elevation ACS received one of six
darexaban regimens: 5 mg b.i.d., 10 mg o.d., 15 mg b.i.d., 30 mg o.d., 30 mg
b.i.d., or 60 mg o.d. or placebo, on top of dual antiplatelet treatment. Primary
outcome was incidence of major or clinically relevant non-major bleeding events.
The main efficacy outcome was a composite of death, stroke, myocardial
infarction, systemic thromboembolism, and severe recurrent ischaemia. RESULTS:
Bleeding rates were numerically higher in all darexaban arms vs. placebo (pooled
HR: 2.275; 95% CI: 1.13-4.60, P = 0.022). Using placebo as reference (bleeding
rate 3.1%), there was a dose-response relationship (P = 0.009) for increased
bleeding with increasing darexaban dose (6.2, 6.5, and 9.3% for 10, 30, and 60 mg
daily, respectively), which was statistically significant for 30 mg b.i.d. (P =
0.002). There was no decrease (indeed a numerical increase in the 30 and 60 mg
dose arms) in efficacy event rates with darexaban, but the study was underpowered
for efficacy. Darexaban showed good tolerability without signs of liver toxicity.
CONCLUSIONS: Darexaban when added to dual antiplatelet therapy after ACS produces
an expected dose-related two- to four-fold increase in bleeding, with no other
safety concerns but no signal of efficacy. Establishing the potential of low-dose
darexaban in preventing major cardiac events after ACS requires a large phase III
trial. ClinicalTrials.gov Identifier: NCT00994292.
PMID- 21878443
TI - Co-existence of micronutrient deficiencies in hospitalized children with severe
malnutrition treated according to the WHO protocol.
AB - We assessed the involvement of vitamin A deficiency (VAD) and anaemia as co
morbidities associated with severe forms of protein-energy malnutrition in
hospitalized children. In a descriptive case series study of 57 children, one
clinical case of xerophthalmia was diagnosed: 44.0% had levels of serum retinol
<20 ug/dL and 88.0% had Hb <11 g/dL, of whom 30.0% had haemoglobin levels below
7.0 g/dL.
PMID- 21878444
TI - Making the most of evaluation: a mixed methods study in the English NHS.
AB - OBJECTIVES: To increase understanding about how evaluations of health policy
initiatives are commissioned, conducted and used. METHODS: A mapping exercise was
conducted to identify evaluation of initiatives promoted by the White Paper 'Our
health, our care, our say' in the English NHS. All evaluations were subjected to
critical appraisal and 21 were purposively selected as case studies, involving
documentary analysis and 60 interviews with those commissioning, conducting and
affected by the evaluation. RESULTS: Variation in the types of evaluation being
undertaken did not reflect the importance of the initiatives being evaluated.
Most evaluations collected evidence about uptake, processes of care and users'
perceptions. While some provided useful information about how initiatives could
be improved, few provided robust evidence about the benefits or costs of the
initiatives. Those who commissioned evaluations had similar concerns to those who
conducted them. There was a commitment to the concept of evaluation but little
clarity about how findings would be used. Evaluation was often commissioned too
late to influence decisions about implementation. Compromises over research
design and difficulties collecting data limited the potential to provide robust
evidence about benefits. There were tensions between the desire of evaluators for
methodological rigour and the needs of service providers for swift, contextually
relevant findings. There were concerns about the transparency of methods and
results. CONCLUSIONS: Considerable public resources are committed to evaluation,
but this investment is less productive than it could be. This article specifies
several ways in which the use of evaluation of initiatives in health and social
care could be improved.
PMID- 21878445
TI - Secondary use of randomized controlled trials to evaluate drug safety: a review
of methodological considerations.
AB - BACKGROUND: Randomized clinical trials (RCTs) are often positioned at the top of
evidence hierarchies. Meta-analyses of RCTs aim to integrate the state of
knowledge on a given scientific question, particularly for rare drug-related
outcomes. However, although RCTs are valuable tools in our armamentarium, they
are rarely designed to evaluate drug safety and are thus susceptible to
limitations that may hamper the ability of both RCTs and meta-analyses to fully
characterize the safety profiles of drugs. Their potential limitations might be
exacerbated in the study of rare outcomes, often encountered in drug safety
assessment, when even minor deviations from the intended randomization could
impact the stability of the risk estimates. PURPOSE: This article considers the
methodological caveats of both RCTs and meta-analyses of RCTs pertinent to the
study of drug-related harms. It is intended to stimulate discussion about the
impact of these caveats on interpreting findings of RCTs and meta-analyses for
drug safety, which would foster more robust, critical evaluations, and thus
enhance clinical and regulatory decision-making. METHODS: Pertinent issues that
can influence the interpretation of drug-related harms discussed in this article
were based on authors' expertise and review of the literature. RESULTS:
Investigators and clinicians should be cognizant of the potential limitations of
the secondary use of RCTs and meta-analyses in the assessment of drug-related
harms and, when applicable, should consider potential remedies to overcome these
limitations. LIMITATIONS: Only few practical examples are included in the article
due to the fact that many of the discussed caveats are not examined and/or
reported in many publications. In addition, the confidential nature of data
reviewed at a regulatory agency forestalls an in depth discussion of examples
pertaining to specific drugs. Furthermore, our ability to quantify the extent of
encountering, or the actual impact of, the caveats addressed in this review on
the RCTs findings is limited. It is worth noting that the mere encounter of a
given caveat does not mean that it will obviate the utility of drug safety
information from a given trial. The extent of its impact is expected to vary
based on the specifics of the trial, the drugs studied, the indications, and the
nature of the adverse events. CONCLUSIONS: Although some of the limitations
described are inherent in RCTs, some of the sources of bias highlighted in this
article could be minimized by careful RCT design, planned follow-up, and improved
collection of information on adverse events. As future research sheds more light
on pertinent knowledge gaps and issues, the ability to maximize the use of RCTs
and meta-analyses of RCTs to address drug safety questions of interest will be
greatly enhanced.
PMID- 21878446
TI - Bayesian approaches for comparative effectiveness research.
AB - BACKGROUND: A hallmark of comparative effectiveness research is the analysis of
all the available evidence from different studies addressing a given question of
medical risk versus benefit. The Bayesian statistical approach is ideally suited
for such investigations because it is inherently synthetic and because it is
philosophically uninhibited regarding the ability to analyze all the available
evidence. PURPOSE: To consider a variety of comparative effectiveness research
settings and show how the Bayesian approach applies. METHODS: The Bayesian
approach is described as it has been applied to the comparative analysis of
implantable cardioverter defibrillators and mammographic screening, in the Cancer
Intervention and Surveillance Modeling Network, in comparisons of patient
outcomes data from different sources, and in designing adaptive clinical trials
to support the development of 'personalized medicine.' RESULTS: Bayesian methods
allow for continued learning as data accrue and for cumulating meta-analyses and
the comparison of heterogeneous studies. Bayesian methods enable predictive
probability distributions of the results of future studies. LIMITATIONS: Bayesian
posterior distributions are subject to potential bias - in the selection of
'available' evidence and in the choice of a likelihood model. Sensitivity
analyses help to control this bias. CONCLUSIONS: The Bayesian approach has much
to offer comparative effectiveness research. It provides a mechanism for
synthesizing various sources of information and for updating knowledge in an
online fashion as evidence accumulates.
PMID- 21878447
TI - Challenges to accrual predictions to phase III cancer clinical trials: a survey
of study chairs and lead statisticians of 248 NCI-sponsored trials.
AB - BACKGROUND: Research on barriers to accrual has typically emphasized factors
influencing participation after trial activation. PURPOSE: We sought to identify
factors influencing trial design and accrual predictions prior to trial
activation associated with sufficient accrual. METHODS: A 30-question web-based
survey was sent to the study chair and lead statistician for all 248 phase III
trials open in 1993-2002 by five Clinical Trials Cooperative Groups. Questions
addressed prior trial experience, trial design elements, accrual predictions, and
perceived accrual influences. Accrual sufficiency categorization was derived from
Clinical Trials Cooperative Group records: sufficient accrual included trials
closed with complete accrual or at interim analysis, insufficient accrual
included trials closed with inadequate accrual. Responses were analyzed by
respondent role (study chair/lead statistician) and accrual sufficiency. RESULTS:
Three hundred and nine eligible responses were included (response rate, 63%; lead
statisticians, 81%; and study chairs, 45%), representing trials with sufficient
(63%) and insufficient accruals (37%). Study chair seniority or lead statistician
experience was not linked to accrual sufficiency. Literature review, study
chair's personal experience, and expert opinion within Clinical Trials
Cooperative Group most commonly influenced control arm selection. Clinical Trials
Cooperative Group experience most influenced accrual predictions. These
influences were not associated with accrual sufficiency. Among respondents citing
accrual difficulties (41%), factors negatively influencing accrual were not
consistently identified. Respondents credited three factors with positively
influencing accrual: clinical relevance of study, lack of competing trials, and
protocol paralleling normal practice. LIMITATIONS: Perceptions of lead
statisticians and study chairs may not accurately reflect accrual barriers
encountered by participating physicians or patients. Survey responses may be
subject to recall bias. CONCLUSION: Consistent factors explaining poor accrual
were not identified, suggesting reasons for poor accrual are not well understood
and warrant further study. Alternate strategies for accrual prediction are needed
since Clinical Trials Cooperative Group experience is linked to successful and
unsuccessful accrual.
PMID- 21878448
TI - Prevention of diabetes and reduction in major cardiovascular events in studies of
subjects with prediabetes: meta-analysis of randomised controlled clinical
trials.
AB - BACKGROUND: Impaired glucose tolerance (IGT) and impaired fasting glucose (IFG)
are pre-diabetic states, treatment of which may prevent or delay the onset of
overt diabetes and thus potentially reduce major cardiovascular (CV) events. We
therefore sought to determine whether interventions (including diet, exercise and
pharmacological therapy), altered all-cause and cardiovascular related mortality
in such subjects. METHODS: We performed a meta-analysis of prospective,
randomised controlled trials (RCTs) that were identified in the medical
literature and databases. Trials were eligible for inclusion if they reported all
cause mortality rates (at a minimum), recruited approximately 100 patients and
had a minimum follow-up of one year. Interventions were divided into
pharmacological and non-pharmacological. RESULTS: Ten RCTs that enrolled 23,152
patients met the above entry criteria. Trials ran for an average of 3.75 years.
Diabetes was delayed or prevented by these interventions vs control (risk ratio
0.83, 95%CI 0.80-0.86). Non-drug approaches (n = 3495) were superior to drug
based approaches (n = 20,872) in diabetes prevention (0.52, 0.46-0.58 vs 0.70,
0.58-0.85, P < 0.05). There was no difference in risk of all-cause mortality in
the intervention versus control group (0.96, 0.84-1.10) and no difference in CV
death (1.04, 0.61-1.78). There was a non-significant trend towards reduction in
fatal and non-fatal myocardial infarction (0.59, 0.23-1.50). Fatal and non-fatal
stroke was borderline reduced (0.76, 0.58-0.99) with intervention versus control.
CONCLUSIONS: Despite interventions being mostly successful in retarding
progression to overt diabetes, this did not result in reductions in all-cause or
cardiovascular mortality, or myocardial infarction, with the possible exception
of stroke.
PMID- 21878449
TI - Deterioration of glomerular endothelial surface layer and the alteration in the
renal function after a growth promoter boldenone injection in rabbits.
AB - Boldenone is an anabolic steroid developed for veterinary use. Recently, it is
used by bodybuilders in both off-season and precontest, where it is well known
for increasing vascularity while preparing for a bodybuilding contest. However,
the side effect of this steroid on the human health is still unclear. Therefore,
the present study was designed to investigate the possible effect of the growth
promoter, boldenone undecylenate, on the function and structure of the rabbit's
kidneys. A total of 36 adult New Zealand rabbits were divided into 4 groups.
Control group includes animals that were injected intramuscularly with olive oil
and dissected after 3 weeks. Three experimental groups include animals that
receive one, two and three intramuscular injections of 5 mg/kg body weight
boldenone, and dissected after 3, 6, and 9 weeks, respectively, and the interval
of each dose of boldenone was 3 weeks. The biochemical analysis of the blood
serum of treated rabbit showed a significant increase in the total protein, urea
and creatinine concentrations, with a significant decrease in albumin/globulin
(A/G) ratio. At the same time, a significant glomerulus mass reduction that
accompanied with the expression of CD34, a marker for endothelial cells
deterioration, was also determined. The incidence of the glomerulosclerosis was
significantly increased compared with the control group (0.46 +/- 0.05, p <
0.05). The glomerulosclerosis scores were 1.32 +/- 0.10, 2.14 +/- 0.11 and 3.02
+/- 0.09 in groups 2, 3 and 4, respectively. These findings suggest that misuse
of the boldenone undecylenate may contribute to the occurrence of a chronic renal
injury that may lead to a progressive renal failure.
PMID- 21878450
TI - Effect of acrylamide on some hormones and endocrine tissues in male rats.
AB - Acrylamide is oxidized by cytochrome P450 2E1 (CYP2E1) to its epoxide form,
glycidamide, which is believed to be responsible for the mutagenic and
carcinogenic activities. This study was carried out to investigate the early
changes that may be related to the carcinogenic activity of acrylamide in
thyroid, adrenal glands and testis in male rats. Forty adult Sprague Dawley male
rats were divided equally into four groups, rats of Group I served as control,
and rats of Groups II, III and IV were treated orally with acrylamide with doses
5, 10, 15 mg/kg/day body weight for 8 weeks. The results indicated that the
plasma carcino embryonic antigen (CEA) and malondialdehyde (MDA) levels are
higher, but free and total testosterone, triiodothyronine (T(3)) and thyroxine,
or 3,5,3',5'-tetraiodothyronine (T(4)) and corticosterone levels are lower in
rats treated with acrylamide than that in control rats. This study provides
evidence of endocrine disturbance to the testis, thyroid and adrenal glands,
which are also the organs in which acrylamide has been shown to cause tumors in
experimental animals.
PMID- 21878451
TI - Brain lesion location and clinical status 20 years after a diagnosis of
clinically isolated syndrome suggestive of multiple sclerosis.
AB - BACKGROUND/OBJECTIVES: The objective of this study was to investigate
associations between the spatial distribution of brain lesions and clinical
outcomes in a cohort of people followed up 20 years after presentation with a
clinically isolated syndrome (CIS) suggestive of multiple sclerosis (MS).
METHODS: Brain lesion probability maps (LPMs) of T1 and T2 lesions were generated
from 74 people who underwent magnetic resonance imaging (MRI) and clinical
assessment a mean of 19.9 years following a CIS. One-tailed t-test statistics
were used to compare LPMs between the following groups: clinically definite (CD)
MS and those who remained with CIS, with an abnormal MRI; people with MS and an
Expanded Disability Status Scale (EDSS) <=3 and >3; people with relapsing
remitting (RR) and secondary progressive (SP) MS. The probability of each voxel
being lesional was analysed adjusting for age and gender using a multiple linear
regression model. RESULTS: People with CDMS were significantly more likely than
those with CIS and abnormal scan 20 years after onset to have T1 and T2 lesions
in the corona radiata, optic radiation, and splenium of the corpus callosum
(periventricularly) and T2 lesions in the right fronto-occipital fasciculus.
People with MS EDSS >3, compared with those with EDSS <=3, were more likely to
have optic radiation and left internal capsule T2 lesions. No significant
difference in lesion distribution was noted between RRMS and SPMS. CONCLUSION:
This work demonstrates that lesion location characteristics are associated with
CDMS and disability after long-term follow-up following a CIS. The lack of lesion
spatial distribution differences between RRMS and SPMS suggests focal pathology
affects similar regions in both subgroups.
PMID- 21878452
TI - Multiple sclerosis prevalence in Malaga, Southern Spain estimated by the capture
recapture method.
AB - BACKGROUND: Although not definitively proven, there is commonly accepted to be a
latitudinal gradient in the distribution of multiple sclerosis (MS), which is
more frequent in temperate zones. The European Mediterranean countries are
situated in a zone of median frequency, although ever increasing figures have
been noted in the last decades. OBJECTIVE: The objective of this study was to
assess the current prevalence rate of MS in the province of Malaga, Southern
Spain. METHODS: The capture-recapture method (CRM) uses independent sources of
data and permits the number of non-registered cases of a given disease to be
estimated, and by doing so, to avoid ascertainment bias. RESULTS: Use of this
method showed the estimated prevalence rate of MS in the province of Malaga,
Southern Spain, to be 125/10(5) (95% confidence interval: 102/10(5)-169/10(5)),
higher than the figures published previously. CONCLUSIONS: Although we recognize
that these data need to be confirmed in further studies and in other areas of the
country using a similar method, we believe this study is the first to find such
high figure of prevalence, being very similar to the figures reported in recent
years in other southern European countries.
PMID- 21878453
TI - Methylation-dependent PAD2 upregulation in multiple sclerosis peripheral blood.
AB - BACKGROUND: Peptidylarginine deiminase 2 (PAD2) and peptidylarginine deiminase 4
(PAD4) are two members of PAD family which are over-expressed in the multiple
sclerosis (MS) brain. Through its enzymatic activity PAD2 converts myelin basic
protein (MBP) arginines into citrullines - an event that may favour autoimmunity
while peptidylarginine deiminase 4 (PAD4) is involved in chromatin remodelling.
OBJECTIVES: Our aim was to verify whether an altered epigenetic control of PAD2,
as already shown in the MS brain, can be observed in peripheral blood mononuclear
cells (PBMCs) of patients with MS since some of these cells also synthesize MBP.
METHODS: The expression of most suitable reference genes and of PAD2 and PAD4 was
assessed by qPCR. Analysis of DNA methylation was performed by bisulfite method.
RESULTS: The comparison of PAD2 expression level in PBMCs from patients with MS
vs. healthy donors showed that, as well as in the white matter of MS patients,
the enzyme is significantly upregulated in affected subjects. Methylation pattern
analysis of a CpG island located in the PAD2 promoter showed that over-expression
is associated with promoter demethylation. CONCLUSION: Defective regulation of
PAD2 in the periphery, without the immunological shelter of the blood-brain
barrier, may contribute to the development of the autoimmune responses in MS.
PMID- 21878454
TI - A placebo-controlled, parallel-group, randomized withdrawal study of subjects
with symptoms of spasticity due to multiple sclerosis who are receiving long-term
Sativex(r) (nabiximols).
AB - BACKGROUND: Open-label studies are not ideal for providing robust evidence for
long-term maintenance of efficacy of medicines, especially where medicines
provide symptom relief and where long-term use of a placebo may be problematic
and not ethical. OBJECTIVE: To evaluate the maintenance of efficacy of Sativex in
subjects who have gained long-term symptomatic relief of spasticity in multiple
sclerosis (MS), and to assess the impact of sudden medicine withdrawal. METHODS:
An enriched enrolment randomized withdrawal study design was used. Eligible
subjects with ongoing benefit from Sativex for at least 12 weeks entered this 5
week placebo-controlled, parallel-group, randomized withdrawal study. Each
subjects' previous effective and tolerated dose was continued. RESULTS: A total
of 18 subjects per group were enrolled. Demographics showed a mean duration of MS
of 16.4 years, spasticity 12.7 years, mean duration of Sativex use of 3.6 years
(median 3.4 years) and a mean daily dose of 8.25 sprays. Primary outcome of time
to treatment failure was significantly in favour of Sativex (p = 0.013).
Secondary endpoints showed significant changes in the Carer and Subject's Global
Impression of Change scales in favour of Sativex. CONCLUSIONS: Maintenance of
Sativex efficacy in long-term symptomatic improvement of spasticity to a group of
subjects with MS has been confirmed using this study design.
PMID- 21878455
TI - Effect of BG-12 on contrast-enhanced lesions in patients with relapsing-
remitting multiple sclerosis: subgroup analyses from the phase 2b study.
AB - BACKGROUND: In a phase 2b study in patients with relapsing-remitting MS (RRMS),
BG-12 240 mg three times daily significantly reduced the number of new gadolinium
enhanced (Gd+) lesions from weeks 12 to 24 (primary end point) by 69% compared
with placebo. OBJECTIVE: In this analysis, the effect of BG-12 240 mg three times
daily on the number of Gd+ lesions from weeks 12 to 24 was evaluated in subgroups
based on baseline disease characteristics and demographics. METHODS: Two hundred
and fifty-seven patients were randomized equally to receive BG-12 (120 mg once
daily or three times daily or 240 mg three times daily) or placebo. RESULTS: BG
12 240 mg three times daily significantly reduced the number of new Gd+ lesions
compared with placebo in the following subgroups: Expanded Disability Status
Scale (EDSS) score <= 2.5 (74%), EDSS score > 2.5 (63%), no Gd+ lesions (80%), >=
1 Gd+ lesion (55%), age < 40 years (49%), age >= 40 years (89%), female patients
(81%), disease duration <= 6 years (81%) and disease duration > 6 years (54%)
(all comparisons p < 0.05). CONCLUSION: BG-12 demonstrated efficacy in patients
with RRMS by decreasing new Gd+ lesion development across a range of subgroups
defined by baseline disease characteristics or demographics.
PMID- 21878457
TI - From immunological tolerance to stem cell therapy and back: an interview with
Irving Weissman. Interview by Sarah Allan.
PMID- 21878458
TI - Newly identified aspects of tumor suppression by RB.
AB - The retinoblastoma (RB) tumor suppressor belongs to a cellular pathway that plays
a crucial role in restricting the G1-S transition of the cell cycle in response
to a large number of extracellular and intracellular cues. Research in the last
decade has highlighted the complexity of regulatory networks that ensure proper
cell cycle progression, and has also identified multiple cellular functions
beyond cell cycle regulation for RB and its two family members, p107 and p130.
Here we review some of the recent evidence pointing to a role of RB as a
molecular adaptor at the crossroads of multiple pathways, ensuring cellular
homeostasis in different contexts. In particular, we discuss the pro- and anti
tumorigenic roles of RB during the early stages of cancer, as well as the
importance of the RB pathway in stem cells and cell fate decisions.
PMID- 21878460
TI - On the power of the Cochran-Armitage test for trend in the presence of
misclassification.
AB - The Cochran-Armitage (CA) test is commonly used in both epidemiology and genetics
to test for linear trend in two-way tables with a binary outcome. There has been
increasing interest in the power and size of the test and in determination of
sample size, especially when there is potential misclassification in the
'exposure' category. This article provides a unified approach to determination of
the power function over different sampling strategies (fixed overall sample size
or fixed marginal sample sizes) and allowing for misclassification in one or both
variables. The misclassification may be either differential or non-differential.
In addition to the standard CA test, results are also given which provide some
insight into the performance of the modified CA test, which utilizes a standard
error obtained without invoking the null hypothesis. Even without
misclassification, some new expressions are also obtained for determining power
with a fixed overall sample size. Numerical illustrations are presented with an
emphasis on the more commonly occurring problem of misclassification in the
exposure category.
PMID- 21878461
TI - Disproportionality methods for pharmacovigilance in longitudinal observational
databases.
AB - Data mining disproportionality methods (PRR, ROR, EBGM, IC, etc.) are commonly
used to identify drug safety signals in spontaneous report system (SRS)
databases. Newer data sources such as longitudinal observational databases (LOD)
provide time-stamped patient-level information and overcome some of the SRS
limitations such as an absence of the denominator, total number of patients who
consume a drug, and limited temporal information. Application of the
disproportionality methods to LODs has not been widely explored. The scale of the
LOD data provides an interesting computational challenge. Larger health claims
databases contain information on more than 50 million patients and each patient
has records for up to 10 years. In this article we systematically explore the
application of commonly used disproportionality methods to simulated and real LOD
data.
PMID- 21878459
TI - Down syndrome: searching for the genetic culprits.
AB - Down syndrome (DS) is caused by trisomy of human chromosome 21 (Hsa21) and
results in a large number of phenotypes, including learning difficulties, cardiac
defects, distinguishing facial features and leukaemia. These are likely to result
from an increased dosage of one or more of the ~310 genes present on Hsa21. The
identification of these dosage-sensitive genes has become a major focus in DS
research because it is essential for a full understanding of the molecular
mechanisms underlying pathology, and might eventually lead to more effective
therapy. The search for these dosage-sensitive genes is being carried out using
both human and mouse genetics. Studies of humans with partial trisomy of Hsa21
have identified regions of this chromosome that contribute to different
phenotypes. In addition, novel engineered mouse models are being used to map the
location of dosage-sensitive genes, which, in a few cases, has led to the
identification of individual genes that are causative for certain phenotypes.
These studies have revealed a complex genetic interplay, showing that the diverse
DS phenotypes are likely to be caused by increased copies of many genes, with
individual genes contributing in different proportions to the variance in
different aspects of the pathology.
PMID- 21878462
TI - Multiplicity of data in trial reports and the reliability of meta-analyses:
empirical study.
AB - OBJECTIVES: To examine the extent of multiplicity of data in trial reports and to
assess the impact of multiplicity on meta-analysis results. DESIGN: Empirical
study on a cohort of Cochrane systematic reviews. DATA SOURCES: All Cochrane
systematic reviews published from issue 3 in 2006 to issue 2 in 2007 that
presented a result as a standardised mean difference (SMD). We retrieved trial
reports contributing to the first SMD result in each review, and downloaded
review protocols. We used these SMDs to identify a specific outcome for each meta
analysis from its protocol. Review methods Reviews were eligible if SMD results
were based on two to ten randomised trials and if protocols described the
outcome. We excluded reviews if they only presented results of subgroup analyses.
Based on review protocols and index outcomes, two observers independently
extracted the data necessary to calculate SMDs from the original trial reports
for any intervention group, time point, or outcome measure compatible with the
protocol. From the extracted data, we used Monte Carlo simulations to calculate
all possible SMDs for every meta-analysis. RESULTS: We identified 19 eligible
meta-analyses (including 83 trials). Published review protocols often lacked
information about which data to choose. Twenty-four (29%) trials reported data
for multiple intervention groups, 30 (36%) reported data for multiple time
points, and 29 (35%) reported the index outcome measured on multiple scales. In
18 meta-analyses, we found multiplicity of data in at least one trial report; the
median difference between the smallest and largest SMD results within a meta
analysis was 0.40 standard deviation units (range 0.04 to 0.91). CONCLUSIONS:
Multiplicity of data can affect the findings of systematic reviews and meta
analyses. To reduce the risk of bias, reviews and meta-analyses should comply
with prespecified protocols that clearly identify time points, intervention
groups, and scales of interest.
PMID- 21878463
TI - Medtronic submits full data on spinal protein to independent scrutiny.
PMID- 21878464
TI - Extent and determinants of patients' unvoiced needs.
AB - Patients with issues or health problems usually plan to discuss their concerns
with their health care providers. If these concerns were not presented or voiced
during the health care provider-patient encounter, the patients are considered to
have unvoiced needs. This article examines the extent and possible determinants
of patients' unvoiced needs in an outpatient setting. A cross-sectional study was
conducted in 5 Ministry of Health Malaysia primary health facilities throughout
the country. Of 1829 who participated, 5 did not respond to the question on
planned issues. Of the 1824 respondents, 57.9% (95% confidence interval = 47.1
68.7) claimed to have issues/problems they planned to share, of whom 15.1% to
26.7% had unvoiced needs. Extent of unvoiced needs differed by employment status,
perceived category of health care provider, and study center. Perceived category
of health care provider, method of questionnaire administration, and study center
were the only significant determinants of unvoiced needs. Unvoiced needs do exist
in Malaysia and there is a need for health care providers to be aware and take
steps to counter this.
PMID- 21878465
TI - The effects of office ergonomic training on musculoskeletal complaints, sickness
absence, and psychological well-being: a cluster randomized control trial.
AB - This study explored whether musculoskeletal complaints can be reduced by the
provision of ergonomics education. A cluster randomized controlled trial study
was conducted in which 3 units were randomized to intervention and received
training and 3 units were given a leaflet. The effect of intervention on
knowledge, workstation practices, musculoskeletal complaints, sickness absence,
and psychological well-being were assessed at 6 and 12 months. Although there was
no increment of knowledge among workers, significant improvements in workstation
practices in the use of monitor, keyboard, and chair were observed. There were
significant reductions in neck and upper and lower back complaints among workers
but these did not translate into fewer days lost from work. Workers' stress was
found to be significantly reduced across the studies. In conclusion, office
ergonomics training can be beneficial in reducing musculoskeletal risks and
stress among workers.
PMID- 21878466
TI - Personal maternal care reflections of general practice physicians.
AB - BACKGROUND: As the number of female GPs increases, the need to understand
delivery of maternity care to this group becomes increasingly important. Previous
literature suggests doctors face additional barriers in utilizing health care
compared to other patients, but little is known about GPs' maternal health care
experiences and whether their occupation facilitates or compromises care.
OBJECTIVE: To explore female GPs' personal experiences of maternal health care
and how occupation affects care received. METHODS: Female GPs with children aged
6 months to 5 years were recruited from South Birmingham Primary Care Trust with
subsequent snowballing. Data were obtained using semi-structured interviews and
constant comparison analysis was applied to develop themes and categories.
RESULTS: Fourteen GPs participated and no new themes emerged after interview 10
suggesting data saturation was achieved. Overall, GPs felt they received better
care due to their occupation and where established relationships between the GP
and the health care professional existed, communication and care satisfaction was
enhanced. However, assumptions about knowledge led to reduced information
provision and some problems in care provision, especially during labour and early
motherhood when women were most vulnerable and unable to rely on work skills to
address information deficits. CONCLUSION: This research supports the growing body
of evidence that there are unique problems facing doctor-patients and clinicians
treating them. However, contrary to expectations derived from anecdotal evidence,
GPs indicated that care was enhanced due to their occupation. This study raises
awareness of areas for consideration when female GPs approach pregnancy and
health care professionals deliver maternal health care.
PMID- 21878467
TI - Routinely recorded patient safety events in primary care: a literature review.
AB - BACKGROUND: Existing patient-level data can be used to measure and monitor
patient safety. Data from sources including electronic patient records are
routinely collected in primary care and may be suitable for adverse event
screening, such as patient safety indicators. To inform the feasibility of
developing primary care measures of patient harm, information about routinely
collected data is needed. OBJECTIVE: A literature review was conducted to
determine the types of adverse events that are routinely recorded in primary
care. METHODS: We searched ASSIA, Cochrane Library, Embase, HMIC, ISI Web of
Science, Medline and PsycInfo databases, grey literature and websites. We
included only original research studies in English where routinely collected
patient data were used to identify adverse events occurring in primary or
ambulatory care settings. Adverse events were defined as unexpected and
undesirable patient outcomes arising from health care contact. RESULTS: Of 5029
citations identified, 15 were reviewed. Twelve studies used multiple data
sources. Approximately 6.5% of adult emergency admissions were due to drug
related events (n = 1225). Between 0.7% and 2.3% of deaths following adverse
events were attributed to treatment in primary care. A large proportion of
adverse events resulting in the most severe harm may be preventable. For example,
one study estimated that 42% of serious adverse drug events were avoidable.
CONCLUSIONS: There is limited use of routinely collected data to measure adverse
events in primary care despite large volumes of data generated. The potential for
using readily available data recorded in primary care for active patient safety
surveillance needs further exploration.
PMID- 21878468
TI - Perceptions of quality of life and disability in homeless persons with
schizophrenia and persons with schizophrenia living in non-institutional housing.
AB - BACKGROUND: Homelessness is common in persons with schizophrenia. It is unclear
how housing conditions and homelessness affect their quality of life and their
disability. AIMS: To explore the self-perceived quality of life and disability of
homeless persons with schizophrenia and of those of persons with schizophrenia
living in non-institutional housing. METHODS: Seventy-six not-homeless and 50
homeless persons with schizophrenia were assessed using the World Health
Organization's Quality of Life - short version (WHOQOL-Bref) and Disability
Assessment Schedule (WHODAS-II). Univariate comparisons of the two groups were
made for sociodemographic variables, clinical characteristics, perceived quality
of life and disability. A regression model was used to adjust for potential
confounding factors between quality of life, disability and housing. RESULTS:
After controlling for age, gender, marital status and age of first hospital
admission, homeless persons had more positive scores for the quality of life
domain 'health', for the disability domain 'getting along with people' and for
the total disability score than persons in non-institutional housing. CONCLUSION:
Contrary to our expectations, the persons in non-institutional housing reported a
lower quality of life and more disability than the homeless people. Future
research should clarify whether non-institutional housing in and of itself can
improve the well-being of people with schizophrenia.
PMID- 21878469
TI - Jail and prison overcrowding and rehabilitative justice programs.
PMID- 21878470
TI - Effect of DISC1 on the P300 waveform in psychosis.
AB - INTRODUCTION: Abnormalities in the neurophysiological measures P300 amplitude and
latency constitute endophenotypes for psychosis. Disrupted-in-Schizophrenia-1
(DISC1) has been proposed as a promising susceptibility gene for schizophrenia,
and a previous study has suggested that it is associated with P300 deficits in
schizophrenia. METHODS: We examined the role of variation in DISC1 polymorphisms
on the P300 endophenotype in a large sample of patients with schizophrenia or
psychotic bipolar disorder (n = 149), their unaffected relatives (n = 130), and
unrelated healthy controls (n = 208) using linear regression and haplotype
analysis. RESULTS: Significant associations between P300 amplitude and latency
and DISC1 polymorphisms/haplotypes were found. Those homozygous for the A allele
of single-nucleotide polymorphism (SNP) rs821597 displayed significantly reduced
P300 amplitudes in comparison with homozygous for the G allele (P = .009) and the
heterozygous group (P = .018). Haplotype analysis showed a significant
association for DISC1 haplotypes
(rs3738401|rs6675281|rs821597|rs821616|rs967244|rs980989) and P300 latency.
Haplotype GCGTCG and ACGTTT were associated with shorter latencies. DISCUSSION:
The P300 waveform appears to be modulated by variation in individual SNPs and
haplotypes of DISC1. Because DISC1 is involved in neurodevelopment, one
hypothesis is that disruption in neural connectivity impairs cognitive processes
illustrated by P300 deficits observed in this sample.
PMID- 21878472
TI - Primary renal MALT lymphoma presenting with cryoglobulinaemia.
AB - Primary renal lymphoma is a rare clinicopathologic entity that typically presents
as renal mass or renal impairment with enlarged kidneys. We describe the case of
a 66-year-old woman who presented with type II mixed cryoglobulinaemic vasculitis
as the first manifestation of underlying low-grade primary renal lymphoma.
PMID- 21878471
TI - Phylogeny estimation of the radiation of western North American chipmunks
(Tamias) in the face of introgression using reproductive protein genes.
AB - The causes and consequences of rapid radiations are major unresolved issues in
evolutionary biology. This is in part because phylogeny estimation is confounded
by processes such as stochastic lineage sorting and hybridization. Because these
processes are expected to be heterogeneous across the genome, comparison among
marker classes may provide a means of disentangling these elements. Here we use
introns from nuclear-encoded reproductive protein genes expected to be resistant
to introgression to estimate the phylogeny of the western chipmunks (Tamias:
subgenus: Neotamias), a rapid radiation that has experienced introgressive
hybridization of mitochondrial DNA (mtDNA). We analyze the nuclear loci using
coalescent-based species-tree estimation methods and concatenation to estimate a
species tree and we use parametric bootstraps and coalescent simulations to
differentiate between phylogenetic error, coalescent stochasticity and
introgressive hybridization. Results indicate that the mtDNA gene tree reflects
several introgression events that have occurred between taxa of varying levels of
divergence and at different time points in the tree. T. panamintinus and T.
speciosus appear to be fixed for ancient mitochondrial introgressions from T.
minimus. A southern Rocky Mountains clade appears well sorted (i.e., species are
largely monophyletic) at multiple nuclear loci, while five of six taxa are
nonmonophyletic based on cytochrome b. Our simulations reject phylogenetic error
and coalescent stochasticity as causes. The results represent an advance in our
understanding of the processes at work during the radiation of Tamias and suggest
that sampling reproductive-protein genes may be a viable strategy for phylogeny
estimation of rapid radiations in which reproductive isolation is incomplete.
However, a genome-scale survey that can statistically compare heterogeneity of
genealogical process at many more loci will be necessary to test this conclusion.
PMID- 21878473
TI - Depression and outcomes of dialysis patients.
PMID- 21878474
TI - Inequalities in rates of renal replacement therapy in England: does it matter who
you are or where you live?
AB - Introduction. This study explores the geographical variation in renal replacement
therapy (RRT) incidence and prevalence after adjusting for general population
socio-demographics, renal unit treatment patterns and travel times. METHODS: The
UK Renal Registry provided data on all patients in England commencing RRT in 2007
and receiving RRT on 31 December 2007. Multilevel Poisson regression models were
constructed separately for incidence and prevalence. Geographical Information
Systems software enabled estimation of road travel times and renal unit catchment
areas. Small area estimates of RRT prevalence were produced for all 354 local
authority districts. RESULTS: Adjusted RRT incidence rates were 1.4 (95%
confidence interval 1.2-1.6) times higher in the most deprived areas and 1.7 (1.5
2.0) and 1.5 (1.3-1.7) times higher in areas with most Black and South Asian
inhabitants (10+%), respectively. The proportion of a centre's patients on
haemodialysis or transplanted were positively associated with RRT incidence (not
prevalence); numbers of satellite units were negatively associated with RRT
incidence (not prevalence). While only 3% of patients lived >30 min from a
dialysis unit, there was an effect of travel time on RRT rates; individuals
living 45+ min from a dialysis unit were 20% less likely to commence or receive
RRT than those living within 15 min (Ptrend=0.36 and Ptrend<0.001, respectively).
A 4-fold variation in adjusted local authority district RRT prevalence rates
could not be explained. CONCLUSION: Expansion of renal unit facilities in England
has reduced travel times in most areas though the possibility of inequitable
geographic access to RRT persists.
PMID- 21878475
TI - On the impossibility to translate experience and the role of patient narratives
in dialysis choices.
PMID- 21878477
TI - Solitary fibrous tumor of the central nervous system: report of an additional 5
cases with comprehensive literature review.
AB - Solitary fibrous tumor (SFT) of the central nervous system was first described in
1996. A number of cases have been reported since. The authors present 5 new
cases: 4 intracranial and 1 intraspinal. All patients were adults (age range, 47
to 75 years); 4 were male and 1 female; 4 cases were primary tumors; and 1 was a
second tumor recurrence. All patients were surgically treated with gross total
removal. All cases were histologically examined with immunohistochemical
confirmation; 2 tumors exhibited diffuse classic histology, 1 tumor was a
cellular variant, 1 tumor was myxoid, and 1 was predominantly classic with focal
myxoid features and focally pleomorphic. The postoperative course was uneventful
in all. The patient with the cellular variant experienced 2 local recurrences and
eventually died of disease 10 years after the initial diagnosis. The patient with
the myxoid variant--the tumor studied--which was the second recurrence of a
previously misdiagnosed fibrous meningioma surgically treated 15 years earlier,
had a recurrence after 2 years for the third time and eventually died of disease.
Three patients are alive and well 11.6, 6, and 4 years after surgery. SFT is a
rare tumor that needs to be differentiated from some mimickers, mainly fibrous
meningioma, hemangiopericytoma, and with regard to the myxoid variant, also adult
onset myxochordoid meningioma and myxoid peripheral nerve sheath tumor.
Immunohistochemistry is crucial for the correct diagnosis of SFT. The authors
also performed a review of the literature and found a little more than 200 cases
on record.
PMID- 21878476
TI - Posttranscriptional regulation of expression of plasminogen activator inhibitor
type-1 by cAMP in HepG2 liver cells.
AB - Altered expression of plasminogen activator inhibitor type-1 (PAI-1), a
physiologic fibrinolysis inhibitor, is implicated in atherosclerosis. Cyclic
adenosine monophosphate (cAMP) alters PAI-1 expression in several cells.
Nevertheless, posttranscriptional regulation of PAI-1 has not been elucidated. To
determine whether cAMP affects PAI-1 expression at posttranscriptional level, we
determined promoter activity, mRNA levels, 3'-untranslated region (UTR) activity
and protein levels of PAI-1 using HepG2 cells. cAMP decreased PAI-1 promoter
activity at 24 h and mRNA expression at 4 h while it increased mRNA expression
and accumulation of PAI-1 protein into media at 24 h. Human PAI-1 mRNA exists in
two subspecies (3.2 and 2.2 kb), and cAMP increased baseline luciferase activity
of 3'-UTR of the 3.2 kb PAI-1 mRNA [3'-UTR (+1358-3176)] and 1 kb fragment of 3'
terminus of 3'-UTR of 3.2 kb mRNA [3'-UTR (+2177-3176)]. cAMP increased PAI-1
protein expression despite decrease in promoter activity, presumably by
regulating PAI-1 expression at the posttranscriptional level and thereby
affecting mRNA stability. The 53-nt fragment in 3'-UTR (+2591 to +2643 nt) was
involved in posttranscriptional regulation by cAMP. Thus, cAMP can stabilize 3.2
kb PAI-1 mRNA mediated by specific effects on 3'-UTR, and these effects are
associated with increased expression of PAI-1 protein.
PMID- 21878478
TI - Correcting the persistently abducted little finger using a distally based
extensor digiti minimi tendon.
PMID- 21878479
TI - A modified technique to reconstruct the scapholunate ligament using a slip of
flexor carpi radialis tendon.
PMID- 21878480
TI - Friction neuropathy of ulnar digital nerve in a writer's thumb which was
successfully treated with corticosteroid injection.
PMID- 21878481
TI - Is liver SUV stable over time in 18F-FDG PET imaging?
AB - This work investigated whether (18)F-FDG PET standardized uptake value (SUV) is
stable over time in the normal human liver. METHODS: The SUV-versus-time curve,
SUV(t), of (18)F-FDG in the normal human liver was derived from a kinetic model
analysis. This derivation involved mean values of (18)F-FDG liver metabolism that
were obtained from a patient series (n = 11), and a noninvasive population-based
input function was used in each individual. RESULTS: Mean values (+/-95%
reliability limits) of the (18)F-FDG uptake and release rate constant and of the
fraction of free tracer in blood and interstitial volume were as follows: K =
0.0119 mL.min(-1).mL(-1) (+/-0.0012), k(R) = 0.0065.min(-1) (+/-0.0009), and F =
0.21 mL.mL(-1) (+/-0.11), respectively. SUV(t) (corrected for (18)F physical
decay) was derived from these mean values, showing that it smoothly peaks at 75
80 min on average after injection and that it is within 5% of the peak value
between 50 and 110 min after injection. CONCLUSION: In the normal human liver,
decay-corrected SUV(t) remains nearly constant (with a reasonable +/-2.5%
relative measurement uncertainty) if the time delay between tracer injection and
PET acquisition is in the range of 50-110 min. In current clinical practice, the
findings suggest that SUV of the normal liver can be used for comparison with SUV
of suspected malignant lesions, if comparison is made within this time range.
PMID- 21878482
TI - Association of spinal muscle composition and prevalence of hyperkyphosis in
healthy community-dwelling older men and women.
AB - BACKGROUND: Older adults with hyperkyphosis are at increased risk of falls,
fractures, and functional decline. Modifiable risk factors for hyperkyphosis have
not been well studied. Our objective was to determine whether spinal muscle area
and density are associated with hyperkyphosis, independent of age, race, sex,
bone mineral density, and trunk fat. METHODS: Using data from the Pittsburgh site
of the Health, Aging, and Body Composition study, we performed a baseline cross
sectional analysis. Participants were black and white men and women 70-79 years
old (N = 1172), independent in activities of daily living and able to walk
¼ mile and up 10 steps without resting. We measured Cobb's angle of
kyphosis from supine lateral scout computed tomography scans, and categorized
hyperkyphosis as Cobb's angle >40 degrees . Axial images from lateral scout
computed tomography scans assessed spinal extensor muscle cross-sectional area
and density (proxy for fat infiltration). RESULTS: In our sample, 21% had
hyperkyphosis. Prevalence in black men was 11%; in white men, 17%; in black
women, 26%; and in white women, 30%. In multivariate analysis, each standard
deviation increase in muscle density was associated with a 29% reduction in the
odds of hyperkyphosis, independent of covariates. Muscle area was not
significantly associated with hyperkyphosis. CONCLUSIONS: Lower spinal muscle
density is associated with hyperkyphosis in healthy community-dwelling older
adults. This potentially modifiable risk factor could be targeted in exercise
interventions. Randomized trials are needed to determine whether an exercise
program targeting spinal muscle density reduces hyperkyphosis and in turn
improves health outcomes.
PMID- 21878483
TI - Laterality patterns of brain functional connectivity: gender effects.
AB - Lateralization of brain connectivity may be essential for normal brain function
and may be sexually dimorphic. Here, we study the laterality patterns of short
range (implicated in functional specialization) and long-range (implicated in
functional integration) connectivity and the gender effects on these laterality
patterns. Parallel computing was used to quantify short- and long-range
functional connectivity densities in 913 healthy subjects. Short-range
connectivity was rightward lateralized and most asymmetrical in areas around the
lateral sulcus, whereas long-range connectivity was rightward lateralized in
lateral sulcus and leftward lateralizated in inferior prefrontal cortex and
angular gyrus. The posterior inferior occipital cortex was leftward lateralized
(short- and long-range connectivity). Males had greater rightward lateralization
of brain connectivity in superior temporal (short- and long-range), inferior
frontal, and inferior occipital cortices (short-range), whereas females had
greater leftward lateralization of long-range connectivity in the inferior
frontal cortex. The greater lateralization of the male's brain (rightward and
predominantly short-range) may underlie their greater vulnerability to disorders
with disrupted brain asymmetries (schizophrenia, autism).
PMID- 21878484
TI - Similarity-based extraction of individual networks from gray matter MRI scans.
AB - The characterization of gray matter morphology of individual brains is an
important issue in neuroscience. Graph theory has been used to describe cortical
morphology, with networks based on covariation of gray matter volume or thickness
between cortical areas across people. Here, we extend this research by proposing
a new method that describes the gray matter morphology of an individual cortex as
a network. In these large-scale morphological networks, nodes represent small
cortical regions, and edges connect regions that have a statistically similar
structure. The method was applied to a healthy sample (n = 14, scanned at 2
different time points). For all networks, we described the spatial degree
distribution, average minimum path length, average clustering coefficient, small
world property, and betweenness centrality (BC). Finally, we studied the
reproducibility of all these properties. The networks showed more clustering than
random networks and a similar minimum path length, indicating that they were
"small world." The spatial degree and BC distributions corresponded closely to
those from group-derived networks. All network property values were reproducible
over the 2 time points examined. Our results demonstrate that intracortical
similarities can be used to provide a robust statistical description of
individual gray matter morphology.
PMID- 21878486
TI - Evidence that microglia mediate the neurobiological effects of chronic
psychological stress on the medial prefrontal cortex.
AB - Psychological stress contributes to the development of clinical depression. This
has prompted many preclinical studies to investigate the neurobiology of this
relationship, however, the effects of stress on glia remain unclear. In this
study, we wished to determine, first, how exposure to chronic psychological
stress affects microglial activity within the prefrontal cortex (PFC) and,
second, whether the observed changes were meaningfully related to corresponding
changes in local neuronal activity and PFC-regulated behavior. Therefore, we
examined markers of microglial activation, antigen presentation, apoptosis, and
persistent neuronal activation within the PFC after exposure to repeated
restraint stress. We also examined the effect of stress on spatial working
memory, a PFC-dependent function. Finally, we tested the ability of a microglial
activation inhibitor (minocycline) to alter the impact of chronic stress on all
of these endpoints. Stressor exposure produced positively correlated increases in
microglial and long-term neuronal activation in the PFC but not antigen
presentation or apoptosis. As expected, it also impaired spatial working memory.
Importantly, minocycline reduced the impact of stress on neuronal activation and
working memory, as well as microglial activation. These results suggest a role
for microglia in mediating the effects of stress on PFC neuronal function and PFC
regulated behavior.
PMID- 21878485
TI - Transgenic overexpression of the type I isoform of neuregulin 1 affects working
memory and hippocampal oscillations but not long-term potentiation.
AB - Neuregulin 1 (NRG1) is a growth factor involved in neurodevelopment and
plasticity. It is a schizophrenia candidate gene, and hippocampal expression of
the NRG1 type I isoform is increased in the disorder. We have studied transgenic
mice overexpressing NRG1 type I (NRG1(tg-type I)) and their wild-type littermates
and measured hippocampal electrophysiological and behavioral phenotypes. Young
NRG1(tg-type I) mice showed normal memory performance, but in older NRG1(tg-type
I) mice, hippocampus-dependent spatial working memory was selectively impaired.
Hippocampal slice preparations from NRG1(tg-type I) mice exhibited a reduced
frequency of carbachol-induced gamma oscillations and an increased tendency to
epileptiform activity. Long-term potentiation in NRG1(tg-type I) mice was normal.
The results provide evidence that NRG1 type I impacts on hippocampal function and
circuitry. The effects are likely mediated via inhibitory interneurons and may be
relevant to the involvement of NRG1 in schizophrenia. However, the findings, in
concert with those from other genetic and pharmacological manipulations of NRG1,
emphasize the complex and pleiotropic nature of the gene, even with regard to a
single isoform.
PMID- 21878487
TI - CoREST/LSD1 control the development of pyramidal cortical neurons.
AB - The development of a neuron from a precursor cell comprises a complex set of
steps ranging from regulation of the proliferative cycle through the acquisition
of distinct morphology and functionality. How these processes are orchestrated is
largely unknown. Using in utero manipulation of gene expression in the mouse
embryonic cerebral cortex, we found that the transition between multipolar and
bipolar stages of newborn cortical pyramidal neurons is markedly delayed by
depletion of CoREST, a corepressor component of chromatin remodeling complexes.
This profoundly affects the onset of their radial migration. The loss of CoREST
function also perturbs the dynamics of neuronal precursor cell populations,
transiently increasing the fraction of cells remaining in progenitor states, but
not the acquisition of the neuronal glutamatergic fate of pyramidal cells. The
function of CoREST in these processes appears to be independent of its best-known
interactor, the RE-1 silencer of transcription/neural restrictive silencing
factor, and requires the histone demethylase LSD1. This reveals the importance of
epigenetic control in the execution of neural development programs, specifically
in the cerebral cortex.
PMID- 21878489
TI - Comparison of quantities: core and format-dependent regions as revealed by fMRI.
AB - The perception and handling of numbers is central to education. Numerous imaging
studies have focused on how quantities are encoded in the brain. Yet, only a few
studies have touched upon number mining: the ability to extract the magnitude
encoded in a visual stimulus. This article aims to characterize how analogue
(i.e., disks and dots) and symbolic (i.e., positive and negative integers)
formats influence number mining and the representation of quantities. Sixteen
adult volunteers completed a comparison task while we recorded the blood oxygen
level-dependent response using functional magnetic resonance imaging. The results
revealed that a restricted set of specific subdivisions in the right
intraparietal sulcus is activated in all conditions. With respect to magnitude
assessment, the results show that 1) analogue stimuli are predominantly processed
in the right hemisphere and that 2) symbolic stimuli encompass the analogue
system and further recruit areas in the left hemisphere. Crucially, we found that
polarity is encoded independently from magnitude. We refine the triple-code model
by integrating our findings.
PMID- 21878488
TI - Hemispheric specialization for movement control produces dissociable differences
in online corrections after stroke.
AB - In this study, we examine whether corrections made during an ongoing movement are
differentially affected by left hemisphere damage (LHD) and right hemisphere
damage (RHD). Our hypothesis of motor lateralization proposes that control
mechanisms specialized to the right hemisphere rely largely on online processes,
while the left hemisphere primarily utilizes predictive mechanisms to specify
optimal coordination patterns. We therefore predict that RHD, but not LHD, should
impair online correction when task goals are unexpectedly changed. Fourteen
stroke subjects (7 LHD, 7 RHD) and 14 healthy controls reached to 1 of the 3
targets that unexpectedly "jumped" during movement onset. RHD subjects showed a
considerable delay in initiating the corrective response relative to controls and
LHD subjects. However, both stroke groups made large final position errors on the
target jump trials. Position deficits following LHD were associated with poor
intersegmental coordination, while RHD subjects had difficulty terminating their
movements appropriately. These findings confirm that RHD, but not LHD, produces a
deficit in the timing of online corrections and also indicate that both stroke
groups show position deficits that are related to the specialization of their
damaged hemisphere. Further research is needed to identify specific neural
circuits within each hemisphere critical for these processes.
PMID- 21878490
TI - ERBIN is a new SARA-interacting protein: competition between SARA and SMAD2 and
SMAD3 for binding to ERBIN.
AB - SARA, an early endosomal protein, plays a key role in TGFbeta signalling, as it
presents SMAD2 and SMAD3 for phosphorylation by the activated TGFbeta receptors.
Here, we show that ERBIN is a new SARA-interacting protein that can be recruited
by SARA to early endosomes. ERBIN was recently shown to bind and segregate
phosphorylated SMAD2 and SMAD3 (SMAD2/3) in the cytoplasm, thereby inhibiting
SMAD2/3-dependent transcription. SARA binds to ERBIN using a new domain, which we
have called the ERBID (ERBIN-binding domain), whereas ERBIN binds to SARA using a
domain (amino acids 1208-1265) that also interacts with SMAD2 and SMAD3, which we
have called the SSID (SARA- and SMAD-interacting domain). We additionally show
that SARA competes with SMAD2/3 for binding to ERBIN. In agreement,
overexpression of SARA or the ERBID peptide reverses the inhibitory effect of
ERBIN on SMAD2/3-dependent transcription. Taken together, these data suggest that
the response of cells to TGFbeta and activin A can be influenced by the relative
concentrations of SARA, ERBIN and SMAD2/3.
PMID- 21878491
TI - Identification of SH2B1beta as a focal adhesion protein that regulates focal
adhesion size and number.
AB - The adaptor protein SH2B1beta participates in regulation of the actin
cytoskeleton during processes such as cell migration and differentiation. Here,
we identify SH2B1beta as a new focal adhesion protein. We provide evidence that
SH2B1beta is phosphorylated in response to phorbol 12-myristate 13-acetate (PMA)
induced protein kinase C (PKC) activation and show that PMA induces a rapid
redistribution of SH2B1beta out of focal adhesions. We also show that growth
hormone (GH) increases cycling of SH2B1beta into and out of focal adhesions.
Ser161 and Ser165 in SH2B1beta fall within consensus PKC substrate motifs.
Mutating these two serine residues into alanine residues abrogates PMA-induced
redistribution of SH2B1beta out of focal adhesions, decreases SH2B1beta cycling
into and out of focal adhesions in control and GH-stimulated cells, and increases
the size of focal adhesions. By contrast, mutating Ser165 into a glutamate
residue decreases the amount of SH2B1beta in focal adhesions and increases the
number of focal adhesions per cell. These results suggest that activation of PKC
regulates SH2B1beta focal adhesion localization through phosphorylation of Ser161
and/or Ser165. The finding that phosphorylation of SH2B1beta increases the number
of focal adhesions suggests a mechanism for the stimulatory effect on cell
motility of SH2B1beta.
PMID- 21878494
TI - Revolution II--you better change your mind instead. By Caveman.
PMID- 21878492
TI - Adipophilin regulates maturation of cytoplasmic lipid droplets and alveolae in
differentiating mammary glands.
AB - Milk lipids originate by secretion of triglyceride-rich cytoplasmic lipid
droplets (CLDs) from mammary epithelial cells. Adipophilin (ADPH)/Plin2, a member
of the perilipin family of CLD binding proteins, is hypothesized to regulate CLD
production in these cells during differentiation of the mammary gland into a
secretory organ. We tested this hypothesis by comparing CLD accumulation in
differentiating mammary glands of wild-type and ADPH-deficient mice. ADPH
deficiency did not prevent CLD formation; however, it disrupted the increase in
CLD size that normally occurs in differentiating mammary epithelial cells.
Failure to form large CLDs in ADPH-deficient mice correlated with localization of
adipose triglyceride lipase (ATGL) to the CLD surface, suggesting that ADPH
promotes CLD growth by inhibiting lipolytic activity. Significantly, mammary
alveoli also failed to mature in ADPH-deficient mice, and pups born to these mice
failed to survive. The possibility that CLD accumulation and alveolar maturation
defects in ADPH-deficient mice are functionally related was tested by in vivo
rescue experiments. Transduction of mammary glands of pregnant ADPH-deficient
mice with adenovirus encoding ADPH as an N-terminal GFP fusion protein prevented
ATGL from localizing to CLDs and rescued CLD size and alveolar maturation
defects. Collectively, these data provide direct in vivo evidence that ADPH
inhibition of ATGL-dependent lipolysis is required for normal CLD accumulation
and alveolar maturation during mammary gland differentiation. We speculate that
impairing CLD accumulation interferes with alveolar maturation and lactation by
disrupting triglyceride homeostasis in mammary epithelial cells.
PMID- 21878493
TI - Importance of PKCdelta signaling in fractionated-radiation-induced expansion of
glioma-initiating cells and resistance to cancer treatment.
AB - Brain tumors frequently recur or progress as focal masses after treatment with
ionizing radiation. However, the mechanisms underlying the repopulation of tumor
cells after radiation have remained unclear. In this study, we show that cellular
signaling from Abelson murine leukemia viral oncogene homolog (Abl) to protein
kinase Cdelta (PKCdelta) is crucial for fractionated-radiation-induced expansion
of glioma-initiating cell populations and acquisition of resistance to anticancer
treatments. Treatment of human glioma cells with fractionated radiation increased
Abl and PKCdelta activity, expanded the CD133-positive (CD133(+)) cell population
that possesses tumor-initiating potential and induced expression of glioma stem
cell markers and self-renewal-related proteins. Moreover, cells treated with
fractionated radiation were resistant to anticancer treatments. Small interfering
RNA (siRNA)-mediated knockdown of PKCdelta expression blocked fractionated
radiation-induced CD133(+) cell expansion and suppressed expression of glioma
stem cell markers and self-renewal-related proteins. It also suppressed
resistance of glioma cells to anticancer treatments. Similarly, knockdown of Abl
led to a decrease in CD133(+) cell populations and restored chemotherapeutic
sensitivity. It also attenuated fractionated-radiation-induced PKCdelta
activation, suggesting that Abl acts upstream of PKCdelta. Collectively, these
data indicate that fractionated radiation induces an increase in the glioma
initiating cell population, decreases cellular sensitivity to cancer treatment
and implicates activation of Abl-PKCdelta signaling in both events. These
findings provide insights that might prove pivotal in the context of ionising
radiation-based therapeutic interventions for brain tumors.
PMID- 21878495
TI - Imaging molecular dynamics in vivo--from cell biology to animal models.
AB - Advances in fluorescence microscopy have enabled the study of membrane diffusion,
cell adhesion and signal transduction at the molecular level in living cells
grown in culture. By contrast, imaging in living organisms has primarily been
restricted to the localization and dynamics of cells in tissues. Now, imaging of
molecular dynamics is on the cusp of progressing from cell culture to living
tissue. This transition has been driven by the understanding that the
microenvironment critically determines many developmental and pathological
processes. Here, we review recent progress in fluorescent protein imaging in vivo
by drawing primarily on cancer-related studies in mice. We emphasize the need for
techniques that can be easily combined with genetic models and complement
fluorescent protein imaging by providing contextual information about the
cellular environment. In this Commentary we will consider differences between in
vitro and in vivo experimental design and argue for an approach to in vivo
imaging that is built upon the use of intermediate systems, such as 3-D and
explant culture models, which offer flexibility and control that is not always
available in vivo. Collectively, these methods present a paradigm shift towards
the molecular-level investigation of disease and therapy in animal models of
disease.
PMID- 21878496
TI - The Aurora kinase Ipl1 is necessary for spindle pole body cohesion during budding
yeast meiosis.
AB - In budding yeast, the microtubule-organizing center is called the spindle pole
body (SPB) and shares structural components with the centriole, the central core
of the animal centrosome. During meiotic interphase I, the SPB is duplicated when
DNA replication takes place. Duplicated SPBs are linked and then separate to form
a bipolar spindle required for homolog separation in meiosis I. During interphase
II, SPBs are duplicated again, in the absence of DNA replication, to form four
SPBs that establish two spindles for sister-chromatid separation in meiosis II.
Here, we report that the Aurora kinase Ipl1, which is necessary for sister
chromatid cohesion, is also required for maintenance of a tight association
between duplicated SPBs during meiosis, which we term SPB cohesion. Premature
loss of cohesion leads to SPB overduplication and the formation of multipolar
spindles. By contrast, the Polo-like kinase Cdc5 is necessary for SPB duplication
and interacts antagonistically with Ipl1 at the meiotic SPB to ensure proper SPB
separation. Our data suggest that Ipl1 coordinates SPB dynamics with the two
chromosome segregation cycles during yeast meiosis.
PMID- 21878497
TI - RhoG is required for both FcgammaR- and CR3-mediated phagocytosis.
AB - Phagocytosis is a highly ordered process orchestrated by signalling through Rho
GTPases to locally organise the actin cytoskeleton and drive particle uptake.
Specific Rho family members that regulate phagocytosis are not known, as the
majority of studies have relied on the use of dominant-negative mutants and/or
toxins, which can inactivate multiple Rho GTPases. To identify the relevant
GTPases for phagocytosis through the Fcgamma receptor (FcgammaR) and complement
receptor 3 (CR3), we depleted 20 Rho proteins individually in an RNA interference
(RNAi) screen. We find that distinct GTPase subsets are required for actin
polymerisation and uptake by macrophages: FcgammaR-dependent engulfment requires
Cdc42 and Rac2 (but not Rac1), whereas CR3 requires RhoA. Surprisingly, RhoG is
required for particle uptake through both FcgammaR and CR3. RhoG has been
previously linked to Rac and Cdc42 signalling in different model systems, but not
to RhoA. Interestingly, we find that RhoG is also recruited and activated at
phagocytic cups downstream of FcgammaR and CR3, irrespective of their distinct
actin structures and mechanisms of internalisation. Thus, the functional links
between RhoG and RhoA downstream of CR3-dependent phagocytosis are new and
unexpected. Our data suggest a broad role for RhoG in consolidating signals from
multiple receptors during phagocytosis.
PMID- 21878499
TI - Annexin B9 binds to beta(H)-spectrin and is required for multivesicular body
function in Drosophila.
AB - The role of the cytoskeleton in protein trafficking is still being defined. Here,
we describe a relationship between the small Ca(2+)-dependent membrane-binding
protein Annexin B9 (AnxB9), apical beta(Heavy)-spectrin (beta(H)) and the
multivesicular body (MVB) in Drosophila. AnxB9 binds to a subset of beta(H)
spliceoforms, and loss of AnxB9 results in an increase in basolateral beta(H) and
its appearance on cytoplasmic vesicles that overlap with the MVB markers Hrs,
Vps16 and EPS15. Similar colocalizations are seen when beta(H)-positive endosomes
are generated either by upregulation of beta(H) in pak mutants or through the
expression of the dominant-negative version of beta(H). In common with other
mutations disrupting the MVB, we also show that there is an accumulation of
ubiquitylated proteins and elevated EGFR signaling in the absence of AnxB9 or
beta(H). Loss of AnxB9 or beta(H) function also causes the redistribution of the
DE-Cadherin (encoded by shotgun) to endosomal vesicles, suggesting a rationale
for the previously documented destabilization of the zonula adherens in karst
(which encodes beta(H)) mutants. Reduction of AnxB9 results in degradation of the
apical-lateral boundary and the appearance of the basolateral proteins Coracle
and Dlg on internal vesicles adjacent to beta(H). These results indicate that
AnxB9 and beta(H) are intimately involved in endosomal trafficking to the MVB and
play a role in maintaining high-fidelity segregation of the apical and lateral
domains.
PMID- 21878498
TI - Protein phosphatase 5 is a negative regulator of separase function during
cortical granule exocytosis in C. elegans.
AB - Mutations in the Caenorhabditis elegans separase gene, sep-1, are embryonic
lethal. Newly fertilized mutant embryos have defects in polar body extrusion,
fail to undergo cortical granule exocytosis, and subsequently fail to complete
cytokinesis. Chromosome nondisjunction during the meiotic divisions is readily
apparent after depletion of sep-1 by RNAi treatment, but much less so in
hypomorphic mutant embryos. To identify factors that influence the activity of
separase in cortical granule exocytosis and cytokinesis, we carried out a genetic
suppressor screen. A mutation in the protein phosphatase 5 (pph-5) gene was
identified as an extragenic suppressor of sep-1. This mutation suppressed the
phenotypes of hypomorphic separase mutants but not RNAi depleted animals.
Depletion of pph-5 caused no phenotypes on its own, but was effective in
restoring localization of mutant separase to vesicles and suppressing cortical
granule exocytosis and cytokinesis phenotypes. The identification of PPH-5 as a
suppressor of separase suggests that a new phospho-regulatory pathway plays an
important role in regulating anaphase functions of separase.
PMID- 21878500
TI - Lung-specific loss of the laminin alpha3 subunit confers resistance to mechanical
injury.
AB - Laminins are heterotrimeric glycoproteins of the extracellular matrix that are
secreted by epithelial cells and which are crucial for the normal structure and
function of the basement membrane. We have generated a mouse harboring a
conditional knockout of alpha3 laminin (Lama3(fl/fl)), one of the main laminin
subunits in the lung basement membrane. At 60 days after intratracheal treatment
of adult Lama3(fl/fl) mice with an adenovirus encoding Cre recombinase (Ad-Cre),
the protein abundance of alpha3 laminin in whole lung homogenates was more than
50% lower than that in control-treated mice, suggesting a relatively long half
life for the protein in the lung. Upon exposure to an injurious ventilation
strategy (tidal volume of 35 ml per kg of body weight for 2 hours), the mice with
a knockdown of the alpha3 laminin subunit had less severe injury, as shown by
lung mechanics, histology, alveolar capillary permeability and survival when
compared with Ad-Null-treated mice. Knockdown of the alpha3 laminin subunit
resulted in evidence of lung inflammation. However, this did not account for
their resistance to mechanical ventilation. Rather, the loss of alpha3 laminin
was associated with a significant increase in the collagen content of the lungs.
We conclude that the loss of alpha3 laminin in the alveolar epithelium results in
an increase in lung collagen, which confers resistance to mechanical injury.
PMID- 21878501
TI - Activation of Src induces mitochondrial localisation of de2-7EGFR (EGFRvIII) in
glioma cells: implications for glucose metabolism.
AB - A common mutation of the epidermal growth factor receptor in glioma is the de2
7EGFR (or EGFRvIII). Glioma cells expressing de2-7EGFR contain an intracellular
pool of receptor with high levels of mannose glycosylation, which is consistent
with delayed processing. We now show that this delay occurs in the Golgi complex.
Low levels of de2-7EGFR were also seen within the mitochondria. Src activation
dramatically increased the amount of mitochondrial de2-7EGFR, whereas its
pharmacological inhibition caused a significant reduction. Because de2-7EGFR is
phosphorylated by Src at Y845, we generated glioma cells expressing a Y845F
modified de2-7EGFR. The de2-7EGFR(845F) mutant failed to show mitochondrial
localisation, even when co-expressed with constitutive active Src. Low levels of
glucose enhanced mitochondrial localisation of de2-7EGFR, and glioma cells
expressing the receptor showed increased survival and proliferation under these
conditions. Consistent with this, de2-7EGFR reduced glucose dependency by
stimulating mitochondrial oxidative metabolism. Thus, the mitochondrial
localisation of de2-7EGFR contributes to its tumorigenicity and might help to
explain its resistance to some EGFR-targeted therapeutics.
PMID- 21878502
TI - Chromosomal breaks during mitotic catastrophe trigger gammaH2AX-ATM-p53-mediated
apoptosis.
AB - Although the cause and outcome of mitotic catastrophe (MC) has been thoroughly
investigated, precisely how the ensuing lethality is regulated during or
following this process and what signals are involved remain unknown. Moreover,
the mechanism of the decision of cell death modalities following MC is still not
well characterised. We demonstrate here a crucial role of the gammaH2AX-ATM-p53
pathway in the regulation of the apoptotic outcome of MC resulting from cells
entering mitosis with damaged DNA. In addition to p53 deficiency, the depletion
of ATM (ataxia telangiectasia mutated), but not ATR (ataxia telangiectasia and
Rad3-related protein), protected against apoptosis and shifted cell death towards
necrosis. Activation of this pathway is triggered by the augmented chromosomal
damage acquired during anaphase in doxorubicin-treated cells lacking 14-3-3sigma
(also known as epithelial cell marker protein-1 or stratifin). Moreover, cells
that enter mitosis with damaged DNA encounter segregation problems because of
their abnormal chromosomes, leading to defects in mitotic exit, and they
therefore accumulate in G1 phase. These multi- or micronucleated cells are
prevented from cycling again in a p53- and p21-dependent manner, and subsequently
die. Because increased chromosomal damage resulting in extensive H2AX
phosphorylation appears to be a direct cause of catastrophic mitosis, our results
describe a mechanism that involves generation of additional DNA damage during MC
to eliminate chromosomally unstable cells.
PMID- 21878503
TI - Scaffolding function of the Chlamydomonas procentriole protein CRC70, a member of
the conserved Cep70 family.
AB - Centriole duplication occurs once per cell cycle through the assembly of daughter
centrioles on the side wall of pre-existing centrioles. Little is known about the
molecules involved in the assembly of new centrioles. Here, we identify CRC70 as
a Chlamydomonas protein with an important role in the accumulation of centriole
proteins at the site of assembly. CRC70 contains a highly conserved ~50-amino
acid sequence shared by mammalian Cep70 and preferentially localizes to immature
centrioles (the procentrioles). This localization is maintained in the mutant
bld10, in which centriole formation is blocked before the assembly of centriolar
microtubules. RNA interference (RNAi)-mediated knockdown of CRC70 produces
flagella-less cells and inhibits the recruitment of other centriole components,
such as SAS-6 and Bld10p to the centriole. Overexpression of CRC70 induces an
accumulation of these proteins in discrete spots in the cytoplasm. Overexpression
of EGFP-tagged CRC70 in mouse NIH3T3 cells causes the formation of structures
apparently related to centrioles. These findings suggest that CRC70 is a member
of a conserved protein family and functions as a scaffold for the assembly of the
centriole precursor.
PMID- 21878504
TI - Regulation of sororin by Cdk1-mediated phosphorylation.
AB - Tumor cells are commonly aneuploid, a condition contributing to cancer
progression and drug resistance. Understanding how chromatids are linked and
separated at the appropriate time will help uncover the basis of aneuploidy and
will shed light on the behavior of tumor cells. Cohesion of sister chromatids is
maintained by the multi-protein complex cohesin, consisting of Smc1, Smc3, Scc1
and Scc3. Sororin associates with the cohesin complex and regulates the
segregation of sister chromatids. Sororin is phosphorylated in mitosis; however,
the role of this modification is unclear. Here we show that mutation of potential
cyclin-dependent kinase 1 (Cdk1) phosphorylation sites leaves sororin stranded on
chromosomes and bound to cohesin throughout mitosis. Sororin can be precipitated
from cell lysates with DNA-cellulose, and only the hypophosphorylated form of
sororin shows this association. These results suggest that phosphorylation of
sororin causes its release from chromatin in mitosis. Also, the
hypophosphorylated form of sororin increases cohesion between sister chromatids,
suggesting that phosphorylation of sororin by Cdk1 influences sister chromatid
cohesion. Finally, phosphorylation-deficient sororin can alleviate the mitotic
block that occurs upon knockdown of endogenous sororin. This mitotic block is
abolished by ZM447439, an Aurora kinase inhibitor, suggesting that prematurely
separated sister chromatids activate the spindle assembly checkpoint through an
Aurora kinase-dependent pathway.
PMID- 21878505
TI - Disease-associated missense mutations in bestrophin-1 affect cellular trafficking
and anion conductance.
AB - Bestrophin-1, an integral membrane protein encoded by the BEST1 gene, is
localized predominantly to the basolateral membrane of the retinal pigment
epithelium. Mutations in the BEST1 gene have been associated with Best
vitelliforme macular dystrophy (BMD), a central retinopathy with autosomal
dominant inheritance and variable penetrance. Over 120 disease-causing mutations
are known, the majority of which result in amino acid substitutions within four
mutational hot-spot regions in the highly conserved N-terminal half of the
protein. Although initially thought to impair Cl- channel function, the molecular
pathology of BEST1 mutations is still controversial. We have analyzed the
subcellular localization of 13 disease-associated BEST1 mutant proteins in
polarized MDCK II cells, an established model of apical to basolateral protein
sorting. Immunostaining demonstrated that nine of the 13 mutant proteins failed
to integrate into the cell membrane. The defective proteins were predominantly
retained in the cytoplasm, whereas wild-type bestrophin-1 revealed cell membrane
localization. Functional analysis of I- fluxes in HEK-293 cells showed that all
mutants exhibited a significant reduction in anion conductance. Our data indicate
that defective intracellular trafficking could be a common cause of BMD
accompanied by impaired anion conductance, representing a loss of anion channel
function that is probably due to mistargeting of mutant protein.
PMID- 21878506
TI - miR-216b suppresses tumor growth and invasion by targeting KRAS in nasopharyngeal
carcinoma.
AB - MicroRNAs (miRNAs) are small noncoding RNAs that are involved in various
diseases, including cancer. In the present study, we found that miR-216b was
downregulated in nasopharyngeal carcinoma (NPC) cell lines and specimens.
Decreased expression of miR-216b was directly related to advanced clinical stage
and lymph node metastasis. miR-216b levels correlated inversely with levels of
KRAS protein during nasopharyngeal tumorigenesis. Furthermore, we demonstrated
that miR-216b can bind to the 3' untranslated region (UTR) of KRAS and inhibit
expression of the KRAS protein. Both in vitro and in vivo assays revealed that
miR-216b attenuated NPC cell proliferation, invasion and tumor growth in nude
mice. miR-216b exerts its tumor suppressor function through inhibition of the
KRAS-related AKT and ERK pathways. Our findings provide, for the first time,
significant clues regarding the role of miR-216b as a tumor suppressor by
targeting KRAS in NPC.
PMID- 21878507
TI - p38 MAPKs regulate the expression of genes in the dopamine synthesis pathway
through phosphorylation of NR4A nuclear receptors.
AB - In Drosophila, the melanization reaction is an important defense mechanism
against injury and invasion of microorganisms. Drosophila tyrosine hydroxylase
(TH, also known as Pale) and dopa decarboxylase (Ddc), key enzymes in the
dopamine synthesis pathway, underlie the melanin synthesis by providing the
melanin precursors dopa and dopamine, respectively. It has been shown that
expression of Drosophila TH and Ddc is induced in various physiological and
pathological conditions, including bacterial challenge; however, the mechanism
involved has not been fully elucidated. Here, we show that ectopic activation of
p38 MAPK induces TH and Ddc expression, leading to upregulation of melanization
in the Drosophila cuticle. This p38-dependent melanization was attenuated by
knockdown of TH and Ddc, as well as by that of Drosophila HR38, a member of the
NR4A family of nuclear receptors. In mammalian cells, p38 phosphorylated
mammalian NR4As and Drosophila HR38 and potentiated these NR4As to transactivate
a promoter containing NR4A-binding elements, with this transactivation being, at
least in part, dependent on the phosphorylation. This suggests an evolutionarily
conserved role for p38 MAPKs in the regulation of NR4As. Thus, p38-regulated gene
induction through NR4As appears to function in the dopamine synthesis pathway and
may be involved in immune and stress responses.
PMID- 21878508
TI - Selective inhibition of rRNA transcription downregulates E2F-1: a new p53
independent mechanism linking cell growth to cell proliferation.
AB - The tumour suppressor p53 negatively controls cell cycle progression in response
to perturbed ribosome biogenesis in mammalian cells, thus coordinating growth
with proliferation. Unlike mammalian cells, p53 is not involved in the growth
control of proliferation in yeasts and flies. We investigated whether a p53
independent mechanism of response to inadequate ribosome biogenesis rate is also
present in mammalian cells. We studied the effect of specific inhibition of rRNA
synthesis on cell cycle progression in human cancer cell lines using the small
interfering RNA procedure to silence the POLR1A gene, which encodes the catalytic
subunit of RNA polymerase I. We found that interference of POLR1A inhibited the
synthesis of rRNA and hindered cell cycle progression in cells with inactivated
p53, as a consequence of downregulation of the transcription factor E2F-1.
Downregulation of E2F-1 was due to release of the ribosomal protein L11, which
inactivated the E2F-1-stabilising function of the E3 ubiquitin protein ligase
MDM2. These results demonstrated the existence of a p53-independent mechanism
that links cell growth to cell proliferation in mammalian cells, and suggested
that selective targeting of the RNA polymerase I transcription machinery might be
advisable to hinder proliferation of p53-deficient cancer cells.
PMID- 21878509
TI - Immunobiology of naive and genetically modified HLA-class-I-knockdown human
embryonic stem cells.
AB - Human embryonic stem cells (hESCs) can serve as a universal cell source for
emerging cell or tissue replacement strategies, but immune rejection of hESC
derivatives remains an unsolved problem. Here, we sought to describe the
mechanisms of rejection for naive hESCs and upon HLA class I (HLA I) knockdown
(hESC(KD)). hESCs were HLA I-positive but negative for HLA II and co-stimulatory
molecules. Transplantation of naive hESC into immunocompetent Balb/c mice induced
substantial T helper cell 1 and 2 (Th1 and Th2) responses with rapid cell death,
but hESCs survived in immunodeficient SCID-beige recipients. Histology revealed
mainly macrophages and T cells, but only scattered natural killer (NK) cells. A
surge of hESC-specific antibodies against hESC class I, but not class II
antigens, was observed. Using HLA I RNA interference and intrabody technology,
HLA I surface expression of hESC(KD) was 88%-99% reduced. T cell activation after
hESC(KD) transplantation into Balb/c was significantly diminished, antibody
production was substantially alleviated, the levels of graft-infiltrating immune
cells were reduced and the survival of hESC(KD) was prolonged. Because of their
very low expression of stimulatory NK ligands, NK-susceptibility of naive hESCs
and hESC(KD) was negligible. Thus, HLA I recognition by T cells seems to be the
primary mechanism of hESC recognition, and T cells, macrophages and hESC-specific
antibodies participate in hESC killing.
PMID- 21878510
TI - Acute stress differentially affects aromatase activity in specific brain nuclei
of adult male and female quail.
AB - The rapid and temporary suppression of reproductive behavior is often assumed to
be an important feature of the adaptive acute stress response. However, how this
suppression operates at the mechanistic level is poorly understood. The enzyme
aromatase converts testosterone to estradiol in the brain to activate
reproductive behavior in male Japanese quail (Coturnix japonica). The discovery
of rapid and reversible modification of aromatase activity (AA) provides a
potential mechanism for fast, stress-induced changes in behavior. We investigated
the effects of acute stress on AA in both sexes by measuring enzyme activity in
all aromatase-expressing brain nuclei before, during, and after 30 min of acute
restraint stress. We show here that acute stress rapidly alters AA in the male
and female brain and that these changes are specific to the brain nuclei and sex
of the individual. Specifically, acute stress rapidly (5 min) increased AA in the
male medial preoptic nucleus, a region controlling male reproductive behavior; in
females, a similar increase was also observed, but it appeared delayed (15 min)
and had smaller amplitude. In the ventromedial and tuberal hypothalamus, regions
associated with female reproductive behavior, stress induced a quick and
sustained decrease in AA in females, but in males, only a slight increase
(ventromedial) or no change (tuberal) in AA was observed. Effects of acute stress
on brain estrogen production, therefore, represent one potential way through
which stress affects reproduction.
PMID- 21878512
TI - Differential regulation of gonadotropin receptors (fshr and lhcgr) by estradiol
in the zebrafish ovary involves nuclear estrogen receptors that are likely
located on the plasma membrane.
AB - FSH and LH are gonadotropins (GTH) that control all major events of gonadal
function. FSH and LH signal through their cognate receptors, FSH receptor and
LH/choriogonadotropin receptor, respectively, across vertebrates. Compared with
the information in mammals, very little is known about these receptors in fish,
especially the regulation of their expression. In female zebrafish, fshr and
lhcgr exhibit significant temporal difference in expression, with fshr increasing
first when the follicles are activated to enter the vitellogenic growth phase and
lhcgr lagging behind. This raises an interesting question on the differential
regulation of these two GTH receptors (GTHR) during folliculogenesis. Using a
primary follicle cell culture, the present study demonstrated that 17beta
estradiol (E2), but not testosterone, was a potent endocrine hormone that
differentially regulated the expression of fshr and lhcgr. Although E2 stimulated
both receptors, its effect on the steady-state level of lhcgr mRNA was much
higher (>8-fold up-regulation) than that of fshr (~0.5-fold increase). E2 likely
acted at the transcription level via its nuclear estrogen receptors (ERalpha and
ERbeta), because ICI 182,780 could abolish its effects. However, our evidence
suggested that these receptors might be localized on the plasma membrane, because
beta-estradiol 6-(O-carboxy methyl)oxime:BSA could fully mimic the effects of E2.
Demonstrating that E2 is likely one of the differentiating factors for the
distinct expression of the two GTHR in the zebrafish ovary, this study sheds
important light on the functions of the two GTH and their receptors in fish as
well as the conservation and diverse aspects of GTHR regulation across
vertebrates.
PMID- 21878513
TI - Bombesin receptor subtype-3 (BRS-3) regulates glucose-stimulated insulin
secretion in pancreatic islets across multiple species.
AB - Bombesin receptor subtype-3 (BRS-3) regulates energy homeostasis, and BRS-3
agonism is being explored as a possible therapy for obesity. Here we study the
role of BRS-3 in the regulation of glucose-stimulated insulin secretion (GSIS)
and glucose homeostasis. We quantified BRS-3 mRNA in pancreatic islets from
multiple species and examined the acute effects of Bag-1, a selective BRS-3
agonist, on GSIS in mouse, rat, and human islets, and on oral glucose tolerance
in mice. BRS-3 is highly expressed in human, mouse, rhesus, and dog (but not rat)
pancreatic islets and in rodent insulinoma cell lines (INS-1 832/3 and MIN6).
Silencing BRS-3 with small interfering RNA or pharmacological blockade with a BRS
3 antagonist, Bantag-1, reduced GSIS in 832/3 cells. In contrast, the BRS-3
agonist (Bag-1) increased GSIS in 832/3 and MIN6 cells. The augmentation of GSIS
by Bag-1 was completely blocked by U73122, a phospholipase C inhibitor. Bag-1
also enhanced GSIS in islets isolated from wild-type, but not Brs3 knockout mice.
In vivo, Bag-1 reduced glucose levels during oral glucose tolerance test in a BRS
3-dependent manner. BRS-3 agonists also increased GSIS in human islets. These
results identify a potential role for BRS-3 in islet physiology, with agonism
directly promoting GSIS. Thus, in addition to its potential role in the treatment
of obesity, BRS-3 may also regulate blood glucose levels and have a role in the
treatment of diabetes mellitus.
PMID- 21878511
TI - Minireview: The value of looking backward: the essential role of the hindbrain in
counterregulatory responses to glucose deficit.
AB - This review focuses on evidence indicating a key role for the hindbrain in
mobilizing behavioral, autonomic and endocrine counterregulatory responses to
acute and profound glucose deficit, and identifies hindbrain norepinephrine (NE)
and epinephrine (E) neurons as essential mediators of some of these responses. It
has become clear that hindbrain NE/E neurons are functionally diverse. However,
considerable progress has been made in identifying the particular NE/E neurons
important for particular glucoregulatory responses. Although it is not yet known
whether NE/E neurons are directly activated by glucose deficit, compelling
evidence indicates that if they are not, the primary glucoreceptor cells must be
located in the immediate vicinity these neurons. Hindbrain studies identifying
cellular markers associated with glucose-sensing functions in other brain regions
are discussed, as are studies examining the relationship of these markers to
counterregulatory responses of NE/E neurons. Further investigations to identify
glucose-sensing cells (neurons, ependymocytes, or glia) controlling
counterregulatory responses are crucial, as are studies to determine the specific
functions of glucose-sensing cells throughout the brain. Likewise, examination of
the roles (if any) of hindbrain counterregulatory systems in managing glucose
homeostasis under basal, nonglucoprivic conditions will also be important for a
full understanding of energy homeostasis. Nevertheless, the accumulated evidence
demonstrates that hindbrain glucose sensors and NE/E neurons are essential
players in triggering counterregulatory responses to emergencies of glucose
deficit.
PMID- 21878514
TI - CRH acts on CRH-R1 and -R2 to differentially modulate the expression of large
conductance calcium-activated potassium channels in human pregnant myometrium.
AB - CRH has been implicated to play a key role in the control of human pregnancy and
parturition. Large-conductance potassium channels (BKCa) play a pivotal role in
the modulation of uterine contractility during pregnancy. The objectives of the
present study were to investigate the effect of CRH on BKCa expression in human
pregnant myometrial cells. Myometrial tissues were collected at cesarean section
from pregnant women not-in-labor (TNL) or in-labor (TL) at term, and myocytes
were isolated and cultured. CRH was identified in human pregnant myometrium and
mainly expressed in myometrial myocytes. Cultured myometrial cells were able to
secrete CRH. In TNL myometrial cells, CRH treatment increased the expression of
BKCa alpha- and beta-subunits. CRH receptor type 1 (CRH-R1) antagonist,
antalarmin, decreased whereas CRH receptor type 2 (CRH-R2) antagonist,
astressin2b, increased the expression of BKCa. CRH-R2 small interfering RNA
(siRNA) caused an increase, but CRH-R1 siRNA resulted in a decrease, in BKCa
expression. In contrast to TNL cells, CRH exhibited an opposite effect on BKCa
expression in TL myometrial cells, i.e. decreased BKCa expression. Antalarmin
enhanced but astressin2b reduced BKCa expression. CRH-R2 siRNA decreased whereas
CRH-R1 siRNA increased BKCa expression. 1,3-Dihydro-1-[2-hydroxy-5
(trifluoromethyl)phenyl]-5-(trifluoromethyl)-2H-benzimidazol-2-one significantly
inhibited the frequency of spontaneous contractions of myometrial strips, and
this effect was significantly decreased in TL strips compared with TNL ones. Our
data suggest that CRH-R1 and CRH-R2 show differential regulation of BKCa
expression. These effects mediated by CRH-R1 and CRH-R2 are changed after the
onset of labor. This leads us to suggest that CRH may fine-tune myometrial
contractility by modulating the expression of BKCa during pregnancy and labor.
PMID- 21878515
TI - Developmental and cell-specific expression of thyroid hormone transporters in the
mouse cochlea.
AB - Thyroid hormone is essential for the development of the cochlea and auditory
function. Cochlear response tissues, which express thyroid hormone receptor beta
(encoded by Thrb), include the greater epithelial ridge and sensory epithelium
residing inside the bony labyrinth. However, these response tissues lack direct
blood flow, implying that mechanisms exist to shuttle hormone from the
circulation to target tissues. Therefore, we investigated expression of candidate
thyroid hormone transporters L-type amino acid transporter 1 (Lat1),
monocarboxylate transporter (Mct)8, Mct10, and organic anion transporting
polypeptide 1c1 (Oatp1c1) in mouse cochlear development by in situ hybridization
and immunofluorescence analysis. L-type amino acid transporter 1 localized to
cochlear blood vessels and transiently to sensory hair cells. Mct8 localized to
the greater epithelial ridge, tympanic border cells underlying the sensory
epithelium, spiral ligament fibrocytes, and spiral ganglion neurons, partly
overlapping with the Thrb expression pattern. Mct10 was detected in a highly
restricted pattern in the outer sulcus epithelium and weakly in tympanic border
cells and hair cells. Organic anion transporting polypeptide 1c1 localized
primarily to fibrocytes in vascularized tissues of the spiral limbus and spiral
ligament and to tympanic border cells. Investigation of hypothyroid Tshr(-/-)
mice showed that transporter expression was delayed consistent with retardation
of cochlear tissue maturation but not with compensatory responses to
hypothyroidism. The results demonstrate specific expression of thyroid hormone
transporters in the cochlea and suggest that a network of thyroid hormone
transport underlies cochlear development.
PMID- 21878516
TI - Mutant p62P392L stimulation of osteoclast differentiation in Paget's disease of
bone.
AB - Paget's disease of the bone (PDB) is an autosomal dominant trait with genetic
heterogeneity, characterized by abnormal osteoclastogenesis. Sequestosome 1 (p62)
is a scaffold protein that plays an important role in receptor activator of
nuclear factor kappaB (RANK) signaling essential for osteoclast (OCL)
differentiation. p62P392L mutation in the ubiquitin-associated (UBA) domain is
widely associated with PDB; however, the mechanisms by which p62P392L stimulate
OCL differentiation in PDB are not completely understood. Deubiquitinating enzyme
cylindromatosis (CYLD) has been shown to negatively regulate RANK ligand-RANK
signaling essential for OCL differentiation. Here, we report that CYLD binds with
the p62 wild-type (p62WT), non-UBA mutant (p62A381V) but not with the UBA mutant
(p62P392L) in OCL progenitor cells. Also, p62P392L induces expression of c-Fos
(2.8-fold) and nuclear factor of activated T cells c1 (6.0-fold) transcription
factors critical for OCL differentiation. Furthermore, p62P392L expression
results in accumulation of polyubiquitinated TNF receptor-associated factor
(TRAF)6 and elevated levels of phospho-IkappaB during OCL differentiation.
Retroviral transduction of p62P392L/CYLD short hairpin RNA significantly
increased TRAP positive multinucleated OCL formation/bone resorption activity in
mouse bone marrow cultures. Thus, the p62P392L mutation abolished CYLD
interaction and enhanced OCL development/bone resorption activity in PDB.
PMID- 21878517
TI - The phytoestrogen genistein is a tissue-specific androgen receptor modulator.
AB - To enable studies of androgen signaling in different tissues in vivo, we
generated an androgen receptor (AR) reporter mouse line by inserting a luciferase
gene construct into the murine genome. The construct is driven by four copies of
androgen-responsive elements from the mouse sex-limited protein gene (slp-HRE2)
and a minimal thymidine kinase promoter. Luciferase activity was readily
measurable in a number of murine tissues, including prostate, lung, testis,
brain, and skeletal muscle, and testosterone administration elicited a
significant increase in reporter gene activity in these tissues. Consumption of
isoflavonoid genistein is linked to reduced risk of prostate cancer, but direct
effects of genistein on the AR pathway are not well understood. To examine
androgen-modulating activity of genistein in vivo, male mice received daily doses
of genistein (10 mg/kg) for 5 d. In intact males, genistein was antiandrogenic in
testis, prostate, and brain, and it attenuated reporter gene activity by 50-80%.
In castrated males, genistein exhibited significant androgen agonistic activity
in prostate and brain by increasing reporter gene activity over 2-fold in both
tissues. No antiandrogenic action was seen in lung or skeletal muscle of intact
males. Gene expression profiling of the murine prostate under the same
experimental conditions revealed that genistein modulates androgen-dependent
transcription program in prostate in a fashion similar to that observed in
reporter mice by luciferase expression. In conclusion, genistein is a partial
androgen agonist/antagonist in some but not in all mouse tissues and should be
considered as a tissue-specific AR modulator.
PMID- 21878518
TI - Transient expression of functional serotonin 5-HT3 receptors by glutamatergic
granule cells in the early postnatal mouse cerebellum.
AB - The serotonin 5-HT(3) receptor is the only ligand-gated ion channel activated by
serotonin and is expressed by GABAergic interneurons in many brain regions,
including the cortex, amygdala and hippocampus. Furthermore, 5-HT(3) receptors
are expressed by glutamatergic Cajal-Retzius cells in the cerebral cortex. We
used 5-HT(3A)/enhanced green fluorescent protein (EGFP) transgenic mice to show
that 5-HT(3) receptors are also ubiquitously expressed by glutamatergic granule
cells in the cerebellum during the first three postnatal weeks. Using whole-cell
patch clamp recordings, we show that local application of either serotonin or the
selective 5-HT(3) receptor agonist SR57227A to granule cells results in a small
inward current, demonstrating a post- and/or extrasynaptic localisation of the 5
HT(3) receptors. Functional 5-HT(3) receptors were also observed presynaptically
at the parallel fibre-Purkinje cell synapse. Pharmacological block using the
selective 5-HT(3) receptor antagonist tropisetron induced a reduction in the
frequency of miniature synaptic events recorded from Purkinje cells. Paired-pulse
stimulation of parallel fibres on whole-cell voltage clamped Purkinje cells from
1-week-old mice did not yet show synaptic plasticity. In the presence of
tropisetron, the parallel fibre-Purkinje cell synapse showed paired-pulse
depression. Taken together, these results show that functional 5-HT(3) receptors
are present during early postnatal development in the cerebellum, where they
modulate synaptic plasticity.
PMID- 21878519
TI - The primate reticulospinal tract, hand function and functional recovery.
AB - The primate reticulospinal tract is usually considered to control proximal and
axial muscles, and to be involved mainly in gross movements such as locomotion,
reaching and posture. This contrasts with the corticospinal tract, which is
thought to be involved in fine control, particularly of independent finger
movements. Recent data provide evidence that the reticulospinal tract can exert
some influence over hand movements. Although clearly secondary to the
corticospinal tract in healthy function, this could assume considerable
importance after corticospinal lesion (such as following stroke), when
reticulospinal systems could provide a substrate for some recovery of function.
We need to understand more about the abilities of the reticular formation to
process sensory input and guide motor output, so that rehabilitation strategies
can be optimised to work with the innate capabilities of reticular motor control.
PMID- 21878520
TI - Implications of group III and IV muscle afferents for high-intensity endurance
exercise performance in humans.
AB - We investigated the influence of group III/IV muscle afferents on peripheral
fatigue, central motor drive (CMD) and endurance capacity during high-intensity
leg-cycling. In a double-blind, placebo-controlled design, seven males performed
constant-load cycling exercise (318 +/- 9 W; 80% of peak power output (W(peak)))
to exhaustion under placebo conditions and with lumbar intrathecal fentanyl
impairing spinal MU-opioid receptor-sensitive group III/IV muscle afferents.
Peripheral fatigue was assessed via changes in pre- vs. post-exercise quadriceps
force in response to supramaximal magnetic femoral nerve stimulation
(DeltaQ(tw,pot)). CMD was estimated via quadriceps electromyogram. To rule out a
direct central effect of fentanyl, we documented unchanged resting
cardioventilatory responses. Compared to placebo, significant hypoventilation
during the fentanyl trial was indicated by the 9% lower V(E)/V(CO(2)), causing a
5 mmHg increase in end-tidal P(CO(2)) and a 3% lower haemoglobin saturation.
Arterial pressure and heart rate averaged 8 and 10% lower, respectively, during
the fentanyl trial and these differences progressively diminished towards end
exercise. Although initially similar, the percent change in CMD was 9 +/- 3%
higher at end-exercise with fentanyl vs. placebo (P < 0.05). Time to exhaustion
was shorter (6.8 +/- 0.3 min vs. 8.7 +/- 0.3 min) and end-exercise DeltaQ(tw,pot)
was about one-third greater (-44 +/- 2% vs. -34 +/- 2%) following fentanyl vs.
placebo. The rate of peripheral fatigue development was 67 +/- 10% greater during
the fentanyl trial (P < 0.01). Our findings suggest that feedback from group
III/IV muscle afferents limits CMD but also minimizes locomotor muscle fatigue
development by stimulating adequate ventilatory and circulatory responses to
exercise. In the face of blocked group III/IV muscle afferents, CMD is less
inhibited but O(2) transport compromised and locomotor muscle fatigability is
exacerbated with a combined net effect of a reduced endurance performance.
PMID- 21878521
TI - SynDIG1 regulation of excitatory synapse maturation.
AB - During development of the central nervous system (CNS), precise synaptic
connections between pre- and postsynaptic neurons are formed that ultimately give
rise to higher order cognitive skills such as learning and memory. Previously, my
group identified a novel type II transmembrane protein, synapse differentiation
induced gene 1 (SynDIG1), that regulates synaptic AMPA receptor content in
dissociated rat hippocampal neurons. The magnitude of this effect matches that of
the prototypical scaffold postsynaptic density protein of 95 kDa (PSD-95)
identifying SynDIG1 as a previously unknown central regulator of excitatory
synaptic strength. SynDIG1-mediated regulation of synaptic AMPA receptor
targeting shares characteristics related to two distinct classes of transmembrane
synaptic proteins: (1) ion channel auxiliary factors such as transmembrane AMPA
receptor regulatory proteins (TARPs) important for AMPA receptor surface
expression and channel gating properties; and (2) trans-synaptic organizing
molecules such as leucine rich repeat transmembrane protein 2 (LRRTM2) that
influence synapse maturation by recruitment of AMPA receptors to nascent
synapses. An interesting aspect of SynDIG1 is that its distribution at excitatory
synapses is regulated by activity, suggesting that SynDIG1 might also play a role
in synaptic plasticity.
PMID- 21878522
TI - Rearrangement of energetic and substrate utilization networks compensate for
chronic myocardial creatine kinase deficiency.
AB - Plasticity of the cellular bioenergetic system is fundamental to every organ
function, stress adaptation and disease tolerance. Here, remodelling of
phosphotransfer and substrate utilization networks in response to chronic
creatine kinase (CK) deficiency, a hallmark of cardiovascular disease, has been
revealed in transgenic mouse models lacking either cytosolic M-CK (M-CK(-/-)) or
both M-CK and sarcomeric mitochondrial CK (M-CK/ScCKmit(-/-)) isoforms. The
dynamic metabolomic signatures of these adaptations have also been defined.
Tracking perturbations in metabolic dynamics with (18)O and (13)C isotopes and
(31)P NMR and mass spectrometry demonstrate that hearts lacking M-CK have lower
phosphocreatine (PCr) turnover but increased glucose-6-phosphate (G-6-P)
turnover, glucose utilization and inorganic phosphate compartmentation with
normal ATP gamma-phosphoryl dynamics. Hearts lacking both M-CK and sarcomeric
mitochondrial CK have diminished PCr turnover, total phosphotransfer capacity and
intracellular energetic communication but increased dynamics of beta-phosphoryls
of ADP/ATP, G-6-P and gamma-/beta-phosphoryls of GTP, indicating redistribution
of flux through adenylate kinase (AK), glycolytic and guanine nucleotide
phosphotransfer circuits. Higher glycolytic and mitochondrial capacities and
increased glucose tolerance contributed to metabolic resilience of M-CK/ScCKmit(
/-) mice. Multivariate analysis revealed unique metabolomic signatures for M-CK(
/-) and M-CK/ScCKmit(-/-) hearts suggesting that rearrangements in
phosphotransfer and substrate utilization networks provide compensation for
genetic CK deficiency. This new information highlights the significance of
integrated CK-, AK-, guanine nucleotide- and glycolytic enzyme-catalysed
phosphotransfer networks in supporting the adaptivity and robustness of the
cellular energetic system.
PMID- 21878524
TI - Ligand stoichiometry of the cold- and menthol-activated channel TRPM8.
AB - Temperature-sensitive transient receptor potential (TRP) channels play a key role
in somatosensation, not only as primary thermosensors but also as chemosensors
for various compounds that evoke a thermal sensation. The fundamental mechanisms
whereby TRP channels translate ligand binding into opening of the ion conducting
pore are, however, poorly understood, and the actual number of ligands that bind
to these channels is fully unknown. Here, we investigated the ligand
stoichiometry of the cold sensor and menthol receptor TRPM8. Based on a detailed
biophysical analysis of tandem constructs of wild-type and menthol-insensitive
TRPM8 subunits, we now provide direct evidence that each channel has four
independent and energetically equivalent menthol interaction sites. These results
suggest a fundamentally different ligand stoichiometry in TRP channels, in
comparison with other major families of ligand-gated ion channels.
PMID- 21878523
TI - Endothelin-converting enzyme-1 regulates trafficking and signalling of the
neurokinin 1 receptor in endosomes of myenteric neurones.
AB - Neuropeptide signalling at the plasma membrane is terminated by neuropeptide
degradation by cell-surface peptidases, and by beta-arrestin-dependent receptor
desensitization and endocytosis. However, receptors continue to signal from
endosomes by beta-arrestin-dependent processes, and endosomal sorting mediates
recycling and resensitization of plasma membrane signalling. The mechanisms that
control signalling and trafficking of receptors in endosomes are poorly defined.
We report a major role for endothelin-converting enzyme-1 (ECE-1) in controlling
substance P (SP) and the neurokinin 1 receptor (NK(1)R) in endosomes of myenteric
neurones. ECE-1 mRNA and protein were expressed by myenteric neurones of rat and
mouse intestine. SP (10 nM, 10 min) induced interaction of NK(1)R and beta
arrestin at the plasma membrane, and the SP-NK(1)R-beta-arrestin signalosome
complex trafficked by a dynamin-mediated mechanism to ECE-1-containing early
endosomes, where ECE-1 can degrade SP. After 120 min, NK(1)R recycled from
endosomes to the plasma membrane. ECE-1 inhibitors (SM-19712, PD-069185) and the
vacuolar H(+)ATPase inhibitor bafilomycin A(1), which prevent endosomal SP
degradation, suppressed NK(1)R recycling by >50%. Preincubation of neurones with
SP (10 nM, 5 min) desensitized Ca(2+) transients to a second SP challenge after
10 min, and SP signals resensitized after 60 min. SM-19712 inhibited NK(1)R
resensitization by >90%. ECE-1 inhibitors also caused sustained SP-induced
activation of extracellular signal-regulated kinases, consistent with
stabilization of the SP-NK(1)R-beta-arrestin signalosome. By degrading SP and
destabilizing endosomal signalosomes, ECE-1 has a dual role in controlling
endocytic signalling and trafficking of the NK(1)R: promoting resensitization of
G protein-mediated plasma membrane signalling, and terminating beta-arrestin
mediated endosomal signalling.
PMID- 21878525
TI - Orai channel-dependent activation of phospholipase C-delta: a novel mechanism for
the effects of calcium entry on calcium oscillations.
AB - The frequency of oscillatory Ca(2+) signals is a major determinant in the
selective activation of discrete downstream responses in non-excitable cells. An
important modulator of this oscillation frequency is known to be the rate of
agonist-activated Ca(2+) entry. However precisely how this is achieved and the
respective roles of store-operated versus store-independent Ca(2+) entry pathways
in achieving this are unclear. Here, we examine the possibility that a direct
stimulation of a phospholipase C (PLC) by the entering Ca(2+) can induce a
modulation of Ca(2+) oscillation frequency, and examine the roles of the
endogenous store-operated and store-independent Orai channels (CRAC and ARC
channels, respectively) in such a mechanism. Using the decline in the magnitude
of currents through expressed PIP(2)-dependent Kir2.1 channels as a sensitive
assay for PLC activity, we show that simple global increases in Ca(2+)
concentrations over the physiological range do not significantly affect PLC
activity. Similarly, maximal activation of endogenous CRAC channels also fails to
affect PLC activity. In contrast, equivalent activation of endogenous ARC
channels resulted in a 10-fold increase in the measured rate of PIP(2) depletion.
Further experiments show that this effect is strictly dependent on the Ca(2+)
entering via these channels, rather than the gating of the channels or the
arachidonic acid used to activate them, and that it reflects the activation of a
PLCdelta by local Ca(2+) concentrations immediately adjacent to the active
channels. Finally, based on the effects of expression of either a dominant
negative mutant Orai3 that is an essential component of the ARC channel, or a
catalytically compromised mutant PLCdelta, it was shown that this specific action
of the store-independent ARC channel-mediated Ca(2+) entry on PLCdelta has a
significant impact on the oscillation frequency of the Ca(2+) signals activated
by low concentrations of agonist.
PMID- 21878526
TI - Kinetic changes in tetanic Ca2+ transients in enzymatically dissociated muscle
fibres under repetitive stimulation.
AB - We used enzymatically dissociated flexor digitorum brevis (FDB) and soleus fibres
loaded with the fast Ca(2+) dye Magfluo-4 AM, and adhered to Laminin, to test
whether repetitive stimulation induces progressive changes in the kinetics of
Ca(2+) release and reuptake in a fibre-type-dependent fashion. We applied a
protocol of tetani of 350 ms, 100 Hz, every 4 s to reach a mean amplitude
reduction of 25% of the first peak. Morphology type I (MT-I) and morphology type
II (MT-II) fibres underwent a total of 96 and 52.8 tetani (P < 0.01 between
groups), respectively. The MT-II fibres (n = 18) showed significant reductions of
the amplitude (19%), an increase in rise time (8.5%) and a further reduction of
the amplitude/rise time ratio (25.5%) of the first peak of the tetanic transient
after 40 tetani, while MT-I fibres (n = 5) did not show any of these changes.
However, both fibre types showed significant reductions in the maximum rate of
rise of the first peak after 40 tetani. Two subpopulations among the MT-II fibres
could be distinguished according to Ca(2+) reuptake changes. Fast-fatigable MT-II
fibres (fMT-II) showed an increase of 32.2% in the half-width value of the first
peak, while for fatigue-resistant MT-II fibres (rMT-II), the increase amounted to
6.9%, both after 40 tetani. Significant and non-significant increases of 36.4%
and 11.9% in the first time constant of decay (t(1)) values were seen after 40
tetani in fMT-II and rMT-II fibres, respectively. MT-I fibres did not show
kinetic changes in any of the Ca(2+) reuptake variables. All changes were
reversed after an average recovery of 7.5 and 15.4 min for MT-I and MT-II fibres,
respectively. Further experiments ruled out the possibility that the differences
in the kinetic changes of the first peak of the Ca(2+) transients between fibres
MT-I and MT-II could be related to the inactivation of Ca(2+) release mechanism.
In conclusion, we established a model of enzymatically dissociated fibres, loaded
with Magfluo-4 and adhered to Laminin, to study muscle fatigue and demonstrated
fibre-type-dependent, fatigue-induced kinetic changes in both Ca(2+) release and
reuptake.
PMID- 21878527
TI - Xamoterol impairs hippocampus-dependent emotional memory retrieval via Gi/o
coupled beta2-adrenergic signaling.
AB - Xamoterol, a partial beta(1)-adrenergic receptor agonist, has been reported to
impair the retrieval of hippocampus-dependent spatial reference memory in rats.
In contrast, xamoterol restores memory retrieval in gene-targeted mice lacking
norepinephrine (NE) and in a transgenic mouse model of Down syndrome in which NE
levels are reduced. Restoration of retrieval by xamoterol in these two models
complements the observation that NE and beta(1) signaling are required for
hippocampus-dependent retrieval of contextual and spatial reference memory in
wild-type mice and rats. Additional evidence indicates that cAMP-mediated PKA and
Epac signaling are required for the retrieval of hippocampus-dependent memory. As
a result, we hypothesized that xamoterol has effects in addition to the
stimulation of beta(1) receptors that, at higher doses, act to counter the
effects of beta(1) signaling. Here we report that xamoterol-induced disruption of
memory retrieval depends on beta(2)-adrenergic receptor signaling. Interestingly,
the impairment of memory retrieval by xamoterol is blocked by pretreatment with
pertussis toxin, an uncoupling agent for G(i/o) signaling, suggesting that
beta(2) signaling opposes beta(1) signaling during memory retrieval at the level
of G protein and cAMP signaling. Finally, similar to the time-dependent roles for
NE, beta(1), and cAMP signaling in hippocampus-dependent memory retrieval,
xamoterol only impairs retrieval for several days after training, indicating that
its effects are also limited by the age of the memory. We conclude that the
disruption of memory retrieval by xamoterol is mediated by G(i/o)-coupled beta(2)
signaling, which opposes the G(s)-coupled beta(1) signaling that is transiently
required for hippocampus-dependent emotional memory retrieval.
PMID- 21878528
TI - Running is the neurogenic and neurotrophic stimulus in environmental enrichment.
AB - Environmental enrichment (EE) increases dentate gyrus (DG) neurogenesis and brain
derived neurotrophic factor (BDNF) levels. However, running is considered an
element of EE. To dissociate effects of physical activity and enrichment on
hippocampal neurogenesis and BDNF levels, young female C57Bl/6 mice were housed
under control, running, enrichment, or enrichment plus running conditions, and
injected with bromodeoxyuridine. Cell genesis was assessed after 12 d and
differentiation was analyzed 1 mo later. In addition, locomotor activity in the
open field and hippocampal mature BDNF peptide levels were measured. Open-field
adaptation was improved in all groups, compared to controls, but more so with
running. New cell proliferation, survival, neuron number, and neurotrophin levels
were enhanced only when running was accessible. We conclude that exercise is the
critical factor mediating increased BDNF levels and adult hippocampal
neurogenesis.
PMID- 21878529
TI - TLR9 agonists oppositely modulate DNA repair genes in tumor versus immune cells
and enhance chemotherapy effects.
AB - Synthetic oligodeoxynucleotides expressing CpG motifs (CpG-ODN) are a Toll-like
receptor 9 (TLR9) agonist that can enhance the antitumor activity of DNA-damaging
chemotherapy and radiation therapy in preclinical mouse models. We hypothesized
that the success of these combinations is related to the ability of CpG-ODN to
modulate genes involved in DNA repair. We conducted an in silico analysis of
genes implicated in DNA repair in data sets obtained from murine colon carcinoma
cells in mice injected intratumorally with CpG-ODN and from splenocytes in mice
treated intraperitoneally with CpG-ODN. CpG-ODN treatment caused downregulation
of DNA repair genes in tumors. Microarray analyses of human IGROV-1 ovarian
carcinoma xenografts in mice treated intraperitoneally with CpG-ODN confirmed in
silico findings. When combined with the DNA-damaging drug cisplatin, CpG-ODN
significantly increased the life span of mice compared with individual
treatments. In contrast, CpG-ODN led to an upregulation of genes involved in DNA
repair in immune cells. Cisplatin-treated patients with ovarian carcinoma as well
as anthracycline-treated patients with breast cancer who are classified as "CpG
like" for the level of expression of CpG-ODN modulated DNA repair genes have a
better outcome than patients classified as "CpG-untreated-like," indicating the
relevance of these genes in the tumor cell response to DNA-damaging drugs. Taken
together, the findings provide evidence that the tumor microenvironment can
sensitize cancer cells to DNA-damaging chemotherapy, thereby expanding the
benefits of CpG-ODN therapy beyond induction of a strong immune response.
PMID- 21878530
TI - Antiangiogenic agent sunitinib transiently increases tumor oxygenation and
suppresses cycling hypoxia.
AB - Structural and functional abnormalities in tumor blood vessels impact the
delivery of oxygen and nutrients to solid tumors, resulting in chronic and
cycling hypoxia. Although chronically hypoxic regions exhibit treatment
resistance, more recently it has been shown that cycling hypoxic regions acquire
prosurvival pathways. Angiogenesis inhibitors have been shown to transiently
normalize the tumor vasculatures and enhance tumor response to treatments.
However, the effect of antiangiogenic therapy on cycling tumor hypoxia remains
unknown. Using electron paramagnetic resonance imaging and MRI in tumor-bearing
mice, we have examined the vascular renormalization process by longitudinally
mapping tumor partial pressure of oxygen (pO(2)) and microvessel density during
treatments with a multi-tyrosine kinase inhibitor sunitinib. Transient
improvement in tumor oxygenation was visualized by electron paramagnetic
resonance imaging 2 to 4 days following antiangiogenic treatments, accompanied by
a 45% decrease in microvessel density. Radiation treatment during this time
period of improved oxygenation by antiangiogenic therapy resulted in a
synergistic delay in tumor growth. In addition, dynamic oxygen imaging obtained
every 3 minutes was conducted to distinguish tumor regions with chronic and
cycling hypoxia. Sunitinib treatment suppressed the extent of temporal
fluctuations in tumor pO(2) during the vascular normalization window, resulting
in the decrease of cycling tumor hypoxia. Overall, the findings suggest that
longitudinal and noninvasive monitoring of tumor pO(2) makes it possible to
identify a window of vascular renormalization to maximize the effects of
combination therapy with antiangiogenic drugs.
PMID- 21878535
TI - Randomized phase II trial of letrozole plus anti-MUC1 antibody AS1402 in hormone
receptor-positive locally advanced or metastatic breast cancer.
AB - PURPOSE: AS1402 is a humanized immunoglobulin G1 antibody that targets the
aberrantly glycosylated antigen MUC1, which is overexpressed in 90% of breast
tumors and contributes to estrogen-mediated growth and survival of breast cancer
cells in vitro by modulating estrogen receptor (ER) activity. Aromatase
inhibitors have been reported to enhance antibody-dependent cell-mediated
cytotoxicity elicited by antibodies in vitro. We compared the outcomes of
patients with breast cancer treated with letrozole with or without AS1402.
EXPERIMENTAL DESIGN: The study population included 110 patients with locally
advanced or metastatic hormone receptor-positive breast cancer randomized to
receive 2.5 mg letrozole only once daily or with a weekly 9 mg/kg AS1402
infusion. The primary endpoint was overall response rate. Secondary endpoints
included progression-free survival, time to progression, and safety. AS1402
exposure and influence of allotypes of FcgammaRIIIa, FcgammaRIIa, and MUC1 were
evaluated. RESULTS: The study was stopped early because of a trend toward worse
response rates and a higher rate of early disease progression in the AS1402 +
letrozole arm. Final analysis revealed no significant difference in efficacy
between the study arms. Evaluated gene polymorphisms did not define patient
subgroups with improved outcomes. Addition of AS1402 to letrozole was associated
with manageable toxicity. CONCLUSIONS: Because adding AS1402 to letrozole did not
improve outcomes compared with letrozole only, blocking ER may be a better
strategy for harnessing MUC1 modulation of the ER to a clinical advantage.
FcgammaRIIIa, FcgammaRIIa, and MUC1 allotype did not predict outcome for patients
treated with letrozole with or without AS1402.
PMID- 21878536
TI - PTEN protein loss by immunostaining: analytic validation and prognostic indicator
for a high risk surgical cohort of prostate cancer patients.
AB - PURPOSE: Analytically validated assays to interrogate biomarker status in
clinical samples are crucial for personalized medicine. PTEN is a tumor
suppressor commonly inactivated in prostate cancer that has been mechanistically
linked to disease aggressiveness. Though deletion of PTEN, as detected by
cumbersome FISH spot counting assays, is associated with poor prognosis, few
studies have validated immunohistochemistry (IHC) assays to determine whether
loss of PTEN protein is associated with unfavorable disease. EXPERIMENTAL DESIGN:
PTEN IHC was validated by employing formalin fixed and paraffin-embedded isogenic
human cell lines containing or lacking intact PTEN alleles. PTEN IHC was 100%
sensitive and 97.8% specific for detecting genomic alterations in 58 additional
cell lines. PTEN protein loss was then assessed on 376 prostate tumor samples,
and PTEN FISH or high resolution single nucleotide polymorphism microarray
analysis was done on a subset of these cases. RESULTS: PTEN protein loss, as
assessed as a dichotomous IHC variable, was highly reproducible, correlated
strongly with adverse pathologic features (e.g., Gleason score and pathologic
stage), detected between 75% and 86% of cases with PTEN genomic loss, and was
found at times in the absence of apparent genomic loss. In a cohort of 217 high
risk surgically treated patients, PTEN protein loss was associated with decreased
time to metastasis. CONCLUSION: These studies validate a simple method to
interrogate PTEN status in clinical specimens and support the utility of this
test in future multicenter studies, clinical trials, and ultimately perhaps for
routine clinical care.
PMID- 21878537
TI - The novel chemical entity YTR107 inhibits recruitment of nucleophosmin to sites
of DNA damage, suppressing repair of DNA double-strand breaks and enhancing
radiosensitization.
AB - PURPOSE: Radiation therapy continues to be an important therapeutic strategy for
providing definitive local/regional control of human cancer. However, oncogenes
that harbor driver mutations and/or amplifications can compromise therapeutic
efficacy. Thus, there is a need for novel approaches that enhance the DNA damage
produced by ionizing radiation. EXPERIMENTAL DESIGN: A forward chemical genetic
approach coupled with cell-based phenotypic screening of several tumor cell lines
was used to identify a novel chemical entity (NCE) that functioned as a radiation
sensitizer. Proteomics, comet assays, confocal microscopy, and immunoblotting
were used to identify the biological target. RESULTS: The screening process
identified a 5-((N-benzyl-1H-indol-3-yl)-methylene)pyrimidine
2,4,6(1H,3H,5H)trione as an NCE that radiosensitized cancer cells expressing
amplified and/or mutated RAS, ErbB, PIK3CA, and/or BRAF oncogenes. Affinity-based
solid-phase resin capture followed by liquid chromatography/tandem mass
spectrometry identified the chaperone nucleophosmin (NPM) as the NCE target.
SiRNA suppression of NPM abrogated radiosensitization by the NCE. Confocal
microscopy showed that the NCE inhibited NPM shuttling to radiation-induced DNA
damage repair foci, and the analysis of comet assays indicated a diminished rate
of DNA double-strand break repair. CONCLUSION: These data support the hypothesis
that inhibition of DNA repair due to inhibition of NPM shuttling increases the
efficacy of DNA-damaging therapeutic strategies.
PMID- 21878539
TI - SNPs and Haplotypes in DPYD and Outcome of Capecitabine-Letter.
PMID- 21878538
TI - Dicer-mediated upregulation of BCRP confers tamoxifen resistance in human breast
cancer cells.
AB - PURPOSE: Tamoxifen (Tam) is the most prescribed hormonal agent for treatment of
estrogen receptor alpha (ERalpha)-positive breast cancer patients. Using
microarray analysis, we observed that metastatic breast tumors resistant to Tam
therapy had elevated levels of Dicer. EXPERIMENTAL DESIGN: We overexpressed Dicer
in ERalpha-positive MCF-7 human breast cancer cells and observed a concomitant
increase in expression of the breast cancer resistance protein (BCRP). We thus
hypothesized that Tam resistance associated with Dicer overexpression in ERalpha
positive breast cancer cells may involve BCRP. We analyzed BCRP function in Dicer
overexpressing cells using growth in soft agar and mammosphere formation and
evaluated intracellular Tam efflux. RESULTS: In the presence of Tam, Dicer
overexpressing cells formed resistant colonies in soft agar, and treatment with
BCRP inhibitors restored Tam sensitivity. Tumor xenograft studies confirmed that
Dicer-overexpressing cells were resistant to Tam in vivo. Tumors and distant
metastases could be initiated with as few as five mammosphere cells from both
vector and Dicer-overexpressing cells, indicating that the mammosphere assay
selected for cells with enhanced tumor-initiating and metastatic capacity. Dicer
overexpressing cells with elevated levels of BCRP effluxed Tam more efficiently
than control cells, and BCRP inhibitors were able to inhibit efflux. CONCLUSION:
Dicer-overexpressing breast cancer cells enriched for cells with enhanced BCRP
function. We hypothesize that it is this population which may be involved in the
emergence of Tam-resistant growth. BCRP may be a novel clinical target to restore
Tam sensitivity.
PMID- 21878541
TI - SNPs and haplotypes in DPYD and outcome of capecitabine--Letter.
PMID- 21878542
TI - Modulating impact of human chorionic gonadotropin hormone on the maturation and
function of hematopoietic cells.
AB - hCG hormone is a naturally occurring, immune-modulating agent, which is highly
expressed during pregnancy and causes improvements of some autoimmune diseases
such as multiple sclerosis and Crohn's disease. Little is known about its immune
modulating effects. This study in MNCs of women who received hCG as
preconditioning prior to IVF demonstrates that hCG increases anti-inflammatory IL
27 expression and reduces inflammatory IL-17 expression. In addition, we found
increased IL-10 levels and elevated numbers of Tregs in peripheral blood of women
after hCG application. Rejection of allogeneic skin grafts was delayed in female
mice receiving hCG. We conclude that hCG may be useful for the induction of
immune tolerance in solid organ transplantation.
PMID- 21878543
TI - Defective eosinophil hematopoiesis ex vivo in inbred Rocky Mountain White (IRW)
mice.
AB - We examine the proliferation and differentiation of bone marrow (BM) progenitors
from inbred Rocky Mountain White (IRW) mice, a strain used primarily for
retrovirus infection studies. In contrast to findings with BALB/c and C57BL/6
strains, IRW BM cells cannot proliferate or generate pure eosinophil cultures ex
vivo in response to a defined cytokine regimen. Analysis of IRW BM at baseline
was unremarkable, including 0.08 +/- 0.03% Lin(-)Sca-1(+)c-kit(+) (LSK)
hematopoietic stem cells and 5.2 +/- 0.3% eosinophils; the percentage of
eosinophil progenitors (EoPs; Lin(-)Sca-1(-)c-kit(+)CD34(+)IL-5Ralpha(+)) was
similar in all three mouse strains. Transcripts encoding GM-CSFRalpha and the IL
3/IL-5/GM-CSF common beta chain were detected at equivalent levels in IRW and
BALB/c BM, whereas expression of transcripts encoding IL-5Ralpha, IL-3Ralpha, and
GATA-2 was diminished in IRW BM compared with BALB/c. Expression of membrane
bound IL-5Ralpha and intracellular STAT5 proteins was also diminished in IRW BM
cells. Diminished expression of transcripts encoding IL-5Ralpha and GATA-2 and
immunoreactive STAT5 in IRW BM persisted after 4 days in culture, along with
diminished expression of GATA-1. Western blot revealed that cells from IRW BM
overexpress nonsignaling soluble IL-5Ralpha protein. Interestingly, OVA
sensitization and challenge resulted in BM and airway eosinophilia in IRW mice;
however, the responses were significantly blunted. These results suggest that IRW
mice have diminished capacity to generate eosinophils in culture and in vivo,
likely as a result of diminished signaling via IL-5Ralpha.
PMID- 21878545
TI - Inhibitory effect of semaphorin-3A, a known axon guidance molecule, in the human
thymocyte migration induced by CXCL12.
AB - Intrathymic T cell differentiation takes place within the thymic lobules and
depends on interactions between developing thymocytes and cells of the thymic
microenvironment. Along with differentiation, thymocytes migrate in an oriented
progression, which is tightly regulated by a number of interactions, including
one mediated by the chemokine CXCL12. It has been shown recently that SEMA-3A, a
soluble member of the semaphorin family, is also involved in this human thymocyte
migration and can have a chemorepulsive and de-adhesive role. Herein, we study
the role of SEMA-3A on the CXCL12-driven migration of human thymocytes. We have
shown that SEMA-3A is able to inhibit the chemotaxis triggered by CXCL12. Such an
inhibition was seen in respect to immature and mature CD4/CD8-defined thymocyte
subsets and can be reverted specifically by neutralizing anti-SEMA-3A mAb. We
have also shown that SEMA-3A consistently down-regulates CXCR4 membrane
expression in all CD4/CD8-defined thymocyte subsets, and this down-regulation is
accompanied by a decrease in the phosphorylation of FAK and ZAP-70 protein
kinases. Taken together, these results demonstrate the involvement of SEMA-3A in
the regulation of CXCL12-driven human thymocyte migration, where it acts as a
physiological antagonist.
PMID- 21878544
TI - Macrophage phagocytosis: effects of environmental pollutants, alcohol, cigarette
smoke, and other external factors.
AB - The ability of a pathogen to evade host immunity successfully, in contrast to the
host's capacity to defend itself against a foreign invader, is a complex
struggle, in which eradication of infection is dictated by a robust immunologic
response. Often, there are external factors that can alter the outcome by tipping
the scale to benefit pathogen establishment rather than resolution by the host's
defense system. These external sources, such a cigarettes, alcohol, or
environmental pollutants, can negatively influence the effectiveness of the
immune system's response to a pathogen. The observed suppression of immune
function can be attributed to dysregulated cytokine and chemokine production, the
loss of migratory potential, or the inability to phagocytose pathogens by immune
cells. This review will focus on the mechanisms involved during the toxin-induced
suppression of phagocytosis. The accumulated data support the importance of
studying the mechanisms of phagocytosis following exposure to these factors, in
that this effect alone cannot only leave the host susceptible to infection but
also promote alterations in many other macrophage functions necessary for
pathogen clearance and restoration of homeostasis.
PMID- 21878546
TI - Interactions of a Pop5/Rpp1 heterodimer with the catalytic domain of RNase MRP.
AB - Ribonuclease (RNase) MRP is a multicomponent ribonucleoprotein complex closely
related to RNase P. RNase MRP and eukaryotic RNase P share most of their protein
components, as well as multiple features of their catalytic RNA moieties, but
have distinct substrate specificities. While RNase P is practically universally
found in all three domains of life, RNase MRP is essential in eukaryotes. The
structural organizations of eukaryotic RNase P and RNase MRP are poorly
understood. Here, we show that Pop5 and Rpp1, protein components found in both
RNase P and RNase MRP, form a heterodimer that binds directly to the conserved
area of the putative catalytic domain of RNase MRP RNA. The Pop5/Rpp1 binding
site corresponds to the protein binding site in bacterial RNase P RNA. Structural
and evolutionary roles of the Pop5/Rpp1 heterodimer in RNases P and MRP are
discussed.
PMID- 21878547
TI - Argonaute protein identity and pairing geometry determine cooperativity in
mammalian RNA silencing.
AB - Small RNAs loaded into Argonaute proteins direct silencing of complementary
target mRNAs. It has been proposed that multiple, imperfectly complementary small
interfering RNAs or microRNAs, when bound to the 3' untranslated region of a
target mRNA, function cooperatively to silence target expression. We report that,
in cultured human HeLa cells and mouse embryonic fibroblasts, Argonaute1 (Ago1),
Ago3, and Ago4 act cooperatively to silence both perfectly and partially
complementary target RNAs bearing multiple small RNA-binding sites. Our data
suggest that for Ago1, Ago3, and Ago4, multiple, adjacent small RNA-binding sites
facilitate cooperative interactions that stabilize Argonaute binding. In
contrast, small RNAs bound to Ago2 and pairing perfectly to an mRNA target act
independently to silence expression. Noncooperative silencing by Ago2 does not
require the endoribonuclease activity of the protein: A mutant Ago2 that cannot
cleave its mRNA target also silences noncooperatively. We propose that Ago2 binds
its targets by a mechanism fundamentally distinct from that used by the three
other mammalian Argonaute proteins.
PMID- 21878548
TI - The crystal structure of an oligo(U):pre-mRNA duplex from a trypanosome RNA
editing substrate.
AB - Guide RNAs bind antiparallel to their target pre-mRNAs to form editing substrates
in reaction cycles that insert or delete uridylates (Us) in most mitochondrial
transcripts of trypanosomes. The 5' end of each guide RNA has an anchor sequence
that binds to the pre-mRNA by base-pair complementarity. The template sequence in
the middle of the guide RNA directs the editing reactions. The 3' ends of most
guide RNAs have ~15 contiguous Us that bind to the purine-rich unedited pre-mRNA
upstream of the editing site. The resulting U-helix is rich in G.U wobble base
pairs. To gain insights into the structure of the U-helix, we crystallized 8 bp
of the U-helix in one editing substrate for the A6 mRNA of Trypanosoma brucei.
The fragment provides three samples of the 5'-AGA-3'/5'-UUU-3' base-pair triple.
The fusion of two identical U-helices head-to-head promoted crystallization. We
obtained X-ray diffraction data with a resolution limit of 1.37 A. The U-helix
had low and high twist angles before and after each G.U wobble base pair; this
variation was partly due to shearing of the wobble base pairs as revealed in
comparisons with a crystal structure of a 16-nt RNA with all Watson-Crick base
pairs. Both crystal structures had wider major grooves at the junction between
the poly(U) and polypurine tracts. This junction mimics the junction between the
template helix and the U-helix in RNA-editing substrates and may be a site of
major groove invasion by RNA editing proteins.
PMID- 21878549
TI - The first two nucleotides of the respiratory syncytial virus antigenome RNA
replication product can be selected independently of the promoter terminus.
AB - There is limited knowledge regarding how the RNA-dependent RNA polymerases of the
nonsegmented negative-strand RNA viruses initiate genome replication. In a
previous study of respiratory syncytial virus (RSV) RNA replication, we found
evidence that the polymerase could select the 5'-ATP residue of the genome RNA
independently of the 3' nucleotide of the template. To investigate if a similar
mechanism is used during antigenome synthesis, a study of initiation from the RSV
leader (Le) promoter was performed using an intracellular minigenome assay in
which RNA replication was restricted to a single step, so that the products
examined were derived only from input mutant templates. Templates in which Le
nucleotides 1U, or 1U and 2G, were deleted directed efficient replication, and in
both cases, the replication products were initiated at the wild-type position, at
position -1 or -2 relative to the template, respectively. Sequence analysis of
the RNA products showed that they contained ATP and CTP at the -1 and -2
positions, respectively, thus restoring the mini-antigenome RNA to wild-type
sequence. These data indicate that the RSV polymerase is able to select the first
two nucleotides of the antigenome and initiate at the correct position, even if
the 3'-terminal two nucleotides of the template are missing. Substitution of
positions +1 and +2 of the template reduced RNA replication and resulted in
increased initiation at positions +3 and +5. Together these data suggest a model
for how the RSV polymerase initiates antigenome synthesis.
PMID- 21878551
TI - Modern imaging techniques during therapy in patients with multiple myeloma.
AB - Imaging modalities used in the diagnosis of multiple myeloma have evolved and
most of them are also suitable for either early or mid-term monitoring of
response to novel antimyeloma therapy. This pictorial essay focuses on modern
imaging techniques for diagnosis and follow-up of patients with multiple myeloma
in order to highlight their individual strengths and limitations. Also, the
impact of recently established modern pharmaceutical therapy, like anti
angiogenic medication, on the tumor is addressed.
PMID- 21878552
TI - Dietary salt exacerbates isoproterenol-induced cardiomyopathy in rats.
AB - Spontaneously hypertensive heart failure rats (SHHFs) take longer to develop
compensated heart failure (HF) and congestive decompensation than common surgical
models of HF. Isoproterenol (ISO) infusion can accelerate cardiomyopathy in young
SHHFs, while dietary salt loading in hypertensive rats induces cardiac fibrosis,
hypertrophy, and--in a minority-congestive HF. By combining ISO with dietary salt
loading in young SHHFs, the authors sought a nonsurgical model that is more time-
and resource-efficient than any of these factors alone. The authors hypothesized
that salt loading would enhance ISO-accelerated cardiomyopathy, promoting
fibrosis, hypertrophy, and biochemical characteristics of HF. SHHFs (lean male,
90d) were infused for 4 wk with ISO (2.5 mg/kg/day) or saline. After 2 wk of
infusion, a 6-wk high-salt diet (4%, 6%, or 8% NaCl) was initiated. Eight percent
salt increased heart weight, HF markers (plasma B-type natriuretic peptide, IL
6), lung lymphocytes, and indicators of lung injury and edema (albumin and
protein) relative to control diet, while increasing urine pro-atrial natriuretic
peptide relative to ISO-only. High salt also exacerbated ISO-cardiomyopathy and
fibrosis. Thus, combining ISO infusion with dietary salt loading in SHHFs holds
promise for a new rat HF model that may help researchers to elucidate HF
mechanisms and unearth effective treatments.
PMID- 21878553
TI - Hyaline glomerulopathy with tubulo-fibrillary deposits in young ddY mice.
AB - Hyaline glomerulopathy with tubulo-fibrillary deposits was observed in two young
female ddY mice. One of the mice showed gross systemic edema and bilateral
enlargement and pale color of the kidneys, whereas no significant gross findings
were noted in the other mouse. Microscopically, a large number of the glomeruli
in both mice were enlarged because of diffuse and global deposition of amorphous
eosinophilic materials. The deposits were negatively stained with Congo red and
positively stained with IgG, IgM, IgA, C3, and periodic acid-Schiff. Electron
microscopic examination revealed microtubular and fibrillary deposits with
diameters of 80-100 and 9-16 nm, respectively, in the subendothelial space of the
glomeruli. These features are histopathologically similar to immunotactoid
glomerulopathy or fibrillary glomerulonephritis according to the classification
of human glomerular lesions. Understanding of these characteristics of hyaline
glomerulopathy in ddY mice is essential when evaluating pharmacological,
pharmacokinetic, and toxicological studies using this mouse strain.
PMID- 21878550
TI - Soybean homologs of MPK4 negatively regulate defense responses and positively
regulate growth and development.
AB - Mitogen-activated protein kinase (MAPK) cascades play important roles in disease
resistance in model plant species such as Arabidopsis (Arabidopsis thaliana) and
tobacco (Nicotiana tabacum). However, the importance of MAPK signaling pathways
in the disease resistance of crops is still largely uninvestigated. To better
understand the role of MAPK signaling pathways in disease resistance in soybean
(Glycine max), 13, nine, and 10 genes encoding distinct MAPKs, MAPKKs, and
MAPKKKs, respectively, were silenced using virus-induced gene silencing mediated
by Bean pod mottle virus. Among the plants silenced for various MAPKs, MAPKKs,
and MAPKKKs, those in which GmMAPK4 homologs (GmMPK4s) were silenced displayed
strong phenotypes including stunted stature and spontaneous cell death on the
leaves and stems, the characteristic hallmarks of activated defense responses.
Microarray analysis showed that genes involved in defense responses, such as
those in salicylic acid (SA) signaling pathways, were significantly up-regulated
in GmMPK4-silenced plants, whereas genes involved in growth and development, such
as those in auxin signaling pathways and in cell cycle and proliferation, were
significantly down-regulated. As expected, SA and hydrogen peroxide accumulation
was significantly increased in GmMPK4-silenced plants. Accordingly, GmMPK4
silenced plants were more resistant to downy mildew and Soybean mosaic virus
compared with vector control plants. Using bimolecular fluorescence
complementation analysis and in vitro kinase assays, we determined that GmMKK1
and GmMKK2 might function upstream of GmMPK4. Taken together, our results
indicate that GmMPK4s negatively regulate SA accumulation and defense response
but positively regulate plant growth and development, and their functions are
conserved across plant species.
PMID- 21878554
TI - Renal toxicity of lisinopril and rosuvastatin, alone and in combination, in
Wistar rats.
AB - The aim of study was to evaluate the effect of commonly used lisinopril,
rosuvastatin and their combined action on site-specific nephrotoxicity in rats
using clusterin and microalbumin nephrotoxic biomarkers and other related
parameters using oral gavage. Rosuvastatin at 2 different doses showed increase
in urinary microalbumin levels whereas lisinopril and its combination with
rosuvastatin at 2 different doses did not show urinary microalbumin excretion
indicating beneficial effects of lisinopril in terms of reducing microalbumin.
Urinary clusterin levels significantly increased in high-dose treated animals of
lisinopril and rosuvastatin. The use of lisinopril plus rosuvastatin at low dose
also led to worsened renal function by raising urinary clusterin levels (217 +/-
4.6 ng/ml) when compared with the control (143 +/- 3.3 ng/ml). Renal
histopathology showed multifocal regeneration of tubules indicating proximal
tubule damaged. These results indicate that lisinopril (50 mg/kg), rosuvastatin
(100 mg/kg), lisinopril+rosuvastatin (20+40 mg/kg) and lisinopril+rosuvastatin
(50+100 mg/kg) showed toxicity only on proximal tubules.
PMID- 21878555
TI - The role of the toxicologic pathologist in the biopharmaceutical industry.
AB - Toxicologic pathologists contribute significantly to the development of new
biopharmaceuticals, yet there is often a lack of awareness of this specialized
role. As the members of multidisciplinary teams, toxicologic pathologists
participate in all aspects of the drug development process. This review is part
of an initiative by the Society of Toxicologic Pathology to educate scientists
about toxicologic pathology and to attract junior scientists, veterinary
students, and veterinarians into the field. We describe the role of toxicologic
pathologists in identifying candidate agents, elucidating bioactive pathways, and
evaluating efficacy and toxicity in preclinical animal models. Educational and
specialized training requirements and the challenges of working in a global
environment are discussed. The biopharmaceutical industry provides diverse,
challenging, and rewarding career opportunities in toxicologic pathology. We hope
that this review promotes understanding of the important role the toxicologic
pathologist plays in drug development and encourages exploration of an important
career option.
PMID- 21878556
TI - Paving asphalt products exhibit a lack of carcinogenic and mutagenic activity.
AB - A paving asphalt and a vacuum residuum (derived from crude oil by atmospheric and
subsequent vacuum distillation and used as a blend stock for asphalt) were tested
in skin carcinogenesis assays in mice and in optimized Ames assays for mutagenic
activity. In the skin cancer tests, each substance was applied twice weekly for
104 weeks to the clipped backs of groups of 50 male C3H mice. Neither the paving
asphalt nor the vacuum residuum (30% weight/volume and 75% weight/weight in US
Pharmacopeia mineral oil, respectively) produced any tumors. The positive control
benzo[a]pyrene (0.05% w/v in toluene) induced tumors in 46 of 50 mice,
demonstrating the effectiveness of the test method. Salmonella typhimurium tester
strain TA98 was used in the optimized Ames assay to evaluate mutagenic potential.
Dimethylsulfoxide (DMSO) extractions of the substances were not mutagenic when
tested up to toxic limits. Thus, under the conditions of these studies, neither
the paving asphalt nor the vacuum residuum was carcinogenic or mutagenic.
PMID- 21878557
TI - A metabonomic characterization of (+)-usnic acid-induced liver injury by gas
chromatography-mass spectrometry-based metabolic profiling of the plasma and
liver in rat.
AB - Three doses of (+)-usnic acid (100, 200, and 240 mg/kg per d) were administered
orally to Wistar rats for 8 days, and metabonomic characterization of (+)-usnic
acid-induced liver injury based on gas chromatography-mass spectrometry metabolic
profiles was evaluated. Serum biochemical analysis and histopathological
examinations were simultaneously performed. The liver/body weight ratio was
significantly increased in (+)-usnic acid-treated groups, whereas serum alanine
aminotransferase and total bilirubin were significantly elevated. In liver
sections of 200 and 240 mg/kg dosage groups, widespread hydropic degeneration of
hepatocytes was observed. Clusters in partial least squares discriminant analysis
score plots showed control and (+)-usnic acid-treated groups had an obvious
separation. (+)-Usnic acid exposure can lead to disturbances in energy
metabolism, amino acid metabolism, lipid metabolism, and nucleotide metabolism,
which may be attributable to (+)-usnic acid toxicological effects on the liver
through oxidative stress. The significant changes in 22 metabolites in liver
might be adopted as potential biomarkers.
PMID- 21878558
TI - Complex N-acetylation of triethylenetetramine.
AB - Triethylenetetramine (TETA) is an efficient copper chelator that has versatile
clinical potential. We have recently shown that spermidine/spermine-N(1)
acetyltransferase (SSAT1), the key polyamine catabolic enzyme, acetylates TETA in
vitro. Here, we studied the metabolism of TETA in three different mouse lines:
syngenic, SSAT1-overexpressing, and SSAT1-deficient (SSAT1-KO) mice. The mice
were sacrificed at 1, 2, or 4 h after TETA injection (300 mg/kg i.p.). We found
only N(1)-acetyltriethylenetetramine (N(1)AcTETA) and/or TETA in the liver,
kidney, and plasma samples. As expected, SSAT1-overexpressing mice acetylated
TETA at an accelerated rate compared with syngenic and SSAT1-KO mice. It is
noteworthy that SSAT1-KO mice metabolized TETA as syngenic mice did, probably by
thialysine acetyltransferase, which had a K(m) value of 2.5 +/- 0.3 mM and a
k(cat) value of 1.3 s(-1) for TETA when tested in vitro with the human
recombinant enzyme. Thus, the present results suggest that there are at least two
N-acetylases potentially metabolizing TETA. However, their physiological
significance for TETA acetylation requires further studies. Furthermore, we
detected chemical intramolecular N-acetyl migration from the N(1) to N(3)
position of N(1)AcTETA and N(1),N(8)-diacetyltriethylenetetramine in an acidified
high-performance liquid chromatography sample matrix. The complex metabolism of
TETA together with the intramolecular N-acetyl migration may explain the huge
individual variations in the acetylation rate of TETA reported earlier.
PMID- 21878559
TI - Variations in ATP-binding cassette transporter regulation during the progression
of human nonalcoholic fatty liver disease.
AB - Transporters located on the sinusoidal and canalicular membranes of hepatocytes
regulate the efflux of drugs and metabolites into blood and bile, respectively.
Changes in the expression or function of these transporters during liver disease
may lead to a greater risk of adverse drug reactions. Nonalcoholic fatty liver
disease (NAFLD) is a progressive condition encompassing the relatively benign
steatosis and the more severe, inflammatory state of nonalcoholic steatohepatitis
(NASH). Here, we present an analysis of the effect of NAFLD progression on the
major ATP-binding cassette (ABC) efflux transport proteins ABCC1-6, ABCB1, and
ABCG2. Human liver samples diagnosed as normal, steatotic, NASH (fatty), and NASH
(not fatty) were analyzed. Increasing trends in mRNA expression of ABCC1, ABCC4
5, ABCB1, and ABCG2 were found with NAFLD progression, whereas protein levels of
all transporters exhibited increasing trends with disease progression.
Immunohistochemical staining of ABCC3, ABCB1, and ABCG2 revealed no alterations
in cellular localization during NAFLD progression. ABCC2 staining revealed an
alternative mechanism of regulation in NASH in which the transporter appears to
be internalized away from the canalicular membrane. This correlated with a
preferential shift in the molecular mass of ABCC2 from 200 to 180 kDa in NASH,
which has been shown to be associated with a loss of glycosylation and
internalization of the protein. These data demonstrate increased expression of
multiple efflux transporters as well as altered cellular localization of ABCC2 in
NASH, which may have profound effects on the ability of patients with NASH to
eliminate drugs in an appropriate manner.
PMID- 21878560
TI - Long-term stability of clinical laboratory data: sodium as benchmark.
PMID- 21878561
TI - SPINK1: a new therapeutic target in cancer?
PMID- 21878562
TI - Horizontal gene transfer facilitated the evolution of plant parasitic mechanisms
in the oomycetes.
AB - Horizontal gene transfer (HGT) can radically alter the genomes of microorganisms,
providing the capacity to adapt to new lifestyles, environments, and hosts.
However, the extent of HGT between eukaryotes is unclear. Using whole-genome,
gene-by-gene phylogenetic analysis we demonstrate an extensive pattern of cross
kingdom HGT between fungi and oomycetes. Comparative genomics, including the de
novo genome sequence of Hyphochytrium catenoides, a free-living sister of the
oomycetes, shows that these transfers largely converge within the radiation of
oomycetes that colonize plant tissues. The repertoire of HGTs includes a large
number of putatively secreted proteins; for example, 7.6% of the secreted
proteome of the sudden oak death parasite Phytophthora ramorum has been acquired
from fungi by HGT. Transfers include gene products with the capacity to break
down plant cell walls and acquire sugars, nucleic acids, nitrogen, and phosphate
sources from the environment. Predicted HGTs also include proteins implicated in
resisting plant defense mechanisms and effector proteins for attacking plant
cells. These data are consistent with the hypothesis that some oomycetes became
successful plant parasites by multiple acquisitions of genes from fungi.
PMID- 21878564
TI - The neuronal K-Cl cotransporter KCC2 influences postsynaptic AMPA receptor
content and lateral diffusion in dendritic spines.
AB - The K-Cl cotransporter KCC2 plays an essential role in neuronal chloride
homeostasis, and thereby influences the efficacy and polarity of GABA signaling.
Although KCC2 is expressed throughout the somatodendritic membrane, it is
remarkably enriched in dendritic spines, which host most glutamatergic synapses
in cortical neurons. KCC2 has been shown to influence spine morphogenesis and
functional maturation in developing neurons, but its function in mature dendritic
spines remains unknown. Here, we report that suppressing KCC2 expression
decreases the efficacy of excitatory synapses in mature hippocampal neurons. This
effect correlates with a reduced postsynaptic aggregation of GluR1-containing
AMPA receptors and is mimicked by a dominant negative mutant of KCC2 interaction
with cytoskeleton but not by pharmacological suppression of KCC2 function. Single
particle tracking experiments reveal that suppressing KCC2 increases lateral
diffusion of the mobile fraction of AMPA receptor subunit GluR1 in spines but not
in adjacent dendritic shafts. Increased diffusion was also observed for
transmembrane but not membrane-anchored recombinant neuronal cell adhesion
molecules. We suggest that KCC2, likely through interactions with the actin
cytoskeleton, hinders transmembrane protein diffusion, and thereby contributes to
their confinement within dendritic spines.
PMID- 21878565
TI - Stereotyped fetal brain disorganization is induced by hypoxia and requires
lysophosphatidic acid receptor 1 (LPA1) signaling.
AB - Fetal hypoxia is a common risk factor that has been associated with a range of
CNS disorders including epilepsy, schizophrenia, and autism. Cellular and
molecular mechanisms through which hypoxia may damage the developing brain are
incompletely understood but are likely to involve disruption of the laminar
organization of the cerebral cortex. Lysophosphatidic acid (LPA) is a bioactive
lipid capable of cortical influences via one or more of six cognate G protein
coupled receptors, LPA(1-6), several of which are enriched in fetal neural
progenitor cells (NPCs). Here we report that fetal hypoxia induces cortical
disruption via increased LPA(1) signaling involving stereotyped effects on NPCs:
N-cadherin disruption, displacement of mitotic NPCs, and impaired neuronal
migration, as assessed both ex vivo and in vivo. Importantly, genetic removal or
pharmacological inhibition of LPA(1) prevented the occurrence of these hypoxia
induced phenomena. Hypoxia resulted in overactivation of LPA(1) through selective
inhibition of G protein-coupled receptor kinase 2 expression and activation of
downstream pathways including G(alphai) and Ras-related C3 botulinum toxin
substrate 1. These data identify stereotyped and selective hypoxia-induced
cerebral cortical disruption requiring LPA(1) signaling, inhibition of which can
reduce or prevent disease-associated sequelae, and may take us closer to
therapeutic treatment of fetal hypoxia-induced CNS disorders and possibly other
forms of hypoxic injury.
PMID- 21878566
TI - Mouse model of Timothy syndrome recapitulates triad of autistic traits.
AB - Autism and autism spectrum disorder (ASD) typically arise from a mixture of
environmental influences and multiple genetic alterations. In some rare cases,
such as Timothy syndrome (TS), a specific mutation in a single gene can be
sufficient to generate autism or ASD in most patients, potentially offering
insights into the etiology of autism in general. Both variants of TS (the milder
TS1 and the more severe TS2) arise from missense mutations in alternatively
spliced exons that cause the same G406R replacement in the Ca(V)1.2 L-type
calcium channel. We generated a TS2-like mouse but found that heterozygous (and
homozygous) animals were not viable. However, heterozygous TS2 mice that were
allowed to keep an inverted neomycin cassette (TS2-neo) survived through
adulthood. We attribute the survival to lowering of expression of the G406R L
type channel via transcriptional interference, blunting deleterious effects of
mutant L-type channel overactivity, and addressed potential effects of altered
gene dosage by studying Ca(V)1.2 knockout heterozygotes. Here we present a
thorough behavioral phenotyping of the TS2-neo mouse, capitalizing on this unique
opportunity to use the TS mutation to model ASD in mice. Along with normal
general health, activity, and anxiety level, TS2-neo mice showed markedly
restricted, repetitive, and perseverative behavior, altered social behavior,
altered ultrasonic vocalization, and enhanced tone-cued and contextual memory
following fear conditioning. Our results suggest that when TS mutant channels are
expressed at levels low enough to avoid fatality, they are sufficient to cause
multiple, distinct behavioral abnormalities, in line with the core aspects of
ASD.
PMID- 21878567
TI - Improved vascular organization enhances functional integration of engineered
skeletal muscle grafts.
AB - Severe traumatic events such as burns, and cancer therapy, often involve a
significant loss of tissue, requiring surgical reconstruction by means of
autologous muscle flaps. The scant availability of quality vascularized flaps and
donor site morbidity often limit their use. Engineered vascularized grafts
provide an alternative for this need. This work describes a first-time analysis,
of the degree of in vitro vascularization and tissue organization, required to
enhance the pace and efficacy of vascularized muscle graft integration in vivo.
While one-day in vitro was sufficient for graft integration, a three-week
culturing period, yielding semiorganized vessel structures and muscle fibers,
significantly improved grafting efficacy. Implanted vessel networks were
gradually replaced by host vessels, coupled with enhanced perfusion and capillary
density. Upregulation of key graft angiogenic factors suggest its active role in
promoting the angiogenic response. Transition from satellite cells to mature
fibers was indicated by increased gene expression, increased capillary to fiber
ratio, and similar morphology to normal muscle. We suggest a "relay" approach in
which extended in vitro incubation, enabling the formation of a more structured
vascular bed, allows for graft-host angiogenic collaboration that promotes
anastomosis and vascular integration. The enhanced angiogenic response supports
enhanced muscle regeneration, maturation, and integration.
PMID- 21878568
TI - Lack of data to support a relationship between methane contamination of drinking
water wells and hydraulic fracturing.
PMID- 21878569
TI - Hydraulic fracturing not responsible for methane migration.
PMID- 21878571
TI - Why do influenza virus subtypes die out? A hypothesis.
AB - Novel pandemic influenza viruses enter the human population with some regularity
and can cause disease that is severe and widespread. The emergence of novel
viruses, historically, has often been coupled with the disappearance of existing
seasonal virus strains. Here, we propose that the elimination of seasonal strains
during virus pandemics is a process mediated, at the population level, by humoral
immunity. Specifically, we suggest that infection with a novel virus strain, in
people previously exposed to influenza viruses, can elicit a memory B cell
response against conserved hemagglutinin stalk epitopes and/or neuraminidase
epitopes. The anti-stalk and/or anti-neuraminidase antibodies then act to
diminish the clinical severity of disease caused by novel influenza viruses and
to eliminate seasonal virus strains.
PMID- 21878570
TI - Linkage specificity and role of properdin in activation of the alternative
complement pathway by fungal glycans.
AB - Fungal cell walls are predominantly composed of glucans, mannans, and chitin.
Recognition of these glycans by the innate immune system is a critical component
of host defenses against the mycoses. Complement, an important arm of innate
immunity, plays a significant role in fungal pathogenesis, especially the
alternative pathway (AP). Here we determine that the glycan monosaccharide
composition and glycosidic linkages affect AP activation and C3 deposition.
Furthermore, properdin, a positive regulator of the AP, contributes to these
functions. AP activation by glycan particles that varied in composition and
linkage was measured by C3a generation in serum treated with 10 mM EGTA and 10 mM
Mg(2+) (Mg-EGTA-treated serum) (AP specific; properdin functional) or Mg-EGTA
treated serum that lacked functional properdin. Particles that contained either
beta1->3 or beta1->6 glucans or both generated large and similar amounts of C3a
when the AP was intact. Blocking properdin function resulted in 5- to 10-fold
less C3a production by particulate beta1->3 glucans. However, particulate beta1
>6 glucans generated C3a via the AP only in the presence of intact properdin.
Interestingly, zymosan and glucan-mannan particles (GMP), which contain both beta
glucans and mannans, also required properdin to generate C3a. The beta1->4
glycans chitin and chitosan minimally activated C3 even when properdin was
functional. Finally, properdin binding to glucan particles (GP) and zymosan in
serum required active C3. Properdin colocalized with bound C3, suggesting that in
the presence of serum, properdin bound indirectly to glycans through C3
convertases. These findings provide a better understanding of how properdin
facilitates AP activation by fungi through interaction with the cell wall
components. IMPORTANCE: Invasive fungal infections have increased in incidence
with the widespread use of immunosuppressive therapy and invasive procedures.
Activation of the complement system contributes to innate immunity against fungi
by generating chemoattractants that recruit white blood cells and by coating the
pathogen with complement fragments that "mark" them for phagocytosis. The fungal
cell wall activates complement in an antibody-independent manner through the
alternative pathway (AP). Properdin is a positive regulator of the AP. This study
elucidates how the specificity of cell wall glycan linkages affects AP activation
and the role properdin plays in this process. Particulate beta1->3 glucans
activated the AP even in the absence of properdin, while beta1->6 glucans
required properdin for AP activation. In contrast, the beta1->4 glycans chitin
and chitosan failed to activate the AP. These findings enhance our mechanistic
understanding of how fungi activate complement and have implications for the use
of glycans in biomedical applications.
PMID- 21878572
TI - Apoptosis gene signature of Survivin and its splice variant expression in breast
carcinoma.
AB - Survivin, an anti-apoptotic protein, was described as strongly expressed in human
cancers including breast cancer. However, little is known about the association
between Survivin variants (Survivin-2B, Survivin-DeltaEx3, Survivin-3B, and
Survivin-2alpha) and the other apoptotic-related genes. In this study, we
analyzed the apoptosis gene signature of Survivin and its variant expression in
breast cancer. Human Apoptosis Gene Arrays were used to screen genes that could
be associated with Survivin variants. Expression of the five transcripts was
measured by RT-PCR in 135 breast carcinomas and Cox survival analysis was
analyzed according to the patient outcome. Significant associations between
Survivin transcripts and apoptotic genes were found. Interestingly, Survivin-3B
variant showed major inverse correlations with pro-apoptotic genes. In addition,
in vitro results indicated that overexpression of Survivin-3B strongly inhibits 5
fluorouracil/epirubicin/cyclophosphamide-induced apoptosis in breast tumor cell
lines. In breast carcinomas, uni- and multivariate analysis showed patients with
high level of Survivin-3B expression had a shorter overall (P=0.030 and P=0.042
respectively), and disease-free (P=0.024 and P=0.009) survival. Our data suggest
that Survivin-3B contributes to cell survival through the anti-apoptotic pathway
and that its expression level could be an important factor in determining
therapeutic strategies for breast carcinoma.
PMID- 21878573
TI - Potential life-threatening events in schools involving rescue inhalers,
epinephrine autoinjectors, and glucagon delivery devices: reports from school
nurses.
AB - The purpose of this research is to use the reports of school nurses to facilitate
the understanding of how schools experience and manage asthmatic, anaphylactic,
and diabetic emergencies by quantitative and qualitative analysis of online
surveys. E-mails with a link to SurveyMonkey(r) were sent to all U.S. members of
the National Association of School Nurses (13,695). Subjects were asked to
describe their self-reported knowledge, opinions, practices, and experiences with
such emergencies and the devices used to manage them. Regarding the frequency of
emergencies in a given school year, the medians were 8 for asthma, 0 for
anaphylaxis, and 10 for hypoglycemia. Twenty-two, five, and one subjects,
respectively, reported that events like these resulted in deaths during their
careers as school nurses. These diseases create substantial potential for
emergencies in schools, and the schools represented by these nurses appear to be
somewhat, but not ideally, equipped to handle such crises.
PMID- 21878574
TI - Development and psychometric evaluation of a new instrument for measuring sleep
length and television and computer habits of Swedish school-age children.
AB - The aim was to develop a new instrument for measuring length of sleep as well as
television and computer habits in school-age children. A questionnaire was
constructed for use when children visit the school health care unit. Three
aspects of the validity of the questionnaire were examined: its face validity,
content validity, and construct validity. Test-retest reliability was assessed by
giving the questionnaire twice, 2 weeks apart, to the respondents. The
questionnaire was assessed as being reasonably valid, the test-retest results (n
= 138) showing 90.4% of the estimates regarding bedtime on weeknights on the two
survey occasions to lie within +/- 30 min of each other, the test-retest
agreement also being rather close (kappa > .600) regarding both sleep and media
habits. The instrument can be a valuable tool in a clinical setting, both for
measuring sleep habits in a class and for discussing sleep with individual school
children and their families.
PMID- 21878575
TI - A School-Based Health Center-University Nursing Partnership: How We Filled in the
GAPS.
AB - Young adolescents, age 10-15 years, have increasing psychosocial and biomedical
health care needs, yet are some of the lowest users of conventional health
services. In eastern North Carolina, school-based health centers (SBHCs) provide
primary health care to thousands of school-age children in the most rural,
medically underserved areas. SBHCs receive reimbursement from local, state, and
private funding sources and their viability depends on the demonstration of
outcomes. Using the Guidelines for Adolescent Preventive Services (GAPS)
assessment tool, an SBHC-university nursing partnership evaluated the use of
preventive health services by fifth and sixth grade students (n = 690). Findings
suggest that the vast majority of early adolescents needed a referral for a
physical exam, nutrition, mental health, or health education services. This
article describes key components for a successful SBHC-university nursing
partnership that can evaluate and improve existing school health programs.
PMID- 21878577
TI - The linkage of life course, migration, health, and aging: health in adults and
elderly Mexican migrants.
AB - Migration is a phenomenon that impacts individuals throughout the life course.
Particularly, Mexican elderly migrants show evidence of lifetime accumulations of
the effects of migration on health conditions. OBJECTIVES: Examine how the
relationship between historical time and individual time explains different
factors impacting the health of Mexican adult and elderly migrants in Mexico and
the United States. METHOD: Data from in-depth interviews with Mexican migrants
living in selected locations in Mexico and the United States were used to
illustrate the links between life course conditions, aging, migration, and health
outcomes. RESULTS AND DISCUSSION: According to this theoretical perspective and
the data, historical time, age at migration, and the conditions under which the
migration trajectory developed, show different impacts on the health and quality
of life of the elderly, as revealed through analysis of labor experience, disease
and accidents, medical service, health treatment, transnational networks, and
family formation.
PMID- 21878578
TI - Randomized 5-treatment crossover study to assess the effects of external heat on
serum fentanyl concentrations during treatment with transdermal fentanyl systems.
AB - This randomized, open-label, 5-treatment, 5-sequence crossover study was designed
to evaluate the effects of a heating pad on serum fentanyl concentrations with
reservoir and matrix transdermal fentanyl systems. Subjects were randomized to 1
of 5 treatment sequences, receiving 5 fentanyl treatments (1 per period) for 36
hours: 25 ug/h reservoir without heat, 25 ug/h reservoir with heat, 25 ug/h
matrix without heat, 25 ug/h matrix with heat, and a 50 ug/h reservoir without
heat. The 25 ug/h systems with heat had a heating pad applied from 0 to 10 and 26
to 36 hours post application. Washout periods between treatments were 5 to 14
days. Naltrexone was given to block the opioid effects of fentanyl. Study results
indicate that external heat had a similar effect on both matrix and reservoir
systems, with heat applied during the first 10 hours of treatment increasing
fentanyl exposure by approximately 61% to 81% at 10 hours (observed serum
concentration at 10 hours) and overall exposure (area under the curve from 0 to
10 hours) by approximately 120% to 184%, but had minimal effect from 26 to 36
hours. The increased exposure observed with heat in both 25 ug/h systems, between
0 and 10 hours, was higher than that obtained with the 50 ug/h reservoir system
applied without heat.
PMID- 21878579
TI - Dosing celecoxib in pediatric patients with juvenile rheumatoid arthritis.
AB - The objective was to derive dosing recommendations for the use of celecoxib in
patients with juvenile rheumatoid arthritis (JRA) using pharmacokinetic (PK) and
exposure-response data. PK and efficacy data from a randomized, double-blind, 12
week study of celecoxib dosed at 3 and 6 mg/kg twice a day (bid) as an
investigational suspension formulation in 152 JRA patients aged 2 to 17 years, PK
data from 36 adult RA patients, and relative bioavailability data in healthy
adults comparing suspension or capsule sprinkles with the commercial capsule were
analyzed. Typical oral clearance (L/h) values were 40% and 24% lower in patients
weighing 10 and 25 kg, respectively, compared with a 70-kg patient. Longitudinal,
logistic pharmacodynamic models incorporating linear effects of dose/area under
the plasma concentration-time curve (AUC) over 0 to 12 hours (AUC(0-12))
suggested that the percentage of responders increased with celecoxib exposure.
Systemic exposures (AUC) were similar for the suspension, capsule sprinkles, and
intact capsule. Administration of a 50-mg bid capsule (or sprinkles) for patients
weighing 10 to 25 kg and 100 mg bid for patients >25 kg was predicted to yield
similar exposures and response rates as those observed in the JRA trial. Doses
and dosage forms not studied in the JRA trial were approved based on the results
of this analysis.
PMID- 21878580
TI - Minimal impact of excess iodate intake on thyroid hormones and selenium status in
older New Zealanders.
AB - OBJECTIVE: Iodine deficiency has re-emerged in New Zealand, while selenium status
has improved. The aim of this study was to investigate the effects of excess
iodine intake as iodate on thyroid and selenium status. METHODS: In a randomized
controlled trial on older people (mean+/-s.d. 73+/-4.8 years; n=143), two groups
received >50 mg iodine as iodate/day for 8 weeks because of supplement
formulation error, either with 100 MUg selenium (Se+highI) or without selenium
(highI). Four other groups received 80 MUg iodine as iodate/day with selenium
(Se+lowI) or without selenium (lowI), selenium alone (Se+), or placebo. Thyroid
hormones, selenium status, and median urinary iodine concentration (MUIC) were
compared at weeks 0, 8, and 4 weeks post-supplementation. RESULTS: MUIC increased
nine- and six-fold in Se+highI and highI groups, decreasing to baseline by week
12. Plasma selenium increased in selenium-supplemented groups (P<0.001). The
level of increase in whole blood glutathione peroxidase (WBGPx) in the Se+highI
group was smaller than Se+ (P=0.020) and Se+lowI (P=0.007) groups. The decrease
in WBGPX in the highI group was greater than other non-selenium-supplemented
groups, but differences were not significant. Ten of 43 participants exposed to
excess iodate showed elevated TSH (hypothyroidism) at week 8. In all but two, TSH
had returned to normal by week 12. In three participants, TSH decreased to <0.10
mIU/l (hyperthyroidism) at week 8, remaining low at week 12. CONCLUSIONS: Excess
iodate induced hypothyroidism in some participants and hyperthyroidism in others.
Most abnormalities disappeared after 4 weeks. Excess iodate reduced WBGPx
activity and resulted in smaller increases in WBGPx after selenium
supplementation.
PMID- 21878582
TI - Knowledge and practice of Jordanian emergency nurses caring for patients with
drug-seeking behaviors.
AB - The purpose of this study was to investigate the meaning and knowledge level and
practices of emergency nurses in Jordan in relation to drug-seeking behaviors in
the emergency units in Jordan. A descriptive correlation design was used. Data
were collected using self-report questionnaires from 305 emergency nurses,
employed in different emergency departments (EDs) in Jordan. The results of this
study revealed that the ED nurses had a moderate level of ability to describe
patients with drug-seeking behaviors, had moderate agreement on the behaviors
that may suggest drug seeking, and a moderate level of knowledge related to drug
seeking behaviors. The analyses also showed that the ED nurses had negative
attitudes toward drug-seeking behaviors, and lacked the ability to manage drug
seeking behaviors, when identified. Nurses in the EDs who completed the
questionnaires identified a need for more drug seeking-behavior knowledge and
skills to assess and manage this condition more effectively.
PMID- 21878581
TI - Determinants of excessive daytime sleepiness and fatigue in adults with heart
failure.
AB - Little is known about excessive daytime sleepiness (EDS) in heart failure (HF).
The aim of this cross-sectional descriptive study was to describe the prevalence
of EDS and factors associated with it in HF. A secondary purpose was to explore
the correlates of fatigue. We enrolled a consecutive sample of 280 adults with a
confirmed diagnosis of chronic HF from three outpatient settings in the
northeastern United States. Patients with major depressive illness were excluded.
Clinical, sociodemographic, behavioral, and perceptual factors were explored as
possible correlates of EDS. Using an Epworth Sleepiness Scale score > 10, the
prevalence of EDS was 23.6%. Significant determinants of EDS were worse sleep
quality (p = .048), worse functional class (p = .004), not taking a diuretic (p =
.005), and lack of physical activity (p = .04). Only sleep quality was associated
with fatigue (p < .001). Sleep-disordered breathing was not significantly
associated with EDS or with fatigue. These factors may be amenable to
intervention.
PMID- 21878583
TI - Response to homeless childbearing women's health care learning needs.
AB - The study findings showed that homeless childbearing women are at greatest risk
for cancer, violence, poor nutrition, sexually transmitted infections, unplanned
pregnancy, and adverse pregnancy outcomes. Collaborating with personnel at a
women's shelter, the authors studied homeless childbearing women's knowledge,
attitudes, and beliefs about general health promotion, healthy pregnancy
promotion, and preterm labor prevention. Guided by the Integrative Model of
Behavioral Prediction and Change, 45 homeless women participated in focus groups.
They were 28.7 years old (range 18-44 years), and approximately 87% of these
women had custody of their children. Three themes identified included things you
do to stay healthy during pregnancy, where you learned about staying healthy, and
women's knowledge about preterm labor and general health promotion. These
findings informed an 8-week educational session (1 hr/week). During the past
year, four 8-week sessions were conducted with attendance between 8 and 14
participants. Each week a different health topic was discussed incorporating the
associated unique challenges of homelessness.
PMID- 21878584
TI - A 30-year-old male with a 4-week history of shortness of breath, productive
cough, and weight loss.
PMID- 21878585
TI - Enteroviral meningitis does not exclude concurrent bacterial meningitis.
PMID- 21878586
TI - Evaluation of real-time reverse transcriptase PCR assays for detection of
pandemic influenza A/H1N1 2009 virus.
PMID- 21878587
TI - Revision of interpretation criteria of the INNO-LiPA HBV genotyping assay.
PMID- 21878589
TI - Long-term safety of radiotherapy and breast cancer laterality in older survivors.
AB - BACKGROUND: Although adjuvant radiotherapy (RT) following surgery for breast
cancer improves overall survival, controversy exists about its long-term adverse
impact on cardiovascular health in older survivors. AIM: To determine whether
incident cardiovascular disease (CVD) is associated with RT and whether tumor
laterality modifies this association. METHODS: Women aged 65+ years diagnosed
with stage I and II breast cancer between 1990 and 1994 were identified from
three health plans. Women were followed through CVD outcomes, health plan
disenrollment, death, or study end (December 31, 2004). The main independent
variable was RT use. Adjusted HRs and 95% CIs were estimated using Cox
proportional hazards models with time-dependent tamoxifen and RT use status. We
adjusted for age, race, stage, estrogen receptor/progesterone receptor,
hypertension, and diabetes. RESULTS: In the full cohort (N = 806), RT was not
associated with greater risk of CVD (maximum follow-up was 14 years). However,
within the RT-exposed group (N = 340), women treated for left-side breast cancer
had a significant increased risk of CVD outcomes (HR = 1.53, 95% CI: 1.06-2.21)
compared with women with right-sided tumors. CONCLUSION: Laterality is critical
to understanding the effect of RT on CVD. Studies of more contemporary cohorts of
women treated with RT should incorporate this variable to determine whether the
risk persists with refinements in the dosing and delivery of RT. IMPACT: As some
irradiation to the heart is unavoidable even with refined modern RT techniques,
continued effort is required to minimize such exposures, especially in older
women with left-sided tumors.
PMID- 21878590
TI - Exploring the presence of Matthew effects in learning disabilities.
PMID- 21878591
TI - Assessing the value of the diabetes educator.
AB - PURPOSE: The purpose of this study was to evaluate the effectiveness of diabetes
self-management education or training provided by diabetes educators in reducing
complications and improving quality of life. METHODS: Commercial and Medicare
payer-derived claims data were used to assess the relationship between DSME/T and
cost. Unlike the prior study that examined diabetes education provided by all
professionals, the current study focused on the value of interventions performed
as part of formal accredited/recognized diabetes education programs provided by
diabetes educators only. Specifically, the current study focused on diabetes
education delivered in diabetes self-management training programs based on 2
codes (G0108 and G0109). RESULTS: Results of the study provide insights into the
differences in trends between participants and nonparticipants in DSMT. People
with diabetes who had DSMT encounters provided by diabetes educators in
accredited/recognized programs are likely to show lower cost patterns when
compared with a control group of people with diabetes without DSMT encounters.
People with diabetes who have multiple episodes of DSMT are more likely to
receive care in accordance with recommended guidelines and to comply with
diabetes-related prescription regimens, resulting in lower costs and utilization
trends. Conclusions and Policy Implications The collaboration between diabetes
educators and patients continues to demonstrate positive clinical quality
outcomes and cost savings. This analysis shows that repeated DSMT encounters over
time result in a dose-response effect on positive outcomes.
PMID- 21878592
TI - Clinical, dermatoscopic, and microscopic findings of infestation with Sarcoptes
scabiei var hominis.
PMID- 21878593
TI - Clinical outcomes associated with robotic repair of the mitral valve.
PMID- 21878594
TI - Electronic communication and medical research: beyond the record.
PMID- 21878595
TI - Spontaneous coronary artery dissection: a disease-specific, social networking
community-initiated study.
AB - OBJECTIVE: To develop and assess the feasibility of a novel method for
identification, recruitment, and retrospective and prospective evaluation of
patients with rare conditions. PATIENTS AND METHODS: This pilot study is a novel
example of "patient-initiated research." After being approached by several
members of an international disease-specific support group on a social networking
site, we used it to identify patients who had been diagnosed as having at least 1
episode of spontaneous coronary artery dissection and recruited them to
participate in a clinical investigation of their condition. Medical records were
collected and reviewed, the original diagnosis was independently confirmed by
review of imaging studies, and health status (both interval and current) was
assessed via specially designed questionnaires and validated assessment tools.
RESULTS: Recruitment of all 12 participants was complete within 1 week of
institutional review board approval (March 18, 2010). Data collection was
completed November 18, 2010. All participants completed the study questionnaires
and provided the required medical records and coronary angiograms and ancillary
imaging data. CONCLUSION: This study involving patients with spontaneous coronary
artery dissection demonstrates the feasibility of and is a successful model for
developing a "virtual" multicenter disease registry through disease-specific
social media networks to better characterize an uncommon condition. This study is
a prime example of patient-initiated research that could be used by other health
care professionals and institutions.
PMID- 21878596
TI - Posterior reversible encephalopathy syndrome and eclampsia: pressing the case for
more aggressive blood pressure control.
AB - OBJECTIVE: To assess the prevalence, clinical presentations, and neuroimaging
abnormalities in a series of patients treated for eclampsia at Mayo Clinic in
Rochester, MN. PATIENTS AND METHODS: We reviewed the records of all pregnant
patients diagnosed as having eclampsia at Mayo Clinic in Rochester, MN, between
January 1, 2001, and December 31, 2008. All patients who underwent neuroimaging
were identified, and all studies were reviewed by an independent
neuroradiologist. Comparisons were made between groups who did and did not
undergo imaging to identify differentiating clinical or laboratory variables.
RESULTS: Thirteen cases of eclampsia were found, with neuroimaging studies
available for 7: magnetic resonance imaging (n=6) and computed tomography (n=1).
All 7 patients developed eclamptic seizures, and 2 of 7 patients had severe
hypertension, with recorded systolic blood pressures exceeding 180 mm Hg.
Neuroimaging showed characteristic changes of posterior reversible encephalopathy
syndrome (PRES) in all patients. Follow-up imaging showed resolution in 2 of 3
patients; 1 patient had residual neuroimaging abnormalities. CONCLUSION: Our
results suggest that the clinical syndrome of eclampsia is associated with an
anatomical substrate that is recognizable by neuroimaging as PRES. The levels of
blood pressure elevation are lower than those reported in cases of PRES because
of hypertensive encephalopathy. Further studies are needed to determine whether
more aggressive blood pressure control and early neuroimaging may have a role in
the management of these patients.
PMID- 21878597
TI - Body composition and coronary heart disease mortality--an obesity or a lean
paradox?
AB - OBJECTIVE: To determine the combined effects of body mass index (BMI) and body
fat (BF) on prognosis in coronary heart disease (CHD) to better understand the
obesity paradox. PATIENTS AND METHODS: We studied 581 patients with CHD between
January 1, 2000, and July 31, 2005, who were divided into low (<25) and high BMI
(>=25), as well as low (<=25% men and <=35% women) and high BF (>25% in men and
>35% in women). Four groups were analyzed by total mortality during the 3-year
follow-up by National Death Index: low BF/low BMI (n=119), high BF/low BMI
(n=26), low BF/high BMI (n=125), and high BF/high BMI (n=311). RESULTS: During
the 3-year follow-up, mortality was highest in the low BF/low BMI group (11%),
which was significantly (P<.001) higher than that in the other 3 groups (3.9%,
3.2%, and 2.6%, respectively); using the high BF/high BMI group as a reference,
the low BF/low BMI group had a 4.24-fold increase in mortality (confidence
interval [CI], 1.76-10.23; P=.001). In multivariate logistic regression for
mortality, when entered individually, both high BMI (odds ratio [OR], 0.79; CI,
0.69-0.90) and high BF (OR, 0.89; CI, 0.82-0.95) as continuous variables were
independent predictors of better survival, whereas low BMI (OR, 3.60; CI, 1.37
9.47) and low BF (OR, 3.52; CI, 1.34-9.23) as categorical variables were
independent predictors of higher mortality. CONCLUSION: Although both low BF and
low BMI are independent predictors of mortality in patients with CHD, only
patients with combined low BF/low BMI appear to be at particularly high risk of
mortality during follow-up. Studies are needed to determine optimal body
composition in the secondary prevention of CHD.
PMID- 21878598
TI - Patients' perspective on full disclosure and informed consent regarding
postoperative visual loss associated with spinal surgery in the prone position.
AB - OBJECTIVE: To determine patients' opinions regarding the person, method, and
timing for disclosure of postoperative visual loss (POVL) associated with high
risk surgery. PATIENTS AND METHODS: On the basis of findings of a pilot study
involving 219 patients at Mayo Clinic in Florida, we hypothesized that at least
80% of patients would prefer disclosure of POVL by the surgeon, during a face-to
face discussion, before the day of scheduled surgery. To test the hypothesis, we
sent a questionnaire to 437 patients who underwent prolonged prone spinal
surgical procedures at Mayo Clinic in Rochester, MN, or Mayo Clinic in Arizona
from December 1, 2008, to December 31, 2009. RESULTS: Among the 184 respondents,
158 patients gave responses supporting the hypothesis vs 26 with at least 1
response not supporting it, for an observed incidence of 86%. The 2-sided 95%
confidence interval is 80% to 91%. CONCLUSION: At least 80% of patients prefer
full disclosure of the risk of POVL, by the surgeon, during a face-to-face
discussion before the day of scheduled surgery. This finding supports development
of a national patient-driven guideline for disclosing the risk of POVL before
prone spinal surgery.
PMID- 21878599
TI - MMR vaccine and autism: vaccine nihilism and postmodern science.
PMID- 21878600
TI - Physical exercise as a preventive or disease-modifying treatment of dementia and
brain aging.
AB - A rapidly growing literature strongly suggests that exercise, specifically
aerobic exercise, may attenuate cognitive impairment and reduce dementia risk. We
used PubMed (keywords exercise and cognition) and manuscript bibliographies to
examine the published evidence of a cognitive neuroprotective effect of exercise.
Meta-analyses of prospective studies documented a significantly reduced risk of
dementia associated with midlife exercise; similarly, midlife exercise
significantly reduced later risks of mild cognitive impairment in several
studies. Among patients with dementia or mild cognitive impairment, randomized
controlled trials (RCTs) documented better cognitive scores after 6 to 12 months
of exercise compared with sedentary controls. Meta-analyses of RCTs of aerobic
exercise in healthy adults were also associated with significantly improved
cognitive scores. One year of aerobic exercise in a large RCT of seniors was
associated with significantly larger hippocampal volumes and better spatial
memory; other RCTs in seniors documented attenuation of age-related gray matter
volume loss with aerobic exercise. Cross-sectional studies similarly reported
significantly larger hippocampal or gray matter volumes among physically fit
seniors compared with unfit seniors. Brain cognitive networks studied with
functional magnetic resonance imaging display improved connectivity after 6 to 12
months of exercise. Animal studies indicate that exercise facilitates
neuroplasticity via a variety of biomechanisms, with improved learning outcomes.
Induction of brain neurotrophic factors by exercise has been confirmed in
multiple animal studies, with indirect evidence for this process in humans.
Besides a brain neuroprotective effect, physical exercise may also attenuate
cognitive decline via mitigation of cerebrovascular risk, including the
contribution of small vessel disease to dementia. Exercise should not be
overlooked as an important therapeutic strategy.
PMID- 21878601
TI - Perioperative cognitive decline in the aging population.
AB - Elderly patients who have an acute illness or who undergo surgery often
experience cognitive decline. The pathophysiologic mechanisms that cause
neurodegeneration resulting in cognitive decline, including protein deposition
and neuroinflammation, also play a role in animal models of surgery-induced
cognitive decline. With the aging of the population, surgical candidates of
advanced age with underlying neurodegeneration are encountered more often,
raising concerns that, in patients with this combination, cognitive function will
precipitously decline postoperatively. This special article is based on a
symposium that the University of California, San Francisco, convened to explore
the contributions of surgery and anesthesia to the development of cognitive
decline in the aged patient. A road map to further elucidate the mechanisms,
diagnosis, risk factors, mitigation, and treatment of postoperative cognitive
decline in the elderly is provided.
PMID- 21878602
TI - The role of the primary care physician in helping adolescent and adult patients
improve asthma control.
AB - Many adolescents and adults with asthma continue to have poorly controlled
disease, often attributable to poor adherence to asthma therapy. Failure to
adhere to recommended treatment may result from a desire to avoid regular
reliance on medications, inappropriate high tolerance of asthma symptoms, failure
to perceive the chronic nature of asthma, and poor inhaler technique. Primary
care physicians need to find opportunities and methods to address these and other
issues related to poor asthma control. Few adolescents or adults with asthma
currently have asthma "checkup" visits, usually seeking medical care only with an
exacerbation. Therefore, nonrespiratory-related office visits represent an
important opportunity to assess baseline asthma control and the factors that most
commonly lead to poor control. Tools such as the Asthma Control Test, the Asthma
Therapy Assessment Questionnaire, the Asthma Control Questionnaire, and the
Asthma APGAR provide standardized, patient-friendly ways to capture necessary
asthma information. For uncontrolled asthma, physicians can refer to the stepwise
approach in the 2007 National Asthma Education and Prevention Program guidelines
to adjust medication use, but they must consider step-up decisions in the context
of quality of the patient's inhaler technique, adherence, and ability to
recognize and avoid or eliminate triggers. For this review, a literature search
of PubMed from 2000 through August 31, 2010, was performed using the following
terms (or a combination of these terms): asthma, asthma control, primary care,
NAEPP guidelines, assessment, uncontrolled asthma, burden, impact, assessment
tools, triggers, pharmacotherapy, safety. Studies were limited to human studies
published in English. Articles were also identified by a manual search of
bibliographies from retrieved articles and from article archives of the author.
PMID- 21878603
TI - The science of fibromyalgia.
AB - Fibromyalgia (FM) is a common chronic widespread pain disorder. Our understanding
of FM has increased substantially in recent years with extensive research
suggesting a neurogenic origin for the most prominent symptom of FM, chronic
widespread pain. Neurochemical imbalances in the central nervous system are
associated with central amplification of pain perception characterized by
allodynia (a heightened sensitivity to stimuli that are not normally painful) and
hyperalgesia (an increased response to painful stimuli). Despite this increased
awareness and understanding, FM remains undiagnosed in an estimated 75% of people
with the disorder. Clinicians could more effectively diagnose and manage FM if
they better understood its underlying mechanisms. Fibromyalgia is a disorder of
pain processing. Evidence suggests that both the ascending and descending pain
pathways operate abnormally, resulting in central amplification of pain signals,
analogous to the "volume control setting" being turned up too high. Patients with
FM also exhibit changes in the levels of neurotransmitters that cause augmented
central nervous system pain processing; levels of several neurotransmitters that
facilitate pain transmission are elevated in the cerebrospinal fluid and brain,
and levels of several neurotransmitters known to inhibit pain transmission are
decreased. Pharmacological agents that act centrally in ascending and/or
descending pain processing pathways, such as medications with approved
indications for FM, are effective in many patients with FM as well as other
conditions involving central pain amplification. Research is ongoing to determine
the role of analogous central nervous system factors in the other cardinal
symptoms of FM, such as fatigue, nonrestorative sleep, and cognitive dysfunction.
PMID- 21878604
TI - 65-year-old woman with shortness of breath and dark urine.
PMID- 21878605
TI - Antiretroviral therapy and adverse skeletal effects.
PMID- 21878606
TI - Premature discard of proton pump inhibitors: possible osteoporosis vs enhanced
gastrointestinal bleed, adenocarcinoma efficacy.
PMID- 21878607
TI - Taking stock of self-control: a meta-analysis of how trait self-control relates
to a wide range of behaviors.
AB - Given assertions of the theoretical, empirical, and practical importance of self
control, this meta-analytic study sought to review evidence concerning the
relationship between dispositional self-control and behavior. The authors provide
a brief overview over prominent theories of self-control, identifying implicit
assumptions surrounding the effects of self-control that warrant empirical
testing. They report the results of a meta-analysis of 102 studies (total N =
32,648) investigating the behavioral effects of self-control using the Self
Control Scale, the Barratt Impulsiveness Scale, and the Low Self-Control Scale. A
small to medium positive effect of self-control on behavior was found for the
three scales. Only the Self-Control Scale allowed for a fine-grained analysis of
conceptual moderators of the self-control behavior relation. Specifically, self
control (measured by the Self-Control Scale) related similarly to the performance
of desired behaviors and the inhibition of undesired behaviors, but its effects
varied dramatically across life domains (e.g., achievement, adjustment). In
addition, the associations between self-control and behavior were significantly
stronger for automatic (as compared to controlled) behavior and for imagined (as
compared to actual) behavior.
PMID- 21878608
TI - Perception and motivation in face recognition: a critical review of theories of
the Cross-Race Effect.
AB - Although humans possess well-developed face processing expertise, face processing
is nevertheless subject to a variety of biases. Perhaps the best known of these
biases is the Cross-Race Effect--the tendency to have more accurate recognition
for same-race than cross-race faces. The current work reviews the evidence for
and provides a critical review of theories of the Cross-Race Effect, including
perceptual expertise and social cognitive accounts of the bias. The authors
conclude that recent hybrid models of the Cross-Race Effect, which combine
elements of both perceptual expertise and social cognitive frameworks, provide an
opportunity for theoretical synthesis and advancement not afforded by independent
expertise or social cognitive models. Finally, the authors suggest future
research directions intended to further develop a comprehensive and integrative
understanding of biases in face recognition.
PMID- 21878609
TI - Mycoplasma pneumoniae infection presenting as bullous papular purpuric gloves and
socks syndrome: novel association and review of the literature.
AB - Papular purpuric gloves and socks syndrome (PPGSS) is a self-limited, often
febrile illness with symmetric edema and erythema of the hands and feet; papular,
petechial, and purpuric acral dermatosis; and mucosal lesions in children and
young adults. Most of the cases of PPGSS have been reported to be caused by
parvovirus B19 and other viruses. This study describes a case resulting from
Mycoplasma pneumoniae infection in an adolescent male and reviews the literature.
PMID- 21878610
TI - Multiple tissue transcriptomic responses to Piscirickettsia salmonis in Atlantic
salmon (Salmo salar).
AB - The bacterium Piscirickettsia salmonis is the etiological agent of salmonid
rickettsial septicemia (SRS), a severe disease that causes major economic losses
to the Atlantic salmon aquaculture industry every year. Little is known about the
infective strategy of P. salmonis, which is able to infect, survive within, and
replicate inside salmonid macrophages as an intracellular parasite. Similarly
there is little knowledge concerning the fish host's response to invasion by this
pathogen. We have examined the transcriptional response of postsmolt Atlantic
salmon (Salmo salar) to P. salmonis at 48 h following infection in three tissues,
liver, head kidney, and muscle, using an Atlantic salmon oligonucleotide
microarray (Salar_2, Agilent 4x44K). The infection led to a large alteration of
transcriptional activity in all the tissues studied. In infected salmon 886, 207,
and 153 transcripts were differentially expressed in liver, head kidney, and
muscle, respectively. Assessment of enrichment for particular biological pathways
by gene ontology analysis showed an upregulation of genes involved in oxidative
and inflammatory responses in infected fish, indicative of the activation of the
innate immune response. The downregulation of genes involved in the adaptive
immune response, G protein signaling pathway, and apoptotic process in infected
fish may be reflective of mechanisms used by P. salmonis to survive, replicate,
and escape host defenses. There was also evidence of differential responses
between studied tissues, with protein metabolism being decreased in muscle of
infected fish and with a concomitant increase being shown in liver.
PMID- 21878611
TI - Homogenous protein programming in the mammalian left and right ventricle free
walls.
AB - Despite identical cardiac outputs, the right (RV) and left ventricle (LV) have
very different embryological origins and resting workload. These differences
suggest that the ventricles have different protein programming with regard to
energy metabolism and contractile elements. The objective of this study was to
determine the relative RV and LV protein expression levels, with an emphasis on
energy metabolism. The RV and LV protein contents of the rabbit and porcine heart
were determined with quantitative gel electrophoresis (2D-DIGE), mass
spectrometry, and optical spectroscopy techniques. Surprisingly, the expression
levels for more than 600 RV and LV proteins detected were similar. This included
proteins many different compartments and metabolic pathways. In addition, no
isoelectric shifts were detected in 2D-DIGE consistent with no differential
posttranslational modifications in these proteins. Analysis of the RV and LV
metabolic response to work revealed that the metabolic rate increases much faster
with workload in the RV compared with LV. This implies that the generally lower
metabolic stress of the RV actually approaches LV metabolic stress at maximum
workloads. Thus, identical levels of energy conversion and mechanical elements in
the RV and LV may be driven by the performance requirements at maximum workloads.
In summary, the ventricles of the heart manage the differences in overall
workload by modifying the amounts of cytosol, not its composition. The constant
myocyte composition in the LV and RV implies that the ratio of energy metabolism
and contractile elements may be optimal for the sustained cardiac contractile
activity in the mammalian heart.
PMID- 21878612
TI - Profiling target genes of FGF18 in the postnatal mouse lung: possible relevance
for alveolar development.
AB - Better understanding alveolarization mechanisms could help improve prevention and
treatment of diseases characterized by reduced alveolar number. Although
signaling through fibroblast growth factor (FGF) receptors is essential for
alveolarization, involved ligands are unidentified. FGF18, the expression of
which peaks coincidentally with alveolar septation, is likely to be involved.
Herein, a mouse model with inducible, lung-targeted FGF18 transgene was used to
advance the onset of FGF18 expression peak, and genome-wide expression changes
were determined by comparison with littermate controls. Quantitative RT-PCR was
used to confirm expression changes of selected up- and downregulated genes and to
determine their expression profiles in the course of lung postnatal development.
This allowed identifying so-far unknown target genes of the factor, among which a
number are known to be involved in alveolarization. The major target was
adrenomedullin, a promoter of lung angiogenesis and alveolar development, whose
transcript was increased 6.9-fold. Other genes involved in angiogenesis presented
marked expression increases, including Wnt2 and cullin2. Although it appeared to
favor cell migration notably through enhanced expression of Snai1/2, FGF18 also
induced various changes consistent with prevention of epithelial-mesenchymal
transition. Together with antifibrotic effects driven by induction of E
prostanoid receptor 2 and repression of numerous myofibroblast markers, this
could prevent alveolar septation-driving mechanisms from becoming excessive and
deleterious. Last, FGF18 up- or downregulated genes of extracellular matrix
components and epithelial cell markers previously shown to be up- or
downregulated during alveolarization. These findings therefore argue for an
involvement of FGF18 in the control of various developmental events during the
alveolar stage.
PMID- 21878613
TI - Source expertise and persuasion: the effects of perceived opposition or support
on message scrutiny.
AB - Compared to nonexperts, expert sources have been considered to elicit more
processing of persuasive messages because of expectations that the information is
likely to be valid or accurate. However, depending on the position of an
advocacy, source expertise could activate other motives that may produce a very
different relation from that found in past research. When messages are
counterattitudinal (disagreeable), experts should motivate greater processing
than nonexpert sources because of expectations that they will likely provide
robust opposition to one's existing views. In contrast, when advocacies are
proattitudinal (agreeable), nonexpert rather than expert sources should elicit
more scrutiny because of perceptions that they will likely provide inadequate
support to recipients' current views. Two studies offer evidence consistent with
these predictions. Manipulations of source expertise created different
expectations regarding the strength of opposition or support, and these
perceptions accounted for effects of source expertise on the amount of message
scrutiny.
PMID- 21878614
TI - Arteriovenous fistulas for hemodialysis: application of high-frequency US to
assess vein wall morphology for cannulation readiness.
AB - PURPOSE: To determine whether venous wall thickness and hoop (circumferential)
stress, as determined with high-frequency ultrasonography (US), can predict
cannulation readiness in arteriovenous fistulas (AVFs). MATERIALS AND METHODS:
Institutional review board approval and informed consent were obtained for this
prospective study. To determine the US appearance of the venous wall, an AVF
specimen was excised and scanned in a bath of degassed lactated Ringer solution
with a 55-MHz probe. The appearance of the wall at high-frequency US was
correlated with histologic findings. High-frequency (40-55-MHz) US was used to
image the near-field AVF venous wall of 14 men (mean age, 59 years +/- 11
[standard deviation]) and six women (mean age, 55 years +/- 14) with newly
created AVFs within 1 week of cannulation between January 2008 and December 2009.
Measurements of the intima-media thickness (IMT) were generated by three
independent observers who were blinded to outcomes. Intraclass correlation
analysis was performed. Cannulation readiness was defined as no extravasation
during the first dialysis treatment. RESULTS: By using high-frequency US, the IMT
was defined as the sum of a thin echogenic blood-intima interface and a uniform
hypoechoic media. The mean IMT of the no extravasation group (0.16 mm +/- 0.03)
was greater than that of the extravasation group (0.10 mm +/- 0.02) (P < .001). A
minimum threshold IMT of 0.13 mm (P < .001) was associated with successful
cannulation. The mean hoop stress of the no extravasation group (246 kPa +/- 57)
was lower than that of the extravasation group (530 kPa +/- 199) (P < .001). A
maximum hoop stress threshold of 248 kPa was associated with successful
cannulation (P = .009). CONCLUSION: Venous IMT and hoop stress assessed with high
frequency US can predict cannulation readiness in AVFs that are clinically deemed
mature.
PMID- 21878615
TI - Breast cancer: evaluation of response to neoadjuvant chemotherapy with 3.0-T MR
imaging.
AB - PURPOSE: To assess how the molecular biomarker status of a breast cancer,
including human epidermal growth factor receptor 2 (HER2), hormone receptors, and
the proliferation marker Ki-67 status, affects the diagnosis at 3.0-T magnetic
resonance (MR) imaging. MATERIALS AND METHODS: This study was approved by the
institutional review board and was HIPAA compliant. Fifty patients (age range, 28
82 years; mean age, 49 years) receiving neoadjuvant chemotherapy were monitored
with 3.0-T MR imaging. The longest dimension of the residual cancer was measured
at MR imaging and correlated with pathologic findings. Patients were further
divided into subgroups on the basis of HER2, hormone receptor, and Ki-67 status.
Pathologic complete response (pCR) was defined as when there were no residual
invasive cancer cells. The Pearson correlation was used to correlate MR imaging
determined and pathologic tumor size, and the unpaired t test was used to compare
MR imaging-pathologic size discrepancies. RESULTS: Of the 50 women, 14 achieved
pCR. There were seven false-negative diagnoses at MR imaging. The overall
sensitivity, specificity, and accuracy for diagnosing invasive residual disease
at MR imaging were 81%, 93%, and 84%, respectively. The mean MR imaging
pathologic size discrepancy was 0.5 cm +/- 0.9 (standard deviation) for HER2
positive cancer and 2.3 cm +/- 3.5 for HER2-negative cancer (P = .009). In the
HER2-negative group, the size discrepancy was smaller for hormone receptor
negative than for hormone receptor-positive cancers (1.0 cm +/- 1.1 vs 3.0 cm +/-
4.0, P = .04). The size discrepancy was smaller in patients with 40% or greater
Ki-67 expression (0.8 cm +/- 1.1) than in patients with 10% or less Ki-67
expression (3.9 cm +/- 5.1, P = .06). CONCLUSION: The diagnostic accuracy of
breast MR imaging is better in more aggressive than in less aggressive cancers.
When MR imaging is used for surgical planning, caution should be taken with HER2
negative hormone receptor-positive cancers.
PMID- 21878616
TI - Menstrual cycle-related fluctuations in breast density measured by using three
dimensional MR imaging.
AB - PURPOSE: To investigate the fluctuation of fibroglandular tissue volume (FV) and
percentage of breast density (PD) during the menstrual cycle and compare with
postmenopausal women by using three-dimensional magnetic resonance (MR)-based
segmentation methods. MATERIALS AND METHODS: This study was approved by the
Institutional Review Board and was HIPAA compliant. Written informed consent was
obtained. Thirty healthy female subjects, 24 premenopausal and six
postmenopausal, were recruited. All subjects underwent MR imaging examination
each week for 4 consecutive weeks. The breast volume (BV), FV, and PD were
measured by two operators to evaluate interoperator variation. The fluctuation of
each parameter measured over the course of the four examinations was evaluated on
the basis of the coefficient of variation (CV). RESULTS: The results from two
operators showed a high Pearson correlation for BV (R(2) = 0.99), FV (R(2) =
0.98), and PD (R(2) = 0.96). The interoperator variation was 3% for BV and around
5%-6% for FV and PD. In the respective premenopausal and postmenopausal groups,
the mean CV was 5.0% and 5.6% for BV, 7.6% and 4.2% for FV, and 7.1% and 6.0% for
PD. The difference between premenopausal and postmenopausal groups was not
significant (all P values > .05). CONCLUSION: The fluctuation of breast density
measured at MR imaging during a menstrual cycle was around 7%. The results may
help the design and interpretation of future studies by using the change of
breast density as a surrogate marker to evaluate the efficacy of hormone
modifying drugs for cancer treatment or cancer prevention.
PMID- 21878617
TI - Biochemical characterization of AtHMA6/PAA1, a chloroplast envelope Cu(I)-ATPase.
AB - Copper is an essential plant micronutrient playing key roles in cellular
processes, among them photosynthesis. In Arabidopsis thaliana, copper delivery to
chloroplasts, mainly studied by genetic approaches, is thought to involve two
P(IB)-type ATPases: AtHMA1 and AtHMA6/PAA1. The lack of biochemical
characterization of AtHMA1 and PAA1, and more generally of plant P(IB)-type
ATPases, is due to the difficulty of getting high amounts of these membrane
proteins in an active form, either from their native environment or after
expression in heterologous systems. In this study, we report the first
biochemical characterization of PAA1, a plant copper-transporting ATPase. PAA1
produced in Lactococcus lactis is active, forming an aspartyl phosphate
intermediate in the presence of ATP and the adequate metal ion. PAA1 can also be
phosphorylated using inorganic phosphate in the absence of transition metal. Both
phosphorylation types allowed us to demonstrate that PAA1 is activated by
monovalent copper ions (and to a lower extent by silver ions) with an apparent
affinity in the micromolar range. In agreement with these biochemical data, we
also demonstrate that when expressed in yeast, PAA1 induces increased
sensitivities to copper and silver. These data provide the first enzymatic
characterization of a P(IB-1)-type plant ATPase and clearly identify PAA1 as a
high affinity Cu(I) transporter of the chloroplast envelope.
PMID- 21878618
TI - Identification of human fumarylacetoacetate hydrolase domain-containing protein 1
(FAHD1) as a novel mitochondrial acylpyruvase.
AB - The human fumarylacetoacetate hydrolase (FAH) domain-containing protein 1 (FAHD1)
is part of the FAH protein superfamily, but its enzymatic function is unknown. In
the quest for a putative enzymatic function of FAHD1, we found that FAHD1
exhibits acylpyruvase activity, demonstrated by the hydrolysis of acetylpyruvate
and fumarylpyruvate in vitro, whereas several structurally related compounds were
not hydrolyzed as efficiently. Conserved amino acids Asp-102 and Arg-106 of FAHD1
were found important for its catalytic activity, and Mg(2+) was required for
maximal enzyme activity. FAHD1 was found expressed in all tested murine tissues,
with highest expression in liver and kidney. FAHD1 was also found in several
human cell lines, where it localized to mitochondria. In summary, the current
work identified mammalian FAHD1 as a novel mitochondrial enzyme with acylpyruvate
hydrolase activity.
PMID- 21878619
TI - Air1 zinc knuckles 4 and 5 and a conserved IWRXY motif are critical for the
function and integrity of the Trf4/5-Air1/2-Mtr4 polyadenylation (TRAMP) RNA
quality control complex.
AB - In Saccharomyces cerevisiae, non-coding RNAs, including cryptic unstable
transcripts (CUTs), are subject to degradation by the exosome. The Trf4/5-Air1/2
Mtr4 polyadenylation (TRAMP) complex in S. cerevisiae is a nuclear exosome
cofactor that recruits the exosome to degrade RNAs. Trf4/5 are poly(A)
polymerases, Mtr4 is an RNA helicase, and Air1/2 are putative RNA-binding
proteins that contain five CCHC zinc knuckles (ZnKs). One central question is how
the TRAMP complex, especially the Air1/2 protein, recognizes its RNA substrates.
To characterize the function of the Air1/2 protein, we used random mutagenesis of
the AIR1/2 gene to identify residues critical for Air protein function. We
identified air1-C178R and air2-C167R alleles encoding air1/2 mutant proteins with
a substitution in the second cysteine of ZnK5. Mutagenesis of the second cysteine
in AIR1/2 ZnK1-5 reveals that Air1/2 ZnK4 and -5 are critical for Air protein
function in vivo. In addition, we find that the level of CUT, NEL025c, in air1
ZnK1-5 mutants is stabilized, particularly in air1 ZnK4, suggesting a role for
Air1 ZnK4 in the degradation of CUTs. We also find that Air1/2 ZnK4 and -5 are
critical for Trf4 interaction and that the Air1-Trf4 interaction and Air1 level
are critical for TRAMP complex integrity. We identify a conserved IWRXY motif in
the Air1 ZnK4-5 linker that is important for Trf4 interaction. We also find that
hZCCHC7, a putative human orthologue of Air1 that contains the IWRXY motif,
localizes to the nucleolus in human cells and interacts with both mammalian Trf4
orthologues, PAPD5 and PAPD7 (PAP-associated domain containing 5 and 7),
suggesting that hZCCHC7 is the Air component of a human TRAMP complex.
PMID- 21878620
TI - Expression and characterization of a PNPLA3 protein isoform (I148M) associated
with nonalcoholic fatty liver disease.
AB - A genetic variant of PNPLA3 (patatin-like phospholipase domain-containing 3;
PNPLA3-I148M), a serine protease of unknown function, is associated with
accumulation of triacylglycerol (TAG) in the liver. To determine the biological
substrates of PNPLA3 and the effect of the I148M substitution on enzymatic
activity and substrate specificity, we purified and characterized recombinant
human PNPLA3 and PNPLA3-I148M. Maximal hydrolytic activity of PNPLA3 was observed
against the three major glycerolipids, TAG, diacylglycerol, and monoacylglycerol,
with a strong preference for oleic acid as the acyl moiety. Substitution of
methionine for isoleucine at position 148 markedly decreased the V(max) of the
enzyme for glycerolipids but had only a modest effect on the K(m). Purified
PNPLA3 also catalyzed the hydrolysis of oleoyl-CoA, but the V(max) was 100-fold
lower for oleoyl-CoA than for triolein. The thioesterase activity required the
catalytic serine but was only modestly decreased by the I148M substitution. The
enzyme had little or no hydrolytic activity against the other lipid substrates
tested, including phospholipids, cholesteryl ester, and retinyl esters. Neither
the wild-type nor mutant enzyme catalyzed transfer of oleic acid from oleoyl-CoA
to glycerophosphate, lysophosphatidic acid, or diacylglycerol, suggesting that
the enzyme does not promote de novo TAG synthesis. Taken together, our results
are consistent with the notion that PNPLA3 plays a role in the hydrolysis of
glycerolipids and that the I148M substitution causes a loss of function, although
we cannot exclude the possibility that the enzyme has additional substrates or
activities.
PMID- 21878621
TI - Deletion of betaine-homocysteine S-methyltransferase in mice perturbs choline and
1-carbon metabolism, resulting in fatty liver and hepatocellular carcinomas.
AB - Betaine-homocysteine S-methyltransferase (BHMT) uses betaine to catalyze the
conversion of homocysteine (Hcy) to methionine. There are common genetic
polymorphisms in the BHMT gene in humans that can alter its enzymatic activity.
We generated the first Bhmt(-/-) mouse to model the functional effects of
mutations that result in reduced BHMT activity. Deletion of Bhmt resulted in a 6
fold increase (p < 0.01) in hepatic and an 8-fold increase (p < 0.01) in plasma
total Hcy concentrations. Deletion of Bhmt resulted in a 43% reduction in hepatic
S-adenosylmethionine (AdoMet) (p < 0.01) and a 3-fold increase in hepatic S
adenosylhomocysteine (AdoHcy) (p < 0.01) concentrations, resulting in a 75%
reduction in methylation potential (AdoMet:AdoHcy) (p < 0.01). Bhmt(-/-) mice
accumulated betaine in most tissues, including a 21-fold increase in the liver
concentration compared with wild type (WT) (p < 0.01). These mice had lower
concentrations of choline, phosphocholine, glycerophosphocholine,
phosphatidylcholine, and sphingomyelin in several tissues. At 5 weeks of age,
Bhmt(-/-) mice had 36% lower total hepatic phospholipid concentrations and a 6
fold increase in hepatic triacyglycerol concentrations compared with WT (p <
0.01), which was due to a decrease in the secretion of very low density
lipoproteins. At 1 year of age, 64% of Bhmt(-/-) mice had visible hepatic tumors.
Histopathological analysis revealed that Bhmt(-/-) mice developed hepatocellular
carcinoma or carcinoma precursors. These results indicate that BHMT has an
important role in Hcy, choline, and one-carbon homeostasis. A lack of Bhmt also
affects susceptibility to fatty liver and hepatocellular carcinoma. We suggest
that functional polymorphisms in BHMT that significantly reduce activity may have
similar effects in humans.
PMID- 21878622
TI - Interleukin-1beta induces increased transcriptional activation of the
transforming growth factor-beta-activating integrin subunit beta8 through
altering chromatin architecture.
AB - The integrin alphavbeta8 is a cell surface receptor for the latent domain (LAP)
of the multifunctional cytokine TGF-beta. Through its association with LAP, TGF
beta is maintained in a latent form that must be activated to function. Binding
to the integrin alphavbeta8 with subsequent metalloproteolytic cleavage of LAP
represents a major mechanism of TGF-beta activation in vivo. Altered expression
of the integrin beta8 subunit (ITGB8) is found in human chronic obstructive
pulmonary disease, cancers, and brain vascular malformations. We have previously
shown that the proinflammatory cytokine interleukin-1beta (IL-1beta) increases
ITGB8 expression on lung fibroblasts, which increases alphavbeta8-mediated TGF
beta activation in fibrosis and pathologic inflammation. Here we report the
mechanism of increased ITGB8 expression by IL-1beta. Our data support a model
where the chromatin architecture of the ITGB8 core promoter is altered by
nucleosomal repositioning that enhances the interaction of an AP1 complex
(containing c-Jun and ATF2). This repositioning is caused by the dissociation of
HDAC2 with the ITGB8 core promoter, leading to increased histone H4 acetylation
and a loosening of nucleosomal-DNA interactions allowing "opening" of the
chromatin structure and increased association of c-Jun and ATF-2. These changes
are mediated through NFkappaB- and p38-dependent pathways. Ultimately, these
events culminate in increasing ITGB8 transcription, alphavbeta8 surface
expression, and alphavbeta8-mediated TGFbeta activation.
PMID- 21878624
TI - Swapping small ubiquitin-like modifier (SUMO) isoform specificity of SUMO
proteases SENP6 and SENP7.
AB - SUMO proteases can regulate the amounts of SUMO-conjugated proteins in the cell
by cleaving off the isopeptidic bond between SUMO and the target protein. Of the
six members that constitute the human SENP/ULP protease family, SENP6 and SENP7
are the most divergent members in their conserved catalytic domain. The SENP6 and
SENP7 subclass displays a clear proteolytic cleavage preference for SUMO2/3
isoforms. To investigate the structural determinants for such isoform
specificity, we have identified a unique sequence insertion in the SENP6 and
SENP7 subclass that is essential for their proteolytic activity and that forms a
more extensive interface with SUMO during the proteolytic reaction. Furthermore,
we have identified a region in the SUMO surface determinant for the SUMO2/3
isoform specificity of SENP6 and SENP7. Double point amino acid mutagenesis on
the SUMO surface allows us to swap the specificity of SENP6 and SENP7 between the
two SUMO isoforms. Structure-based comparisons combined with biochemical and
mutagenesis analysis have revealed Loop 1 insertion in SENP6 and SENP7 as a
platform to discriminate between SUMO1 and SUMO2/3 isoforms in this subclass of
the SUMO protease family.
PMID- 21878623
TI - Allosteric and orthosteric sites in CC chemokine receptor (CCR5), a chimeric
receptor approach.
AB - Chemokine receptors play a major role in immune system regulation and have
consequently been targets for drug development leading to the discovery of
several small molecule antagonists. Given the large size and predominantly
extracellular receptor interaction of endogenous chemokines, small molecules
often act more deeply in an allosteric mode. However, opposed to the well
described molecular interaction of allosteric modulators in class C 7
transmembrane helix (7TM) receptors, the interaction in class A, to which the
chemokine receptors belong, is more sparsely described. Using the CCR5 chemokine
receptor as a model system, we studied the molecular interaction and
conformational interchange required for proper action of various orthosteric
chemokines and allosteric small molecules, including the well known CCR5
antagonists TAK-779, SCH-C, and aplaviroc, and four novel CCR5 ago-allosteric
molecules. A chimera was successfully constructed between CCR5 and the closely
related CCR2 by transferring all extracellular regions of CCR2 to CCR5, i.e. a
Trojan horse that resembles CCR2 extracellularly but signals through a CCR5
transmembrane unit. The chimera bound CCR2 (CCL2 and CCL7), but not CCR5
chemokines (CCL3 and CCL5), with CCR2-like high affinities and potencies
throughout the CCR5 signaling unit. Concomitantly, high affinity binding of small
molecule CCR5 agonists and antagonists was retained in the transmembrane region.
Importantly, whereas the agonistic and antagonistic properties were preserved,
the allosteric enhancement of chemokine binding was disrupted. In summary, the
Trojan horse chimera revealed that orthosteric and allosteric sites could be
structurally separated and still act together with transmission of agonism and
antagonism across the different receptor units.
PMID- 21878625
TI - The alpha1 subunit EGL-19, the alpha2/delta subunit UNC-36, and the beta subunit
CCB-1 underlie voltage-dependent calcium currents in Caenorhabditis elegans
striated muscle.
AB - Voltage-gated calcium channels, which play key roles in many physiological
processes, are composed of a pore-forming alpha1 subunit associated with up to
three auxiliary subunits. In vertebrates, the role of auxiliary subunits has
mostly been studied in heterologous systems, mainly because of the severe
phenotypes of knock-out animals. The genetic model Caenorhabditis elegans has all
main types of voltage-gated calcium channels and strong loss-of-function
mutations in all pore-forming and auxiliary subunits; it is therefore a useful
model to investigate the roles of auxiliary subunits in their native context. By
recording calcium currents from channel and auxiliary subunit mutants, we
molecularly dissected the voltage-dependent calcium currents in striated muscle
of C. elegans. We show that EGL-19 is the only alpha1 subunit that carries
calcium currents in muscle cells. We then demonstrate that the alpha2/delta
subunit UNC-36 modulates the voltage dependence, the activation kinetics, and the
conductance of calcium currents, whereas another alpha2/delta subunit TAG-180 has
no effect. Finally, we characterize mutants of the two beta subunits, CCB-1 and
CCB-2. CCB-1 is necessary for viability, and voltage-dependent calcium currents
are abolished in the absence of CCB-1 whereas CCB-2 does not affect currents.
Altogether these results show that EGL-19, UNC-36, and CCB-1 underlie voltage
dependent calcium currents in C. elegans striated muscle.
PMID- 21878626
TI - Cooperation between an intrinsically disordered region and a helical segment is
required for ubiquitin-independent degradation by the proteasome.
AB - The 26 S proteasomal complex, which is responsible for the bulk of protein
degradation within the cell, recognizes its target substrates via covalently
linked polyubiquitin moieties. However, a small but growing number of proteasomal
substrates are degraded without a requirement for ubiquitinylation. One such
substrate is the pyrimidine biosynthetic enzyme thymidylate synthase (EC
2.1.1.45), which catalyzes the synthesis of TMP and is the sole de novo source of
TTP for DNA replication and repair. Previous work showed that intracellular
proteolysis of human thymidylate synthase is directed by a degron at the
polypeptide's N-terminal end, composed of an intrinsically disordered region
(IDR) followed by a highly conserved amphipathic alpha-helix (hA). In the present
report, we show that the hA helix does not function simply as an extension or
scaffold for the IDR; rather, it provides a specific structural component that is
necessary for degradation. Furthermore, its helical conformation is required for
this function. We demonstrate that small domains from heterologous proteins can
substitute for the IDR and the hA helix of human thymidylate synthase, indicating
that the degradation-promoting function of these regions is not sequence
specific. The results, in general, indicate that cooperation between
intrinsically disordered domains and alpha-helical segments is required for
ubiquitin-independent degradation by the proteasome. There appears to be little
sequence constraint on the ability of these regions to function as degron
constituents. Rather, it is the overall conformation (or lack thereof) that is
critical.
PMID- 21878627
TI - The minimal active structure of human relaxin-2.
AB - H2 relaxin is a peptide hormone associated with a number of therapeutically
relevant physiological effects, including regulation of collagen metabolism and
multiple vascular control pathways. It is currently in phase III clinical trials
for the treatment of acute heart failure due to its ability to induce
vasodilation and influence renal function. It comprises 53 amino acids and is
characterized by two separate polypeptide chains (A-B) that are cross-linked by
three disulfide bonds. This size and complex structure represents a considerable
challenge for the chemical synthesis of H2 relaxin, a major limiting factor for
the exploration of modifications and derivatizations of this peptide, to optimize
effect and drug-like characteristics. To address this issue, we describe the
solid phase peptide synthesis and structural and functional evaluation of 24
analogues of H2 relaxin with truncations at the termini of its peptide chains. We
show that it is possible to significantly truncate both the N and C termini of
the B-chain while still retaining potent biological activity. This suggests that
these regions are not critical for interactions with the H2 relaxin receptor,
RXFP1. In contrast, truncations do reduce the activity of H2 relaxin for the
related receptor RXFP2 by improving RXFP1 selectivity. In addition to new
mechanistic insights into the function of H2 relaxin, this study identifies a
critical active core with 38 amino acids. This minimized core shows similar
antifibrotic activity as native H2 relaxin when tested in human BJ3 cells and
thus represents an attractive receptor-selective lead for the development of
novel relaxin therapeutics.
PMID- 21878628
TI - Hck is a key regulator of gene expression in alternatively activated human
monocytes.
AB - IL-13 is a Th2 cytokine that promotes alternative activation (M2 polarization) in
primary human monocytes. Our studies have characterized the functional IL-13
receptor complex and the downstream signaling events in response to IL-13
stimulation in alternatively activated monocytes/macrophages. In this report, we
present evidence that IL-13 induces the activation of a Src family tyrosine
kinase, which is required for IL-13 induction of M2 gene expression, including 15
lipoxygenase (15-LO). Our data show that Src kinase activity regulates IL-13
induced p38 MAPK tyrosine phosphorylation via the upstream kinases MKK3 or MKK6.
Our findings also reveal that the IL-13 receptor-associated tyrosine kinase Jak2
is required for the activation of both Src kinase as well as p38 MAPK. Further,
we found that Src tyrosine kinase-mediated activation of p38 MAPK is required for
Stat1 and Stat3 serine 727 phosphorylation in alternatively activated
monocytes/macrophages. Additional studies identify Hck as the specific Src family
member, stimulated by IL-13 and involved in regulating both p38 MAPK activation
and p38 MAPK-mediated 15-LO expression. Finally we show that the Hck regulates
the expression of other alternative state (M2)-specific genes (Mannose receptor,
MAO-A, and CD36) and therefore conclude that Hck acts as a key regulator
controlling gene expression in alternatively activated monocytes/macrophages.
PMID- 21878629
TI - Outer membrane targeting of secretin PulD protein relies on disordered domain
recognition by a dedicated chaperone.
AB - Interaction of bacterial outer membrane secretin PulD with its dedicated
lipoprotein chaperone PulS relies on a disorder-to-order transition of the
chaperone binding (S) domain near the PulD C terminus. PulS interacts with
purified S domain to form a 1:1 complex. Circular dichroism, one-dimensional NMR,
and hydrodynamic measurements indicate that the S domain is elongated and
intrinsically disordered but gains secondary structure upon binding to PulS.
Limited proteolysis and mass spectrometry identified the 28 C-terminal residues
of the S domain as a minimal binding site with low nanomolar affinity for PulS in
vitro that is sufficient for outer membrane targeting of PulD in vivo. The region
upstream of this binding site is not required for targeting or multimerization
and does not interact with PulS, but it is required for secretin function in type
II secretion. Although other secretin chaperones differ substantially from PulS
in sequence and secondary structure, they have all adopted at least superficially
similar mechanisms of interaction with their cognate secretins, suggesting that
intrinsically disordered regions facilitate rapid interaction between secretins
and their chaperones.
PMID- 21878630
TI - N,N'-dinitrosopiperazine-mediated ezrin protein phosphorylation via activation of
Rho kinase and protein kinase C is involved in metastasis of nasopharyngeal
carcinoma 6-10B cells.
AB - N,N'-Dinitrosopiperazine (DNP) is a carcinogen for nasopharyngeal carcinoma
(NPC), which shows organ specificity to nasopharyngeal epithelium. Herein, we
demonstrate that DNP induces fiber formation of NPC cells (6-10B) and also
increases invasion and motility of 6-10B cells. DNP-mediated NPC metastasis also
was confirmed in nude mice. Importantly, DNP induced the expression of
phosphorylated ezrin (phos-ezrin) at threonine 567 (Thr-567) dose- and time
dependently but had no effect on the total ezrin expression at these
concentrations. Furthermore, DNP-induced phos-ezrin expression was dependent on
increased Rho kinase and protein kinase C (PKC) activity. DNP may activate Rho
kinase through binding to its pleckstrin homology and may activate PKC through
promoting its translocation to the plasma membrane in vivo. DNP-induced phos
ezrin was associated with induction of fiber growth in 6-10B cells. However, DNP
could not induce motility and invasion of NPC cells containing ezrin mutated at
Thr-567. Similarly, DNP could not induce motility and invasion of the cells
containing siRNAs against Rho or PKC. These results indicate that DNP induces
ezrin phosphorylation at Thr-567, increases motility and invasion of cells, and
promotes tumor metastasis. DNP may be involved in NPC metastasis through
regulation of ezrin phosphorylation at Thr-567.
PMID- 21878632
TI - 1-Deoxy-D-xylulose 5-phosphate synthase catalyzes a novel random sequential
mechanism.
AB - Emerging resistance of human pathogens to anti-infective agents make it necessary
to develop new agents to treat infection. The methylerythritol phosphate pathway
has been identified as an anti-infective target, as this essential isoprenoid
biosynthetic pathway is widespread in human pathogens but absent in humans. The
first enzyme of the pathway, 1-deoxy-D-xylulose 5-phosphate (DXP) synthase,
catalyzes the formation of DXP via condensation of D-glyceraldehyde 3-phosphate
(D-GAP) and pyruvate in a thiamine diphosphate-dependent manner. Structural
analysis has revealed a unique domain arrangement suggesting opportunities for
the selective targeting of DXP synthase; however, reports on the kinetic
mechanism are conflicting. Here, we present the results of tryptophan
fluorescence binding and kinetic analyses of DXP synthase and propose a new model
for substrate binding and mechanism. Our results are consistent with a random
sequential kinetic mechanism, which is unprecedented in this enzyme class.
PMID- 21878631
TI - Post-translational membrane insertion of tail-anchored transmembrane EF-hand Ca2+
sensor calneurons requires the TRC40/Asna1 protein chaperone.
AB - Calneuron-1 and -2 are neuronal EF-hand-type calcium sensor proteins that are
prominently targeted to trans-Golgi network membranes and impose a calcium
threshold at the Golgi for phosphatidylinositol 4-OH kinase IIIbeta activation
and the regulated local synthesis of phospholipids that are crucial for TGN-to
plasma membrane trafficking. In this study, we show that calneurons are
nonclassical type II tail-anchored proteins that are post-translationally
inserted into the endoplasmic reticulum membrane via an association of a 23-amino
acid-long transmembrane domain (TMD) with the TRC40/Asna1 chaperone complex.
Following trafficking to the Golgi, calneurons are probably retained in the TGN
because of the length of the TMD and phosphatidylinositol 4-phosphate lipid
binding. Both calneurons rapidly self-associate in vitro and in vivo via their
TMD and EF-hand containing the N terminus. Although dimerization and potentially
multimerization precludes TRC40/Asna1 binding and thereby membrane insertion, we
found no evidence for a cytosolic pool of calneurons and could demonstrate that
self-association of calneurons is restricted to membrane-inserted protein. The
dimerization properties and the fact that they, unlike every other EF-hand
calmodulin-like Ca(2+) sensor, are always associated with membranes of the
secretory pathway, including vesicles and plasma membrane, suggests a high degree
of spatial segregation for physiological target interactions.
PMID- 21878633
TI - Forced gating motions by a substituted titratable side chain at the bundle
crossing of a potassium channel.
AB - Numerous inwardly rectifying potassium (Kir) channels possess an aromatic residue
in the helix bundle crossing region, forming the narrowest pore constriction in
crystal structures. However, the role of the Kir channel bundle crossing as a
functional gate remains uncertain. We report a unique phenotype of Kir6.2
channels mutated to encode glutamate at this position (F168E). Despite a
prediction of four glutamates in close proximity, Kir6.2(F168E) channels are
predominantly closed at physiological pH, whereas alkalization causes rapid and
reversible channel activation. These findings suggest that F168E glutamates are
uncharged at physiological pH but become deprotonated at alkaline pH, forcing
channel opening due to mutual repulsion of nearby negatively charged side chains.
The potassium channel pore scaffold likely brings these glutamates close
together, causing a significant pK(a) shift relative to the free side chain (as
seen in the KcsA selectivity filter). Alkalization also shifts the apparent ATP
sensitivity of the channel, indicating that forced motion of the bundle crossing
is coupled to the ATP-binding site and may resemble conformational changes
involved in wild-type Kir6.2 gating. The study demonstrates a novel mechanism for
engineering extrinsic control of channel gating by pH and shows that
conformational changes in the bundle crossing region are involved in ligand
dependent gating of Kir channels.
PMID- 21878634
TI - Cystathionine beta-synthase (CBS) domains 1 and 2 fulfill different roles in
ionic strength sensing of the ATP-binding cassette (ABC) transporter OpuA.
AB - The cystathionine beta-synthase module of OpuA in conjunction with an anionic
membrane surface acts as a sensor of internal ionic strength, which allows the
protein to respond to osmotic stress. We now show by chemical modification and
cross-linking studies that CBS2-CBS2 interface residues are critical for
transport activity and/or ionic regulation of transport, whereas CBS1 serves no
functional role. We establish that Cys residues in CBS1, CBS2, and the nucleotide
binding domain are more accessible for cross-linking at high than low ionic
strength, indicating that these domains undergo conformational changes when
transiting between the active and inactive state. Structural analyses suggest
that the cystathionine beta-synthase module is largely unstructured. Moreover, we
could substitute CBS1 by a linker and preserve ionic regulation of transport.
These data suggest that CBS1 serves as a linker and the structured CBS2-CBS2
interface forms a hinge point for ionic strength-dependent rearrangements that
are transmitted to the nucleotide-binding domain and thereby affect translocation
activity.
PMID- 21878635
TI - Group X secreted phospholipase A2 proenzyme is matured by a furin-like proprotein
convertase and releases arachidonic acid inside of human HEK293 cells.
AB - Among mammalian secreted phospholipases A(2) (sPLA(2)s), group X sPLA(2) has the
most potent hydrolyzing activity toward phosphatidylcholine and is involved in
arachidonic acid (AA) release. Group X sPLA(2) is produced as a proenzyme and
contains a short propeptide of 11 amino acids ending with a dibasic motif,
suggesting cleavage by proprotein convertases. Although the removal of this
propeptide is clearly required for enzymatic activity, the cellular location and
the protease(s) involved in proenzyme conversion are unknown. Here we have
analyzed the maturation of group X sPLA(2) in HEK293 cells, which have been
extensively used to analyze sPLA(2)-induced AA release. Using recombinant mouse
(PromGX) and human (ProhGX) proenzymes; HEK293 cells transfected with cDNAs
coding for full-length ProhGX, PromGX, and propeptide mutants; and various
permeable and non-permeable sPLA(2) inhibitors and protease inhibitors, we
demonstrate that group X sPLA(2) is mainly converted intracellularly and releases
AA before externalization from the cell. Most strikingly, the exogenous proenzyme
does not elicit AA release, whereas the transfected proenzyme does elicit AA
release in a way insensitive to non-permeable sPLA(2) inhibitors. In transfected
cells, a permeable proprotein convertase inhibitor, but not a non-permeable one,
prevents group X sPLA(2) maturation and partially blocks AA release. Mutations at
the dibasic motif of the propeptide indicate that the last basic residue is
required and sufficient for efficient maturation and AA release. All together,
these results argue for the intracellular maturation of group X proenzyme in
HEK293 cells by a furin-like proprotein convertase, leading to intracellular
release of AA during secretion.
PMID- 21878636
TI - Novel membrane-associated androgen receptor splice variant potentiates
proliferative and survival responses in prostate cancer cells.
AB - Progression from the androgen-sensitive to androgen-insensitive (or castration
resistant) stage is the major obstacle for sustained effectiveness of hormonal
therapy for prostate cancer. The androgen receptor (AR) and its splice variants
play important roles in regulating the transcription program essential for
castration resistance. Here, we report the identification of a novel AR splice
variant, designated as AR8, which is up-regulated in castration-resistant
prostate cancer cells. AR8 is structurally different from other known AR splice
variants because it lacks a DNA binding domain and therefore, unlikely functions
as a transcription factor on its own. Immunofluorescence staining revealed that
AR8 was primarily localized on the plasma membrane, possibly through
palmitoylation of two cysteine residues within its unique C-terminal sequence.
Mutation of these putative palmitoylation sites in AR8 led to loss of its plasma
membrane localization. In addition, we demonstrated that overexpression of AR8 in
prostate cancer cells promoted association of Src and AR with the EGF receptor in
response to EGF treatment and enhanced tyrosine phosphorylation of AR.
Conversely, specific knockdown of AR8 expression in prostate cancer cells
compromised EGF-induced Src activation and AR phosphorylation. This effect was
accompanied with attenuation of proliferation and increased apoptosis in prostate
cancer cells cultured in androgen-depleted medium. We also showed that AR8 was
required for optimal transcriptional activity of AR in response to treatment of
both androgen and EGF. Taken together, our results demonstrate that the membrane
associated AR8 isoform may contribute to castration resistance by potentiating AR
mediated proliferative and survival responses to hormones and growth factors.
PMID- 21878637
TI - MicroRNA-99a inhibits hepatocellular carcinoma growth and correlates with
prognosis of patients with hepatocellular carcinoma.
AB - In our in-depth analysis carried out by the Illumina Solexa massive parallel
signature sequencing, microRNA-99a (miR-99a) was found to be the sixth abundant
microRNA in the miRNome of normal human liver but was markedly down-regulated in
hepatocellular carcinoma (HCC). Compelling evidence has suggested the important
roles of microRNAs in HCC development. However, the biological function of miR
99a deregulation in HCC remains unknown. In this study, we found that miR-99a was
remarkably decreased in HCC tissues and cell lines. Importantly, lower miR-99a
expression in HCC tissues significantly correlated with shorter survival of HCC
patients, and miR-99a was identified to be an independent predictor for the
prognosis of HCC patients. Furthermore, restoration of miR-99a dramatically
suppressed HCC cell growth in vitro by inducing the G(1) phase cell cycle arrest.
Intratumoral injection of cholesterol-conjugated miR-99a mimics significantly
inhibited tumor growth and reduced the alpha-fetoprotein level in HCC-bearing
nude mice. Insulin-like growth factor 1 receptor (IGF-1R) and mammalian target of
rapamycin (mTOR) were further characterized as the direct targets of miR-99a.
Furthermore, protein levels of IGF-1R and mTOR were found to be inversely
correlated with miR-99a expression in HCC tissues. miR-99a mimics inhibited IGF
1R and mTOR pathways and subsequently suppressed expression of cell cycle-related
proteins, including cyclin D1 in HCC cells. Conclusively, miR-99a expression was
frequently down-regulated in HCC tissues and correlates with the prognosis of HCC
patients, thus proposing miR-99a as a prospective prognosis predictor of HCC. miR
99a suppresses HCC growth by inducing cell cycle arrest, suggesting miR-99a as
potential tumor suppressor for HCC therapeutics.
PMID- 21878638
TI - Phosphorylation and stabilization of topoisomerase IIalpha protein by p38gamma
mitogen-activated protein kinase sensitize breast cancer cells to its poisons.
AB - Cancer drugs suppress tumor cell growth by inhibiting specific cellular targets.
However, most drugs also activate several cellular nonspecific stress pathways,
and the implications of these off-target effects are mostly unknown. Here, we
report that p38gamma, but not p38alpha, MAPK is specifically activated by
treatment of breast cancer cells with topoisomerase II (Topo II) drugs, whereas
paclitaxel (Taxol) does not have this effect. The activated p38gamma in turn
phosphorylates and stabilizes Topo IIalpha protein, and this enhances the growth
inhibition by Topo II drugs. Moreover, p38gamma activity was shown to be
necessary and sufficient for Topo IIalpha expression, the drug-p38gamma-Topo
IIalpha axis is only detected in intrinsically sensitive but not resistant cells,
and p38gamma is co-overexpressed with Topo IIalpha protein in primary breast
cancers. These results reveal a new paradigm in which p38gamma actively regulates
the drug-Topo IIalpha signal transduction, and this may be exploited to increase
the therapeutic activity of Topo II drugs.
PMID- 21878639
TI - Endogenous APOBEC3B restricts LINE-1 retrotransposition in transformed cells and
human embryonic stem cells.
AB - Members of the APOBEC3 (A3) family of cytidine deaminase enzymes act as host
defense mechanisms limiting both infections by exogenous retroviruses and
mobilization of endogenous retrotransposons. Previous studies revealed that the
overexpression of some A3 proteins could restrict engineered human Long
INterspersed Element-1 (LINE-1 or L1) retrotransposition in HeLa cells. However,
whether endogenous A3 proteins play a role in restricting L1 retrotransposition
remains largely unexplored. Here, we show that HeLa cells express endogenous A3B
and A3C, whereas human embryonic stem cells (hESCs) express A3B, A3C, A3DE, A3F,
and A3G. To study the relative contribution of endogenous A3 proteins in
restricting L1 retrotransposition, we first generated small hairpin RNAs (shRNAs)
to suppress endogenous A3 mRNA expression, and then assessed L1 mobility using a
cell-based L1 retrotransposition assay. We demonstrate that in both HeLa and
hESCs, shRNA-based knockdown of A3B promotes a ~2-3.7-fold increase in the
retrotransposition efficiency of an engineered human L1. Knockdown of the other
A3s produced no significant increase in L1 activity. Thus, A3B appears to
restrict engineered L1 retrotransposition in a broad range of cell types,
including pluripotent cells.
PMID- 21878640
TI - DNA damage response is suppressed by the high cyclin-dependent kinase 1 activity
in mitotic mammalian cells.
AB - DNA damage response (DDR) is vital for genomic stability, and its deficiency is
linked to tumorigenesis. Extensive studies in interphase (G(1)-S-G(2)) mammalian
cells have revealed the mechanisms of DDR in great detail; however, how mitotic
cells respond to DNA damage remains less defined. We report here that a full DDR
is suppressed in mitotic mammalian cells until telophase/cytokinesis. Although
early DDR markers such as the phosphorylations of ataxia telangiectasia mutated
(ATM) and histone H2A.x (H2AX) can be readily detected, the ionizing radiation
induced foci (IRIF) formation of late DDR markers such as breast cancer type 1
susceptibility protein (BRCA1) and p53-binding protein 1 (53BP1) are absent until
the telophase/cytokinesis stage. We further showed that the IR-induced
ubiquitination cascade around DNA damage sites did not occur in mitotic cells,
which explains, at least in part, why BRCA1 and 53BP1 cannot be recruited to the
damaged sites. These observations indicate that DDR is suppressed in mitotic
cells after the step of gammaH2AX formation. Not surprisingly, we found that the
absence of a full DDR in mitotic cells was associated with the high cyclin
dependent kinase 1 (CDK1) activities. More 53BP1 IRIF could be detected when the
irradiated mitotic cells were treated with a CDK1 inhibitor. Further, the
activation of CDK5 in interphase cells impedes the formation of 53BP1 IRIF.
Together, these results suggest that the DDR is suppressed by the high CDK1
activity in mitotic mammalian cells.
PMID- 21878641
TI - Tandem translation generates a chaperone for the Salmonella type III secretion
system protein SsaQ.
AB - Type III secretion systems (T3SSs) of bacterial pathogens involve the assembly of
a surface-localized needle complex, through which translocon proteins are
secreted to form a pore in the eukaryotic cell membrane. This enables the
transfer of effector proteins from the bacterial cytoplasm to the host cell. A
structure known as the C-ring is thought to have a crucial role in secretion by
acting as a cytoplasmic sorting platform at the base of the T3SS. Here, we
studied SsaQ, an FliN-like putative C-ring protein of the Salmonella
pathogenicity island 2 (SPI-2)-encoded T3SS. ssaQ produces two proteins by tandem
translation: a long form (SsaQ(L)) composed of 322 amino acids and a shorter
protein (SsaQ(S)) comprising the C-terminal 106 residues of SsaQ(L). SsaQ(L) is
essential for SPI-2 T3SS function. Loss of SsaQ(S) impairs the function of the
T3SS both ex vivo and in vivo. SsaQ(S) binds to its corresponding region within
SsaQ(L) and stabilizes the larger protein. Therefore, SsaQ(L) function is
optimized by a novel chaperone-like protein, produced by tandem translation from
its own mRNA species.
PMID- 21878642
TI - KIBRA protein phosphorylation is regulated by mitotic kinase aurora and protein
phosphatase 1.
AB - Recent genetic studies in Drosophila identified Kibra as a novel regulator of the
Hippo pathway, which controls tissue growth and tumorigenesis by inhibiting cell
proliferation and promoting apoptosis. The cellular function and regulation of
human KIBRA remain largely unclear. Here, we show that KIBRA is a phosphoprotein
and that phosphorylation of KIBRA is regulated in a cell cycle-dependent manner
with the highest level of phosphorylated KIBRA detected in mitosis. We further
demonstrate that the mitotic kinases Aurora-A and -B phosphorylate KIBRA both in
vitro and in vivo. We identified the highly conserved Ser(539) as the primary
phosphorylation site for Aurora kinases. Moreover, we found that wild-type, but
not catalytically inactive, protein phosphatase 1 (PP1) associates with KIBRA.
PP1 dephosphorylated Aurora-phosphorylated KIBRA. KIBRA depletion impaired the
interaction between Aurora-A and PP1. We also show that KIBRA associates with
neurofibromatosis type 2/Merlin in a Ser(539) phosphorylation-dependent manner.
Phosphorylation of KIBRA on Ser(539) plays a role in mitotic progression. Our
results suggest that KIBRA is a physiological substrate of Aurora kinases and
reveal a new avenue between KIBRA/Hippo signaling and the mitotic machinery.
PMID- 21878643
TI - The antagonistic action of B56-containing protein phosphatase 2As and casein
kinase 2 controls the phosphorylation and Gli turnover function of Daz
interacting protein 1.
AB - The Hedgehog (Hh) pathway is evolutionarily conserved and plays critical roles
during embryonic development and adult tissue homeostasis. Defective Hh signaling
has been linked to a wide range of birth defects and cancers. Hh family proteins
regulate the expression of their downstream target genes through the control of
proteolytic processing and the transcriptional activation function of Gli
transcription factors. Although Hh-dependent regulation of Gli has been studied
extensively, other Gli regulatory mechanisms remain relatively unappreciated.
Here we report our identification of a novel signaling cascade that controls the
stability of Gli proteins. This cascade consists of Daz interacting protein 1
(Dzip1), casein kinase 2 (CK2), and B56 containing protein phosphatase 2As
(PP2As). We provide evidence that Dzip1 is involved in a novel Gli turnover
pathway. We show that CK2 directly phosphorylates Dzip1 at four serine residues,
Ser-664/665/706/714. B56-containing PP2As, through binding to a domain located
between amino acid residue 474 and 550 of Dzip1, dephosphorylate Dzip1 on these
CK2 sites. Our mutagenesis analysis further demonstrates that the
unphosphorylatable form of Dzip1 is more potent in promoting Gli turnover.
Consistently, we found that the stability of Gli proteins was decreased upon CK2
inhibition and increased by inhibition of B56-containing PP2As. Thus, reversible
phosphorylation of Dzip1, which is controlled by the antagonistic action of CK2
and B56-containing PP2As, has an important impact on the stability of Gli
transcription factors and Hh signaling.
PMID- 21878644
TI - Drug-induced senescence generates chemoresistant stemlike cells with low reactive
oxygen species.
AB - Tumor recurrence after chemotherapy or radiation remains a major obstacle to
successful cancer treatment. A subset of cancer cells, termed cancer stem cells,
can elude conventional treatments and eventually regenerate a tumor that is more
aggressive. Despite the large number of studies, molecular events that govern the
emergence of aggressive therapy-resistant cells with stem cell properties after
chemotherapy are poorly defined. The present study provides evidence for the rare
escape of tumor cells from drug-induced cell death, after an intermediate stay in
a non-cycling senescent stage followed by unstable multiplication characterized
by spontaneous cell death. However, some cells appear to escape and generate
stable colonies with an aggressive tumor stem cell-like phenotype. These cells
displayed higher CD133 and Oct-4 expression. Notably, the drug-selected cells
that contained low levels of reactive oxygen species (ROS) also showed an
increase in antioxidant enzymes. Consistent with this in vitro experimental data,
we observed lower levels of ROS in breast tumors obtained after neoadjuvant
chemotherapy compared with samples that did not receive preoperative
chemotherapy. These latter tissues also expressed enhanced levels of ROS defenses
with enhanced expression of superoxide dismutase. Higher levels of Oct-4 and
CD133 were also observed in tumors obtained after neoadjuvant chemotherapy.
Further studies provided evidence for the stabilization of Nrf2 due to reduced 26
S proteasome activity and increased p21 association as the driving signaling
event that contributes to the transition from a high ROS quiescent state to a low
ROS proliferating stage in drug-induced tumor stem cell enrichment.
PMID- 21878645
TI - Exploiting bacterial glycosylation machineries for the synthesis of a Lewis
antigen-containing glycoprotein.
AB - Glycoproteins constitute a class of compounds of increasing importance for
pharmaceutical applications. The manipulation of bacterial protein glycosylation
systems from Gram-negative bacteria for the synthesis of recombinant
glycoproteins is a promising alternative to the current production methods.
Proteins carrying Lewis antigens have been shown to have potential applications
for the treatment of diverse autoimmune diseases. In this work, we developed a
mixed approach consisting of in vivo and in vitro steps for the synthesis of
glycoproteins containing the Lewis x antigen. Using glycosyltransferases from
Haemophilus influenzae, we engineered Escherichia coli to assemble a
tetrasaccharide on the lipid carrier undecaprenylphosphate. This glycan was
transferred in vivo from the lipid to a carrier protein by the Campylobacter
jejuni oligosaccharyltransferase PglB. The glycoprotein was then fucosylated in
vitro by a truncated fucosyltransferase from Helicobacter pylori. Diverse mass
spectrometry techniques were used to confirm the structure of the glycan. The
strategy presented here could be adapted in the future for the synthesis of
diverse glycoproteins. Our experiments demonstrate that bacterial enzymes can be
exploited for the production of glycoproteins carrying glycans present in human
cells for potential therapeutic applications.
PMID- 21878646
TI - Role of the endoplasmic reticulum-associated degradation (ERAD) pathway in
degradation of hepatitis C virus envelope proteins and production of virus
particles.
AB - Viral infections frequently cause endoplasmic reticulum (ER) stress in host cells
leading to stimulation of the ER-associated degradation (ERAD) pathway, which
subsequently targets unassembled glycoproteins for ubiquitylation and proteasomal
degradation. However, the role of the ERAD pathway in the viral life cycle is
poorly defined. In this paper, we demonstrate that hepatitis C virus (HCV)
infection activates the ERAD pathway, which in turn controls the fate of viral
glycoproteins and modulates virus production. ERAD proteins, such as EDEM1 and
EDEM3, were found to increase ubiquitylation of HCV envelope proteins via direct
physical interaction. Knocking down of EDEM1 and EDEM3 increased the half-life of
HCV E2, as well as virus production, whereas exogenous expression of these
proteins reduced the production of infectious virus particles. Further
investigation revealed that only EDEM1 and EDEM3 bind with SEL1L, an ER membrane
adaptor protein involved in translocation of ERAD substrates from the ER to the
cytoplasm. When HCV-infected cells were treated with kifunensine, a potent
inhibitor of the ERAD pathway, the half-life of HCV E2 increased and so did virus
production. Kifunensine inhibited the binding of EDEM1 and EDEM3 with SEL1L, thus
blocking the ubiquitylation of HCV E2 protein. Chemical inhibition of the ERAD
pathway neither affected production of the Japanese encephalitis virus (JEV) nor
stability of the JEV envelope protein. A co-immunoprecipitation assay showed that
EDEM orthologs do not bind with JEV envelope protein. These findings highlight
the crucial role of the ERAD pathway in the life cycle of specific viruses.
PMID- 21878647
TI - Staphylococcus aureus protein A mediates invasion across airway epithelial cells
through activation of RhoA GTPase signaling and proteolytic activity.
AB - Staphyococcus aureus and especially the epidemic methicillin-resistant S. aureus
strains cause severe necrotizing pneumonia. The mechanisms whereby these
organisms invade across the mucosal epithelial barrier to initiate invasive
infection are not well understood. Protein A (SpA), a highly conserved and
abundant surface protein of S. aureus, activates TNF receptor 1 and EGF receptor
(EGFR) signaling cascades that can perturb the cytoskeleton. We demonstrate that
wild-type S. aureus, but not spa mutants, invade across polarized airway
epithelial cell monolayers via the paracellular junctions. SpA stimulated a
RhoA/ROCK/MLC cascade, resulting in the contraction of the cytoskeleton. SpA(+)
but not SpA(-) mutants stimulated activation of EGFR and along with subsequent
calpain activity cleaved the membrane-spanning junctional proteins occludin and E
cadherin, facilitating staphylococcal transmigration through the cell-cell
junctions. Treatment of polarized human airway epithelial monolayers with
inhibitors of ROCK, EGFR, MAPKs, or calpain prevented staphylococcal penetration
through the monolayers. In vivo, blocking calpain activity impeded bacterial
invasion into the lung parenchyma. Thus, S. aureus exploits multiple receptors
available on the airway mucosal surface to facilitate invasion across epithelial
barriers.
PMID- 21878648
TI - Chemokine CXCL12 uses CXCR4 and a signaling core formed by bifunctional Akt,
extracellular signal-regulated kinase (ERK)1/2, and mammalian target of rapamycin
complex 1 (mTORC1) proteins to control chemotaxis and survival simultaneously in
mature dendritic cells.
AB - Chemokines control several cell functions in addition to chemotaxis. Although
much information is available on the involvement of specific signaling molecules
in the control of single functions controlled by chemokines, especially
chemotaxis, the mechanisms used by these ligands to regulate several cell
functions simultaneously are completely unknown. Mature dendritic cells (maDCs)
migrate through the afferent lymphatic vessels to the lymph nodes, where they
regulate the initiation of the immune response. As maDCs are exposed to chemokine
CXCL12 (receptors CXCR4 and CXCR7) during their migration, its functions are
amenable to be regulated by this ligand. We have used maDCs as a model system to
analyze the mechanisms whereby CXCL12 simultaneously controls chemotaxis and
survival in maDCs. We show that CXCL12 uses CXCR4, but not CXCR7, and the
components of a signaling core that includes G(i)/Gbetagamma, PI3K-alpha/-delta/
gamma, Akt, ERK1/2 and mammalian target of rapamycin complex 1 (mTORC1), which
organize hierarchically to control both functions. Downstream of Akt, Forkhead
box class O (FOXO) regulates CXCL12-dependent survival, but not chemotaxis,
suggesting that downstream of the aforementioned signaling core, additional
signaling molecules may control more selectively CXCL12-dependent chemotaxis or
survival. Finally, the data obtained also show that CXCR4 uses a signaling
signature that is different from that used by CCR7 to control similar functions.
PMID- 21878650
TI - miR-497 and miR-302b regulate ethanol-induced neuronal cell death through BCL2
protein and cyclin D2.
AB - In chronic alcoholism, brain shrinkage and cognitive defects because of neuronal
death are well established, although the sequence of molecular events has not
been fully explored yet. We explored the role of microRNAs (miRNAs) in ethanol
induced apoptosis of neuronal cells. Ethanol-sensitive miRNAs in SH-SY5Y, a human
neuroblastoma cell line, were identified using real-time PCR-based TaqMan low
density arrays. Long-term exposure to ethanol (0.5% v/v for 72 h) produced a
maximum increase in expression of miR-497 (474-fold) and miR-302b (322-fold).
Similar to SH-SY5Y, long-term exposure to ethanol induced miR-497 and miR-302b in
IMR-32, another human neuroblastoma cell line. Using in silico approaches, BCL2
and cyclin D2 (CCND2) were identified as probable target genes of these miRNAs.
Cotransfection studies with 3'-UTR of these genes and miRNA mimics have
demonstrated that BCL2 is a direct target of miR-497 and that CCND2 is regulated
negatively by either miR-302b or miR-497. Overexpression of either miR-497 or miR
302b reduced expression of their identified target genes and increased caspase 3
mediated apoptosis of SH-SY5Y cells. However, overexpression of only miR-497
increased reactive oxygen species formation, disrupted mitochondrial membrane
potential, and induced cytochrome c release (mitochondria-related events of
apoptosis). Moreover, ethanol induced changes in miRNAs, and their target genes
were substantially prevented by pre-exposure to GSK-3B inhibitors. In conclusion,
our studies have shown that ethanol-induced neuronal apoptosis follows both the
mitochondria-mediated (miR-497- and BCL2-mediated) and non-mitochondria-mediated
(miR-302b- and CCND2-mediated) pathway.
PMID- 21878649
TI - The Azoarcus group I intron ribozyme misfolds and is accelerated for refolding by
ATP-dependent RNA chaperone proteins.
AB - Structured RNAs traverse complex energy landscapes that include valleys
representing misfolded intermediates. In Neurospora crassa and Saccharomyces
cerevisiae, efficient splicing of mitochondrial group I and II introns requires
the DEAD box proteins CYT-19 and Mss116p, respectively, which promote folding
transitions and function as general RNA chaperones. To test the generality of RNA
misfolding and the activities of DEAD box proteins in vitro, here we measure
native folding of a small group I intron ribozyme from the bacterium Azoarcus by
monitoring its catalytic activity. To develop this assay, we first measure
cleavage of an oligonucleotide substrate by the prefolded ribozyme. Substrate
cleavage is rate-limited by binding and is readily reversible, with an internal
equilibrium near unity, such that the amount of product observed is less than the
amount of native ribozyme. We use this assay to show that approximately half of
the ribozyme folds readily to the native state, whereas the other half forms an
intermediate that transitions slowly to the native state. This folding transition
is accelerated by urea and increased temperature and slowed by increased Mg(2+)
concentration, suggesting that the intermediate is misfolded and must undergo
transient unfolding during refolding to the native state. CYT-19 and Mss116p
accelerate refolding in an ATP-dependent manner, presumably by disrupting
structure in the intermediate. These results highlight the tendency of RNAs to
misfold, underscore the roles of CYT-19 and Mss116p as general RNA chaperones,
and identify a refolding transition for further dissection of the roles of DEAD
box proteins in RNA folding.
PMID- 21878651
TI - Loss of Rpt5 protein interactions with the core particle and Nas2 protein causes
the formation of faulty proteasomes that are inhibited by Ecm29 protein.
AB - The proteasome is a large and complex protease formed by 66 polypeptides. The
assembly of the proteasome is assisted by at least nine chaperones. One of these
chaperones, Nas2/p27, binds to the C-terminal region of the AAA-ATPase Rpt5. We
report here that the tail of Rpt5 provides two functions. First, it facilitates
the previously reported interaction with the proteasome core particle (CP).
Second, it is essential for the interaction with Nas2. Deletion of the C-terminal
amino acid of Rpt5 disrupts the CP interaction, but not the binding to Nas2. The
latter is surprising considering Nas2 contains a PDZ domain, which is often
involved in binding to C termini. Interestingly, deletion of the last three amino
acids interferes with both functions. The disruption of the Rpt5-CP interactions
gave distinct phenotypes different from disruption of the Nas2-Rpt5 interaction.
Additionally, proteasomes purified from a Saccharomyces cerevisiae rpt5-Delta3
strain show a strong enrichment of Ecm29. The function of Ecm29, a proteasome
associated protein, is not well understood. Our data show that Ecm29 can inhibit
proteasomes, because our Ecm29-containing proteasomes have reduced suc-LLVY-AMC
hydrolytic activity. Consistent with this apparent role as negative regulator,
the deletion of ECM29 rescues the phenotypes of rpt5-Delta3 and nas2Delta in an
hsm3Delta background. In sum, the interactions facilitated by the tail of Rpt5
act synergistically to minimize the formation of faulty proteasomes, thereby
preventing recognition and inhibition by Ecm29.
PMID- 21878652
TI - Structural defects in the regulatory particle-core particle interface of the
proteasome induce a novel proteasome stress response.
AB - Proteasomes consist of a 19-subunit regulatory particle (RP) and 28-subunit core
particle (CP), an alpha(7)beta(7)beta(7)alpha(7) structure. The RP recognizes
substrates and translocates them into the CP for degradation. At the RP-CP
interface, a heterohexameric Rpt ring joins to a heteroheptameric CP alpha ring.
Rpt C termini insert individually into the alpha ring pockets to form a salt
bridge with a pocket lysine residue. We report that substitutions of alpha pocket
lysine residues produce an unexpected block to CP assembly, arising from a late
stage defect in beta ring assembly. Substitutions alpha5(K66A) and alpha6(K62A)
resulted in abundant incorporation of immature CP beta subunits, associated with
a complete beta ring, into proteasome holoenzymes. Incorporation of immature CP
into the proteasome depended on a proteasome-associated protein, Ecm29. Using
ump1 mutants, we identified Ecm29 as a potent negative regulator of RP assembly
and confirmed our previous findings that proper RP assembly requires the CP.
Ecm29 was enriched on proteasomes of pocket lysine mutants, as well as those of
rpt4-Delta1 and rpt6-Delta1 mutants, in which the C-terminal residue, thought to
contact the pocket lysine, is deleted. In both rpt6-Delta1 and alpha6(K62A)
proteasomes, Ecm29 suppressed opening of the CP substrate translocation channel,
which is gated through interactions between Rpt C termini and the alpha pockets.
The ubiquitin ligase Hul5 was recruited to these proteasomes together with Ecm29.
Proteasome remodeling through the addition of Ecm29 and Hul5 suggests a new layer
of the proteasome stress response and may be a common response to structurally
aberrant proteasomes or deficient proteasome function.
PMID- 21878653
TI - Identification of a chitinase-modifying protein from Fusarium verticillioides:
truncation of a host resistance protein by a fungalysin metalloprotease.
AB - Chitinase-modifying proteins (cmps) are proteases secreted by fungal pathogens
that truncate the plant class IV chitinases ChitA and ChitB during maize ear rot.
cmp activity has been characterized for Bipolaris zeicola and Stenocarpella
maydis, but the identities of the proteases are not known. Here, we report that
cmps are secreted by multiple species from the genus Fusarium, that cmp from
Fusarium verticillioides (Fv-cmp) is a fungalysin metalloprotease, and that it
cleaves within a sequence that is conserved in class IV chitinases. Protein
extracts from Fusarium cultures were found to truncate ChitA and ChitB in vitro.
Based on this activity, Fv-cmp was purified from F. verticillioides. N-terminal
sequencing of truncated ChitA and MALDI-TOF-MS analysis of reaction products
showed that Fv-cmp is an endoprotease that cleaves a peptide bond on the C
terminal side of the lectin domain. The N-terminal sequence of purified Fv-cmp
was determined and compared with a set of predicted proteins, resulting in its
identification as a zinc metalloprotease of the fungalysin family. Recombinant Fv
cmp also truncated ChitA, confirming its identity, but had reduced activity,
suggesting that the recombinant protease did not mature efficiently from its
propeptide-containing precursor. This is the first report of a fungalysin that
targets a nonstructural host protein and the first to implicate this class of
virulence-related proteases in plant disease.
PMID- 21878654
TI - The role of autophagy in cancer: therapeutic implications.
AB - Autophagy is a homeostatic, catabolic degradation process whereby cellular
proteins and organelles are engulfed by autophagosomes, digested in lysosomes,
and recycled to sustain cellular metabolism. Autophagy has dual roles in cancer,
acting as both a tumor suppressor by preventing the accumulation of damaged
proteins and organelles and as a mechanism of cell survival that can promote the
growth of established tumors. Tumor cells activate autophagy in response to
cellular stress and/or increased metabolic demands related to rapid cell
proliferation. Autophagy-related stress tolerance can enable cell survival by
maintaining energy production that can lead to tumor growth and therapeutic
resistance. As shown in preclinical models, inhibition of autophagy restored
chemosensitivity and enhanced tumor cell death. These results established
autophagy as a therapeutic target and led to multiple early phase clinical trials
in humans to evaluate autophagy inhibition using hydroxychloroquine in
combination with chemotherapy or targeted agents. Targeting autophagy in cancer
will provide new opportunities for drug development, because more potent and
specific inhibitors of autophagy are needed. The role of autophagy and its
regulation in cancer cells continues to emerge, and studies aim to define optimal
strategies to modulate autophagy for therapeutic advantage.
PMID- 21878655
TI - Inactivation of mirk/dyrk1b kinase targets quiescent pancreatic cancer cells.
AB - A major problem in the treatment of cancer arises from quiescent cancer cells
that are relatively insensitive to most chemotherapeutic drugs and radiation.
Such residual cancer cells can cause tumor regrowth or recurrence when they
reenter the cell cycle. Earlier studies showed that levels of the
serine/theronine kinase Mirk/dyrk1B are elevated up to 10-fold in quiescent G(0)
tumor cells. Mirk uses several mechanisms to block cell cycling, and Mirk
increases expression of antioxidant genes that decrease reactive oxygen species
(ROS) levels and increase quiescent cell viability. We now show that a novel
small molecule Mirk kinase inhibitor blocked tumor cells from undergoing
reversible arrest in a quiescent G(0) state and enabled some cells to exit
quiescence. The inhibitor increased cycling in Panc1, AsPc1, and SW620 cells that
expressed Mirk, but not in HCT116 cells that did not. Mirk kinase inhibition
elevated ROS levels and DNA damage detected by increased phosphorylation of the
histone protein H2AX and by S-phase checkpoints. The Mirk kinase inhibitor
increased cleavage of the apoptotic proteins PARP and caspase 3, and increased
tumor cell kill several-fold by gemcitabine and cisplatin. A phenocopy of these
effects occurred following Mirk depletion, showing drug specificity. In previous
studies Mirk knockout or depletion had no detectable effect on normal tissue,
suggesting that the Mirk kinase inhibitor could have a selective effect on cancer
cells expressing elevated levels of Mirk kinase.
PMID- 21878656
TI - Antitumoral effects of calcitriol in basal cell carcinomas involve inhibition of
hedgehog signaling and induction of vitamin D receptor signaling and
differentiation.
AB - Activation of the Hedgehog (Hh)-signaling pathway due to deficiency in the Hh
receptor Patched1 (Ptch) is the pivotal defect leading to formation of basal cell
carcinoma (BCC). Recent reports provided evidence of Ptch-dependent secretion of
vitamin D(3)-related compound, which functions as an endogenous inhibitor of Hh
signaling by repressing the activity of the signal transduction partner of Ptch,
Smoothened (Smo). This suggests that Ptch-deficient tumor cells are devoid of
this substance, which in turn results in activation of Hh-signaling. Here, we
show that the application of the physiologically active form of vitamin D(3),
calcitriol, inhibits proliferation and growth of BCC of Ptch mutant mice in vitro
and in vivo. This is accompanied by the activation of the vitamin D receptor
(Vdr) and induction of BCC differentiation. In addition, calcitriol inhibits Hh
signaling at the level of Smo in a Vdr-independent manner. The concomitant
antiproliferative effects on BCC growth are stronger than those of the Hh
specific inhibitor cyclopamine, even though the latter more efficiently inhibits
Hh signaling. Taken together, we show that exogenous supply of calcitriol
controls the activity of 2 independent pathways, Hh and Vdr signaling, which are
relevant to tumorigenesis and tumor treatment. These data suggest that calcitriol
could be a therapeutic option in the treatment of BCC, the most common tumor in
humans.
PMID- 21878658
TI - Drug-related problems detected in Australian Community Pharmacies: The PROMISe
Trial.
AB - BACKGROUND: Drug-related problems (DRPs) are a major burden on health care
systems. Community pharmacists are ideally placed to detect, prevent, and resolve
these DRPs. OBJECTIVE: To determine the number and nature of DRPs detected and
clinical interventions performed by Australian community pharmacists, using an
electronic system. METHODS: An electronic documentation system was designed and
integrated into the existing dispensing software of 186 pharmacies to allow
pharmacists to record details about the clinical interventions they performed to
prevent or resolve DRPs. Participating pharmacies were randomly allocated to 3
groups: group 1 had documentation software, group 2 had documentation software
plus a timed reminder to document interventions, and group 3 had documentation
software, a timed reminder, and an electronic decision support prompt.
Pharmacists classified DRPs, entered recommendations they made, and estimated the
clinical significance of the intervention. An observational substudy that
included pharmacies without any documentation software was completed to verify
intervention rates. RESULTS: Over 12 weeks, 531 participating pharmacists
recorded 6230 clinical interventions from 2,013,923 prescriptions, with a median
intervention rate of 0.23% of prescriptions. No significant differences were seen
between the 3 groups that used documentation software; as expected, however, the
pharmacies that used this software had a significantly higher documentation rate
compared to the pharmacies without documentation software. The most common
interventions were related to drug selection problems (30.8%) and educational
issues (24.4%). Recommendations were often related to a change in therapy
(40.0%), and 41.6% of interventions were self-rated as highly significant. Drug
groups most commonly subject to an intervention included antibiotics,
glucocorticoids, nonsteroidal antiinflammatory drugs, and opioids. CONCLUSIONS:
The documentation system allowed for the determination of the frequency and types
of DRPs, as well as the recommendations made to resolve them in community
pharmacy practice. Use of the software, including its electronic prompts,
significantly increased the documentation of interventions by pharmacists.
PMID- 21878657
TI - Activation of the insulin-like growth factor-1 receptor induces resistance to
epidermal growth factor receptor antagonism in head and neck squamous carcinoma
cells.
AB - Epidermal growth factor receptor (EGFR) tyrosine kinase inhibitors (TKI) have
poor efficacy in head and neck squamous carcinoma cells (HNSCC). Because the IGF
1 receptor (IGF1R) generates potent prosurvival signals and has been implicated
in therapeutic resistance, its ability to induce resistance to EGFR-TKIs was
studied in vitro. Five HNSCC cell lines showed reduced sensitivity to the EGFR
TKI gefitinib when the IGF1R was activated. In SCC-25 and Cal27 cells, gefitinib
inhibited basal and EGF-stimulated EGFR, extracellular signal-regulated kinase
(Erk), and Akt phosphorylation and reduced cell number. This correlated with
initiation of apoptosis based on a 4-fold increase in PARP cleavage and a 2.5
fold increase in Annexin V positivity. The apoptotic response and reduction in
cell number were blocked by IGF1R activation, which resulted in phosphorylation
of both Erk and Akt. In both the cell lines, IGF1R-induced Erk, but not Akt,
activation was eliminated by gefitinib. IGF1R-induced gefitinib resistance was
unaffected by MAP/Erk kinase inhibition with U0126 but was partially impaired by
inhibition of phosphoinositide-3-kinase with LY294002. The IGF1R-TKI PQ401
inhibited growth of SCC-25 and Cal27 cells alone and also acted synergistically
with gefitinib. Thus, the IGF1R can make HNSCC cells resistant to EGFR-TKI
treatment via a prosurvival mechanism. Of the 8 HNSCC tumor samples studied, all
samples expressed the IGF1R and 5 showed detectable IGF1R phosphorylation,
suggesting that this receptor may be relevant in vivo, and thus, combined
EGFR/IGF1R inhibition may be necessary in some patients for effective targeted
molecular therapy.
PMID- 21878659
TI - Budesonide for the treatment of autoimmune hepatitis.
AB - OBJECTIVE: To evaluate the use of budesonide for the treatment of autoimmune
hepatitis (AIH). DATA SOURCES: Literature was accessed through PubMed/MEDLINE
(1966-June 2011) and Web of Science (1965-June 2011) using the terms autoimmune
hepatitis and budesonide. Literature was limited to English-language
publications. In addition, references from publications identified were reviewed.
STUDY SELECTION AND DATA EXTRACTION: All articles in English identified from the
data sources were evaluated. DATA SYNTHESIS: The initial treatment of choice for
AIH is prednisone alone or with azathioprine. However, a significant number of
patients do not respond adequately or have adverse reactions to this regimen;
therefore, alternative treatments are required. Budesonide is an orally
administered synthetic corticosteroid with high affinity for the glucocorticoid
receptor that undergoes extensive first-pass metabolism. It has Food and Drug
Administration-approved labeling for the treatment and maintenance of remission
of mild-to-moderate Crohn disease involving the ileum and/or ascending colon. One
prospective, active-controlled study of budesonide in the treatment of AIH was
identified, as well as 5 small open-label studies and 1 retrospective chart
review. Budesonide appears to have efficacy in the treatment of AIH, including in
patients intolerant to standard therapy with prednisone alone or with
azathioprine, with a reduced incidence of corticosteroid-related adverse
reactions. However, in patients with AIH and cirrhosis, the efficacy of
budesonide may be reduced and the incidence of corticosteroid-related adverse
reactions may be increased. CONCLUSIONS: Budesonide may be an additional
treatment option for patients with AIH but without cirrhosis who are intolerant
to standard therapy with prednisone or prednisone with azathioprine.
PMID- 21878660
TI - Neuroleptic malignant syndrome versus serotonin syndrome: the search for a
diagnostic tool.
AB - OBJECTIVE: To evaluate the use of urine dopamine and catecholamine concentrations
as diagnostic aids in a patient with neuroleptic malignant syndrome (NMS) in the
emergency department setting. CASE SUMMARY: A 61-year-old female on multiple
medications, including several antipsychotics, rapidly deteriorated, with fever,
lead-pipe rigidity, and decreased level of consciousness. The patient died 20
days after initial presentation to an emergency department. The Naranjo
probability scale indicated probable causality for NMS due to quetiapine,
haloperidol, and risperidone in this patient, whereas the Naranjo scale assigned
only possible causality for serotonin syndrome developing with serotonergic
agents. Laboratory investigations of blood and urine revealed elevations in
dopamine, metanephrines, and epinephrines, as well as trazodone and risperidone.
Serotonin metabolites were not elevated. DISCUSSION: NMS is a rare and
potentially severe adverse effect associated with the use of antipsychotic
medications. It is mainly characterized by hyperthermia, altered mental state,
hemodynamic dysregulation, elevated serum creatine kinase, and rigors. It has
been associated with multisystem organ failure potentially leading to
rhabdomyolysis, acute respiratory distress syndrome, and disseminated
intravascular coagulation. The prevalence of this syndrome is associated with the
use of neuroleptics. Serotonin syndrome is another adverse drug reaction leading
to NMS associated with elevated serotonin. It occurs when multiple serotonergic
medications are ingested and is associated with rapid onset of altered mental
status, myoclonus, and autonomic instability. Differentiating between NMS and
serotonin syndrome can be challenging because of their similar clinical
presentation. This case highlights the importance of a diagnostic aid being
available to help distinguish between the 2 syndromes. CONCLUSIONS: We propose
that laboratory findings that include dopamine and serotonin metabolites can be
used as adjuncts to clinical and prescription histories in the diagnosis of NMS.
The use of urinary catecholamine as a diagnostic aid in NMS needs further
evaluation.
PMID- 21878661
TI - Sunitinib induces apoptosis in pheochromocytoma tumor cells by inhibiting
VEGFR2/Akt/mTOR/S6K1 pathways through modulation of Bcl-2 and BAD.
AB - Sunitinib is an oral multitargeted receptor tyrosine kinase inhibitor with
antiangiogenic and antitumor activity that mainly targets vascular endothelial
growth factor receptors (VEGFRs). Very recently, sunitinib has been shown to be
an active agent for the treatment of malignant pheochromocytomas. However, it is
unclear whether sunitinib acts only through an antiangiogenic mechanism or
whether it may also directly target tumor cells. Sunitinib markedly induced
apoptosis of PC12 cells in a dose-dependent and time-dependent manner.
Furthermore, in support of these findings, we found that sunitinib induced a
reduction in the expression of the antiapoptotic molecule Bcl-2 as well as
dephosphorylation of the proapoptotic molecule BAD, which results in the
activation of BAD in these cells. Consistent with these apoptotic effects, our
results showed that sunitinib inhibited phosphorylation of Akt and mTOR and was
followed by a reduction of S6K1, which is a well-known target of mTOR. Knockdown
of VEGFR-2 attenuated the sunitinib-induced effects, including apoptosis and
inhibition of signaling pathways such as the phosphorylation of Akt as well as
mTOR, and Bcl-2, which confirmed that these effects could be mediated by VEGFR-2.
In addition, silencing of S6K1 induced apoptosis accompanied by a decrease in the
phosphorylation of BAD and Bcl-2, similar to that observed with sunitinib
treatment. Thus, these results together suggest that sunitinib initially exerts
its apoptotic effect through the inhibition of VEGFR-2, which, when followed by
reduction of its downstream effectors, including Akt/mTOR/S6K1, may lead to
inhibition of the antiapoptotic molecule Bcl-2 and activation of the proapoptotic
molecule BAD in PC12 cells. However, PC12 cells do not precisely reflect the
pathogenesis of malignant cells. Therefore, we confirmed the key findings by
replicating these experiments in human neuroblastoma SK-N-SH cells.
PMID- 21878662
TI - Conditional disruption of IGF-I gene in type 1alpha collagen-expressing cells
shows an essential role of IGF-I in skeletal anabolic response to loading.
AB - To establish a causal role for locally produced IGF-I in the mechanical strain
response in the bone, we have generated mice with conditional disruption of the
insulin-like growth factor (IGF) I gene in type 1alpha(2) collagen-expressing
cells using the Cre-loxP approach. At 10 wk of age, loads adjusted to account for
bone size difference were applied via four-point bending or axial loading (AL) in
mice. Two wk of bending and AL produced significant increases in bone mineral
density and bone size at the middiaphysis of wild-type (WT), but not knockout
(KO), mice. In addition, AL produced an 8-25% increase in trabecular parameters
(bone volume-tissue volume ratio, trabecular thickness, and trabecular bone
mineral density) at the secondary spongiosa of WT, but not KO, mice.
Histomorphometric analysis at the trabecular site revealed that AL increased
osteoid width by 60% and decreased tartrate-resistance acidic phosphatase-labeled
surface by 50% in the WT, but not KO, mice. Consistent with the in vivo data,
blockade of IGF-I action with inhibitory IGF-binding protein (IGFBP4) in vitro
completely abolished the fluid flow stress-induced MC3T3-E1 cell proliferation.
One-way ANOVA revealed that expression levels of EFNB1, EFNB2, EFNA2, EphB2, and
NR4a3 were different in the loaded bones of WT vs. KO mice and may, in part, be
responsible for the increase in bone response to loading in the WT mice. In
conclusion, IGF-I expressed in type 1 collagen-producing bone cells is critical
for converting mechanical signal to anabolic signal in bone, and other growth
factors cannot compensate for the loss of local IGF-I.
PMID- 21878663
TI - Polyphenols, isothiocyanates, and carotenoid derivatives enhance estrogenic
activity in bone cells but inhibit it in breast cancer cells.
AB - While exposure to estrogens is a major risk factor of breast and endometrial
cancer, it well established that estrogens are beneficial for bone health. We
have previously shown that carotenoids inhibit estrogen signaling in breast and
endometrial cancer cells. The aim of this study was to compare the effects of
various phytonutrients, (carotenoid derivatives, polyphenols, isothiocyanates) on
estrogenic activity in breast cancer cells and osteoblast-like cells. All the
tested phytonutrients inhibited estrogen response element (ERE) transactivation
in breast cancer cells. In contrast, these compounds either did not affect or
enhanced ERE activity and the expression of several bone-forming genes. These
results were obtained using two osteoblast-like cell lines, MG-63 human
osteosarcoma cells stably transfected with estrogen receptor-alpha (ERalpha) and
MC3T3-E1 mouse calvaria-derived cells expressing endogenous ER. Phytonutrients
induced ERE inhibition in breast cancer cells, and its potentiation in osteoblast
like cells were associated both with a decrease and a rise in total and nuclear
ERalpha levels, respectively. Phytonutrients activated the
electrophile/antioxidant response element (EpRE/ARE) transcription system to
various extents in both cancer and bone cell lines. Overexpression of Nrf2, the
major EpRE/ARE activating transcription factor, mimicked the effects of
phytonutrients, causing inhibition and enhancement of ERE transactivation in
breast cancer cells and in osteoblast-like cells, respectively. Moreover,
reduction in Nrf2 levels by RNAi led to a decrease in the phytonutrient
potentiation of ERE activity transactivation in osteoblast-like cells. These
findings suggest that the enhancement and inhibition of estrogen signaling by
phytonutrients in bone-derived cells and breast cancer cells, respectively, is
partially mediated by the activation of the Nrf2/ARE system.
PMID- 21878664
TI - A defect in Nrf2 signaling constitutes a mechanism for cellular stress
hypersensitivity in a genetic rat model of type 2 diabetes.
AB - Nrf2 regulates the expression and coordinated induction of a battery of
antioxidant phase 2 genes that protect cells against the cumulative damaging
effects of oxidative stress (OS), a major contributor in the development of
chronic diabetic complications. Using cultured dermal fibroblasts from rats with
type 2 diabetes (DFs), we investigated the intracellular redox status and the
adaptive response to OS, in which Nrf2 plays a central role. Our data confirmed
that the generation of superoxide by NADPH oxidase and the mitochondria was
enhanced in DFs compared with corresponding controls. This was associated with a
decrease in the antioxidant capacity and an increase in the sensitivity of these
DFs to hydrogen peroxide-induced necrotic cell death. Nrf2 levels in total cell
extracts were diminished, and this abnormality appears to stem from a diabetes
related decrease in Nrf2 protein stability. Endogenous (oligomycin) and exogenous
(tert-butylhydroquinone) induction of OS enhanced the nuclear translocation of
Nrf2 and increased the mRNA expression of Nrf2-sensitive genes in control but not
DFs. The activity of the GSK-3beta/Fyn axis was increased markedly in DFs when
compared with the corresponding controls. Chemical inhibition of GSK-3beta
mitigated the diabetes-related suppression of the OS-induced nuclear accumulation
of Nrf2 and the transcriptional activation of the genes downstream of Nrf2.
Overall, these findings suggest that an augmentation in GSK-3beta/Fyn signaling
during diabetes contributes to a deficit in both the cellular redox state and the
Nrf2-based adaptive response to OS. Moreover, they may also offer a new
perspective in the understanding and treatment of nonhealing diabetic wounds.
PMID- 21878665
TI - beta1-Adrenergic receptors increase UCP1 in human MADS brown adipocytes and
rescue cold-acclimated beta3-adrenergic receptor-knockout mice via nonshivering
thermogenesis.
AB - With the finding that brown adipose tissue is present and negatively correlated
to obesity in adult man, finding the mechanism(s) of how to activate brown
adipose tissue in humans could be important in combating obesity, type 2
diabetes, and their complications. In mice, the main regulator of nonshivering
thermogenesis in brown adipose tissue is norepinephrine acting predominantly via
beta(3)-adrenergic receptors. However, vast majorities of beta(3)-adrenergic
agonists have so far not been able to stimulate human beta(3)-adrenergic
receptors or brown adipose tissue activity, and it was postulated that human
brown adipose tissue could be regulated instead by beta(1)-adrenergic receptors.
Therefore, we have investigated the signaling pathways, specifically pathways to
nonshivering thermogenesis, in mice lacking beta(3)-adrenergic receptors. Wild
type and beta(3)-knockout mice were either exposed to acute cold (up to 12 h) or
acclimated for 7 wk to cold, and parameters related to metabolism and brown
adipose tissue function were investigated. beta(3)-knockout mice were able to
survive both acute and prolonged cold exposure due to activation of beta(1)
adrenergic receptors. Thus, in the absence of beta(3)-adrenergic receptors,
beta(1)-adrenergic receptors are effectively able to signal via cAMP to elicit
cAMP-mediated responses and to recruit and activate brown adipose tissue. In
addition, we found that in human multipotent adipose-derived stem cells
differentiated into functional brown adipocytes, activation of either beta(1)
adrenergic receptors or beta(3)-adrenergic receptors was able to increase UCP1
mRNA and protein levels. Thus, in humans, beta(1)-adrenergic receptors could play
an important role in regulating nonshivering thermogenesis.
PMID- 21878666
TI - Quality-of-life implications of immediate surgery and watchful waiting in
asymptomatic aortic stenosis: a decision-analytic model.
AB - BACKGROUND- Traditional management of severe aortic stenosis (AS) is based on
delay in aortic valve replacement (AVR) until the development of symptoms.
Surgery for asymptomatic AS has been proposed to reduce the small risk of sudden
death before AVR and avoid heart failure (HF) after AVR. Because a trial to
compare these options is unlikely, we developed a Markov model to inform the
choice between immediate surgery and watchful waiting in asymptomatic AS. METHODS
AND RESULTS- We defined health states as preoperative, postoperative,
postcomplication, and death. We calculated the implications of watchful waiting,
tissue and mechanical AVR-based on risks, transitions, utilities, and cost
derived from literature review. Further analyses evaluated situations thought to
favor immediate surgery and watchful waiting. Sensitivity analyses were based on
the likelihood of preoperative death and HF in follow-up. In the reference case
(age, 65 years; post-AVR utility, 0.9; annualized pre-AVR mortality, 1%; and post
AVR HF, 11.3%), the utility of watchful waiting was superior to that of immediate
mechanical or tissue AVR (quality-adjusted life-years, 7.4 versus 5.3 versus 5.3,
respectively), and the cost was less than immediate surgery. Sensitivity analyses
showed immediate surgery was not likely to be more effective regardless of the
yearly probability of post-AVR HF in the watchful waiting group (range, 0% to
80%). Immediate surgery was likely to be effective when pre-AVR annual mortality
reached 13%. CONCLUSIONS- Immediate surgery in asymptomatic severe AS does not
improve outcomes unless risk of sudden death pre-AVR and HF after AVR are higher
than currently reported.
PMID- 21878667
TI - Influence of age on perioperative complications among patients undergoing
implantable cardioverter-defibrillators for primary prevention in the United
States.
AB - BACKGROUND- The majority of current implantable cardioverter-defibrillator (ICD)
recipients are significantly older than those in the ICD trials. Data on
periprocedural complications among the elderly are insufficient. We evaluated the
influence of age on perioperative complications among primary prevention ICD
recipients in the United States. METHODS AND RESULTS- Using the National
Cardiovascular Data's ICD Registry, we identified 150 264 primary prevention
patients who received ICDs from January 2006 to December 2008. The primary end
point was any adverse event or in-hospital mortality. Secondary end points
included major adverse events, minor adverse events, and length of stay. Of 150
264 patients, 61% (n=91 863) were 65 years and older. A higher proportion of
patients >=65 years had diabetes, congestive heart failure, atrial fibrillation,
renal disease, and coronary artery disease. Approximately 3.4% of the entire
cohort had any complication, including death, after ICD implant. Any adverse
event or death occurred in 2.8% of patients under 65 years old; 3.1% of 65- to 69
year-olds; 3.5% of 70- to 74-year-olds; 3.9% of 75- to 79-year-olds, 4.5% of 80-
to 84-year-olds; and 4.5% of patients 85 years and older. After adjustment for
clinical covariates, multivariate analysis found an increased odds of any adverse
event or death among 75- to 79-year-olds (1.14 [95% confidence interval, 1.03 to
1.25], 80-to 84-year-olds (1.22 [95% confidence interval, 1.10 to 1.36], and
patients 85 years and older (1.15 [95% confidence interval, 1.01 to 1.32],
compared with patients under 65 years old. CONCLUSIONS- Older patients had a
modestly increased-but acceptably safe-risk of periprocedural complications and
in-hospital mortality, driven mostly by increased comorbidity.
PMID- 21878668
TI - Lost in translation: health resource variability in the achievement of optimal
performance and clinical outcome.
AB - BACKGROUND- An evidence-practice gap in acute coronary syndromes (ACS) is
commonly recognized. System, provider, and patient factors can influence
guideline adherence. Through using guideline facilitators in the clinical
setting, the uptake of evidence-based recommendations may be increased. We
hypothesized that facilitators of guideline recommendations (systems, tools, and
workforce) in acute cardiac care were associated with increased guideline
adherence and decreased adverse outcome. METHODS AND RESULTS- A cross-sectional
evaluation of guideline facilitators was conducted in Australian hospitals. The
population was derived from the Acute Coronary Syndrome Prospective Audit
(ACACIA) and assessed performance, death, and recurrent myocardial infarction
(death/re-MI) at 30 days and 12 months. Thirty-five hospitals and 2392 patients
participated. Significant associations with decreased death/re-MI were observed
with hospital strategies to facilitate primary percutaneous coronary intervention
for ST-elevation MI patients (38/428 [8.9%] versus 30/154 [19.5%], P<0.001) and
after adjustment (odds ratio [OR], 0.47 [95% confidence interval (CI), 0.24 to
0.90], P<0.023), electronic discharge checklists (none: 233/1956 [11.9%],
integrated; 43/251[17.1%], P=0.069, electronic; 6/124 [4.8%], P<0.001) and after
adjustment (integrated versus none: OR, 1.66 [95% CI, 0.98 to 2.80], P=0.057 and
electronic versus none: OR, 0.49 [95% CI, 0.35 to 0.68], P<0.001), and intensive
cardiac care unit (ICCU) staff-to-patient ratios (neither: 200/1257 (15.9%), CCU:
135/1051 (12.8%), ICCU: 8/84 (9.5%), P=0.049 and after adjustment (CCU versus
neither: OR, 0.74 [95% CI, 0.47 to 1.14], P=0.172 and ICCU versus neither: OR,
0.55; [95% CI, 0.38 to 0.81] P=0.003). CONCLUSIONS- Facilitating uptake of
evidence in clinical practice may need to consider quality improvement systems,
tools and workforce to achieve optimal ACS outcomes.
PMID- 21878669
TI - Association of health professional shortage areas and cardiovascular risk factor
prevalence, awareness, and control in the Multi-Ethnic Study of Atherosclerosis
(MESA).
AB - BACKGROUND- Individuals living in primary care health professional shortage areas
(PC-HPSA), often have difficulty obtaining medical care; however, no previous
studies have examined association of pc-hpsa residence with prevalence of
cardiovascular disease risk factors. METHODS AND RESULTS- To examine this
question, the authors used data from the Multi-Ethnic Study of Atherosclerosis
baseline examination (2000-2002). Outcomes included the prevalence of diabetes,
hypertension, hyperlipidemia, smoking, and obesity as well as the awareness and
control of diabetes, hypertension, and hyperlipidemia. Multivariable Poisson
models were used to examine the independent association of PC-HPSA residence with
each outcome. Models were sequentially adjusted for demographics, acculturation,
socioeconomic status, access to health care, and neighborhood socioeconomic
status. Similar to the national average, 16.7% of Multi-Ethnic Study of
Atherosclerosis participants lived in a PC-HPSA. In unadjusted analyses,
prevalence rates of diabetes (14.8% versus 11.0%), hypertension (48.2% versus
43.1%), obesity (35.7% versus 31.1%), and smoking (15.5% versus 12.1%) were
significantly higher among residents of PC-HPSAs. There were no significant
differences in the awareness or control of diabetes, hypertension, or
hyperlipidemia. After adjustment, residence in a PC-HPSA was not independently
associated with cardiovascular disease risk factor prevalence, awareness, or
control. CONCLUSIONS- This study suggests that increased prevalence of
cardiovascular disease risk factors in PC-HPSAs are explained by the demographic
and socioeconomic characteristics of their residents. Future interventions aimed
at increasing the number of primary care physicians may not improve
cardiovascular risk without first addressing other factors underlying health care
disparities.
PMID- 21878670
TI - Influence of frailty and health status on outcomes in patients with coronary
disease undergoing percutaneous revascularization.
AB - BACKGROUND- Although older patients frequently undergo percutaneous coronary
interventions (PCI), frailty, comorbidity, and quality of life are seldom part of
risk prediction approaches. We assessed their incremental prognostic value over
and above the risk factors in the Mayo Clinic risk score. METHODS AND RESULTS-
Patients >=65 years who underwent PCI were assessed for frailty (Fried criteria),
comorbidity (Charlson index), and quality of life [SF-36]. Of the 628 discharged
[median follow-up of 35.0 months (interquartile range, 22.7 to 42.9)], 78 died
and 72 had a myocardial infarction (MI). Three-year mortality was 28% for frail
patients, 6% for nonfrail patients. The respective 3-year rates of death or MI
were 41% and 17%. After adjustment, frailty [hazard ratio (HR), 4.19 [95%
confidence interval (CI), 1.85, 9.51], physical component score of the SF-36 (HR,
1.59; 95% CI, 1.24 to 2.02), and comorbidity, (HR, 1.10; 95% CI, 1.05, 1.16) were
associated with mortality. Frailty was associated with mortality/MI (HR, 2.61,
1.52, 4.50). Models with conventional Mayo Clinic risk score had C-statistics of
0.628, 0.573 for mortality and mortality/MI, respectively. Adding frailty,
quality of life, and comorbidity, the C-statistic was (0.675, 0.694, 0.671) for
mortality and (0.607, 0.587, 0.576) for mortality/MI, respectively. Including
frailty, comorbidities and SF-36, conferred a discernible improvement to predict
death and death/MI (integrated discrimination improvement, 0.027 and 0.016, and
net reclassification improvement of 43% and 18%, respectively). CONCLUSIONS-
After PCI, frailty, comorbidity and poor quality of life are prevalent and are
associated with adverse long-term outcomes. Their inclusion improves the
discriminatory ability of the Mayo Clinic risk score derived from the routine
cardiovascular risk factors.
PMID- 21878671
TI - Risk factors associated with increased nonrelapse mortality and with poor overall
survival in children with chronic graft-versus-host disease.
AB - There is a paucity of information regarding the factors that affect nonrelapse
mortality (NRM) and overall survival among children that develop chronic graft
versus-host disease (cGVHD). We performed multivariate analyses using data from
the Center for International Blood and Marrow Transplant Research to identify
risk factors for NRM and survival in 1117 pediatric subjects with leukemia or
myelodysplastic syndrome, transplanted from related donors, unrelated donors
(URD), or unrelated cord blood between 1995 and 2004. We identified 4 variables
associated with higher NRM: HLA partially matched or mismatched URD, peripheral
blood cell graft, Karnofsky/Lansky score < 80 at cGVHD diagnosis, and platelets <
100 * 10(9)/L at cGVHD diagnosis. Factors associated with significantly worse
survival were: age > 10 years, transplantation from HLA partially matched or
mismatched URD, advanced disease at transplantation, Karnofsky/Lansky < 80; and
platelets < 100 * 10(9)/L. Cumulative incidence of discontinuation of systemic
immune suppression at 1, 3, and 5 years after diagnosis of cGVHD were 22% (20%
25%), 34% (31%-37%), and 37% (34%-40%), respectively. This is the largest study
elucidating variables affecting outcome after diagnosis of cGVHD in pediatric
allograft recipients. These variables may be useful for risk stratification,
development of future clinical trials, and family counseling in children with
cGVHD.
PMID- 21878672
TI - Subtle differences in CTL cytotoxicity determine susceptibility to hemophagocytic
lymphohistiocytosis in mice and humans with Chediak-Higashi syndrome.
AB - Perforin-mediated cytotoxicity is important for controlling viral infections, but
also for limiting immune reactions. Failure of this cytotoxic pathway leads to
hemophagocytic lymphohistiocytosis (HLH), a life-threatening disorder of
uncontrolled T-cell and macrophage activation. We studied susceptibility to HLH
in 2 mouse strains (souris and beige(J)) and a cohort of patients with partial
defects in perforin secretion resulting from different mutations in the LYST
gene. Although both strains lacked NK-cell cytotoxicity, only souris mice
developed all clinical and histopathologic signs of HLH after infection with
lymphocytic choriomeningitis virus. The 2 strains showed subtle differences in
CTL cytotoxicity in vitro that had a large impact on virus control in vivo.
Whereas beige(J) CTLs eliminated lymphocytic choriomeningitis virus infection,
souris CTLs failed to control the virus, which was associated with the
development of HLH. In LYST-mutant patients with Chediak-Higashi syndrome, CTL
cytotoxicity was reduced in patients with early-onset HLH, whereas it was
retained in patients who later or never developed HLH. Thus, the risk of HLH
development is set by a threshold that is determined by subtle differences in CTL
cytotoxicity. Differences in the cytotoxic capacity of CTLs may be predictive for
the risk of Chediak-Higashi syndrome patients to develop HLH.
PMID- 21878673
TI - The cooperating mutation or "second hit" determines the immunologic visibility
toward MYC-induced murine lymphomas.
AB - In EMU-myc transgenic animals lymphoma formation requires additional genetic
alterations, which frequently comprise loss of p53 or overexpression of BCL-2. We
describe that the nature of the "second hit" affects the ability of the immune
system to contain lymphoma development. Tumors with disrupted p53 signaling
killed the host more rapidly than BCL-2 overexpressing ones. Relaxing immunologic
control, using Tyk2(-/-) mice or by Ab-mediated depletion of CD8(+) T or natural
killer (NK) cells accelerated formation of BCL-2-overexpressing lymphomas but not
of those lacking p53. Most strikingly, enforced expression of BCL-2 prolonged
disease latency in the absence of p53, whereas blocking p53 function in BCL-2
overexpressing tumors failed to accelerate disease. This shows that blocking
apoptosis in p53-deficient cells by enforcing BCL-2 expression can mitigate
disease progression increasing the "immunologic visibility." In vitro
cytotoxicity assays confirmed that high expression of BCL-2 protein facilitates
NK and T cell-mediated killing. Moreover, we found that high BCL-2 expression is
accompanied by significantly increased levels of the NKG2D ligand MULT1, which
may account for the enhanced killing. Our findings provide first evidence that
the nature of the second hit affects tumor immunosurveillance in c-MYC-driven
lymphomas and define a potential shortcoming of antitumor therapies targeting BCL
2.
PMID- 21878674
TI - Sox4 cooperates with PU.1 haploinsufficiency in murine myeloid leukemia.
AB - Cooperation of multiple mutations is thought to be required for cancer
development. In previous studies, murine myeloid leukemias induced by transducing
wild-type bone marrow progenitors with a SRY sex determining region Y-box 4
(Sox4)-expressing retrovirus frequently carried proviral insertions at Sfpi1,
decreasing its mRNA levels, suggesting that reduced Sfpi1 expression cooperates
with Sox4 in myeloid leukemia induction. In support of this hypothesis, we show
here that mice receiving Sox4 virus-infected Sfpi1(ko/+) bone marrow progenitors
developed myeloid leukemia with increased penetrance and shortened latency.
Interestingly, Sox4 expression further decreased Sfpi1 transcription. Ectopic
SOX4 expression reduced endogenous PU.1 mRNA levels in HL60 promyelocytes, and
decreased Sfpi1 mRNA levels were also observed in the spleens of leukemic and
preleukemic mice receiving Sox4 virus-infected wild-type bone marrow cells. In
addition, Sox4 protein bound to a critical upstream regulatory element of Sfpi1
in ChIP assays. Such cooperation probably occurs in de novo human acute myeloid
leukemias, as an analysis of 285 acute myeloid leukemia patient samples found a
significant negative correlation between SOX4 and PU.1 expression. Our results
establish a novel cooperation between Sox4 and reduced Sfpi1 expression in
myeloid leukemia development and suggest that SOX4 could be an important new
therapeutic target in human acute myeloid leukemia.
PMID- 21878676
TI - Blood CD34-c-Kit+ cell rate correlates with aggressive forms of systemic
mastocytosis and behaves like a mast cell precursor.
AB - Mastocytosis is a heterogeneous disease characterized by the accumulation of mast
cells in one or more organs. Our objective was to identify a peripheral mast cell
precursor and assess its variation rate in mastocytosis. A peripheral blood
phenotypic analysis was performed among 50 patients with mastocytosis who were
enrolled in a prospective multicentric French study, and the phenotypic analysis
results of the patients were compared with those of healthy donors. The rate of
peripheral blood CD34(-)c-Kit(+) cells correlated with the severity of
mastocytosis. This cellular population was isolated from healthy donors as well
as from patients with systemic mastocytosis. After 30 days of culture, the CD34(
)c-Kit(+) cells gave birth to mature mast cells, indicating that this cellular
population constitutes a mast cell circulating precursor. Monitoring peripheral
CD34(-)c-Kit(+) cells by flow cytometry could be a useful and low-invasive tool
to determine the disease severity and the relapses and to assess treatment
efficiency.
PMID- 21878675
TI - The BCL11B tumor suppressor is mutated across the major molecular subtypes of T
cell acute lymphoblastic leukemia.
AB - The BCL11B transcription factor is required for normal T-cell development, and
has recently been implicated in the pathogenesis of T-cell acute lymphoblastic
leukemia (T-ALL) induced by TLX overexpression or Atm deficiency. To
comprehensively assess the contribution of BCL11B inactivation to human T-ALL, we
performed DNA copy number and sequencing analyses of T-ALL diagnostic specimens,
revealing monoallelic BCL11B deletions or missense mutations in 9% (n = 10 of
117) of cases. Structural homology modeling revealed that several of the BCL11B
mutations disrupted the structure of zinc finger domains required for this
transcription factor to bind DNA. BCL11B haploinsufficiency occurred across each
of the major molecular subtypes of T-ALL, including early T-cell precursor, HOXA
positive, LEF1-inactivated, and TAL1-positive subtypes, which have
differentiation arrest at diverse stages of thymocyte development. Our findings
provide compelling evidence that BCL11B is a haploinsufficient tumor suppressor
that collaborates with all major T-ALL oncogenic lesions in human thymocyte
transformation.
PMID- 21878678
TI - Merlin/NF2 functions upstream of the nuclear E3 ubiquitin ligase CRL4DCAF1 to
suppress oncogenic gene expression.
AB - Integrin-mediated activation of PAK (p21-activated kinase) causes phosphorylation
and inactivation of the FERM (4.1, ezrin, radixin, moesin) domain-containing
protein Merlin, which is encoded by the NF2 (neurofibromatosis type 2) tumor
suppressor gene. Conversely, cadherin engagement inactivates PAK, thus leading to
accumulation of unphosphorylated Merlin. Current models imply that Merlin
inhibits cell proliferation by inhibiting mitogenic signaling at or near the
plasma membrane. We have recently shown that the unphosphorylated, growth
inhibiting form of Merlin accumulates in the nucleus and binds to the E3
ubiquitin ligase CRL4(DCAF1) to suppress its activity. Depletion of DCAF1 blocks
the hyperproliferation caused by inactivation of Merlin. Conversely, expression
of a Merlin-insensitive DCAF1 mutant counteracts the antimitogenic effect of
Merlin. Expression of Merlin or silencing of DCAF1 in Nf2-deficient cells induce
an overlapping, tumor-suppressive program of gene expression. Mutations present
in some tumors from NF2 patients disrupt Merlin's ability to interact with or
inhibit CRL4(DCAF1). Lastly, depletion of DCAF1 inhibits the hyperproliferation
of Schwannoma cells isolated from NF2 patients and suppresses the oncogenic
potential of Merlin-deficient tumor cell lines. Current studies are aimed at
identifying the substrates and mechanism of action of CRL4(DCAF1) and examining
its role in NF2-dependent tumorigenesis in mouse models. We propose that Merlin
mediates contact inhibition and suppresses tumorigenesis by translocating to the
nucleus to inhibit CRL4(DCAF1).
PMID- 21878677
TI - Menopausal hormone therapy and risks of melanoma and nonmelanoma skin cancers:
women's health initiative randomized trials.
AB - BACKGROUND: Case-control studies have reported that exogenous estrogen use is
associated with increased risk of skin cancer. The effects of menopausal hormone
therapy on incidence of nonmelanoma skin cancer and melanoma were evaluated in
post hoc analyses of the Women's Health Initiative randomized placebo-controlled
hormone therapy trials of combined estrogen plus progestin (E + P) and estrogen
only (E-alone). METHODS: Postmenopausal women aged 50-79 years were randomly
assigned to conjugated equine estrogen (0.625 mg/d) plus medroxyprogesterone
acetate (2.5 mg/d) or placebo in the E + P trial if they had an intact uterus (N
= 16,608) or to conjugated equine estrogen alone or placebo in the E-alone trial
if they had a hysterectomy (N = 10,739); the mean follow-up was 5.6 and 7.1
years, respectively. Incident nonmelanoma skin cancers (n = 980 [E + P trial]; n
= 820 [E-alone trial]) and melanomas (n = 57 [E + P trial]; n =38 [E-alone
trial]) were ascertained by self-report. Incident cases of cutaneous malignant
melanoma were confirmed by physician review of medical records. Incidences of
nonmelanoma skin cancer and melanoma were compared between the two randomization
groups within each trial using hazard ratios (HRs), with corresponding 95%
confidence intervals (CIs) and Wald statistic P values from Cox proportional
hazards models. All statistical tests were two-sided. RESULTS: Rates of incident
nonmelanoma skin cancer and melanoma were similar between the active hormone
(combined analysis of E + P and E-alone) and placebo groups (nonmelanoma skin
cancer: HR = 0.98, 95% CI = 0.89 to 1.07; melanoma: HR = 0.92, 95% CI = 0.61 to
1.37). Results were similar for the E + P and E-alone trials when analyzed
individually. CONCLUSIONS: Menopausal hormone therapy did not affect overall
incidence of nonmelanoma skin cancer or melanoma. These findings do not support a
role of menopausal estrogen, with or without progestin, in the development of
skin cancer in postmenopausal women.
PMID- 21878679
TI - AKT promotes rRNA synthesis and cooperates with c-MYC to stimulate ribosome
biogenesis in cancer.
AB - Precise regulation of ribosome biogenesis is fundamental to maintain normal cell
growth and proliferation, and accelerated ribosome biogenesis is associated with
malignant transformation. Here, we show that the kinase AKT regulates ribosome
biogenesis at multiple levels to promote ribosomal RNA (rRNA) synthesis.
Transcription elongation by RNA polymerase I, which synthesizes rRNA, required
continuous AKT-dependent signaling, an effect independent of AKT's role in
activating the translation-promoting complex mTORC1 (mammalian target of
rapamycin complex 1). Sustained inhibition of AKT and mTORC1 cooperated to reduce
rRNA synthesis and ribosome biogenesis by additionally limiting RNA polymerase I
loading and pre-rRNA processing. In the absence of growth factors, constitutively
active AKT increased synthesis of rRNA, ribosome biogenesis, and cell growth.
Furthermore, AKT cooperated with the transcription factor c-MYC to
synergistically activate rRNA synthesis and ribosome biogenesis, defining a
network involving AKT, mTORC1, and c-MYC as a master controller of cell growth.
Maximal activation of c-MYC-dependent rRNA synthesis in lymphoma cells required
AKT activity. Moreover, inhibition of AKT-dependent rRNA transcription was
associated with increased lymphoma cell death by apoptosis. These data indicate
that decreased ribosome biogenesis is likely to be a fundamental component of the
therapeutic response to AKT inhibitors in cancer.
PMID- 21878682
TI - Outbreak of mortality in psittacine birds in a mixed-species aviary associated
with Erysipelothrix rhusiopathiae infection.
AB - Erysipelothrix rhusiopathiae septicemia, associated with an increased mortality
of captive psittacines in a mixed-species aviary, was diagnosed by
histopathology, Gram staining, bacterial culture and sequencing,
immunohistochemistry, and real-time polymerase chain reaction (PCR). Over a
period of 23 days with no premonitory signs, 2 rainbow lorikeets and an eclectus
parrot died. Of these birds, one lorikeet and the eclectus were submitted for
necropsy. The main pathologic findings were thrombosis (2/2), bacterial
embolism/thromboembolism (2/2), necrotizing hepatitis (2/2), necrohemorrhagic
myocarditis (1/2), fibrinohemorrhagic and heterophilic visceral coelomitis (1/2),
submandibular necrosuppurative dermatitis with necrotizing vasculitis and
bacterial and fungal thromboembolism (1/2), and locally extensive
rhabdomyonecrosis with bacterial embolism (1/2). Intralesional bacteria were
positive by Gram staining and immunohistochemistry in both cases. E.
rhusiopathiae was isolated by routine bacterial culture from the liver of the
lorikeet, which was also positive by real-time PCR. This report is one of the
rare descriptions of erysipelas in psittacines, and to the authors' knowledge, it
appears to be the first in the described species using immunohistochemistry and
real-time PCR on avian paraffin-embedded tissues for the diagnosis.
PMID- 21878681
TI - Nasal tumor with widespread cutaneous metastases in a Golden Retriever.
AB - An intact, 8-year-old, male Golden Retriever dog was presented for evaluation of
a nasal mass and approximately 30 firm, raised, variably ulcerated dermal and
subcutaneous masses. Histopathology of both nasal and multiple skin masses
revealed multiple nonencapsulated, infiltrative masses comprising clusters,
anastomosing trabeculae, and packets of neoplastic, round to ovoid,
hyperchromatic cells with marked nuclear molding. Surrounding the neoplastic
cells was a marked stromal response in which many of the spindle-shaped cells
expressed muscle-specific actin and had ultrastructural features consistent with
myofibroblasts. A literature search indicates that this is the first report in a
peer-reviewed journal of cutaneous metastasis of a nasal neuroendocrine tumor in
any domestic animal species.
PMID- 21878683
TI - Diversification of genes for carotenoid biosynthesis in aphids following an
ancient transfer from a fungus.
AB - The pea aphid genome was recently found to harbor genes for carotenoid
biosynthesis, reflecting an ancestral transfer from a fungus. To explore the
evolution of the carotene desaturase gene family within aphids, sequences were
retrieved from a set of 34 aphid species representing numerous deeply diverging
lineages of aphids and analyzed together with fungal sequences retrieved from
databases. All aphids have at least one copy of this gene and some aphid species
have up to seven, whereas fungal genomes consistently have a single copy. The
closest relatives of aphids, adelgids, also have carotene desaturase; these
sequences are most closely related to those from aphids, supporting a shared
origin from a fungal to insect transfer predating the divergence of adelgids and
aphids. Likewise, all aphids, and adelgids, have carotenoid profiles that are
consistent with their biosynthesis using the acquired genes of fungal origin
rather than derivation from food plants. The carotene desaturase was acquired
from a fungal species outside of Ascomycota or Basidiomycota and closest to
Mucoromycotina among sequences available in databases. In aphids, an ongoing
pattern of gene duplication is indicated by the presence of both anciently and
recently diverged paralogs within genomes and by the presence of a high frequency
of pseudogenes that appear to be recently inactivated. Recombination among
paralogs is evident, making analyses of patterns of selection difficult, but
tests of selection for a nonrecombining region indicates that duplications tend
to be followed by bouts of positive selection. Species of Macrosiphini, which
often show color polymorphisms, typically have a larger number of desaturase
copies relative to other species sampled in the study. These results indicate
that aphid evolution has been accompanied by ongoing evolution of carotenogenic
genes, which have undergone duplication, recombination, and occasional positive
selection to yield a wide variety of carotenoid profiles in different aphid
species.
PMID- 21878680
TI - Inositol pyrophosphates as mammalian cell signals.
AB - Inositol pyrophosphates are highly energetic inositol polyphosphate molecules
present in organisms from slime molds and yeast to mammals. Distinct classes of
enzymes generate different forms of inositol pyrophosphates. The biosynthesis of
these substances principally involves phosphorylation of inositol
hexakisphosphate (IP6) to generate the pyrophosphate IP7. Initial insights into
functions of these substances derived primarily from yeast, which contain a
single isoform of IP6 kinase (yIP6K), as well as from the slime mold
Dictyostelium. Mammalian functions for inositol pyrophosphates have been
investigated by using cell lines to establish roles in various processes,
including insulin secretion and apoptosis. More recently, mice with targeted
deletion of IP6K isoforms as well as the related inositol polyphosphate
multikinase (IPMK) have substantially enhanced our understanding of inositol
polyphosphate physiology. Phenotypic alterations in mice lacking inositol
hexakisphosphate kinase 1 (IP6K1) reveal signaling roles for these molecules in
insulin homeostasis, obesity, and immunological functions. Inositol
pyrophosphates regulate these processes at least in part by inhibiting activation
of the serine-threonine kinase Akt. Similar studies of IP6K2 establish this
enzyme as a cell death inducer acting by stimulating the proapoptotic protein
p53. IPMK is responsible for generating the inositol phosphate IP5 but also has
phosphatidylinositol 3-kinase activity--that participates in activation of Akt.
Here, we discuss recent advances in understanding the physiological functions of
the inositol pyrophosphates based in substantial part on studies in mice with
deletion of IP6K isoforms. These findings highlight the interplay of IPMK and
IP6K in regulating growth factor and nutrient-mediated cell signaling.
PMID- 21878685
TI - The genomic signature of splicing-coupled selection differs between long and
short introns.
AB - Understanding the function of noncoding regions in the genome, such as introns,
is of central importance to evolutionary biology. One approach is to assay for
the targets of natural selection. On one hand, the sequence of introns,
especially short introns, appears to evolve in an almost neutral manner. Whereas
on the other hand, a large proportion of intronic sequence is under selective
constraint. This discrepancy is largely dependent on intron length and
differences in the methods used to infer selection. We have used a method based
on DNA strand asymmetery that does not require comparison with any putatively
neutrally evolving sequence, nor sequence conservation between species, to detect
selection within introns. The strongest signal we identify is associated with
short introns. This signal comes from a family of motifs that could act as
cryptic 5' splice sites during mRNA processing, suggesting a mechanistic
justification underlying this signal of selection. Together with an analysis of
intron length and splice site strength, we observe that the genomic signature of
splicing-coupled selection differs between long and short introns.
PMID- 21878684
TI - Species-specific features of DARC, the primate receptor for Plasmodium vivax and
Plasmodium knowlesi.
AB - The DARC (Duffy antigen/receptor for chemokines) gene, also called Duffy or FY,
encodes a membrane-bound chemokine receptor. Two malaria parasites, Plasmodium
vivax and Plasmodium knowlesi, use DARC to trigger internalization into red blood
cells. Although much has been reported on the evolution of DARC null alleles,
little is known about the evolution of the coding portion of this gene or the
role that protein sequence divergence in this receptor may play in disease
susceptibility or zoonosis. Here, we show that the Plasmodium interaction domain
of DARC is nearly invariant in the human population, suggesting that coding
polymorphism there is unlikely to play a role in differential susceptibility to
infection. However, an analysis of DARC orthologs from 35 simian primate species
reveals high levels of sequence divergence in the Plasmodium interaction domain.
Signatures of positive selection in this domain indicate that species-specific
mutations in the protein sequence of DARC could serve as barriers to the
transmission of Plasmodium between primate species.
PMID- 21878686
TI - A simple method of staging AKI in children.
PMID- 21878687
TI - Motor control strategies in a continuous task space.
AB - Previous studies on sequential effects of human grasping behavior were restricted
to binary grasp type selection. We asked whether two established motor control
strategies, the end-state comfort effect and the hysteresis effect, would hold
for sequential motor tasks with continuous solutions. To this end, participants
were tested in a sequential (predictable) and a randomized (nonpredictable)
perceptual-motor task, which offered a continuous range of posture solutions for
each movement trial. Both the end-state comfort effect and the hysteresis effect
were reproduced under predictable, continuous conditions, but only the end-state
comfort effect was present under nonpredictable conditions. Experimental results
further revealed a work range restriction effect, which was reproduced for the
dominant and the nondominant hand.
PMID- 21878688
TI - Exploring the merits of perceptual anticipation in the soccer penalty kick.
AB - This study investigated whether soccer penalty-takers can exploit predictive
information from the goalkeeper's actions. Eight low- and seven high-skilled
participants kicked balls in a penalty task with the goalkeeper's action
displayed on a large screen. The goalkeeper initiated his dive either before, at
or after the ball was struck. The percentage of balls shot to the empty half of
the goal was not above chance when the participants could only rely on predictive
information. Gaze patterns suggested that the need to fixate the target location
to maintain aiming accuracy hindered perceptual anticipation. It is argued that
penalty-takers should select a target location in advance of the run-up to the
ball and disregard the goalkeeper's actions.
PMID- 21878689
TI - Parkinson's disease influences the structural variations present in the leg swing
kinematics.
AB - This study investigated the nature of the structural variations found in the
motor output of individuals with Parkinson's disease (PD). Young (n = 21; 19.9 +/
1.3 yrs.), aged (n = 9; 74.8 +/- 6.8 yrs.) and individuals with PD (n = 9; 73.4
+/- 6.6 yrs.) swung their leg at a pendular frequency and frequencies that were
20% faster and slower. This study had three key findings. First, individuals with
PD have greater variability in the leg swing angular kinematics and swing times.
These variations appear to be related to the 0-15 Hz band of the of angular
displacement power spectrum. Second, changes in the structural variations appear
to not be derived from a stochastic source. Third, the magnitude of the
variations and the structure of the variations are influenced by the frequency
that the leg is swung. These results are consistent with the viewpoint that
changes in the magnitude of the variations and the regularity of the structural
variations are dependent upon health and adaptability to the task dynamics.
PMID- 21878690
TI - Articulatory kinematics in the dysarthria associated with Friedreich's ataxia.
AB - Electromagnetic articulography (EMA) was used to investigate the tongue
kinematics in the dysarthria associated with Friedreich's ataxia (FRDA). The
subject group consisted of four individuals diagnosed with FRDA. Five
nonneurologically impaired individuals, matched for age and gender, served as
controls. Each participant was assessed using the AG-200 EMA system during six
repetitions of the tongue tip sentence Tess told Dan to stay fit and the tongue
back sentence Karl got a croaking frog. Results revealed reduced speed measures
(i.e., maximum acceleration / deceleration / velocity), greater movement
durations and increased articulatory distances for the approach phases of
consonant productions. The approach phase, involving movement up to the palate,
was more affected than the release phase. It is suggested that deviant lingual
kinematics could be the outcome of disturbances to cerebellar function, or
possibly in combination with disturbances to upper motor neuron systems.
PMID- 21878691
TI - Stride interval dynamics are altered when two individuals walk side by side.
AB - The purpose of this study was to examine the effects of interpersonal
synchronization of stepping on stride interval dynamics during over-ground
walking. Twenty-seven footswitch instrumented subjects walked under three
conditions: independent (SOLO), alongside a partner (PAIRED), and side by side
with intentional synchronization (FORCED). A subset of subjects also synchronized
stepping to a metronome (MET). Stride time power spectral density and detrended
fluctuation analysis revealed that the rate of autocorrelation decay in stride
time was similar for both the SOLO and PAIRED conditions, but was significantly
reduced during the FORCED and MET conditions (p=0.03 & 0.002). Stride time
variability was also significantly increased for the FORCED and MET conditions
(p<0.001). These data suggest that forced synchronization of stepping results in
altered stride interval dynamics, likely through increased active control by the
CNS. Passive side by side stepping, where synchronization is subconscious, does
not appreciably alter stepping in this manner.
PMID- 21878692
TI - Differences in descending control of external oblique and latissimus dorsi
muscles in humans: a preliminary study.
AB - Descending bilateral control of external oblique (EO) and latissimus dorsi (LD)
was investigated using transcranial magnetic stimulation. Contralateral (CL)
motor evoked potential (MEP) thresholds were lower and latencies were shorter
than for ipsilateral (IL) MEPs. Hotspots for EO were symmetrical; this was not
the case for LD. The volumes of drive to the left and right muscles were not
different. The laterality index was not different between the left and right
muscles. The average index for the EO muscles was closer to zero than that for
LD, suggesting a stronger IL drive to EO. The symmetry of drive to each muscle
did not differ; however, the symmetry of drive varies within a subject for
different muscles and between subjects for the same muscle. The findings may be
useful in understanding a number of clinical conditions relating to the trunk and
also for predicting the outcome of rehabilitative strategies.
PMID- 21878693
TI - Adaptive locomotion for crossing a moving obstacle.
AB - Crossing moving obstacles requires different space-time adjustments compared with
stationary obstacles. Our aim was to investigate gait spatial and temporal
parameters in the approach and crossing phases of a moving obstacle. We
hypothesized that obstacle speed affects gait parameters, which allow us to
distinguish locomotor strategies. Ten young adults walked and stepped over an
obstacle that crossed their way perpendicularly, under three obstacle conditions:
control-stationary obstacle, slow (1.07m/s) and fast speed (1.71m/s) moving
obstacles. Gait parameters were different between obstacle conditions, especially
on the slow speed. In the fast condition, the participants adopted predictive
strategies during the approach and crossing phases. In the slow condition, they
used an anticipatory strategy in both phases. We conclude that obstacle speed
affects the locomotor behavior and strategies were distinct in the obstacle
avoidance phases.
PMID- 21878694
TI - [Diagnostic imaging of cerebrovascular disease on multi-detector row computed
tomography (MDCT)].
AB - In this study, we reviewed characteristic diagnostic findings of vascular
diseases in the central nervous system with 3-dimensional computed tomographic
angiography (3D-CTA) using multi-detector row computed tomography (MDCT) and a
novel 320-row area detector CT (320-ADCT). With coverage of 160 mm in a single
rotation, 320-ADCT enables acquisition of both 4-dimensional CT angiography
(dynamic 4D-CTA) and whole-brain CT perfusion imaging. We describe our experience
of investigating cerebrovascular diseases with MDCT and 320-ADCT, as well as
several postprocessing techniques to acquire images useful for diagnosis, therapy
planning, and simulation of neurosurgical and endovascular intervention. 3D-CTA
demonstrates has high accuracy in detecting and evaluating cerebral aneurysms and
steno-occlusive diseases. Angiographic analysis, including information of
surrounding tissues on 3D-CTA, allows assessment of the feasibility of
neurosurgical or endovascular approaches and the technique to accomplish the
therapy. However, 3D-CTA using MDCT is limited in its detection of aneurysms less
than 3 mm in size and aneurysms embedded in the skull base region. In addition,
discrimination between intradural paraclinoid aneurysms and extradural
intracavernous aneurysms remains an unresolved problem in imaging of cerebral
aneurysms. 320-ADCT may solve this problem with its high accuracy in
discriminating arteries from the venous system. 3D-CTA could be used as an
alternative to DSA for detection of severe carotid artery disease. 3D-CTA enables
measurement of the lesions, plaque imaging, prediction of anatomical variants,
screening for asymptomatic vascular lesions, and exclusion of patients with risk
factors from carotid endoarterectomy (CEA) or carotid artery stenting (CAS). The
diagnosis of intracranial dural arteriovenous fistula (DAVF) with CTA is
challenging. Recently, several authors proposed diagnostic findings of ADVF by
CTA and reported high sensitivity and specificity. 320-ADCT may offer
comprehensive information for diagnosis and therapy planning of intracranial
DAVF. Although DSA is a standard modality to detect spinal vascular
malformations, selective catheterization requires considerable time and a certain
amount of contrast medium, and is associated with a risk of neurological
complications. Spinal 3D-CTA prior to DSA is useful in selective catheterization
to arteries at certain spinal levels as well as when considering treatment
options and therapy planning.
PMID- 21878695
TI - [Utility and validity of SPECT and PET in the perioperative managements of
patients with cervical internal carotid artery stenosis].
AB - In this review, the author describes basic but essential knowledge on cerebral
blood flow and metabolism in managing the patients with occlusive carotid artery
diseases. Oxygen extraction fraction (OEF) and cerebrovascular reactivity to
acetazolamide have widely been accepted as valuable parameters to identify the
critical reduction of cerebral perfusion pressure in these patients. These
parameters would play an important role in determining the candidates for
extracranial-intracranial arterial bypass and in predicting their outcome.
However, pathophysiology of cerebral ischemia in occlusive carotid artery
diseases is not fully understood yet, and further studies would be warranted.
Next, the author reviews previous reports on cerebral hemodynamics and metabolism
in patients with severe stenosis of cervical internal carotid artery. Carotid
endarterectomy (CEA) and carotid artery stenting (CAS) can dramatically improve
cerebral hemodynamics, but may carry the risk to induce silent or symptomatic
hyperperfusion in a certain subgroup of patients. Single photon emission computed
tomography (SPECT) and positron emission tomography (PET) may also be useful for
identifying patients at higher risk for postoperative hyperperfusion.
PMID- 21878696
TI - [Ischemic cortical neuronal damage and cognitive impairments in atherosclerotic
occlusive disease of the major cerebral artery: a PET study].
AB - In atherosclerotic internal carotid artery (ICA) or middle cerebral artery (MCA)
disease, selective neuronal damage can be detected as a decrease in central
benzodiazepine receptors (BZRs) in an apparently normal cerebral cortex. To
investigate the association between cortical BZRs decreases and executive
dysfunctions, we measured BZRs using positron emission tomography (PET) and (11)C
flumazenil in 60 non-disabled patients with unilateral atherosclerotic ICA or MCA
disease and no cortical infarction. Using 3-dimensional stereotactic surface
projections, we calculated the BZR index, a measure of abnormally decreased BZRs
in the cerebral cortex within the anterior cerebral artery (ACA) or MCA
territory, and found that it to be correlated with the patient's score on the
Wisconsin Card Sorting Test (WCST). Based on the WCST results, 39 patients were
considered abnormal (low categories achieved) for their age. The BZR index of the
ACA territory in the hemisphere affected by arterial disease was significantly
higher in abnormal patients than in normal patients. The BZR index of the MCA
territory differed significantly between the 2 groups when patients with left
arterial disease (n = 28) were analyzed separately. The BZR indices of the
anterior cingulate gyrus and the middle frontal gyrus carrelated significantly
and positively with the total number of WCST errors. In atherosclerotic ICA or
MCA disease, selective neuronal damage that is manifested as a decrease in BZRs
in the non-infarcted cerebral cortex is associated with executive dysfunction.
PET imaging of BZRs is useful as an objective measure of cognitive impairments in
atherosclerotic occlusive disease of the major cerebral artery.
PMID- 21878697
TI - [Progress in NIRS monitoring of cerebral blood flow].
AB - Various studies have demonstrated the usefulness of near infrared spectroscopy
(NIRS) for detecting cerebral ischemia during a carotid endarterectomy; however,
it is difficult to apply NIRS to the diagnosis of ischemic stroke, since
commercially available NIRS, which uses continuous-wave light, does not provide
quantitative values of baseline hemoglobin (Hb) concentrations. In contrast, time
resolved near-infrared spectroscopy (TRS) permits quantitative measurement of Hb
concentrations. We applied TRS to detection of cerebra vasospasm after
subarachnoid hemorrhage (SAH). We investigated 11 age-matched controls and 14
aneurysmal SAH patients that comprised 10 patients with World Federation of
Neurological Societies (WFNS) grade V and 4 patients with WFNS grade II.
Employing TR-NIRS, we measured the cortical oxygen saturation (CoSO2) and
baseline Hb concentrations in the middle cerebral artery territory. The CoSO2 and
Hb concentrations remained stable after SAH in 6 patients; digital subtraction
angiography (DSA) did not reveal vasospasm in these patients. In 8 patients,
however, CoSO2 and total Hb decreased abruptly between 5 and 9 days after SAH.
DSA revealed diffuse vasospasms in 6 of 8 patients. The reduction of CoSO2
predicted occurrence of vasospasm at a cutoff value of 3.9%-6.4% with 100%
sensitivity and 85.7% specificity. Trans cranial Doppler (TCD) failed to detect
vasospasm in 4 cases, whereas TR-NIRS could. Finally, TRS performed on day 1
after SAH revealed significantly higher CoSO2 than that of the controls (p =
0.048), but there was no significant difference in total Hb. TRS detected
vasospasm by evaluating the CBO in the cortex and may be more sensitive than TCD,
which assesses the blood flow velocity in the M1 portion. TRS may be useful for
the diagnosis of ischemic events in stroke patients.
PMID- 21878698
TI - [Plaque imaging of carotid stenosis: an update and future perspective].
AB - Not only is the degree of stenosis important for optimal treatment of carotid
stenosis, plaque characteristics, are also important factors to be considered.
Various modalities of plaque imaging using ultrasound, magnetic resonance imaging
(MRI), and positron emission tomography (PET) have been introduced to clinical
practice recently. Here, we provide an overview of the recent progress of such
modalities in relation to their clinical significance and future perspective.
PMID- 21878699
TI - [Diagnosis of syringomyelia and its classification on the basis of symptoms,
radiological appearance, and causative disorders].
AB - Although it is easier to accurately diagnose syringomyelia with the advent of
magnetic resonance (MR) imaging, syringomyelia still poses challenges to
clinicians because of its complex symptomatology, uncertain pathogenesis and
multiple treatment options. Here, we propose criteria for classification of
syringomyelia not related to those associated with spinal intramedullary tumors.
The classification aims to distinguish between the presyrinx state and
syringomyelia, between asymptomatic and symptomatic syringomyelia and to clarify
the associated disorders such as Chiari malformations, spinal arachnoiditis and
spinal cord trauma. Diagnostic criteria for Chiari I and II malformations with MR
imaging were also defined. Several hypotheses proposed to explain the
pathogenesis of syringomyelia associated with Chiari I malformation were
reviewed. A questionnaire survey on syringomyelia based on the proposed criteria
conducted between November 2009 and April 2010 in Japan revealed that 160 (22.6%)
of the 708 patients were asymptomatic. Chiari I malformation was the most
frequent causative disorder (48.3%), followed by spinal arachnoiditis (15.8%).
The proposed criteria for classification of syringomyelia will facilitate a
nationwide survey of syringomyelia in Japan. Such a survey will inform us of its
prevalence and prognosis more precisely, and enable us to build a reliable
database that may help determine the optimal treatment for the disease in the
future.
PMID- 21878700
TI - [Food-borne botulism].
AB - Botulism is a neuroparalytic disease caused by neurotoxins produced by
Clostridium botulinum. Food-borne botulism is a kind of exotoxin-caused food
intoxication. Although this disease is rarely reported in Japan now, it is a
cause of great concern because of its high mortality rate, and botulism cases
should be treated as a public health emergency. Botulism classically presents as
acute symmetrical descending flaccid paralysis. Its diagnosis is based on the
detection of botulinum toxins in the patient's serum or stool specimens.
Electrophysiologic tests of such patients show reduced compound muscle action
potentials (CMAPs), low amplitudes and short durations of motor unit potentials
(MUPs), and mild waning in repetitive low-frequency stimulations. Single fiber
electromyography (EMG) is particularly useful for the diagnosis of botulism. We
report a case of food-borne botulism that we had encountered. An 83-year-old man
with rapidly progressive diplopia, dysphagia, and tetraplegia was hospitalized;
he required intensive care, including artificial ventilatory support.
Electrophysiologic tests yielded findings compatible with botulism. We made a
clinical diagnosis of food-borne botulism and administered antitoxin on the
seventh disease day. The patient's motor symptoms started ameliorating several
days after the antitoxin injection. Subsequently, botulinum toxin type A was
detected in the patient's serum specimen by using a bioassay, and the type A gene
and silent B gene were detected in his serum specimen by using polymerase chain
reaction (PCR). C. botulinum was also obtained from stool culture on the 17th and
50th disease days. Botulism is a curable disease if treated early. Although it is
a rare condition, it should always be considered in the differential diagnosis of
patients with rapid onset of cranial nerve and limb muscle palsies.
PMID- 21878701
TI - [Mechanisms of intracerebral pain and itch perception in humans].
AB - Electrophysiological studies involving techniques such as magnetoencephalography
(MEG) and hemodynamic studies involving techniques such as functional magnetic
resonance imaging (fMRI) have recently been intensively used to elucidate the
mechanisms underlying pain and itch perception in humans. The MEG results
obtained after A-delta fiber (first pain) and C fiber (second pain) stimulation
were similar, except for longer latency in the case of C fibers. Initially, the
primary somatosensory cortex (SI) contralateral to the stimulation is activated,
and the secondary somatosensory cortex (SII), insula, amygdala, and anterior
cingulate cortex (ACC) in both hemispheres are then activated sequentially. The
fMRI findings obtained after the stimulation of C fibers and those obtained after
the stimulation of A-delta fibers both showed activation of the bilateral
thalamus, bilateral SII, right (ipsilateral) middle insula, and bilateral
Brodmann's area (BA) 24/32, with most of the activity being detected in the
posterior region of the ACC. However, the magnitude of activity in the anterior
insula on both sides and in BA 32/8/6, including the ACC and pre-supplementary
motor area (pre-SMA), after the stimulation of C nociceptors was significantly
stronger than that after the stimulation of A-delta nociceptors. We have recently
developed a new stimulation electrode that causes an itching sensation via
electrical stimulation applied to skin. The conduction velocity (CV) of the
signals caused by this stimulation is approximately 1 m/sec in a range of CV of C
fibers. The findings obtained after itch stimulation were similar to those
obtained after pain stimulation, but the precuneus may be an itch-selective brain
region. This unique finding was confirmed by both MEG and fMRI studies.
PMID- 21878702
TI - [in-hospital mortality in patient with acute ischemic and hemorrhagic stroke].
AB - There is a lack of evidence to compare in-hospital mortality with different types
of stroke. The purpose of this study was to elucidate the in-hospital mortality
after acute ischemic/hemorrhagic stroke and compare the factors associated with
the mortality among stroke subtypes. All patients admitted to Kurashiki Central
Hospital in Japan between January 2009 and December 2009, and diagnosed with
acute ischemic/hemorrhagic stroke were included in this study. Demographics and
clinical data pertaining to the patients were obtained from their medical
records. Out of 738 patients who had an acute stroke, 53 (7.2%) died in the
hospital. The in-hospital mortality was significantly lower in the cerebral
infarction group than in the intracerebral hemorrhage and subarachnoid hemorrhage
group (3.5%, 15.1%, and 17.9%, respectively; P<0.0001). Age was significantly
lower in the subarachnoid hemorrhage group than in the other 2 groups. With
regard to past history, diabetes mellitus was significantly found to be a
complication in mortality cases of intracranial hemorrhage. Further investigation
is needed to clarify the effect of diabetes on mortality after intracranial
hemorrhage.
PMID- 21878703
TI - [An autopsy case of progressive multifocal leukoencephalopathy: comparison of
magnetic resonance imaging findings with the pathological findings].
AB - A 41-year-old man diagnosed with malignant lymphoma (MLy) in November 2007
developed paralysis that worsened rapidly in January 2008. Magnetic resonance
imaging (MRI) showed multifocal T2 high-intensity lesions without edema or
gadolinium enhancement in the white matter. The lesions were characterized by a
central core with low signal intensity, surrounded by a rim of high signal
intensity on diffusion-weighted images (DWIs). At first, we suspected brain
metastasis of MLy and used anti-cancer drugs, but the patient's condition
worsened. A brain biopsy was then taken to determine whether the patient had MLy
metastasis or progressive multifocal leukoencephalopathy (PML) so that an
appropriate course of treatment could be determined. The biopsy contained no
characteristic nuclear inclusions of PML, but we were able to rule out MLy;
therefore, the patient was treated with cytarabine in February 2008, but he died
because of sepsis in March. Upon autopsy, many characteristic nuclear inclusions
of PML were found in the periphery of the lesions, and in the central core, there
was severe demyelinating and tissue softening without typical nuclear inclusions
of oligodendroglias. This structure is similar to the structure observed on DWIs,
in which a low signal intensity core is surrounded by a rim of high signal
intensity. The presence of inclusion bodies in the rim would correspond to the
high signal intensity area on DWIs. The peripheral area may have given high
signal intensity on DWIs because of the active findings of many swelling
oligodendroglias with typical nuclear inclusions. Conversely, the central lesions
would give low signals on DWIs because of demyelination and softening. Hence, the
region with high signal intensity adjacent to the central low signal area on DWIs
would be an appropriate biopsy point for PML diagnosis. ).
PMID- 21878704
TI - [Trigeminal neuralgia in an elderly patient associated with a variant of
persistent primitive trigeminal artery].
AB - An 86-year-old woman presented with a 10-year history of right paroxysmal facial
pain. The trigger zone was the right maxilla. Magnetic resonance (MR) angiography
and MR cisternography sourse images showed an aberrant artery originating from
the right internal carotid artery anastomosed to the anterior inferior cerebellar
artery territory (AICA) of the cerebellum, and it was closed at the root entry
zone of trigeminal nerve. The patient underwent microvascular decompression
(MVD), and her pain resolved after the operation. Most of the offending vessels
that cause trigeminal neuralgia are the superior cerebellar artery (75-80%) and
AICA. Although persistent primitive trigeminal artery (PTA) is the most common
type of persistent carotid-basilar anastomosis, trigeminal neuralgia associated
with PTA or a PTA variant is very rare, and particularly, a PTA variant is an
uncommon, anomalous, intracranial vessel. It is necessary to inspect MR imaging
scans carefully prior to MVD surgery because they are frequently associated with
intracranial aneurysms. During surgery, we must be careful not to injure the
perforating arteries from the PTA variant. MVD for trigeminal neuralgia in
elderly patients is effective if the patients can have a tolerate general
anesthesia. However, when we plan surgery for elderly patients, we must take care
that it does not to lead to unexpected complications.
PMID- 21878705
TI - [Usefulness of contrast-enhanced fluid attenuated inversion recovery magnetic
resonance imaging for diagnosing carcinomatous meningitis: a case report].
PMID- 21878706
TI - Multielectrode array recordings of bladder and perineal primary afferent activity
from the sacral dorsal root ganglia.
AB - The development of bladder and bowel neuroprostheses may benefit from the use of
sensory feedback. We evaluated the use of high-density penetrating microelectrode
arrays in sacral dorsal root ganglia (DRG) for recording bladder and perineal
afferent activity. Arrays were inserted in S1 and S2 DRG in three anesthetized
cats. Neural signals were recorded while the bladder volume was modulated and
mechanical stimuli were applied to the perineal region. In two experiments, 48
units were observed that tracked bladder pressure with their firing rates (79%
from S2). At least 50 additional units in each of the three experiments (274
total; 60% from S2) had a significant change in their firing rates during one or
more perineal stimulation trials. This study shows the feasibility of obtaining
bladder-state information and other feedback signals from the pelvic region with
a sacral DRG electrode interface located in a single level. This natural source
of feedback would be valuable for providing closed-loop control of bladder or
other pelvic neuroprostheses.
PMID- 21878707
TI - First controlled vertical flight of a biologically inspired microrobot.
AB - In this paper, we present experimental results on altitude control of a flying
microrobot. The problem is approached in two stages. In the first stage, system
identification of two relevant subsystems composing the microrobot is performed,
using a static flapping experimental setup. In the second stage, the information
gathered through the static flapping experiments is employed to design the
controller used in vertical flight. The design of the proposed controller relies
on the idea of treating an exciting signal as a subsystem of the microrobot. The
methods and results presented here are a key step toward achieving total autonomy
of bio-inspired flying microrobots.
PMID- 21878708
TI - Percent voluntary inactivation and peak force predictions with the interpolated
twitch technique in individuals with high ability of voluntary activation.
AB - The purpose of this study was to examine the sensitivity and peak force
prediction capability of the interpolated twitch technique (ITT) performed during
submaximal and maximal voluntary contractions (MVCs) in subjects with the ability
to maximally activate their plantar flexors. Twelve subjects performed two MVCs
and nine submaximal contractions with the ITT method to calculate percent
voluntary inactivation (%VI). Additionally, two MVCs were performed without the
ITT. Polynomial models (linear, quadratic and cubic) were applied to the 10-90%
VI and 40-90% VI versus force relationships to predict force. Peak force from the
ITT MVC was 6.7% less than peak force from the MVC without the ITT. Fifty-eight
percent of the 10-90% VI versus force relationships were best fit with nonlinear
models; however, all 40-90% VI versus force relationships were best fit with
linear models. Regardless of the polynomial model or the contraction intensities
used to predict force, all models underestimated the actual force from 22% to
28%. There was low sensitivity of the ITT method at high contraction intensities
and the predicted force from polynomial models significantly underestimated the
actual force. Caution is warranted when interpreting the % VI at high contraction
intensities and predicted peak force from submaximal contractions.
PMID- 21878709
TI - Unbinding of targeted ultrasound contrast agent microbubbles by secondary
acoustic forces.
AB - Targeted molecular imaging with ultrasound contrast agent microbubbles is
achieved by incorporating targeting ligands on the bubble coating and allows for
specific imaging of tissues affected by diseases. Improved understanding of the
interplay between the acoustic forces acting on the bubbles during insonation
with ultrasound and other forces (e.g. shear due to blood flow, binding of
targeting ligands to receptors on cell membranes) can help improve the efficacy
of this technique. This work focuses on the effects of the secondary acoustic
radiation force, which causes bubbles to attract each other and may affect the
adhesion of targeted bubbles. First, we examine the translational dynamics of
ultrasound contrast agent microbubbles in contact with (but not adherent to) a
semi-rigid membrane due to the secondary acoustic radiation force. An equation of
motion that effectively accounts for the proximity of the membrane is developed,
and the predictions of the model are compared with experimental data extracted
from optical recordings at 15 million frames per second. A time-averaged model is
also proposed and validated. In the second part of the paper, initial results on
the translation due to the secondary acoustic radiation force of targeted,
adherent bubbles are presented. Adherent bubbles are also found to move due to
secondary acoustic radiation force, and a restoring force is observed that brings
them back to their initial positions. For increasing magnitude of the secondary
acoustic radiation force, a threshold is reached above which the adhesion of
targeted microbubbles is disrupted. This points to the fact that secondary
acoustic radiation forces can cause adherent bubbles to detach and alter the
spatial distribution of targeted contrast agents bound to tissues during
activation with ultrasound. While the details of the rupture of intermolecular
bonds remain elusive, this work motivates the use of the secondary acoustic
radiation force to measure the strength of adhesion of targeted microbubbles.
PMID- 21878710
TI - Pre- and post-natal exposure of children to EMF generated by domestic induction
cookers.
AB - Induction cookers are a type of cooking appliance that uses an intermediate
frequency magnetic field to heat the cooking vessel. The magnetic flux density
produced by an induction cooker during operation was measured according to the EN
62233 standard, and the measured values were below the limits set in the
standard. The measurements were used to validate a numerical model consisting of
three vertically displaced coaxial current loops at 35 kHz. The numerical model
was then used to compute the electric field (E) and induced current (J) in 26 and
30 weeks pregnant women and 6 and 11 year old children. Both E and J were found
to be below the basic restrictions of the 2010 low-frequency and 1998 ICNRIP
guidelines. The maximum computed E fields in the whole body were 0.11 and 0.66 V
m(-1) in the 26 and 30 weeks pregnant women and 0.28 and 2.28 V m(-1) in the 6
and 11 year old children (ICNIRP basic restriction 4.25 V m(-1)). The maximum
computed J fields in the whole body were 46 and 42 mA m(-2) in the 26 and 30
weeks pregnant women and 27 and 16 mA m(-2) in the 6 and 11 year old children
(ICNIRP basic restriction 70 mA m(-2)).
PMID- 21878711
TI - Doped graphene: the interplay between localization and frustration due to the
underlying triangular symmetry.
AB - An intuitive explanation of the increase in localization observed near the Dirac
point in doped graphene is presented. To do this, we renormalize the tight
binding Hamiltonians in such a way that the honeycomb lattice maps into a
triangular one. Then, we investigate the frustration effects that emerge in this
Hamiltonian. In this doped triangular lattice, the eigenstates have a bonding and
antibonding contribution near the Dirac point, and thus there is a kind of
Lifshitz tail. The increase in frustration is related to an increase in
localization, since the number of frustrated bonds decreases with disorder, while
the frustration contribution raises.
PMID- 21878712
TI - Intramolecular vibrations and noise effects on pattern formation in a molecular
helix.
AB - Modulational instability in a biexciton molecular chain is addressed. We show
that the model can be reduced to a set of three coupled equations: two nonlinear
Schrodinger equations and a Boussinesq equation. The linear stability analysis of
continuous wave solutions of the coupled systems is performed and the growth rate
of instability is found numerically. Simulations of the full discrete systems
reveal some behaviors of modulational instability, since wave patterns are
observed for the excitons and the phonon spectrum. We also take the effect of
thermal fluctuations into account and we numerically study both the stability and
the instability of the plane waves under 300 K. The plane wave is found to be
stable under modulation, but displays a gradual increase of the wave amplitudes.
Under modulation, the same behaviors are observed and wave patterns are found to
resist thermal fluctuations, which is in agreement with earlier research on
localized structure stability under thermal noise.
PMID- 21878713
TI - Tracer diffusion in fibre networks: the impact of spatial fluctuations in the
fibre distribution.
AB - A mean-field formalism that addresses spatial non-uniformities in fibre networks
is combined with the cylindrical cell model to calculate the diffusion constant
for a spherical tracer. Deviations from randomness in the fibre distribution are
described by an operational distribution over volume fractions that is
parametrized by mean values for the pore radii and void space chord lengths.
Weight factors for elements with different radii in the cell model are assigned
in a manner that enforces agreement with the distribution over pore sizes
predicted by our treatment of heterogeneous networks. Illustrative calculations
suggest that the tracer diffusion constant is quite sensitive to non-uniformities
in the network, particularly for tracer particles with radii that are large
compared to the fibre diameter.
PMID- 21878714
TI - Static, dynamic and electronic properties of expanded fluid mercury in the metal
nonmetal transition range. An ab initio study.
AB - Fluid Hg undergoes a metal-nonmetal (M-NM) transition when expanded toward a
density of around 9 g cm(-3). We have performed ab initio molecular dynamics
simulations for several thermodynamic states around the M-NM transition range and
the associated static, dynamic and electronic properties have been analyzed. The
calculated static structure shows a good agreement with the available
experimental data. It is found that the volume expansion decreases the number of
nearest neighbors from 10 (near the triple point) to around 8 at the M-NM
transition region. Moreover, these neighbors are arranged into two subshells and
the decrease in the number of neighbors occurs in the inner subshell. The
calculated dynamic structure factors agree fairly well with their experimental
counterparts obtained by inelastic x-ray scattering experiments, which display
inelastic side peaks. The derived dispersion relation exhibits some positive
dispersion for all the states, although its value around the M-NM transition
region is not as marked as suggested by the experiment. We have also calculated
the electronic density of states, which shows the appearance of a gap at a
density of around 8.3 g cm(-3).
PMID- 21878716
TI - From stable divalent to valence-fluctuating behaviour in Eu(Rh(1-x)Ir(x))2Si2
single crystals.
AB - We have succeeded in growing high-quality single crystals of the valence
fluctuating system EuIr(2)Si(2), the divalent Eu system EuRh(2)Si(2) and the
substitutional alloy Eu(Rh(1-x)Ir(x))(2)Si(2) across the range 0 < x < 1, which
we characterized by means of x-ray diffraction, energy-dispersive x-ray
spectroscopy, specific heat, magnetization and resistivity measurements. On
increasing x, the divalent Eu ground state subsists up to x = 0.25 with a slight
increase in Neel temperature, while for 0.3<=x < 0.7 a sharp hysteretic change in
susceptibility and resistivity marks the first-order valence transition. For
x?0.7 the broad feature observed in the physical properties is characteristic of
the continuous valence evolution beyond the critical end point of the valence
transition line, and the resistivity is reminiscent of Kondo-like behaviour while
the Sommerfeld coefficient indicates a mass renormalization of at least a factor
of 8. The resulting phase diagram is similar to those reported for
polycrystalline Eu(Pd(1-x)Au(x))(2)Si(2) and EuNi(2)(Si(1-x)Ge(x))(2), confirming
its generic character for Eu systems, and markedly different to those of
homologue Ce and Yb systems, which present a continuous suppression of the
antiferromagnetism accompanied by a very smooth evolution of the valence. We
discuss these differences and suggest them to be related to the large
polarization energy of the Eu half-filled 4f shell. We further argue that the
changes in the rare earth valence between RRh(2)Si(2) and RIr(2)Si(2) (R = Ce,
Eu, Yb) are governed by a purely electronic effect and not by a volume effect.
PMID- 21878715
TI - Signatures of spin-glass behaviour in PrIr2B2 and heavy fermion behaviour in
PrIr2B2C.
AB - The magnetic and transport properties of PrIr(2)B(2) and PrIr(2)B(2)C have been
investigated by dc and ac magnetic susceptibility, specific heat, electrical
resistivity and magnetoresistance measurements. PrIr(2)B(2) forms in CaRh(2)B(2)
type orthorhombic crystal structure (space group Fddd). At low fields the dc
magnetic susceptibility of PrIr(2)B(2) exhibits a sharp anomaly near 46 K which
is followed by an abrupt increase below 10 K with a peak at 6 K, and split-up in
ZFC and FC data below 46 K. In contrast, the specific heat exhibits only a broad
Schottky type hump near 9 K which indicates that there is no long range magnetic
order in this compound. The thermo-remanent magnetization is found to decay very
slowly with a mean relaxation time tau = 3917 s. An ac magnetic susceptibility
measurement also observes two sharp anomalies; the peak positions strongly depend
on the frequency and shift towards high temperature with an increase in
frequency, obeying the Vogel-Fulcher law as expected for a canonical spin-glass
system. The two spin-glass transitions occur at freezing temperatures T(f1) = 36
K and T(f2) = 3.5 K with shifts in the freezing temperatures per decade of
frequency deltaT(f1) = 0.044 and deltaT(f2) = 0.09. An analysis of the frequency
dependence of the transition temperature with critical slowing down,
tau(max)/tau(0) = [(T(f)-T(SG))/T(SG)](-znu), gives tau(0) = 10(-7) s and
exponent znu = 8, and the Vogel-Fulcher law gives an activation energy of 84 K
for T(f1) and 27.5 K for T(f2). While znu = 8 is typical for spin-glass system,
the characteristic relaxation time tau(0) = 10(-7) s is very large and comparable
to that of superspin-glass systems. An addition of C in PrIr(2)B(2) leads to
PrIr(2)B(2)C which forms in LuNi(2)B(2)C-type tetragonal structure (space group
I4/mmm) and remains paramagnetic down to 2 K. The specific heat data show a broad
Schottky type anomaly, which could be fairly reproduced with CEF analysis which
suggests that the ground state is a CEF-split singlet and the first excited state
singlet is situated 15 K above the ground state. The Sommerfeld coefficient
gamma~300 mJ mol(-1) K(-2) of PrIr(2)B(2)C is very high and reflects a heavy
fermion behaviour in this compound. We believe that the heavy fermion state in
PrIr(2)B(2)C has its origin in low lying crystal field excitations as has been
observed in PrRh(2)B(2)C.
PMID- 21878717
TI - Determination of the equilibrium charge distribution for polyampholytes of
different compactness in a single computer experiment.
AB - The conformational properties of charge-balanced polyampholytes described by the
end-to-end distance or radius of gyration depend on parameters such as the
temperature and pH as well as on the detailed charge distribution along the
backbone. In this work we present a method to determine the charge distribution
along a semi-stiff polyampholyte backbone which will result in a
thermodynamically stable structure for the compactness of interest, from several
loops to an uncoiled structure, performed in a single computer experiment.
PMID- 21878718
TI - Domain size and structure in exchange coupled [Co/Pt]/NiO/[Co/Pt] multilayers.
AB - We investigate the competing effects of interlayer exchange coupling and
magnetostatic coupling in the magnetic heterostructure ([Co/Pt]/NiO/[Co/Pt]) with
perpendicular magnetic anisotropy (PMA). This particular heterostructure is
unique among coupled materials with PMA in directly exhibiting both ferromagnetic
and antiferromagnetic coupling, oscillating between the two as a function of
spacer layer thickness. By systematically tuning the coupling interactions via a
wedge-shaped NiO spacer layer, we explore the energetics that dictate magnetic
domain formation using high resolution magnetic force microscopy coupled with the
magneto-optical Kerr effect. This technique probes the microscopic and
macroscopic magnetic behavior as a continuous function of thickness and the
interlayer exchange coupling, including the regions where interlayer coupling
goes through zero. We see significant changes in domain structure based on the
sign of coupling, and also show that magnetic domain size is directly related to
the magnitude of the interlayer exchange coupling energy, which generally
dominates over the magnetostatic interactions. When magnetostatic interactions
become comparable to the interlayer exchange coupling, a delicate interplay
between the differing energy contributions is apparent and energy scales are
extracted. The results are of intense interest to the magnetic recording industry
and also illustrate a relatively new avenue of undiscovered physics, primarily
dealing with the delicate balance of energies in the formation of magnetic
domains for coupled systems with PMA, defining limits on domain size as well as
the interplay between roughness, domains and magnetic coupling.
PMID- 21878719
TI - Dynamic analysis of the photoenhancement process of colloidal quantum dots with
different surface modifications.
AB - Photoinduced fluorescence enhancement of colloidal quantum dots (QDs) is a hot
topic addressed in many studies due to its great influence on the bioanalytical
performance of such nanoparticles. However, understanding of this process is not
a simple task, and it cannot be explained by a general mechanism as it greatly
depends on the QDs' nature, solubilization strategies, surrounding environment,
etc. In this vein, we have critically compared the behavior of CdSe QDs (widely
used in bioanalytical applications) with different surface modifications (ligand
exchange and polymer coating), in different controlled experimental conditions,
in the presence-absence of the ZnS layer and in different media when exposed for
long times to intense UV irradiation. Thus six different types of colloidal QDs
were finally studied. This research was carried out from a novel perspective,
based on the analysis of the dynamic behavior of the photoactivation process (of
great interest for further applications of QDs as labels in biomedical
applications). The results showed a different behavior of the studied colloidal
QDs after UV irradiation in terms of their photoluminescence characteristics,
potential toxicity due to metal release to the environment, nanoparticle
stability and surface coating degradation.
PMID- 21878720
TI - A graphene oxide-carbon nanotube grid for high-resolution transmission electron
microscopy of nanomaterials.
AB - A novel grid for use in transmission electron microscopy is developed. The
supporting film of the grid is composed of thin graphene oxide films overlying a
super-aligned carbon nanotube network. The composite film combines the advantages
of graphene oxide and carbon nanotube networks and has the following properties:
it is ultra-thin, it has a large flat and smooth effective supporting area with a
homogeneous amorphous appearance, high stability, and good conductivity. The
graphene oxide-carbon nanotube grid has a distinct advantage when characterizing
the fine structure of a mass of nanomaterials over conventional amorphous carbon
grids. Clear high-resolution transmission electron microscopy images of various
nanomaterials are obtained easily using the new grids.
PMID- 21878721
TI - Facile synthesis of gold nanoflowers with high surface-enhanced Raman scattering
activity.
AB - Highly branched gold nanoflowers are synthesized in high yield by a simple amino
reducing method, without additional seeds or surfactant agents. We present a
systematic investigation of the influence of different parameters on the size,
morphology, and monodispersity of gold nanoflowers. The initial concentration of
reducing agent, the solvent viscosity, and the reaction temperature play critical
roles in the formation of nanoparticles. A lower concentration of reducing agent
causes larger particles with sharp and dendritic tips. Moreover, with increasing
solvent viscosity, the obtained particles have more and larger tips. Examination
of the nanoparticles at different reaction stages with transmission electron
microscopy and UV-vis spectroscopy reveals the formation of the gold nanoflowers
as a classical growth process in which diffusion-controlled growth gives rise to
the highly branched structures. Additionally, these gold nanoflowers have
prospects for surface-enhanced Raman scattering (SERS) imaging because of their
strong SERS enhancement and clean surface.
PMID- 21878722
TI - [Lesion localization of non-aphasic alexia and agraphia].
AB - The author reviews the lesion localization of non-aphasic alexia and agraphia and
proposes a new classification of alexia and agraphia on this basis. The newly
proposed alexia and agraphia are pure alexia for kana (Japanese phonograms), or
more generally pure alexia for letters, caused by a lesion in the posterior
occipital area (posterior fusiform/inferior occipital gyri), and pure agraphia
for kanji (Japanese morphograms) caused by a lesion in the posterior middle
temporal gyrus and also a lesion restricted to the angular gyrus. In addition,
the anatomical lesions presumably responsible for the parietal apraxic agraphia,
frontal pure agraphia and thalamic agraphia are discussed.
PMID- 21878723
TI - [Standard treatment for late-onset myasthenia gravis in Japan].
AB - Myasthenia gravis (MG) is caused by the failure of neuromuscular transmission
mediated by autoantibodies against acetylcholine receptors (AChR) and muscle
specific receptor tyrosine kinase (MuSK). These seropositivity rates in AChR
positive and MuSK positive MG in Japan are 80-85% and 5-10%, respectively. The
incidence of late-onset MG has been increasing all over the world. A nationwide
epidemiological survey in Japan also revealed that the rates of late-onset MG
(onset after 50 years) had increased from 20% in 1987 to 42% in 2006. In 2010, a
guideline for standard treatments in late-onset MG was published from Japanese
Scoiety of Neurological Therapeutics. Based on individual experiences and the
limited evidence, epidemiological characteristics of MG onset age, clinical
features, and the standard treatment for late-onset patients are included in it.
In this guideline summary, the ocular form was more frequent in late-onset
compared to early-onset group, the indication of thymectomy in late-onset MG is
less than that of early-onset MG and the combination of corticosteroids and
immunosuppressive agents are recommended in order to reduce doses of
corticosteroids in late-onset MG.
PMID- 21878724
TI - [An autopsied case of postinfectious neuromyelitis optica in an 84-year-old man].
AB - An 84-year-old man presented with acute bilateral visual impairment 2 weeks after
an upper respiratory tract infection. A few days later, he developed left
hemiparesis, followed by paraplegia. The brain magnetic resonance imaging (MRI)
showed high-intensity lesions in the right cerebellum, pons, left and right
corona radiata, and right putamen. The diffusion weighted image also showed these
high-intensity lesions. The spinal MRI showed an edematous, longitudinally
extensive, cord lesion at the C5-Th6 level of the spine. Intravenous
corticosteroid therapy was initiated, but the patient showed mild improvement.
Although methylprednisolone pulse therapy was administered 5 times, he continued
to present with clinical relapse and died on day 50. Anti-aquaporin-4 (AQP4)
antibodies were detected in the patient's serum. Autopsy findings showed necrotic
lesions at the spinal cord, brain, and optic chiasma and nerves. An
immunohistopathological study showed the loss of AQP4- and glial fibrillary
acidic protein (GFAP)-positive cells, with relatively preserved myelin basic
protein (MBP)-positive myelin in the necrotic lesions. We diagnosed the patient
as having neuromyelitis optica (NMO) because of the seropositivity for anti-AQP4
antibodies and on the basis of above-mentioned other immunohistochemical
findings. It is difficult to distinguish NMO from ADEM clinically, when the
patient has a preceding infection. NMO should be considered in patients with
multifocal lesions in the central nervous system who have prominent myelitis and
optic neuritis, irrespective of the postinfectious onset of the lesions and the
sex and age of the patient.
PMID- 21878725
TI - [A case of late-onset aqueductal membranous occlusion and a successful treatment
with neuro-endoscopic surgery].
AB - A 57 year-old man developed broad-based unsteady gait and memory loss over a
period of one year. On admission, bradykinesia and impairment of postural reflex
were evident. Mini-mental state examination scored 27/ 30. Urinary control was
normal. MRI revealed symmetric dilatation of lateral and 3rd ventricles, but the
4th ventricle appeared normal. Partial obstruction of the aqueduct with a
membranous structure was disclosed by fast imaging employing steady state
acquisition (FIESTA), and the diagnosis of late-onset aqueductal membranous
occlusion (LAMO) was made. The symptoms were ameliorated shortly after endoscopic
aqueductoplasty (EAP) and endoscopic third ventriculostomy (ETV). Membranous
occlusion of the aqueduct can be detected by FIESTA and it can be cured by neuro
endoscopic measures.
PMID- 21878726
TI - [A case of Charles Bonnet syndrome following syphilitic optic neuritis].
AB - Charles Bonnet syndrome refers to visual hallucinations in patients with visual
acuity loss or visual field loss without dementia. We report a case of Charles
Bonnet syndrome following syphilitic optic neuritis. A 62-year-old man was
admitted to our hospital suffering acute bilateral visual loss in a few months.
On admission, he was almost blind and his optic discs were found to be atrophic
on fundoscopy. In addition to increased cell counts and protein concentration in
cerebrospinal fluid (CSF), serum and CSF rapid plasma reagin tests were positive.
A diagnosis of syphilitic optic neuritis was made and he was treated with
intravenous penicillin G (24 million units per day for 14 days) without any
recovery. After treatment finished, he began to experience complex, vivid,
elaborate and colored visual hallucinations. He recognized these visions as
unreal and felt distressed by them. No cognitive impairment was observed on
several neuropsychological tests. We diagnosed the patient as suffering from
Charles Bonnet syndrome. Brain MRI revealed diffuse mild atrophy of the cerebral
cortex and multiple T2 high signal intensity lesions in the deep cerebral white
matter. Single photon emission computed tomography revealed decreased regional
cerebral blood flow in bilateral medial occipital lobes. Administration of
olanzapine resulted in a partial remission of visual hallucinations. Charles
Bonnet syndrome following syphilitic optic neuritis is rare. In the present case,
visual loss and dysfunction of bilateral medial occipital lobes may have
triggered the visual hallucinations, which were alleviated by olanzapine.
PMID- 21878727
TI - [Neuromyelitis optica with syndrome of inappropriate secretion of antidiuretic
hormone and hypersomnia associated with bilateral hypothalamic lesions: a case
report].
AB - A 31-year-old woman with a 5-year history of recurrent optic neuritis and
encephalomyelitis underwent repeated steroid therapy. She developed general
malaise and fever in October 2009. Laboratory tests revealed marked reduction in
serum Na (106 mEq/L). Because the plasma osmotic pressure was lower than the
urinary osmotic pressure and the serum antidiuretic hormone (ADH) level was
elevated, she was diagnosed with the syndrome of inappropriate secretion of
antidiuretic hormone (SIADH). Magnetic resonance imaging (MRI) revealed high
signal intensities on symmetrical T2 weighted and fluid attenuated inversion
recovery (FLAIR) images of both sides of the hypothalamus. The serum samples
tested positive for the antibody to aquaporin-4 (AQP4). Previously conducted
cervical MRI had revealed a longitudinally extending lesion in the cervical cord,
and brain MRI had revealed brainstem lesions. We diagnosed the patient with
neuromyelitis optica (NMO) according to the revised diagnostic criteria for NMO
proposed by Wingerchuk in 2006. Furthermore, she complained of excessive daytime
sleepiness. The concentration of orexin in the cerebrospinal fluid was mildly
reduced and the orexin levels returned to normal when her sleepiness decreased.
ADH and orexin neurons localized in the hypothalamus; hence, we considered the
above-mentioned symptoms to be caused by bilateral hypothalamic lesions.
PMID- 21878728
TI - [Magnetic resonance imaging with 21.1 T and pathological correlations--diffuse
Lewy body disease].
AB - We investigated fixed basal ganglia specimens, including globus pallidus and
putamen, with 21.1-Tesla MRI allowing us to achieve a microscopic level
resolution from a patient with pathologically confirmed dementia with Lewy bodies
(DLB) and a neurologically normal control case. We acquired T2 and T2 * weighted
images that demonstrated diffuse and patchy lower intensities in the basal
ganglia compared to control. There are several paramagnetic substances in brain
tissue that could potentially reduce both T2 and T2 * relaxation times, including
ferritin, iron (Fe3+), manganese, copper and others. Because iron is most
abundant, low intensities on T2 and T2 * weighted images most likely reflect iron
deposition. Iron, especially Fe3+, deposition was visible in the pathological
specimens stained with Prussian blue after images were obtained. Although
radiological-pathological comparisons are not straightforward with respect to
either the MRI signal or relaxation quantification, there appears to be a
correlation between the relative increase in iron as assessed by Prussian blue
staining and the decrease in T2 * value between the DLB and control specimens. As
such, this exceptionally high field MRI technique may provide details about the
role that iron deposition plays either directly or indirectly as a biomarker in
neurodegenerative processes.
PMID- 21878729
TI - [Villaret's syndrome caused by internal carotid artery dissection].
AB - We report a patient with Villaret's syndrome (left hypoglossopharyngeal nerve,
vagus nerve, accessory nerve, and hypoglossal nerve palsies and left Horner's
sign) caused by internal carotid artery dissection. He had neck pain on the left
side, Horner's sign on the left side and paralysis of the left
hypoglossopharyngeal nerve, vagus nerve, accessory nerve, and hypoglossal nerve.
Brain MRI revealed no signal from the left internal carotid artery and no brain
infarction, although a tumor-like lesion was observed in the left internal
carotid artery. Subsequent MRI studies revealed intramural hematoma in the left
internal carotid artery, and on the basis of this finding, he was diagnosed with
internal carotid artery dissection. He received anticoagulant and antiplatelet
therapy. His symptoms improved gradually. The symptoms of internal carotid artery
dissection are neck pain, Horner's sign, brain infarction, and lower cranial
nerve palsy. A characteristic feature in this case was that brain infarction was
not observed. Only 3 similar cases have been reported in the past In all these
cases, the patients had a good clinical course and showed complete recovery from
the symptoms. Compared with western countries, in Japan, carotid artery
dissection is rare. Carotid artery dissection should be considered as a
differential diagnosis of lower cranial nerve palsy.
PMID- 21878730
TI - [A system to enforce multicenter clinical trials with public funds: introduction
of a neurological cooperative group in the United States].
AB - Success of a multicenter clinical trial relies on the good and stable support
system including a number of experienced people and sufficient funds. In Japan,
lack of the experienced and well-funded support system makes conducting of the
multicenter clinical trials very difficult. In the US, substantial amount of
governmental funds have been injected to develop the clinical trial
infrastructure. The cooperative group system is a model of the US governmental
funded clinical trial support system, in which the researchers can plan and
conduct the clinical trials effectively and reliably, cooperating with other
clinical research professionals including biostatisticians. Although there are
some active oncology cooperative groups in Japan, this system remains unfamiliar
to the researchers in neurology. It should be more noticed and utilized widely.
PMID- 21878731
TI - Biochemical responses to dietary alpha-linolenic acid restriction proceed
differently among brain regions in mice.
AB - Previously, we noted that the dietary restriction of alpha-linolenic acid (ALA, n
3) for 4 weeks after weaning brought about significant decreases in the BDNF
content and p38 MAPK activity in the striatum of mice, but not in the other
regions of the brain, compared with an ALA- and linoleic acid (LNA, n-6)-adequate
diet. In this study, we examined whether a prolonged dietary manipulation induces
biochemical changes in other regions of the brain as well. Mice were fed a
safflower oil (SAF) diet (ALA-restricted, LNA-adequate) or a perilla oil (PER)
diet (containing adequate amounts of ALA and LNA) for 8 weeks from weaning. The
docosahexaenoic acid (DHA, 22:6n-3) contents and p38 MAPK activities in the
cerebral cortex, striatum and hippocampus were significantly lower in the SAF
group. The BDNF contents and protein kinase C (PKC) activities in the cerebral
cortex as well as in the striatum, but not in the hippocampus, were significantly
lower in the SAF group. These data indicate that the biochemical changes induced
by the dietary restriction of ALA have a time lag in the striatum and cortex,
suggesting that the signal is transmitted through decreased p38 MAPK activity and
BDNF content and ultimately decreased PKC activity.
PMID- 21878732
TI - Differentiation capacity of BrdU label-retaining dental pulp cells during pulpal
healing following allogenic transplantation in mice.
AB - Our recent study has demonstrated the localization of putative dental pulp stem
cells in the developing molar by chasing 5-bromo-2'-deoxyuridine (BrdU)-labeling.
However, their differentiation capacity subsequent to the tooth transplantation
remains to be elucidated. This study aims to clarify the differentiation capacity
of BrdU label-retaining dental pulp cells and their relationship to cell
proliferation and apoptosis during pulpal healing following allogenic
transplantation in mice. Following extraction of the mouse molar in BrdU-labeled
animals, the roots and pulp floor were resected and immediately allo-grafted into
the sublingual region in non-labeled animals, and vice versa. In the labeled
transplants, label-retaining cells (LRCs) were increased in number and committed
in nestin-positive newly differentiated odontoblast-like cells, whereas they were
not committed in osteoblast-like cells. In the labeled host, on the contrary,
LRCs were committed in neither odontoblast- nor osteoblast-like cells, although
they were transiently increased in number and finally disappeared in the pulp
tissue of the transplants. Interestingly, numerous apoptotic cells appeared in
the pulp tissue including LRCs during the experimental period. These results
suggest that transplanted LRCs maintain their proliferative and differentiation
capacity in spite of extensive apoptosis occurring in the transplant, whereas
transiently increased host-derived LRCs finally disappear in the pulp chamber
following apoptosis.
PMID- 21878733
TI - Morphological assessment of bone mineralization in tibial metaphyses of ascorbic
acid-deficient ODS rats.
AB - Osteogenic disorder shionogi (ODS) rats carry a hereditary defect in ascorbic
acid synthesis, mimicking human scurvy when fed with an ascorbic acid-deficient
(aa-def) diet. As aa-def ODS rats were shown to feature disordered bone
formation, we have examined the bone mineralization in this rat model. A fibrous
tissue layer surrounding the trabeculae of tibial metaphyses was found in aa-def
ODS rats, and this layer showed intense alkaline phosphatase activity and
proliferating cell nuclear antigen-immunopositivity. Many osteoblasts detached
from the bone surfaces and were characterized by round-shaped rough endoplasmic
reticulum (rER), suggesting accumulation of malformed collagen inside the rER.
Accordingly, fine, fragile fibrillar collagenous structures without evident
striation were found in aa-def bones, which may result from misassembling of the
triple helices of collagenous alpha-chains. Despite a marked reduction in bone
formation, ascorbic acid deprivation seemed to have no effect on mineralization:
while reduced in number, normal matrix vesicles and mineralized nodules could be
seen in aa-def bones. Fine needle-like mineral crystals extended from these
mineralized nodules, and were apparently bound to collagenous fibrillar
structures. In summary, collagen mineralization seems unaffected by ascorbic acid
deficiency in spite of the fine, fragile collagenous fibrils identified in the
bones of our animal model.
PMID- 21878734
TI - Impact of lumbar kyphosis on gastric myoelectrical activity and heart rate
variability in a model using flexion posture in healthy young adults.
AB - Relationship between lumbar kyphotic deformity and gastroesophageal reflux
disease has been indicated in recent years. But it remains unclear whether
kyphotic deformity of the lumbar vertebrae affects gastric motility. Healthy
young adults (n = 20) were analyzed by recording the electrogastrography (EGG)
and heart rate variability (HRV) before and after meal with flexion and neutral
postures. Dominant power (DP) and dominant frequency (DF) of EGG and low
frequency (LF) and high frequency (HF) of HRV were analyzed in blocks of 10
minutes' duration. In neutral posture, DPs of all postprandial durations were
significantly higher than those during fasting in two channels (P < 0.05, P <
0.01). However, in flexion posture, only DP at postprandial 20 (10-20 minutes
after eating) in a channel was significantly higher than that during fasting (P <
0.05). DF in neutral posture was significantly higher than that in flexion
posture at postprandial 20 in a channel (P < 0.05). The standard deviation of DF
at postprandial 10 in flexion posture was significantly higher than that during
fasting in a channel (P < 0.05). LF/HF in neural posture was significantly higher
than that in flexion posture at postprandial 10 (P < 0.05). These findings
suggest that inhibition of stomach myoelectrical activity was induced in the
flexion posture in this lumbar kyphotic model, and autonomic activity as assessed
by HRV did not accord with that expected from EGG.
PMID- 21878735
TI - Capsiate, a non-pungent capsaicin analog, reduces body fat without weight rebound
like swimming exercise in mice.
AB - Enhancement of energy expenditure and reducing energy intake are crucial for
weight control. Capsiate, a non-pungent capsaicin analog, is known to suppress
body fat accumulation and reduce body weight by enhancing of energy expenditure
in both mice and humans. However, it is poorly understood whether suppressing
body fat accumulation by capsiate administration is equal to exercise or not. The
aim of this study is to compare the effects of repeated administration of
capsiate and exercise and to investigate the weight rebound after repeated
capsiate administration and/or exercise. In the present study, we report that 2
weeks treatment of capsiate and exercise increased energy metabolism and
suppressed body fat accumulation during 4 more weeks of ad libitum feeding. The
body weight in capsiate and exercise groups was significantly lower than that of
control group. The oxygen consumption was significanlty increased in capsiate and
exercise groups than in the vehicle administered mice. In addition, the abdominal
adipose tissue weight in capsiate and exercise groups was significantly lower
than that of control group. These results indicate that suppressing body fat
accumulation by capsiate intake is beneficial for maintaining an ideal body
weight as exercise.
PMID- 21878736
TI - Osteoinductive and anti-inflammatory effect of royal jelly on periodontal
ligament cells.
AB - Royal jelly (RJ) has been reported to possess several physiological and
pharmacological properties such as the ability to prevent osteoporosis in rats
and anti-inflammatory effects. We hypothesized that RJ could have beneficial
effects on the prevention or treatment of periodontal diseases, which are chronic
inflammatory diseases caused by bacterial infection that result in resorption of
the tooth-supporting bone. We assessed the effect of RJ on mineralization in
mouse periodontal ligament cell clone 22 (MPDL22 cells), which are of an
osteogenic and cementogenic lineage. The mRNA expression of osteopontin,
osteocalcin and osterix, and mineralized nodule formation were significantly
enhanced in RJ-treated MPDL22 cells. In addition, we investigated the effects of
RJ on the production of inflammatory cytokines from MPDL22 cells stimulated with
lipopolysaccharide (LPS) of Porphyromonas gingivalis, a periodontopathic
bacterium. RJ suppressed LPS-induced interleukin-6 and CXC chemokine ligand 10
production from MPDL22 cells. Furthermore, RJ suppressed the expression of CD54
in MPDL22 cells: CD54 is the adhesion molecule involved in the accumulation of
leukocytes in periodontal lesions. These findings suggest that the osteoinductive
and anti-inflammatory effects of RJ can provide benefits for the treatment and
prevention of periodontal diseases.
PMID- 21878737
TI - Intensified expressions of a monocarboxylate transporter in consistently renewing
tissues of the mouse.
AB - Monocarboxylates-lactate and ketone bodies-can compensate for glucose as energy
sources under certain physical conditions. To identify the main energy source
used in self-renewing tissues, expression profiles of monocarboxylate
transporters (MCTs) were mainly investigated immunohistochemically in the
gastrointestinal tract, skin, and bone marrow of mice, with reference to glucose
transporters. In the small intestine, MCT1-immunoreactive epithelial cells
accumulated in crypts with a selective immunolabeling along the basolateral
membrane of cells. BrdU-labeled dividing cells were included in the cryptal MCT1
immunoreactive foci. The skin displayed an intense and extensive immunoreactivity
for MCT1 in the hair bulge, which gives rise to the epidermis, hair, and
sebaceous gland. The stratified squamous epithelium in the esophagus contained
MCT1-immunoreactive cells in the basal layer but frequently lacked GLUT1
immunoreactive cells. The bone marrow was largely immunoreactive for MCT1 but not
for GLUT1, suggesting the active production and utilization of monocarboxylates
for hematopoiesis under hypoxic conditions. These findings support the idea that
monocarboxylates are favorite energy sources in self-renewing tissues.
PMID- 21878738
TI - Paroxysmal atrial fibrillation and administration of antiplatelet therapy are
still negative determinants of warfarin use in non-valvular atrial fibrillation
patients treated by Japanese cardiologists.
PMID- 21878739
TI - Induction of CYP1 family members under low-glucose conditions requires AhR
expression and occurs through the nuclear translocation of AhR.
AB - Cross-talk between the aryl hydrocarbon receptor (AhR) pathway and the typical
stress response is thought to be an important signal transduction in response to
nutrient-stress conditions, such as glucose deprivation in liver cells. In the
present study, we demonstrate that reduction of glucose concentration in the
medium of HepG2 cells, a human hepatocellular carcinoma cell line, induces the
CYP1 family and Nrf2. RNAi for AhR abolishes the induction of expression of CYP1
and Nrf2. These inductions are accompanied by the translocation of AhR into the
nucleus in response to low-glucose conditions. Endogenous compounds are recruited
as AhR ligands to induce various gene expressions, and our present results
suggest that an endogenous AhR ligand is produced under low-glucose conditions
and that the role of AhR as a transcription factor is related to the low-glucose
response. The recommended glucose concentration (4.5 g/L) in the medium for
culture of HepG2 was used as the high-glucose concentration in this study. We
adopted 1.0 g/L as the low-glucose condition for elucidation of mechanisms of the
stress response. These results will be useful to understand the relationship
between drug-metabolizing enzymes and mechanisms of the anti-stress response of
tumor cells, and will also be useful for investigating preventive remedies
against tumor angiogenesis.
PMID- 21878740
TI - Decreased expression of intestinal P-glycoprotein increases the analgesic effects
of oral morphine in a streptozotocin-induced diabetic mouse model.
AB - Morphine is one of the strongest analgesics and is commonly used for the
treatment of chronic pain. The pharmacokinetic properties of morphine are, in
part, modulated by P-glycoprotein (P-gp). We previously reported that intestinal
P-gp expression levels are influenced via the activation of inducible nitric
oxide synthase (iNOS) in streptozotocin (STZ)-induced diabetic mice. Herein, we
examine the analgesic effects of orally administered morphine and its
pharmacokinetic properties under diabetic conditions, specifically we focusing on
the involvement of intestinal P-gp in a type 1 diabetic mouse model. We assessed
the analgesic effect of morphine using the tail-flick test. Serum and brain
morphine levels were determined using a HPLC-ECD system. Oral morphine analgesic
effects and serum and brain morphine content were significantly increased 9 days
after STZ administration. The increase in the analgesic effects of morphine, as
well as serum and brain morphine content, was suppressed by aminoguanidine, a
specific iNOS inhibitor. Conversely, there were no changes in the analgesic
effects obtained with subcutaneous morphine in STZ-treated mice. Our findings
suggest that the analgesic effects of oral morphine are dependent on intestinal P
gp expression, and this may be one of the reasons that it is difficult to obtain
stable pharmacological effects of morphine in diabetic patients.
PMID- 21878741
TI - Prediction of the intestinal first-pass metabolism of CYP3A and UGT substrates in
humans from in vitro data.
AB - This study aimed to establish a practical and simplified method of predicting
intestinal availability in humans (F(g,human)) at the drug discovery stage using
in vitro metabolic clearance values and permeability clearance values. A
prediction model for F(g,human) of 19 CYP3A substrates and 5 UGT substrates was
constructed based on the concept that the permeability clearance values mean the
permeability across the basal membrane with a pH of 7.4 on both sides.
Permeability clearance values were obtained by parallel artificial membrane
permeability assay (PAMPA) at pH 7.4. PAMPA is widely used in the pharmaceutical
industry as the earliest primary screening stage and enables estimation of the
kinetics of transport by passive diffusion. For CYP3A substrates, the metabolic
clearance was obtained from in vitro intrinsic clearance values in human
intestinal or hepatic microsomes (CL(int,HIM) or CL(int,HLM), respectively).
Using metabolic clearances corrected by the ratio of CL(int,HIM) to CL(int,HLM),
HLM showed equivalent predictability to that of HIM for CYP3A substrates. For UGT
substrates, the clearance was obtained from alamethicin-activated HIM using one
incubation with both NADPH and UDPGA cofactors. The method proposed in this study
could predict F(g,human) for the compounds investigated and represents a
simplified method based on a new concept applicable to lower permeability
compounds.
PMID- 21878742
TI - FAP associated cribriform morular variant of PTC: striking female prevalence and
indolent course.
PMID- 21878743
TI - Decreased active GLP-1 response following large test meal in patients with type 1
diabetes using bolus insulin analogues.
AB - Postprandial plasma immunoreactive active glucagon-like peptide-1 (p-active GLP
1) levels in type 1 diabetic patients who did not use bolus insulin responded
normally following ingestion of test meal, while a small response of p-active GLP
1 levels was seen in type 2 diabetic patients. To determine whether p-active GLP
1 levels are affected by ingestion of test meal in type 1 diabetic Japanese
patients who used bolus rapid-acting insulin analogues, plasma glucose (PG),
serum immunoreactive insulin (s-IRI), serum immunoreactive C-peptide (s-CPR), and
p-active GLP-1 levels were measured 0, 30, and 60 min after ingestion of test
meal in Japanese patients without diabetic complications (n=10, group 1) and
control subjects with normal glucose tolerance (n=15, group 2). HbA1c levels were
also measured in these groups. The patients in group 1 were treated with multiple
daily injections or CSII using injections of bolus rapid-acting insulin analogues
before ingestion of test meal. There was no significant difference in mean of
sex, age, or BMI between groups. Means of HbA1c, basal and postprandial PG, and
postprandial s-IRI levels with integrated areas under curves (0-60 min) (AUC) in
group 1 were significantly higher than those in group 2. Means of basal and
postprandial s-CPR, and postprandial p-active GLP-1 levels with AUCs were
significantly lower in group 1 than in group 2. These results indicated that
postprandial p-active GLP-1 levels following ingestion of test meal in type 1
diabetic Japanese patients using bolus rapid-acting insulin analogues were
decreased relative to those in controls.
PMID- 21878744
TI - Resveratrol inhibits monocytic cell chemotaxis to MCP-1 and prevents spontaneous
endothelial cell migration through Rho kinase-dependent mechanism.
AB - AIM: Inflammatory cell recruitment and intimal neovascularization contribute to
atherosclerotic plaque destabilization. The anti-inflammatory red wine
polyphenol, resveratrol, has been implicated in cardiovascular protection. In
this study, we investigated the effects of resveratrol on endothelial and
monocytic cell migration. METHODS: Human umbilical vein endothelial cell (EC)
migration was assessed in a modified barrier assay. Chemotaxis of THP-1 monocytic
cells towards monocyte chemoattractant protein (MCP)-1 was determined using a
Boyden chamber. Erk phosphorylation downstream of MCP-1 receptor and activation
of myosin phosphatase targeting subunit 1 (pMYPT1) downstream of Rho kinase were
determined by Western blotting. RESULTS: In resveratrol-treated cells,
progressive shape elongation was observed, evident after 6h of treatment.
Treatment with resveratrol (1-20 umol/L) dose-dependently inhibited EC migration.
This effect of resveratrol was independent of nuclear factor (NF)-kappaB and
sirtuin 1, but was abrogated in the presence of Rho kinase inhibitors. Moreover,
resveratrol induced pMYPT1 activation, indicating a novel mechanism of
resveratrol activity in EC. In monocytic cells, treatment with resveratrol
significantly inhibited chemotaxis towards MCP-1 already at 1 umol/L. At a
resveratrol concentration of 10 umol/L, chemotaxis was reduced nearly to the
negative control (unstimulated with MCP-1) levels. This effect was independent of
NF-kappaB and RhoA signaling. In resveratrol treated monocytic cells, MCP-1
induced Erk phosphorylation downstream of CCR2 receptor was dose-dependently
inhibited, as observed by Western blot analysis. CONCLUSIONS: Resveratrol dose
dependently inhibited endothelial cell migration and MCP-1-induced monocytic cell
chemotaxis. This activity may contribute to the cardioprotective effects of
resveratrol by inhibition of intimal neovascularization and monocyte recruitment
into the artery wall.
PMID- 21878745
TI - Seasonal variation in Campylobacter-contaminated retail chicken products: a year
round investigation in Japan.
AB - Campylobacter was isolated from retail meat samples collected during the fiscal
year 2009 in Japan. The higher percentages of contamination of chicken products
were observed from June (39.3%) to November (83.3%). However, the highest number
of human campylobacteriosis cases was reported in June in the Infectious Agents
Surveillance Report. The chicken isolates with distinct clusters IVb and I, based
on the restriction fragment length polymorphism of the flaA gene, were
predominantly obtained during the periods between April and November 2009 and
between February and March 2010, respectively. Extensive monitoring of
Campylobacter contamination in chickens produced in various places is needed to
analyse the seasonal variations between contamination of the meat products and
the number of human cases with campylobacteriosis.
PMID- 21878746
TI - Diagnosis of fulminant pneumonia caused by Legionella pneumophila serogroup 8
with the sequence analysis of the 16S rRNA gene.
AB - Pneumonia is the fourth leading cause of death in Japan. Accurate and rapid
detection of the causative pathogen(s) is necessary and important for appropriate
antimicrobial treatment, especially in patients with rapidly progressive
pneumonia or immunocompromised patients. Conventional methods, such as
cultivations, detection of urinary antigens or PCR amplification of specific
genes, inevitably require the precise presumption of potential pathogens in each
case, and pneumonia caused by unanticipated microorganisms might lead to
inadequate antimicrobial treatments and unfortunate consequences. We herein
report an immunocompromised female patient (69 years old) with fulminant
pneumonia caused by Legionella (L.) pneumophila serogroup 8. Ordinary cultivation
methods and urinary antigen detection failed to identify the causative organisms.
Accordingly, DNA was extracted from the bronchoalveolar lavage fluid and used for
the PCR-based cloning of the bacterial 16S rRNA gene. Sequencing analysis of the
isolated clones revealed the predominance of L. pneumophila. Based on this
information, the patient received an appropriate and successful antimicrobial
treatment. In addition, L. pneumophila serogroup 8 was identified with culturing
the bronchoalveolar lavage fluid and serotyping with L. pneumophila antisera. The
16S rRNA gene sequencing analysis can reveal the potential pathogens without any
presumption about the organism, and can evaluate the kinds and ratio of bacterial
species in each specimen. In conclusion, this cultivation-independent method is a
potential diagnostic modality for pneumonia, especially in patients with rapidly
progressive pneumonia or those who are immunocompromised.
PMID- 21878747
TI - Functional electrical therapy for hemiparesis alleviates disability and enhances
neuroplasticity.
AB - Impaired motor and sensory function is common in the upper limb in humans after
cerebrovascular stroke and it often remains as a permanent disability. Functional
electrical stimulation therapy is known to enhance the motor function of the
paretic hand; however, the mechanism of this enhancement is not known. We studied
whether neural plasticity has a role in this therapy-induced enhancement of the
hand motor function in 20 hemiparetic subjects with chronic stroke (age 53 +/- 6
years; 7 females and 13 males; 10 with cerebral infarction and 10 with cerebral
haemorrhage; and time since incident 2.4 +/- 2.0 years). These subjects were
randomized to functional electrical therapy or conventional physiotherapy group.
Both groups received upper limb treatment (twice daily sessions) for two weeks.
Behavioral hand motor function and neurophysiologic transcranial magnetic
stimulation (TMS) tests were applied before and after the treatment and at 6
months follow-up. TMS is useful in assessing excitability changes in the primary
motor cortex. Faster corticospinal conduction and newly found muscular responses
were observed in the paretic upper limb in the functional electrical therapy
group but not in the conventional therapy group after the intervention.
Behaviourally, faster movement times were observed in the functional electrical
therapy group but not in the conventionally treated group. Despite the small
number of heterogeneous subjects, functional exercise augmented with
individualized electrical therapy of the paretic upper limb may enhance
neuroplasticity, observed as corticospinal facilitation, in chronic stroke
subjects, along with moderate improvements in the voluntary motor control of the
affected limb.
PMID- 21878749
TI - Synergistic efficacy of a novel combination therapy controls growth of Bcl-x(L)
bountiful neuroblastoma cells by increasing differentiation and apoptosis.
AB - Neuroblastoma is the most prevalent extracranial solid tumor mainly in pediatric
patients. We explored the efficacy of the combination of 2[(3-[2,3
dichlorophenoxy]propyl)amino]ethanol (2,3-DCPE, a small molecule inhibitor of the
anti-apoptotic protein Bcl-x(L)) and N-(4-hydroxyphenyl) retinamide (4-HPR, a
synthetic retinoid) in inducing differentiation and apoptosis in human malignant
neuroblastoma cells. Immunofluorescence confocal microscopy and flow cytometry
showed that the highest level of Bcl-x(L) expression occurred in SK-N-DZ cells
followed by SH-SY5Y and IMR-32 cells. Combination of 20 MUM 2,3-DCPE and 1 MUM 4
HPR acted synergistically in decreasing viability of SK-N-DZ and SH-SY5Y cells.
In situ methylene blue staining and protein gel blotting showed the efficacy of
this combination of drugs in inducing neuronal differentiation morphologically
and also biochemically with upregulation of the neuronal markers such as
neurofilament protein (NFP) and neuron specific enolase (NSE) and downregulation
of the differentiation inhibiting molecules such as N-Myc and Notch-1 in SK-N-DZ
and SH-SY5Y cells. Annexin V-FITC/PI staining showed the synergistic action of
this combination therapy in increasing apoptosis in both cell lines. Protein gel
blotting manifested that combination therapy increased apoptosis with
downregulation of the anti-apoptotic proteins Bcl-x(L), Bcl-2 and Mcl-1 and
upregulation of the pro-apoptotic proteins Bax, p53, Puma (p53 upregulated
modulator of apoptosis), and Noxa, ultimately causing activation of caspase-3. In
conclusion, our results appeared highly encouraging in advocating the use of 2,3
DCPE and 4-HPR as a novel combination therapy for increasing both differentiation
and apoptosis in human malignant neuroblastoma cells having Bcl-x(L)
overexpression.
PMID- 21878748
TI - Sorafenib attenuates p21 in kidney cancer cells and augments cell death in
combination with DNA-damaging chemotherapy.
AB - There are few effective therapeutic options for metastatic renal cell carcinoma
(RCC). Conventional chemotherapeutic agents are ineffective since these tumors
are unusually resistant to DNA damage, likely due to an exuberant DNA repair
response. Sorafenib, as one of the few available effective therapeutic options
for metastatic RCC, has been shown to inhibit cell proliferation by inhibition of
tyrosine kinases. We have recently shown that sorafenib inhibits soluble epoxide
hydrolase, which catalyzes metabolism of the anti-inflammatory
epoxyeicosatrienoic acids. Given previous work demonstrating the anti-apoptotic
role of p21 in RCC as a potential mechanism for its drug resistance, we asked
whether sorafenib signals through this pathway. We now show that sorafenib
markedly decreases p21 levels in several RCC and hepatocellular carcinoma cells.
Neither the MEK inhibitor PD98059 nor the sEH inhibitor t-AUCB, which represent
known sorafenib-targeted signaling pathways, alter p21 levels, demonstrating that
the p21 inhibitory effect of sorafenib is independent of these signaling
cascades. In cells treated with doxorubicin to augment p21, sorafenib markedly
decreases this protein, and the combinations of paclitaxel or doxorubicin with
sorafenib show additive cytotoxicity as a function of the VHL status of the
cells, suggesting that lower doses of each agent could be used in the clinical
setting. In summary, we show a novel signaling pathway by which sorafenib exerts
its salutary effects in RCC; future work will focus on the use of these drug
combinations in the context of conventional therapeutics, and novel compounds and
protocols targeting p21 in conjunction with sorafenib should be pursued.
PMID- 21878750
TI - Development of a biomimetic peptide derived from collagen IV with anti-angiogenic
activity in breast cancer.
AB - Breast cancer is one of the most commonly diagnosed malignancies in women.
Despite the remarkable success of mammography screening and use of adjuvant
systemic therapy, it is estimated that approximately 200,000 new diagnoses will
be made this year and 40,000 deaths will occur due to this disease (American
Cancer Society). Angiogenesis, the growth of vessels from pre-existing
microvasculature, is an essential component of tumor progression and has emerged
as a therapeutic modality for anti-angiogenic therapies in cancer. Here we report
in vitro and in vivo findings with a 20 amino acid peptide belonging to the
collagen IV family, modified to facilitate possible translation to clinical
applications. The two cysteines in its natural peptide progenitor were replaced
by L-alpha-amino-n-butyric acid, a non-natural amino acid. The modified peptide
was tested in vitro using endothelial cells and in vivo using mouse orthotopic
breast cancer xenograft model with MDA-MB-231 human breast cancer cells. This
modified peptide demonstrated no significant changes in activity from the parent
peptide; however, because it lacks cysteines, it is more suitable for clinical
translation. We also investigated its efficacy in combination with a commonly
used chemotherapeutic agent paclitaxel; the inhibition of tumor growth by the
peptide was similar to that of paclitaxel alone, but the combination did not
exhibit any additional inhibition. We have performed further characterization of
the mechanism of action (MOA) for this peptide to identify its target receptors,
enhancing its translation potential as an anti-angiogenic, non-vascular
endothelial growth factor (VEGF) targeting agent for therapy in breast cancer.
PMID- 21878751
TI - MicroRNA-30c promotes human adipocyte differentiation and co-represses PAI-1 and
ALK2.
AB - Obesity is characterized by excessive adipose tissue mass and associated with
type 2 diabetes and cardiovascular diseases. To fight obesity and its sequels,
elucidating molecular events that govern adipocyte differentiation and function
is of key importance. MicroRNAs (miRNAs) are a novel class of non-coding,
regulatory RNAs that have been shown to regulate crucial cellular processes,
including differentiation. Several studies have already assigned miRNAs to
distinct functions in murine adipocyte differentiation but only a few studies did
so for humans. Here, we investigated the function of miR-30c in human
adipogenesis. miR-30c expression was increased during adipogenesis of human
multipotent adipose-derived stem (hMADS) cells, and miR-30c overexpression
enforced adipocyte marker gene induction and triglyceride accumulation. miRNA
target prediction revealed two putative direct targets of miR-30c, PAI-1
(SERPINE1) and ALK2 (ACVR1, ACTRI), both inversely regulated to miR-30c during
adipogenesis and responsive to miR-30c overexpression. Luciferase reporter assays
confirmed PAI-1 and ALK2 as direct miR-30c targets. Moreover, reciprocal
expression between miR-30c and PAI-1 could also be demonstrated in white adipose
tissue of obesity mouse models, suggesting a potential physiological role of miR
30c for PAI-1 regulation in the obese state. Validating PAI-1 and ALK-2 as miR
30c mediators in adipogenesis revealed that not single silencing of PAI-1 or
ALK2, but only co-silencing of both phenocopied the pro-adipogenic miR-30c
effect. Thus, miR-30c can target two, so far not interconnected genes in distinct
pathways, supporting the idea that miRNAs might coordinate larger regulatory
networks than previously anticipated.
PMID- 21878753
TI - Current world literature.
PMID- 21878755
TI - Is there a path for approval of an antiobesity drug: what did the Sibutramine
Cardiovascular Outcomes Trial find?
AB - PURPOSE OF REVIEW: Obesity continues to increase in prevalence in the USA and
throughout the world. It is clearly a major contributor to morbidity and
mortality. Unfortunately, effective prevention strategies are few. As a
contributor to cardiovascular disease, obesity is an important treatment
objective. However, before approval, all drugs must meet safety and efficacy
concerns of the US Food and Drug Administration. RECENT FINDINGS: Since July
2010, the Food and Drug Administration's Endocrine and Metabolic Advisory
Committee has reviewed three new drug applications and one previously approved
drug for the treatment of obesity. This review examines in detail the Advisory
Committee's consideration of the risk-benefit equation of the four drugs with a
concentration on sibutramine and its key study, Sibutramine Cardiovascular
Outcomes Trial. SUMMARY: Future development of drugs for the treatment of obesity
will be dependent on whether they can survive review for safety and
effectiveness. The Food and Drug Administration continues to be highly concerned
with proposed obesity drugs increasing cardiovascular or any risks and may
require changes to clinical research protocols.
PMID- 21878756
TI - Current world literature.
PMID- 21878757
TI - To the heroes and professionals who helped protect the world from nuclear
disasters and to those who were displaced by these catastrophes.
PMID- 21878758
TI - Special issue introduction. The Chernobyl Center for Nuclear Safety, Radioactive
Waste and Radioecology.
PMID- 21878759
TI - Overview of the cooperation between the Chernobyl Center's International
Radioecology Laboratory in Slavutych, Ukraine, and U.S. research centers between
2000 and 2010.
AB - The International Radioecology Laboratory (IRL) located in Slavutych, Ukraine,
was created in 1999 under the initiative of the United States Government and the
Government of Ukraine in the framework of international cooperation on evaluation
and minimization of consequences of the Chernobyl nuclear power plant (ChNPP)
accident. Since the time the IRL was founded, it has participated in a large
number of projects, including the following: 1) study of radionuclide
accumulation, distribution, and migration in components of various ecological
systems of the Chernobyl Exclusion Zone (ChEZ); 2) radiation dose assessments; 3)
study of the effects of radiation influence on biological systems; 4) expert
analysis of isotopic and quantitative composition of radioactive contaminants; 5)
development of new methods and technologies intended for radioecological
research; 6) evaluation of future developments and pathways for potential
remediation of the ChEZ areas; 7) assistance in provision of physical protection
systems for ionizing irradiation sources at Ukrainian enterprises; 8) reviews of
open Russian language publications on issues associated with consequences of the
ChNPP accident, radioactive waste management, radioecological monitoring, and
ChNPP decommissioning; 9) conduct of training courses on problems of
radioecology, radiation safety, radioecological characterization of test sites
and environmental media, and research methods; 10) conduct of on-site scientific
conferences and workshops on the ChEZ and radioecology problems; participation in
off-site scientific conferences and meetings; and 11) preparation of scientific
and popular science publications and interactions with mass media
representatives. This article provides a brief overview of the major achievements
resulting from this cooperation between the IRL and U.S. research centers.
PMID- 21878760
TI - Radiation dose assessment for the biota of terrestrial ecosystems in the
shoreline zone of the Chernobyl nuclear power plant cooling pond.
AB - Radiation exposure of the biota in the shoreline area of the Chernobyl Nuclear
Power Plant Cooling Pond was assessed to evaluate radiological consequences from
the decommissioning of the Cooling Pond. This paper addresses studies of
radioactive contamination of the terrestrial faunal complex and radionuclide
concentration ratios in bodies of small birds, small mammals, amphibians, and
reptiles living in the area. The data were used to calculate doses to biota using
the ERICA Tool software. Doses from 90Sr and 137Cs were calculated using the
default parameters of the ERICA Tool and were shown to be consistent with biota
doses calculated from the field data. However, the ERICA dose calculations for
plutonium isotopes were much higher (2-5 times for small mammals and 10-14 times
for birds) than the doses calculated using the experimental data. Currently, the
total doses for the terrestrial biota do not exceed maximum recommended levels.
However, if the Cooling Pond is allowed to draw down naturally and the
contaminants of the bottom sediments are exposed and enter the biological cycle,
the calculated doses to biota may exceed the maximum recommended values. The
study is important in establishing the current exposure conditions such that a
baseline exists from which changes can be documented following the lowering of
the reservoir water. Additionally, the study provided useful radioecological data
on biota concentration ratios for some species that are poorly represented in the
literature.
PMID- 21878761
TI - Vertical migration of radionuclides in the vicinity of the chernobyl confinement
shelter.
AB - Studies of vertical migration of Chernobyl-origin radionuclides in the 5-km zone
of the Chernobyl Nuclear Power Plant (ChNPP) in the area of the Red Forest
experimental site were completed. Measurements were made by gamma spectrometric
methods using high purity germanium (HPGe) detectors with beryllium windows.
Alpha-emitting isotopes of plutonium were determined by the measurement of the x
rays from their uranium progeny. The presence of 60Co, 134,137Cs, 154,155Eu, and
241Am in all soil layers down to a depth of 30 cm was observed. The presence of
137Cs and 241Am was noted in the area containing automorphous soils to a depth of
60 cm. In addition, the upper soil layers at the test site were found to contain
243Am and 243Nm. Over the past 10 years, the 241Am/137Cs ratio in soil at the
experimental site has increased by a factor of 3.4, nearly twice as much as would
be predicted based solely on radioactive decay. This may be due to "fresh"
fallout emanating from the ChNPP Confinement Shelter.
PMID- 21878762
TI - Assessment of the radionuclide composition of "hot particles" sampled in the
Chernobyl nuclear power plant fourth reactor unit.
AB - Fuel-containing materials sampled from within the Chernobyl Nuclear Power Plant
(ChNPP) Unit 4 Confinement Shelter were spectroscopically studied for gamma and
alpha content. Isotopic ratios for cesium, europium, plutonium, americium, and
curium were identified, and the fuel burn-up in these samples was determined. A
systematic deviation in the burn-up values based on the cesium isotopes in
comparison with other radionuclides was observed. The studies conducted were the
first ever performed to demonstrate the presence of significant quantities of
242Cm and 243Cm. It was determined that there was a systematic underestimation of
activities of transuranic radionuclides in fuel samples from inside of the ChNPP
Confinement Shelter, starting from 241Am (and going higher) in comparison with
the theoretical calculations.
PMID- 21878763
TI - Effects of ionizing radiation on the antioxidant system of microscopic fungi with
radioadaptive properties found in the Chernobyl exclusion zone.
AB - Some microscopic fungi found in the area of the Chernobyl Exclusion Zone appear
to have unique radioadaptive properties associated with their capability to
respond positively to the effects of ionizing irradiation. On the one hand, this
capability can be used potentially in bio-remediation technologies, and on the
other hand, it requires additional, more thorough studies to identify its
underlying mechanisms. Practically, no data are currently available on mechanisms
for implementation of these radioadaptive properties by microscopic fungi. The
objective of the completed study was to evaluate the functioning of the
antioxidant system of a microscopic fungus as one of potential mechanisms for
implementation of its radioadaptive properties. The study was performed using a
model system simulating the soil radioactivity in the 5-km zone around the
Chernobyl Nuclear Power Plant, with the ratio of the radioactive isotopes
matching the radionuclide content in the fuel component of the Chernobyl fallout.
The completed study was the first ever performed to identify a comprehensive
response of the major components of the antioxidant system of the microscopic
fungi to ionizing radiation, resulting in an induced melanin synthesis and
increased activity of the known enzymes of antioxidant protection. Their response
to ionizing radiation depended on the presence or absence of radioadaptive
properties and phase of the fungal growth. Fungi with radioadaptive properties
have a much higher susceptibility for inducing synthesis of melanin and
antioxidant enzymes than fungi without radioadaptive properties (hereinafter
referred to as the reference species or strains), which illustrates the
contribution of these processes to "radiophilia" of the fungi.
PMID- 21878764
TI - Method for simultaneous 90Sr and 137Cs in-vivo measurements of small animals and
other environmental media developed for the conditions of the Chernobyl exclusion
zone.
AB - To perform in vivo simultaneous measurements of the 90Sr and 137Cs content in the
bodies of animals living in the Chernobyl Exclusion Zone (ChEZ), an appropriate
method and equipment were developed and installed in a mobile gamma beta
spectrometry laboratory. This technique was designed for animals of relatively
small sizes (up to 50 g). The 90Sr content is measured by a beta spectrometer
with a 0.1-mm-thick scintillation plastic detector. The spectrum processing takes
into account the fact that the measured object is "thick-layered" and contains a
comparable quantity of 137Cs, which is a characteristic condition of the ChEZ.
The 137Cs content is measured by a NaI scintillation detector that is part of the
combined gamma beta spectrometry system. For environmental research performed in
the ChEZ, the advantages of this method and equipment (rapid measurements,
capability to measure live animals directly in their habitat, and the capability
of simultaneous 90Sr and 137Cs measurements) far outweigh the existing
limitations (considerations must be made for background radiation and the animal
size, skeletal shape, and body mass). The accuracy of these in vivo measurements
is shown to be consistent with standard spectrometric and radiochemical methods.
Apart from the in vivo measurements, the proposed methodology, after a very
simple upgrade that is also described in this paper, works even more accurately
with samples of other media, such as soil and plants.
PMID- 21878765
TI - Chronic irradiation of Scots pine trees (Pinus sylvestris) in the Chernobyl
exclusion zone: dosimetry and radiobiological effects.
AB - To identify effects of chronic internal and external radiation exposure for
components of terrestrial ecosystems, a comprehensive study of Scots pine trees
in the Chernobyl Exclusion Zone was performed. The experimental plan included
over 1,100 young trees (up to 20 y old) selected from areas with varying levels
of radioactive contamination. These pine trees were planted after the 1986
Chernobyl Nuclear Power Plant accident mainly to prevent radionuclide
resuspension and soil erosion. For each tree, the major morphological parameters
and radioactive contamination values were identified. Cytological analyses were
performed for selected trees representing all dose rate ranges. A specially
developed dosimetric model capable of taking into account radiation from the
incorporated radionuclides in the trees was developed for the apical meristem.
The calculated dose rates for the trees in the study varied within three orders
of magnitude, from close to background values in the control area (about 5 mGy y(
1)) to approximately 7 Gy y(-1) in the Red Forest area located in the immediate
vicinity of the Chernobyl Nuclear Power Plant site. Dose rate/effect
relationships for morphological changes and cytogenetic defects were identified,
and correlations for radiation effects occurring on the morphological and
cellular level were established.
PMID- 21878766
TI - Frequency distributions of 90Sr and 137Cs concentrations in an ecosystem of the
"Red Forest" area in the Chernobyl exclusion zone.
AB - In the most highly contaminated region of the Chernobyl Exclusion Zone, the "Red
Forest" site, the accumulation of the major dose-affecting radionuclides (90Sr
and 137Cs) within the components of an ecological system encompassing 3,000 m(2)
was characterized. The sampled components included soils (top 0-10 cm depth),
Molina caerulea (blue moor grass), Camponotus vagus (carpenter ants), and
Pelobates fuscus (spade-footed toad). In a comparison among the components of
this ecosystem, the 90Sr and 137Cs concentrations measured in 40 separate grids
exhibited significant differences, while the frequency distribution of the values
was close to a logarithmically-normal leptokurtic distribution with a significant
right-side skew. While it is important to identify localized areas of high
contamination or "hot spots," including these values in the arithmetic mean may
overestimate the exposure risk. In component sample sets that exhibited
logarithmically normal distribution, the geometric mean more accurately
characterizes a site. Ideally, risk assessment is most confidently achieved when
the arithmetic and geometric means are most similar, meaning the distribution
approaches normal. Through bioaccumulation, the highest concentrations of 90Sr
and 137Cs were measured in the blue moor grass and spade-footed toad. These
components also possessed distribution parameters that shifted toward a normal
distribution.
PMID- 21878767
TI - Radiation ecology issues associated with murine rodents and shrews in the
Chernobyl exclusion zone.
AB - This article describes major studies performed by the Chernobyl Center's
International Radioecology Laboratory (Slavutich, Ukraine) on radioecology of
murine rodents and shrews inhabiting the Chernobyl Exclusion Zone. The article
addresses the long-term (1986-2005) and seasonal dynamics of radioactive
contamination of animals and reviews interspecies differences in radionuclide
accumulations and factors affecting the radionuclide accumulations. It is shown
that bioavailability of radionuclides in the "soil-to-plant" chain and a trophic
specialization of animals play key roles in determining their actual
contamination levels. The total absorbed dose rates in small mammals
significantly reduced during the years following the Chernobyl Nuclear Power
Plant accident. In 1986, the absorbed dose rate reached 1.3-6.0 Gy h(-1) in the
central areas of the Chernobyl Exclusion Zone (the "Red Forest"). In 1988 and
1990, the total absorbed dose rates were 1.3 and 0.42 Gy h(-1), respectively. In
1995, 2000, and 2005, according to the present study, the total absorbed dose
rates rarely exceeded 0.00023, 0.00018, and 0.00015 Gy h(-1), respectively.
Contributions of individual radiation sources into the total absorbed dose are
described.
PMID- 21878768
TI - Radioactive waste management in the Chernobyl exclusion zone: 25 years since the
Chernobyl nuclear power plant accident.
AB - Radioactive waste management is an important component of the Chernobyl Nuclear
Power Plant accident mitigation and remediation activities in the so-called
Chernobyl Exclusion Zone. This article describes the localization and
characteristics of the radioactive waste present in the Chernobyl Exclusion Zone
and summarizes the pathways and strategy for handling the radioactive waste
related problems in Ukraine and the Chernobyl Exclusion Zone and, in particular,
the pathways and strategies stipulated by the National Radioactive Waste
Management Program.
PMID- 21878772
TI - 200th volume of the Journal of Nervous and Mental Disease.
PMID- 21878769
TI - Environmental radiation monitoring in the Chernobyl exclusion zone--history and
results 25 years after.
AB - This paper describes results of the radiation environmental monitoring performed
in the Chernobyl Exclusion Zone (ChEZ) during the period following the 1986
Chernobyl Nuclear Power Plant accident. This article presents a brief overview of
five comprehensive reports generated under Contract No. DE-AC09-96SR18500
(Washington Savannah River Company LLC, Subcontract No. AC55559N, SOW No. ON8778)
and summarizes characteristics of the ChEZ and its post-accident status. The
history of development of the radiation monitoring research in the ChEZ is
described also. This paper addresses the characteristics of radiation monitoring
in the ChEZ, its major goals and objectives, and changes in these goals and
objectives in the course of time, depending on the tasks associated with the
phase of mitigation of the ChNPP accident consequences. The results of the
radiation monitoring in the ChEZ during the last 25 years are also provided.
PMID- 21878773
TI - Merits and motives of the movement for asylum reform. 1878. J Nerv Ment Dis.
5(4):694-714.
PMID- 21878774
TI - A premature obituary: Edward C. Spitzka and the American psychiatry of 1878.
AB - On March 4, 1878, at a meeting of the New York Neurological Society, Edward C.
Spitzka delivers a blistering attack on psychiatry, basically dismissing all
alienists as incompetent and indicating that "the study of insanity should be
considered a subdivision of neurology." This address was published in the Journal
of Nervous and Mental Disease in 1878 and reproduced in the current issue of the
journal.Spitzka asserts that "nothing worthy of notice has proceeded from our
insane asylums" and that asylum superintendents may be experts in many things,
but they are not experts in the "diagnosis, pathology, and treatment of
insanity." To ascertain whether or not Spitzka's characterizations were correct,
I examined the medical and psychiatric literature published in English only in
1878.This review indicates that Spitzka was largely wrong. Research was not what
it should have been, and the alienists (psychiatrists of that era) knew it.
American alienists, however, were otherwise engaged in all manner of
deliberations about important issues of the day relevant to the practice of
psychiatry. In fact, to an absolutely remarkable degree, the issues of 1878 are
the same as those of American psychiatry in the 21st century. That might say much
more about psychiatry than Spitzka could ever have known 133 years ago.
PMID- 21878775
TI - Asylum reform and the great comeuppance of 1894--or was it?
AB - The July 1894 issue of Journal of Nervous and Mental Disease published S. Weir
Mitchell's address to the 50th meeting of asylum superintendents, the American
Medico-Psychological Association, which had convened in Philadelphia in May. A
reluctant but unapologetic Mitchell criticized the asylum doctors for their
isolationism and backward ways. This article reviews Mitchell's critique of
psychiatry and the responses to it during the next 50 years. Analyses of the
critique by Adolf Meyer and others show that reform was already underway in 1894.
Although Mitchell is often credited with delivering psychiatry a wake-up call, it
is equally feasible that he was merely channeling the organic reforms from within
the profession.
PMID- 21878776
TI - Predictors of treatment response in Canadian combat and peacekeeping veterans
with military-related posttraumatic stress disorder.
AB - Military-related posttraumatic stress disorder (PTSD) is a significant
psychiatric condition associated with severe psychosocial dysfunction. This study
examined the predictors of treatment outcome in a group of veterans with military
related PTSD. Participants were 102 Canadian combat and peacekeeping veterans who
received treatment at a specialized outpatient clinic for veterans with
psychiatric disorders resulting from military operation. Analysis demonstrated a
significant decrease in PTSD severity during the 1-year period (Yuan-Bentler chi
[86, N = 99] = 282.45, p < 0.001). We did not find chronicity, alcohol use, and
anxiety or depression severity as significant predictors for PTSD symptom
decline. However, initial depression significantly predicted anxiety symptom
decline, and initial anxiety predicted depression symptom decline. This study
demonstrated that, despite considerable comorbidity, significant treatment gains,
including remission of PTSD, can be achieved in an outpatient setting in veterans
with chronic military-related PTSD.
PMID- 21878777
TI - Effects of chronic posttraumatic stress disorder on metabolic risk, quality of
life, and stress hormones in aging former refugee children.
AB - It is still unclear whether the association between traumatic stress and physical
disease is mediated by posttraumatic stress disorder (PTSD). Therefore, we
examined the long-term consequences of PTSD on cardiovascular risk, stress
hormones, and quality of life in a sample of former refugee children who were
severely traumatized more than six decades ago. In 25 subjects with chronic PTSD
and 25 trauma-controlled subjects, we measured the variables of metabolic
syndrome supplemented by the ankle-brachial index and highly sensitive C-reactive
protein. Quality of life was assessed using the 36-item Short-Form Health Survey.
Cortisol, adrenocorticotropin-releasing hormone (ACTH), and
dehydroepiandrosterone (DHEA) were measured using the low-dose-dexamethasone
suppression test. In addition, salivary cortisol was assessed at 8:00 a.m., 12:00
p.m., 4:00 p.m., and 8:00 p.m. We found a significant group effect between
participants with and without PTSD regarding quality of life but not in any
metabolic parameter including the ankle-brachial index or cortisol, ACTH, and
DHEA in plasma before and after dexamethasone or salivary cortisol. The
postulated association between traumatic stress and physical illness does not
appear to be mediated by PTSD in this population. Nevertheless, the search for
subgroups of PTSD patients with childhood traumatization leading to different
metabolic and endocrine long-term consequences in aging PTSD patients is needed.
PMID- 21878778
TI - Developing treatments of persistent persecutory delusions: the impact of an
emotional processing and metacognitive awareness intervention.
AB - Worry has been implicated in increasing the levels of distress associated with
persecutory delusions. It may partly cause this distress via the impediment of
emotional processing of upsetting experiences. The clinical implication is that
enhancing emotional processing of paranoid experiences will reduce distress. We
therefore piloted a new brief intervention-the Emotional Processing and
Metacognitive Awareness (EPMA)-on 12 patients with persistent persecutory
delusions. The intervention was predominately influenced by written emotional
disclosure and lasted for three sessions. The delusions were assessed at
baseline, preintervention and postintervention and during a one-month follow-up.
It was found that EPMA particularly reduced levels of delusion distress, and this
was maintained at follow-up. The effect sizes were large but were likely
overestimated given the absence of a control group and assessments that were not
blind. These preliminary findings suggest that simply encouraging patients to
talk, in the right way, about their delusions can be beneficial.
PMID- 21878779
TI - A two-year cross-sectional study on the information about schizophrenia divulged
by a prestigious daily newspaper.
AB - Media is an important source of information about mental health for the public.
The current study analyzed the information about schizophrenia divulged by the
largest Brazilian newspaper. A content analysis examined articles on health and
news involving affected individuals or suspected cases. The articles were rated
against indicators of poor quality reporting and of effective health
communication. The presence of myths was examined. The search identified 687
articles, 75 of which fulfilled the inclusion/exclusion criteria and were
selected. The themes with the highest number of articles were mental disorders
and violence, treatment, and etiology. Three articles described the social
inclusion stories of affected individuals. The coverage addressed genetic
factors, drug-induced psychosis risk, and antipsychotic benefits, which may
contribute to stigma reduction toward treatment. However, the articles divulged
stigmatizing messages, and the entire complexity of the disorder was not
discussed. Dangerousness was a common theme, which may invalidate positive
messages about social inclusion.
PMID- 21878780
TI - Self-perceived needs are related to violent behavior among schizophrenia
outpatients.
AB - This study assessed the relationship between self-perceived clinical and social
needs and aggressive behavior in outpatients with schizophrenia. A total of 895
outpatients with schizophrenia were enrolled. The presence of aggressive episodes
was assessed using the Modified Overt Aggression Scale. Self-perceived needs were
assessed using the Camberwell Assessment of Need in six areas of needs (food,
household skills, self-care, daytime activities, psychotic symptoms, satisfaction
with treatment, and company). The most common areas of needs were "psychotic
symptoms" (81.6%), "daytime activities" (60.6%), and "household skills" (57.5%).
More needs were expressed by patients who had more severe illnesses (p < 0.001)
and more aggressive behavior (p < 0.001). Multivariate analysis showed that, in
schizophrenia outpatients, self-perceived needs were associated with aggressive
behavior (adjusted odds ratio, 11.43; 95% confidence interval, 5.11 to 25.56).
Appropriate compliance with antipsychotic treatment was related with lower
aggressive behavior (p < 0.001).
PMID- 21878781
TI - Intrinsic motivation as a predictor of work outcome after vocational
rehabilitation in schizophrenia.
AB - Intrinsic motivation is a construct commonly used in explaining goal-directed
behavior. In people with schizophrenia, intrinsic motivation is usually subsumed
as a feature of negative symptoms or underlying neurocognitive dysfunction. A
growing literature reflects an interest in defining and measuring motivational
impairment in schizophrenia and in delineating the specific role of intrinsic
motivation as both an independent predictor and a mediator of psychosocial
functioning. This cross-sectional study examined intrinsic motivation as a
predictor of vocational outcomes for 145 individuals with schizophrenia and
schizoaffective disorder participating in a 6-month work rehabilitation trial.
Correlation and mediation analyses examined baseline intrinsic motivation and
negative symptoms in relation to work hours and work performance. Data support a
significant relationship between intrinsic motivation and negative symptoms and
significant correlations with outcome variables, such that lower negative
symptoms and greater intrinsic motivation were associated with better work
functioning. Moreover, in this sample, intrinsic motivation fully mediated the
relationships between negative symptoms, work productivity, and work performance.
These results have significant implications on the design of work rehabilitation
interventions for people with schizophrenia and support a role for targeting
intrinsic motivation directly to influence vocational functioning. Future
directions for research and intervention are discussed.
PMID- 21878782
TI - Telephone depression care management for Latino Medicaid health plan members: a
pilot randomized controlled trial.
AB - The objective of this pilot study was to provide a preliminary test of
feasibility, acceptability, and efficacy of telephone depression care management
among Latino Medicaid health plan members. Thirty-eight depressed primary care
patients were enrolled in a pilot randomized trial of telephone depression care
management + treatment as usual (TAU) versus TAU only. Bilingual care managers
conducted care management for 3 months following an antidepressant prescription.
For 1 year, research staff attempted to contact 929 potentially eligible members
and enrolled 38. Qualitative analyses suggested that, of the participants we
interviewed, most expressed satisfaction with the program. Participants suggested
ways to improve recruitment, such as face-to-face contact. When compared with the
group receiving TAU, there was a trend for the intervention group to experience
less depression in time. This pilot study suggests that this program may be
promising; however, there is need to investigate ways to better reach those who
might find the program helpful.
PMID- 21878783
TI - The effects of neuroticism, extraversion, and positive and negative life events
on a one-year course of depressive symptoms in euthymic previously depressed
patients versus healthy controls.
AB - We investigated a) the concurrent impact of positive and negative life events on
the course of depressive symptoms in persons remitted from depression and healthy
controls, b) whether the impact of life events on symptom course is moderated by
the history of depression and the personality traits of neuroticism and
extraversion, and c) whether life events mediate possible relationships of
history of depression and personality traits with symptom course. Using data from
the Netherlands Study of Depression and Anxiety, we examined 239 euthymic
participants with a previous depressive disorder based on DSM-IV and 450 healthy
controls who completed a) baseline assessments of personality dimensions (NEO
Five-Factor Inventory) and depression severity (Inventory of Depressive Symptoms
[IDS]) and b) 1-year follow-up assessments of depression severity and the
occurrence of positive and negative life events during the follow-up period (List
of Threatening Events Questionnaire). Remitted persons reported higher IDS scores
at 1-year follow-up than did the controls. Extraversion and positive and negative
life events independently predicted the course of depressive symptoms. The impact
of life events on symptom course was not moderated by history of depression or
personality traits. The effect of extraversion on symptom course was partly
caused by differential engagement in positive life events.
PMID- 21878784
TI - Alexithymia in obsessive-compulsive disorder: clinical correlates and symptom
dimensions.
AB - Previous studies have indicated that obsessive-compulsive disorder (OCD) is
associated with alexithymic traits. The purpose of the current study was to
evaluate the difference of alexithymia in OCD patients and healthy controls. This
study was also designed to elucidate a specific link between certain OCD symptom
dimensions and alexithymia. Forty-five patients with OCD and 45 healthy controls
completed measures of the OCD symptom severity, alexithymia, anxiety, and
depression. Patients with OCD had significantly higher scores of alexithymia than
did the healthy controls. Multiple regression analysis revealed that age at onset
and the level of anxiety were significantly associated with alexithymia.
"Sexual/religious obsessions" was the only symptom dimension that showed a
positive association with alexithymia in OCD patients. These findings suggest
that OCD patients with a high level of anxiety and an early age of onset may have
greater alexithymic tendency. We also found the first evidence for a specific
link between sexual/religious obsessions and alexithymia in patients with OCD.
PMID- 21878785
TI - Selective attention in depression: influence of emotionality and personal
relevance.
AB - Selective attention to negative stimuli has been discussed as being an essential
characteristic of depressive disorder. Theories and empirical data, however, are
contradictory. The present study addressed the question of whether depressive
patients selectively attend to negatively valenced and personally relevant or
irrelevant stimuli and whether they habituate to these stimuli. Thirty-one
inpatients with major depressive disorder and 37 healthy controls participated in
the study. They underwent a modification of the emotional Stroop paradigm. The
results indicated that personally relevant stimuli evoked more pronounced Stroop
interference than did stimuli without personal relevance in all subjects.
Furthermore, habituation to personally relevant negative stimuli was seen in both
depressive patients and control subjects. The present findings question a
generally negative attentional bias as being a specific characteristic of
depressive disorder. Furthermore, as depressed patients habituated to personally
relevant negative stimuli, exposure therapy might be suitable for the treatment
of depressive disorder.
PMID- 21878786
TI - The journey through cannabis use: a qualitative study of the experiences of young
adults with psychosis.
AB - The present study explored the personal experiences of cannabis and psychosis
among young adults, including the reasons and meanings of cannabis use and the
perceived relationship between cannabis and mental health. Interviews with seven
young adults with psychosis who described regular current or past cannabis use
were conducted and analyzed using Interpretative Phenomenological Analysis. Four
master themes emerged: The Journey Through Cannabis Use, The Social and Cultural
World, The Struggle to Make Sense, and The Depths and Beyond. Respondent
validation supported these themes, particularly the idea of cannabis use as a
journey that changed in time. Social and cultural factors clearly influenced the
initiation of and decision whether to continue using cannabis. Individuals could
simultaneously hold positive and negative views on using cannabis. Implications
for clinical interventions are explored, and the relevance of motivational
interviewing and the stages of change models of behavior change are noted.
PMID- 21878787
TI - Auditory hallucinations and posttraumatic stress disorder within schizophrenia
and substance abuse.
AB - There is a high prevalence of traumatic events within individuals diagnosed with
schizophrenia and of auditory hallucinations within individuals diagnosed with
posttraumatic stress disorder (PTSD). However, the relationship among the
symptoms associated with these disorders remains poorly understood. We conducted
a multidimensional assessment of auditory hallucinations within a sample
diagnosed with schizophrenia and substance abuse, both with and without comorbid
PTSD. The results suggest a rate of comorbid PTSD similar to those reported in
other studies. Patients who have comorbid PTSD reported more distressing auditory
hallucinations. However, the hallucinations were not more frequent or of longer
duration. The need for a multidimensional assessment is supported. The results
are discussed within current theoretical accounts of traumatic psychosis.
PMID- 21878788
TI - Change in defense mechanisms during short-term dynamic and cognitive therapy in
patients with cluster C personality disorders.
AB - The aims of this study were to examine whether a change in overall defensive
functioning during treatment a) would predict change in symptom distress during
the course of treatment and follow-up and b) would be greater in short-term
dynamic therapy than in cognitive therapy. Patients (N = 50) who met criteria for
cluster C personality disorders were randomized to 40 weekly sessions of short
term dynamic therapy or cognitive therapy. Video recordings of a pretreatment
interview and therapy session 36 were evaluated using the Defense Mechanisms
Rating Scales. Symptom distress was measured using the revised version of Symptom
Checklist-90. Change in overall defensive functioning during treatment predicted
change in symptom distress from pretreatment to 2 years after treatment. Both
treatment groups showed significant changes in defensive functioning toward
greater adaptability but without any significant differences between the short
term dynamic therapy and cognitive therapy groups in a sample of patients with
cluster C personality disorders.
PMID- 21878789
TI - Increased anger is associated with increased hemispheric asymmetry: support for
anger-tympanic membrane relationships.
AB - We recently reported that increased anger/hostility is associated with an
increased imbalance of hemispheric activity, regardless of which particular
hemisphere is more active (as indicated by increased absolute difference in
temperature between the right and left tympanic membrane (ar-lTMT; Propper et
al., J Nerv Ment Dis 198:691-694, 2010). In that study, we examined baseline
levels of emotion and ar-lTMT; in this study, we used sustained unilateral gaze
to manipulate hemispheric activity to further investigate the nature of the
relationship between anger, ar-lTMT, and hemispheric imbalance. Both rightward
(significantly) and leftward (modestly) sustained unilateral gaze increased
anger, providing further evidence that anger is associated with the asymmetry of
hemispheric activation. We also support our previous work demonstrating a
relationship between increased anger and increased ar-lTMT. This is the second
study supporting the use of ar-lTMT as a simple and convenient measure of
hemispheric activation and as an objective correlate of anger.
PMID- 21878790
TI - Visual mismatch negativity and its importance in visual cognitive sciences.
AB - This review paper on visual mismatch negativity (MMN), an event-related brain
potential component, provides arguments in favor of its theoretical importance in
visual cognitive sciences. We propose that (a) previous visual MMN findings can
be regarded as ample evidence for the existence of unintentional prediction about
the next state of a visual object in the immediate future on the basis of its
temporal context ('unintentional temporal-context-based prediction in vision');
(b) such predictive processes may be qualitatively similar to those revealed by
behavioral phenomena, such as representational momentum, flash-lag effect, and
perceptual sequence learning; (c) such predictive processes may provide
advantages for our adaptation to the visual environment at the computational,
neural, and behavioral levels, and (d) in concert with such behavioral phenomena,
visual MMN could be a unique and powerful tool for tapping into the predictive
power of the human visual system.
PMID- 21878791
TI - Neural correlates of sentence reading in children with reading difficulties.
AB - In contrast to word-level skills, the neural basis of sentence comprehension in
children with reading difficulties is not well understood. Using magnetic source
imaging, we investigated the spatiotemporal dynamics of regional activity
associated with silent passage reading in nonimpaired and students with reading
difficulties. The latter exhibited underactivation of the temporoparietal and
visual cortices, bilaterally, and of the left posterior cingulate region. Late
activity in left temporoparietal and ventral occipitotemporal regions was found
to be a significant predictor of individual reading ability in nonimpaired, but
not in students with reading difficulties. These findings support the notion that
reduced temporoparietal activation during word reading in context, is a hallmark
of the functional deficit in reading disability.
PMID- 21878792
TI - Neural basis of pleasant and unpleasant emotions induced by social reputation.
AB - We used positron emission tomography to identify brain regions involved in the
processing of emotions induced by social reputation from others. During positron
emission tomographic scanning, individuals were presented with either a positive
or a negative social reputation combined with face photographs of persons whom
the individuals either liked or disliked. Behavioral results revealed that a
positive reputation led to a higher pleasantness score than a negative
reputation. Imaging data demonstrated that the orbitofrontal cortex was activated
with positive reputations relative to negative reputations, and that the amygdala
was activated with negative reputations relative to positive reputations. These
findings suggest that pleasant and unpleasant emotions induced by positive and
negative social reputations from others are associated with activity in different
brain regions.
PMID- 21878793
TI - A1 receptors inhibit glutamate release in rat medullary dorsal horn neurons.
AB - We have investigated the adenosine-mediated presynaptic inhibition of primary
afferent-evoked glutamate release in rat substantia gelatinosa neurons of the
trigeminal subnucleus caudalis using a conventional whole-cell patch clamp
technique. Adenosine reversibly and concentration dependently decreased the
amplitude of glutamatergic excitatory postsynaptic currents and increased the
paired-pulse ratio, indicating that adenosine acts presynaptically to reduce
glutamate release from primary afferents. The adenosine-induced inhibition of
excitatory postsynaptic currents was occluded by a selective A1 receptor
antagonist, DPCPX, and was mimicked by a selective A1 receptor agonist CPA. The
results suggest that presynaptic A1 receptors decrease action potential-dependent
glutamate release from trigeminal primary afferents onto medullary dorsal horn
neurons, and thus adenosine A1 receptors could be a potential target for the
treatment of pain of orofacial tissues.
PMID- 21878794
TI - Functional and molecular interactions between Rac1 and FE65.
AB - FE65 is reported to act as an adaptor protein with several protein-interaction
domains, including one WW domain and two phosphotyrosine interaction/binding
domains. Through these binding domains, FE65 was considered to recruit various
binding partners together to form functional complexes in a certain cellular
compartment. In this study, we demonstrated that Rac1, a member of the Rho family
GTPases, bound with FE65. We also elucidated that Rac1 inhibitor significantly
suppressed FE65 expression, and Rac1 small interfering RNA transduction
significantly decreased FE65 expression. FE65 small interfering RNA, however, did
not influence Rac1 expression and its activity. Taken together, our results
reveal that Rac1 interacts with FE65, and Rac1 activity regulates FE65
expression.
PMID- 21878795
TI - The last slice of cheese.
PMID- 21878796
TI - An intervention fidelity framework for technology-based behavioral interventions.
AB - BACKGROUND: Despite the proliferation of health technologies, descriptions of the
unique considerations and practical guidance for evaluating the intervention
fidelity of technology-based behavioral interventions are lacking. OBJECTIVES:
The aims of this study were to (a) discuss how technology-based behavioral
interventions challenge conventions about how intervention fidelity is
conceptualized and evaluated, (b) propose an intervention fidelity framework that
may be more appropriate for technology-based behavioral interventions, and (c)
present a plan for operationalizing each concept in the framework using the
intervention fidelity monitoring plan for Pocket PATH (Personal Assistant for
Tracking Health), a mobile health technology designed to promote self-care
behaviors after lung transplantation, as an exemplar. METHOD: The literature
related to intervention fidelity and technology acceptance was used to identify
the issues that are unique to the fidelity of technology-based behavioral
interventions and thus important to include in a proposed intervention fidelity
framework. An intervention fidelity monitoring plan for technology-based
behavioral interventions was developed as an example. RESULTS: The intervention
fidelity monitoring plan was deemed feasible and practical to implement and
showed utility in operationalizing the concepts such as assessing
interventionists' delivery and participants' acceptance of the technology-based
behavioral intervention. DISCUSSION: The framework has the potential to guide the
development of implementation fidelity monitoring tools for other technology
based behavioral interventions. Further application and testing of this framework
will allow for a better understanding of the role that technology acceptance
plays in the adoption and enactment of the behaviors that technology-based
behavioral interventions are intended to promote.
PMID- 21878797
TI - Tailoring a treatment fidelity framework for an intensive care unit clinical
trial.
AB - BACKGROUND: Treatment fidelity (TF) refers to methodological strategies and
practices used to monitor and enhance the reliability and validity of behavioral
interventions. Treatment fidelity monitoring enhances internal and external
validity and is needed for study replication and generalizability. OBJECTIVES:
The aim of this study was to describe the implementation, monitoring, and impact
of TF in an intensive-care-unit-based clinical trial testing music for anxiety
self-management with mechanically ventilated patients. METHOD: Development of the
criteria was based on the Five-Component Treatment Fidelity Framework from the
Treatment Fidelity Workgroup. Descriptive statistics were used to evaluate
adherence rates to the key TF criteria and the reasons criteria were unmet.
Descriptive and nonparametric statistics were used to evaluate the impact of TF
on participants' use of the assigned intervention. RESULTS: The Treatment
Fidelity Framework was adapted easily to fit the study interventions. After the
initial implementation phase of monitoring, adherence to key criteria was
maintained at the targeted level of 80%. The majority of barriers to adherence
affected the research nurses' opportunity to interact with the participant and
encourage use of the intervention. There was a trend toward increased use of
equipment associated with the assigned condition after the initiation of TF;
however, this difference was not statistically significant. DISCUSSION: Treatment
fidelity monitoring is an iterative process that requires ongoing vigilance.
Identification of barriers and the implementation of methods to enhance protocol
adherence are needed to enhance the reliability, validity, and generalizability
of clinical trials in the dynamic and challenging research environment of the
intensive care unit.
PMID- 21878798
TI - Prevalence of limited health literacy and compensatory strategies used by
hospitalized patients.
AB - BACKGROUND: Limited health literacy is associated with higher rates of
hospitalization. However, the prevalence and etiology of limited health literacy
among hospitalized adults and the compensatory strategies used are not known.
OBJECTIVES: The aims of this study were to determine the prevalence and
demographic associations of limited health literacy in hospitalized patients and
to identify the perceived etiology and use of any compensatory strategies.
METHOD: A cross-sectional study was implemented of a consecutive sample of
hospitalized adults admitted to the Internal Medicine Hospitalist Service at a
440-bed academic medical center (n = 103) in Vermont. Health literacy was
determined using the short form of the Test of Functional Health Literacy in
Adults. Demographic data, perceived etiology of difficulties in reading or
understanding health information, and use of compensatory strategies were self
reported. RESULTS: Sixty percent of medical inpatients have limited health
literacy. Thirty-six percent of patients with limited health literacy attribute
this to difficulties with vision. Sixty-two percent of all medical inpatients
rely on help from a health professional, and 23% look to a family member when
faced with challenges in reading or understanding health information. DISCUSSION:
The prevalence of limited health literacy is high in hospitalized medical
patients. Further study of the timing and methods of communicating information to
hospitalized patients is warranted. Assuring that the patient and/or family
understand the postdischarge plans will be an important step to improving quality
and safety.
PMID- 21878799
TI - Endophthalmitis in microincision vitrectomy: outcomes of gas-filled eyes.
AB - PURPOSE: To assess whether performing an air or gas exchange at the conclusion of
a microincision vitrectomy procedure is beneficial regarding the rate of
endophthalmitis. METHODS: This was a collaborative, multicenter, retrospective
chart review of 2,336 eyes that underwent microincision sutureless vitrectomy (23
or 25 gauge) with either SF6 or C3F8 gas endotamponade for macular hole between
January 2008 and December 2009. For all eyes, the search methodology was
structured to identify the main outcome measure, which was the occurrence of
acute postoperative endophthalmitis (<6 weeks after pars plana vitrectomy).
RESULTS: Of the cumulative 2,336 consecutive cases over a 2-year period, only 1
(0.04%) had postoperative endophthalmitis. All eyes had near-complete gas-fluid
exchange at the end of surgery; C3F8 was the most common endotamponade agent. The
majority of cases were performed with 23-gauge vitrectomy. No other complications
were noted. CONCLUSION: Endophthalmitis was a rare occurrence in this large
series of gas-filled eyes after macular hole surgery (0.04%). Gas endotamponade
after microincision sutureless vitrectomy may be beneficial in reducing the risk
of postoperative endophthalmitis; however, additional studies are necessary to
make a definitive recommendation.
PMID- 21878800
TI - Endophthalmitis after intravitreal injection: the importance of viridans
streptococci.
AB - PURPOSE: To determine the rate of postinjection endophthalmitis and compare
microbial etiology and outcomes in office-based injection-related endophthalmitis
versus those acquired after operating room procedures. METHODS: Retrospective,
observational case series. Consecutive cases of endophthalmitis seen at Retina
Consultants of Houston between July 2000 and July 2010 were classified as
postsurgical or post-intravitreal injection. Cases secondary to glaucoma surgery,
trauma, and endogenous sources were excluded. Main study measures were incidence
of endophthalmitis, microbiology results, and visual outcomes. RESULTS: In all,
109 cases of endophthalmitis were identified: 88 postsurgical and 21 post
intravitreal injection (3 from clinical trials and 5 from outside
ophthalmologists). A total of 33,580 intravitreal injections were performed at
Retina Consultants of Houston (endophthalmitis rate = 0.04%, 13 of 33,580; 95%
confidence interval, 0.02-0.07%). The most common organisms isolated overall were
coagulase-negative staphylococci, while viridans streptococci, a component of
human oral flora, was identified over three times more often in the postinjection
group compared with the postsurgical group. Compared with all other culture
positive cases related to intravitreal injection, postinjection endophthalmitis
secondary to viridans streptococci presented much more rapidly (P < 0.001) and
final visual outcomes were much worse (P = 0.004) CONCLUSION: Although the
overall risk of postinjection endophthalmitis is low, viridans streptococci were
identified over three times more frequently in postinjection cases compared with
postsurgical cases and these cases had much worse clinical outcomes. The office
based setting for intravitreal injections may lead to a higher risk for infection
from oral pathogens.
PMID- 21878801
TI - Fluorescein staining of the vitreous during vitrectomy for retinopathy of
prematurity.
PMID- 21878802
TI - Morphologic and functional advantages of macular hole surgery with brilliant blue
G-assisted internal limiting membrane peeling.
PMID- 21878803
TI - Sildenafil citrate and choroidal thickness.
PMID- 21878804
TI - Three-week nutritional supplementation effect on long-term nutritional status of
patients with mild Alzheimer disease.
AB - Short-term nutritional supplements enable an improvement in Alzheimer patients'
nutritional status, but it remains to be seen whether they will be sufficient to
improve long-term nutritional status. The aim of this study was to evaluate the
long-term impact of a 3-week nutritional supplementation on the nutritional
status of undernourished patients with probable mild Alzheimer disease. A 21-day
prospective randomized nonblinded controlled trial was conducted. Patients were
followed-up for an additional period until the 90th day after the beginning of
the intervention. Intervention resulted in significant improvements in Mini
Nutritional Assessment (MNA) score [Mean=1.4, standard deviation (SD)=0.8 vs. 0.0
(0.1) in the control group, P<0.001] and also in anthropometrical and serum
biomarkers of Alzheimer disease (AD) patients' nutritional status. Further
improvements in the AD patients' MNA mean score=1.4 (SD=2.3) versus -0.5 (SD=0.6)
in the control group (P=0.003) were seen at 90 days follow-up. Data show that a 3
week nutritional supplementation has a positive long-term impact on the
nutritional status of undernourished patients with mild probable AD.
PMID- 21878805
TI - Serotonergic function and treatment of behavioral and psychological symptoms of
frontotemporal dementia.
AB - OBJECTIVES: The purposes of this study were first, to evaluate the effectiveness
of citalopram in treating behavioral disturbances in frontotemporal dementia
(FTD) subjects and second, to determine whether an association exists between
serotonergic function, as determined by a neuroendocrine challenge, and treatment
response. DESIGN: Single-dose citalopram (30 mg per os) challenge followed by a 6
week open-label study. SETTING: Outpatients referred to memory clinics.
PARTICIPANTS: Fifteen patients suffering from FTD with severe behavioral and
psychological symptoms of dementia. INTERVENTION: Following citalopram challenge,
all patients were treated with citalopram titrated to a target dose of 40 mg once
daily. MEASUREMENTS: Behavioral disturbances, using the Neuropsychiatric
Inventory (NPI) (primary outcome) and Frontal Behavioural Inventory (secondary
outcome), were assessed. Change in prolactin concentration following citalopram
challenge was used as an index of central serotonergic response. RESULTS:
Citalopram treatment was effective in treating behavioral symptoms, with
significant decreases in NPI total score (F[2, 28] = 6.644, p = 0.004),
disinhibition (F[2, 28] = 4.030, p = 0.029), irritability (F[2, 28] = 7.497, p =
0.003) and depression (F[2, 28] = 3.467, p = 0.045) scores over the 6 weeks.
Significant improvement in Frontal Behavioural Inventory scores suggested that
citalopram was also effective in the treatment ofbehaviors specific to FTD. A
lower change score in concentration of prolactin was significantly positively
correlated with greater improvement in the total NPI score from baseline to
endpoint (r = 0.687, p = 0.005). A blunted response to a citalopram challenge,
implying a dysfunctional serotonergic system, predicted a more positive treatment
outcome. CONCLUSIONS: The results suggest that despite the endogenous serotonin
deficiency of FTD, citalopram treatment may be effective in targeting the
behavioral disturbances characteristic of FTD.
PMID- 21878807
TI - Nonanesthetic malignant hyperthermia.
PMID- 21878808
TI - Case scenario: anesthetic considerations for thoracoabdominal aortic aneurysm
repair.
PMID- 21878809
TI - To live a story.
PMID- 21878810
TI - Offset analgesia in neuropathic pain patients and effect of treatment with
morphine and ketamine.
AB - BACKGROUND: Offset analgesia, in which a disproportionally large amount of
analgesia becomes apparent upon a slight decrease in noxious heat stimulation,
has not been described previously in patients with chronic pain. METHODS: Offset
analgesia responses in 10 patients with neuropathic pain (in both legs) were
compared with 10 matched healthy controls and volunteers from a convenience
sample (n = 110) with an age range of 6-80 yr. Offset analgesia was defined by
the reduction in electronic pain score upon the 1 degrees C decrease in noxious
heat stimulus relative to the peak pain score where pain was administered at the
volar side of the arm. RESULTS: Offset analgesia was present in healthy
volunteers irrespective of age and sex (pain score decrease = 97 +/- 1% [mean +/-
SEM]). In contrast, a reduced or absent offset analgesia response was observed in
patients with neuropathic pain (pain score decrease = 56 +/- 9% vs. controls 98
+/- 1%, P < 0.001). Intravenous treatment with ketamine, morphine, and placebo
had no effect on offset analgesia in patients, despite sharp reductions in
spontaneous pain scores. CONCLUSIONS: These data indicate that offset analgesia
is fully developed at the age of 6 yr and does not undergo additional maturation.
The reduced or absent responses observed in patients with chronic neuropathic
pain indicate the inability to modulate changes in pain stimulation, with
perseverance of pain perception in situations in which healthy subjects display
signs of strong analgesia. Both central and peripheral sites may be involved in
the altered offset analgesia responses in these patients.
PMID- 21878811
TI - Evaluating bowel cleansing method for rectal cancer surgery.
PMID- 21878812
TI - Malnutrition and postoperative complications in abdominal surgery.
PMID- 21878813
TI - Glycogen synthase kinase 3beta inhibitors induce apoptosis in ovarian cancer
cells and inhibit in-vivo tumor growth.
AB - Ovarian cancer is the most lethal gynecological malignancy among US women.
Paclitaxel/carboplatin is the current drug therapy used to treat ovarian cancer,
but most women develop drug resistance and recurrence of the disease,
necessitating alternative strategies for treatment. A possible molecular target
for cancer therapy is glycogen synthase kinase 3beta (GSK3beta), a downstream
kinase in the Wnt signaling pathway that is overexpressed in serous ovarian
cancer. Novel maleimide-based GSK3beta inhibitors (GSK3betai) were synthesized,
selected, and tested in vitro using SKOV3 and OVCA432 serous ovarian cancer cell
lines. From a panel of 10 inhibitors, GSK3betai 9ING41 was found to be the most
effective in vitro. 9ING41 induced apoptosis as indicated by 4',6-diamidino-2
phenylindole-positive nuclear condensation, poly (ADP-ribose) polymerase
cleavage, and terminal deoxynucleotidyl transferase dUTP nick end labeling
staining. The mechanism for apoptosis was through caspase-3 cleavage. GSK3betai
upregulated phosphorylation of the inhibitory serine residue of GSK3beta in
OVCA432 and SKOV3 cell lines and also inhibited phosphorylation of the downstream
target glycogen synthase. An in-vivo xenograft study using SKOV3 cells
demonstrated that tumor progression was hindered by 9ING41 in vivo. The maximum
tolerated dose for 9ING41 was greater than 500 mg/kg in rats. Pharmacokinetic
analysis showed 9ING41 to have a bioavailability of 4.5% and to be well
distributed in tissues. Therefore, GSK3beta inhibitors alone or in combination
with existing drugs may hinder the growth of serous ovarian cancers.
PMID- 21878814
TI - Transmissibility of seasonal and pandemic influenza in a cohort of households in
Hong Kong in 2009.
AB - BACKGROUND: The household secondary attack proportion (SAP) is commonly used to
measure the transmissibility of an infectious disease. METHODS: We analyzed the
final outbreak size distributions of pandemic A(H1N1), seasonal A(H1N1), and
A(H3N2) infections identified in paired sera collected from members of 117 Hong
Kong households in April and in August-October 2009. RESULTS: The estimated
community probability of infection overall was higher for children than adults;
the probability was similar for pandemic A(H1N1) and seasonal A(H3N2) influenza.
The household SAP for pandemic A(H1N1) was higher in children than in adults,
whereas for seasonal A(H3N2), it was similar in children and adults. The
estimated SAPs were similar for seasonal A(H3N2) and pandemic A(H1N1) after
excluding persons with higher baseline antibody titers from analysis.
CONCLUSIONS: Pandemic and seasonal influenza A viruses had similar age-specific
transmissibility in a cohort of initially uninfected households, after adjustment
for baseline immunity.
PMID- 21878815
TI - How much are we missing in SNP-by-SNP analyses of genome-wide association
studies?
AB - Genome-wide association studies have discovered common genetic variants
associated with susceptibility for several complex diseases, but they have been
unfruitful for many others. Typically, analysis is done "agnostically," by
considering one single nucleotide polymorphism (SNP) at a time and controlling
the overall type I error rate by correcting for multiple testing. Such one-at-a
time analyses may be inadequate for screening genes under realistic causal
models. We use oral clefting as a disease model to develop a range of toy example
scenarios: risk might involve only genes, or genes and exposure, or genes,
exposure, and their supermultiplicative interaction. These examples illustrate
how dramatically important genetic variants can be obscured by a one-SNP-at-a
time analysis when multiple biologic pathways and multiple genes jointly
influence etiology. These examples highlight the need for better methods for gene
by-environment and gene-by-gene analyses.
PMID- 21878816
TI - Needling augmented with topical application of mitomycin C for management of bleb
failure.
AB - PURPOSE: To study the effect of topical application of mitomycin C on enhancing
the efficacy of needling in the management of bleb failure. PATIENTS AND METHODS:
Thirty-six eyes of 32 consecutive patients with an intraocular pressure over 21
mmHg, without bleb or with a thick, flat bleb after the second postoperative
month after trabeculectomy, were included in the study. Needling with mitomycin C
was performed in the other 18 eyes with bleb failure (group A) and needling
without antimetabolite was performed in 18 eyes (group B). Topical application of
mitomycin C (0.4 mg/mL) with a microsponge over the conjunctiva at the failed
bleb for 5 minutes (group A) was performed; after irrigation, the needling
procedure was the same in both groups. A 30-gauge needle was used to perforate
the area of subconjunctival and subscleral fibrosis and to reestablish flow;
conjunctival puncture was at least 7 mm away from the bleb and no sutures were
taken after needling. Follow-up was performed for 1 year after needling. RESULTS:
Overall, 55 needling procedures were performed; needling was done twice in 17
eyes in group B, whereas only 2 eyes needed more than 1 needling procedure in
group A. The difference was statistically highly significant, and the mean follow
up was 8.9 +/- 3.7 months. Mean intraocular pressure was 28.9 +/- 4.2 mm Hg and
27.8 +/- 4.7 mm Hg in group A and group B respectively before any intervention;
this decreased to a mean of 19.8 +/- 2.7 mm Hg and 20.5 +/- 4.8 mm Hg
respectively without medication after 6 months of last needling. Complications
included diffuse corneal punctate epitheliopathy lasting for 2 to 3 weeks (2 eyes
in group A), subconjunctival hemorrhage (3 eyes in each group), and hyphema (2
eyes in each group). CONCLUSIONS: Topical application of mitomycin C with needle
revision seems to be an extremely effective way to revive failed filtration
surgery. The incidence of complications related to mitomycin C was minimal.
PMID- 21878817
TI - Comparison of visual field severity classification systems for glaucoma.
AB - PURPOSE: To compare categorical severity classification systems for glaucoma.
METHODS: This cross-sectional study included 1,921 eyes (49.5% right eye) from
1,137 participants from the Diagnostic Innovations in Glaucoma Study and African
Descent and Glaucoma Evaluation Study. Standard automated perimetry fields were
classified using the: (1) Advanced Glaucoma Intervention Study scoring system
(AGIS), (2) Glaucoma Severity Staging system (GSS), and (3) Enhanced Glaucoma
Severity Staging system (eGSS). Systems were characterized using the following
continuous measures of severity: mean deviation, pattern standard deviation, and
visual field index. Classifications between systems and with optic disc
stereophotograph assessment were compared (kappa) and some stages were
consolidated to evaluate severity classification across systems (Wilcoxon test).
RESULTS: Mean deviation, pattern standard deviation, and visual field index were
significantly different between GSS and AGIS, and GSS and eGSS in normal and
abnormal fields (P<0.005). Agreement between AGIS and eGSS was substantial
(kappa=0.715+/-0.012); agreement between GSS and eGSS (kappa=0.559+/-0.014) and
AGIS (kappa=0.519+/-0.016) was moderate. eGSS tended to stage abnormal fields
most severely followed by GSS and then AGIS (P<0.001). CONCLUSIONS: The presence
of glaucomatous optic neuropathy increases with staging severity for all systems.
However, different systems led to different severity staging. Of the systems
examined in this study, eGSS may be the better choice for its ease of use for
both clinicians and researchers.
PMID- 21878818
TI - Outcomes of fornix-based versus limbus-based conjunctival incisions for glaucoma
drainage device implant.
AB - PURPOSE: To determine the effect of conjunctival incision location on the long
term efficacy of nonvalved glaucoma drainage devices. MATERIALS AND METHODS: We
conducted a retrospective review of patients >=18 years of age with uncontrolled
glaucoma [intraocular pressure (IOP) >=18 mm Hg] who underwent glaucoma drainage
device implantation. A comparison was made of a limbal-based (LB-BGI) versus
fornix-based (FB-BGI) conjunctival flap during placement of a 350-mm Baerveldt
glaucoma implant (AMO, Santa Ana, CA) in subjects with at least 1 year of follow
up data. The primary outcome measure was IOP; secondary outcome measures were
medication burden, visual acuity, and surgical complications. RESULTS: One
hundred sixty eyes of 147 glaucoma patients were included. Two years after
surgery, the IOP in the LB-BGI group was 14.3+/-5.3 mm Hg and in the FB-BGI group
13.1+/-4.7 mm Hg (P=0.47). Overall success of IOP control was achieved at the
final visit (range 1 to 5 y) in 90% of the LB-BGI group and 87% of the FB-BGI
group (P=0.63). The medication burden of the 2 groups at 1 and 2 years after
surgery was not statistically significantly different. Worsening of visual acuity
by more than 2 lines was not statistically different between the groups 2 years
after the surgery and at the final visit (P=0.47, P=0.60, respectively). A
greater number of eyes developed endophthalmitis and were more likely to undergo
subsequent tube revision in the FB-BGI group, but the differences were not
significant. CONCLUSIONS: Both incision techniques were equally effective in
controlling IOP. Each surgical approach has its advantages and this study
suggests that either technique may be used safely and effectively.
PMID- 21878819
TI - Can intraocular pressure asymmetry indicate undiagnosed primary glaucoma? The
Chennai Glaucoma Study.
AB - AIM: : To investigate the association of intraocular pressure (IOP) asymmetry
with undiagnosed primary glaucoma in rural and urban populations of south India.
METHODS: Chennai Glaucoma Study is a population-based cross-sectional study. The
participants were adults, 40 years or older. The prevalence of primary glaucoma
was defined using International Society of Geographical and Epidemiological
Ophthalmology classification. IOP asymmetry was diagnosed when the difference in
IOP between 2 eyes was >3 mm Hg. Analysis included only bilaterally phakic
subjects. We excluded subjects with known glaucoma, secondary glaucoma,
pseudoexfoliation, ocular trauma, and ocular surgery. RESULTS: Of 6310 subjects,
3052 (48.4%) were rural residents. The mean age was 52 (standard deviation: 9.5)
years. The prevalence of IOP asymmetry increased steadily with increasing patient
age. The prevalence of undiagnosed primary glaucoma was 3.4% (95% confidence
interval, 2.9-3.8). Undiagnosed primary glaucoma was 3 times more common in
subjects with IOP asymmetry than without (odds ratio 3.08, 95% confidence
interval, 2.1-4.3). The specificity of IOP asymmetry in detecting undiagnosed
primary glaucoma was 92%. CONCLUSIONS: IOP asymmetry of >3 mm Hg has limited
accuracy for the detection of undiagnosed primary glaucoma. The criterion should
be combined with other indicators of glaucoma to build the pretest probability of
the disease.
PMID- 21878820
TI - Macular retinal thickness in glaucoma with superior or inferior visual hemifield
defects.
AB - PURPOSE: To elucidate the relationship between macular retinal thickness and
corresponding superior or inferior visual hemifield defects in glaucoma patients.
METHODS: Thirty-nine eyes of 39 patients with open-angle glaucoma showed superior
or inferior hemifield defects (superior hemifield defects, 27 eyes; inferior
hemifield defects, 12 eyes). We measured the retinal thickness of the parafovea
and fovea centralis corresponding to a defect or an apparently normal hemifield
by spectral domain optical coherence tomography. We then analyzed the
relationship between the retinal thickness corresponding to an apparently normal
hemifield and the severity of the glaucomatous visual field defect on the other
side of the same eye. RESULTS: We found that the retinal thickness of the
parafovea and fovea centralis significantly decreased, as the hemifield defect
increased. The retinal thickness of the parafovea, the inner sector, outer
sector, and inner and outer sectors, corresponding to the apparently normal
hemifields significantly decreased with the progression of the hemifield defect
on the other side. The mean+/-SD age of patients was 66.5+/-9.1 years and the
refraction was -1.3+/-2.4 D. CONCLUSIONS: Macular retinal thickness decreases
with a corresponding visual hemifield defect in glaucoma patients. Retinal
structural changes precede the loss of the visual field in the apparently normal
side.
PMID- 21878821
TI - Periodic unilateral eyelid retraction in a pediatric patient.
AB - A healthy 11-year-old girl presented with right upper eyelid retraction since
birth. An evaluation including thyroid function studies and neuroimaging was
negative, and the patient was scheduled for a right levator recession to address
the eyelid malposition. Intraoperatively, after the induction of inhalational
general anesthesia, the patient displayed cyclic right upper eyelid retraction.
Occurring in intervals of exactly 48 seconds, these cycles involved a rapid
elevation of the right eyelid from a position of half-closure to a retracted
position just above the superior limbus. There was no change in pupil size or eye
position during these cyclic spasms, and the contralateral eyelid was unaffected.
The patient underwent an uncomplicated levator recession, which improved the
upper eyelid retraction. Postoperative testing, including external motility video
and infrared pupillometry, demonstrated no cyclic variation in eyelid position,
eye position, or pupil size in the waking state. This is a unique case of
unilateral eyelid retraction with periodic spasms under conditions of anesthesia
without a preexisting oculomotor paresis; it represents an unusual variation on
congenital eyelid retraction and classically described cyclic oculomotor palsy.
PMID- 21878822
TI - Factors that differentiate acceleration ability in field sport athletes.
AB - Speed and acceleration are essential for field sport athletes. However, the
mechanical factors important for field sport acceleration have not been
established in the scientific literature. The purpose of this study was to
determine the biomechanical and performance factors that differentiate sprint
acceleration ability in field sport athletes. Twenty men completed sprint tests
for biomechanical analysis and tests of power, strength, and leg stiffness. The
sprint intervals analyzed were 0-5, 5-10, and 0-10 m. The subjects were split
into a faster and slower group based on 0- to 10-m velocity. A 1-way analysis of
variance determined variables that significantly (p <= 0.05) distinguished
between faster and slower acceleration. All subject data were then pooled for a
correlation analysis to determine factors contributing most to acceleration. The
results showed that 0- to 5-m (~16% difference) and 0- to 10-m (~11% difference)
contact times for the faster group were significantly lower. Times to peak
vertical and horizontal force during ground contact were lower for the faster
group. This was associated with the reduced support times achieved by faster
accelerators and their ability to generate force quickly. Ground contact force
profiles during initial acceleration are useful discriminators of sprint
performance in field sport athletes. For the strength and power measures, the
faster group demonstrated a 14% greater countermovement jump and 48% greater
reactive strength index. Significant correlations were found between velocity (0
5, 5-10, and 0-10 m) and most strength and power measures. The novel finding of
this study is that training programs directed toward improving field sport sprint
acceleration should aim to reduce contact time and improve ground force
efficiency. It is important that even during the short sprints required for field
sports, practitioners focus on good technique with short contact times.
PMID- 21878823
TI - Improving the Q:H strength ratio in women using plyometric exercises.
AB - Plyometric training programs have been implemented in anterior cruciate ligament
injury prevention programs. Plyometric exercises are designed to aid in the
improvement of muscle strength and neuromuscular control. Our purpose was to
examine the effects of plyometric training on lower leg strength in women. Thirty
(age = 20.3 +/- 1.9 years) recreationally active women were divided into control
and experimental groups. The experimental group performed a plyometric training
program for 6 weeks, 3 d.wk(-1). All subjects attended 4 testing sessions: before
the start of the training program and after weeks 2, 4, and 6. Concentric
quadriceps and hamstring strength (dominant leg) was assessed using an isokinetic
dynamometer at speeds of 60 and 120 degrees .s(-1). Peak torque, average peak
torque, and average power (AvgPower) were measured. The results revealed a
significant (p < 0.05) interaction between time and group for flexion PkTq and
AvgPower at 120 degrees .s(-1). Post hoc analysis further revealed that PkTq at
120 degrees .s(-1) was greater in the plyometric group than in the control group
at testing session 4 and that AvgPower was greater in the plyometric group than
in the control group in testing sessions 2-4. Our results indicate that the
plyometric training program increased hamstring strength while maintaining
quadriceps strength, thereby improving the Q:H strength ratio.
PMID- 21878824
TI - Excess postexercise oxygen consumption is unaffected by the resistance and
aerobic exercise order in an exercise session.
AB - The main purpose of this study was to compare the magnitude and duration of
excess postexercise oxygen consumption (EPOC) after 2 exercise sessions with
different exercise mode orders, resistance followed by aerobic exercise (R-A);
aerobic by resistance exercise (A-R). Seven young men (19.6 +/- 1.4 years)
randomly underwent the 2 sessions. Aerobic exercise was performed on a treadmill
for 30 minutes (80-85% of reserve heart rate). Resistance exercise consisted of 3
sets of 10 repetition maximum on 5 exercises. Previous to the exercise sessions,
V(O2), heart rate, V(CO2), and respiratory exchange rate (RER) were measured for
15 minutes and again during recovery from exercise for 60 minutes. The EPOC
magnitude was not significantly different between R-A (5.17 +/- 2.26 L) and A-R
(5.23 +/- 2.48 L). Throughout the recovery period (60 minutes), V(O2) and HR
values were significantly higher than those observed in the pre-exercise period
(p < 0.05) in both exercise sessions. In the first 10 minutes of recovery, V(CO2)
and RER declined to pre-exercise levels. Moreover, V(CO2) and RER values in A-R
were significantly lower than in R-A. In conclusion, the main result of this
study suggests that exercise mode order does not affect the EPOC magnitude and
duration. Therefore, it is not necessary for an individual to consider the EPOC
when making the decision as to which exercise mode is better to start a training
session.
PMID- 21878825
TI - Hypoglycemia after accidental pediatric sulfonylurea ingestions.
AB - BACKGROUND: Because the prevalence of type 2 diabetes increases annually, there
has been an increase in pediatric exposures to sulfonylureas. These medications
are associated with delayed and often prolonged hypoglycemia. As such, most
authorities but not all recommend admission for all pediatric patients with an
accidental sulfonylurea ingestion. METHODS: This study is a retrospective chart
review of all pediatric patients with sulfonylurea exposures admitted for 9 years
at an urban, pediatric teaching hospital. The incidence and characteristics of
the hypoglycemia were recorded and analyzed. RESULTS: During this time span, 93
patients with accidental sulfonylurea exposures were admitted, with a median age
of 1.83 years. Glyburide and glipizide accounted for most sulfonylureas.
Hypoglycemia (blood glucose level <50 mg/dL) developed in 25 (58.1%) of 43
patients who ingested glipizide, compared with 10 (25.6%) of 39 patients who
ingested glyburide. The overall incidence of hypoglycemia was 44%. Hypoglycemia
was more likely to occur with glipizide ingestion than glyburide (odds ratio,
3.89 [95% confidence interval, 1.51-9.98]). No patient with a known time of
ingestion developed hypoglycemia after 13 hours. CONCLUSIONS: Hypoglycemia is
common after accidental sulfonylurea exposures. The results of this study support
mandatory admission to a monitored setting for at least 16 hours, with frequent
glucose determinations.
PMID- 21878826
TI - Screening for postpartum depression in a pediatric emergency department.
AB - OBJECTIVE: The objective was to determine whether a 3-question version of the
Edinburgh Postpartum Depression Scale (EPDS) performs as well as the full EPDS in
screening for postpartum depression in a pediatric emergency department (PED).
METHODS: Mothers of infants younger than 6 months presenting to an urban PED were
enrolled. After the PED encounter, mothers were asked about demographics, health
problems, insurance status, social support, food and housing security, and 3
questions from the EPDS. Mothers then completed the full EPDS. The primary
outcome was the score on the full EPDS. Agreement between the 3 questions and the
full EPDS for screening positive was measured. Test performance characteristics
for screening positive with the 3 questions were calculated. Logistic regression
determined the association between sociodemographic characteristics and screening
positive. Provider impression of maternal depressive symptoms was recorded.
RESULTS: Of 195 mothers enrolled, 23% screened positive using the EPDS; 34%
screened positive using the 3 questions (kappa = 0.74). Compared with the EPDS,
sensitivity of the 3 questions was 100%. Number of children younger than 5 years
at home and having food and housing concerns were associated with screening
positive. Of 44 mothers who screened positive on the full EPDS, providers
identified 14 (32%) as having depressive symptoms or possibly being depressed.
CONCLUSIONS: Three questions from the EPDS performed similarly to the full EPDS
in screening for postpartum depressive symptoms in a PED. Future studies are
needed to confirm these findings and examine whether screening improves maternal
and child health outcomes and quality-of-life concerns.
PMID- 21878827
TI - Subdural hematomas and emergency management in infancy and childhood: a single
institution's experience.
AB - OBJECTIVE: We aimed to identify the incidence, clinical features, management, and
outcome of subdural hematomas (SHs) in infancy and childhood. METHODS: Twenty-one
children younger than 11 years with SH were analyzed. Clinical features and
possible child abuse were considered in each case. RESULTS: Eight children
experienced minor injuries due to hitting of solid items on their head. Five of
these children also had coagulation disorders. Three of the children suffered
from child abuse, only one of the children had head trauma due to car accident.
Nine of the patients experienced SH due to fall down. Nine patients have acute
SH, 7 had subacute SH, 4 had chronic SH, and 1 had acute and subacute SH
together. Clinical presentation varied greatly. Most of them presented with
vomiting and seizure. The outcome patterns were different among the patients.
Deep coma on admission was associated with an unfavorable outcome. CONCLUSIONS:
Subdural hematoma is common in infancy and childhood and carries a poor
prognosis. Most of the cases are due to head trauma, coagulation disorders, and
child abuse. We believe that clinical investigation of such children should be
carried out in a multidisciplinary approach with the collaboration of
pediatricians, social workers, and neurosurgeons.
PMID- 21878828
TI - Physicians' perceptions of background noise in a pediatric emergency department.
AB - OBJECTIVE: The objectives of this study were to measure noise levels in a
tertiary care pediatric emergency department (ED) and to identify attending staff
physicians' and first-year residents' perceptions of background noise levels and
its impact on communication and teaching. METHODS: A mixed methodology was used
in this study. A sound level meter measuring 30 to 140 dB was placed in the ED
for a week. All consenting staff physicians and first-year residents were
surveyed using a semistructured questionnaire during the study period to assess
their perceptions of background noise and its impact. Descriptive statistics were
used for quantitative analysis. Narrative answers were coded and analyzed using
the method of meaning condensation to assess the impact of background noise on
both communication and teaching. RESULTS: The average noise level in the ED is
68.73 dB for a 24-hour period. The number sound peaks higher than 80 dB, with an
average of 309 dB/d (minimum, 193 dB; maximum, 461 dB). Only 35% of staff
physicians' surveys and 22% of residents' surveys identified the noise levels to
be uncomfortable. However, background noise in the ED was perceived as stressful,
affecting interaction, communication, and teaching between residents and staff
physicians. Staff physicians and residents stated that they feel helpless when it
is too noisy and did not have good strategies to reduce background noise in the
ED. CONCLUSIONS: The high background noise levels in a pediatric ED are perceived
as stressful and interfering with communication and teaching. Noise levels in EDs
should be measured, and noise reduction strategies should be implemented because
physicians are not consistent in identifying excessive noise levels.
PMID- 21878829
TI - Beliefs and practices of pediatric emergency physicians and nurses regarding
counseling alcohol-using adolescents: can counseling practice be predicted?
AB - OBJECTIVES: The objectives of the study were to investigate the attitudes and
practices of pediatric emergency department (PED) physicians (MDs), MD extenders
(MD's assistants [PAs], nurse practitioners [NPs]), and nurses (RNs) regarding
their counseling of alcohol-using adolescent PED patients and to determine which,
if any, PED clinician characteristics predict current counseling practice.
METHODS: An Internet-based survey of PED clinicians (MDs, PAs, NPs, and RNs) from
11 academic US PEDs was conducted. Respondents were asked about their counseling
training, current counseling practices, confidence in their counseling skills,
importance of counseling, attitudes and beliefs about counseling, and demographic
information. Univariate and multivariate analyses were performed to determine the
relationship between clinician characteristics and counseling practice. RESULTS:
Counseling practice was strongly associated with one's profession; PED
MDs/PAs/NPs reported significantly higher rates of counseling alcohol-using
adolescents than PED RNs. These 2 groups differed significantly in terms of
counseling training and experience. Counseling training and experience remained
significant predictors of counseling practice, even after controlling for
profession and other covariates. Both groups had similar views on the importance
of counseling, confidence in their ability to counsel, and counseling substance
using adolescent PED patients. CONCLUSIONS: Pediatric ED MDs/PAs/NPs differ
significantly from PED RNs in their counseling training, experience, and
practice. These findings have important implications for the training and support
necessary to successfully implement PED counseling. Specifically, formal training
in counseling during professional schooling and garnering counseling experience
after completing training may be critical factors in promoting PED counseling.
PMID- 21878830
TI - Children admitted to the hospital after returning to the emergency department
within 72 hours.
AB - OBJECTIVES: Children returning to the emergency department (ED) within 72 hours
of their visit may increase overcrowding and health care costs. Identifying the
characteristics of returning children who need admission may help distinguish who
might need admission on their first visit. The objective of this study was to
compare the characteristics of children who returned to the ED and needed
admission to the characteristics of those discharged. METHODS: The study used a
retrospective chart review of patients 19 years and younger visiting a tertiary
pediatric ED during a 1-year period. We excluded patients who left without being
seen and those leaving against medical advice. We determined the rate of return
visits and then performed chi2 and Student t test analyses. Main outcome measures
were return and subsequent hospital admission rate to the ED. RESULTS: Of 47,655
eligible children, 2115 (4.4%) returned to the ED within 72 hours. The admission
rate for the second visit was 353 (16.7%). There was no significant difference in
age, sex, language spoken at home, or time elapsing from the first visit to the
re-presentation to the ED between children who needed admission on the returned
visit and those discharged when returning. The acuity was significantly lower
among children discharged after returning (P < 0.001) but not among those
admitted (P < 0.22). CONCLUSIONS: More than 4% of our pediatric ED visits are for
children returning within 72 hours. Progression of illness resulting in higher
acuity, not age, sex, time from previous visit, or change in chief complaint
category, was associated directly with admission on the second visit.
PMID- 21878831
TI - H1N1 hemagglutinin-inhibition seroprevalence in Emergency Department Health Care
workers after the first wave of the 2009 influenza pandemic.
AB - STUDY OBJECTIVE: The 2009 H1N1 pandemic (H1N1pdm) virus has been associated with
high rates of asymptomatic infections. Existing influenza infection control
policies do not address potential transmission through exposure to asymptomatic
infected individuals in health care settings. We conducted a seroprevalence study
of H1N1pdm infection to determine whether health care workers (HCWs) in the
emergency department showed increased evidence of infection during the first wave
of the pandemic than that previously reported in adults in the community.
METHODS: Blood samples and demographic and clinical data were collected from
eligible emergency department HCWs. Subjects' sera were tested for presence of
antibodies specific for seasonal H1N1 and H1N1pdm viruses by hemagglutination
inhibition assay. RESULTS: One hundred eight subjects were enrolled, of which 20
(18.5%) were seropositive for H1N1pdm and 52 (48%) for seasonal H1N1. The median
age of H1N1pdm-seropositive subjects was 32 years (range, 24-59 years). Of
H1N1pdm-seropositive subjects, 35% were asymptomatic. Rates of H1N1pdm detection
in HCWs (18.5%) were significantly higher than those observed previously in an
identical age cohort in the community (2.6%, n = 262). CONCLUSIONS: The higher
serodetection rates in adults observed in the current study suggest potentially
significantly more frequent infections in HCWs than in the general population.
Further investigations are needed to ascertain the relative incidence of
influenza infections in HCWs and non-HCWs, to study influenza transmission by
asymptomatic infected subjects and ascertain the burden of such transmission in
health care settings.
PMID- 21878832
TI - Primary repair of facial dog bite injuries in children.
AB - OBJECTIVES: The management of dog bite wounds is controversial, and current data
on risk of infection are variable and inconsistent. Furthermore, the use of
prophylactic or empiric antibiotics for the treatment of these wounds is
debatable. We investigate the rate of wound infections and other complications
after primary repair of pediatric facial dog bite injuries. METHODS: We reviewed
87 consecutive patients aged 18 years or younger who had facial dog bite injuries
from January 2003 to December 2008. Variables examined were age, sex, setting of
repair, number of sutures used for repair, whether surgical drains were used, and
antibiotic administration. End points measured were incidence of wound infection,
need for scar revision, and any wound complications. RESULTS: The mean age of
patients was 6.8 years, and the majority were women (53%). All facial injuries
were primarily repaired at the time of presentation either in the emergency
department (ED; 46%), operating room (OR; 51%), or an outpatient setting (3%).
All patients received an antibiotic course, none of the patients developed wound
infection, and no subsequent scar revisions were performed. Three patients
repaired in the OR underwent placement of a total of 4 closed-suction drains. The
mean (SD) age of patients repaired in the OR was significantly younger than those
repaired in the ED (5.7 [3.9] vs 8.0 [4.5] years, respectively; P < 0.01). The
number of sutures used were greater for patients repaired in the OR than in the
ED (66.4 [39.6] vs 21.7 [12.5], respectively; P < 0.01). CONCLUSIONS:
Intuitively, younger patients and patients with greater severity injuries are
more likely to undergo repair in the OR, and this was supported by our data.
Overall, we found that primary repair of pediatric facial dog bite injuries,
including complex soft-tissue injuries, is safe when performed in conjunction
with antibiotic administration; however, further cross-specialty studies are
needed to fully characterize these end points in a larger population.
PMID- 21878833
TI - Adolescent female with urinary symptoms: a diagnostic challenge for the
pediatrician.
AB - OBJECTIVES: The objective of this study was to determine the accuracy with which
physicians diagnose sexually transmitted infections (STIs) and urinary tract
infections (UTIs) in adolescent females with urinary symptoms. Secondary aims
were to determine the prevalence of STIs and UTIs in this subset of patients and
to identify variables associated with a physician diagnosis of STI or UTI.
METHODS: We performed a prospective cross-sectional study of females aged 13 to
21 years who presented to an urban pediatric emergency department with urinary
symptoms. Pediatric emergency medicine physicians' diagnoses of STI or UTI were
compared with the criterion standard of diagnosis. RESULTS: Of the 233 patients
enrolled, 211 had complete data sets and were included for analysis. Nineteen
patients (9%) had STIs. Physicians predicted STIs in 35 patients (17%), of which
9 (25%) had true infections. Sexually transmitted infections in 10 patients (53%)
were underdiagnosed, in 26 patients (74%) were overdiagnosed, and in 9 patients
(26%) were correctly diagnosed. One hundred twenty patients (57%) had UTIs.
Physicians predicted UTIs in 156 patients (74%), of which 107 (69%) had culture
confirmed UTIs. Urinary tract infections in 13 patients (11%) were
underdiagnosed, in 49 patients (31%) were overdiagnosed, and in 107 patients
(66%) were correctly diagnosed. Thirteen patients (6%) had a coinfection with
both an STI and a UTI. CONCLUSIONS: Pediatric emergency medicine physicians both
underdiagnose and overdiagnose STIs and UTIs in patients with urinary symptoms.
This diagnostic challenge necessitates that all adolescent patients presenting
with urinary symptoms should be tested for STIs and UTIs and have adequate follow
up means established to ensure timely treatment.
PMID- 21878834
TI - Mycophenolic acid-related diarrhea is not associated with polymorphisms in SLCO1B
nor with ABCB1 in renal transplant recipients.
AB - OBJECTIVE: We investigated the association between genetic polymorphisms in ABCB1
and SLCO1B and mycophenolic acid (MPA) pharmacokinetics, and MPA-related diarrhea
and leukopenia in 338 kidney transplant recipients. METHODS: A total of 338
patients participating in an international, randomized-controlled clinical trial
were genotyped for ABCB1 and SLCO1B. Patients were all treated with mycophenolate
mofetil and either cyclosporine or tacrolimus. MPA-area under the curve (AUCs),
MPA-glucuronide AUCs and acylglucuronide-AUCs were measured on days 3 and 10, and
months 1, 3, 6, and 12 after kidney transplantation. RESULTS: The risk of
developing diarrhea was 1.8-fold higher in patients cotreated with tacrolimus
compared with patients cotreated with cyclosporine (95% confidence interval: 1.03
3.13; P=0.038). ABCB1 and SLCO1B SNPs were not associated with dose-adjusted
exposure to MPA, MPA-glucuronide, nor acylglucuronide-MPA nor with the incidence
of diarrhea or leukopenia. CONCLUSION: Genotyping for ABCB1 or SLCO1B
pretransplantation is unlikely to be of clinical value for individualization of
MPA therapy.
PMID- 21878835
TI - Human N-acetyltransferase 1 *10 and *11 alleles increase protein expression
through distinct mechanisms and associate with sulfamethoxazole-induced
hypersensitivity.
AB - OBJECTIVES: N-acetyltransferase 1 (NAT1) metabolizes drugs and environmental
carcinogens. NAT1 alleles *10 and *11 have been proposed to alter protein level
or enzyme activity compared with wild-type NAT1 *4 and to confer cancer risk,
through uncertain pathways. This study characterizes regulatory polymorphisms and
underlying mechanisms of NAT1 expression. METHODS: We measured allelic NAT1 mRNA
expression and translation, as a function of multiple transcription start sites,
alternative splicing, and three 3'-polyadenylation sites in human livers (one of
which was discovered in this study), B lymphocytes, and transfected cells. In a
clinical study of 469 patients with HIV/AIDS treated with the NAT1/NAT2 substrate
sulfamethoxazole (SMX), associations were tested between SMX-induced
hypersensitivity and NAT1 *10 and *11 genotypes, together with known NAT2
polymorphisms. RESULTS: NAT1 *10 and *11 were determined to act as common
regulatory alleles accounting for most NAT1 expression variability, both leading
to increased translation into active protein. NAT1 *11 (2.4% minor allele
frequency) affected 3'-polyadenylation site usage, thereby increasing formation
of NAT1 mRNA with intermediate length 3'-untranslated region (major isoform) at
the expense of the short isoform, resulting in more efficient protein
translation. NAT1 *10 (19% minor allele frequency) increased translation
efficiency without affecting 3'-untranslated region polyadenylation site usage.
Livers and B-lymphocytes with *11/*4 and *10/*10 genotypes displayed higher NAT1
immunoreactivity and NAT1 enzyme activity than the reference genotype *4/*4.
Patients who carry *10/*10 and *11/*4 (fast NAT1 acetylators) were less likely to
develop hypersensitivity to SMX, but this was observed only in individuals who
are also carrying a slow NAT2 acetylator genotype. CONCLUSION: NAT1 *10 and *11
significantly increase NAT1 protein level/enzyme activity, enabling the
classification of carriers into reference and rapid acetylators. Rapid NAT1
acetylator status seems to protect against SMX toxicity by compensating for slow
NAT2 acetylator status.
PMID- 21878836
TI - Rasagiline interferes with neurodegeneration in the Prph2/rds mouse.
AB - PURPOSE: Rasagiline (N-propargyl-1(R)-aminoindan) is a second-generation
propargylamine with neuroprotective effects. We used the Prph2/rds mouse to
assess the effect of rasagiline on photoreceptor cell death and to examine the
possible modulation of different pathways of programmed cell death. METHODS: The
animals were orally treated with various doses of rasagiline from Postnatal Day 1
to 56. Methodological approaches consisted of morphometric analyses of the outer
nuclear layer thickness and investigation of apoptotic events using TUNEL (TdT
mediated dUTP-biotin nick end labeling) assay, immunohistochemistry, and
immunoblot staining. The expression of programmed cell death marker genes
involved in photoreceptor degeneration was studied by quantitative real-time
polymerase chain reaction. RESULTS: In the Prph2/rds mouse, treatment resulted in
a significant dose-dependent neuroprotection at Postnatal Day 56 and a delay in
the induction of apoptotic events at Postnatal Day 14. Programmed cell death
marker gene expression showed that several mechanisms were involved in
photoreceptor degeneration. Furthermore, rasagiline did not only target apoptosis
but also other pathways such as autophagy and inflammation. CONCLUSION: This
study showed for the first time significant neuroprotective effects of rasagiline
in the retina of Prph2/rds mice through caspase-dependent pathways. However, the
activation of caspase-independent programmed cell death pathways that are not
affected by rasagiline eventually led to retinal degeneration, but in a delayed
manner.
PMID- 21878837
TI - The association between drusen extent and foveolar choroidal blood flow in age
related macular degeneration.
AB - PURPOSE: To investigate the relationship between drusen extent and foveolar
choroidal blood flow in nonexudative age-related macular degeneration. METHODS:
Total drusen area, average druse area, and total drusen number were determined
using a computer program developed to quantify the extent of manually outlined
drusen from fundus photographs of 157 patients (239 eyes) with nonexudative age
related macular degeneration. Laser Doppler flowmetry was used to assess relative
choroidal blood velocity (ChBVel), volume (ChB Vol), and flow (ChBF low) in the
center of the fovea. RESULTS: We found a significant inverse relationship between
total drusen area and ChB Vol or ChB Flow. For every 1-mm2 increase in total
drusen area, ChB Vol decreased by 0.0061 arbitrary units (P = 0.03) and ChBF low
decreased by 0.23 arbitrary units (P = 0.049). Average druse area was also
significantly inversely related to ChB Vol and ChBF low. For every 0.01-mm2
increase in average druse area, the ChB Vol decreased by 0.0149 arbitrary units
(P = 0.001) and the ChB Flow decreased by 0.4951 arbitrary units (P = 0.003).
Adjustment for age weakened the significance, although it remained strong for
average druse area versus ChB Flow (P = 0.017) and ChB Vol (P = 0.004). The
computer-aided quantification of drusen used in this study showed high intra- and
intergrader agreement. CONCLUSION: In patients with nonexudative age-related
macular degeneration, there is an association between increased drusen extent and
decreased ChB Vol and ChB Flow. This suggests the presence of ischemia and
possibly the reason why patients with high-risk drusen are prone to advanced
disease.
PMID- 21878838
TI - Clinical utility of molecular surveillance for cytomegalovirus after antiviral
prophylaxis in high-risk solid organ transplant recipients.
AB - BACKGROUND: Cytomegalovirus (CMV) disease after discontinuation of prophylaxis is
a significant problem for CMV-seronegative recipients of CMV-seropositive organs
(donor seropositive and recipient seronegative [D+/R-]). Virologic monitoring
after prophylaxis has been proposed as a way to prevent late-onset disease.
METHODS: We reviewed the efficacy of this strategy. CMV D+/R- organ transplant
recipients received 3 to 6 months of antiviral prophylaxis, and then viral loads
were performed weekly for 8 weeks. Preemptive antiviral therapy was initiated at
a predefined threshold. RESULTS: Seventy-one CMV D+/R- patients were assessed.
Symptomatic CMV disease occurred in 29 of 71 (40.8%) patients during the first
year posttransplant. A significant portion of disease occurred only after the 8
week surveillance period (n=16). Viremia occurred in 19 of 71 (26.8%) patients
during the 8-week surveillance. Preemptive therapy was successfully used in only
3 of 19 (15.8%) viremic patients with no further disease development. The
remaining patients cleared low-level viremia spontaneously (n=3) or had CMV
disease (n=13) either at the first detection of viremia or before preemptive
therapy initiation because of rapid viral load doubling (median doubling time 1.1
days). CONCLUSION: CMV D+/R- patients had significant incidence of late-onset
disease after prophylaxis. However, the use of a preemptive after prophylaxis
strategy was of limited benefit in this group because of rapid viral doubling
times and disease occurring after the surveillance period.
PMID- 21878839
TI - African American living-kidney donors should be screened for APOL1 risk alleles.
AB - The adjusted rate of end-stage kidney disease (ESKD) among African Americans is
markedly increased relative to European Americans. African Americans are
overrepresented on the kidney transplantation waiting list and experience longer
wait times. In aggregate, these pressures drive recommendations for living donor
transplantation. Genovese et al. recently implicated the APOL1 gene in ESKD risk
among African Americans (Genovese et al. Science 2010; 329: 841). The presence of
two APOL1 risk alleles doubles the relative risk for ESKD; moreover, the alleles
are prevalent among African Americans. We propose a strategy for screening for
the presence of APOL1 risk alleles among African American living kidney donors
and for living-related donors for African American recipients.
PMID- 21878842
TI - Pharmacokinetic analysis of topotecan after superselective ophthalmic artery
infusion and periocular administration in a porcine model.
AB - PURPOSE: To characterize the vitreous and plasma pharmacokinetics of topotecan
after ophthalmic artery infusion (OAI) subsequent to superselective artery
catheterization and to compare it with periocular injection (POI). METHODS: The
ophthalmic artery of 4 pigs was catheterized and 1 mg of topotecan infused over a
period of 30 minutes. The contralateral eye was subsequently used for
administering topotecan by POI. Serial vitreous specimens were obtained by
microdialysis and plasma samples collected and assayed for total and lactone
topotecan. RESULTS: Maximum total topotecan concentration in the vitreous
(median, range) was significantly higher after OAI compared with POI (131.8 ng/mL
[112.9-138.7] vs. 13.6 ng/mL [5.5-15.3], respectively; P < 0.005). Median
vitreous exposure calculated as area under the curve for total topotecan attained
after OAI was significantly higher than after POI (299.8 ng.hour/mL [247.6-347.2]
and 48.9 ng.hour/mL [11.8-63.4], respectively; P < 0.05). The vitreous to plasma
exposure ratio was 29 after OAI and 3.4 after POI. Systemic exposure for total
topotecan was low after both modalities of administration, with a trend to be
lower after OAI compared with POI (10.6 ng.hour/mL [6.8-13.4] vs. 18.7 ng.hour/mL
[6.3-21.7]; P = 0.54). CONCLUSION: Superselective OAI resulted in significantly
higher vitreous concentrations and exposure and a trend toward lower systemic
exposure than POI.
PMID- 21878840
TI - Ganciclovir transiently attenuates murine cytomegalovirus-associated renal
allograft inflammation.
AB - BACKGROUND: Prophylactic ganciclovir (GCV) is used in high-risk renal transplant
patients to prevent acute cytomegalovirus (CMV) disease, but its impact on
inflammation within the allograft itself remains undefined. METHODS: To study the
effect of GCV prophylaxis on allograft inflammation, murine CMV (MCMV)-infected
allografts were analyzed in a murine donor positive/recipient negative allogeneic
renal transplantation model by flow cytometry and immunofluorescent staining.
RESULTS: By flow cytometry, CD45+ leukocyte infiltrates were more abundant in
MCMV-infected allografts at 14 days posttransplant compared with uninfected
grafts (P<0.01) and decreased in the presence of GCV (P<0.05). CD11c+ dendritic
cells, Gr-1+ myeloid cells, CD204+ macrophages, and CD49b+ natural killer cells
were reduced in GCV-treated allografts compared with MCMV-infected grafts without
GCV treatment (P<0.05). However, GCV failed to reduce these cell types to levels
found in MCMV-uninfected allografts. By day 7 after cessation of GCV prophylaxis,
dendritic cells, macrophages, and natural killer cells increased in number and
became statistically indistinguishable from numbers of cells found in MCMV
infected allografts without GCV. GCV treatment did not affect the numbers of
CD4+, CD8+, or CD19+/B220+ lymphocytes infiltrating the allografts. Infiltrates
were confirmed histologically by immunofluorescent staining for CD3+ and CD11b+
cells. CONCLUSIONS: In this model, MCMV-infected allografts developed
significantly greater innate and adaptive leukocytic infiltrates compared with
uninfected grafts. GCV attenuated the MCMV-associated innate leukocyte
infiltrates in infected allografts but not the lymphocytic infiltrates. The
attenuated innate response was limited to the period of GCV prophylaxis.
PMID- 21878843
TI - Oral mifepristone for chronic central serous chorioretinopathy.
AB - BACKGROUND: Chronic central serous chorioretinopathy (CCSC) can result in
permanent loss of vision. Unfortunately, many cases of CCSC are not eligible or
do not respond to treatment with thermal laser or photodynamic therapy.
Glucocorticoids have been implicated in the pathogenesis of central serous
chorioretinopathy. Mifepristone, an oral glucocorticoid receptor antagonist, may
be helpful in cases of CCSC. METHODS: Mifepristone 200 mg was administered orally
to 16 CCSC subjects in 2 separate protocols for up to 12 weeks. Visual acuity,
examination, angiography, optical coherence tomography, and liver function were
monitored during the treatment period. RESULTS: Favorable response to oral
mifepristone was seen in CCSC patients with seven subjects gaining five or more
letters of vision and seven subjects having improved optical coherence tomography
findings. Treatment was well tolerated without serious adverse effects.
CONCLUSION: Systemic glucocorticoid receptor antagonism with daily oral
mifepristone does have a beneficial effect in treating some cases of CCSC.
Further study is warranted.
PMID- 21878844
TI - Determination of retinal and vitreous temperature in vitrectomy.
AB - PURPOSE/BACKGROUND: To maximize recovery after neurologic injury, physicians use
therapeutic hypothermia of 90 degrees F to 93 degrees F (32.2-33.9 degrees C).
Temperatures below this are avoided because of increased side effects. The extent
to which the retina is cooled during routine vitreous surgery is unknown. This
study seeks to describe the temperature changes of the vitreous and retinal
surface during vitreous surgery. METHODS: In this prospective study of 6 patients
undergoing vitrectomy, a 23-gauge thermoprobe was used to measure intraocular
temperatures before, during, and after vitrectomy. RESULTS: Before vitrectomy,
the mean midvitreous temperature was 93.1 degrees F (33.9 degrees C) and retinal
temperature was 94.7 degrees F to 95.4 degrees F (34.8-35.2 degrees C). During
vitrectomy, the mean midvitreous cavity temperature was 76.9 degrees F (24.9
degrees C) and retinal temperature was 83.2 degrees F to 85.1 degrees F (28.4
29.5 degrees C). After completion of vitrectomy and with a closed infusion line,
the mean midvitreous cavity temperature was 87.0 degrees F (30.6 degrees C) and
retinal temperature was 90.1 degrees F to 90.9 degrees F (32.3-32.7 degrees C).
These changes in temperature before, during, and after vitrectomy were found to
be statistically significant. CONCLUSION: During routine vitreous surgery, the
vitreous cavity and retina are cooled to much lower temperatures than those used
in therapeutic hypothermia. Rapid rewarming occurs within the eye once the
infusion line is closed.
PMID- 21878845
TI - Predictive factors for visual outcome to intravitreal bevacizumab in young
Chinese patients with myopic choroidal neovascularization.
AB - PURPOSE: To report the anatomical and functional outcomes of intravitreal
bevacizumab in both young and old Chinese patients with myopic choroidal
neovascularization. METHODS: Consecutive series of 56 eyes (52 patients) with
myopic choroidal neovascularization treated exclusively with intravitreal
bevacizumab were reviewed retrospectively. Data from clinical examination, fundus
photography, fluorescein angiography, and optical coherence tomography were
collected. RESULTS: Vision significantly improved after intravitreal bevacizumab
in this patient series (P < 0.0001), with an average of 2.2 injections. Higher
myopia was positively correlated to a worse outcome (r = -0.3, P = 0.036).
Stratifying by age, the correlation between spherical equivalent and final
outcome showed statistical significance (r = -0.44, P = 0.027) only in younger
patients. In younger patients, both spherical equivalent (P = 0.036) and initial
visual acuity (P = 0.004) were predictive factors for visual outcome after
adjusting for age, spherical equivalent, and number of injections, whereas in
older patients, only initial visual acuity (P < 0.0001) was predictive of visual
outcome after similar adjustments. CONCLUSION: Younger patients do not have a
better outcome when compared with older patients. Initial visual acuity,
regardless of age, plays a more significant role. Both initial visual acuity and
spherical equivalent are predictive factors for final visual acuity in young
Chinese patients.
PMID- 21878846
TI - Increased retinal blood flow velocity in patients with early diabetes mellitus.
AB - PURPOSE: To compare retinal blood flow velocity in small vessels of patients with
early diabetes mellitus (DM), without any morphologic changes related to diabetic
retinopathy, with that in a control group. METHODS: The authors used the retinal
function imager to measure blood flow velocities, from many small vessels,
simultaneously. Twenty-three eyes of 14 patients with early DM and 51 eyes of 31
healthy subjects were enrolled. Differences between the patients and the control
group were assessed by mixed linear models. RESULTS: Venous average velocity
significantly increased in the DM group (3.8 +/- 1.2 vs. 2.9 +/- 0.5 mm/second, P
< 0.0001) than in the healthy subjects. Arterial velocity of DM patients was also
significantly higher (4.7 +/- 1.7 vs. 4.1 +/- 0.9 mm/second, P = 0.03). There was
no statistically significant difference between groups in age, gender, heart
rate, and systolic blood pressure. The diastolic blood pressure in the DM
patients was lower than that in the healthy group (P = 0.03). CONCLUSION: There
was an increase in arterial and venous retinal blood flow velocities of patients
with early DM with no diabetic retinopathy. These findings support the notion
that abnormalities in vessel function exist in diabetic eyes before the
development of structural changes. This noninvasive approach facilitated the
assessment of early hemodynamic abnormalities and may assist in screening and
monitoring.
PMID- 21878847
TI - Topical aqueous suppression does not significantly affect duration of intraocular
gas tamponade after vitrectomy.
AB - PURPOSE: To determine if topical aqueous suppression affects the duration of
intraocular sulfur hexafluoride (SF6) gas tamponade after pars plana vitrectomy.
METHODS: A prospective randomized controlled trial was conducted on patients
undergoing 23-gauge sutured pars plana vitrectomy with air-fluid exchange and 20%
SF6 gas tamponade. Eyes were randomly assigned to receive either postoperative
topical dorzolamide 2%-timolol 0.5% twice a day or no additional drops (control
group). Standard postoperative topical antibiotics and corticosteroids were used
by all patients. RESULTS: A total of 21 patients were recruited for the study.
Four were excluded because of noncompliance with use of dorzolamide-timolol. In
the remaining 17 patients, 8 were randomly assigned to the dorzolamide-timolol
group and 9 to the control group. Topical dorzolamide-timolol drops twice a day
did not affect the duration of SF6 gas tamponade after pars plana vitrectomy
(17.1 vs. 18.1 days with no drops, P = 0.35). The difference in mean gas duration
was -1.0 days with a 95% confidence interval of -3.2 days to 1.2 days. Secondary
analyses did not reveal a difference in SF6 duration based on lens status,
presence of diabetes or hypertension, vitrectomy versus combined buckle with
vitrectomy, or patient age. CONCLUSION: Topical aqueous suppression with
dorzolamide-timolol does not have a large effect on duration of SF6 gas tamponade
after pars plana vitrectomy.
PMID- 21878848
TI - The value of two-field pattern electroretinogram in routine clinical
electrophysiologic practice.
AB - PURPOSE: To investigate the clinical use of the large-field pattern
electroretinogram (PERG) as an adjunct test to the International-standard PERG in
an unselected sequential cohort of patients referred for routine
electrophysiologic assessment. METHODS: Pattern electroretinograms to both 15
degrees * 11 degrees (International Society for Clinical Electrophysiology of
Vision Standard) and 30 degrees * 22 degrees (large field) checkerboard field
sizes were recorded in 277 consecutive electrophysiology patients, aged 10-79
years. Most patients had additional tests including full-field electroretinogram,
electrooculogram, multifocal electroretinograms, or cortical visual evoked
potential. Patient data were compared with data from 27 control subjects.
RESULTS: Satisfactory 2-field PERG data were obtained in 91% (N = 253) of
patients; data from 24 patients (9%) were excluded because of poor compliance (n
= 17) or nystagmus (n = 7). Standard PERGs were consistent with macular
dysfunction in 44% of cases; large-field PERG revealed macular dysfunction in an
additional 8% of eyes and helped to distinguish between localized central,
predominantly paracentral, and widespread macular dysfunction. The results were
consistent with multifocal electroretinogram and/or imaging studies on the same
patients. In some patients with optic nerve disease, the large-field PERG
provided clearer evidence of normal macular function than the standard PERG.
CONCLUSION: Routine use of the large-field PERG is a valuable complement to
standard-field PERG testing in the evaluation and management of patients with
different forms of macular or generalized retinal dysfunction and can be useful
in patients with optic nerve disease.
PMID- 21878849
TI - Internal limiting membrane peeling as prophylaxis of macular pucker formation in
eyes undergoing retinectomy for severe proliferative vitreoretinopathy.
AB - BACKGROUND: The purpose of this was to analyze the effect of internal limiting
membrane (ILM) peeling on the anatomical and functional outcomes in patients
undergoing retinectomy for proliferative vitreoretinopathy-related retinal
detachment, especially regarding the postoperative development of macular pucker.
METHODS: In all, a consecutive and prospective series of 84 eyes of 84 patients
were included in the study. All eyes underwent retinectomy with silicone oil
tamponade for retinal detachment because of proliferative vitreoretinopathy. In
Group A (33 eyes), the ILM was also peeled; in Group B (51 eyes), the ILM was
left intact. Each patient gave consent to be included in the study, and no
patient was lost to follow-up. Postoperatively, careful slit-lamp examination
with a contact lens was used to determine whether primary ILM peeling was
effective in preventing macular pucker formation. Various statistical methods
were used to analyze the significance of the results with a P value of <= 0.05
interpreted as significant. RESULTS: In Group A, the mean age of the patients was
57.2 +/- 12.8 years and in Group B 54.6 +/- 14.5 years. Median follow-up in Group
A was 28.2 +/- 7.2 months and in Group B 27.4 +/- 6.5 months. The mean time
interval between the last retinectomy and silicone oil removal was 9.2 +/- 6.1
months in Group A and 8.8 +/- 3.0 months in Group B. The mean follow-up after
silicone oil removal was 17.4 +/- 10.3 months in Group A and 15.1 +/- 9.3 months
in Group B. The mean logarithm of the minimum angle of resolution visual acuity
at the final follow-up visit was 1.89 +/- 0.87 in Group A and 1.85 +/- 0.83 in
Group B (P = 0.6, t-test). Extramacular epiretinal cellular proliferation
occurred in 3 eyes (9%) in Group A in the first month after retinectomy and in 3
eyes (5.8%) in Group B (P = 0.27, Fisher exact test). These epiretinal membranes,
extending to the edge of the retinotomy, were stable during the follow-up period.
No case of macular pucker was observed in Group A, but macular pucker was
observed in 9 eyes (17.6%) in Group B at the final examination (P = 0.008, Fisher
exact test). CONCLUSION: Primary peeling of the ILM allowed complete removal of
all the epiretinal membranes and successfully prevented the development of
macular pucker. Retinectomy and silicone oil tamponade proved an effective
treatment modality for eyes with retinal detachment due to proliferative
vitreoretinopathy.
PMID- 21878850
TI - One-year choroidal thickness results after photodynamic therapy for central
serous chorioretinopathy.
AB - PURPOSE: To retrospectively evaluate choroidal thickness 1 year after
photodynamic therapy in eyes with central serous chorioretinopathy using optical
coherence tomography. METHODS: Central serous chorioretinopathy was diagnosed
using fluorescein angiography, and indocyanine green angiography was used to
evaluate choroidal vascular hyperpermeability. We measured the subfoveal
choroidal thickness using enhanced depth imaging optical coherence tomography.
RESULTS: Thirteen eyes (13 patients; average age, 56.8 years) with central serous
chorioretinopathy were observed 1 year after half-dose photodynamic therapy with
verteporfin. The mean subfoveal choroidal thickness decreased significantly from
397 +/- 108 MUm at baseline to 323 +/- 120 MUm at 1 month, 312 +/- 117 MUm at 3
months, 317 +/- 117 MUm at 6 months, and 321 +/- 122 MUm at 1 year (P < 0.01, for
each comparison with baseline). However, the subfoveal choroid thickness
significantly increased 2 days after photodynamic therapy to 441 +/- 120 (P <
0.01) compared with baseline. Central serous chorioretinopathy did not recur in
any patient. Indocyanine green angiography images at 3 months showed less
choroidal vascular hyperpermeability compared with baseline. CONCLUSION: Half
dose photodynamic therapy for central serous chorioretinopathy resulted in
thinner subfoveal choroidal thickness 1 month after treatment, decreased the
choroidal vascular hyperpermeability, and maintained the remission for 1 year.
Enhanced depth imaging optical coherence tomography was helpful for monitoring
the pathophysiologic choroidal changes in central serous chorioretinopathy.
PMID- 21878851
TI - Association between high-risk disease loci and response to anti-vascular
endothelial growth factor treatment for wet age-related macular degeneration.
AB - PURPOSE: To investigate whether there is an association between known age-related
macular degeneration genetic risk variants in the CFH, ARMS2, and HTRA1 genes and
response to anti-vascular endothelial growth factor (VEGF) (ranibizumab or
bevacizumab) treatment for wet age-related macular degeneration. METHODS: A
retrospective review of 150 patients with documented wet age-related macular
degeneration based on clinical examination and fluorescein angiogram was
performed. Patients received anti-VEGF therapy with ranibizumab and/or
bevacizumab. Patients were genotyped for the single-nucleotide polymorphism
rs1061170, rs10490924, rs3750848, rs3793917, rs11200638, and rs932275 and for the
indel del443ins54 spanning the CFH, ARMS2, and HTRA1 genes. RESULTS: There were
57 patients who were characterized as negative responders to anti-VEGF therapy,
and 93 patients who were characterized as positive responders. There was no
significant difference in mean baseline visual acuity between the groups.
Negative responders were followed for a mean duration of 24.0 months, while
positive responders were followed for a mean duration of 22.0 months. Although
the frequency of the at-risk alleles was higher in the positive responders when
compared with the negative responder, this did not reach statistical
significance. Additionally, there was no significant association between genotype
and the number of injections or absolute change in visual acuity in both groups
of responders. CONCLUSION: In our patient cohort, there was no statistically
significant association between response to anti-VEGF therapy and the genotype in
both positive-responder and negative-responder groups. Larger studies with more
power are necessary to further determine whether a pharmacogenetic association
exists between wet age-related macular degeneration and anti-VEGF therapy.
PMID- 21878852
TI - Evaluation of retinal nerve fiber layer thickness in patients with retinitis
pigmentosa using spectral-domain optical coherence tomography.
AB - PURPOSE: To measure the peripapillary retinal nerve fiber layer (RNFL) thickness
using spectral-domain optical coherence tomography in patients with retinitis
pigmentosa. METHODS: Fifty eyes of 30 patients with retinitis pigmentosa
underwent a complete ocular examination, including best-corrected visual acuity
using a Snellen chart, slit-lamp biomicroscopic examination, and Goldmann
applanation intraocular pressure measurement. Dilated fundus examination was
performed using both direct and indirect ophthalmoscopy. In addition, all
patients underwent peripapillary RNFL thickness measurements using an OPKO
spectral-domain optical coherence tomography (OPKO Instrumentations, Miami, FL).
RESULTS: The mean (+/- SD) age of the study cohort was 45.8 (+/- 16.3) years. Of
the 50 eyes, 18 (36%) showed a thinning of the peripapillary RNFL in 1 or more
quadrants and 21 (42%) showed a thickening of the peripapillary RNFL in 1 or more
quadrants. Four eyes (8%) showed both thinning and thickening of the
peripapillary RNFL thickness. The overall circumferential RNFL thickness of the
14 eyes that showed only thinning in at least 1 quadrant was 78.78 MUm. For the
17 eyes that showed only thickening in at least 1 quadrant, the RNFL thickness
was 119.69 MUm. The values of the eyes with thinning and the eyes with thickening
were significantly different from normal (t = 6.31 and P < 0.01 for thickening; t
= 3.62 and P < 0.01 for thinning). CONCLUSION: Using spectral-domain optical
coherence tomography testing, we demonstrated in the current study that the
peripapillary RNFL thickness in patients with RP can be decreased, increased, or
maintained within normal limits. Assessment of the RNFL thickness seems prudent
in these patients, particularly for identifying notable degrees of RNFL thinning
in those being considered for future therapeutic trials.
PMID- 21878853
TI - Quantification of fluorescein-stained drusen associated with age-related macular
degeneration.
AB - BACKGROUND: Previous studies of age-related macular degeneration have not
quantified the number of drusen that accumulate fluorescein. Histopathologic
studies have demonstrated druse subregions with different degrees of
hydrophobicity, and these subregions might potentially exhibit different degrees
of fluorescein uptake. METHODS: We evaluated macular drusen from 35 age-related
macular degeneration patients by measuring druse area in color digital images and
fluorescein angiograms, using 2 morphometric methods. RESULTS: Of 828 drusen
evaluated, 405 had a corresponding fluorescein angiogram signal. About half of
all drusen per eye (49.57%) stained in each participant. Among fluorescein
stained drusen, druse size measured in color images did not differ significantly
from the sizes measured in corresponding fluorescein images (P = 0.8105), across
the range of druse sizes. CONCLUSION: These findings indicate that our
understanding of drusen subregion staining may not directly correlate to in vivo
observations of macular drusen in age-related macular degeneration.
PMID- 21878854
TI - Hemicentral retinal vein occlusion: natural history of visual outcome.
AB - PURPOSE: To investigate the natural history of visual outcome in hemicentral
retinal vein occlusion (HCRVO). METHODS: The study comprised 65 consecutive HCRVO
patients (67 eyes) seen within 3 months of onset. At first visit, all patients
had a detailed ophthalmic and medical history and comprehensive ophthalmic
evaluation. Ophthalmic evaluation at initial and follow-up visits included
recording visual acuity using the Snellen visual acuity chart, and visual fields
with a Goldmann perimeter. Hemicentral retinal vein occlusion was classified into
nonischemic (57 eyes) and ischemic (10 eyes) at initial visit. RESULTS:
Nonischemic HCRVO involved superior and inferior half of the retina in 39% and
56%, respectively, and in ischemic HCRVO in 50% and 40%, respectively. In
nonischemic HCRVO, initial visual acuity was 20/60 or better in 73.7% and minimal
to mild visual field loss in 96% and in ischemic HCRVO in 40% and 55.5%,
respectively. After resolution of macular edema, in nonischemic HCRVO eyes,
cumulative chance of improvement was 50% with 20/70 or worse initial visual
acuity, and deterioration in only 6% with 20/60 or better initial visual acuity,
and in 5% with minimal to mild visual initial field loss. CONCLUSION: This study
suggests a good prognosis in the natural history of visual outcome in nonischemic
HCRVO.
PMID- 21878855
TI - Comparison of choroidal thickness among patients with healthy eyes, early age
related maculopathy, neovascular age-related macular degeneration, central serous
chorioretinopathy, and polypoidal choroidal vasculopathy.
AB - PURPOSE: To compare choroidal thicknesses among eyes with early age-related
maculopathy (ARM), neovascular age-related macular degeneration, polypoidal
choroidal vasculopathy, and central serous chorioretinopathy. METHODS: Patients
with age-related maculopathy (37 eyes), neovascular age-related macular
degeneration (24 eyes), polypoidal choroidal vasculopathy (12 eyes), and central
serous chorioretinopathy (31 eyes) underwent spectral-domain optical coherence
tomography evaluations using a choroid scanning protocol. A horizontal linear
section comprising 50 averaged scans was obtained of each macula. The choroidal
thickness was measured from the outer border of the retinal pigment epithelium to
the inner scleral border. Twenty-nine subjects with healthy eyes served as a
control group. Analysis of covariance tests were performed to evaluate the
effects of various diagnoses on choroidal thickness after removal of variance
(covariates = gender, age, and refractive error). RESULTS: Among the different
covariates, age was associated with choroidal thickness (fovea: F = 12.067, P =
0.001). After controlling for age differences, the choroid was thicker in
polypoidal choroidal vasculopathy (319.92 +/- 68.66 MUm) and central serous
chorioretinopathy (367.81 +/- 105.56 MUm) patients than in controls (241.97 +/-
66.37 MUm) and age-related maculopathy patients (186.62 +/- 64.02 MUm). However,
there were no significant differences in mean choroidal thickness between
neovascular age-related macular degeneration (226.46 +/- 102.87 MUm) and any of
the other diagnoses. CONCLUSION: The choroid was thicker in eyes with polypoidal
choroidal vasculopathy or central serous chorioretinopathy than in control or age
related maculopathy groups.
PMID- 21878856
TI - Clinical presentations and therapeutic effect of presumed choroidal tuberculosis.
AB - PURPOSE: To present the clinical findings and to evaluate the therapeutic
response to systemic antituberculosis (anti-TB) therapy in 18 cases of presumed
choroidal TB. METHODS: Retrospective noncomparative interventional case series.
Eighteen patients underwent a complete ophthalmologic examination and relevant
laboratory and radiologic investigations. All patients were treated with systemic
anti-TB therapy accordingly. RESULTS: In 18 cases of choroidal TB, there were 8
men and 10 women ranging in age from 8 years to 52 years. Ten patients also had
evidence of pulmonary TB and among of them, two patients had coexistent central
nervous system TB, one patient had lymphoid TB, and one patient had abdominal TB.
Those cases had varied clinical presentations including tubercle, tuberculoma,
multifocal choroiditis, serpiginous like choroiditis, and/or diffuse choroiditis.
Two cases (11.1%) had bilateral presentation. All patients were referred to a TB
specialist to initiate a full standard course of anti-TB therapy according to the
guideline of Centers for Disease Control and Prevention. Aside from one case,
which worsened to panophthalmitis, the others responded to anti-TB therapy very
well. None of the patients had human immunodeficiency virus and none was
immunocompromised. CONCLUSION: Choroidal TB may present as variable clinical
manifestations and occasionally be misdiagnosed as intraocular tumor or
metastatic tumor. In our case series, the prognosis of this disease was well by
giving systemic anti-TB therapy. So all ophthalmologists especially in developing
countries should have a high degree of clinical suspicion to maximally maintain
visual acuity.
PMID- 21878857
TI - Early neural and vascular changes in the adolescent type 1 and type 2 diabetic
retina.
AB - PURPOSE: This cross-sectional study examines the existence and frequency of
functional and structural abnormalities in the adolescent Type 1 diabetic retina.
We also compare the results with those of adolescents with Type 2 diabetes.
METHODS: Thirty-two adolescents with Type 1 diabetes (5.7 +/- 3.6 years; mean
duration +/- SD), 15 with Type 2 diabetes (2.1 +/- 1.3 years), and 26 age-matched
control subjects were examined. Multifocal electroretinogram responses from 103
retinal regions were recorded. Optical coherence tomography was used to measure
retinal thickness. Vascular diameter around the optic nerve was also assessed.
RESULTS: Nine of the 32 (28%) adolescents with Type 1 diabetes and 6 of the 15
(40%) with Type 2 diabetes had significant multifocal electroretinogram implicit
time delays compared with 2 of the 26 controls (8%). Retinal thicknesses in both
patient groups were significantly (P <= 0.01) thinner than controls. The Type 2
group also showed significant (P <= 0.03) retinal venular dilation (235.8 +/- 5.9
MUm) compared with controls (219.6 +/- 4.0 MUm). CONCLUSION: The present study
illustrates that subtle but significant functional and structural changes occur
very early in Type 1 diabetes. Adolescents with Type 2 diabetes appear to be more
affected than those with Type 1 diabetes. Further longitudinal examination of the
etiology and progression of these abnormalities is warranted.
PMID- 21878858
TI - A practical approach to new (5Z) 2-alkylthio-5-arylmethylene-1-methyl-1,5-dihydro
4H-imidazol-4-one derivatives.
AB - A practical protocol for the preparation of (5Z)-2-alkylthio-5-arylmethylene-1
methyl-1,5-dihydro-4H-imidazol-4-one derivatives is reported. The new compounds
were obtained in good yield and stereoselectivity in two steps, namely a solvent
free Knoevenagel condensation under microwave irradiation, followed by an S
alkylation reaction with various halogenoalkanes.
PMID- 21878859
TI - Microwave-assisted extraction of oxymatrine from Sophora flavescens.
AB - In this paper, microwave-assisted extraction (MAE) of oxymatrine from Sophora
flavescens were studied by HPLC-photodiode array detection. Effects of several
experimental parameters, such as concentration of extraction solvent, ratio of
liquid to material, microwave power, extraction temperature, and extraction time
on the extraction efficiencies of oxymatrine were evaluated. The optimal
extraction conditions were 60% ethanol, a 20:1 (v/v) ratio of liquid to material
and extraction for 10 min at 50 degrees C under 500 W microwave irradiation.
Under the optimum conditions, the yield of oxymatrine was 14.37 mg/g. The crude
extract obtained could be used as either a component of some complex traditional
medicines or for further isolation and purification of bioactive compounds. The
results, which indicated that MAE is a very useful tool for the extraction of
important phytochemicals from plant materials, should prove helpful for the full
utilization of Sophora flavescens.
PMID- 21878860
TI - Antioxidant capacity of Ocimum basilicum L. and Origanum vulgare L. extracts.
AB - The antioxidant properties of five different extracts (Et2O, CHCl3, EtOAc, n
BuOH, and H2O) of Ocimum basilicum L. and Origanum vulgare L. were studied.
Antioxidant activity was assessed in six different model systems. Free radical
scavenging capacity (RSC) was evaluated by measuring the scavenging capacity of
extracts on DPPH, NO, O2*- and OH radical, as well as on hydrogen peroxide
(H2O2). In addition, the protective effects on lipid peroxidation in liposomes
(LPx) were evaluated by TBA-assay using the Fe2+/ascorbate induction system. The
amount of total phenolic compounds and content of total flavonoids was also
determined. EtOAc, n-BuOH and H2O extracts of O. basilicum and O. vulgare
expressed very strong scavenger activity. Furthermore, the mentioned extracts
showed notable inhibition of LPx. On the other hand, Et2O and CHCl3 extracts
showed much weaker effect in the neutralization of DPPH, NO and O2*- radicals and
the neutralization of H2O2. When examining the production of OH radicals and
inhibition of LPx, the Et2O and CHCl3 extracts showed weak prooxidative
properties. The observed differences in antioxidant activity could be partially
explained by the levels of phenolics and flavonoids in the investigated O.
basilicum and O. vulgare extracts.
PMID- 21878861
TI - Long-acting insulin analogue detemir compared with NPH insulin in type 1
diabetes: a systematic review and meta-analysis.
AB - INTRODUCTION: Although numerous studies showed an improvement in glycemic control
in type 1 diabetic patients treated with long-acting insulin analogue detemir
compared with Neutral Protamine Hagedorn (NPH) insulin, the beneficial effects of
insulin detemir has not been confirmed by all investigators. OBJECTIVES: The aim
of the study was to compare the effect of treatment with detemir insulin vs. NPH
insulin on metabolic control, hypoglycemic episodes, and body weight gain in
patients with type 1 diabetes by means of a systematic review and a meta
analysis. METHODS: The following electronic databases were searched up to
November 2010: MEDLINE, EMBASE, and the Cochrane Library. Additional references
were obtained from the reviewed articles. Only randomized controlled trials of at
least 12-week duration with basal-bolus regimen therapies using detemir insulin
vs. NPH insulin were included. RESULTS: The analysis included 10 studies
involving 3825 patients with type 1 diabetes. Combined data from all trials
showed a statistically significant reduction in hemoglobin A1c (HbA1c) (weighted
mean difference: [WMD] -0.073, 95% CI -0.135 to -0.011, P = 0.021) in the detemir
group compared with the NPH group. There was also a significant reduction of
fasting plasma glucose (FPG) (WMD - 0.977 mmol/l, 95% CI -1.395 to -0.558, P
<0.001), all-day hypoglycemic episodes (relative risk [RR] 0.978, 95% CI 0.961
0.996), severe hypoglycemic episodes (RR 0.665, 95% CI 0.547-0.810), nocturnal
hypoglycemic episodes (RR 0.877, 95% CI 0.816-0.942), as well as smaller body
weight gain (WMD -0.779 kg, 95% CI -0.992 to -0.567) in patients using detemir
insulin compared with those using NPH insulin. CONCLUSIONS: Basal-bolus treatment
with insulin detemir, as compared with NPH insulin, provided a minor benefit in
terms of the HbA1c value and significantly reduced FPG in type 1 diabetic
patients. Treatment with detemir insulin was also superior to NPH insulin in
reducing the risk of all-day, nocturnal, and severe hypoglycemic episodes, with
the added benefit of reduced weight gain.
PMID- 21878862
TI - Should we have any doubts about hypertension therapy in elderly patients?:
ACCF/AHA 2011 expert consensus document on hypertension in the elderly.
AB - Hypertension therapy in elderly patients still constitutes a considerable
challenge. Its importance is also emphasized by the fact that, nowadays, many
international organizations focus on the problem of an aging society (in 2030,
life expectancy at birth in the European Union 27 is expected to rise to 85.3
years for women and 80.0 years for men). They discuss not only the optimal
therapy in elderly patients, the problem of compliance and polypragmasy, but also
the quality of life as well as the social, economic, and psychological challenges
associated with this patient group. However, neither the available trials nor the
European Society of Hypertension guidelines (2009) finally answered all important
questions on hypertension management in elderly people. Thus, the first official
recommendations on hypertension therapy in this patient group were much expected.
The American College of Cardiology Foundation/American Heart Association 2011
Expert Consensus Document on Hypertension in the Elderly was published on April
25, 2011. The present article summarizes the most important issues discussed in
this document.
PMID- 21878863
TI - Explanatory and pragmatic clinical trials: a primer and application to a recent
asthma trial.
AB - Most clinical trials assessing the role of a specific intervention attempt to
answer an explanatory question: under ideal circumstances of risk and
responsiveness, can the expert care of individual with a particular condition
reduce their risks of a relevant but restricted set of outcomes? Such explanatory
trials (also called efficacy trials) are of direct relevance to expert clinicians
and their highly compliant patients. Another question, potentially of broader
clinical or health care policy relevance is: Does this treatment improve patient
important outcomes when applied by typical clinicians to typical patients?
Answering this latter question is the goal of pragmatic trial, also labeled by
some as "management" or "effectiveness" trial. The methodological and
organizational differences between explanatory and pragmatic trials include,
among others, patients eligibility (restricted to highly responsive and compliant
patients in explanatory trials vs. everyone with condition of interest in
pragmatic trials), experimental and comparator intervention (blinded and
inflexible with strict instructions vs. flexible with cross-over permitted and no
blinding), types of practitioners (only those with documented high expertise vs.
all who usually provide given mode of care), and outcome measurement (often
limited to biologic effects vs. broad overall health effects sometimes based on
administrative data bases on mortality and utilization). Those aspects of study
design and conduct and their role in determining a place of an intervention in
clinical practice are reviewed in this paper.
PMID- 21878864
TI - Effect of inhaled corticosteroids on small airway inflammation in patients with
bronchial asthma.
AB - Bronchial asthma is a chronic inflammatory disease affecting the bronchial
mucosa. In asthma patients, the highest number of inflammatory cells, including
eosinophils, are found in the small bronchi. According to the most recent 2006
report of the Global Initiative for Asthma, inhaled corticosteroids (ICS) remain
the first-line treatment of chronic asthma. They are characterized by high lung
deposition and good distribution in the small bronchi, which makes them
particularly efficient in reducing chronic inflammatory infiltrate in the small
airways. Good pulmonary distribution of ICS in patients with mild asthma is
reflected by a better control of the disease, improvement in the quality of life,
improvement in the results of pulmonary function tests, decreased levels of
exhaled proinflammatory nitric oxide, lower number of inflammatory cells
(including eosinophils) in the induced sputum, decrease in bronchial
hyperreactivity, and decrease in exhaled air trapping observed in the computed
tomographic scanning of the lungs.
PMID- 21878865
TI - Intraoperative neurophysiological monitoring by anesthesiologists.
PMID- 21878866
TI - Monitored anesthesia care and ophthalmic surgery. Challenge or "easy-going"?
PMID- 21878867
TI - Electrophysiologic neuromonitoring during repair of the thoracoabdominal aorta by
anesthesiologists.
AB - BACKGROUND: Surgical repair of the thoracoabdominal aorta is associated with risk
of spinal ischemia. Electrophysiologic neuromonitoring reduces this risk, but is
usually performed by neurophysiologists not always available. In this study
repair of the thoracoabdominal aorta monitored by anesthesiologists has been
investigated. METHODS: Somatosensory and transcranial electrical motor evoked
potentials were monitored in 20 patients. A lumbar intraspinal fluid drainage was
inserted. Dacron graft replacement of the aorta was performed by sequentially
clamping during partial cardiopulmonary bypass. Loss or decrease of amplitudes of
evoked potentials of more than 50% prompted reinsertion of spinal arteries in the
graft. RESULTS: One patient not monitored with motor evoked potentials due to
indwelling cardiac pacemaker had postoperative paraplegia. Somatosensory and
motor evoked potentials were recordable in all other patients. Two patients died
during surgery, one patient died postoperatively. No surviving patient monitored
with somatosensory and motor evoked potentials had neurologic deficits.
CONCLUSION: Electrophysiologic neuromonitoring during surgical repair of the
descending aorta can be successfully provided by anesthesiologists and should be
predominately encouraged where neurophysiologists are not available due to
organizational or financial shortcomings.
PMID- 21878868
TI - Changes in calculated arterio-jugular venous glutamate difference and SjvO2 in
patients with severe traumatic brain injury.
AB - BACKGROUND: Cerebral metabolic impairment is feared to induce secondary brain
damage following traumatic brain injury (TBI). The present study was designed to
assess the temporal profile of calculated arterio- jugular venous differences in
glutamate (AJVDglu) and SjvO(2) in patients subjected to continuous pharmacologic
coma. Metabolic impairment was assumed to be reflected by increased jugular
venous glutamate levels and decreased jugular venous oxygen saturation (SjvO(2)).
METHODS: Arterial and jugular venous blood was drawn once daily for up to 14 days
from 14 patients to assess the temporal profile. Plasma glutamate was measured by
high performance liquid chromatography. SjvO(2), lactate and paCO(2) were
determined in routine blood gas analysis. Calculated AJVD indirectly reflects
cerebral uptake (positive values) or cerebral release (negative values). RESULTS:
During pharmacologic coma an increase in ICP approaching 20 mmHg was associated
with significantly reduced paCO(2) (4.7 +/- 0.5 kPa; mean +/- standard
deviation), markedly decreased SjvO(2) (66.0 +/- 4.2%) without reaching ischemic
values, and a trend to more negative AJVDglu values (-6.0 +/- 14.3 MUmol/L),
suggesting cerebral glutamate release. Arterio- jugular venous lactate difference
(AJVDlac) remained unchanged. CONCLUSION: During pharmacologic coma increased ICP
was associated with significantly decreased SjvO(2) which coincided only with a
trend to increased cerebral glutamate release. Calculated AJVDglu appears to be
inferior in unmasking altered brain metabolism compared to SjvO(2) whenever ICP
is increased.
PMID- 21878869
TI - Systemic adverse events during 2005 phacoemulsifications under monitored
anesthesia care: a prospective evaluation.
AB - BACKGROUND: The aim of the study was to evaluate the systemic adverse events
triggering on-call anesthesiologist's intervention during 2005
phacoemulsification under topical anesthesia on a day-surgery monitored
anesthesia care regimen. METHODS: Adverse events triggering an anesthesiologist
call by the attending nurse were registered. Comorbidities (7 categories), age,
gender, body mass index, ASA status, length of surgery, time of the day of
surgery and operated eye (first/second) were analyzed as potentially predictive
factors. Odds Ratios are expressed as OR (95% CI). RESULTS: The anesthesiologist
was called in 433 (21.6%) cases: age (5-yr-OR 0.95 [0.91-0.99]), ASA status 3-4
(OR 1.37 [1.02-1.85]), positive neurological history (OR 1.60 [1.06-2.40]),
positive psychiatric history (OR 2.56 [1.34-4.93]) and length of surgery (OR 1.03
[1.01-1.06]) were predictive of the anesthesiologist call. Arterial hypertension
(10.3%) and agitation (9.5%) were the most frequent adverse events. Age (5-yr-OR
1.27 [1.16-1.38]) and ASA status 3-4 (OR 1.83 [1.30-2.56]) were predictive of
arterial hypertension. Age (5-yr-OR 0.80 [0.76-0.85]), positive neurological
history (OR 1.86 [1.10-3.14]) and positive psychiatric history (OR 4.48 [2.26
8.88]) were predictive of agitation. Interruption of surgery was never required.
CONCLUSION: One-day cataract surgery performed under topical anesthesia with
monitored anesthesia care required anesthesiologist intervention in 21.6% of
cases, mainly because of agitation or hypertension. Agitation occurred more often
in younger patients with neurological or psychiatric comorbidities. Hypertension
occurred more often in older patients with higher ASA scores.
PMID- 21878870
TI - Management of acute respiratory complications from influenza A (H1N1) infection:
experience of a tertiary-level Intensive Care Unit.
AB - BACKGROUND: The novel influenza A (H1N1) pandemic was associated with an epidemic
of critical illness. METHODS: We describe the clinical profiles of critically ill
patients with severe complications due to microbiologically confirmed pandemic
influenza A (H1N1) infection admitted to a medical ICU in Monza, Italy, over a 6
month period. RESULTS: From August 2009 to January 2010, 19 patients (13 adults
and 6 children) required ICU admission. Nine subjects were referred to our
hospital from other ICUs. In all patients, with the exception of a case of severe
septic shock, the cause of ICU admission was acute respiratory failure. Other
nonpulmonary organ failures were common. A trial of non-invasive ventilation was
attempted in 13 cases and was successful in four of them. The majority of the
patients required invasive mechanical ventilation. In the 7 most severely
hypoxemic patients, we applied veno-venous ECLS, with a very high rate of
success. The median ICU stay was 9 days (range 1-78 days). Sixteen out of 19
(84%) patients survived. CONCLUSION: In the majority of our patients, critical
illness caused by pandemic influenza A (H1N1) was associated with severe
hypoxemia, multiple organ failure, requirement for mechanical ventilation and
frequent use of rescue therapies and ECLS support.
PMID- 21878871
TI - Invasive and non-invasive long-term mechanical ventilation in Italian children.
AB - BACKGROUND: To date, few studies have been published regarding the number of
children in Italy who require long-term mechanical ventilation (LTV) and their
underlying diagnoses, ventilatory needs and hospital discharge rate. METHODS: A
preliminary national postal survey was conducted and identified 535 children from
57 centers. Detailed data were then obtained for 378 children from 30 centers.
RESULTS: The estimated prevalence in Italy of this population was 4.3/100000. The
majority of children (72.2%) were followed in pediatric units. The primary
physicians who cared for these patients were either pediatric intensivists or
pediatric pulmonologists. Neurological patients (78.2% of cases) represented the
principal disorder category. 57.2% of the patients were non-invasively
ventilated, with a nasal mask being the most common interface (85% of cases). The
presence of clinical symptoms that were associated with abnormal findings on
diagnostic testing was the primary indication for ventilatory support, whereas
weaning failure was the primary indication for tracheotomy. Invasive ventilation
was significantly related to younger age, longer daily hours on ventilation and
cerebral palsy. Ventilatory modes with guaranteed minimal tidal volume were more
often used in patients with tracheotomy. Despite their age, illness severity and
need for technological care, 98% of the study population were successfully home
discharged. CONCLUSION: Managing pediatric home LTV requires tremendous effort on
the part of the patient's family and places a significant strain on community
financial resources. In particular, neurological patients require more health
care than patients in other categories. To further improve the quality of care
for these patients, it is essential to establish a dedicated national database.
PMID- 21878872
TI - Steroids in severe pneumonia: a literature review.
AB - Despite more than sixty years of scientific medical research, severe pneumonia,
either community-acquired or nosocomial, remains a leading cause of death
regardless of the patients' immunity state. The clinical introduction of new and
more potent antibiotic molecules and the continuous development of efficient
respiratory assistance devices may not be able to radically improve the clinical
outcome of pneumonia. Adjunctive therapies based on the physiopathological
mechanisms of lung damage in severe pneumonia have been strongly advocated, and
corticosteroids, which present many properties that theoretically interfere with
these pathways, have been widely used, with conflicting results. The aim of this
review is to examine existing literature data on steroid use in severe pneumonia.
Molecular, endocrinological and clinical studies will be described to help
physicians to clarify the reasons for the historical debate about steroid use as
an adjunctive treatment in severe pneumonia. There is growing evidence that,
during lung infection, an excessive inflammatory response can have deleterious
effects and contribute to tissue damage mechanisms. Because of their
immunomodulatory properties, glucocorticoids have been suggested as a useful tool
for regulating the complex balance of cytokine networks, and they are commonly
used as an adjunctive therapy during serious infections. In severe pneumonia,
preclinical data, including cytokine level detection and animal studies, have
shown encouraging results, although the clinical data is controversial. Moreover,
large randomized controlled trials have not been conducted to determine steroid
side effects and the risk of immunosuppression-induced superinfections. The
benefits of steroid use in patients with severe pneumonia have not been proven by
current literature, but ongoing investigations of anti-inflammatory molecules
probably represent the key point of severe infection management in the near
future.
PMID- 21878873
TI - End of life care in Italian intensive care units: where are we now?
AB - Most patients in the ICU are unable to make decisions for themselves at the end
of life (EOL), and the responsibility for these decisions falls to the medical
staff and patients' relatives. Therefore, clinicians must frequently communicate
with patients' relatives to understand the patients' values and preferences as
they perform medical decision making. The family's role in this process varies:
the entire burden of decision making could rest with the family, or family
members could be informed of the decisions without admission into the decision
making process. In contrast to these two extremes, clinicians and family members
may also enter into shared decision making: an exchange of views and opinions
between clinicians and the patient's family to enable the two parties to reach
decisions together. In this latter scenario, the effectiveness of the discussions
that take place between clinicians and family members becomes a crucial marker of
high-quality intensive care. In this review, we provide an overview of the
current literature concerning the state of EOL care in European and Italian ICUs
and then summarize several European and American recommendations for improving
EOL care in the ICU. Finally, we examine the opportunity to use shared decision
making to improve EOL care in the ICU through interdisciplinary communication,
open and realistic discussion of prognosis with families, and an approach
respecting different cultural perspectives.
PMID- 21878874
TI - Are guidelines for non-invasive ventilation during weaning still valid?
AB - Noninvasive ventilation (NIV) has gained increasing acceptance over the years to
reduce endotracheal intubation, pneumonia and to prevent or treat respiratory
failure in patients with different diagnoses. The international consensus
conference, and the British society guidelines on NIV ventilation have analyzed
its use during the weaning phase concluding that there were still conflicting
results of its use. However, recent clinical trials have shown clear clinical
benefits on the use of NIV in several patient populations during the weaning
period. Acute respiratory failure (ARF) during the weaning process is the main
object of recently published studies. The latest published randomized trials on
the application of NIV for acute respiratory failure following extubation failed
to demonstrate any favorable outcome. Even so, the use of NIV during the process
of weaning in patients experiencing multiple weaning failure or as a preventive
therapy in patients at higher risk of respiratory deterioration showed improved
clinical outcomes only in chronic obstructive pulmonary disease and in particular
in hypercapnic patients. Reduced invasive mechanical ventilation, tracheostomy
and lower mortality rate at 90 days were the major advantages.
PMID- 21878875
TI - When, where and how to initiate hypothermia after adult cardiac arrest.
AB - Therapeutich hypothermia (TH) has been shown to improve neurological outcome and
survival after witnessed cardiac arrest (CA) that is due to ventricular
fibrillation. Although TH is widely used following witnessed CA as well as all
forms of initial rhythm, the mortality rate after CA remains unacceptably high,
and additional study is needed to understand when and how to implement
hypothermia in the post-resuscitation phase. Experimental studies have emphasized
the importance of initiating cooling soon after the return of spontaneous
circulation (ROSC) or even during cardiopulmonary resuscitation (CPR). Clinical
studies have shown that pre-hospital induction of hypothermia is feasible and has
no major adverse events-even when used intra-arrest-and may provide some
additional benefits compared to delayed in-hospital cooling. Thus, hypothermia
use should not be limited to the Intensive Care Unit but can be initiated in the
field/ambulance or in the Emergency Department, then continued after hospital
admission- even during specific procedures such as coronary angiography-as part
of the global management of CA patients. Various methods (both non-invasive and
invasive) are available to achieve and maintain the target temperature; however,
only some of these methods-which include cold fluids, ice packs, iced pads and
helmet and trans-nasal cooling- are easily deployed in the pre-hospital setting.
PMID- 21878876
TI - Combination of propofol and remifentanil target-controlled infusion for laryngeal
mask airway insertion in children: some remarks.
PMID- 21878878
TI - Delayed hemopneumothorax resulting from a ventriculoperitoneal shunt procedure.
PMID- 21878879
TI - Stones: Passing a stone in your sleep might be easier than you think.
PMID- 21878881
TI - Risk factors: Cigarette smoking increases the risk of coronary heart disease in
women more than in men.
PMID- 21878880
TI - Stable angina pectoris: antianginal therapies and future directions.
AB - Advances in pharmacotherapy for stable angina have produced a wide choice of
drugs with various mechanisms of action, potentially enabling individualized,
patient-specific treatment strategies to be developed. In this Review, the
various treatment options for patients with stable angina are discussed. Data
from randomized, clinical trials of established and novel drugs are reviewed,
with particular emphasis on the proposed mechanisms of action, benefits of
therapy, and adverse-effect profiles. The role of coronary revascularization in
conjunction with optimal medical therapy as a treatment strategy is discussed,
although drug therapy might reduce the need for prompt revascularization if the
procedure is being considered solely for the purpose of alleviating angina.
Finally, trials to investigate stimulation of angiogenesis using growth-factor,
gene, and cell therapy are used to illustrate the challenges of chemically
inducing the growth of adequate, durable blood vessels.
PMID- 21878882
TI - Anticoagulation therapy: Direct factor Xa inhibition improves stroke prevention
in patients with AF.
PMID- 21878883
TI - Transplantation: Does switching to a CNI-free regimen reduce cancer risk?
PMID- 21878884
TI - Transplantation: mTOR inhibition in kidney transplant recipients.
PMID- 21878887
TI - Transplantation: Good long-term outcomes after pediatric en bloc transplantation.
PMID- 21878885
TI - Epidemiology and management of end-stage renal disease in the elderly.
AB - Elderly patients with end-stage renal disease (ESRD) are at increased risk of
developing aging-related problems, such as frailty, impaired physical function,
falls, poor nutrition and cognitive impairment. These factors affect dialysis
outcomes, which can be very poor in frail, elderly patients who often experience
a decline in overall health and physical function and have short survival. The
default treatment option for these patients is hospital-based hemodialysis, often
with little consideration of how this modality will affect the survival or
quality of life of individual patients. A comparison of quality of life of
elderly patients on hemodialysis versus peritoneal dialysis shows that those on
peritoneal dialysis have less illness intrusion. Assisted peritoneal dialysis
enables a greater number of frail, elderly patients to have dialysis in their own
homes. Dialysis may not extend survival for those with multiple comorbidities, so
conservative care (nondialysis treatment) should be considered. To improve the
outcomes of elderly patients with ESRD, it is necessary to develop a realistic
approach to overall prognosis, quality of life and how the patient copes with the
disabilities associated with aging. This approach includes having discussions
regarding choice of treatment and end-of-life goals with patients and families.
PMID- 21878888
TI - Measuring fatigue in rheumatoid arthritis.
PMID- 21878889
TI - Rituximab in AAV: when and how to use it.
PMID- 21878890
TI - Expanding horizons in metastatic prostate cancer treatment.
PMID- 21878892
TI - Hematology: New hope for lymphoma patients.
PMID- 21878891
TI - Challenges translating breast cancer gene signatures into the clinic.
AB - The advent of microarray-based gene-expression profiling a decade ago raised high
expectations for rapid advances in breast cancer classification, prognostication
and prediction. Despite the development of molecular classifications, and
prognostic and predictive gene-expression signatures, microarray-based studies
have not yielded definitive answers to many of the questions that remain germane
for the successful implementation of personalized medicine. There are a lack of
robust signatures to predict benefit from specific therapeutic agents and it is
still not possible to predict prognosis or chemotherapy treatment response in
specific disease subsets accurately, such as triple-negative breast cancer. We
discuss the hurdles in the development and validation of molecular classification
systems, and prognostic and predictive signatures based on microarray gene
expression profiling. We suggest that similar challenges are likely to be
encountered in translating next-generation sequencing data into clinically useful
information. Finally we highlight strategies for the development of clinically
useful molecular predictors in the future.
PMID- 21878894
TI - Targeted therapies: Front-line therapy in lung cancer with mutations in EGFR.
PMID- 21878895
TI - Thyroid cancer: finding the malignant thyroid nodule in the haystack.
PMID- 21878898
TI - Diabetes: type 1 diabetes mellitus--a door opening to a real therapy?
PMID- 21878899
TI - Nutrition: dietary fat might influence serum vitamin D level.
PMID- 21878900
TI - Derivation of insulin producing cells from human endometrial stromal stem cells
and use in the treatment of murine diabetes.
AB - Pancreatic islet cell transplantation is an effective approach to treat type 1
diabetes, however the shortage of cadaveric donors and limitations due to
rejection require alternative solutions. Multipotent cells derived from the
uterine endometrium have the ability to differentiate into mesodermal and
ectodermal cellular lineages, suggesting the existence of mesenchymal stem cells
in this tissue. We differentiated human endometrial stromal stem cells (ESSC)
into insulin secreting cells using a simple and nontransfection protocol. An in
vitro protocol was developed and evaluated by assessing the expression of pan
beta-cell markers, followed by confirmation of insulin secretion. PAX4, PDX1,
GLUT2, and insulin, were all increased in differentiated cells compared to
controls. Differentiated cells secreted insulin in a glucose responsive manner.
In a murine model, differentiated cells were injected into the kidney capsules of
diabetic mice and human insulin identified in serum. Within 5 weeks blood glucose
levels were stabilized in animals transplanted with differentiated cells, however
those treated with undifferentiated cells developed progressive hyperglycemia.
Mice transplanted with control cells lost weight and developed cataracts while
those receiving insulin producing cells did not. Endometrium provides an easily
accessible, renewable, and immunologically identical source of stem cells with
potential therapeutic applications in diabetes.
PMID- 21878896
TI - Molecular genetics and diagnosis of thyroid cancer.
AB - Thyroid cancer is a common type of endocrine malignancy, and its incidence has
been steadily increasing in many regions of the world. Initiation and progression
of thyroid cancer involves multiple genetic and epigenetic alterations, of which
mutations leading to the activation of the MAPK and PI3K-AKT signaling pathways
are crucial. Common mutations found in thyroid cancer are point mutation of the
BRAF and RAS genes as well as RET/PTC and PAX8/PPARgamma chromosomal
rearrangements. The mutational mechanisms seem to be linked to specific etiologic
factors. Chromosomal rearrangements have a strong association with exposure to
ionizing radiation and possibly with DNA fragility, whereas point mutations
probably arise as a result of chemical mutagenesis. A potential role of dietary
iodine excess in the generation of BRAF point mutations has also been proposed.
Somatic mutations and other molecular alterations have been recognized as helpful
diagnostic and prognostic markers for thyroid cancer and are beginning to be
introduced into clinical practice, to offer a valuable tool for the management of
patients with thyroid nodules.
PMID- 21878901
TI - New generation of plasmid backbones devoid of antibiotic resistance marker for
gene therapy trials.
AB - Since it has been established that the injection of plasmid DNA can lead to an
efficient expression of a specific protein in vivo, nonviral gene therapy
approaches have been considerably improved, allowing clinical trials. However,
the use of antibiotic resistance genes as selection markers for plasmid
production raises safety concerns which are often pointed out by the regulatory
authorities. Indeed, a horizontal gene transfer to patient's bacteria cannot be
excluded, and residual antibiotic in the final product could provoke allergic
reactions in sensitive individuals. A new generation of plasmid backbones devoid
of antibiotic resistance marker has emerged to increase the safety profile of
nonviral gene therapy trials. This article reviews the existing strategies for
plasmid maintenance and, in particular, those that do not require the use of
antibiotic resistance genes. They are based either on the complementation of
auxotrophic strain, toxin-antitoxin systems, operator-repressor titration, RNA
markers, or on the overexpression of a growth essential gene. Minicircles that
allow removing of the antibiotic resistance gene from the initial vector will
also be discussed. Furthermore, reported use of antibiotic-free plasmids in
preclinical or clinical studies will be listed to provide a comprehensive view of
these innovative technologies.
PMID- 21878902
TI - Combining mTor inhibitors with rapamycin-resistant T cells: a two-pronged
approach to tumor elimination.
AB - Despite activity as single agent cancer therapies, Rapamycin (rapa) and its
rapalogs may have their greatest effects when combined with other therapeutic
modalities. In addition to direct antitumor activity, rapalogs reverse multiple
tumor-intrinsic immune evasion mechanisms. These should facilitate tumor-specific
T cell activity, but since rapa directly inhibits effector T cells, this
potential immune enhancement is lost. We hypothesized that if T cells were
rendered resistant to rapa they could capitalize on its downregulation of tumor
immune evasion. We therefore modified T cells with a rapa-resistant mutant of
mTor, mTorRR, and directed them to B lymphomas by coexpressing a chimeric antigen
receptor (CAR) for CD19 (CAR.CD19-28zeta). T cells expressing transgenic mTorRR
from a piggyBac transposon maintain mTor signaling, proliferate in the presence
of rapa and retain their cytotoxic function and ability to secrete interferon
gamma (IFNgamma) after stimulation, effector functions that were inhibited by
rapa in control T cells. In combination, rapa and rapa-resistant-CAR.CD19-28zeta
expressing T cells produced greater antitumor activity against Burkitt's lymphoma
and pre-B ALL cell lines in vitro than CAR.CD19-28zeta T cells or rapa alone. In
conclusion, the combination of rapa and rapa-resistant, CAR.CD19-28zeta
expressing T cells may provide a novel therapy for the treatment of B cell
malignancies and other cancers.
PMID- 21878903
TI - Retroviral gene therapy for X-linked chronic granulomatous disease: results from
phase I/II trial.
AB - X-linked chronic granulomatous disease (CGD) is an inherited immunodeficiency
caused by a defect in the gp91(phox) gene. In an effort to treat X-CGD, we
investigated the safety and efficacy of gene therapy using a retroviral vector,
MT-gp91. Two X-CGD patients received autologous CD34(+) cells transduced with MT
gp91 after a conditioning regimen consisting of fludarabine and busulfan. The
level of gene-marked cells was highest at day 21 (8.3 and 11.7% in peripheral
blood cells) but decreased to 0.08 and 0.5%, respectively, 3 years after gene
transfer. The level of functionally corrected cells, as determined by
nicotinamide adenine dinucleotide phosphate (NADPH) oxidase assay, reached a peak
at day 17 (6.5% patient 1 (P1) and 14.3% patient 2 (P2) of total granulocytes)
and declined to 0.05% (P1) and 0.21% (P2), 3 years later. Some retroviral vectors
were found to have integrated within or close to the proto-oncogenes MDS1-EVI1,
PRDM16, and CCND2; however, no abnormal cell expansion or related hematological
malignancy was observed. Overall, the gene transfer procedure did not produce any
serious adverse effects and was able to convert a significant fraction of blood
cells to biologically functional cells, albeit for a short period of time.
PMID- 21878904
TI - A double-modulation strategy in cancer treatment with a chemotherapeutic agent
and siRNA.
AB - 5-Fluorouracil (5-FU) is broadly considered the drug of choice for treating human
colorectal cancer (CRC). However, 5-FU resistance, mainly caused by the
overexpression of antiapoptotic proteins such as Bcl-2, often leads ultimately to
treatment failure. We here investigated the effect of Bcl-2 gene silencing, using
small interfering RNA (siRNA) (siBcl-2), on the efficacy of 5-FU in CRC.
Transfection of siBcl-2 by a Lipofectamine2000/siRNA lipoplex effectively
downregulated Bcl-2 expression in the DLD-1 cell line (a CRC), resulting in
significant cell growth inhibition in vitro upon treatment with 5-FU. For in vivo
treatments, S-1, an oral formulation of Tegafur (TF), a prodrug of 5-FU, was used
to mimic 5-FU infusion. The combined treatment of polyethylene glycol (PEG)
coated siBcl-2-lipoplex and S-1 showed superior tumor growth suppression in a DLD
1 xenograft model, compared to each single treatment. Surprisingly, daily S-1
treatment enhanced the accumulation of PEG-coated siBcl-2-lipoplex in tumor
tissue. We propose a novel double modulation strategy in cancer treatment, in
which chemotherapy enhances intratumoral siRNA delivery and the delivered siRNA
enhances the chemosensitivity of tumors. Combination of siRNA-containing
nanocarriers with chemotherapy may compensate for the limited delivery of siRNA
to tumor tissue. In addition, such modulation strategy may be considered a
promising therapeutic approach to successfully managing 5-FU-resistant tumors.
PMID- 21878905
TI - ATP7A gene addition to the choroid plexus results in long-term rescue of the
lethal copper transport defect in a Menkes disease mouse model.
AB - Menkes disease is a lethal infantile neurodegenerative disorder of copper
metabolism caused by mutations in a P-type ATPase, ATP7A. Currently available
treatment (daily subcutaneous copper injections) is not entirely effective in the
majority of affected individuals. The mottled-brindled (mo-br) mouse
recapitulates the Menkes phenotype, including abnormal copper transport to the
brain owing to mutation in the murine homolog, Atp7a, and dies by 14 days of age.
We documented that mo-br mice on C57BL/6 background were not rescued by
peripheral copper administration, and used this model to evaluate brain-directed
therapies. Neonatal mo-br mice received lateral ventricle injections of either
adeno-associated virus serotype 5 (AAV5) harboring a reduced-size human ATP7A
(rsATP7A) complementary DNA (cDNA), copper chloride, or both. AAV5-rsATP7A showed
selective transduction of choroid plexus epithelia and AAV5-rsATP7A plus copper
combination treatment rescued mo-br mice; 86% survived to weaning (21 days),
median survival increased to 43 days, 37% lived beyond 100 days, and 22% survived
to the study end point (300 days). This synergistic treatment effect correlated
with increased brain copper levels, enhanced activity of dopamine-beta
hydroxylase, a copper-dependent enzyme, and correction of brain pathology. Our
findings provide the first definitive evidence that gene therapy may have
clinical utility in the treatment of Menkes disease.
PMID- 21878906
TI - Blimp1 regulates the transition of neonatal to adult intestinal epithelium.
AB - In many mammalian species, the intestinal epithelium undergoes major changes that
allow a dietary transition from mother's milk to the adult diet at the end of the
suckling period. These complex developmental changes are the result of a genetic
programme intrinsic to the gut tube, but its regulators have not been identified.
Here we show that transcriptional repressor B lymphocyte-induced maturation
protein 1 (Blimp1) is highly expressed in the developing and postnatal intestinal
epithelium until the suckling to weaning transition. Intestine-specific deletion
of Blimp1 results in growth retardation and excessive neonatal mortality. Mutant
mice lack all of the typical epithelial features of the suckling period and are
born with features of an adult-like intestine. We conclude that the suckling to
weaning transition is regulated by a single transcriptional repressor that delays
epithelial maturation.
PMID- 21878907
TI - Backbone rigidity and static presentation of guanidinium groups increases
cellular uptake of arginine-rich cell-penetrating peptides.
AB - In addition to endocytosis-mediated cellular uptake, hydrophilic cell-penetrating
peptides are able to traverse biological membranes in a non-endocytic mode termed
transduction, resulting in immediate bioavailability. Here we analysed structural
requirements for the non-endocytic uptake mode of arginine-rich cell-penetrating
peptides, by a combination of live-cell microscopy, molecular dynamics
simulations and analytical ultracentrifugation. We demonstrate that the
transduction efficiency of arginine-rich peptides increases with higher peptide
structural rigidity. Consequently, cyclic arginine-rich cell-penetrating peptides
showed enhanced cellular uptake kinetics relative to their linear and more
flexible counterpart. We propose that guanidinium groups are forced into
maximally distant positions by cyclization. This orientation increases membrane
contacts leading to enhanced cell penetration.
PMID- 21878908
TI - A segmental genomic duplication generates a functional intron.
AB - An intron is an extended genomic feature whose function requires multiple
constrained positions-donor and acceptor splice sites, a branch point, a
polypyrimidine tract and suitable splicing enhancers-that may be distributed over
hundreds or thousands of nucleotides. New introns are therefore unlikely to
emerge by incremental accumulation of functional sub-elements. Here we
demonstrate that a functional intron can be created de novo in a single step by a
segmental genomic duplication. This experiment recapitulates in vivo the birth of
an intron that arose in the ancestral jawed vertebrate lineage nearly half-a
billion years ago.
PMID- 21878909
TI - Arrays of Lucius microprisms for directional allocation of light and
autostereoscopic three-dimensional displays.
AB - Directional and asymmetric properties are attractive features in nature that have
proven useful for directional wetting, directional flow of liquids and artificial
dry adhesion. Here we demonstrate that an optically asymmetric structure can be
exploited to guide light with directionality. The Lucius prism array presented
here has two distinct properties: the directional transmission of light and the
disproportionation of light intensity. These allow the illumination of objects
only in desired directions. Set up as an array, the Lucius prism can function as
an autostereoscopic three-dimensional display.
PMID- 21878910
TI - Field measurements suggest the mechanism of laser-assisted water condensation.
AB - Because of the potential impact on agriculture and other key human activities,
efforts have been dedicated to the local control of precipitation. The most
common approach consists of dispersing small particles of dry ice, silver iodide,
or other salts in the atmosphere. Here we show, using field experiments conducted
under various atmospheric conditions, that laser filaments can induce water
condensation and fast droplet growth up to several MUm in diameter in the
atmosphere as soon as the relative humidity exceeds 70%. We propose that this
effect relies mainly on photochemical formation of p.p.m.-range concentrations of
hygroscopic HNO(3), allowing efficient binary HNO(3)-H(2)O condensation in the
laser filaments. Thermodynamic, as well as kinetic, numerical modelling based on
this scenario semiquantitatively reproduces the experimental results, suggesting
that particle stabilization by HNO(3) has a substantial role in the laser-induced
condensation.
PMID- 21878911
TI - Molecular mechanism for 3:1 subunit stoichiometry of rod cyclic nucleotide-gated
ion channels.
AB - Molecular determinants of ion channel tetramerization are well characterized, but
those involved in heteromeric channel assembly are less clearly understood. The
heteromeric composition of native channels is often precisely controlled. Cyclic
nucleotide-gated (CNG) channels from rod photoreceptors exhibit a 3:1
stoichiometry of CNGA1 and CNGB1 subunits that tunes the channels for their
specialized role in phototransduction. Here we show, using electrophysiology,
fluorescence, biochemistry, and X-ray crystallography, that the mechanism for
this controlled assembly is the formation of a parallel 3-helix coiled-coil
domain of the carboxy-terminal leucine zipper region of CNGA1 subunits,
constraining the channel to contain three CNGA1 subunits, followed by
preferential incorporation of a single CNGB1 subunit. Deletion of the carboxy
terminal leucine zipper domain relaxed the constraint and permitted multiple
CNGB1 subunits in the channel. The X-ray crystal structures of the parallel 3
helix coiled-coil domains of CNGA1 and CNGA3 subunits were similar, suggesting
that a similar mechanism controls the stoichiometry of cone CNG channels.
PMID- 21878912
TI - Strong plasmonic enhancement of photovoltage in graphene.
AB - From the wide spectrum of potential applications of graphene, ranging from
transistors and chemical sensors to nanoelectromechanical devices and composites,
the field of photonics and optoelectronics is believed to be one of the most
promising. Indeed, graphene's suitability for high-speed photodetection was
demonstrated in an optical communication link operating at 10 Gbit s(-1).
However, the low responsivity of graphene-based photodetectors compared with
traditional III-V-based ones is a potential drawback. Here we show that, by
combining graphene with plasmonic nanostructures, the efficiency of graphene
based photodetectors can be increased by up to 20 times, because of efficient
field concentration in the area of a p-n junction. Additionally, wavelength and
polarization selectivity can be achieved by employing nanostructures of different
geometries.
PMID- 21878913
TI - Human metabolic profiles are stably controlled by genetic and environmental
variation.
AB - 1H Nuclear Magnetic Resonance spectroscopy (1H NMR) is increasingly used to
measure metabolite concentrations in sets of biological samples for top-down
systems biology and molecular epidemiology. For such purposes, knowledge of the
sources of human variation in metabolite concentrations is valuable, but
currently sparse. We conducted and analysed a study to create such a resource. In
our unique design, identical and non-identical twin pairs donated plasma and
urine samples longitudinally. We acquired 1H NMR spectra on the samples, and
statistically decomposed variation in metabolite concentration into familial
(genetic and common-environmental), individual-environmental, and longitudinally
unstable components. We estimate that stable variation, comprising familial and
individual-environmental factors, accounts on average for 60% (plasma) and 47%
(urine) of biological variation in 1H NMR-detectable metabolite concentrations.
Clinically predictive metabolic variation is likely nested within this stable
component, so our results have implications for the effective design of biomarker
discovery studies. We provide a power-calculation method which reveals that
sample sizes of a few thousand should offer sufficient statistical precision to
detect 1H NMR-based biomarkers quantifying predisposition to disease.
PMID- 21878914
TI - Cellular reprogramming by the conjoint action of ERalpha, FOXA1, and GATA3 to a
ligand-inducible growth state.
AB - Despite the role of the estrogen receptor alpha (ERalpha) pathway as a key growth
driver for breast cells, the phenotypic consequence of exogenous introduction of
ERalpha into ERalpha-negative cells paradoxically has been growth inhibition. We
mapped the binding profiles of ERalpha and its interacting transcription factors
(TFs), FOXA1 and GATA3 in MCF-7 breast carcinoma cells, and observed that these
three TFs form a functional enhanceosome that regulates the genes driving core
ERalpha function and cooperatively modulate the transcriptional networks
previously ascribed to ERalpha alone. We demonstrate that these enhanceosome
occupied sites are associated with optimal enhancer characteristics with highest
p300 co-activator recruitment, RNA Pol II occupancy, and chromatin opening. Most
importantly, we show that the transfection of all three TFs was necessary to
reprogramme the ERalpha-negative MDA-MB-231 and BT-549 cells to restore the
estrogen-responsive growth resembling estrogen-treated ERalpha-positive MCF-7
cells. Cumulatively, these results suggest that all the enhanceosome components
comprising ERalpha, FOXA1, and GATA3 are necessary for the full repertoire of
cancer-associated effects of the ERalpha.
PMID- 21878915
TI - The essential genome of a bacterium.
AB - Caulobacter crescentus is a model organism for the integrated circuitry that runs
a bacterial cell cycle. Full discovery of its essential genome, including non
coding, regulatory and coding elements, is a prerequisite for understanding the
complete regulatory network of a bacterial cell. Using hyper-saturated transposon
mutagenesis coupled with high-throughput sequencing, we determined the essential
Caulobacter genome at 8 bp resolution, including 1012 essential genome features:
480 ORFs, 402 regulatory sequences and 130 non-coding elements, including 90
intergenic segments of unknown function. The essential transcriptional circuitry
for growth on rich media includes 10 transcription factors, 2 RNA polymerase
sigma factors and 1 anti-sigma factor. We identified all essential promoter
elements for the cell cycle-regulated genes. The essential elements are
preferentially positioned near the origin and terminus of the chromosome. The
high-resolution strategy used here is applicable to high-throughput, full genome
essentiality studies and large-scale genetic perturbation experiments in a broad
class of bacterial species.
PMID- 21878916
TI - Making sense of chromatin states.
AB - Researchers find new pieces in the puzzle of genome regulation.
PMID- 21878917
TI - On target? Tracing zinc-finger-nuclease specificity.
AB - In two independent studies, researchers experimentally test the cleavage
specificity of zinc-finger nucleases across the genome.
PMID- 21878918
TI - Beyond the rainbow: new fluorescent proteins brighten the infrared scene.
AB - Two fluorescent proteins that emit in the far-red and infrared range for imaging
applications in cells and in vivo are described.
PMID- 21878919
TI - 'MiMICing' genomic flexibility.
AB - A new collection of Minos transposon insertions will enhance the range and
flexibility of genome engineering in Drosophila melanogaster.
PMID- 21878920
TI - Three-dimensional biomaterials for the study of human pluripotent stem cells.
AB - The self-renewal and differentiation of human pluripotent stem cells (hPSCs) have
typically been studied in flat, two-dimensional (2D) environments. In this
Perspective, we argue that 3D model systems may be needed in addition, as they
mimic the natural 3D tissue organization more closely. We survey methods that
have used 3D biomaterials for expansion of undifferentiated hPSCs, directed
differentiation of hPSCs and transplantation of differentiated hPSCs in vivo.
PMID- 21878921
TI - On the value of information and other rewards.
PMID- 21878922
TI - Grilled RIBEYE stakes a claim for synaptic ribbons.
PMID- 21878923
TI - Microglia and monocytes: 'tis plain the twain meet in the brain.
PMID- 21878924
TI - 'Macrophage' cannabinoid receptor goes up in smoke.
PMID- 21878925
TI - Coming up: in search of the vertical dimension in the brain.
PMID- 21878926
TI - Erroneous analyses of interactions in neuroscience: a problem of significance.
AB - In theory, a comparison of two experimental effects requires a statistical test
on their difference. In practice, this comparison is often based on an incorrect
procedure involving two separate tests in which researchers conclude that effects
differ when one effect is significant (P < 0.05) but the other is not (P > 0.05).
We reviewed 513 behavioral, systems and cognitive neuroscience articles in five
top-ranking journals (Science, Nature, Nature Neuroscience, Neuron and The
Journal of Neuroscience) and found that 78 used the correct procedure and 79 used
the incorrect procedure. An additional analysis suggests that incorrect analyses
of interactions are even more common in cellular and molecular neuroscience. We
discuss scenarios in which the erroneous procedure is particularly beguiling.
PMID- 21878933
TI - Scale: a chemical approach for fluorescence imaging and reconstruction of
transparent mouse brain.
AB - Optical methods for viewing neuronal populations and projections in the intact
mammalian brain are needed, but light scattering prevents imaging deep into brain
structures. We imaged fixed brain tissue using Scale, an aqueous reagent that
renders biological samples optically transparent but completely preserves
fluorescent signals in the clarified structures. In Scale-treated mouse brain,
neurons labeled with genetically encoded fluorescent proteins were visualized at
an unprecedented depth in millimeter-scale networks and at subcellular
resolution. The improved depth and scale of imaging permitted comprehensive three
dimensional reconstructions of cortical, callosal and hippocampal projections
whose extent was limited only by the working distance of the objective lenses. In
the intact neurogenic niche of the dentate gyrus, Scale allowed the quantitation
of distances of neural stem cells to blood vessels. Our findings suggest that the
Scale method will be useful for light microscopy-based connectomics of cellular
networks in brain and other tissues.
PMID- 21878934
TI - Accurate staging of axillary lymph nodes from breast cancer patients using a
novel molecular method.
AB - BACKGROUND: The one-step nucleic acid amplification (OSNA) assay is a molecular
based lymph-node metastasis detection procedure that can assess a whole node and
yields semi-quantitative results for the detection of clinically relevant nodal
metastases. We aimed to determine the performance of the OSNA assay as an
accurate nodal staging tool in comparison with routine histological examination.
METHODS: Subjects comprised 183 consecutive patients with pT1-2 breast cancer who
underwent axillary dissection after positive sentinel-node (SN) biopsy with the
OSNA assay. Of these, for non-SN evaluation, 119 patients underwent OSNA assay
evaluation, whereas 64 had single-section histology. We compared the detection
rates of non-SN metastasis and upstaging rates from the SN stage according to the
American Joint Committee on Cancer staging between the OSNA and histology
cohorts. RESULTS: OSNA detected more cases of non-SN metastases than histology
(OSNA 66/119, 55.5% vs histology 13/64, 20.3%; P<0.001), particularly
micrometastases (36/119, 30.3% vs 1/64, 1.6%; P<0.001). Total upstaging rates
were similar in both cohorts (20/119, 16.8% vs 9/64, 14.1%, P=0.79). CONCLUSION:
OSNA detects a far greater proportion of non-SN micrometastases than routine
histological examination. However, upstaging rates after axillary dissection were
not significantly different between both cohorts. Follow-up of the OSNA cohort is
required to determine its clinical relevance.
PMID- 21878935
TI - Benefit finding and post-traumatic growth in long-term colorectal cancer
survivors: prevalence, determinants, and associations with quality of life.
AB - BACKGROUND: As research on quality of life of colorectal cancer (CRC) survivors
has mainly focused on downsides of cancer survivorship, the aim of this study is
to investigate benefit finding (BF) and post-traumatic growth (PTG) in long-term
CRC survivors. METHODS: Benefit finding, PTG, and quality of life were assessed 5
years after diagnosis in a population-based cohort of 483 CRC patients using the
benefit finding scale, the post-traumatic growth inventory, and the EORTC QLQ
C30. Prevalence of BF and PTG, determinants of moderate-to-high BF and PTG, and
the association between BF, PTG, and quality of life were investigated. RESULTS:
Moderate to high levels of BF and PTG were experienced by 64% and 46% of the
survivors, respectively. Survivors with the highest level of education and with
higher depression scores reported less BF and PTG. The PTG increased with
increasing stage and self-reported burden of diagnosis. Quality of life only
correlated weakly with PTG (Pearson's r=0.1180, P=0.0112) and not with BF
(r=0.0537, P=0.2456). CONCLUSION: Many long-term CRC survivors experience BF and
PTG. As these constructs were not strongly correlated with quality of life,
focusing solely on quality of life after cancer misses an important aspect of
survivorship.
PMID- 21878937
TI - Predictors of survival in patients with recurrent ovarian cancer undergoing
secondary cytoreductive surgery based on the pooled analysis of an international
collaborative cohort.
AB - BACKGROUND: This study aims to identify prognostic factors and to develop a risk
model predicting survival in patients undergoing secondary cytoreductive surgery
(SCR) for recurrent epithelial ovarian cancer. METHODS: Individual data of 1100
patients with recurrent ovarian cancer of a progression-free interval at least 6
months who underwent SCR were pooled analysed. A simplified scoring system for
each independent prognostic factor was developed according to its coefficient.
Internal validation was performed to assess the discrimination of the model.
RESULTS: Complete SCR was strongly associated with the improvement of survival,
with a median survival of 57.7 months, when compared with 27.0 months in those
with residual disease of 0.1-1 cm and 15.6 months in those with residual disease
of >1 cm, respectively (P<0.0001). Progression-free interval (<=23.1 months vs
>23.1 months, hazard ratio (HR): 1.72; score: 2), ascites at recurrence (present
vs absent, HR: 1.27; score: 1), extent of recurrence (multiple vs localised
disease, HR: 1.38; score: 1) as well as residual disease after SCR (R1 vs R0, HR:
1.90, score: 2; R2 vs R0, HR: 3.0, score: 4) entered into the risk model.
CONCLUSION: This prognostic model may provide evidence to predict survival
benefit from secondary cytoreduction in patients with recurrent ovarian cancer.
PMID- 21878936
TI - High bone marrow angiopoietin-1 expression is an independent poor prognostic
factor for survival in patients with myelodysplastic syndromes.
AB - BACKGROUND: Angiogenic factors have an essential role in normal and pathologic
angiogenesis. However, the clinical implication of angiogenic factor expression
in myelodysplastic syndromes (MDS) remains unclear. METHODS: In this study, we
sought to investigate the prognostic impact of the expression of genes encoding
angiopoietin-1 (Ang-1), Ang-2, the receptor Tie2, vascular endothelial growth
factor-A (VEGF-A) and VEGF-C in the bone marrow (BM) in 208 patients with newly
diagnosed primary MDS. RESULTS: BM Ang-1 expression was significantly higher in
MDS patients, especially those with higher-risk subtypes, than in normal
controls. With a median follow-up time of 32.9 months, the disease transformed to
acute leukaemia more frequently in the patients bearing higher Ang-1 expression
than in those with lower expression (31.5% vs 18.6%, P=0.023). The MDS patients
with higher Ang-1 expression had shorter overall survival than those with lower
expression (median 20.8+/-4.5 months vs 63.3+/-17.8 months, P<0.001).
Multivariate analyses showed that higher Ang-1 expression was an independent
unfavourable prognostic factor for overall survival. There was no impact of the
expression of other angiogenic factors on survival. CONCLUSION: BM Ang-1
expression may serve as a new biomarker to predict clinical outcome in MDS
patients.
PMID- 21878938
TI - High levels of circulating CD34+ cells at autologous stem cell collection are
associated with favourable prognosis in multiple myeloma.
AB - BACKGROUND: High-dose chemotherapy with autologous stem cell transplantation is a
cornerstone in the first-line treatment of multiple myeloma patients. However,
only few factors have been identified affecting the outcome in such patients. We
hypothesised that varying levels of mobilised CD34+ cells confer prognostic
information in myeloma patients undergoing high-dose chemotherapy. METHODS: We
determined circulating CD34+ cells at the day of peripheral stem cell collection
in 158 consecutive myeloma patients between January 2001 and August 2010.
Patients were stratified into two groups (super vs normal mobilisers) with a
cutoff of 100,000 peripheral CD34+ cells per ml. RESULTS: We found that patients
with more than 100,000 peripheral CD34+ cells per ml had a better overall
survival (P=0.005) and a prolonged time to progression (P=0.0398) than patients
with CD34+ cell counts below 100,000 CD34+ cells per ml. High levels of CD34+
cells were an independent marker for better overall survival and time to
progression in a multivariate analysis that included disease stage, response at
transplant, light-chain subtype, age, sex, and height. CONCLUSION: Our results
suggest that high levels of mobilised peripheral CD34+ cells are associated with
favourable outcome in myeloma patients undergoing autologous transplantation.
PMID- 21878939
TI - Intravenous bisphosphonate therapy and atrial fibrillation/flutter risk in cancer
patients: a nationwide cohort study.
AB - BACKGROUND: There is conflicting evidence regarding bisphosphonates and atrial
fibrillation (AF) risk in osteoporosis patients. However, bisphosphonates are
used in much higher doses in treatment of bone metastasis and hypercalcemia, but
little is known about the AF risk in cancer patients. METHODS: We conducted a
nationwide population-based cohort study using Danish databases. All cancer
patients exposed to intravenous bisphosphonates during 2000-2008 were matched
with two non-exposed cancer patients by cancer type, distant metastasis presence
at diagnosis, age, and gender. We used Cox proportional hazard regression to
estimate hazards ratios (HRs) of AF/flutter adjusting for important confounding
factors. RESULTS: Of the 3981 cancer patients exposed to intravenous
bisphosponates, 128 (3.2%) developed AF/flutter. This condition occurred in 192
(2.4%) of the 7906 non-exposed cancer patients, corresponding to an adjusted HR
of 1.7 (95% CI: 1.2-2.4). CONCLUSION: Intravenous bisphosphonates may increase
AF/flutter risk in cancer patients.
PMID- 21878940
TI - Erlotinib 'dosing-to-rash': a phase II intrapatient dose escalation and
pharmacologic study of erlotinib in previously treated advanced non-small cell
lung cancer.
AB - BACKGROUND: To evaluate the anticancer activity of erlotinib in patients with
previously treated, advanced non-small cell lung cancer (NSCLC) whose dose is
increased to that associated with a maximal level of tolerable skin toxicity
(i.e., target rash (TR)); to characterise the pharmacokinetics (PK) and
pharmacodynamics (PD) of higher doses of erlotinib. METHODS: Patients initially
received erlotinib 150 mg per day. The dose was successively increased in each
patient to that associated with a TR. Anticancer activity was evaluated. Plasma,
skin, and hair were sampled for PK and PD studies. RESULTS: Erlotinib dose
escalation to 200-475 mg per day was feasible in 38 (90%) of 42 patients. Twenty
four (57%) patients developed a TR, but 19 (79%) did so at 150 mg per day. Five
(12%) patients, all of whom developed a TR, had a partial response. Median
progression-free survival (PFS) was 2.3 months (95% CI: 1.61, 4.14); median PFS
was 3.5 months and 1.9 months, respectively, for patients who did and did not
experience a TR (hazard ratio, 0.51; P=0.051). Neither rash severity nor response
correlated with erlotinib exposure. CONCLUSION: Intrapatient dose escalation of
erlotinib does not appreciably increase the propensity to experience a maximal
level of tolerable skin toxicity, or appear to increase the anticancer activity
of erlotinib in NSCLC.
PMID- 21878941
TI - Initial toxicity assessment of ICON6: a randomised trial of cediranib plus
chemotherapy in platinum-sensitive relapsed ovarian cancer.
AB - BACKGROUND: Cediranib is a potent oral vascular endothelial growth factor (VEGF)
signalling inhibitor with activity against all three VEGF receptors. The
International Collaboration for Ovarian Neoplasia 6 (ICON6) trial was initiated
based on evidence of single-agent activity in ovarian cancer with acceptable
toxicity. METHODS: The ICON6 trial is a 3-arm, 3-stage, double-blind, placebo
controlled randomised trial in first relapse of platinum-sensitive ovarian
cancer. Patients are randomised (2 : 3 : 3) to receive six cycles of carboplatin
(AUC5/6) plus paclitaxel (175 mg m(-2)) with either placebo (reference),
cediranib 20 mg per day, followed by placebo (concurrent), or cediranib 20 mg per
day, followed by cediranib (concurrent plus maintenance). Cediranib or placebo
was continued for 18 months or until disease progression. The primary outcome
measure for stage I was safety, and the blinded results are presented here.
RESULTS: Sixty patients were included in the stage I analysis. A total of 53
patients had received three cycles of chemotherapy and 42 patients had completed
six cycles. In all, 19 out of 60 patients discontinued cediranib or placebo
during chemotherapy because of adverse events/intercurrent illness (n=9); disease
progression (n=1); death (n=3); patient decision (n=1); administrative reasons
(n=1); and multiple reasons (n=4). Grade 3 and 4 toxicity was experienced by 30
(50%) and 3 (5%) patients, respectively. No gastrointestinal perforations were
observed. CONCLUSION: The addition of cediranib to platinum-based chemotherapy is
sufficiently well tolerated to expand the ICON6 trial and progress to stage II.
PMID- 21878943
TI - Absolute configurations of (-)-hirsutanol A and (-)-hirsutanol C produced by
Gloeostereum incarnatum.
AB -
PMID- 21878944
TI - Proteomic analysis of mitochondria in APOE transgenic mice and in response to an
ischemic challenge.
AB - Apolipoprotein E (APOE)-E4 is associated with a deleterious outcome after
ischemic brain injury, which may involve abnormal regulation of mitochondrial
function. We have assessed the mitochondrial proteomic response of APOE-E3 and
APOE-E4 transgenic mice to transient global ischemic injury in the hippocampus. A
genotype-dependent increase in ApoE levels in mitochondria was observed after
ischemia, with APOE-E4 mice showing significantly greater increases than APOE-E3
mice. Quantitative analysis of the mitochondria-enriched fractions was performed
using liquid-chromatography mass spectrometry coupled to label-free analysis. Of
the 1,067 identified proteins, 274 were mitochondria associated. Mitochondrial
protein expression was significantly different between genotypes under basal
conditions as well as in response to global ischemia. A total of 12 mitochondrial
proteins (including respiratory chain proteins NDUFA11, NDUFS3, NDUF5B, ATP5J, as
well as ETFA, CYB5B, ATP6V1A, HSPA1B, OXR1, GLUL, IARS2, and PHYHIPL) were
significantly altered with respect to genotype, global ischemia, or their
interaction (P<0.01). A compelling interactome, created using proteins found to
be significantly modulated by global ischemia (P<0.05), involved proteins that
regulate energy production and oxidative stress. Thus, APOE genotype has a
differential effect on the mitochondrial protein expression in the absence and
presence of an injury, which may underlie the differing genotype susceptibility.
PMID- 21878945
TI - The pathologic cascade of cerebrovascular lesions in SHRSP: is erythrocyte
accumulation an early phase?
AB - Cerebral small vessel disease (CSVD) is associated with vessel wall changes,
microbleeds, blood-brain barrier (BBB) disturbances, and reduced cerebral blood
flow (CBF). As spontaneously hypertensive stroke-prone rats (SHRSP) may be a
valid model of some aspects of human CSVD, we aimed to identify whether those
changes occur in definite temporal stages and whether there is an initial
phenomenon beyond those common vascular alterations. Groups of 51 SHRSP were
examined simultaneously by histologic (Hematoxylin-Eosin, IgG
Immunohistochemistry, vessel diameter measurement) and imaging methods (Magnetic
Resonance Imaging, 201-Thallium-Diethyldithiocarbamate/99m-Technetium-HMPAO
Single Photon Emission Computed Tomography conducted as pilot study) at different
stages of age. Vascular pathology in SHRSP proceeds in definite stages, whereas
an age-dependent accumulation of erythrocytes in capillaries and arterioles
represents the homogeneous initial step of the disease. Erythrocyte accumulations
are followed by BBB disturbances and microbleeds, both also increasing with age.
Microthromboses, tissue infarctions with CBF reduction, and disturbed potassium
uptake represent the final stage of vascular pathology in SHRSP. Erythrocyte
accumulations--we parsimoniously interpreted as stases--without cerebral tissue
damage represent the first step of vascular pathology in SHRSP. If that initial
phenomenon could be identified in patients, these erythrocyte accumulations might
be a promising target for implementing prophylactic and therapeutic strategies in
human CSVD.
PMID- 21878946
TI - Comparative effects of glucose- and mannitol-induced osmolar stress on blood
brain barrier function in ovine fetuses and lambs.
AB - We examined the effects of hyperglycemic hyperosmolality on blood-brain barrier
(BBB) permeability during development. We hypothesized that the barrier becomes
more resistant to hyperglycemic hyperosmolality during development, and the
immature BBB is more resistant to glucose than to mannitol hyperosmolality. We
quantified the BBB response to hyperosmolality with the blood-to-brain transfer
constant (K(i)) in immature fetuses, premature, and newborn lambs. K(i) increased
as a function of increases in osmolality. A segmented regression model described
the relationship between K(i) and osmolality. At lower osmolalities, changes in
K(i) were minimal but after a threshold, increases were linear. We examined
responses of K(i) to hyperglycemic hyperosmolality by comparing the thresholds
and slopes of the second regression segments. Lower thresholds and steeper slopes
indicate greater vulnerability to hyperosmolality. Thresholds increased (P<0.05)
during development in pons and superior colliculus. Thresholds were higher
(P<0.05) during glucose than mannitol hyperosmolality in thalamus, superior
colliculus, inferior colliculus and medulla of premature lambs, and in cerebrum
and cerebellum of newborns. We conclude that BBB permeability increased as a
function of changes in glucose osmolality, the barrier becomes more resistant to
glucose hyperosmolality in two brain regions during development, and the barrier
is more resistant to glucose than to mannitol hyperosmolality in some brain
regions of premature and newborn lambs.
PMID- 21878947
TI - Within-subject comparison of [(11)C]-(+)-PHNO and [(11)C]raclopride sensitivity
to acute amphetamine challenge in healthy humans.
AB - [(11)C]PHNO is a D(2)/D(3) agonist positron emission tomography radiotracer, with
higher in vivo affinity for D(3) than for D(2) receptors. As [(11)C]-(+)-PHNO is
an agonist, its in vivo binding is expected to be more affected by acute
fluctuations in synaptic dopamine than that of antagonist radiotracers such as
[(11)C]raclopride. In this study, the authors compared the effects of an oral
dose of the dopamine releaser amphetamine (0.3 mg/kg) on in vivo binding of
[(11)C]-(+)-PHNO and [(11)C]raclopride in healthy subjects, using a within
subjects, counterbalanced, open-label design. In the dorsal striatum, where the
density of D(3) receptors is negligible and both tracers predominantly bind to
D(2) receptors, the reduction of [(11)C]-(+)-PHNO binding potential (BP(ND)) was
1.5 times larger than that of [(11)C]raclopride. The gain in sensitivity
associated with the agonist [(11)C]-(+)-PHNO implies that ~65% of D(2) receptors
are in the high-affinity state in vivo. In extrastriatal regions, where [(11)C]
(+)-PHNO predominantly binds to D(3) receptors, the amphetamine effect on [(11)C]
(+)-PHNO BP(ND) was even larger, consistent with the higher affinity of dopamine
for D(3). This study indicates that [(11)C]-(+)-PHNO is superior to
[(11)C]raclopride for studying acute fluctuations in synaptic dopamine in the
human striatum. [(11)C]-(+)-PHNO also enables measurement of synaptic dopamine in
D(3) regions.
PMID- 21878951
TI - Glomerulonephritis therapy: is there a role for green tea?
AB - Rapidly progressive glomerulonephritis is the most aggressive form of
glomerulonephritis with the worst prognosis. The current unspecific
immunosuppressive therapy with corticosteroids and cytotoxic agents is often
complicated by severe side effects. Peng and colleagues studied the therapeutic
potential of the green tea component (-)-epigallocatechin-3-gallate (EGCG) in a
murine model of immune-mediated glomerulonephritis. Their results indicate that
EGCG treatment ameliorates renal inflammation, tissue damage, and loss of renal
function and might therefore represent a novel therapeutic approach for human
glomerulonephritis.
PMID- 21878952
TI - L-carnitine: more than just an alternative to glucose as an osmotic agent for
peritoneal dialysis?
AB - Glucose toxicity remains a concern for long-term membrane function and metabolic
side effects in peritoneal dialysis. Partial substitution of L-carnitine as an
alternative but similarly effective osmotic agent is an attractive proposition,
and, given once daily with glucose, it achieves equivalent ultrafiltration and
plasma concentrations that are likely to be safe. The possibility that it can
counter glucose-mediated injury to the aquaporin pathway, thus enhancing
ultrafiltration, is an intriguing bonus that requires further study.
PMID- 21878948
TI - Impact of tissue plasminogen activator on the neurovascular unit: from clinical
data to experimental evidence.
AB - About 15 million strokes occur each year worldwide. As the number one cause of
morbidity and acquired disability, stroke is a major drain on public health-care
funding, due to long hospital stays followed by ongoing support in the community
or nursing-home care. Although during the last 10 years we have witnessed a
remarkable progress in the understanding of the pathophysiology of ischemic
stroke, reperfusion induced by recombinant tissue-type plasminogen activator (tPA
Actilyse) remains the only approved acute treatment by the health authorities.
The objective of the present review is to provide an overview of our present
knowledge about the impact of tPA on the neurovascular unit during acute ischemic
stroke.
PMID- 21878953
TI - The challenges of assessing acute kidney injury in infants.
AB - Definitions of pediatric acute kidney injury (AKI) use changes of serum
creatinine. There is a paucity of well-designed studies in infants because of
creatinine age-dependency. The emerging role of cystatin C as a superior marker
of renal dysfunction led to a carefully conducted study on AKI in infants by
Zappitelli et al. This Commentary calls for the development of age-independent
serum creatinine and estimated glomerular filtration rate z scores.
PMID- 21878954
TI - Is there a deleterious effect of erythropoietin in end-stage renal disease?
AB - The use of erythropoiesis-stimulating agents (ESAs) in patients with chronic
kidney disease has declined as randomized controlled trials have demonstrated
increased risk of cardiovascular complications and mortality without a marked
benefit in quality of life. Several studies have suggested that exposure to high
dosages of ESA, rather than raising of the hemoglobin concentration, explains
this increased risk. Cotter and colleagues report that exposure to high dosages
of ESA in patients with diabetes is associated with increased risk.
PMID- 21878955
TI - Endoscopic treatment of obstructed peritoneal catheter.
PMID- 21878956
TI - The case: hemolysis and acute renal failure. Babesiosis.
PMID- 21878957
TI - Association of MTHFR and RFC1 gene polymorphism with hyperhomocysteinemia and its
modulation by vitamin B12 and folic acid in an Indian population.
AB - BACKGROUND/OBJECTIVES: Unlike most Western populations, MTHFR 677T is a rare
allele and a risk factor for a variety of disorders in India. What kind of
nutritional (environmental) and/or genetic factors could contribute to the
genetic risk is not known. To assess the incidence of hyperhomocysteinemia and
its correlation with the polymorphism in homocysteine (Hcy)-pathway genes and
associated cofactors in the native populations of eastern India.
SUBJECTS/METHODS: Healthy population from four eastern states of India.
Genotyping of SNPs, HPLC and chemiluminescence-based assay for homocysteine,
vitamin B12 and folic acid. RESULTS: Approximately 30% of the population has
hyperhomocysteinemia (>15 MUmol/lit; hypHcy) with varying frequencies in the four
states from where samples were collected (n=1426). Polymorphisms of MTR and CBS
do not affect hypHcy. 677T and 1298C alleles of MTHFR and G80 RFC-1 show
association with hypHcy. In contrast, RFC-1 80AA is protective even in presence
of 677T MTHFR. Addition of each mutant allele has an additive effect on Hcy
level. Vitamin B12 (cofactor in methionine synthesis) clearly modulates the
genotypic effect on Hcy level. Although frequency of individuals with low folic
acid is ~11, 49% of the population is vitamin B12 deficient (<220 pg/lit) and has
a significant negative correlation with Hcy. Individuals with optimum vitamin B12
and folic acid generally have low Hcy, even in risk genotypes. CONCLUSIONS: One
of the plausible reasons for susceptibility of individuals with MTHFR C677T in
the studied population to various disorders is the high frequency of
hyperhomocysteinemia and vitamin B12 deficiency in the 'healthy population'.
Apparently, supplementation of vitamin B(12) to this health-impoverished
community may help lessen the risk of several multifactorial disorders.
PMID- 21878958
TI - Sex-specific relationships between adiposity and anthropometric measures and
carotid intima-media thickness in Koreans: the Healthy Twin Study.
AB - BACKGROUND/OBJECTIVES: Increased adiposity, shorter stature, shorter leg length
and carotid intima-media thickening are associated with cardiovascular (CV)
disease. This study aimed to evaluate the sex-specific phenotypic and genetic
associations between adiposity and anthropometric measures and carotid intima
media thickness (IMT). SUBJECTS/METHODS: We measured IMT at common carotid artery
(CCA-IMT), carotid bifurcation and internal carotid artery (ICA-IMT) using B-mode
ultrasound, and adiposity and anthropometric measures, including body mass index
(BMI), height, leg length, waist circumference (WC), waist-to-hip ratio and
percentage of body fat; we also assessed conventional CV risk factors among 706
Korean adults from the Healthy Twin Study. The associations were analyzed using
quantitative genetic and linear mixed analyses. RESULTS: In linear mixed
analyses, BMI and WC had independent associations with the IMT at CCA-IMT and ICA
IMT and CCA-IMT, respectively, after adjusting for age and conventional CV risk
factors in men. By comparison, in women, adiposity and anthropometric measures
were associated with carotid IMT only before adjusting for the covariates. In
men, there were significant genetic correlations between BMI and CCA-IMT
(rho(G)=0.32+/-0.11), BMI and ICA-IMT (rho(G)=0.35+/-0.11) and WC and ICA-IMT
(rho(G)=0.32+/-0.13) after adjusting for covariates, whereas in women the cross
trait genetic correlations were no longer significant after adjusting for the
covariates. CONCLUSIONS: In this Korean twins and families, we found sex-specific
associations between adiposity and anthropometric measures and the IMT at each
segment of the carotid artery, and BMI and WC in men can be indicators predicting
carotid intima-media thickening regardless of age and CV risk factors.
PMID- 21878959
TI - Total dietary antioxidant capacity and lung function in an Italian population: a
favorable role in premenopausal/never smoker women.
AB - BACKGROUND/OBJECTIVES: Antioxidant-rich foods may favorably influence lung
function. We examined possible associations between the total dietary antioxidant
capacity (TAC) and pulmonary function in a healthy Italian population.
SUBJECTS/METHODS: Until May 2009, 22,300 persons were randomly recruited from the
general population in the Moli-sani project. A sample only including healthy
women (5824) and men (5848) was analyzed. TAC was measured in foods by three
different assays and the ferric reducing-antioxidant power (FRAP) assay was
selected as the better indicator of dietary TAC. The European Investigation into
Cancer and Nutrition Food Frequency Questionnaire was used for dietary
assessment. The association between quintiles of dietary FRAP and pulmonary
indexes was assessed using analysis of variance separately for men and women.
RESULTS: After adjustment for confounders, women in the highest quintile of FRAP
intake had +39 ml forced expiratory volume in the first second (FEV(1)) and +54
ml forced vital capacity, compared with those in the lowest quintile (P for trend
<=0.006). Stratified analysis showed that this relationship only occurred in
women who were premenopausal/never smokers. In this subgroup, the observed effect
of higher FRAP intake on FEV(1) was equivalent to an improvement in pulmonary age
of 3.3 years. In men, all significant associations between pulmonary function and
TAC were lost after adjustment for confounding. CONCLUSIONS: Dietary TAC may have
a favorable role in respiratory health, particularly in premenopausal/never
smoker women.
PMID- 21878960
TI - Dietary intake of B vitamins and methionine and risk of lung cancer.
AB - BACKGROUND/OBJECTIVES: B vitamins and related enzymes involved in one-carbon
metabolism are necessary for DNA replication, DNA repair and regulation of gene
expression. Disruption of one-carbon mechanism may affect cancer risk. We
investigated prospectively the relationship between dietary intakes of
methionine, B vitamins associated with one-carbon metabolism and risk of lung
cancer. SUBJECTS/METHODS: The Melbourne Collaborative Cohort Study recruited
41,514 men and women aged 40-69 years between 1990 and 1994. During follow-up of
14,595 men and 22,451 women for an average of 15 years, we ascertained 348
incident lung cancers. Dietary intake of B vitamins and methionine was estimated
from a 121-item food frequency questionnaire. Hazard ratios (HR) and 95%
confidence intervals (CI) were estimated using Cox regression. RESULTS: In
current smokers, dietary intake of riboflavin was inversely associated with lung
cancer risk (HR=0.53; 95% CI: 0.29-0.94, fifth versus first quintile; P-linear
trend=0.01). No associations were found for former or never smokers or for
dietary intake of any of the other B vitamins or methionine. CONCLUSION: Overall,
we found little evidence of an association between B vitamins or methionine and
lung cancer risk. The weak inverse association between riboflavin and lung cancer
risk in current smokers needs further investigation.
PMID- 21878962
TI - Keeping ZFNs on target.
PMID- 21878961
TI - Understanding the contribution of synonymous mutations to human disease.
AB - Synonymous mutations - sometimes called 'silent' mutations - are now widely
acknowledged to be able to cause changes in protein expression, conformation and
function. The recent increase in knowledge about the association of genetic
variants with disease, particularly through genome-wide association studies, has
revealed a substantial contribution of synonymous SNPs to human disease risk and
other complex traits. Here we review current understanding of the extent to which
synonymous mutations influence disease, the various molecular mechanisms that
underlie these effects and the implications for future research and biomedical
applications.
PMID- 21878964
TI - Aneuploidy stokes the fire.
PMID- 21878963
TI - The evolutionary origin of orphan genes.
AB - Gene evolution has long been thought to be primarily driven by duplication and
rearrangement mechanisms. However, every evolutionary lineage harbours orphan
genes that lack homologues in other lineages and whose evolutionary origin is
only poorly understood. Orphan genes might arise from duplication and
rearrangement processes followed by fast divergence; however, de novo evolution
out of non-coding genomic regions is emerging as an important additional
mechanism. This process appears to provide raw material continuously for the
evolution of new gene functions, which can become relevant for lineage-specific
adaptations.
PMID- 21878965
TI - A call to reform the taxonomy of human disease.
AB - A coordinated effort to incorporate advances in the understanding of the
molecular and genomic variations in common diseases, such as hypertension, into
their diagnosis and treatment could transform drug development and medicine.
PMID- 21878966
TI - Reliability of 'new drug target' claims called into question.
PMID- 21878967
TI - Diabetes field cautiously upbeat despite possible setback for leading SGLT2
inhibitor.
PMID- 21878969
TI - Deal watch: Bristol-Myers Squibb invests in cancer antibody that targets the
innate immune system.
PMID- 21878970
TI - Trial watch: ERBB2 dimerization inhibitor meets end point in breast cancer trial.
PMID- 21878971
TI - DNA patent decision leaves questions for diagnostics.
PMID- 21878972
TI - Joe selby. Interview by Asher Mullard.
PMID- 21878973
TI - Identifying R&D outliers.
PMID- 21878975
TI - Diabetes: Mobilizing regulatory T cells against type 1 diabetes.
PMID- 21878974
TI - Belatacept.
PMID- 21878976
TI - Anticancer Drugs: Selective oxycution?
PMID- 21878977
TI - Vaccines: Structure-based design.
PMID- 21878978
TI - Metabolic disease: Turning 'bad' fat into 'good'.
PMID- 21878979
TI - Cognitive impairment: Rescuing age-related memory loss.
PMID- 21878981
TI - Minimum information about a bioactive entity (MIABE).
AB - Bioactive molecules such as drugs, pesticides and food additives are produced in
large numbers by many commercial and academic groups around the world. Enormous
quantities of data are generated on the biological properties and quality of
these molecules. Access to such data - both on licensed and commercially
available compounds, and also on those that fail during development - is crucial
for understanding how improved molecules could be developed. For example,
computational analysis of aggregated data on molecules that are investigated in
drug discovery programmes has led to a greater understanding of the properties of
successful drugs. However, the information required to perform these analyses is
rarely published, and when it is made available it is often missing crucial data
or is in a format that is inappropriate for efficient data-mining. Here, we
propose a solution: the definition of reporting guidelines for bioactive entities
- the Minimum Information About a Bioactive Entity (MIABE) - which has been
developed by representatives of pharmaceutical companies, data resource providers
and academic groups.
PMID- 21878984
TI - Comparison of biometrical models for joint linkage association mapping.
AB - Joint linkage association mapping (JLAM) combines the advantages of linkage
mapping and association mapping, and is a powerful tool to dissect the genetic
architecture of complex traits. The main goal of this study was to use a cross
validation strategy, resample model averaging and empirical data analyses to
compare seven different biometrical models for JLAM with regard to the correction
for population structure and the quantitative trait loci (QTL) detection power.
Three linear models and four linear mixed models with different approaches to
control for population stratification were evaluated. Models A, B and C were
linear models with either cofactors (Model-A), or cofactors and a population
effect (Model-B), or a model in which the cofactors and the single-nucleotide
polymorphism effect were modeled as nested within population (Model-C). The mixed
models, D, E, F and G, included a random population effect (Model-D), or a random
population effect with defined variance structure (Model-E), a kinship matrix
defining the degree of relatedness among the genotypes (Model-F), or a kinship
matrix and principal coordinates (Model-G). The tested models were conceptually
different and were also found to differ in terms of power to detect QTL. Model-B
with the cofactors and a population effect, effectively controlled population
structure and possessed a high predictive power. The varying allele substitution
effects in different populations suggest as a promising strategy for JLAM to use
Model-B for the detection of QTL and then to estimate their effects by applying
Model-C.
PMID- 21878982
TI - Targeting cancer metabolism: a therapeutic window opens.
AB - Genetic events in cancer activate signalling pathways that alter cell metabolism.
Clinical evidence has linked cell metabolism with cancer outcomes. Together,
these observations have raised interest in targeting metabolic enzymes for cancer
therapy, but they have also raised concerns that these therapies would have
unacceptable effects on normal cells. However, some of the first cancer therapies
that were developed target the specific metabolic needs of cancer cells and
remain effective agents in the clinic today. Research into how changes in cell
metabolism promote tumour growth has accelerated in recent years. This has
refocused efforts to target metabolic dependencies of cancer cells as a selective
anticancer strategy.
PMID- 21878983
TI - How closely does genetic diversity in finite populations conform to predictions
of neutral theory? Large deficits in regions of low recombination.
AB - Levels of genetic diversity in finite populations are crucial in conservation and
evolutionary biology. Genetic diversity is required for populations to evolve and
its loss is related to inbreeding in random mating populations, and thus to
reduced population fitness and increased extinction risk. Neutral theory is
widely used to predict levels of genetic diversity. I review levels of genetic
diversity in finite populations in relation to predictions of neutral theory.
Positive associations between genetic diversity and population size, as predicted
by neutral theory, are observed for microsatellites, allozymes, quantitative
genetic variation and usually for mitochondrial DNA (mtDNA). However, there are
frequently significant deviations from neutral theory owing to indirect selection
at linked loci caused by balancing selection, selective sweeps and background
selection. Substantially lower genetic diversity than predicted under neutrality
was found for chromosomes with low recombination rates and high linkage
disequilibrium (compared with 'normally' recombining chromosomes within species
and adjusted for different copy numbers and mutation rates), including W (median
100% lower) and Y (89% lower) chromosomes, dot fourth chromosomes in Drosophila
(94% lower) and mtDNA (67% lower). Further, microsatellite genetic and allelic
diversity were lost at 12 and 33% faster rates than expected in populations
adapting to captivity, owing to widespread selective sweeps. Overall, neither
neutral theory nor most versions of the genetic draft hypothesis are compatible
with all empirical results.
PMID- 21878985
TI - Hybrid incompatibilities in the parasitic wasp genus Nasonia: negative effects of
hemizygosity and the identification of transmission ratio distortion loci.
AB - The occurrence of hybrid incompatibilities forms an important stage during the
evolution of reproductive isolation. In early stages of speciation, males and
females often respond differently to hybridization. Haldane's rule states that
the heterogametic sex suffers more from hybridization than the homogametic sex.
Although haplodiploid reproduction (haploid males, diploid females) does not
involve sex chromosomes, sex-specific incompatibilities are predicted to be
prevalent in haplodiploid species. Here, we evaluate the effect of sex/ploidy
level on hybrid incompatibilities and locate genomic regions that cause increased
mortality rates in hybrid males of the haplodiploid wasps Nasonia vitripennis and
Nasonia longicornis. Our data show that diploid F(1) hybrid females suffer less
from hybridization than haploid F(2) hybrid males. The latter not only suffer
from an increased mortality rate, but also from behavioural and spermatogenic
sterility. Genetic mapping in recombinant F(2) male hybrids revealed that the
observed hybrid mortality is most likely due to a disruption of cytonuclear
interactions. As these sex-specific hybrid incompatibilities follow predictions
based on Haldane's rule, our data accentuate the need to broaden the view of
Haldane's rule to include species with haplodiploid sex determination, consistent
with Haldane's original definition.
PMID- 21878986
TI - Novel measures of linkage disequilibrium that correct the bias due to population
structure and relatedness.
AB - Among the several linkage disequilibrium measures known to capture different
features of the non-independence between alleles at different loci, the most
commonly used for diallelic loci is the r(2) measure. In the present study, we
tackled the problem of the bias of r(2) estimate, which results from the sample
structure and/or the relatedness between genotyped individuals. We derived two
novel linkage disequilibrium measures for diallelic loci that are both extensions
of the usual r(2) measure. The first one, r(S)(2), uses the population structure
matrix, which consists of information about the origins of each individual and
the admixture proportions of each individual genome. The second one, r(V)(2),
includes the kinship matrix into the calculation. These two corrections can be
applied together in order to correct for both biases and are defined either on
phased or unphased genotypes.We proved that these novel measures are linked to
the power of association tests under the mixed linear model including structure
and kinship corrections. We validated them on simulated data and applied them to
real data sets collected on Vitis vinifera plants. Our results clearly showed the
usefulness of the two corrected r(2) measures, which actually captured 'true'
linkage disequilibrium unlike the usual r(2) measure.
PMID- 21878988
TI - The five 'W's of transport.
PMID- 21878987
TI - Urinary arsenic species, toenail arsenic, and arsenic intake estimates in a
Michigan population with low levels of arsenic in drinking water.
AB - The large disparity between arsenic concentrations in drinking water and urine
remains unexplained. This study aims to evaluate predictors of urinary arsenic in
a population exposed to low concentrations (<=50 MUg/l) of arsenic in drinking
water. Urine and drinking water samples were collected from a subsample (n=343)
of a population enrolled in a bladder cancer case-control study in southeastern
Michigan. Total arsenic in water and arsenic species in urine were determined
using ICP-MS: arsenobetaine (AsB), arsenite (As[III]), arsenate (As[V]),
methylarsenic acid (MMA[V]), and dimethylarsenic acid (DMA[V]). The sum of
As[III], As[V], MMA[V], and DMA[V] was denoted as SumAs. Dietary information was
obtained through a self-reported food intake questionnaire. Log(10)-transformed
drinking water arsenic concentration at home was a significant (P<0.0001)
predictor of SumAs (R(2)=0.18). Associations improved (R(2)=0.29, P<0.0001) when
individuals with less than 1 MUg/l of arsenic in drinking water were removed and
further improved when analyses were applied to individuals who consumed amounts
of home drinking water above the median volume (R(2)=0.40, P<0.0001). A separate
analysis indicated that AsB and DMA[V] were significantly correlated with fish
and shellfish consumption, which may suggest that seafood intake influences
DMA[V] excretion. The Spearman correlation between arsenic concentration in
toenails and SumAs was 0.36 and between arsenic concentration in toenails and
arsenic concentration in water was 0.42. Results show that arsenic exposure from
drinking water consumption is an important determinant of urinary arsenic
concentrations, even in a population exposed to relatively low levels of arsenic
in drinking water, and suggest that seafood intake may influence urinary DMA[V]
concentrations.
PMID- 21878990
TI - Protein export at the ER: loading big collagens into COPII carriers.
AB - COPII vesicles mediate the export of secretory cargo from endoplasmic reticulum
(ER) exit sites. However, of 60-90 nm diameter COPII vesicles are too small to
accommodate secreted molecules such as the collagens. The ER exit site-located
proteins TANGO1 and cTAGE5 are required for the transport of collagens and
therefore provide a means to understand the export of big cargo and the mechanism
of COPII carrier size regulation commensurate with cargo dimensions.
PMID- 21878989
TI - Ran-dependent nuclear export mediators: a structural perspective.
AB - Nuclear export is an essential eukaryotic activity. It proceeds through nuclear
pore complexes (NPCs) and is mediated by soluble receptors that shuttle between
nucleus and cytoplasm. RanGTPase-dependent export mediators (exportins)
constitute the largest class of these carriers and are functionally highly
versatile. All of these exportins load their substrates in response to RanGTP
binding in the nucleus and traverse NPCs as ternary RanGTP-exportin-cargo
complexes to the cytoplasm, where GTP hydrolysis leads to export complex
disassembly. The different exportins vary greatly in their substrate range.
Recent structural studies of both protein- and RNA-specific exporters have
illuminated how exportins bind their cargoes, how Ran triggers cargo loading and
how export complexes are disassembled in the cytoplasm. Here, we review the
current state of knowledge and highlight emerging principles as well as
prevailing questions.
PMID- 21878992
TI - Let's go bananas: revisiting the endocytic BAR code.
AB - Against the odds of membrane resistance, members of the BIN/Amphiphysin/Rvs (BAR)
domain superfamily shape membranes and their activity is indispensable for a
plethora of life functions. While crystal structures of different BAR dimers
advanced our understanding of membrane shaping by scaffolding and hydrophobic
insertion mechanisms considerably, especially life-imaging techniques and loss-of
function studies of clathrin-mediated endocytosis with its gradually increasing
curvature show that the initial idea that solely BAR domain curvatures determine
their functions is oversimplified. Diagonal placing, lateral lipid-binding modes,
additional lipid-binding modules, tilde shapes and formation of macromolecular
lattices with different modes of organisation and arrangement increase
versatility. A picture emerges, in which BAR domain proteins create
macromolecular platforms, that recruit and connect different binding partners and
ensure the connection and coordination of the different events during the
endocytic process, such as membrane invagination, coat formation, actin
nucleation, vesicle size control, fission, detachment and uncoating, in time and
space, and may thereby offer mechanistic explanations for how coordination,
directionality and effectiveness of a complex process with several steps and key
players can be achieved.
PMID- 21878991
TI - Endosome maturation.
AB - Being deeply connected to signalling, cell dynamics, growth, regulation, and
defence, endocytic processes are linked to almost all aspects of cell life and
disease. In this review, we focus on endosomes in the classical endocytic
pathway, and on the programme of changes that lead to the formation and
maturation of late endosomes/multivesicular bodies. The maturation programme
entails a dramatic transformation of these dynamic organelles disconnecting them
functionally and spatially from early endosomes and preparing them for their
unidirectional role as a feeder pathway to lysosomes.
PMID- 21878993
TI - Regulation of developmental intercellular signalling by intracellular
trafficking.
AB - Universal trafficking components within the cell can be recruited to coordinate
and regulate the developmental signalling cascades. We will present ways in which
the intracellular trafficking machinery is used to affect and modulate the
outcome of signal transduction in developmental contexts, thus regulating
multicellular development. Each of the signalling components must reach its
proper intracellular destination, in a form that is properly folded and modified.
In many instances, the ability to bring components together or segregate them
into distinct compartments within the cell actually provides the switch mechanism
to turn developmental signalling pathways on or off. The review will begin with a
focus on the signal-sending cells, and the ways in which ligand trafficking can
impinge on the signalling outcome, via processing, endocytosis and recycling. We
will then turn to the signal-receiving cell, and discuss mechanisms by which
endocytosis can affect the spatial features of the signal, and the
compartmentalization of components downstream to the receptor.
PMID- 21878997
TI - The road not taken: new destinations for yeast mRNAs on the move.
PMID- 21878995
TI - Mechanisms of dendritic mRNA transport and its role in synaptic tagging.
AB - The localization of RNAs critically contributes to many important cellular
processes in an organism, such as the establishment of polarity, asymmetric
division and migration during development. Moreover, in the central nervous
system, the local translation of mRNAs is thought to induce plastic changes that
occur at synapses triggered by learning and memory. Here, we will critically
review the physiological functions of well-established dendritically localized
mRNAs and their associated factors, which together form ribonucleoprotein
particles (RNPs). Second, we will discuss the life of a localized transcript from
transcription in the nucleus to translation at the synapse and introduce the
concept of the 'RNA signature' that is characteristic for each transcript.
Finally, we present the 'sushi belt model' of how localized RNAs within neuronal
RNPs may dynamically patrol multiple synapses rather than being anchored at a
single synapse. This new model integrates our current understanding of synaptic
function ranging from synaptic tagging and capture to functional and structural
reorganization of the synapse upon learning and memory.
PMID- 21878994
TI - Coupling viruses to dynein and kinesin-1.
AB - It is now clear that transport on microtubules by dynein and kinesin family
motors has an important if not critical role in the replication and spread of
many different viruses. Understanding how viruses hijack dynein and kinesin
motors using a limited repertoire of proteins offers a great opportunity to
determine the molecular basis of motor recruitment. In this review, we discuss
the interactions of dynein and kinesin-1 with adenovirus, the alpha herpes
viruses: herpes simplex virus (HSV1) and pseudorabies virus (PrV), human
immunodeficiency virus type 1 (HIV-1) and vaccinia virus. We highlight where the
molecular links to these opposite polarity motors have been defined and discuss
the difficulties associated with identifying viral binding partners where the
basis of motor recruitment remains to be established. Ultimately, studying
microtubule-based motility of viruses promises to answer fundamental questions as
to how the activity and recruitment of the dynein and kinesin-1 motors are
coordinated and regulated during bi-directional transport.
PMID- 21878996
TI - Intercellular and systemic movement of RNA silencing signals.
AB - In most eukaryotes, double-stranded RNA is processed into small RNAs that are
potent regulators of gene expression. This gene silencing process is known as RNA
silencing or RNA interference (RNAi) and, in plants and nematodes, it is
associated with the production of a mobile signal that can travel from cell-to
cell and over long distances. The sequence-specific nature of systemic RNA
silencing indicates that a nucleic acid is a component of the signalling complex.
Recent work has shed light on the mobile RNA species, the genes involved in the
production and transport of the signal. This review discusses the advances in
systemic RNAi and presents the current challenges and questions in this rapidly
evolving field.
PMID- 21878999
TI - Microbial reduction in the NICU: seeing the light.
PMID- 21879000
TI - Evaluating neonatal hyperbilirubinemia in late preterm Hispanic twins led to the
diagnosis of hereditary spherocytosis in them, and in their sibling and in their
mother.
AB - We identified four cases of hereditary spherocytosis (HS) in one Utah family,
originally from Southwestern Mexico. The index cases were twin girls born at 35
weeks gestation, in whom the combination of hyperbilirubinemia, reticulocytosis
and elevated mean corpuscular hemoglobin concentration (MCHC) led to studies that
confirmed the diagnosis of HS. Scleral icterus in their 4-year-old sibling and in
their mother led to the diagnosis of HS in them as well. Although much less
commonly reported in Hispanic neonates than in those of Northern European
ancestry, HS does occur among Hispanic neonates and can contribute to significant
neonatal hyperbilirubinemia. These cases are consistent with our earlier report,
which identified an MCHC cutoff of >36 as suggestive of further evaluation for
HS.
PMID- 21879001
TI - Atypical presentation of a lacrimal duct cyst.
PMID- 21879002
TI - Lethality begets lethality.
PMID- 21879004
TI - Environmental burden of acute respiratory infection and pneumonia due to indoor
smoke in Dhading.
AB - BACKGROUND: ARI and pneumonia is one of the major public health problems in Nepal
which always ranks highest position among the top ten diseases. One of the risk
factor of ARI and pneumonia is indoor smoke from kitchen where primary source of
cooking is solid biomass fuel. This study was carried out in order to estimate
the burden of ARI and pneumonia due to indoor smoke. ARI and pneumonia was chosen
as it is one of the significant public health problem among under five children
in Nepal and responsible for high number of premature deaths. METHODS: A cross
sectional study was conducted in Dhading district. Multistage cluster sampling
technique was used for data collection considering ward as a cluster. The
environmental burden of ARI and pneumonia due to indoor smoke was calculated
using the WHO Environmental Burden of Disease Series. RESULTS: About 87 percent
of households were using solid biomass fuel as a primary source of fuel. The
under five children exposed to solid fuel use was 41313. The total 1284
Disability Adjusted Life Years were lost due to ARI and pneumonia and about 50
percent of it was attributed by Indoor smoke in household. CONCLUSIONS: The solid
biomass fuel was primary source of energy for cooking in Dhading district which
is attributing about 50 percent of burden of ARI and pneumonia among under five
children.
PMID- 21879005
TI - Impact of first aid training in management of snake bite victims in Madi valley.
AB - BACKGROUND: Tropical lowland on Nepal is at full of risk to snake bite. The snake
bite mortality is due to lack of awareness about proper management of victims.
The study aims to assess the change in the pattern of management of snake bite
victims after first aid training. METHODS: A retrospective study was done from
October 2007 to October 2008 among 43 snake bite victims in rural Madi valley
comprising of 4 village development committees where first aid training was
conducted one year before. RESULTS: Only 26% of the snake bite victims approached
traditional healer before arriving at the heath facility. The case fatality rate
dropped to 22% after venomous snake bite. Pressure Immobilization bandaging and
local compression pad immobilization technique was used by 56% who went to the
health facility. Mean duration for reaching health facility was 61.51+/-33.55
minutes. Common places of bite were field 16 (37.2%), Indoor 6 (14%), while
sleeping 6 (14%), and yard 6 (14%). Lower extremity bites were 32 (74.4%), upper
extremity 8 (18.6%) and head 3 (7%). Bicycle was the commonest mode of transport
22 (51%) followed by ambulance 9(27.9%) and Motorcycle 6 (11%). CONCLUSIONS:
First aid training changes the attitude of the people in management of snake bite
victims and is one of the effective ways in decreasing mortality. Nationwide
campaigning should be done especially at snake bite prone area about the proper
first aid technique to improve the awareness level of the general population.
PMID- 21879006
TI - Utilization pattern of health care services at village level.
AB - BACKGROUND: Primary health care service is basic essential health care. Due to
lack of access to quality health care services, many rural people suffer from
various health related problems. The objective of this study was to assess how
health care services are utilized by beneficiaries in two different villages in
Dhanusha district of Nepal. METHODS: A descriptive cross sectional study was
conducted in two Village Development Committees with sixty four households
selected randomly from January 1, to February 27, 2008. RESULTS: The study shows
that 48 and 21 percent of population were utilizing local available health
services respectively within and beyond 2 km from the health facility .It
revealed that distance was significant indicator for utilization of health
services. Study also shows that those in VDC 1 had availed maximum (67%) service
of trained man power for delivery as compared to those in VDC 2, (20%) where most
(80%) of deliveries were conducted by relatives and neighbours, the difference
was significant. CONCLUSIONS: Distance from the heath services is an important
factor for utilisation of health resources. The utilisation of health facility
was satisfactory but the quality of services were not satisfactory.
PMID- 21879007
TI - Substance use among third year medical students of Nepal.
AB - BACKGROUND: Substance use is very rampant in a developing country like Nepal.
Unfortunately, medical field is not exempt from it either. Substance use among
medical students and doctors not only reduces their efficiency at present but
also increases their DALY on long term. The main objectives of the study were to
assess the prevalence of substance use among medical student and to find out
whether substance use started before or after joining the medical school.
METHODS: The study design employed for the research was descriptive cross
sectional. A structured questionnaire about current use of tobacco, alcohol and
marijuana was used to collect the data from third year students from eight
medical and one dental college from across the country having 2006 batch.
RESULTS: The overall response rate was 74.12% (N=510). Among those who responded,
prevalence of substance use was 49.6%, of which 38.2% were Nepalese nationals and
11.4% were foreign nationals and 39% were male and 10.6% female. Alcohol based
product users were 52.3%, tobacco based product users were 55% and marijuana
users were 65.7% and all started using them after joining the medical school.
CONCLUSIONS: Almost half of the respondents were involved in some sort of
substance use and more than half of those using started after joining medical
school. Hence if proper measures are taken to address this then its prevalence
can be significantly reduced if not eliminated completely.
PMID- 21879008
TI - Intrauterine condom tamponade in management of post partum haemorrhage.
AB - BACKGROUND: Uterine tamponade is often used to control post partum hemorrhage.
Among various methods, condom Foley's catheter tamponade is simple, easy to use
and is believed to be effective. The study evaluates outcome of condom Foley's
catheter tamponade. METHODS: In this prespective observational study, condom
tamponade was applied to fourteen cases with varying degrees of PPH, not
controlled with pharmacologic measures. The efficacy on control of bleeding was
assessed along with the total amount of blood loss and any complications.
RESULTS: The condom tamponade stopped bleeding in all the cases. Average amount
of blood loss was 1221 ml. No complications were noted. CONCLUSIONS: Thus, condom
tamponade is an effective method to control intractable PPH. It's simple and does
not require expertise to use, so, it can have a wide application even in resource
poor settings.
PMID- 21879009
TI - Healthcare liquid waste management.
AB - BACKGROUND: The management of healthcare liquid waste is an overlooked problem in
Nepal with stern repercussions in terms of damaging the environment and affecting
the health of people. This study was carried out to explore the healthcare liquid
waste management practices in Kathmandu based central hospitals of Nepal.
METHODS: A descriptive prospective study was conducted in 10 central hospitals of
Kathmandu during the period of May to December 2008. Primary data were collected
through interview, observation and microbiology laboratory works and secondary
data were collected by records review. For microbiological laboratory works,waste
water specimens cultured for the enumeration of total viable counts using
standard protocols. RESULTS: Evidence of waste management guidelines and
committees for the management of healthcare liquid wastes could not be found in
any of the studied hospitals. Similarly, total viable counts heavily exceeded the
standard heterotrophic plate count (p=0.000) with no significant difference in
such counts in hospitals with and without treatment plants (p=0.232).
CONCLUSIONS: Healthcare liquid waste management practice was not found to be
satisfactory. Installation of effluent treatment plants and the development of
standards for environmental indicators with effective monitoring, evaluation and
strict control via relevant legal frameworks were realized.
PMID- 21879010
TI - Safety and efficacy of misoprostol for induction of labour.
AB - BACKGROUND: Induction of labour is widely carried over the world in cases where
continuation of pregnancy is hazardous to mother or fetus. Varieties of
techniques are available for induction of labour. Prostaglandin is one of the
most effective means for achieving cervical ripening and induction of labour.
This study was carried out to see safety and effectiveness of Misoprostol for
induction of labour. METHODS: This prospective study was carried out at Kathmandu
University Hospital, Dhulikhel from Jan 2008 to Aug 2009. A total of 70 patients
were included in this study. All patients received 50 MUg of Misoprostol in the
posterior fornix with maximum dose up to 3 doses at interval of 6 hours. Bishops
scoring was reviewed each time before application of Misoprostol. When favourable
cervix along with good uterine contraction is noted then augmentation with
Oxytocinon was done holding Misoprostol. The measures used for the analysis of
effectiveness and safety of Misoprostol were change in bishop score, total dose
required, need for augmentation, side effects, duration of first, second and
third stages of labour, duration from induction till delivery, mode of delivery
and neonatal outcome. The primary outcome measures were induction to delivery
interval and caesarean section rate. RESULTS: Out of 70 patients, 21 (30%)
required augmentation. Among 70 patients, 46 (65%) underwent normal delivery, 6
(8.6%) underwent instrumental delivery and 18 (25%) patients underwent cesarean
section for various indications (p=0.00). Total 31 (44%) patients delivered
within 10 hours of induction, 16 (22%) within 15 hours and 4 (4.7%) took more
than 18 hours. Duration of second stage of labour was also found to be shortened
with use of Misoprostol for induction of labour. Duration of second stage of
labour was less than 30 minutes in 42 (60%) cases and more than 30 minutes in 9
(17%) cases. CONCLUSIONS: Misoprostol is an effective cervical ripening agent
with favorable outcome and comparable with other inducing agents.
PMID- 21879011
TI - Primary salivary gland tumors in eastern Nepal tertiary care hospital.
AB - BACKGROUND: The knowledge of the distribution and pattern of salivary gland
tumors in the tertiary care center can provide overview of the disease pattern in
the region. It also helps in planning the strategies to treat the disease and
launch the awareness program in the community to this largely curable disease.
METHODS: A retrospective observational study of all the salivary gland tumors
treated in the department of Otolaryngology, B. P. Koirala Institute of Health
Sciences during April 2004 to March 2009 was done. Age, sex, presenting features,
radiological findings, histopathological type of the tumor and type of surgery
were recorded and descriptive analysis was done to calculate frequencies,
percentage and their relations. RESULTS: Out of total 51 cases, 81% (n=41) were
benign and 19% (n=10) malignant tumors. Male to female ratio was 1:2.1. Mean age
for benign and malignant tumors were 32.3 and 46.5 years respectively. Parotid
tumor outnumbered all other sites comprising 69%, followed by submandiibular 18%
and minor glands 13%. Pleomorphic adenoma was the commonest tumor (76%) of all
primary salivary gland tumors. Benign to malignant tumor ratio of parotid,
submandibular and minor glands were 6:1, 3.5:1 and 1.3:1 respectively. Adenoid
cystic carcinoma (40%) and mucoepidermoid carcinoma (30%) were commonest
malignant tumors. CONCLUSIONS: The principal site for salivary gland tumors in
eastern Nepal population was the parotid and the pleomorphic adenoma outnumbered
all other tumors. Most of the cases in both benign and malignant group presented
with painless lump often misleading the gravity of disease.
PMID- 21879012
TI - Maternal and infant mortality in Mahottari district of Nepal.
AB - BACKGROUND: Reducing maternal mortality by three quarters and under-five
mortality by two-thirds between 1990 and 2015 are the targets of UN Millennium
Development Goals as well as of the national safe motherhood programme of Nepal.
This study was undertaken by Nepal Health Research Council mainly to identify the
maternal and infant mortalities in Mahottari district. METHODS: A descriptive
observational study was carried out of maternal and child death over two year
period before the time of survey was carried out in eleven VDCs of Mahottari
District and two wards of the Jaleshwor municipality. Data were collected in
three stages: first, FCHVs collected the information regarding birth, maternal
and infant death, which were cross-checked later. RESULTS: Maternal mortality
ratio was estimated at 380 per 100,000 live births, and early neonatal mortality
rate, neonatal mortality rate and infant mortality rate were found 32, 39 and 52
per 1,000 live births respectively. The highest deaths in infants were among
Dalits, whereas it was among Muslims for maternal mortality. All maternal deaths
occurred at a distance of more than 2 hours. Male-to-female ratio for infant
mortality was 1:3. The major cause of maternal deaths was post-partum
haemorrhage, while it was sepsis among infant deaths. CONCLUSIONS: Both maternal
mortality ratio and infant mortality rate of the district were higher than the
national average and appeared commensurate with the socio-economic status and
health facilities of the district when compared with another similar Terai
district of Bara.
PMID- 21879013
TI - Limitations of clinico-histopathological correlation of skin biopsies in leprosy.
AB - BACKGROUND: Skin biopsies play an important role in diagnosing and classifying
different types of leprosy. The aim of this study was to analyse different
histologic types of leprosy, to correlate histopathological diagnosis with
clinical diagnosis, to study the uniformity of clinical and histological findings
in the diagnosis of leprosy and to evaluate difficulties faced during
clinicopathological correlation according to Ridley- Jopling classification due
to inadequacy of data provided. METHODS: This is a retrospective study of all
skin biopsies reported from Department of Pathology of Tribhuvan University
Teaching Hospital from 14 April 2007 to 13 April 2009, for which leprosy was the
diagnosis or was strongly suspected on histopathology. RESULTS: Out of 40 cases
included, 33 were males and seven were females. Tuberculoid leprosy was the most
common type comprising 23 /40 cases (57.5%). In 18/ 40 cases (45%), clinical
diagnosis was leprosy. Only in three, leprosy was classified according to Ridley
Jopling criteria clinically. Thus clinicopathological correlation according to
Ridley-Jopling criteria could not be done. Histopathological reporting lacked
uniformity too. In 13/40 reports (32.5%), exact location of granuloma, presence
or absence of Grenz zone and enroachment of epidermis by granuloma was not
mentioned. None mentioned the number and distribution of lymphocytes or relative
proportion of epithelioid cells and foamy histiocytes. Results: Out of 40 cases
included, 33 were males and seven were females. Tuberculoid leprosy was the most
common type comprising 23 /40 cases (57.5%). In 18/ 40 cases (45%), clinical
diagnosis was leprosy. Only in three, leprosy was classified according to Ridley
Jopling criteria clinically. Thus clinicopathological correlation according to
Ridley-Jopling criteria could not be done. Histopathological reporting lacked
uniformity too. In 13/40 reports (32.5%), exact location of granuloma, presence
or absence of Grenz zone and enroachment of epidermis by granuloma was not
mentioned. None mentioned the number and distribution of lymphocytes or relative
proportion of epithelioid cells and foamy histiocytes. CONCLUSIONS:
Histopathological diagnosis of leprosy did not correlated with clinical diagnosis
significantly. Uniformity was not seen in the clinical or histopathological
informations provided making it difficult to conduct retrospective clinico
pathological correlation.
PMID- 21879014
TI - Drug resistant cases of tuberculosis in directly observed treatment short course.
AB - BACKGROUND: Resistance of Mycobacterium tuberculosis to antituberculous drugs has
emerged as a major public health threat. The objective of this study was to
determine Multi Drug Resistance cases of tuberculosis in Directly Observed
Treatment Short Course program of Nepal. METHODS: The sputum samples collected
and culture on Lowenstein Jensen media followed by biochemical test. All the
isolates antibiotic sensitivity test performed on medium by proportion method.
RESULTS: Tuberculosis was most commonly found in economically active age group
(21-50 years). All the isolates of pulmonary tuberculosis were found to be M.
tuberculosis. A Multi-drug resistant case of tuberculosis primary and acquired in
Directly Observed Treatment Short Course Program of Nepal was found (3.6%). Out
of 460 untreated cases, 9 (1.9%) cases were found to be MDR and among 90
previously treated cases 11 (12.2%) were found to be MDR. Multi drug resistant
cases of tuberculosis were found most commonly in productive age group (21-30).
The incidence of tuberculosis was found higher in male than in female. But multi
drug resistant M. tuberculosis cases equally affected both the gender. Majority
of the MDR cases found higher number of M. tuberculosis in their sputum.
CONCLUSIONS: The above study showed that drug resistant cases of tuberculosis in
DOTS program of Nepal was found higher. To reduce the drug resistance, before
starting chemotherapy antibiotic sensitivity test should be performed.
PMID- 21879015
TI - Scenario of extrapulmonary tuberculosis in a tertiary care center.
AB - BACKGROUND: Tuberculosis is a worldwide disease and one of the major health
problems of Nepal. Extrapulmonary tuberculosis is increasing all over the world.
The main aim of this study was to assess the frequency of extrapulmonary
tuberculosis in various organ systems of the body and to assess the pattern of
disease in different age groups and gender. METHODS: This observational
retrospective study was carried out from December 2003 to October 2009 at the
Department of Pathology, Kathmandu University Hospital, Kavre, Nepal. A total of
259 extrapulmonary tuberculosis cases diagnosed by fine needle aspiration
cytology and biopsy were included. Frequency of extrapulmonary tuberculosis in
the lymph nodes in relation to age and sex were studied. RESULTS: Lymph nodes
tuberculosis was present in 179 (69.11%) cases. Among all extrapulmonary
tuberculosis, 132 (50.56%) cases were in males and 127 (49.04%) were in females.
Out of total 179 tuberculosis of lymph nodes, 116 (74.35%) were seen in age group
of 25 years and above (p=0.018) and 86 (48.04%) in males and 93 (51.95%) in
females (p=0.18). CONCLUSIONS: Extrapulmonary tuberculosis is common in lymph
nodes, equally in both sexes and more in age group of 25 and above. Therefore,
this age group should be focused upon more for investigation and management of
extrapulmonary tuberculosis.
PMID- 21879016
TI - Antiphospholipid syndrome in childhood systemic lupus erythematosus.
AB - Antiphospholipid syndrome is an autoimmune disease that presents with recurrent
arteriovenous thrombosis, repeated pregnancy loss and elevated titres of
antiphospholipid antibodies in the blood. It is a common cause of acquired
thrombosis and can manifest within any part of the vascular tree. Inferior
Venacava thrombosis at outset, however, is not a common manifestation of systemic
lupus erythematosus associated-antiphospholipid syndrome particularly in
children. Here, we present a 14-year old girl who developed antiphospholipid
syndrome as a presenting manifestation of systemic lupus erythematosus.
PMID- 21879017
TI - Childhood neurological illness in Nepal.
AB - The commonly encountered neurological disorders in Nepalese children are febrile
encephalopathies, neurocysticercosis, and epilepsy, and neonatal encephalopathy,
neuro-psychiatric and neuromuscular disease. Also, these children in Nepal remain
one of the most vulnerable and marginalized groups in the community. Due to the
lack of proper facilities some preventable and treatable neurological conditions
continue to paralyze the children's physical and mental health. The alarmingly
high prevalence of these neurological illnesses has high mortality and morbidity
in children ultimately adding financial burden to the family, society and the
country as a whole. The paucity of data and difficulties in proper collection of
reliable facts further hampers to understand the actual magnitude of this
problem.
PMID- 21879018
TI - Mortality statistics from national censuses: a low cost viable method.
AB - Information related to mortality is pivotal for evidence based policy and
planning, resource mobilization and impact of intervention. This information is
essential to monitor trends in health situation of the population, detect new
epidemics, encourage research into avoidable causes of death, evaluate the
success of control programs, and improve accountability for expenditures on
disease control. Sixty percent of the countries of the world don't provide enough
information on vital events and complete and representative information. Apart
from vital registration system, other methods for getting mortality data are in
current practices, such as facility based information system, censuses, household
survey, research demographic surveillance sites, epidemiological studies and
sample vital registration system, which complement the national health
information system. All methods have their limitations. Piggy backing of national
censuses for mortality statistics would follow the principle of Sample
Registration System (SRS) using verbal autopsy tools to generate the mortality
data just after the censuses. This method can be the suitable/useful mortality
measuring system representing the population by age, sex, location and causes of
death with less effort and at a lower cost. This method can be used to verify
mortality data collected from other sources and/or for adjustment of existing
mortality data.
PMID- 21879019
TI - Formaldehyde.
PMID- 21879020
TI - Certain glass wool fibers (inhalable).
PMID- 21879029
TI - One-PCR-tube approach for in situ DNA isolation and detection.
AB - Traditional real-time polymerase chain reaction (PCR) requires a purified DNA
sample for PCR amplification and detection. This requires PCR tests be conducted
in clean laboratories, and limits its applications for field tests. This work
developed a method that can carry out DNA purification, amplification and
detection in a single PCR tube. The polypropylene PCR tube was first treated with
chromic acid and peptide nucleic acids (PNA) as DNA-capturer were immobilized on
the internal surface of the tube. Cauliflower mosaic virus 35S (CaMV-35S)
promoter in the crude extract was hybridized with the PNA on the tube surface,
and the inhibitors, interfering agents and irrelevant DNA in the crude extract
were effectively removed by rinsing with buffer solutions. The tube that has
captured the target DNA can be used for the following real-time PCR (RT-PCR). By
using this approach, the detection of less than 2500 copies of 35S plasmids in a
complex sample could be completed within 3 hours. Chocolate samples were tested
for real sample analysis, and 35S plasmids in genetically modified chocolate
samples have been successfully identified with this method in situ. The novel One
PCR-tube method is competitive for commercial kits with the same time and simpler
operation procedure. This method may be widely used for identifying food that
contains modified DNA and specific pathogens in the field.
PMID- 21879030
TI - Nanoparticle microinjection and Raman spectroscopy as tools for nanotoxicology
studies.
AB - Microinjection techniques and Raman spectroscopy have been combined to provide a
new methodology to investigate the cytotoxic effects due to the interaction of
nanomaterials with cells. In the present work, this novel technique has been used
to investigate the effects of Ag and Fe(3)O(4) nanoparticles on Hela cells. The
nanoparticles are microinjected inside the cells and these latter ones are probed
by means of Raman spectroscopy after a short incubation time, in order to
highlight the first and impulsive mechanisms developed by the cells to counteract
the presence of the nanoparticles. The results put in evidence a different
behaviour of the cells treated with nanoparticles in comparison with the control
cells; these differences are supposed to be generated by an emerging oxidative
stress due to the nanoparticles. The achieved results demonstrate the suitability
of the proposed method as a new tool for nanotoxicity studies.
PMID- 21879031
TI - Preparation of cation-exchange stir bar sorptive extraction based on monolithic
material and its application to the analysis of soluble cations in milk by ion
chromatography.
AB - In this study, a new cation-exchange coating for stir bar sorptive extraction
(SBSE) based on poly (acrylic acid-ethylene dimethacrylate) monolithic material
was synthesized. The effect of polymerization conditions such as the ratio of
functional monomer to cross-linker and the content of porogenic solvent on the
extraction efficiencies were investigated in detail. The monolithic material was
characterized by elemental analysis, scanning electron microscopy and infrared
spectroscopy. In order to evaluate the usability of the new coating for the
extraction of inorganic cations, the analysis of soluble K(+), Mg(2+) and Ca(2+)
in milk by ion chromatography with conductivity detection was selected as a
paradigm. Several extractive parameters, including pH value in sample matrix,
desorption solvent, extraction and desorption time were optimized. Under the
optimum conditions, low detection limits (S/N = 3) and quantification limits (S/N
= 10) of the proposed method for the target cations were achieved within the
range of 0.12-0.28 and 0.4-0.92 MUg L(-1), respectively. The method also showed
good linearity, simplicity, practicality and low cost for the extraction of
inorganic cations. Finally, the proposed method was successfully used to analyse
three different trademarks of commercial milk samples with satisfactory
recoveries in the range of 71.1% to 102.8%.
PMID- 21879032
TI - Determination of morphine at gold nanoparticles/Nafion(r) carbon paste modified
sensor electrode.
AB - A novel and effective electrochemical sensor for the determination of morphine
(MO) in 0.04 mol L(-1) universal buffer solution (pH 7.4) is introduced using
gold nanoparticles electrodeposited on a Nafion modified carbon paste electrode.
The effect of various experimental parameters including pH, scan rate and
accumulation time on the voltammetric response of MO was investigated. At the
optimum conditions, the concentration of MO was determined using differential
pulse voltammetry (DPV) in a linear range of 2.0 * 10(-7) to 2.6 * 10(-4) mol L(
1) with a correlation coefficient of 0.999, and a detection limit of 13.3 * 10(
10) mol L(-1), respectively. The effect of common interferences on the current
response of morphine namely ascorbic acid (AA) and uric acid (UA) is studied. The
modified electrode can be used for the determination of MO spiked into urine
samples, and excellent recovery results were obtained.
PMID- 21879033
TI - Oligonucleotide-based label-free Hg2+ assay with a monomer-excimer fluorescence
switch.
AB - A novel fluorescent Hg(2+) sensor was developed based on the T-Hg(2+)-T structure
and a thioflavine T monomer-excimer fluorescent switch. Under optimum conditions,
the selectivity is remarkably high, and Hg(2+) can be quantified over the dynamic
range of 0.1 to 1.2 MUM, with a limit of detection (LOD) of ~20 nM and a linear
correlation coefficient of 0.995.
PMID- 21879034
TI - Conjugation of quantum dots with graphene for fluorescence imaging of live cells.
AB - It is difficult to achieve fluorescent graphene-quantum dots (QDs) conjugation
because graphene quenches the fluorescence of the QDs. In the present study, the
conjugation of graphene (reduced graphene oxide, RGO) with QDs via a bridge of
bovine serum albumin (BSA) provides a novel highly fluorescent nano probe for the
first time. BSA capped QDs are firmly grafted onto polyethylenimine
(PEI)/poly(sodium 4-styrenesulfonate) (PSS) coated RGO (graphene-QDs) via
electrostatic layer by layer assembly. The strong luminescence of the graphene
QDs provides a potential for non-invasive optical in vitro imaging. The graphene
QDs are used for in vitro imaging of live human carcinoma (Hela) cells. Graphene
QDs could be readily up-taken by Hela cells in the absence of specific targeting
molecules, e.g., antibodies or folic acid, and no in vitro cytotoxicity is
observed at 360 MUg mL(-1) of the graphene-QDs. The results for the imaging of
live cells indicated that the cell-penetrating graphene-QDs could be a promising
nano probe for intracellular imaging and therapeutic applications.
PMID- 21879035
TI - Direct determination of free metal concentration by implementing stripping
chronopotentiometry as the second stage of AGNES.
AB - The electroanalytical technique Absence of Gradients and Nernstian Equilibrium
Stripping (AGNES) has been extended by applying stripping chronopotentiometry
(SCP) as the re-oxidation stage in the determination of the free concentration of
Zn(2+), Cd(2+) and Pb(2+). This new approach, called AGNES-SCP, has been
implemented with screen-printed electrodes (SPE) and the standard Hanging Mercury
Drop Electrode (HMDE). Clear advantages of this variant have been shown: (i) the
easy resolution of the peaks of different metals present in mixtures and (ii) the
sparing of blanks. A rigorous computation of the faradaic charge along the SCP
stage takes into account the contribution of other oxidants, which can be
efficiently measured at the end of the deposition stage of AGNES. The free Cd
concentration determined in an oxalate solution at pH 6 with an HMDE as the
working electrode agreed well with values obtained with a Cd Ion Selective
Electrode. The free metal concentration measured using an SPE for the system Cd
and nitrilotriacetic acid (NTA) at pH = 4.8 also conformed well with Visual
MINTEQ results.
PMID- 21879036
TI - Single particle technique for one-step homogeneous detection of cancer marker
using gold nanoparticle probes.
AB - In this paper, we reported a single particle technique for the one-step
homogeneous immunoassay of a cancer marker by resonance light scattering
correlation spectroscopy (RLSCS). The setup of RLSCS was similar to fluorescence
correlation spectroscopy (FCS), and its principle was based on measuring the
resonance light scattering fluctuations in a small volumes (less than 1 fL) due
to Brownian motion of single particles. In homogeneous immunoassay, we used a
sandwich strategy and conjugated two different antibodies (Ab) with gold
nanoparticles (GNPs) respectively. When two different GNPs labeled with
antibodies are mixed in a sample containing antigen (Ag) targets, the binding of
targets will cause GNPs to form dimers (or oligomers), which leads to the
significant increase in the characteristic diffusion time of GNPs in the
detection volume. The RLSCS method can sensitively detect the change in the
characteristic diffusion time of GNPs before and after immune reactions. We used
this technology in homogeneous immunoassays for the liver cancer biomarker alpha
fetoprotein (AFP). The conditions of the immune reaction were investigated
systematically. In the optimal conditions, the linear range of this assay is from
1 pM to 1 nM and the detection limit is 1 pM for AFP. This new method was
successfully applied for the direct determination of AFP levels in sera from
healthy subjects and cancer patients. Our results were in good agreement with
ELISA assays.
PMID- 21879037
TI - Two-dimensional difference gel electrophoresis applied for analytical proteomics:
fundamentals and applications to the study of plant proteomics.
AB - The present review reports the principles, fundamentals and some applications of
two-dimensional difference gel electrophoresis for analytical proteomics based on
plant proteome analysis, also emphasizing some advantages of 2-D DIGE over 2-D
PAGE techniques. Some fluorescent protein labeling reagents, methods of protein
labeling, models of 2-D DIGE experiments, and some limitations of this technique
are presented and discussed in terms of 2-D DIGE plant proteomes. Finally, some
practical applications of this technique are pointed out, emphasizing its
potentialities in plant proteomics.
PMID- 21879038
TI - On-chip sample pretreatment using a porous polymer monolithic column for solid
phase microextraction and chemiluminescence determination of catechins in green
tea.
AB - A porous polymer monolithic column for solid-phase microextraction and
chemiluminescence detection was integrated into a simple microfluidic chip for
the extraction and determination of catechins in green tea. The porous polymer
was prepared by poly(glycidyl methacrylate-co-ethylene dimethacrylate) and
modified with ethylenediamine. Catechins can be concentrated in the porous
polymer monolithic column and react with potassium permanganate to give
chemiluminescence. The microfluidic chip is reusable with high sensitivity and
very low reagent consumption. The on-line preconcentration and detection can be
realized without an elution step. The enrichment factor was calculated to be
about 20 for catechins. The relative chemiluminescence intensity increased
linearly with concentration of catechin from 5.0 * 10(-9) to 1.0 * 10(-6) M and
the limit of detection was 1.0 * 10(-9) M. The proposed method was applied to
determine catechin in green tea. The recoveries are from 90% to 110% which
benefits the actual application for green tea samples.
PMID- 21879039
TI - Sequential chemical deposition of metal alloy jellyfish using polyaniline: redox
chemistry at the metal-polymer interface.
AB - We here demonstrate for the first time the fabrication of jellyfish-like Au-Ag
alloys through sequential chemical deposition on a PANI substrate. This
methodology can be applied to fabricate not only nanoparticles with complex
morphology but also alloys with various compositions.
PMID- 21879041
TI - Monodisperse upconversion Er3+/Yb3+:MFCl (M = Ca, Sr, Ba) nanocrystals
synthesized via a seed-based chlorination route.
AB - Monodisperse Yb(3+)/Er(3+):MFCl nanocrystals were fabricated via a simple and
effective seed-based chlorination route for the first time. Remarkably, their
upconversion emissions are found to be greatly intensified compared with those of
the well-reported Er(3+)/Yb(3+):SrF(2) with the same size and shape.
PMID- 21879040
TI - TBAI-catalyzed oxidative coupling of aminopyridines with beta-keto esters and 1,3
diones-synthesis of imidazo[1,2-a]pyridines.
AB - TBAI could catalyze the direct oxidative C-N coupling of 2-aminopyridines with
beta-keto esters and 1,3-diones, which affords imidazo[1,2-a]pyridines as the
products. The reaction was realized under metal-free conditions by using tert
butyl hydroperoxide (TBHP) as the oxidant.
PMID- 21879042
TI - A new ligand and its complex with multi-stimuli-responsive and aggregation
induced emission effects.
AB - A new ligand containing tetraphenylethylene and terpyridine moieties, and its
zinc ion complex were synthesized. Both of them exhibit an aggregation-induced
emission effect. Their colors and emissions can be smartly switched by various
external stimuli including grinding, heating and solvent-fuming, as well as
exposure to acid and base vapors.
PMID- 21879043
TI - Glycosphingolipid synthesis employing a combination of recombinant
glycosyltransferases and an endoglycoceramidase glycosynthase.
AB - Glycosynthase mutants of Rhodococcus sp. endo-glycoceramidase II efficiently
synthesize complex glycosphingolipids. Glycosyl fluoride donors may be assembled
via sequential glycosyltransferase-catalysed glycosylation of lactosyl fluoride.
Alternatively, lactosyl fluoride may be coupled to sphingosine prior to
subsequent glycosylation steps.
PMID- 21879044
TI - Properties of ionic liquids on Au surfaces: non-conventional anion exchange
reactions with carbonate.
AB - A simple anion metathesis in diluted aqueous carbonate at room temperature
affords 1-(12-mercaptododecyl)-3-methyl-imidazolium carbonate (MDMI-HCO(3)) from
MDMI salts self-assembled on gold films and nanoparticles. The properties of MDMI
SAM differ from MDMI in solution, for which the anion exchange reaction does not
proceed.
PMID- 21879045
TI - An energy efficient and facile synthesis of high molecular weight polyesters
using ketenes.
AB - A facile, ketene-based strategy for the synthesis of polyesters from stable
Meldrum's acid monomers has been developed which overcomes many issues associated
with traditional step-growth procedures. A significant increase in polymerization
efficiency is observed with only 10 min reaction time at 220 degrees C being
needed to obtain high molecular weight polymers.
PMID- 21879046
TI - Pore partition effect on gas sorption properties of an anionic metal-organic
framework with exposed Cu2+ coordination sites.
AB - Presented here is an anionic nanoporous framework material with mobile guest
cations which can perform ion exchanges with different tetraalkylammonium
cations, and the resulting tunable pore structures exhibit interesting pore
partition effects on gas storage and separation.
PMID- 21879047
TI - A Zn2GeO4-ethylenediamine hybrid nanoribbon membrane as a recyclable adsorbent
for the highly efficient removal of heavy metals from contaminated water.
AB - Zn(2)GeO(4)-ethylenediamine (ZGO-EDA) hybrid nanoribbons have been synthesized on
a large-scale and directly assembled to membranes, which exhibit an excellent
recyclability, high selectivity, and good thermal stability for highly efficient
removal of heavy metal ions, i.e., Pb(2+), Cd(2+), Co(2+), and Cu(2+), from
contaminated water.
PMID- 21879049
TI - Selective reductive transformations using samarium diiodide-water.
AB - Samarium diiodide (SmI(2)) is one of the most important reductive electron
transfer reagents available in the laboratory. Key to the popularity of SmI(2) is
the ability of additives and co-solvents to tune the properties of the reagent.
Over the last decade water has emerged as a particularly valuable additive,
opening up new chemical space and leading to the discovery of unprecedented
selectivity and new reactions promoted by SmI(2). In this Feature Article we
review recent progress in the application of SmI(2)-H(2)O systems, with an
emphasis on mechanistic considerations and the development of new
transformations.
PMID- 21879048
TI - Mesoionic thiazol-5-ylidenes as ligands for transition metal complexes.
AB - The first examples of thiazol-5-ylidene complexes featuring group 9, 10 and 11
metal centers, have been prepared by deprotonation of a series of 2,3,4-triaryl
susbtituted thiazolium salts in the presence of the corresponding transition
metal precursor.
PMID- 21879050
TI - Enhancing the luminescence of carbon dots with a reduction pathway.
AB - Blue luminescent reduced state carbon dots were prepared by reducing carbon dots
with NaBH(4). The quantum yield of the reduced state carbon dots increased from
2% to 24% and the maximum emission wavelength shifted from 520 to 450 nm. This
offers a simple pathway to enhance the luminescence of carbon dots.
PMID- 21879051
TI - Effect of the aliphatic chain length on electrical double layer formation at the
liquid/vacuum interface in the [C(n)mim][BF4] ionic liquid series.
AB - Neutral impact collision ion scattering spectroscopy (NICISS) was used to
determine the surface structure of three ionic liquids, 1-hexyl-3
methylimidazolium [C(6)mim], 1-octyl-3-methylimidazolium [C(8)mim], 1-decyl-3
methylimidazolium [C(10)mim] tetrafluoroborates [BF(4)]. Concentration depth
profiles of the elements in an ionic liquid (IL) homologous series with a common
anion were obtained. We show that separation between the oppositely charged ions
is seen for all three ionic liquids, resulting in an electrical double layer
formation. The surface charge shifts from more positive to more negative with
increasing aliphatic chain length.
PMID- 21879052
TI - Spectral and intramolecular charge transfer properties in terminal donor/acceptor
substituted all-trans-alpha,omega-diphenylpolyenes and alpha,omega
diphenylpolyynes.
AB - The absorption spectra and intramolecular charge transfer (CT) properties of
terminal donor/acceptor-substituted all-trans-alpha,omega-diphenylpolyenes (DPE)
and alpha,omega-diphenylpolyynes (DPY) molecules with different conjugated bridge
length and substitution modes were investigated by using quantum chemical
calculations. We calculated the ground state structures and energy of two series
of terminal donor/acceptor DPE and DPY by DFT method. The dependence of
conjugation length and substitution modes of the electronic absorption spectra
was obtained by TDDFT calculation. The hybrid-GGA XC-functional PBE0 employed in
this work was selected from several functionals by comparing the calculated
electronic spectral data with experimental value. The CIS-based generalized
Mulliken-Hush (GMH) approach was further used to calculate coupling values H(AD)
of the CT process. The calculation shows that both the HOMO-LUMO energy gaps and
average bond length alternations between unsaturated multiple (C=C and C=C) and
saturated single bonds (C-C) decrease regularly with the extension of
conjugation. The effective conjugated length (ECL) of DPE and DPY with the same
order MM > MP/PM > PP is found together with the regular red shift of the
electronic absorption spectra with the extension of conjugation, resulting from
the different pi-electron delocalization and conjugation efficiency. The GMH
analysis further suggests that the CT process in both DPE and DPY is predominated
by the through-bond mechanism. The remarkable difference of the conjugated length
dependence of squared CT coupling between substituted DPE and DPY is the result
of the energetic matching degree of the frontier molecular orbitals between
donor/acceptor and the conjugated bridge.
PMID- 21879053
TI - Side chain flexibility and protonation states of sulfur atom containing amino
acids.
AB - We present a set of new data allowing elucidation of the energetic,
conformational and vibrational features of cysteine (Cys) and methionine (Met),
i.e. two natural amino acids (AAs) containing a sulfur atom in their side chains.
Special attention has been paid to cysteine, for which vibrational features were
analysed in a wide pH range (6-to-12), where its backbone can switch from a
zwitterionic to an anionic form, and its side chain SH group can be deprotonated.
Through a detailed discussion on the relative acidity of the three protonation
sites of this AA, as well as on the vibrational markers arising from zwitterionic
and anionic backbones, we could assign the spectra recorded at pH 6, 9.2 and 12
to three species, referred to as Cys(0), Cys(1-)(a) and Cys(2-), where the
superscripts designate their global net charges. To bring clarification to the
structural and vibrational features, quantum mechanical calculations based on the
Density Functional Theory (DFT) were carried out, allowing (i) a quasi exhaustive
energetic and side chain conformational analysis through 804 clusters of
explicitly hydrated AAs; (ii) simulation of the observed aqueous solution
vibrational spectra of Cys(0), Cys(-2) and Met by means of the theoretical data
obtained from their conformationally distinct lowest energy clusters.
PMID- 21879054
TI - The role of electron localization in the atomic structure of transition-metal 13
atom clusters: the example of Co13, Rh13, and Hf13.
AB - The crystalline structure of transition-metals (TM) has been widely known for
several decades, however, our knowledge on the atomic structure of TM clusters is
still far from satisfactory, which compromises an atomistic understanding of the
reactivity of TM clusters. For example, almost all density functional theory
(DFT) calculations for TM clusters have been based on local (local density
approximation--LDA) and semilocal (generalized gradient approximation--GGA)
exchange-correlation functionals, however, it is well known that plain DFT fails
to correct the self-interaction error, which affects the properties of several
systems. To improve our basic understanding of the atomic and electronic
properties of TM clusters, we report a DFT study within two nonlocal functionals,
namely, the hybrid HSE (Heyd, Scuseria, and Ernzerhof) and GGA+U functionals, of
the structural and electronic properties of the Co(13), Rh(13), and Hf(13)
clusters. For Co(13) and Rh(13), we found that improved exchange-correlation
functionals decrease the stability of open structures such as the hexagonal
bilayer (HBL) and double simple-cubic (DSC) compared with the compact icosahedron
(ICO) structure, however, DFT-GGA, DFT-GGA+U, and DFT-HSE yield very similar
results for Hf(13). Thus, our results suggest that the DSC structure obtained by
several plain DFT calculations for Rh(13) can be improved by the use of improved
functionals. Using the sd hybridization analysis, we found that a strong
hybridization favors compact structures, and hence, a correct description of the
sd hybridization is crucial for the relative energy stability. For example, the
sd hybridization decreases for HBL and DSC and increases for ICO in the case of
Co(13) and Rh(13), while for Hf(13), the sd hybridization decreases for all
configurations, and hence, it does not affect the relative stability among open
and compact configurations.
PMID- 21879055
TI - Edge reconstruction effect in pristine and H-passivated zigzag silicon carbide
nanoribbons.
AB - The edge reconstruction effect of the zigzag silicon carbide nanoribbons (zz SiC
NRs) to a stable line of alternatively fused seven and five membered rings
without and with H passivation have been studied using first principles density
functional theory (DFT). The both side's edges of the pristine SiC are
respectively terminated by Si and C atoms and are called the Si-edge and the C
edge, respectively. In the un-passivated systems, the C-edge reconstructed (Crc)
could effectively lower the edge energy of the system, while the Si-edge
reconstructed (Sirc) could raise the edge energy of the system. Thus, the Crc
edge is the best edge for the edge reconstruction of the system, while the both
edge reconstructed (brc) system is the metastability. Moreover, the brc system
has a nonmagnetic metallic state, whereas the Crc system, as well as Sirc system,
has a ferromagnetic metallic state. The edge reconstructed destroys the magnetic
moment of the corresponding edge atoms. The magnetic moment arises from the
unreconstructed zigzag edges. The pristine zz edge system has a ferrimagnetic
metallic state. However, in the H-passivated systems, the unreconstructed zigzag
edge (zz-H) is the best edge. The Crc-H system is the metastability. The Sirc-H
system has only slightly higher energy than the Crc-H system, whereas the brc-H
system of the pristine SiC NR has the highest edge energy. Thus, the H
passivation would prevent the occurrence of edge reconstruction. Moreover, H
passivation induces a metal-semiconductor transition in the zz and brc SiC NRs.
Additionally, except for brc-H system which has non-magnetic semiconducting
state, the zz-H, Crc-H, and Sirc-H systems have the magnetic state.
PMID- 21879056
TI - Inelastic collisions of ultracold polar LiCs molecules with caesium atoms in an
optical dipole trap.
AB - We investigate collisions of ultracold polar LiCs molecules and ultracold caesium
atoms. LiCs molecules are formed in an optical dipole trap by photoassociation of
caesium and lithium atoms via the B(1)Pi excited state followed by spontaneous
emission to the X(1)Sigma(+) ground state and the lowest triplet state
a(3)Sigma(+). The molecules are then stored together with caesium atoms in the
same optical trap. Rate coefficients for the loss of molecules induced by
collisions with surrounding Cs atoms are measured for molecular ensembles
produced via different photoassociation resonances. The results are analyzed in
terms of the unitarity limit for the inelastic rates and predictions from the
universal model of Idziaszek and Julienne (Phys. Rev. Lett., 2010, 104, 113202).
PMID- 21879057
TI - Pressure enhancement in carbon nanopores: a major confinement effect.
AB - Phenomena that occur only at high pressures in bulk phases are often observed in
nanopores, suggesting that the pressure in such confined phases is large. We
report a molecular simulation study of the pressure tensor of an argon nanophase
within slit-shaped carbon pores and show that the tangential pressure is positive
and large, while the normal pressure can be positive or negative depending on
pore width. We also show that small changes in the bulk pressure have a large
effect on the tangential pressure, suggesting that it should be possible to
control the latter over wide ranges in laboratory experiments.
PMID- 21879058
TI - Mechanism of freezing of water in contact with mesoporous silicas MCM-41, SBA-15
and SBA-16: role of boundary water of pore outlets in freezing.
AB - The freezing mechanism of water contacted with mesoporous silicas with uniform
pore shapes, both cylindrical and cagelike, was studied by thermodynamic and
structural analyses with differential scanning calorimetry (DSC) and X-ray
diffraction (XRD) together with adsorption measurements. In the DSC data extra
exothermic peaks were found at around 230 K for water confined in SBA-15, in
addition to that due to the freezing of pore water. These peaks are most likely
to be ascribed to the freezing of water present over the micropore and/or
mesopore outlets of coronas in SBA-15. Freezing of water confined in SBA-16 was
systematically analysed by DSC with changing the pore size. The freezing
temperature was found to be around 232 K, close to the homogeneous nucleation
temperature of bulk water, independent of the pore size when the pore diameter
(d) < 7.0 nm. Water confined in the cagelike pores of SBA-16 is probably
surrounded by a water layer (boundary water) at the outlets of channels to
interconnect the pores and of fine corona-like pores, which is similar to that
present at the outlet of cylindrical pores in MCM-41 and of cylindrical channels
in SBA-15. The presence of the boundary water would be a key for water in SBA-16
to freeze at the homogeneous nucleation temperature. This phenomenon is similar
to those well known for water droplets in oil and water droplets of clouds in the
sky. The XRD data showed that the cubic ice I(c) was formed in SBA-16 as
previously found in SBA-15 when d < 8.0 nm.
PMID- 21879059
TI - The effect of reagent charge state on the charge inversion efficiency of singly
charged polyatomic ions in the gas phase.
AB - A variety of combinations of oppositely charged ions have been reacted to examine
the role of the charge state from a multiply protonated or multiply deprotonated
reagent ion on the efficiency of conversion of a singly charged ion of opposite
polarity to a singly charged ion of the same polarity as the reagent. Maximum
efficiencies on the order of tens of percent were observed. A threshold for
charge inversion was noted in all cases and, with one exception, a clear decrease
in efficiency was also noted at high charge states. A model was developed to
predict charge inversion efficiency based on charge states, cross-sections of the
reactants, and relevant thermodynamic ion affinity values for the reactants and
products. The model predicts a threshold for charge inversion, although the
prediction does not match the observed threshold quantitatively. This discrepancy
is likely due to a simplifying assumption that is not justified on a quantitative
basis but which does reproduce the qualitative trend. The model does not predict
the major decrease in efficiency at high charge states. However, calculations
show that the kinetic energies of the charge inversion products can lead to
significant scattering losses at high charge states of the ion-ion collision
complex.
PMID- 21879060
TI - A novel ruthenium(II) complex for two-photon absorption-based optical power
limiting in the near-IR range.
AB - In this article, the synthesis of a novel high-conjugated ligand and its
corresponding Ru(II) complex PTFTF:Ru is reported, along with the linear and
nonlinear optical characterizations. Two-photon absorption based optical power
limiting properties (OPL), especially in the near infrared, are described and
compared to those of the analogous complexes previously published. Combined with
a preliminary theoretical approach, this allows us to highlight several key
parameters for OPL optimization in such molecular systems and more particularly
the spectral overlap between TPA and excited-state absorption.
PMID- 21879061
TI - Pyrene-based organic dyes with thiophene containing pi-linkers for dye-sensitized
solar cells: optical, electrochemical and theoretical investigations.
AB - A new series of metal-free organic dyes containing pyrene and alpha-cyanoacrylic
acid end groups and thiophene, bithiophene, thienylbenzene or thienylfluorene pi
linkers were synthesized and characterized by absorption, emission and
electrochemical measurements. Time-dependent density functional theoretical
calculations were also performed to unravel the nature of the absorption induced
electronic excitations. Extension of conjugation in the pi-linker by the
incorporation of phenyl or fluorene was found to enhance the molar extinction
coefficient while the use of thiophene red-shifted the absorption. The longer
wavelength absorption peaks found for the dyes were attributed to pi-pi*
transition with a contribution from the charge transfer transition which becomes
prominent for the bithiophene bridged derivative. The bithiophene containing dye
showed moderate overall light-to-electron conversion efficiency attributable to
the favorable absorption and redox properties originating due to the presence of
a bithiophene segment. The trends observed for the various dyes in the device
performance were rationalized by electron-impedance spectroscopy measurements.
PMID- 21879062
TI - Ionic liquid induced spontaneous symmetry breaking: emergence of predominant
handedness during the self-assembly of tetrakis(4-sulfonatophenyl)porphyrin
(TPPS) with achiral ionic liquid.
AB - The self-assembly and supramolecular chirality of a dianionic tetrakis(4
sulfonatophenyl) porphyrin (TPPS) in the presence of ionic liquids, 1-alkyl-3
methylimidazolium tetrafluoroborate (alkyl = C(2), C(4) or C(6), abbreviated as
C(2)mimBF(4), C(4)mimBF(4) and C(6)mimBF(4), respectively), have been
investigated. It has been confirmed that mimBF(4) ionic liquids significantly
promoted the J-aggregation of TPPS and the alkyl chain length in the imidazolium
cation was closely related to the TPPS aggregation, the inducing ability of which
decreased in the order of C(2), C(4) or C(6) in side chain. Interestingly, the
formed TPPS assemblies with the ionic liquids showed supramolecular chirality
although both TPPS and ionic liquids are achiral. It was found that the
supramolecular chirality of the TPPS/IL system always appeared after the
formation of the J aggregate. The dynamic process of the emergence of the
handedness in the initial achiral system was monitored by the time-dependent CD
spectra. A mechanism for the transformation of the conventional J-aggregate to
the chiral J-aggregate was proposed. The work will lead to a deeper understanding
of the chiral symmetry breaking in the supramolecular system.
PMID- 21879063
TI - Metallization of solid hydrogen: the challenge and possible solutions.
AB - The search for the means to convert molecular hydrogen to a metal under static
conditions at high pressure is reviewed with emphasis on selected recent
developments in both experimental studies and theoretical approaches. One
approach suggested recently makes use of mixtures of hydrogen and suitable
impurities. In these materials hydrogen is perturbed by impurities with the goal
of obtaining the metallization of hydrogen at moderate pressures. This approach
has also been extensively examined through the use of first-principles methods
and we review this recently explored experimental approach and several
theoretical studies that have provided an atomic-scale picture of the interaction
of hydrogen with impurities under pressure. The objective of this novel approach
is to help determine if metallization of hydrogen at pressures is attainable with
currently available experimental techniques.
PMID- 21879064
TI - Crystal growth simulations of methane hydrates in the presence of silica
surfaces.
AB - We present a molecular dynamics simulation study of the crystal growth of methane
hydrates in the presence of model silica (SiO(2)) surfaces. The crystal growth
under apparent steady-state conditions shows a clear preference for bulk
solution. We observe rather disordered water arrangements very close to the
silica surface within about 5 A in both liquid and crystalline regions of the
system. These disordered structures have dynamic and structural properties
intermediate between those exhibited by molecules in bulk liquid and crystalline
phases. The presence of methane molecules appears to help stabilize these
structures. We observe that under appropriate conditions, the hydroxylated silica
surfaces can serve as a source of methane molecules which can help promote
hydrate growth near the surfaces.
PMID- 21879065
TI - Formation of Al2H7- anions--indirect evidence of volatile AlH3 on sodium alanate
using solid-state NMR spectroscopy.
AB - After more than a decade of intense research on NaAlH(4) doped with transition
metals as hydrogen storage material, the actual mechanism of the decomposition
and rehydrogenation reaction is still unclear. Early on, monomeric AlH(3) was
named as a possible transport shuttle for aluminium, but never observed
experimentally. Here we report for the first time the trapping of volatile AlH(3)
produced during the decomposition of undoped NaAlH(4) by an adduct of sodium
alanate and crown ether. The resulting Al(2)H(7)(-) anion was identified by solid
state (27)Al NMR spectroscopy. Based on this indirect evidence of volatile alane,
we present a simple description of the processes occurring during the reversible
dehydrogenation of NaAlH(4).
PMID- 21879066
TI - Chelatoaromaticity--existing: yes or no? An answer given by spatial magnetic
properties (through space NMR shieldings--TSNMRS).
AB - The spatial magnetic properties (through space NMR shieldings--TSNMRS) of metal
complexes (with ligands such as acetylacetone, 3-hydroxy-pyran(4)one) and
"metallobenzenes" have been calculated by the GIAO perturbation method and
visualized as Iso-Chemical-Shielding Surfaces (ICSS) of various sizes and
directions. The TSNMRS values, thus obtained, can be successfully employed to
quantify and visualize partial aromaticity of the metallocyclic ring by
comparison with the spatial magnetic properties of the corresponding non
complexed ligands in comparable structural and electronic situations, and
benzene, respectively. Because anisotropy/ring current effects in (1)H NMR
spectra proved to be the molecular response property of TSNMRS, the results
obtained concerning partial "chelatoaromaticity" are experimentally ensured.
PMID- 21879067
TI - A recyclable bifunctional acid-base organocatalyst with ionic liquid character.
The role of site separation and spatial configuration on different condensation
reactions.
AB - A series of bifunctional organic catalysts containing acid and basic sites with
ionic liquid characteristics have been prepared and their catalytic activity and
reaction coordinate for aldol and Knoevenagel condensations have been compared.
While the only factor controlling catalyst activity for the Knoevenagel
condensation was the distance between the acid and base sites, the spatial
orientation of the organocatalyst is also key to achieve high activity and
selectivity in the Claisen-Schmidt condensation. Mechanistic studies based on
theoretical DFT calculations show that the acid-base bifunctional organocatalyst
follows a mechanism inspired in natural aldolases for the synthesis of trans
chalcones, being able to produce a large variety of these compounds of industrial
interest. The combination of the acid-base pairs within the proper geometry and
the ionic liquid nature makes this catalyst active, selective and recyclable.
PMID- 21879068
TI - Chemical applications of neural networks: aromaticity of pyrimidine derivatives.
AB - Neural networks are computational tools able to apprehend non-linear
relationships between different parameters, having the capacity to order a large
amount of input data and transform them into a graphical pattern of output data.
We have previously reported their use for the quantification of the aromaticity
through the Euclidean distance between neurons. In this article, we apply the
method to a variety of pyrimidine derivatives with electron-donor and electron
withdrawing groups as substituents, with capacity to produce push-pull compounds.
We have calculated the aromaticity of benzene (as a reference molecule), parent
pyrimidine and other 11 pyrimidine derivatives having amino, dimethylamino and
tricyanovinyl substitution. The neural network has been generated using ASE,
Lambda, NICS(zz)(1) and HOMA as aromaticity descriptors, since our previous work
showed that the combination of these indices provided the best performance of the
network. On studying the influence of the substituent on the aromaticity of the
molecule, we have found that, opposite to benzene derivatives, all the
substituents decrease the aromaticity of the ring. The interplay between
aromaticity, planarity and push-pull properties of all the substituted
pyrimidines has also been addressed. An interesting feature of the neural network
to quantify aromaticity is that the importance of the reference reaction used to
evaluate energy stabilization and magnetic susceptibility exaltation is
minimized.
PMID- 21879069
TI - Tracking thermally-activated transformations in a nanostructured
metal/oxide/metal system.
AB - We present experimental and theoretical evidence of sequential redox processes
and structural transformations occurring by increasing temperature in a
metal/oxide/metal system obtained via deposition of Fe atoms onto a z'
TiO(1.25)/Pt(111) ultrathin film in UHV. The initial reduction of the z'-TiO(x)
phase by Fe at room temperature is followed by Fe diffusion and partial
penetration into the substrate at intermediate temperatures. This triggers the
formation of a bi-component material in which mixed FeO/TiO(2) nanoislands
coexist on a h-TiO(1.14) ultrathin film, notably restructured (from rectangular
to hexagonal) and reduced (from Ti : O = 1 : 1.25 to 1 : 1.14) with respect to
the original TiO(1.25) phase. Further heating recovers the pristine z'-TiO(x)
phase while Fe completely dissolves into the substrate.
PMID- 21879070
TI - Computing the inhomogeneous broadening of electronic transitions in solution: a
first-principle quantum mechanical approach.
AB - Starting from Marcus's relationship connecting the inhomogeneous broadening with
the solvent reorganization energy and exploiting recent state-specific
developments in PCM/TD-DFT calculations, we propose a procedure to estimate the
polar broadening of optical transitions. When applied to two representative
molecular probes, coumarin C153 and 4-aminophthalimide, in different solvents,
our approach provides for the polar broadening values fully consistent with the
experimental ones. Thanks to these achievements, for the first time fully ab
initio vibrationally resolved absorption spectra in solution are computed,
obtaining spectra for coumarin C153 in remarkable agreement with experiments.
PMID- 21879071
TI - Direct measurements of the high temperature rate constants of the reactions NCN +
O, NCN + NCN, and NCN + M.
AB - The rate constant of the reaction NCN + O has been directly measured for the
first time. According to the revised Fenimore mechanism, which is initiated by
the NCN forming reaction CH + N(2)-> NCN + H, this reaction plays a key role for
prompt NO(x) formation in flames. NCN radicals and O atoms have been
quantitatively generated by the pyrolysis of NCN(3) and N(2)O, respectively. NCN
concentration-time profiles have been monitored behind shock waves using narrow
bandwidth laser absorption at a wavelength of lambda = 329.1302 nm. Whereas no
pressure dependence was discernible at pressures between 709 mbar < p < 1861
mbar, a barely significant temperature dependence corresponding to an activation
energy of 5.8 +/- 6.0 kJ mol(-1) was found. Overall, at temperatures of 1826 K <
T < 2783 K, the rate constant can be expressed as k(NCN + O) = 9.6 * 10(13)* exp(
5.8 kJ mol(-1)/RT) cm(3) mol(-1) s(-1) (+/-40%). As a requirement for accurate
high temperature rate constant measurements, a consistent NCN background
mechanism has been derived from pyrolysis experiments of pure NCN(3)/Ar gas
mixtures, beforehand. Presumably, the bimolecular secondary reaction NCN + NCN
yields CN radicals hence triggering a chain reaction cycle that efficiently
removes NCN. A temperature independent value of k(NCN + NCN) = (3.7 +/- 1.5) *
10(12) cm(3) mol(-1) s(-1) has been determined from measurements at pressures
ranging from 143 mbar to 1884 mbar and temperatures ranging from 966 K to 1900 K.
At higher temperatures, the unimolecular decomposition of NCN, NCN + M -> C +
N(2) + M, prevails. Measurements at temperatures of 2012 K < T < 3248 K and at
total pressures of 703 mbar < p < 2204 mbar reveal a unimolecular decomposition
close to its low pressure limit. The corresponding rate constants can be
expressed as k(NCN + M) = 8.9 * 10(14)* exp(-260 kJ mol(-1)/RT) cm(3) mol(-1) s(
1)(+/-20%).
PMID- 21879072
TI - Supercapacitors based on self-assembled graphene organogel.
AB - Self-assembled graphene organogel (SGO) with 3-dimensional (3D) macrostructure
was prepared by solvothermal reduction of a graphene oxide (GO) dispersion in
propylene carbonate (PC). This SGO was used as an electrode material for
fabricating supercapacitors with a PC electrolyte. The supercapacitor can be
operated in a wide voltage range of 0-3 V and exhibits a high specific
capacitance of 140 F g(-1) at a discharge current density of 1 A g(-1).
Furthermore, it can still keep a specific capacitance of 90 F g(-1) at a high
current density of 30 A g(-1). The maximum energy density of the SGO based
supercapacitor was tested to be 43.5 Wh kg(-1), and this value is higher than
those of the graphene based supercapacitors with aqueous or PC electrolytes
reported previously. Furthermore, at a high discharge current density of 30 A g(
1), the energy and power densities of the supercapacitor were measured to be 15.4
Wh kg(-1) and 16,300 W kg(-1), respectively. These results indicate that the
supercapacitor has a high specific capacitance and power density, and excellent
rate capability.
PMID- 21879073
TI - Pd nanoparticles for C-C coupling reactions.
AB - The catalytic formation of C-C bonds is one of the most useful transformations in
organic synthesis. Over the last decade, the use of transition metal
nanoparticles (NPs) in catalysis has attracted much interest and their use in C-C
bond formation reactions constitutes one of their most important applications,
including the Suzuki, Heck, and Sonogashira reactions. This tutorial review
highlights recent work in this active area, considering the stabilising agents
used to prepare the NPs, the catalytic results and the recycling possibilities.
PMID- 21879074
TI - Cobalamin reduction by dithionite. Evidence for the formation of a six-coordinate
cobalamin(II) complex.
AB - Evidence for the formation of a unique, six-coordinate cobalamin(II) complex with
the anion-radical SO(2)(-) during the reduction of aquacobalamin(III) by sodium
dithionite, was obtained from spectrophotometric and EPR measurements. The pK(a)
value of the weakly coordinated dimethylbenzimidazole group was found to be 4.8
+/- 0.1 at 25 degrees C.
PMID- 21879075
TI - A computational insight into a metal mediated pathway for the ring-opening
polymerization (ROP) of lactides by an ionic {(NHC)2Ag}(+)X(-) (X = halide) type
N-heterocyclic carbene (NHC) complex.
AB - A metal mediated coordination-insertion pathway for the ring-opening
polymerization (ROP) of L-lactide by an ionic {(NHC)(2)Ag}(+)X(-) (X = halide)
type silver complex of N-heterocyclic carbene (NHC) has been investigated using
the density functional theory (DFT) method. A clear insight into the lactide
insertion process could be obtained by modeling two consecutive monomer addition
steps with the first one mimicking chain initiation with the second representing
a propagation step. In particular, in each of the cycles, the reaction initiates
with the formation of a lactide coordinated species, [1+LL] and [2+LL] that
transforms into a metal bound cyclic lactide intermediate, I([1+LL]->2) and
I([2+LL]->3), which subsequently ring opens to give the lactide inserted
products, 2 and 3. The estimated overall activation barrier for the initiation
step is 42.0 kcal mol(-1) while the same for the propagation step is 31.5 kcal
mol(-1). Studies on higher monomer insertions showed a decrease in the relative
product energies as anticipated for an addition polymerization pathway.
PMID- 21879076
TI - Binucleating behaviour of a proximally-diphosphinated calix[4]arene.
AB - The long diphosphine 5,11-diphenylphosphanyl-25,26-dipropyloxy-27,28-bis(2
propenyloxy) calix[4]arene (cone) (5), in which the two phosphorus atoms are
separated by a semi-rigid linking unit, was prepared in four steps starting from
calix[4]arene. Reaction of 5 with AuCl(SEt(2)) or [RuCl(2)(p-cymene)](2) led to
calixarenes bearing two metallated pendant arms, [5.(AuCl)(2)] and [5.{RuCl(2)(p
cymene)}(2)], respectively. In the presence of AgBF(4) or [Ni(C(5)H(5))(1,5
cyclooctadiene)]BF(4), diphosphine 5 displayed a marked tendency to form
oligomeric material, but under high dilution conditions dimeric species were
obtained selectively. The inability of 5 to form chelate complexes was further
illustrated by its reaction with [PdCl(2)(1,5-cyclooctadiene)(2)], which led
quantitatively to a rare complex in which a diphosphine spans across the
dinuclear [PdCl(MU-Cl)(2)PdCl] unit.
PMID- 21879077
TI - Coordination of uranium(VI) with functional groups of bacterial
lipopolysaccharide studied by EXAFS and FT-IR spectroscopy.
AB - The complexation of uranyl ions with lipopolysaccharide (LPS), the main component
of the cell wall of Gram-negative bacteria, was investigated on a molecular level
with U L(III)-edge extended X-ray absorption fine structure (EXAFS) and
attenuated total reflection Fourier transform infrared (ATR-FT-IR) spectroscopy
over a wide pH range (2.6 to 7.0). For the first time, structural determinations
of uranyl complexes with cell wall compounds were extended from acidic up to
neutral pH. The main functionalities responsible for uranyl binding are
phosphoryl and carboxyl groups. At an excess of LPS, related to environmental
conditions, the uranyl ion is mainly complexed by phosphoryl groups four-fold
monodentately coordinated in the equatorial plane of the uranyl dioxo cation
UO(2)(2+) showing great homologies to the uranyl mineral phase meta-autunite in
the EXAFS spectra. At equimolar ratios of uranyl and functional groups of LPS,
according to a slight deficit of phosphoryl groups, additional carboxyl
coordination in a bidentate manner becomes important as it is shown by IR
spectroscopy. From the vibrational spectra, a mixed coordination of UO(2)(2+)
with both phosphoryl and carboxyl groups is derived. The coordination of uranyl
ions to the LPS molecule is obviously mainly controlled by the U/LPS
concentration ratio, and the influence of pH is only of minor significance at the
investigated range.
PMID- 21879079
TI - Crystalline metal (Li, Mg, Ca, Sr, Ba, Sn, Pb) complexes of the new chelating
N,N'-dianionic [1,2-N(R)C6H4(CH2NR)](2-) ligand (R = SiMe3, CH2Bu(t)).
AB - 2-Aminomethylaniline was converted into the N,N'-bis(pivaloyl) (1) or
bis(trimethylsilyl) (2) derivative, using 2 Bu(t)C(O)Cl or 2 Me(3)SiCl (= RCl),
respectively, with 2 NEt(3), or for 2 from successively using 2 LiBu(n) and 2
RCl. N,N'-Bis(neopentyl)-2-(aminomethyl)aniline (3) was prepared by LiAlH(4)
reduction of 1. From 2 or 3 and 2 LiBu(n), the appropriate dilitiodiamide {2
[{N(Li)R}C(6)H(4){CH(2)N(Li)R}(L)](2) (L absent, 4a; or L = THF, 4b) or the N,N'
bis(neopentyl) analogue (5) of 4a was prepared. Treatment of 4a with 2 Bu(t)NC, 2
(2,6-Me(2)C(6)H(3)NC) or 2 Bu(t)CN (= L') furnished the corresponding adduct [2
N{Li(L')R}C(6)H(4){CH(2)N(Li)R}] (4c, 4d or 4e, respectively), whereas 4b with 2
PhCN afforded [2-{N(Li)R}C(6)H(4){CH(2)C(Ph) = NLi(NCPh)}] (6). The dimeric
bis(amido)stannylene [Sn{N(R)C(6)H(4)(CH(2)NR)-1,2}](2) (7) was obtained from 4a
and [Sn(MU-Cl)NR(2)](2), while the N,N'-bis(neopentyl) analogue 8 of 7 was
similarly derived from [Sn(MU-Cl)NR(2)](2) and 5. Reaction of two equivalents of
the diamine 2 with Pb(NR(2))(2) yielded 9, the lead homologue of 7. Oxidative
addition of sulfur to 7 led to the dimeric bis(diamido)tin sulfide 10. Treatment
of 2 successively with 'MgBu(2)' in C(5)H(12) and THF gave
[Mg{N(R)C(6)H(4)(CH(2)NR)}(THF)](2) (11a), which by displacement of its THF by an
equivalent portion of Bu(t)CN or PhCN produced
[Mg{N(R)C(6)H(4)(CH(2)NR)}(CNR')(n)] [R' = Bu(t), n = 1 (11b); R' = Ph, n = 2
(11c)]. The Ca (12), Sr (13) or Ba (14) analogues of the Mg compound 11a were
isolated from 2 and either the appropriate compound M(NR(2))(2) (M = Ca, Sr, Ba),
or successively 2 LiBu(n) and 2 M(OTos)(2). The new compounds 1-14 were
characterized by microanalysis (C, H, N; not for 1, 2, 3, 5), solution NMR
spectra, nu(max) (C=N) (IR for 4c, 4d, 4e, 6, 11b, 11c), selected EI-MS peaks
(for 1, 2, 3, 7, 8, 9, 10), and single crystal X-ray diffraction (for 4a, 4b,
11a).
PMID- 21879078
TI - Fabrication of doxorubicin functionalized gold nanorod probes for combined cancer
imaging and drug delivery.
AB - A novel strategy was utilized to develop a stable probe based on thiolated
poly(ethylene glycol) (SH-PEG) and polyacrylic acid (PAA) functionalized gold
nanorods (GNRs), following the attachment of an anti-cancer drug, doxorubicin
(DOX), to obtain PAA-PEG-GNRs@DOX assemblies. Importantly, the obtained probe as
a novel drug-delivery and fluorescent imaging agent for simultaneous imaging of
and drug delivery to prostate cancer cells has also been demonstrated. In
addition to designing PAA-PEG-GNRs that passively target tumor cells for cancer
fighting drug therapy, GNRs are also regarded as hyperthermia agents for
photokilling cancer cells, so that the tumor would be attacked on two fronts
simultaneously.
PMID- 21879080
TI - Solid state synthesis of homoleptic tetracyanamidoaluminates.
AB - Tetracyanamidoaluminates of the type LiM(2)[Al(CN(2))(4)] with M = Eu and Sr were
prepared by solid-state metathesis reactions departing from EuF(2) (or SrF(2)),
AlF(3), and Li(2)(CN(2)) in a 2 : 1 : 4 molar ratio. The ignition temperature of
the exothermic formation of LiSr(2)[Al(CN(2))(4)] was obtained near 420 degrees
C. An X-ray single-crystal structure refinement performed for
LiEu(2)[Al(CN(2))(4)] revealed the presence of the novel homoleptic
[Al(CN(2))(4)](5-) ion in the structure. The X-ray powder diffraction pattern of
LiSr(2)[Al(CN(2))(4)] was indexed isotypically.
PMID- 21879081
TI - Self-assembled decanuclear Na(I)2Mn(II)4Mn(III)4 complexes: from discrete
clusters to 1-D and 2-D structures, with the Mn(II)4Mn(III)4 unit displaying a
large spin ground state and probable SMM behaviour.
AB - The synthesis, magnetic characterization and X-ray crystal structures are
reported for five new manganese compounds, [Mn(III)(teaH(2))(sal)].(1/2)H(2)O
(1), [Na(I)(2)Mn(II)(4)Mn(III)(4)(teaH)(6)(sal)(4)(N(3))(2)(MeOH)(4)].6MeOH (2),
[Na(I)(2)Mn(II)(4)Mn(III)(4)(teaH)(6)(sal)(4)(N(3))(2)(MeOH)(2)](n).7MeOH (3),
[Na(I)(2)Mn(II)(4)Mn(III)(4)(teaH)(6)(sal)(4)(N(3))(2)(MeOH)(2)](n).2MeOH.Et(2)O
(4) and [K(I)(2)Mn(II)(4)Mn(III)(4)(teaH)(6)(sal)(4)(N(3))(2)(H(2)O)(2)](n).5MeOH
(5). Complex 1 is a mononuclear compound, formed via the reaction of
Mn(NO(3))(2).4H(2)O, triethanolamine (teaH(3)) and salicylic acid (salH(2)) in a
basic methanolic solution. Compound 2 is a mixed-valent hetero-metallic cluster
made up of a Mn(8)Na(2) decanuclear core and is formed via the reaction of sodium
azide (NaN(3)) with 1. Compounds 3-5 are isolated as 1- or 2-D coordination
polymers, each containing the decanuclear Mn(8)M(2) (M = Na(+) or K(+)) core
building block as the repeating unit. Compound 3 is isolated when 1 is reacted
with NaN(3) over a very short reaction time and forms a 1-D coordination polymer.
Each unit displays inter-cluster bridges via the O-atoms of teaH(2-) ligands
bonding to the sodium ions of an adjacent cluster. Increasing the reaction time
appears to drive the formation of 4 which forms 2-D polymeric sheets and is a
packing polymorph of 3. The addition of KMnO(4) and NaN(3) to 1 resulted in
compound 5, which also forms a 1-D coordination polymer of the decanuclear core
unit. The 1-D chains are now linked via inter-cluster potassium and salicylate
bridges. Solid state DC susceptibility measurements were performed on compounds 1
5. The data for 1 are as expected for an S = 2 Mn(III) ion, with the isothermal M
vs. H data being fitted by matrix diagonalization methods to give values of g and
the axial (D) and rhombic (E) zero field splitting parameters of 2.02, -2.70 cm(
1) and 0.36 cm(-1) respectively. The data for 2-5, each with an identical
Mn(II)(4)Mn(III)(4) metallic core, indicates large spin ground states, with
likely values of S = 16 (+/-1) for each. Solid state AC susceptibility
measurements confirm the large spin ground state values and is also suggestive of
SMM behaviour for 2-5 as observed via the onset of frequency dependent out-of
phase peaks.
PMID- 21879082
TI - Reversible thermo-responsive luminescent metallo-supramolecular triblock
copolymers based on platinum(II) terpyridyl chromophores with unusual aggregation
behaviour and red-near-infrared (NIR) emission upon heating.
AB - Two platinum(II) terpyridyl-based metallo-supramolecular triblock copolymers,
[ClPt(tpy)PEO-PPO-PEO(tpy)PtCl](OTf)(2) (1) and [(Ph-C=C)Pt(tpy)PEO-PPO
PEO(tpy)Pt(C=C-Ph)](OTf)(2) (2), have been synthesized and characterized. The two
complexes were found to aggregate with PtPt and/or pi-pi interactions at high
temperature, which have not been reported so far, as revealed by UV/Vis
absorption, emission and (1)H NMR study. This is due to the formation of
spherical micelles driven by the PEO-PPO-PEO copolymers at temperatures above the
critical micelle temperature, which was confirmed by TEM and DLS. The red-near
infrared (NIR) emission of the complexes can be switched on and off by at least
ten cycles of heating and cooling, suggesting that the micellization was highly
reversible.
PMID- 21879083
TI - Anion-driven conformation control and enhanced sulfate binding utilising aryl
linked salicylaldoxime dicopper helicates.
AB - The synthesis and spectroscopic analysis of both "metal-only" and anion
encapsulated dicopper(II) double helicates utilising a new 1,4-aryl spacer is
described. X-Ray crystallographic analysis of the complexes reveal that the
aromatic spacer increases rigidity in the complex, yet the complexes are still
able to undergo a dramatic "coiling up" to bind anions. Spectroscopic analysis
has shown a clear enhancement in the binding strength of SO(4)(2-) over the non
coordinating anions ClO(4)(-), NO(3)(-) and Br(-) which has been attributed to a
combination of enhanced rigidity in the complex and an increased electrostatic
interaction between the complex and the dianion.
PMID- 21879084
TI - Intracrystalline diffusion in metal organic framework during heterogeneous
catalysis: influence of particle size on the activity of MIL-100 (Fe) for
oxidation reactions.
AB - Three MIL-100 (Fe) samples differing in average crystal size (from 60-70 to >400
nm) have been synthesized by microwave heating using three HF/Fe(3+) ratios.
Oxidation of diphenylmethane with tert-butylhydroperoxide (TBHP) and thiophenol
with oxygen are catalyzed by three MIL-100 (Fe) samples with similar reaction
rates regardless of its average particle size. In contrast, the activity of the
three MIL-100 (Fe) samples for the oxidation of bulky triphenylmethane by TBHP
largely depends on the average crystal size of the sample: the smaller the
average particle size, the larger the initial reaction rate of triphenylmethane
oxidation. These results show that diffusion limitation takes place on MOF
catalysis depending on the substrate size and provides indirect evidence that
these reactions take place inside the intracrystalline space of the porous
catalysts.
PMID- 21879085
TI - Luminescent rhenium(I) complexes with acetylamino- and trifluoroacetylamino
containing phenanthroline ligands: anion-sensing study.
AB - A series of rhenium complexes with acetylamino- and trifluoroacetylamino
containing 1,10-phenanthroline ligands have been synthesized, characterized and
their photophysical and electrochemical properties studied. These complexes were
found to show significant UV-vis and emission changes on addition of CN(-), F(-)
and AcO(-) anions. Their reactivity towards CN(-), F(-) and AcO(-) anions, was
also investigated by UV-vis, emission and (1)H NMR spectroscopy. The reaction
product between the trifluoroacetylamino-containing 1,10-phenanthroline ligand
and the CN(-) anion has also been structurally characterized by X-ray
crystallography.
PMID- 21879086
TI - Calix[4]arenes with one and two N-linked imidazolium units as precursors of N
heterocyclic carbene complexes. Coordination chemistry and use in Suzuki-Miyaura
cross-coupling.
AB - The calix[4]arene-imidazolium salts 5-(3-butyl-1-imidazolylium)-25,26,27,28
tetrabenzyloxy-calix[4]arene bromide (cone) (2), and 5,11-bis(3-alkyl-1
imidazolylium)-25,26,27,28-tetrabenzyloxycalix[4]arene diiodide (cone) (R =
methyl, 3a; R = n-butyl, 3b) have been synthesised. Reaction of 2 in dioxane with
PdCl(2) in the presence of CsCO(3) and KBr (80 degrees C, 24 h) gives the
carbene complex trans-[PdBr(2)(calix-monocarbene)(2)] (14), containing two N
heterocyclic carbene ligands derived from 2 (yield: 63%). Repeating the reaction
in pyridine instead of dioxane gives the mixed pyridine-carbene complex trans
[PdBr(2)(calix-carbene)(pyridine)] (15) in 75% yield. Treatment of the bis
imidazolium salt 3a with [Pd(OAc)(2)] affords a chelate complex, trans
[PdI(2){calix-bis(carbene)}] (16), in which a metallo-(bis-carbene) fragment caps
the upper rim of the calixarene basket. Complex 16, as well as its analogue 17,
obtained from 3b, display apparent C(s)-symmetry in solution. This is not the
case in the solid state, a single X-ray diffraction study carried out for 16
revealing a pinced cone structure for the calixarene skeleton, which reduces the
symmetry to C(1). The chelate complex 17 shows poor activity in Suzuki-Miyaura
cross-coupling of phenyl boronic acid and p-tolyl halides, an observation that
suggests the presence of a strained metallocyclic unit preventing easy
stereochemical rearrangement to an active species. Unlike 17, complexes 14 and 15
show good activities in cross-coupling. A comparative study using the carbene
precursor 1-butyl-3-(2,6-diisopropylphenyl)imidazolium bromide (18), which is
devoid of the receptor fragment, strongly suggests that the carbene ligands of 14
and 15 operate typically as bulky NHC-ligands.
PMID- 21879087
TI - Isomeric separation in donor-acceptor systems of Pd(II) and Pt(II) and a combined
structural, electrochemical and spectroelectrochemical study.
AB - Compounds of the form [(pap)M(Q(2-))] (pap = phenylazopyridine; Q = 3,5-di-tert
butyl-benzoquinone, M = Pd, 1a and 1b, M = Pt, 2a and 2b; Q = 4-tert-butyl
benzoquinone, M = Pd, 3a and 3b; M = Pt, 4a and 4b) were synthesized in a one-pot
reaction. The geometrical isomers, which are possible because of the built in
asymmetry of these ligands, have been separated by using different temperatures
and variable solubility. Structural characterization of 1b shows that the metal
centers are in a square planar environment, the pap ligand is in the unreduced
neutral state and the quinones are in the doubly reduced, Q(2-) catecholate form.
Cyclic voltammetric measurements on the complexes display two one-electron
oxidations and two one-electron reductions. EPR and vis-NIR spectra of the one
electron oxidized forms of the complexes indicate that the first oxidation takes
place on the Q(2-) ligands to produce a metal bound semiquinone (Q(-)) radical.
Reduction takes place on the pap ligand, generating metal bound pap(-) as seen
from the (14)N (I = 1) coupling in their EPR spectrum. All the complexes in their
[(pap)M(Q(2-))] neutral forms show strong absorptions in the NIR region which are
largely LLCT (ligand to ligand charge transfer) in origin. These NIR bands can be
tuned over a wide energy range by varying the metal center as well as the Q
ligand. In addition, the intensity of NIR bands can be switched on and off by a
simple electron transfer at relatively low potentials. DFT studies were used to
corroborate these findings.
PMID- 21879089
TI - Novel neutral hexacoordinate benzamidinatosilicon(IV) complexes with SiN3OF2,
SiN3OCl2, SiN3OBr2, SiN5O and SiN3O3 skeletons.
AB - The neutral pentacoordinate monoamidinatosilicon(IV) complex 1 (SiN(2)Cl(3)
skeleton) and the neutral hexacoordinate monoamidinatosilicon(IV) complexes 2-9
(SiN(3)OF(2), SiN(3)OCl(2), SiN(3)OBr(2), SiN(5)O and SiN(3)O(3) skeletons) were
synthesised and characterised by elemental analyses, single-crystal X-ray
diffraction (except for 1) and NMR spectroscopy in the solid state and in
solution. Compounds 2-9 contain one bidentate monoanionic N,N'
diisopropylbenzamidinato ligand, one bidentate monoanionic ligand derived from 8
hydroxyquinoline and (i) two identical monoanionic ligands (F, Cl, Br, N(3), NCO,
NCS, OSO(2)CF(3)) or (ii) one bidentate dianionic benzene-1,2-diolato ligand. The
dynamic behavior of 2-4 (SiN(3)OX(2) skeleton; X = F, Cl, Br) and 9 (SiN(3)O(3))
in solution was studied by multinuclear variable-temperature NMR experiments.
Compound 1 was synthesised by reaction of SiCl(4) with the corresponding lithium
amidinate, and compound 2 was obtained by reaction of 1 with 8-hydroxyquinoline
and triethylamine. Compound 2 served as the starting material in the syntheses of
3-9, in which the two chloro ligands of 2 were substituted by two identical
(pseudo)halogeno ligands, two trifluoromethanesulfonato ligands or one benzene
1,2-diolato ligand. Compounds 3 and 4 contain the novel SiN(3)OBr(2) and
SiN(3)OF(2) skeletons, while compounds 5-7 are the first neutral hexacoordinate
silicon(IV) complexes with an SiN(5)O skeleton.
PMID- 21879088
TI - Synthesis, structures and anti-malaria activity of some gold(I) phosphine
complexes containing seleno- and thiosemicarbazonato ligands.
AB - A series of both mono- and dinuclear gold(I) phosphine complexes containing
monoanionic seleno- and thiosemicarbazones as ligands were prepared and fully
characterized by spectroscopic methods and, in some cases, by single crystal X
ray diffraction. The in vitro anti-malaria activity of some of these compounds
was investigated in chloroquine sensitive strains of Plasmodium falciparum. The
IC(50) results show that the sulfur containing compounds exhibit activity similar
to that of chloroquine, whilst the selenium derivatives display only moderate
anti-malaria activity.
PMID- 21879090
TI - Pd(II) and Ni(II) complexes featuring a "phosphasalen" ligand: synthesis and DFT
study.
AB - A phosphorus analog of salen ligands featuring iminophosphorane functionalities
in place of the imine groups was synthesised in 2 steps from o
diphenylphosphinophenol via the preparation of the corresponding bis
aminophosphonium salt. This novel tetradentate ligand (1), which we named
phosphasalen, was coordinated to Pd(II) and Ni(II) metal centres affording
complexes 6 and 7 respectively, which were characterised by multinuclear NMR,
elemental and X-ray diffraction analyses. Both neutral complexes adopt a nearly
square-planar geometry around the metal with coordination of all iminophosphorane
and phenolate moieties. The electronic properties of these new complexes were
investigated by cyclic voltammetry and comparison with known salens was made when
possible. Moreover, the particular behaviour of the phosphasalen nickel complex 7
was further investigated through magnetic moment measurements and a DFT study.
PMID- 21879091
TI - Synthesis and characterization of diiron dithiolate complexes containing a
quinoxaline bridge.
AB - A potential model complex for the hydrogenase active site, [Fe(2){(MU
CH(2)S)(2)R}(CO)(6)] (1) (R = quinoxaline), was synthesized by condensation of
[(MU-LiS)(2)Fe(2)(CO)(6)] with 2,3-bis(bromomethyl)quinoxaline. Reactions of 1
with bis(diphenylphosphino)methane (dppm) under a range of conditions yielded
substituted complexes [Fe(2){(MU-CH(2)S)(2)R}(CO)(5)(dppm)] (2), [Fe(2){(MU
CH(2)S)(2)R}(CO)(4)(k(2)-dppm)] (3) and [Fe(2){(MU-CH(2)S)(2)R}(CO)(4)(MU-dppm)]
(4). X-ray crystallography confirms that in 2, the dppm is terminally bonded to
an iron atom via one phosphorus atom, whereas in 3, it acts as a chelating ligand
to coordinate to an iron center in a dibasal-substituted manner. In 4, the dppm
bridges the two iron atoms in a cis basal/basal fashion with one phosphorus
bonded to each iron atom. Treatment of 1 with various tertiary phosphines at room
temperature in acetonitrile (MeCN) generates a range of mono-substituted products
[Fe(2){(MU-CH(2)S)(2)R}(CO)(5)L] (5, L = PEt(3); 6, PMe(3); 7, PPh(3); 8,
Me(2)PPh). With Bu(t)NC, mono- and di-substituted [Fe(2){(MU
CH(2)S)(2)R}(CO)(5)(Bu(t)NC)] (9) and [Fe(2){(MU-CH(2)S)(2)R}(CO)(4)(Bu(t)NC)(2)]
(10) complexes are generated. All the complexes were characterized by elemental
analysis, IR, MS and NMR spectroscopy. IR and NMR spectroscopic studies suggest
that addition of excess HBF(4).OEt(2) acid to 1-4 led to the protonation of
quinoxaline nitrogen atoms. In contrast, 5-10 were not stable in acidic media.
Electrochemistry of 1-4 was investigated in the acetonitrile medium (0.1 M
Bu(4)NPF(6)). The electrochemical instability of the reduced ligand, quinoxaline,
and the reduced forms of these complexes revealed from the electrochemical
studies suggests that they do not provide ideal models of the hydrogenase active
site.
PMID- 21879092
TI - Rattle-type hollow CaWO4:Tb(3+)@SiO2 nanocapsules as carriers for drug delivery.
AB - Rattle-type hollow nanocapsules are among of the most promising candidates as
drug carriers owing to their huge inner space and multifunctional material
combination. In this paper, rattle-type hollow CaWO(4):Tb(3+)@SiO(2) nanocapsules
with a diameter of 100-110 nm and a wall thickness around 10 nm were fabricated.
The hollow silica nanospheres were used as nano-reactors and the luminescent core
of CaWO(4):Tb(3+) was post-filled into the nano-reactors by a vacuum nano-casting
route combined with a Pechini-type sol-gel method. Subsequently, doxorubicin
hydrochloride (DOX), a model of an anti-cancer drug, is loaded into the
CaWO(4):Tb(3+)@SiO(2) nanocapsules and their cell cytotoxicity, cancer cell
uptake and drug release behavior are investigated in vitro. The prepared
multifunctional inorganic nanocapsules show a loading capacity for DOX as high as
124 mg g(-1) and sustained-release properties. The release profile of the drug
from DOX-loaded nanocapsules can last over five days. Besides, the blank
CaWO(4):Tb(3+)@SiO(2) shows very low cytotoxicity against cancer cell lines (HeLa
cell) while the DOX-loaded nanocapsules exhibit relatively high efficiency for
killing of HeLa cells. The rapid cancer cell uptake process is observed by
confocal laser scanning microscopy. The results indicate that a rattle-type
hollow CaWO(4):Tb(3+)@SiO(2) nanocapsule has the potential to be used as drug
carrier in therapy. Moreover, it is possible to extend the synthetic strategy in
this study to other rattle-type multifunctional composites to meet various
demands.
PMID- 21879093
TI - Smooth C(alkyl)-H bond activation in rhodium complexes comprising abnormal
carbene ligands.
AB - Rhodation of trimethylene-bridged diimidazolium salts induces the intramolecular
activation of an alkane-type C-H bond and yields mono- and dimetallic complexes
containing a formally monoanionic C,C,C-tridentate dicarbene ligand bound to each
rhodium centre. Mechanistic investigation of the C(alkyl)-H bond activation
revealed a significant rate enhancement when the carbene ligands are bound to the
rhodium centre via C4 (instantaneous activation) as compared to C2-bound carbene
homologues (activation incomplete after 2 days). The slow C-H activation in
normal C2-bound carbene complexes allowed intermediates to be isolated and
suggests a critical role of acetate in mediating the bond activation process.
Computational modelling supported by spectroscopic analyses indicate that halide
dissociation as well as formation of the agostic intermediate is substantially
favoured with C4-bound carbenes. It is these processes that discriminate the C4-
and C2-bound systems rather than the subsequent C-H bond activation, where the
computed barriers are very similar in each case. The tridentate dicarbene ligand
undergoes selective H/D exchange at the C5 position of the C4-bound carbene
exclusively. A mechanism has been proposed for this process, which is based on
the electronic separation of the abnormal carbene ligand into a cationic N-C-N
amidinium unit and a metalla-allyl type M-C-C fragment.
PMID- 21879094
TI - Syntheses, crystal structures, reactivity, and photochemistry of gold(III)
bromides bearing N-heterocyclic carbenes.
AB - Gold(I) complexes bearing N-heterocyclic carbenes (NHC) of the type (NHC)AuBr
(3a/3b) [NHC = 1-methyl-3-benzylimidazol-2-ylidene (= MeBnIm), and 1,3
dibenzylimidazol-2-ylidene (= Bn(2)Im)] are prepared by transmetallation
reactions of (tht)AuBr (tht = tetrahydrothiophene) and (NHC)AgBr (2a/2b). The
homoleptic, ionic complexes [(NHC)(2)Au]Br (6a/6b) are synthesized by the
reaction with free carbene. Successive oxidation of 3a/3b and 6a/6b with bromine
gave the respective (NHC)AuBr(3) (4a/4b) and [(NHC)(2)AuBr(2)]Br (7a/7b) in good
overall yields as yellow powders. All complexes were characterized by NMR
spectroscopy, mass spectrometry, elemental analysis and single crystal X-ray
diffraction. Reactions of the Au(III) complexes towards anionic ligands like
carboxylates, phenolates and thiophenolates were investigated and result in a
complete or partial reduction to a Au(I) complex. Irradiation of the Au(III)
complexes with UV light yield the Au(I) congeners in a clean photo-reaction.
PMID- 21879095
TI - Hexameric subphthalocyanine rosette.
AB - A highly congested hexameric subphthalocyanine array was synthesized by axial
chlorine-to-phenoxy substitution of a hexakis(4-hydroxyphenyl)benzene based
subphthalocyanine, and photoinduced symmetry-breaking charge separation was
demonstrated in polar solvent.
PMID- 21879096
TI - Sampling approaches to predict urban street noise levels using fixed and
temporary microphones.
AB - Requirements for static (prediction of L(den) and diurnal averaged noise pattern)
and dynamic (prediction of 15 min and 60 min evolution of L(Aeq) and statistical
levels L(A90,)L(A50) and L(A10)) noise level monitoring are investigated in this
paper. Noise levels are measured for 72 consecutive days at 5 neighboring streets
in an inner-city noise measurement network in Gent, Flanders, Belgium. We present
a method to make predictions based on a fixed monitoring station, combined with
short-term sampling at temporary stations. It is shown that relying on a fixed
station improves the estimation of L(den) at other locations, and allows for the
reduction of the number of samples needed and their duration; L(den) is estimated
with an error that does not exceed 1.5 dB(A) to 3.4 dB(A) according to the
location, for 90% of the 3 * 15 min samples. Also the diurnal averaged noise
pattern can be estimated with a good accuracy in this way. It was shown that
there is an optimal location for the fixed station which can be found by short
term measurements only. Short-term level predictions were shown to be more
difficult; 7 day samples were needed to build models able to estimate the
evolution of L(Aeq,60min) with a RMSE ranging between 1.4 dB(A) and 3.7 dB(A).
These higher values can be explained by the very pronounced short-term variations
appearing in typical streets, which are not correlated between locations. On the
other hand, moderately accurate predictions can be achieved, even based on short
term sampling (a 3 * 15 minute sampling duration seems to be sufficient for many
of the accuracy goals set related to static and dynamic monitoring). Finally, the
method proposed also allows for the prediction of the evolution of statistical
indicators.
PMID- 21879097
TI - Occurrence of chlorinated and brominated polycyclic aromatic hydrocarbons in
surface sediments in Shenzhen, South China and its relationship to urbanization.
AB - One hundred and fourteen surface sediments were collected from the Maozhou River
Watershed in Shenzhen, China from December 2009 to January 2010. Three individual
chlorinated polycyclic aromatic hydrocarbons (ClPAHs), six individual brominated
polycyclic aromatic hydrocarbons (BrPAHs), and five corresponding parent
polycyclic aromatic hydrocarbons (PAHs) were determined. The concentration of 9
chlorophenanthrene was the highest ranging from 0.51-289 ng g(-1) (average, 16.5
ng g(-1)). For BrPAHs, the concentration of 2-bromofluorene was the highest
ranging from 0.31-266 ng g(-1) (average, 35.3 ng g(-1)). No correlation was
observed between the concentrations of ClPAHs and parent PAHs in surface
sediments. In addition, there was no correlation between 1-bromopyrene, 7
bromobenz(a)anthracene and 9,10-dibromoanthracene, and corresponding parent PAHs.
However, a significant correlation was found between 9-bromophenanthrene and
phenanthrene (p < 0.01), between 9-bromoanthracene and anthracene (p < 0.05), and
between 2-bromofluorene and fluorene (p < 0.05). Six fly ash samples collected
from one of the municipal domestic waste incineration plants in Shenzhen were
also analyzed for source identification. The concentration of 7
bromobenz(a)anthracene was the highest, ranging from 3.21-4.08 ng g(-1). In
addition, 2-bromofluorene was not detected in all the fly ash samples. No
correlation was found between the concentrations of Cl-/BrPAHs and corresponding
parent PAHs in fly ashes. We also examined the relationship between the levels of
Cl-/BrPAHs in surface sediments and the urbanization process. Our results
suggested the levels of individual Cl-/BrPAHs congeners presented a similar
increasing trend with the increasing urbanization level.
PMID- 21879098
TI - The role of 'Big Society' in monitoring the state of the natural environment.
AB - Environmental monitoring is essential for assessing the current state of the
environment, measuring impacts of environmental pressures and providing evidence
to government. Recent UK government announcements have indicated an increased
role for 'Big Society' in monitoring. In this paper, we review available
literature concerning the use of citizen science for monitoring, present examples
of successful volunteer monitoring work and highlight important issues
surrounding the use of volunteers. We argue that in order to ensure that
environmental monitoring continues to be effective it is important to learn from
examples where volunteers are currently used, acknowledging constraints and
identifying potential approaches which will help to maximise both their
engagement and data quality. Effective partnerships between environmental
monitoring organisations and volunteers may thus aid the UK in developing robust
coordinated monitoring systems that will be less vulnerable to funding variances.
PMID- 21879099
TI - Polyphenols prevent lipid abnormalities and arterial dysfunction in hamsters on a
high-fat diet: a comparative study of red grape and white persimmon wines.
AB - SCOPE: We compared the effects of two dealcoholized wines, persimmon (P) and
Merlot (M), in hypercholesterolemic hamsters. METHODS AND RESULTS: Four groups of
hamsters received a standard (ST) or an atherogenic diet (AT) for 12 weeks. AT
animals received either dealcoholized persimmon wine (AT + P) or Merlot wine (AT
+ M) by gavage, while controls received water (AT and ST). Plasma cholesterol,
triglycerides and glucose and paraoxonase activity were measured. Oxidative
stress was assessed by aortic O(2) degrees (-) production, and vascular function
was evaluated in aortic rings. The atherogenic diet led to higher plasma
triglycerides (246%), total cholesterol (142%), LDL-cholesterol (91%) and HDL
cholesterol (49%). Aortic production of O(2) degrees (-) also increased (207%)
and vascular reactivity was modified with altered endothelial function as
assessed by acetylcholine-dependent vasorelaxation. The two wines partially
prevented these alterations, reducing O(2) degrees (-) production and improving
vascular reactivity without altering endothelial function. There was no
difference between the P and M groups, although the procyanidin composition of
the two dealcoholized fractions differed significantly, and only dimer
concentrations were similar. CONCLUSION: These findings indicate that polyphenols
are responsible, at least in part, for the antiatherogenic/antioxidant effects of
wines.
PMID- 21879100
TI - Plant food supplement (PFS) market structure in EC Member States, methods and
techniques for the assessment of individual PFS intake.
AB - The popularity of herbal products, especially plant food supplements (PFS) and
herbal medicine is on the rise in Europe and other parts of the world, with
increased use in the general population as well as among specific subgroups
encompassing children, women or those suffering from diseases such as cancer. The
aim of this paper is to examine the PFS market structures in European Community
(EC) Member States as well as to examine issues addressing methodologies and
consumption data relating to PFS use in Europe. A revision of recent reports on
market data, trends and main distribution channels, in addition an example of the
consumption of PFS in Spain, is presented. An overview of the methods and
administration techniques used to assess individual food consumption as a
starting point, including their uses and limitations, as well as some examples of
studies that collect Food Supplement (FS) information, including
herbal/botanical/plant-derived products are also discussed. Additionally, the
intake estimation process of food nutrients is described and used to propose the
PFS ingredients intake estimation process. Nationally representative PFS
consumption data is scarce in Europe. The majority of studies have been conducted
in Scandinavia and the UK. However the heterogeneity of definitions, study design
and objectives make it difficult to compare results and extrapolate conclusions.
PMID- 21879101
TI - The immediate and short-term chemosensory impacts of coffee and caffeine on
cardiovascular activity.
AB - The immediate and short-term chemosensory impacts of coffee and caffeine on
cardiovascular activity. INTRODUCTION: Caffeine is detected by 5 of the 25
gustatory bitter taste receptors (hTAS2Rs) as well as by intestinal STC-1 cell
lines. Thus there is a possibility that caffeine may elicit reflex autonomic
responses via chemosensory stimulation. METHODS: The cardiovascular impacts of
double-espresso coffee, regular (130 mg caffeine) and decaffeinated, and
encapsulated caffeine (134 mg) were compared with a placebo-control capsule.
Measures of four post-ingestion phases were extracted from a continuous recording
of cardiovascular parameters and contrasted with pre-ingestion measures.
Participants (12 women) were seated in all but the last phase when they were
standing. RESULTS: Both coffees increased heart rate immediately after ingestion
by decreasing both the diastolic interval and ejection time. The increases in
heart rate following the ingestion of regular coffee extended for 30 min.
Encapsulated caffeine decreased arterial compliance and increased diastolic
pressure when present in the gut and later in the standing posture. DISCUSSION:
These divergent findings indicate that during ingestion the caffeine in coffee
can elicit autonomic arousal via the chemosensory stimulation of the gustatory
receptors which extends for at least 30 min. In contrast, encapsulated caffeine
can stimulate gastrointestinal receptors and elicit vascular responses involving
digestion. CONCLUSION: Research findings on caffeine are not directly applicable
to coffee and vice versa. The increase of heart rate resulting from coffee
drinking is a plausible pharmacological explanation for the observation that
coffee increases risk for coronary heart disease in the hour after ingestion.
PMID- 21879102
TI - Natural salicylates: foods, functions and disease prevention.
AB - Salicylic acid and related compounds are produced by plants as part of their
defence systems against pathogen attack and environmental stress. First
identified in myrtle and willow, the medical use of salicylate-rich preparations
as anti-inflammatory and antipyretic treatments may date back to the third
millennium BC. It is now known that salicylates are widely distributed throughout
the plant kingdom, and they are therefore present in plant products of dietary
relevance. In the UK, major food sources are tomato-based sauces, fruit and fruit
juice, tea, wine, and herbs and spices. In mammalian cells, salicylic acid
demonstrates several bioactivities that are potentially disease-preventative,
including the inhibition of production of potentially neoplastic prostaglandins,
which arise from the COX-2 mediated catalysis of arachidonic acid. Moreover, it
appears to be readily absorbed from the food matrix. This has led some to
suggestions that the recognised effects of consuming fruit and vegetables on
lowering the risk of several diseases may be due, in part, to salicylates in
plant-based foods. However, published estimates of daily salicylic acid intake
vary markedly, ranging from 0.4 to 200 mg day(-1), so it is unclear whether the
Western diet can provide sufficient salicylates to exert a disease-preventative
activity. Some ethnic cuisines that are associated with lowered disease risk may
contain considerably more salicylic acid than is obtainable from a Western diet.
However known protective effects of acetylsalicylic acid (AspirinTM) may have
lead to an over-emphasis on the importance of dietary salicylates compared with
other bioactive plant phenolics in the diet.
PMID- 21879103
TI - Effective pressure and bubble generation in a microfluidic T-junction.
AB - To improve the existing trial-and-error process in designing a microfluidic T
junction, a systematic study of the geometrical (mainly the channel length)
effects on the generated bubbly/slug flow was conducted to figure out basic
design guidelines based on experimental and theoretical analyses. A driving
system with dual constant pressure sources, instead of the commonly used dual
constant volume-rate sources (such as two syringe pumps), was chosen in this
study. The newly proposed effective pressure ratio (P(e)*) has revealed its
advantages in excluding the surface tension effect of fluids. All the data of
generated bubbly/slug flow for a given geometry collapse excellently into the
same relationship of void fraction and effective pressure ratio. This
relationship is insensitive to the liquid viscosity and the operation range is
strongly affected by the geometrical effect, i.e., the channel length ratio of
downstream to total equivalent length of the main channel in a T-junction chip.
As to the theoretical design and analysis of gas-liquid-flow characteristics in a
microfluidic T-junction, which is still sporadic in the literature, the proposed
semi-empirical model has successfully predicted the operation boundaries and the
output flow rate of bubbly/slug flow of different investigated cases and
demonstrated its usability.
PMID- 21879104
TI - Rapid detection of algal toxins by microfluidic immunoassay.
AB - Herein we report fabricating a microfluidic device to monitor harmful algal
blooming (HAB). The heterogeneous immuno-enzyme assay was integrated into a self
designed microfluidic chip for rapid and automatic analysis of algal toxins. The
device was made from polydimethylsiloxane (PDMS) and was assembled with a home
made control system. The performance of the system was demonstrated by the
detection of microcystin, saxitoxin and cylindrospermopsin, the major
cyanotoxins. In one single microfluidic chip, multiple samples were controlled
and analysed in a parallel manner. Under the optimal conditions, the linear range
and the limit of detection of microcystins were 0-5.0 ng mL(-1) and 0.02 ng mL(
1) respectively. The total analysis time was less than 25 min. The designed
device was highly automatic, more efficient and economic compared to conventional
techniques.
PMID- 21879105
TI - A microfluidic in situ analyzer for ATP quantification in ocean environments.
AB - We have developed and tested a functionally integrated in situ analyzer, the IISA
ATP system, for microbial activity assays based on a quantitative determination
of the total (particulate and dissolved) ATP in ocean environments. The IISA-ATP
utilizes a PDMS-glass hybrid microfluidic device as its core functional element,
which can perform cell lysis and total ATP quantification by a luciferin
luciferase bioluminescence assay in situ. Transparent heaters and a temperature
sensor fabricated on a glass substrate provide temperature control. As a result
of the evaluation using the microfluidic device with ATP standard solutions, the
bioluminescence intensity was linearly correlated with 2 * 10(-12) to 2 * 10(-8)
M of ATP. A detection limit of 1.1 * 10(-11) M was determined using the completed
IISA-ATP system, which includes a miniature pumping module and a control module.
As a result of the evaluation using the environmental seawater sample collected
from Tokyo Bay, Japan, 2.7 * 10(-10) M of total ATP was successfully determined
in the laboratory by the IISA-ATP. The system was operated at a shallow submarine
hot spring area in Okinawa, Japan for an in situ trial. The result shows the
system was successfully operated in situ and the total ATP was determined to be
3.4 * 10(-10) M.
PMID- 21879106
TI - The cell monolayer trajectory from the system state point of view.
AB - Time-lapse microscopic movies are being increasingly utilized for understanding
the derivation of cell states and predicting cell future. Often, fluorescence and
other types of labeling are not available or desirable, and cell state
definitions based on observable structures must be used. We present the
methodology for cell behavior recognition and prediction based on the short term
cell recurrent behavior analysis. This approach has theoretical justification in
non-linear dynamics theory. The methodology is based on the general stochastic
systems theory which allows us to define the cell states, trajectory and the
system itself. We introduce the usage of a novel image content descriptor based
on information contribution (gain) by each image point for the cell state
characterization as the first step. The linkage between the method and the
general system theory is presented as a general frame for cell behavior
interpretation. We also discuss extended cell description, system theory and
methodology for future development. This methodology may be used for many
practical purposes, ranging from advanced, medically relevant, precise cell
culture diagnostics to very utilitarian cell recognition in a noisy or uneven
image background. In addition, the results are theoretically justified.
PMID- 21879107
TI - Interactome-wide prediction of short, disordered protein interaction motifs in
humans.
AB - Many of the specific functions of intrinsically disordered protein segments are
mediated by Short Linear Motifs (SLiMs) interacting with other proteins. Well
known examples include SLiMs that interact with 14-3-3, PDZ, SH2, SH3, and WW
domains but the true extent and diversity of SLiM-mediated interactions is
largely unknown. Here, we attempt to expand our knowledge of human SLiMs by
applying in silico SLiM prediction to the human interactome. Combining data from
seven different interaction databases, we analysed approximately 6000 protein
centred and 1600 domain-centred human interaction datasets of 3+ unrelated
proteins that interact with a common partner. Results were placed in context
through comparison to randomised datasets of similar size and composition. The
search returned thousands of evolutionarily conserved, intrinsically disordered
occurrences of hundreds of significantly enriched recurring motifs, including
many that have never been previously identified (). In addition to True Positive
results for at least 25 different known SLiMs, a striking number of "off-target"
proteins/domains also returned significantly enriched known motifs. Often, this
was due to the non-independence of the datasets, with many proteins sharing
interaction partners or contributing interactions to multiple domain datasets.
The majority of these motif classes, however, were also found to be significantly
enriched in one or more randomised datasets. This highlights the need for care
when interpreting motif predictions of this nature but also raises the
possibility that SLiM occurrences may be successfully identified independently of
interaction data. Although not as compositionally biased as previous studies,
patterns matching known SLiMs tended to cluster into a few large groups of
similar sequence, while novel predictions tended to be more distinctive and less
abundant. Whether this is due to ascertainment bias or a true functional
composition bias of SLiMs is not clear and warrants further investigation.
PMID- 21879108
TI - Conformational propensities and residual structures in unfolded peptides and
proteins.
AB - Ample evidence gathered over the last ten years indicates that unfolded and
naturally disordered proteins and peptides can show local order in that short
segments can adopt turn or polyproline II-like conformations. These findings show
that unfolded states cannot be described by the so-called random coil model which
assumes that individual amino acid residues sample the entire sterically
accessible parts of the Ramachandran with very similar probabilities. This
article reviews the experimental evidence for the notion that amino acid residues
have different propensities for polyproline II, beta-strand, helical and turn
conformations in water. These propensities are changed by interactions with
nearest neighbours. We show that for a substantial number of residues the
conformational propensities in the unfolded state correlate with values for helix
propagation and the Chou-Fasman propensities for beta-strands. Based on the
presented results we hypothesize that the conformational distributions of a
representative set of short peptides could be used for predicting structural
distributions of disordered peptides and proteins in the future.
PMID- 21879109
TI - Bisphosphonates as radionuclide carriers for imaging or systemic therapy.
AB - Bisphosphonates (BP's), biologically stable analogs of naturally occurring
pyrophosphates, became the treatment of choice for pathologic conditions
characterized by increased osteoclast-mediated bone resorption, namely Paget's
disease, osteoporosis and tumor bone disease. Moreover, the clinical success of
BP's is also associated with their use in (99m)Tc-based radiopharmaceuticals for
bone imaging. In addition to the successful delivery of (99m)Tc (gamma-emitter)
to bone, BP's have also been used to deliver beta(-)-particle emitting
radiometals (e.g.(153)Sm, (186/188)Re) for bone-pain palliation. The main goal of
this Review is to update the most recent research efforts toward the synthesis,
characterization and biological evaluation of novel BP-containing radiometal
complexes and radiohalogenated compounds for diagnostic or therapeutic purposes.
The structure and in vivo properties of those compounds will be discussed and
compared to the clinically available ones, namely in terms of image quality and
therapeutic effect. We will also mention briefly the use of BP's as carriers of
multimodal nuclear and optical imaging probes.
PMID- 21879110
TI - Comparative genomics suggests differential deployment of linear and branched
signaling across bacteria.
AB - A major mode of signal transduction in bacteria is the two-component system,
which involves phosphorylation of an output-generating receiver protein by a
signal-sensing histidine kinase. This differs from the more common one-component
system--where both signal sensing and output generation are performed by the same
protein--in the spatial separation of the two activities and the obligate need
for post-translational modification (phosphorylation). Many described two
component systems involve a linear structure where a single kinase phosphorylates
a cognate receiver. However, inherently branched network structures are being
increasingly discovered, though their prevalence is unknown. Though the simpler
one-component systems are more common than two-component systems, some organisms
encode a disproportionately high number of the latter; though these organisms are
generally described as having 'complex' lifestyles, no systematic description of
their signaling networks has been proposed. Finally, the relative contributions
of the two modes of signal transduction towards achieving an optimal regulatory
cost for growth and survival in an environment remain poorly understood. Here we
present a comparative genomics survey of ~165,000 regulatory proteins from ~850
prokaryotic genomes and suggest that organisms with elevated occurrence of two
component systems--which generally belong to phylogenetic classes with relatively
poor representation in genomic databases--also code for more complex and branched
two-component networks. Such branched signaling might compensate for the apparent
paucity in the total number of regulatory proteins these organisms encode.
Finally, such interconnected signaling networks might be more common than
anticipated, indicating the pressing need for genome-scale experimental studies
of signaling networks in many understudied phylogenetic groups of organisms.
PMID- 21879111
TI - Evaluation of heavy metal toxicity in eukaryotes using a simple functional assay.
AB - Although carcinogenesis caused by metals has been intensively investigated, the
mechanisms of action, especially at the molecular level, are still unclear. This
work aimed to investigate Cd(2+), Cu(2+), Ni(2+), Cr(3+), and Zn(2+) mutagenicity
and its relationship with oxidative stress. We have applied the Functional Assay
for the Separation of Alleles in Yeast (FASAY) with only minor modifications to
detect p53 defects caused by metals. In this method, human p53-coding gene (TP53)
expressed in Saccharomyces cerevisiae activates transcription of the ADE2
reporter gene. Yeast cells, expressing p53, were exposed to increased
concentrations of metals and, then, plated on media supplemented or not with
adenine. Yeast colonies containing functional p53 grow independently of adenine
supplementation and colonies containing nonfunctional p53 are dependent on this
nutrient. Mutations in the TP53 are implicated in the pathogenesis of half of all
human tumors. According to our results, Cd(2+) was found to be the most toxic
metal and produced the highest oxidative damage to lipids and proteins. At low
concentrations (40 MUM), this metal decreased viability and completely inhibited
cell growth, while higher concentrations were necessary to produce the same toxic
effect by Cu(2+), Cr(3+), and Ni(2+). Zn(2+) showed no significant toxicity.
Cd(2+) strongly induced damages and altered the function of p53, while Cu(2+),
followed by Cr(3+), showed lower percentages of p53-mutant colonies. Our results
point towards a relationship between the loss of functional p53 protein and
oxidative stress, a mechanism that can be associated with tumor formation induced
by heavy metals in mammalian cells. By this adaptation of FASAY developed by us
it is possible to easily and rapidly detect mutations caused by metals or other
stresses.
PMID- 21879116
TI - Li ion battery materials with core-shell nanostructures.
AB - Nanomaterials have some disadvantages in application as Li ion battery materials,
such as low density, poor electronic conductivity and high risk of surface side
reactions. In recent years, materials with core-shell nanostructures, which was
initially a common concept in semiconductors, have been introduced to the field
of Li ion batteries in order to overcome the disadvantages of nanomaterials, and
increase their general performances in Li ion batteries. Many efforts have been
made to exploit core-shell Li ion battery materials, including cathode materials,
such as lithium transition metal oxides with varied core and shell compositions,
and lithium transition metal phosphates with carbon shells; and anode materials,
such as metals, alloys, Si and transition metal oxides with carbon shells. More
recently, graphene has also been proposed as a shell material. All these core
shell nanostructured materials presented enhanced electrochemical capacity and
cyclic stability. In this review, we summarize the preparation, electrochemical
performances, and structural stability of core-shell nanostructured materials for
lithium ion batteries, and we also discuss the problems and prospects of this
kind of materials.
PMID- 21879117
TI - Encapsulation of gold nanoparticles by simian virus 40 capsids.
AB - Viral capsid-nanoparticle hybrid structures constitute a new type of
nanoarchitecture that can be used for various applications. We previously
constructed a hybrid structure comprising quantum dots encapsulated by simian
virus 40 (SV40) capsids for imaging viral infection pathways. Here, gold
nanoparticles (AuNPs) are encapsulated into SV40 capsids and the effect of
particle size and surface ligands (i.e. mPEG and DNA) on AuNP encapsulation is
studied. Particle size and surface decoration play complex roles in AuNP
encapsulation by SV40 capsids. AuNPs >=15 nm (when coated with mPEG750 rather
than mPEG2000), or >=10 nm (when coated with 10T or 50T DNA) can be encapsulated.
Encapsulation efficiency increased as the size of the AuNPs increased from 10 to
30 nm. In addition, the electrostatic interactions derived from negatively
charged DNA ligands on the AuNP surfaces promote encapsulation when the AuNPs
have a small diameter (i.e. 10 nm and 15 nm). Moreover, the SV40 capsid is able
to carry mPEG750-modified 15-nm AuNPs into living Vero cells, whereas the mPEG750
modified 15-nm AuNPs alone cannot enter cells. These results will improve our
understanding of the mechanisms underlying nanoparticle encapsulation in SV40
capsids and enable the construction of new functional hybrid nanostructures for
cargo delivery.
PMID- 21879118
TI - Continuous electrodeposition for lightweight, highly conducting and strong carbon
nanotube-copper composite fibers.
AB - Carbon nanotube (CNT) fiber is a promising candidate for lightweight cables. The
introduction of metal particles on a CNT fiber can effectively improve its
electrical conductivity. However, the decrease in strength is observed in CNT
metal composite fibers. Here we demonstrate a continuous process, which combines
fiber spinning, CNT anodization and metal deposition, to fabricate lightweight
and high-strength CNT-Cu fibers with metal-like conductivities. The composite
fiber with anodized CNTs exhibits a conductivity of 4.08 * 10(4)-1.84 * 10(5) S
cm(-1) and a mass density of 1.87-3.08 g cm(-3), as the Cu thickness is changed
from 1 to 3 MUm. It can be 600-811 MPa in strength, as strong as the un-anodized
pure CNT fiber (656 MPa). We also find that during the tensile tests there are
slips between the inner CNTs and the outer Cu layer, leading to the drops in
electrical conductivity. Therefore, there is an effective fiber strength before
which the Cu layer is robust. Due to the improved interfacial bonding between the
Cu layer and the anodized CNT surfaces, such effective strength is still high, up
to 490-570 MPa.
PMID- 21879119
TI - Preferential synthesis and isolation of (6,5) single-wall nanotubes from one
dimensional C60 coalescence.
AB - The (6,5) single-wall carbon nanotubes have been preferentially synthesized from
a one-dimensional array of C(60) inside single-wall carbon nanotubes (d? 1.5 +/-
0.1 nm). The as-produced inner tubes have been extracted via sonication and
density gradient ultracentrifugation methods and demonstrated to be dominated by
(6,5) tubes by optical absorption, Raman scattering, photoluminescence, high
resolution transmission electron microscope observation, and a semi-empirical
simulation (PM3).
PMID- 21879120
TI - Carbon nanotubes grown in situ on graphene nanosheets as superior anodes for Li
ion batteries.
AB - Graphene and carbon nanotubes are intriguing alternative anode materials for
lithium ion batteries. The prevention of graphene restacking and facilitation of
lithium diffusion into CNTs with large aspect ratio are highly desirable for the
performance enhancements including capacity, cycliability and rate capability. In
this work, we demonstrated that a multilayered graphene-CNT hybrid nanostructure
was able to hold such merits. GNS were separated and stabilized by CNTs grown in
situ on GNS surface. The length of CNTs was found to be a key factor to the
electrochemical performances. The GNS-CNT composite with the shortest CNT
decoration displayed highly reversible capacities of 573 mAh g(-1) at a small
current of 0.2C and 520 mAh g(-1) at a large current of 2C. The growth and
lithium storage mechanism for graphene-CNT composite was also proposed.
PMID- 21879121
TI - Low temperature crystallization of transparent, highly ordered nanoporous SnO2
thin films: application to room-temperature hydrogen sensing.
AB - High surface area highly ordered nanoporous thin films are the current gold
standard for gas sensor use, however the nanostructure of such films is prone to
collapse at annealing temperatures as low as 250 degrees C resulting in
formation of a dense layer of limited utility. We report on a templating method
used to deposit highly ordered nanoporous platinum (Pt)-doped tin dioxide
(SnO(2)) thin films that are crystallized by a 100 degrees C water vapor
hydrothermal treatment, with the low temperature process being compatible with a
large variety of substrates including plastic. The resulting highly ordered
nanoporous, transparent Pt-SnO(2) thin films are mechanically stable and can be
annealed, as desired, at temperatures up to 800 degrees C for removal of the
templating materials and tailoring of gas sensitivities without damage to the
nanoporous structure. The synthesis method is general, offering a promising
strategy for preparing high performance nanoporous metal oxide crystalline films
for applications including gas sensing, photocatalysis, and 3(rd) generation
photovoltaics. In our example application of the synthesized materials, we find
that these Pt-SnO(2) films exhibit exceptional hydrogen gas sensing behavior,
rapidly detecting low-level hydrogen concentrations at room temperature; for
example, an eight order of magnitude change in electrical resistance is seen in
response to 10 000 ppm H(2), with only minimal sensitivity to humidity.
PMID- 21879122
TI - A thermolysis approach to simultaneously achieve crystal phase- and shape-control
of ternary M-Fe-O metal oxide nanoparticles.
AB - Significant studies have achieved beautiful control in particle size, while the
shape- and phase-control synthesis of nanoparticles remains an open challenge. In
this study, we have developed a generalized methodology to selectively prepare
either NaCl-type (reduced form) or spinel-type ferrite (oxidized form) M-Fe-O (M
= Mn, Co) crystallites with high reproducibility. A two-step heating process was
able to control formation of two types of crystal phase, either a thermodynamic
spinel-type under air or a kinetic-control of NaCl-type (rock salt structure)
under Ar in a cubic morphology. On the other hand, the three-step heating
procedure in air obtained the spinel-type with a thermodynamic equilibrium
octahedral shape exclusively. Either using metal acetates (M(ac)(2)) or metal
acetylacetonates (M(acac)(2)) as the starting precursors (M = Mn, Co) can be
introduced to prepare NaCl-type (reduced form) or spinel-type ferrite (oxidized
form) crystallites with identical experimental parameters, including precursor
concentration, reaction temperature, reaction time, and heating rate. The oleic
acid molecule, reaction temperature, and heating rate employed in the synthesis
were carefully examined and found acting as determined roles behind the reaction
processes. Apart from the previous literature reports as shape-directed and/or
stabilizing agents, the oleic acid molecule played an additional phase-tuning
role.
PMID- 21879123
TI - Nanoscale phase separation in coated Ag nanoparticles.
AB - In this paper we report the structural investigation of cysteine and glutathione
capped Ag nanoparticles (NPs) by means of transmission electron microscopy (TEM),
synchrotron X-ray diffraction (XRD) and pair distribution function (PDF)
analysis. The combined use of these probes allowed us to observe the presence of
two crystal structures in the coated AgNPs, i.e., the cubic and the hexagonal
crystal structures of Ag. In particular, it was possible to demonstrate that the
coated AgNPs are a nanoscale phase separated system where the two phases coexist
within the single grain. In addition, the relative bulk amount of the fcc and hcp
phases has been estimated and a possible correlation with the capping agent
proposed.
PMID- 21879124
TI - Naphthalene- and perylenediimides with hydroquinones, catechols, boronic esters
and imines in the core.
AB - The green-fluorescent protein of the jellyfish operates with the most powerful
phenolate donors in the push-pull fluorophore. To nevertheless achieve red
fluorescence with the same architecture, sea anemone and corals apply oxidative
imination, a process that accounts for the chemistry of vision as well. The
objective of this study was to apply these lessons from nature to one of the most
compact family of panchromatic fluorophores, i.e. core-substituted
naphthalenediimides (cNDIs). We report straightforward synthetic access to
hydroxylated cNDI and cPDI cores by palladium-catalyzed cleavage of allyloxy
substituents. With hydroxylated cNDIs but not cPDIs in water-containing media,
excited-state intramolecular proton transfer yields a second bathochromic
emission. Deprotonation of hydroquinone, catechol and boronic ester cores
provides access to an impressive panchromism up to the NIR frontier at 640 nm.
With cNDIs, oxidative imination gives red shifts up to 638 nm, whereas the
expanded cPDIs already absorb at 754 nm upon deprotonation of hydroquinone cores.
The practical usefulness of hydroquinone cNDIs is exemplified by ratiometric
sensing of the purity of DMF with the "naked eye" at a sensitivity far beyond the
"naked nose". We conclude that the panchromatic hypersensitivity toward the
environment of the new cNDIs is ideal for pattern generation in differential
sensing arrays.
PMID- 21879125
TI - Chiral ionic liquid-mediated photochirogenesis. Enantiodifferentiating
photocyclodimerization of 2-anthracenecarboxylic acid.
AB - Enantiodifferentiating photocyclodimerization of 2-anthracenecarboxylic acid (AC
H) and its lithium salt (AC-Li) in chiral ionic liquid (CIL), (R)-1-(2,3
dihydroxypropyl)-3-methylimidazolium acetate {[(R)-GLYMI][AcO]}, gave a mixture
of two head-to-tail (HT) and two head-to-head (HH) cyclodimers in HT/HH ratios of
1.3-1.7 (for AC-H) and 2.2-4.3 (for AC-Li) with low enantiomeric excesses (ee) of
0-3% for chiral syn-HT and anti-HH dimers. In contrast, irradiation of AC-H in an
aqueous solution, containing cucurbit[8]uril (CB[8]) as a host and [(R)
GLYMI][AcO] or [(R)-GLYMI][Tf(2)N] as a modifier of CB portals, afforded the HH
dimers in 91-99% selectivity, although the anti-HH dimer was totally racemic.
Interestingly, irradiation of AC-H in a dichloromethane solution, containing [(R)
GLYMI][AcO] as a chiral template, led to the formation of the HH-dimers in 98%
selectivity with chiral anti-HH dimer in -14% ee, presumably by the dual ligation
of two ACs to a CIL through electrostatic and hydrogen-bonding interactions.
PMID- 21879126
TI - Microwave-assisted chemical ligation of S-acyl peptides containing non-terminal
cysteine residues.
AB - An efficient approach for the synthesis of a series of S-acyl peptides containing
internal cysteine residues has been developed and the chemical long-range
ligation of these S-acyl peptides via 5-, 8-, 11- and 14-membered cyclic
transition states has been investigated. Our results include the first examples
of successful isopeptide ligations starting from S-acyl peptides containing non
terminal cysteine residues and indicate that the cyclic transition states studied
in this present paper are decreasingly favored in the order of their sizes
5?14>11?8.
PMID- 21879127
TI - Tandem reactions initiated by copper-catalyzed cross-coupling: a new strategy
towards heterocycle synthesis.
AB - Copper-catalyzed cross-coupling reactions which lead to the formation of C-N, C
O, C-S and C-C bonds have been recognized as one of the most useful strategies in
synthetic organic chemistry. During past decades, important breakthroughs in the
study of Cu-catalyzed coupling processes demonstrated that Cu-catalyzed reactions
are broadly applicable to a variety of research fields related to organic
synthesis. Representatively, employing these coupling transformations as key
steps, a large number of tandem reactions have been developed for the
construction of various heterocyclic compounds. These tactics share the
advantages of high atom economics of tandem reactions as well as the broad
tolerance of Cu-catalyst systems. Therefore, Cu-catalyzed C-X (X = N, O, S, C)
coupling transformation-initiated tandem reactions were quickly recognized as a
strategy with great potential for synthesizing heterocyclic compounds and gained
worldwide attention. In this review, recent research progress in heterocycle
syntheses using tandem reactions initiated by copper-catalyzed coupling
transformations, including C-N, C-O, C-S as well as C-C coupling processes are
summarized.
PMID- 21879128
TI - Cyclopenta[c]selenophene based cooligomers and their polymers: comparative study
with thiophene analogues.
AB - Selenophene and thiophene capped cyclopenta[c]selenophenes were synthesized and
characterized. Crystal structure determination of some representative compounds
revealed that the substitution at 3,4-position in the form of cyclopentane ring
of selenophene or thiophene does not make any significant twist in the trimer
backbone, making the cooligomer nearly planar. All the cooligomers were
electrochemically polymerized and compared with thiophene capped
cyclopenta[c]thiophene polymer. DFT calculations predict that the cyclopentane
substitution on the third repeating unit (and in general) of one dimensional
polymer neither disturb the planarity nor causes any significant twist on the
polymeric backbone unlike the 3,4-dialkyl substitution. The electrochemically
prepared selenophene based polymers showed low band gap compared to that of
thiophene analogues. Cyclopentane substitution on selenophene as well as
thiophene makes the resulting polymer oxidatively more stable when compared to
more familiar poly-ethylenedioxythiophene (PEDOT) or poly
ethylenedioxyselenophene (PEDOS) systems. Alternate polymers of
cyclopenta[c]selenophenes (CPS)/cyclopenta[c]thiophene (CPT) and
thiophene/selenophene possess the energy of HOMO and LUMO significantly lower
than that of homopolymers of CPS and CPT, however, possess higher band gap than
PCPS.
PMID- 21879129
TI - Peptide dendrimer enzyme models for ester hydrolysis and aldolization prepared by
convergent thioether ligation.
AB - Peptide dendrimers with multiple histidines or N-terminal prolines efficiently
catalyze ester hydrolysis or aldol reactions in aqueous medium. Part of the
catalytic proficiency of these dendritic enzyme models stems from multivalency
effects observed in G2, G3 and G4 dendrimers displaying multiple catalytic groups
in their branches. To study multivalency in higher generation systems, G4, G5 and
G6 peptide dendrimers were prepared by a convergent assembly. Thus, peptide
dendrimers bearing four or eight chloroacetyl groups at their N-termini underwent
multiple thioether ligation with G2 and G3 peptide dendrimers with a cysteine
residue at their focal point, to give G4, G5 and G6 dendrimers containing up to
341 amino acids, including multiple histidines or N-terminal prolines. While the
efficiency of the esterase catalysts was comparable to that of their lower
generation analogs, a remarkable reactivity increase was observed in G5 and G6
aldolase dendrimers.
PMID- 21879130
TI - A neutral redox-switchable [2]rotaxane.
AB - A limited range of redox-active, rotaxane-based, molecular switches exist,
despite numerous potential applications for them as components of nanoscale
devices. We have designed and synthesised a neutral, redox-active [2]rotaxane,
which incorporates an electron-deficient pyromellitic diimide (PmI)-containing
ring encircling two electron-rich recognition sites in the form of
dioxynaphthalene (DNP) and tetrathiafulvalene (TTF) units positioned along the
rod section of its dumbbell component. Molecular modeling using MacroModel guided
the design of the mechanically interlocked molecular switch. The binding
affinities in CH(2)Cl(2) at 298 K between the free ring and two electron-rich
guests--one (K(a) = 5.8 * 10(2) M(-1)) containing a DNP unit and the other (K(a)
= 6.3 * 10(3) M(-1)) containing a TTF unit--are strong: the one order of
magnitude difference in their affinities favouring the TTF unit suggested to us
the feasibility of integrating these three building blocks into a bistable
[2]rotaxane switch. The [2]rotaxane was obtained in 34% yield by relying on
neutral donor-acceptor templation and a double copper-catalysed azide-alkyne
cycloaddition (CuAAC). Cyclic voltammetry (CV) and spectroelectrochemistry (SEC)
were employed to stimulate and observe switching by this neutral bistable
rotaxane in solution at 298 K, while (1)H NMR spectroscopy was enlisted to
investigate switching upon chemical oxidation. The neutral [2]rotaxane is a
chemically robust and functional switch with potential for applications in device
settings.
PMID- 21879131
TI - Syntheses of sulfur and selenium analogues of pachastrissamine via double
displacements of cyclic sulfate.
AB - Bioisosteric analogues of pachastrissamine that contain sulfur and selenium atoms
replacing the oxygen in the ring system, were efficiently prepared from a cyclic
sulfate intermediate by sequential intermolecular and intramolecular S(N)2
displacement reactions of the dianions. The analogues exhibited cytotoxicities
comparable to that of pachastrissamine.
PMID- 21879132
TI - Alloxazine-cyclodextrin conjugates for organocatalytic enantioselective
sulfoxidations.
AB - Four structurally different alloxazine-cyclodextrin conjugates were prepared and
tested as catalysts for the enantioselective oxidation of prochiral sulfides to
sulfoxides by hydrogen peroxide in aqueous solutions. The alloxazinium unit was
appended to the primary face of alpha- and beta-cyclodextrins via a linker with
variable length. A series of sulfides was used as substrates: n-alkyl methyl
sulfides (n-alkyl = hexyl, octyl, decyl, dodecyl), cyclohexyl methyl sulfide,
tert-butyl methyl sulfide, benzyl methyl sulfide and thioanisol. alpha
Cyclodextrin conjugate having alloxazinium unit attached via a short linker
proved to be a suitable catalyst for oxidations of n-alkyl methyl sulfides,
displaying conversions up to 98% and enantioselectivities up to 77% ee. beta
Cyclodextrin conjugates were optimal catalysts for the oxidation of sulfides
carrying bulkier substituents; e.g. tert-butyl methyl sulfide was oxidized with
quantitative conversion and 91% ee. Low loadings (0.3-5 mol%) of the catalysts
were used. No overoxidation to sulfones was observed in this study.
PMID- 21879133
TI - Claisen rearrangements of equilibrating allylic azides.
AB - Equilibrating mixtures of allylic azide-containing allylic alcohols or allylic 2
tolylsulfonylacetic esters undergo Johnson-Claisen or Ireland-Claisen
rearrangement reactions to give unsaturated gamma-azidoesters and -acids,
respectively. Decarboxylation of the acids under basic conditions gives
azidosulfones, with moderate to high diastereoselectivity.
PMID- 21879134
TI - Practical three-component synthesis of crowded arenes with donor-acceptor
substitution.
AB - An operationally simple two-step synthesis of substituted anilides has been
developed. The methodology utilizes carboxamides, aldehydes, and olefins (or
alkynes) as cheap starting materials and relies upon the sequential combination
of condensation, cycloaddition, and oxidation reactions. The intermediate
cycloadducts display various functional groups (e.g. Br, OAc, NR(2), COR, Cbz)
for further chemical manipulation at the ring periphery or core. Upon oxidation
with MnO(2), highly crowded anilides with up to four further substituents (alkyl,
aryl, carboxylate, cyano, nitro, bromo) can be prepared in good overall yields.
PMID- 21879135
TI - Total synthesis of clavaminol A, C and H.
AB - The first total synthesis of clavaminol A and C, (2R,3S)-2-amino-3-alkanols from
the Mediterranean ascidian Clavelina phlegraea has been achieved in 29% overall
yield. The key step involved a palladium(II)-catalysed directed Overman
rearrangement to create the C-N bond and install the erythro configuration while
a one-pot, tributyltin hydride-mediated reduction allowed simultaneous formation
of the methyl side-chain and N-acetyl group. Similarly, the first total synthesis
of clavaminol H was completed in 48% overall yield using an approach that also
provided the cytotoxic des-acetyl analogue.
PMID- 21879136
TI - Multifunctional small molecule for controlled assembly of oligomeric
nanoparticles and crosslinked polymers.
AB - One multifunctional small molecule can undergo a natural condensation reaction
under the control of reducing agent to generate amphiphilic oligomers which
quickly self-assemble supramolecular nanoparticles or form crosslinked,
reversibly degradable polymers.
PMID- 21879137
TI - Reinvestigation of the C5-acetamide sialic acid donor for alpha-selective
sialylation: practical procedure under microfluidic conditions.
AB - Despite the previous literature describing the "low-to-modest" efficiency, the
readily available C5-acetamide donor was reinvestigated for its use in alpha
sialylation under microfluidic conditions. The N-phenyltrifluoroacetimidate donor
was efficiently mixed with an appropriate amount of TMSOTf to produce the alpha(2
6) and alpha(2-3)-sialylation products of galactose and glucosamine acceptors in
excellent yields and with nearly perfect alpha-selectivity.
PMID- 21879139
TI - A versatile Tb(III) complex for picosecond filamentation, a transparent thin film
and a supramolecular gel.
AB - The first complex picosecond filament, namely a filament of terbium(III) p
hydroxybenzoate, is observed. The filament is the only example of Ln(III) ion two
photon absorption in a complex. A transparent, colorless and mechanically robust
thin film, as well as a supramolecular gel, of this complex are prepared in a
facile manner and exhibit strong luminescence. The thin film is characterized in
detail by XRD, SEM, UV-vis, luminescence spectroscopy and lifetime.
PMID- 21879138
TI - Synthesis and characterization of cell-permeant 6-nitrodibenzofuranyl-caged IP3.
AB - We have synthesized in a 6-nitrodibenzofuranyl (NDBF) derivative of inositol
1,4,5-trisphosphate (IP(3)) for efficient two-photon uncaging in living cells. As
its hexakis acetoxymethyl ester, this caged compound may be applied at low
concentration to the extracellular milieu to load the intact astrocytes in
acutely isolated brain slices from the mouse cortex. Two-photon irradiation of
single astrocytes evoked intracellular calcium signals that required 10% of the
energy dosage compared to nitroveratyl (NV)-IP(3). Since NDBF-IP(3) has a 5-fold
higher quantum yield than NV-IP(3), these data imply that photolysis of the new
NDBF caged compound mobilized intracellular calcium about twice as efficiently as
the NV cage.
PMID- 21879140
TI - Continuous protein production in nanoporous, picolitre volume containers.
AB - The synthetic manufacture of functional proteins enables a bottom-up
understanding of the workings of biological systems and opens new opportunities
for the treatment of disease. Cell-free protein synthesis is a practical approach
for enabling such manufacturing, however, it is typically carried out in fairly
large volumes, when compared to a natural cell, leading to increases in cost and
loss of efficiency. Here we demonstrate continuous cell free protein synthesis in
arrays of cellular scale containers that continuously exchange energy and
materials with their environment. A multiscale fabrication process allows the
monolithic integration of nanoporous silicon containers within an addressable
microfluidic network. Synthesis of enhanced green fluorescent protein (eGFP) in
the containers continues beyond 24 h and yields more than twice the amount of
protein, on a per volume basis, than conventional scale batch reactions. By
mimicking the physical volume and controlled flux of a natural cell, the
resulting "cell mimic" devices can enable fundamental studies of biological
systems as well as serve applications related to the functional screening of
proteins and the on-demand production of biologics.
PMID- 21879141
TI - Production of rapidly reversible antibody and its performance characterization as
binder for continuous glucose monitoring.
AB - To effectively control diabetes, a method to reliably measure glucose
fluctuations in the body over given time periods needs to be developed. Current
glucose monitoring systems depend on the substrate decomposition by an enzyme to
detect the product; however, the enzyme activity significantly decays over time,
which complicates analysis. In this study, we investigated an alternative method
of glucose analysis based on antigen-antibody binding, which may be active over
an extended period of time. To produce monoclonal antibodies, mice were immunized
with molecular weight (M(W)) 10K dextran chemically conjugated with keyhole
limpet hemocyanin. Since dextran contains glucose molecules polymerized via a 1,6
linkage, the produced antibodies had a binding selectivity that could
discriminate biological glucose compounds with a 1,4-linkage. Three antibody
clones with different affinities were screened using the M(W) 1K dextran-bovine
serum albumin conjugates as the capture ligand. Among the antibodies tested, the
antibody clone Glu 26 had the lowest affinity (K(A) = 3.56 * 10(6) M(-1)) and the
most rapid dissociation (k(d) = 1.17 * 10(-2) s(-1)) with the polysaccharide
immobilized on the solid surfaces. When glucose was added to the medium, the
sensor signal was inversely proportional to the glucose concentration in a range
between 10 and 1000 mg dL(-1), which covered the clinical range. Under the
optimal conditions, the response time was about 3 min for association and 8 min
for dissociation based on a 95% recovery of the final equilibrium.
PMID- 21879142
TI - Enantiorecognition ability of peptoids with alpha-chiral, aromatic side chains.
AB - The enantiorecognition ability of oligomeric N-substituted glycines or "peptoids"
with alpha-chiral, aromatic side chains was investigated by HPLC and (1)H NMR
studies.
PMID- 21879143
TI - Structural rearrangements of Ru nanoparticles supported on carbon nanotubes under
microwave irradiation.
AB - The structure evolution of twinned Ru nanoparticles supported on carbon nanotubes
rearranging into Ru single nanocrystals under the microwave irradiation and the
exposed surface of Ru single crystals were observed, which provided new insights
into synthesis and application of metal nanoparticle catalysts.
PMID- 21879144
TI - [Pet ownership and health status of pets from immunocompromised children, with
emphasis in zoonotic diseases].
AB - OBJECTIVE: To characterize pet ownership and pet health status in families of
immunocompromised (IS) children, with emphasis in zoonotic diseases. POPULATION
AND METHODS: Families of IS children from two hospitals in Santiago, Chile, were
interviewed and their pets were evaluated by veterinary examination,
coproparasitologic and skin dermatophytes test. In specific cases, other
laboratory tests were performed in IS children or their relatives. RESULTS: 47
out of 70 contacted families had pets, 42 participated in the study. Several risk
factors for IS children were observed, as having a turtle as a pet and to clean
cat or turtle faeces. Lack of adequate veterinary control, immunizations and
deparasitation of pets were observed. Some animals showed zoonotic diseases or
agents, as Brucella canis, Cryptosporidium sp, Giardia intestinalis, Toxocara
canis and scabies. 44% of dogs had ticks and 37% had fleas, both potential
vectors of infections. CONCLUSIONS: Our results suggest that policies to provide
safer pet contact in IS children are needed.
PMID- 21879145
TI - [Bacteremia and endarteritis cases secondary to Campylobacter spp. in a
metropolitan hospital: our experience along a quarter of a century].
AB - Six cases of bacteremia and one of endarteritis were identified between 1986 and
2010 in a general hospital in Chile. Five of these cases occurred during the
second half of this timeframe, Campylobacter fetus predominated (5 out of 7) and
the majority of the infections presented during warmer months. The mean age was
32.4 years (range 19 to 63) all had comorbidities, and main clinical
manifestations included fever with diarrhea. Four patients developed hypotension
and two septic shock. The latter, associated to C. fetus bacteremia, died before
microbiological diagnosis. Six out of 7 patients received antimicrobial therapy.
During 2004 and 2010, the rates of Campylobacter spp. positive stool cultures in
the same hospital increased 4 times, suggesting an emerging profile. Bacteremia
and endarteritis by Campylobacter spp. can develop in vulnerable patients and
manifest as fever with or without diarrhea. Finding curved or spiral shaped gram
negative rods in blood cultures leads to suspect this pathogen. Species
identification is of utmost importance due to antimicrobial resistance especially
in C. jejuni. Prognosis is unfavorable due to host characteristics, and case
fatality rate is high.
PMID- 21879146
TI - [Infection due to Strongyloides stercoralis: epidemiological, clinical, diagnosis
findings and outcome in 30 patients].
AB - BACKGROUND: Strongyloides stercoralis is a nematode parasite, which is endemic in
tropical and subtropical regions. Infection usually remains asymptomatic, but in
immunocompromised hosts severe and life-threatening manifestations such as
hyperinfection syndrome and disseminated disease might occur. METHODS: We
retrospectively analyzed the epidemiological and clinical characteristics,
including HIV co-infection, microbiological findings, and outcome in 30 patients
with strongyloidiasis, who attended in the Infectious Diseases F. J. Muniz
Hospital in Buenos Aires from January 2004 to December 2008. RESULTS: The study
included 20 men and 10 women with an average age of 33 years. HIV co-infection
was present in 21 patients (70%) with a median CD4 T cell count of 50 cells/mm3
(range 7-355) (average 56 cells/mm3). Among HIV negative patients the following
comorbidities were detected: tuberculosis (n = 3) and chronic alcoholism, leprosy
treated with corticosteroids, immunosuppressive treatment for psoriasis, and
diabetes mellitus (each in one patient). Two patients did not have any
predisposing diseases or immunosuppressive treatments. Seventeen patients
presented with diarrhea and were classified as chronic intestinal
strongyloidiasis (57%), asymptomatic infection with peripheral eosinophilia was
diagnosed in 7 (23%), and 6 patients (20%) developed hyperinfection syndrome.
Seventeen patients (57%) presented peripheral eosinophilia. Diagnosis was
achieved by direct visualization of larvae in feces by Baermann technique (n =
20), by multiple stool smears examinations (n = 2), by combination of both (n =
1), by visualization of the filariform larvae in duodenal fluid and stool (n =
1), and in fecal and bronchoalveolar lavage specimens (n = 6). Overall mortality
in this series was 20% (6/30). There was no significant correlation between age
and mortality. A significant inverse correlation between the survival rate and
CD4 T-cell count as well as eosinophilia was observed. There was also a
significant correlation between HIV co-infection and mortality. Twenty-two
patients responded favorably to treatment with ivermectin.
PMID- 21879147
TI - [Epidemiological description of rabies reservoir in bats in the Metropolitan
Region: Chile. 2000-2009].
AB - In Chile, in 1985 rabies was detected in insectivorous bats. Since then the
epidemiological surveillance activities was extended to these species and the
epidemiological pattern was characterized as endemic in bats. In this study we
analyzed positive rabies cases in the Metropolitan Region between 2000 and 2009.
We identified 325 cases of rabies in 11.472 analyzed samples. We determined an
increase of positivity cases in bats between the years of the study. Places with
the highest number of positives cases were Las Condes, Santiago, Providencia,
Puente Alto and Nunoa. In 147 cases we identify the circumstances in which the
bat was found: 89 inside, 45 outside the building and 13 in public spaces. In 39
cases there was contact with humans or animals. These results reinforce the
relevance of educating the population against the contact with bats and allow
health authorities to take early surveillance and control measures.
PMID- 21879150
TI - [Tuberculous meningitis: tips for diagnosis and proposals for treatment].
AB - Diagnosis of tuberculous meningitis (TBM) is always a challenge. We must give
importance for duration of clinical manifestations. Cerebrospinal fluid (CSF) has
own characteristic and it have to be control several times during the treatment.
Adenosin deaminase with cut off more than 15 UI/mL and M. tuberculosis polymerase
chain reaction in CSF are the most relevant diagnostic tests. Radiologic test
gives diagnostic clues but do not confirm the diagnosis. In the future we can
structure a score with all these elements to support the clinician in the
diagnostic process. The treatment of TBM because of its high morbidity and high
mortality has to be necessarily more intensive and prolonged and we must select
drugs with a good penetration into the central nervous system (SNC). A
therapeutic scheme with duration of 12 months with two phases is proposed, the
diary phase during the first three months of treatment includes isoniacid,
rifampicin, pirazinamid and ethambutol or moxifloxacin. Streptomycin must not be
included due to own erratic SNC penetration and its known toxicity. The second
twice a week phase has to be changed by a three times per week phase during 9
months and it must include isoniacid, rifampicin and pirazinamide. Dexamethasone
is added during the first 6 weeks of treatment. Patients with HIV infection than
required treatment with antiretroviral drugs have to start ART treatment when
diary phase has finished and must not include protease or integrase inhibitors.
PMID- 21879149
TI - [Colonization of the oral cavity by group mutans streptococci according to age
assessed by a semi-quantitative method in saliva].
AB - OBJECTIVE: To evaluate the colonization of group mutans streptococci according to
age, measuring the amount of bacteria in saliva with a semi-quantitative method
in a population attended in public and private dental centers of the Metropolitan
Region, Santiago, Chile. PATIENTS AND METHODS: Saliva samples were obtained from
14,649 patients aged 5 to 40 years, in one public and 5 private dental centers.
Bacteria concentration was estimated by the comparison with a standard counting
chart. The concentration of group mutans streptococci in saliva was test by a 3
way ANOVA. RESULTS: Bacterial concentration of Streptococcus mutans related with
the age of patients was significant (p < 0.001). Bacterial concentration in the
preschool age was 4,7 x 10(5) CFU/mL at 5 years, while 6,0 x10(5) CFU/mL at 12
years of age, with a decrease in patients over 30 years. Bacterial concentration
was significantly different in the six centers of the study. CONCLUSIONS: The
semi-quantitative method was useful to determine the colonization by
Streptococcus mutans according to age. This could help for identifying population
at high risk of dental caries and to develop oral health prevention programs in
specific populations.
PMID- 21879151
TI - [Neuropsychological evaluation, psychosocial factors, and psychiatric comorbidity
of children with HIV infection].
AB - Antiretroviral therapy has marked a change in the course of HIV infection, posing
new challenges to improve quality of life in pediatric patients. OBJECTIVE: The
goal of this paper was to identify psychosocial aspects of HIV-infected children
and adolescents, and to evaluate their neuropsychological development and
psychiatric co-morbidity. PATIENTS AND METHODS: A descriptive study was
conducted, evaluating the neurocognitive performance of patients attending at the
Pediatric Department of Instituto de Medicina Tropical Pedro Kouri of La Habana,
Cuba, between February and July of 2008. For that purpose, interviews to the
patients and their relatives were done with the application of appropriate tests
for each age. RESULTS: Twenty-three patients ages 3-17 years (57% female) were
studied. Sixteen (69.5%) were infected through vertical transmission, and 7
(30.5%) through other routes. School children infected through vertical
transmission showed the most symptoms. Hyperactivity, anxiety, and learning
disorders were the most frequently observed symptoms as well as hyperkinetic
disorders, temporary tic disorders and mental retardation. CONCLUSION: This study
shows the neuropsychological characterization of HIV-positive children and
adolescents, considering the route of acquisition of the infection and the stage
of the disease. It also describes psychiatric comorbidity of HIV-infected
children.
PMID- 21879152
TI - [Arcanobacterium bernardiae].
PMID- 21879153
TI - [Neonatal herpes simplex encephalitis: two twins, two cases].
AB - Herpes simplex encephalitis is an infrequent infection with high mortality and
morbidity. Antiviral therapies decrease mortality but long term sequelae are
still high, so early diagnosis is important for opportune treatment. We present a
pair of twins with central nervous system herpes simplex infection during the
first month of life. Both twins presented non-specific symptoms and consulted
with 48 hours apart needing intensive care admission, the first one for
noninvasive mechanical ventilation and the second for hemodynamic support.
Diagnosis was made by cerebrospinal fluid PCR, in the first twin at day 9 of
disease and in the second at admission. Both twins were treated with acyclovir,
but only the second one at the beginning of her illness. Initial study with
electroencephalogram and magnetic resonance was normal and cerebrospinal fluid on
day 18 of treatment was negative for herpes simplex virus DNA detection in both
patients.
PMID- 21879154
TI - [Cutaneous tuberculosis: two case reports and review].
AB - Cutaneous tuberculosis is a chronic infectious disease caused by Mycobacterium
tuberculosis. It is uncommon (1% of all cases of tuberculosis), but has increased
due to the human immunodeficiency virus epidemic and to pharmacologic
immunosuppression. It presents a wide variety of clinical forms depending on how
bacteria reach the skin and on the immune status of the patient. We present two
cases of cutaneous tuberculosis diagnosed in the Hospital Clinico de la
Pontificia Universidad Catolica de Chile. We emphasize the difficulty in
diagnosis and classification of this disease and briefly discuss on the different
diagnostic and therapeutic approaches.
PMID- 21879155
TI - [Primary cutaneous mucormycosis: two case reports and review of the literature].
AB - Mucormycosis or zygomycosis is a rare opportunistic infection caused by aerobic
saprophytic fungus that belongs to the class of Zygomycetes Mucorales family.
These organisms live in the environment and enter the body by air,
gastrointestinal or skin routes, through solutions of continuity of the skin.
This microorganism is generally not pathogenic for immunocompetent hosts, being
the development of the disease linked with the immune status of the subject. Its
mortality is around 50-60%; sometimes in spite of early diagnosis and treatment
initiation it has a fatal course. Six clinical forms of mucormycosis are
described: rhinocerebral, cutaneous, pulmonary, disseminated, gastrointestinal
and miscellaneous form. Two cases of patients with primary cutaneous mucormycosis
diagnosed in the Pathology Unit of Hernan Henriquez Aravena Hospital of Temuco,
Chile are presented here.
PMID- 21879156
TI - [Fast onset cognitive impairment: neuroborreliosis and positive 14-3-3 protein].
PMID- 21879157
TI - [Aldo Castellani and the expeditions of the Royal Society to the black sleep's
country].
AB - At the beginning the investigation on infectious diseases was plenty of
adventures in exotic countries. The efforts of the English investigators, headed
by Patrick Manson, gave birth to the "tropical" medicine and "tropical" diseases,
like the sleeping sickness, which was sweeping the country north to the Victoria
Lake in 1901. The Royal Society of London sent two Commissions in search of the
etiological agent. Aldo Castellani was decisive for the failure of the first -
Low, Castellani, Christy,1902 - because even he saw Trypanosoma in samples of
some patients, he did not appreciate his discovery; and decisive also for the
success of the second -Bruce, Nabarro, Greig, 1903 - when he and Bruce recognized
this Trypanosoma as the etiological agent. Following these expeditions, Low
developed a brilliant career in England, Christy a life of investigation mixed up
with adventures through Asia and Africa and Castellani a long life of lights and
shadows in many lands.
PMID- 21879158
TI - [Prevalence of zinc and copper deficiencies in older subjects living in
Metropolitan Santiago].
AB - BACKGROUND: Older subjects have a high risk of developing zinc and copper
deficiencies. AIM: To determine the prevalence of copper and zinc deficiencies in
adults aged over 60 years, living in Metropolitan Santiago. SUBJECTS AND METHODS:
Analyses were performed using anonymous serum samples from older subjects living
in Santiago, Chile, who participated in the multicenter project SABE. Of the
studied subjects, 49.3% had enough left over serum to measure serum zinc and
copper concentrations by atomic absorption spectrometry. RESULTS: A total of 444
men and 198 women were studied. A positive correlation between serum zinc and
copper was found in both women (r = 0.44, p < 0.001) and men (r = 0.48, p <
0.001). There were no differences in serum zinc concentration by sex and age. The
prevalence of subnormal serum zinc values was 66.9% in women and 66.7% in men.
Women had a significantly higher serum copper values than men (p < 0.02) and
there were no differences by age. Prevalence of subnormal serum copper values was
higher in women than in men (32.9 and 23.7% respectively, p < 0.05). Women had
significantly higher copper I zinc serum ratio than men (p < 0.001). CONCLUSIONS:
Older people living in Metropolitan Santiago have a high prevalence of copper and
zinc deficiencies.
PMID- 21879159
TI - [Risk factors for a high carotid intima media thickness among healthy adults].
AB - BACKGROUND: Carotid intima media thickness (CIMT) is a marker of cardiovascular
damage that can be modified by traditional risk factors. AIM: To determine
attributable risk factors for a high CIMT among healthy adults. MATERIAL AND
METHODS: A sample of 1270 individuals (636 males and 634 females) aged 44 +/- 11
years, was studied. Blood pressure, weight, height, lipid profile and blood
glucose were measured in all. CIMT and the presence of atherosclerotic plaques
were determined by carotid ultrasound. Standard criteria were used to define
hypertension, dyslipidemia and diabetes. RESULTS: Mean CIMT in the sample studied
was 0.62 +/- 0.01 mm and percentile 75 was 0.67. The most important risk factor
for a CIMT over percentile 75 and the presence of atherosclerotic plaques was
hypertension with attributable risks of 54 and 57%, respectively. CONCLUSIONS: In
this sample, the main risk factor for a high CIMT was hypertension.
PMID- 21879160
TI - [Cytogenetic and molecular profile of genetic diseases in Puerto Montt main
hospital].
AB - BACKGROUND: Chromosome aberrations (CA) are the main etiology of multiple
congenital malformations, recurrent abortions and intellectual disability (ID)
specifically of moderate and severe degree. They account for 0.3 to 1% of
newborns (NB) and 6 of 10,000 NB have chromosome imbalances with submicroscopic
deletions or duplications smaller than 10 MB that are overlooked by conventional
cytogenetic studies. AIM: To report the results of cytogenetic and molecular
studies performed in patients with a congenital malformation disease or ID with
or without dysmorphic features, attended in a regional hospital. PATIENTS AND
METHODS: One hundred and eighty patients, 27 with a clinical diagnosis of Down
syndrome, derived for the suspicion of a genetic disease, were studied. A
karyogram was performed in all of them and in 30 cases additional molecular
studies, such as fluorescence in situ hybridization (FISH) or polymerase chain
reaction (PCR) were carried out. RESULTS: Among the 153 patients without Down
syndrome, 20 (13%) had a genetic abnormality responsible for the altered
phenotype. Sixteen had a chromosome aberration (structural and numerical
aberrations in 75 and 25% respectively) and four had genetic molecular
alterations. Additional studies were performed to confirm or better characterize
the chromosome aberration in 13 of the 30 patients in whom these were requested.
CONCLUSIONS: Chromosome and specific genetic molecular studies in selected cases
help to characterize patients with genetic diseases. The collaboration between
academic and health care facilities is crucial.
PMID- 21879161
TI - [Association of age with sperm DNA fragmentation].
AB - BACKGROUND: There is an association between aging ana an increased number of
sperms with alterations in nuclear DNA. AIM: To study the association between age
and fragmentation of sperm DNA. MATERIAL AND METHODS: Sixty two volunteers
provided semen for analysis. These were separated in a group aged less than forty
years and a second group aged more than forty years. Sperm DNA fragmentation was
studied by TUNEL (terminal deoxynucleotidyl transferase-mediated 2'-deoxyuridine
5'-triphosphate nick end-labeling) and SCD (sperm chromatin dispersion test)
assays. RESULTS: Compared with their younger counterparts, patients aged more
than 40 years had a higher proportion of sperms with DNA fragmentation by TUNEL
(20 +/- 1.3 and 24 +/- 1.9% respectively, p < 0.05) and SCD (22 +/- 1.4 and 26 +/
1.6% respectively, p < 0.05). The results of both assays had a correlation
coefficient of O.8. No differences between groups were observed for other seminal
parameters. CONCLUSIONS: Sperm DNA fragmentation increases with age in males.
PMID- 21879162
TI - [Health related quality of life among patients with type 2 diabetes mellitus].
AB - BACKGROUND: Type 2 diabetes mellitus may affect profoundly the quality of life of
patients. AIM: To assess health related quality of life among patients with Type
2 Diabetes Mellitus. MATERIAL AND METHODS: The Diabetes Quality of Life (DQOL)
questionnaire was applied to 296 patients with diabetes mellitus aged 63 +/- lO
years (201 women) seen in primary health care centers. RESULTS: The concern about
the future effects of diabetes was the worst evaluated domain. Women perceived a
lower health related quality of life than men. There was an inverse correlation
between age and satisfaction with treatment, concern about vocational, social and
future effects of the disease. CONCLUSIONS: Type 2 diabetes affects health
related quality of life, especially in some specific domains such as perception
of the future.
PMID- 21879163
TI - [Clinical impact of pandemic influenza A H1N1 in a Chilean regional hospital].
AB - BACKGROUND: Pandemic flu (H1N1 ) strongly affected southern Chile during 2009.
AIM: To report the logistic and organizational changes implemented at a regional
hospital to face the pandemic. MATERIAL AND METHODS: All patients with flu like
disease that were hospitalized, were prospectively enrolled at the Puerto Montt
hospital. A nasopharyngeal aspirate was obtained in all for influenza virus A and
B direct immunofluorescence and polymerase chain reaction (PCR). All
epidemiological and clinical data of patients were recorded. RESULTS: Between May
29 and July 7, 2009, 184 adults were admitted to the hospital and in 117 patients
aged 41 +/- 18 years (56% females ), direct immunofluorescence was positive for
influenza. In 67 of these patients PCR did not confirm the disease. These
unconfirmed patients had a mean age of 49 +/- 19 years (p < 0.01, compared with
confirmed cases) and had a lower frequency of fever, rhinorrhea and chills. No
significant differences in the incidence of community acquired pneumonia or chest
X ray findings were observed between confirmed and unconfirmed cases. Hospital
stay was over 15 days in 14% of confirmed cases and 5% of unconfirmed cases (p =
0.03). Fifteen patients, aged 53 +/- 18 years, died. CONCLUSIONS: Low sensibility
of direct immunofluorescence and delay in obtaining PCR confirmation of influenza
posed a problem for the management of these patients.
PMID- 21879164
TI - [Changes long term prognosis of 17 patients with pulmonary artery hypertension].
AB - BACKGROUND: Pulmonary artery hypertension (PAH) is a progressive disease with
high mortality. Major advances had been made in the treatment of this condition
during the last decade. AIM: To characterize the clinical evolution and mortality
of a cohort of Chilean patients. MATERIAL AND METHODS: Seventeen patients with
PAH diagnosed in the last 10 years in two Chilean hospitals were enrolled.
Measurements at diagnosis included hemodynamic variables and 6-minute walk test.
The patients were followed clinically for 3 years and the observed mortality was
compared with that predicted by the prognostic equation proposed by the historic
registry of the National Institutes of Health (NIH). RESULTS: The mean age of
patients was 45 years and 80% had an idiopathic PAH. The mean median pulmonary
artery pressure was 57 +/- 15 mmHg, the cardiac index was 2.4 +/- 0.7 l/min/m2
and the right atrial pressure was 12 +/- 8 mmHg. The 6-minute walk distance was
348 +/- 98 m. All patients received anticoagulants. Eighty two percent received
ambrisentan, 12% received bosentan, 29% received iloprost and 24% sildenafil. At
the end of follow-up only 3 patients had died, with an observed survival rate of
88, 82 and 82% at 1, 2 and 3 years, respectively. In contrast, the survival
calculated according to the predictive formula of the NIH was 67, 56 and 45%,
respectively. Among surviving patients, an improvement in exercise capacity was
observed after one year (p < 0.05). CONCLUSIONS: The observed survival rate was
significantly better than that estimated according to historical data.
Furthermore, therapy was associated with an improvement in functional capacity
after one year. This prognostic improvement is consistent with data of other
contemporary registries published after the NIH Registry.
PMID- 21879165
TI - [Hypovitaminosis D in pediatric patients on renal replacement therapy].
AB - BACKGROUND: Hypovitaminosis D has a high prevalence among patients with chronic
kidney disease (CKD). AIM: To determine the prevalence of 25 hydroxy vitamin D
(25(OH) D) insufficiency and deficiency in pediatric patients on dialysis and
kidney transplantation. MATERIAL AND METHODS: Serum calcium and phosphorus,
parathormone (PTH), alkaline phosphatases and 25 (OH)D were measured in 13
children on hemodialysis (HD), 18 on peritoneal dialysis (PD) and 53 that
received an allograft (Tx), aged 9.8 +/- 4.6 years (51% females). RESULTS: Fifty
four percent of patients had height Z score less than -1.88. Patients on HD had
the lowest values. The average time of replacement therapy was 2.9 +/- 2.8 years.
Mean 25(OH)D levels in all was 18.7 +/- 10.7ng/ml (HD: 21 +/- 16.8, PD: 18.9 +/-
8.5, Tx: 18.1 +/- 9.72 ng/ml). Eighty eight percent of patients had levels below
30 ng/ml. Mean of serum calcium was 9.5 +/- 0.64 mg/dl, serum phosphorus 5.03 +/-
1.02 mg/dl, calcium-phosphorus product 48 +/- 11.8 mg/dl and alkaline
phosphatases 300.5 +/- 171.3 IU/L. Average PTH values in dialyzed and Tx patients
were 724.6 +/- 640.5 and 107.7 +/- 56.2 pg/ml, respectively (p < 0.001). A
positive correlation between 25 (OH) D and calcium levels among PD patients was
observed (r = 0.490, p = 0.04). CONCLUSIONS: Hypovitaminosis D is highly
prevalent among children on renal substitution therapy, regardless of the type of
therapy used and the stage of renal failure.
PMID- 21879167
TI - [Tako-Tsubo syndrome: report of one case].
AB - Tako-Tsubo syndrome resembles an acute myocardial infarction in symptoms,
laboratory parameters and electrocardiographic changes. However, angiography does
not show evidence of coronary occlusion, and typically an apical ballooning of
the ventricle in systole is observed. We report a 78-year-old woman with no
coronary risks factors, admitted to the emergency room due to acute chestpain and
an electrocardiogram compatible with an acute coronary syndrome with ST
elevation. Serum troponin and creatin-kinase (MB fraction) were elevated. An
emergency coronary angiography did not show a coronary occlusion. Due to the
apical ballooning observed in the left ventriculography, a probable diagnosis of
Tako-Tsubo was proposed. The patient had a favorable evolution. A treadmill test,
echocardiogram and myocardial perfusion SPECT, performed one month later,
disclosed no abnormalities.
PMID- 21879166
TI - [Validation of the University of Southern California Repeatable Episodic Memory
test].
AB - BACKGROUND: Memory is affected differently with the passage of time;
specifically, episodic memory presents a clear decline. There is a relationship
of this memory impairment and degenerative and psychiatric diseases. AIM: To
determine the reliability and validity of the University of Southern California
Repeatable Episodic Memory Test (USC-REMT) in a sample of Chilean adults.
MATERIAL AND METHODS: The instrument was administered to 178 subjects living in
the Metropolitan Region of Santiago. The sessions were individual, during the
morning, lasting approximately 15 minutes. RESULTS: The ANOVA indicates that
subjects over 61 years old had lower scores in the test than their younger
counterparts. There was an inverse correlation between age and success in "Free
recall" (r =- 0.570), "Recognition indicating yes-no" (r = -0.412) and "forced
choice recognition" (r =- 0.371). Regression analysis showed that age explained
32.1 % "free recall" variance. The three components were grouped into a single
factor. CONCLUSIONS: The USC-REMT meets the criteria for validity and reliability
to be applied for clinical and research purposes.
PMID- 21879168
TI - [Trans-esophageal echocardiography in non-cardiac surgery: report of four
illustrating cases].
AB - Transesophageal echocardiography can assess ventricular filling ana contraction
ana detect motility disturbances secondary to ischemia. In non-cardiac surgery it
can be extremely helpful to monitor complex patients. We report a 69-year-old
mole subjected to a hepatic lobectomy, a 59-year-old mole with hepatorenal
syndrome, a 52-year-old female subjected to a gastric bypass, and a 54-year-old
mole subjected to a thyroidectomy. In these four cases, left ventricular motility
and preload were evaluated with transesophageal echocardiography, and it was of
great aid in decision-making during anesthesia.
PMID- 21879169
TI - [Acquired hemophilia A treated with rituximab. Report of one case].
AB - We report a 54-year-old male presenting with a history or recurrent nose bleeds
and ecchymoses. The coagulation study showed a prolonged partial thromboplastin
time, a factor VIII of 8% and a high inhibitor titer (193 Bethesda units). A
diagnosis of acquired hemophilia A was reached. The patient was initially treated
with cyclophosphamide for seven months without response. Therefore rituximab in
doses of 375 mglm2/week for four weeks was started. After starting treatment, the
patient had a hematoma in the psoas muscle with a concomitant factor VIII of less
than 5%, that was treated with local measures. Thereafter, a progressive
reduction in inhibitor titers was observed, until its disappearance at five
months of treatment. Factor VIII levels normalized and the patient has not
experienced abnormal bleeding episodes. The patient remains in remission after 67
months of follow up. Rituximab, a chimeric monoclonal antibody against the
protein CD 20 is an effective treatment in acquired hemophilia A.
PMID- 21879170
TI - [Olfactory sensory perception].
AB - The five senses have had a fundamental importance for survival and socialization
of human beings. From an evolutionary point of view the sense of smell is the
oldest. This sense has a strong representation within the genome, allowing the
existence of many types of receptors that allow us to capture multiple volatile
odor producing molecules, sending electrical signals to higher centers to report
the outside world. Several cortical areas are activated in the brain, which are
interconnected to form an extensive and complex neural network, linking for
example, areas involved with memory and emotions, thus giving this sense of
perceptual richness. While the concept of flavor is largely related to the sense
of taste, smell provides the necessary integration with the rest of the senses
and higher functions. Fully understanding the sense of smell is relevant to
health professionals. Knowing the characteristics of the receptors, the
transduction processes and convergence of information in the higher centers
involved, we can properly detect olfactory disorders in our patients.
PMID- 21879171
TI - [Vasoplegic syndrome and its treatment with vasopressin during cardiac surgery
with cardiopulmonary bypass].
AB - Cardiac surgery with cardiopulmonary bypass is associated with systemic
inflammatory response. In some cases this clinical condition is characterized by
severe hypotension due to low systemic vascular resistance during and after
cardiopulmonary bypass. A few of these cases do not respond to volume or
catecholamines. This condition is known as vasoplegic syndrome. Its etiology is
not fully understood today and carries associated morbidity and mortality In this
syndrome, vasopressin levels are reduced, as in septic and hypovolemic shock.
Supplementary vasopressin improves blood pressure and might be considered as an
alternative treatment. Several reports have shown benefits when used alone or in
combination with catecholamines. However, further studies are necessary to find
the most appropriate use of the drug for vasoplegic syndrome.
PMID- 21879172
TI - [Treatment and prevention of cancer treatment related oral mucositis].
AB - One of the most common and troublesome complications of modern intensive
anticancer treatments is oral mucositis. The purpose of this review is to
summarize current evidence and clinical guidelines regarding its prevention and
therapy. The use of keratinocyte growth factor-1, supplementary glutamine and
other recently developed treatment modalities are discussed. The injury of the
oral mucosa caused by antineoplastic agents promotes the local expression of
multiple pro-inflammatory and pro-apoptotic molecules and eventually leads to the
development of ulcers. Such lesions predispose patients to several infectious and
nutritional complications. Also, they lead to modification of treatment
schedules, potentially affecting overall prognosis. Local cryotherapy with ice
chips and phototherapy with low energy laser may be useful as preventive
measures. Mouthwashes with allopurinol and phototherapy with low energy laser can
be used as treatment. In radiotherapy, special radiation administration
techniques should be used to minimize mucosal injury. Pain control should always
be optimized, with the use of patient controlled analgesia and topical use of
morphine. Supplemental glutamine should not be used outside of research
protocols. Lastly, thorough attention should be paid to general care and hygiene
measures.
PMID- 21879173
TI - [Mechanical ventilation in patients with acute brain injury].
AB - In about 20% of patients admitted to an Intensive Care Unit (ICU) the indication
of mechanical ventilation (MV) is a neurological disease. These patients have a
prolonged MV stay and high mortality. The appropriate use of MV in patients with
acute brain injury (ABI) is critical considering that MV by itself is able to
induce or worsen an underlying lung injury. Patients with ABI have a higher risk
to develop pulmonary complications. During endotracheal intubation the activation
of airway reflexes should be prevented, because they may increase intracranial
pressure. Tracheostomy is indicated to improve airway management and it is
performed in about 33% of these patients. Indications for MV are loss of
spontaneous respiratory effort, changes in lung compliance, gas exchange
impairment and ventilatory failure due to muscle fatigue or neuromuscular
junction dysfunction. During MV, hypoxemia should be avoided. The pC0(2) level
has a critical role in cerebral blood flow regulation; therefore a normal pCO
must be maintained in order to guarantee an optimal cerebral blood flow. Despite
that, hypocapnia has been used in patients with increased intracranial pressure,
at the present it is not recommended. Its use should be limited to the emergency
management of intracranial hypertension, while the underlying cause is being
treated. Non-conventional ventilatory modes as prone position ventilation, high
frequency oscillatory ventilation and extracorporeal C02 removal can be used in
patients with ABI. All of them have specific risks and should be employed
cautiously This paper reviews upper airway management and MV in patients with
acute brain injury.
PMID- 21879174
TI - [Masters of internal medicine, in Chile].
PMID- 21879175
TI - [Jonathan Swift's scientific contribution on his "Gulliver's Travels"].
AB - Jonathan Swift is one of the most celebrated satirist writers in literature. His
well-known "Gulliver's Travels", apart from being a serious human being
criticism, contains many interesting ana unrecognized comments about medical and
other scientific facts. Swift made what seems to be the first account of a
dementing illness in the elderly; his description fits well with what we now know
as Alzheimer's disease. He also described a condition now called synaesthesia, a
very interesting phenomenon that may contribute to creativity. In this paper, we
review aspects of his life and reveal these amazing descriptions as samples of
Swift's extraordinary power of observation.
PMID- 21879176
TI - [Human pregnancy, a biological paradigm of tolerance and adaptation].
AB - This review analyses the changes in immunological tolerance, and the systemic and
local hemodynamic changes observed along human pregnancy. To underscore the
conceptual importance of tolerance and adaptation the background is provided by
the two main advocates of these ideas: Gandhi and Darwin. The cognate factors
that determine immunological tolerance (IT), systemic (SA) and local adaptation
(LA) are multiple; IT = desensitisation to paternal antigens, absence of HLA-A,
roles of HLA-G, natural killer cells and their receptors; SA = decreased vascular
resistance, plasma volume expansion, increased cardiac output and plasma renin
activity; LA = prostacyclin, nitric oxide, kallikrein-kinin system, vasodilator
arm of the renin angiotensin system, vascular endothelial growth factor (VEGF). A
possible role of vasodilators in the crucial process of trophoblast invasion and
uterine artery transformation is supported. The relevance of an adequate
adaptation to pregnancy is highlighted not only by the intragestational
complications derived from a defective process, such as intrauterine growth
restriction, preterm birth, and preeclampsia -its foremost expression- but also
by the long term cardiovascular complications of the mother and her offspring.
PMID- 21879177
TI - [Training in Neuropsychiatry: a National need?].
PMID- 21879178
TI - [Study of resistance to chemotherapy mediated by ABC transporters in biopsies of
glioblastoma multiforme].
AB - BACKGROUND: Mortality rate is dramatically high in high grade brain tumors. The
presence of multiple drug resistance transporters in glioblastoma multiforme, has
contributed largely to the poor efficacy of targeted therapy against cancer in
the central nervous system. AIM: To analyze the percentage of survival and
mortality of patients with glioblastoma multiforme in a cohort of patients in
Chile and to co-rrelate the chemo-resistance of these cells with the expression
level of multiple drug resistance transporters. MATERIALS AND METHODS: Eighteen
biopsies of glioblastoma multiforme were obtained from patients at the Institute
of Neurosurgery Dr. Asenjo (INCA). The tumor cells were obtained from primary
cultures and the expression and activity of multiple drug resistance transporters
was assessed by RT-PCR and immunohistochemistry. Population-based study was
performed using the databases of the Department of Neurosurgery of INCA. RESULTS:
The number of patients with glioblastoma multiforme increased between 2007 and
2009, from 3.5% to 7.9% of total brain tumors. Mortality of these tumors is 90 %
at three years. A high expression and activity of the multiple drugs resistance
associated protein 1 (Mrp1) transporter was observed in primary cultures of
biopsies. CONCLUSIONS: We propose that Mrp1 activity is responsible for the chemo
resistance of the glioblastoma multiforme and inhibition of this transporter
could represent a plausible strategy for the treatment.
PMID- 21879179
TI - [Intake and energy metabolism in a sample of overweight and obese Chilean
adolescents].
AB - BACKGROUND: In the last decades, a seven to nine fold increase in the prevalence
of teenage obesity and overweight has occurred. AIM: To assess energy intake and
metabolism in a sample of overweight and obese adolescents. MATERIAL AND METHODS:
In a sample of 113 overweight and obese Chilean adolescents (aged 13 to 16 years,
67 females) we studied anthropometry, body composition by deuterium isotope
dilution water, resting energy expenditure by indirect calorimetry and 24-h diet
and physical activity recalls. RESULTS: Most participants (87% of men and 67.2%
of women) had an intake that was adequate compared to requirements (fAO/WHO
2005). However, 82.6% of men and 83.6% of women showed reduced energy
expenditure. The sample was classified as sedentary, with a physical activity
level of 1.29. CONCLUSIONS: In our sample of overweight and obese adolescents
there was a sedentary behavior, resulting in low energy expenditure that would
explain a sustained caloric retention. Preventive and therapeutic interventions
should encourage the increase in physical activity.
PMID- 21879180
TI - [Prognostic factors for gastric cancer without lymph node involvement].
AB - BACKGROUND: The absence of lymph node involvement (N0) in gastric cancer is
associated with a better survival. However some N0 gastric tumors still have a
bad prognosis. AIM: To study demographic and morphological variables associated
with prognosis in N0 gastric carcinoma. MATERIAL AND METHODS: Review of
pathological records of a regional general hospital, identifying patients with a
N0 gastric cancer surgically excised between 1986 and 2003. RESULTS: In the study
period, 459 gastrectomies were performed for gastric cancer and in 32%, the tumor
was devoid of lymph node involvement. These later patients were followed for a
median of 64 months with a 71% five years actuarial survival. Bivariate analysis
identified age, tumor size, gastric wall infiltration, pathological type
according to Lauren and Ming, lymphovascular involvement, number of lymph nodes
excised and TNM stage as prognostic values Multivariate analysis disclosed the
level of gastric wall infiltration, the presence of a poorly differentiated
tumor, lymphatic vascular involvement, number of excise lymph nodes and tumor
size as independent prognostic factors. CONCLUSIONS: N0 gastric tumors are found
in 32% of gastrectomies for gastric cancer and have a 71% five years actuarial
survival. Gastric wall infiltration, pathological degree of differentiation tumor
size and lymphovascular involvement are independent prognostic factors.
PMID- 21879181
TI - [Rectal ozone therapy for patients with pulmonary emphysema].
AB - BACKGROUND: Ozone therapy may stimulate antioxidant systems and protect against
free radicals. It has not been used formerly in patients with pulmonary
emphysema. AIM: To assess the effects of rectal ozone therapy in patients with
pulmonary emphysema. MATERIAL AND METHODS: Sixty four patients with pulmonary
emphysema, aged between 40 and 69 years, were randomly assigned to receive rectal
ozone in 20 daily sessions, rectal medicinal oxygen or no treatment. Treatments
were repeated three months later in the first two groups. At baseline and at the
end of the study, spirometry and a clinical assessment were performed. RESULTS:
fifty patients completed the protocol, 20 receiving ozone therapy, 20 receiving
rectal oxygen and 10 not receiving any therapy. At baseline, patients on ozone
therapy had significantly lower values of forced expiratory volume in the first
second (fEV1) and fEV1/forced vital capacity. At the end of the treatment period,
these parameters were similar in the three treatment groups, therefore they only
improved significantly in the group on ozone therapy. No differences were
observed in other spirometric parameters. CONCLUSIONS: Rectal ozone therapy may
be useful in patients with pulmonary emphysema.
PMID- 21879182
TI - [Source of infection in young infants hospitalized with Bordetella pertussis].
AB - BACKGROUND: Despite pertussis vaccination, very young infants have the highest
rates of morbidity and mortality caused by the microorganism. AIM: To determine
the source of Pertussis infection in infants aged six months or less in Chile.
MATERIAL AND METHODS: Twenty six household contacts of 10 young infants
hospitalized with confirmed Pertussis were studied for the presence of Bordetella
Pertussis by polymerase chain reaction (PCR). Clinical and demographic data were
analyzed. RESULTS: Respiratory symptoms were present in 20 (77%) contacts, being
cough the most common. Pertussis cases were identified in every household and in
18 (72%) of the household members. four members with B.pertussis were
asymptomatic. Source of infection was identified in 80% (8/10) of the infant
cases with ages ranging from 6 to 62 years. Half of primary cases had positive
PCR and their cough duration was significantly shorter compared to primary cases
with negative PCR. CONCLUSIONS: B. pertussis transmission to young infants
occurred mainly within the household where adults are generally the source of the
infection. Risk factors for infant infection are the same as in developed
countries. Therefore, the same strategies, such as routine vaccination in
adolescents and adults or cocoon strategy, will help to prevent this disease in
infants.
PMID- 21879183
TI - [Assessing a test to evaluate clinical analytical thinking according to medical
training level].
AB - BACKGROUND: MATCH (Measuring Analytical Thinking in Clinical Health Care) is an
instrument to evaluate clinical reasoning. AIM: To assess MATCH performance in
professionals and students with different training in pediatrics. MATERIAL AND
METHODS: MATCH was administered to medical students (S), first (R1) and third
(R3) year residents and staff physicians (P). We evaluated the score and time
required to achieve it, according to training level in pediatrics. RESULTS:
Eighty five subjects were included (23 S, 28 R1, 17 R3 y 17 P), achieving 37.4 +/
6.0 points, in 25.2 +/- 8.5 minutes. There were significant differences in score
and time, according to training level. There was a positive correlation between
training level and score (Rho = 0.515; p < 0.001), and a negative one between
training level and time (Rho = -0.589; p < 0.001). CONCLUSIONS: More experienced
and trained professionals had a better performance in a clinical analytical
thinking test.
PMID- 21879184
TI - [Medical students as members of the health care team].
AB - BACKGROUND: Teaching hospitals include both undergraduate and postgraduate
students, but the role of medical students in the health care team has not been
clearly established. AIM: To know the opinion of different professionals about
the role of medical students and how this opinion may have an influence in
medical education. MATERIAL AND METHODS: A qualitative method was used, asking
open questions to focus groups of physicians, nurses and midwives, technicians
and undergraduate medical students of 4th and 5th grade. RESULTS: Physicians
believe that medical students have no special role in the health care team,
nurses think that they may help in communication with patients, and technicians
(nurses's aids) value their companionship and closeness with patients. Medical
students recognize that their main function is to learn but they are aware that
they do help patients. They suggest increasing their integration with other
students of other health related careers. CONCLUSIONS: Although medical students
are usually not seen as part of the health care team, they may fulfill a role
with patients during their clinical learning practice. This would improve the
quality of their training and the multidisciplinary work of the health care team.
PMID- 21879185
TI - [Detection of genes associated with drug resistance in Mycobacterium tuberculosis
strains isolated in Chile].
AB - BACKGROUND: The incidence of acquired resistance to antituberculous drugs of
Mycobacterium tuberculosis in Chile is approximately 23%. AIM: To analyze the
mutations associated with drug resistance in drug resistant strains of
Mycobacterium tuberculosis. MATERIAL AND METHODS: In 28 drug resistant
Mycobacterium tuberculosis strains isolated in Chile, genes leading to drug
resistance were studied. DNA was amplified by polymerase chain reaction (PCR) and
sequencing was carried out using the ABI PRISM big dye terminator cycle
sequencing ready reaction kit. RESULTS: In rifampicin-resistant strains, the
mutations in rpobeta gene were in the codons S531W/L (56%), D516Y (16%) and D516V
(16%). The predominant mutation in katG gene was in the codon S315L (73%) in
isoniazid-resistant strains. The mutation S95T was found in the 71% of
ciprofloxacin resistant strains. Only one ethambutol resistant strain had the
M306I mutation. Three unreported mutations in katG were identified. CONCLUSIONS:
Drug resistance associated mutations of Mycobacterium tuberculosis isolated in
Chile were similar to those reported abroad.
PMID- 21879186
TI - [A self administered survey to assess bullying in schools].
AB - BACKGROUND: Bullying is common in schools and has negative consequences. It can
be assessed using a self-reported instrument. AIM: To validate a Spanish self
reporting tool called "Survey of High School Bullying Abuse of Power" (MIAP).
MATERIAL AND METHODS: The instrument has 13 questions, of which 7 are multiple
choice, rendering a total of 49 items. It was applied to 2.341 children of
seventh and eighth grade attending private, subsidized and municipal schools in
the city of Concepcion, Chile. Expert judge analysis and estimated reliability
using the Cronbach Alpha were used to validate the survey. RESULTS: The
instrument obtained a Cronbach Alpha coefficient of 0.8892, classified as good.
This analysis generated four scales that explained 30.9% of the variance. They
were called "Witness Bullying" with 18 items, accounting for 11.4% of the
variance, "Bullying Victim" with 12 items, accounting for 7.5% of the variance,
"Bullying Perpetrator and Severe bullying Victim", with 10 items explaining 6.4%
of the variance and "Aggressor Bullying" with 6 items accounting for 5.7% of the
variance. CONCLUSIONS: The MIAP can recognize four basic factors that facilitate
the analysis and understanding of bullying, with good levels of reliability and
validity. The remaining questions also deliver valuable information.
PMID- 21879187
TI - [Rhabdomyolysis and acute renal failure after cocaine overdose: report of one
case].
AB - Rhabdomyolysis caused by cocaine abuse is multifactorial, involving tissue
ischemia secondary to vasoconstriction and cellular damage caused by the drug.
Renal failure may or may be not associated to rhabdomyolysis. We report a 41-year
old male admitted with a severe rhabdomyolysis after a cocaine overdose. In spite
of a vigorous hydration and alkalization, he developed acute renal failure. Renal
function recovered after several weeks of dialysis.
PMID- 21879188
TI - [Remission of vitiligo during treatment with intravenous immunoglobulin: report
of one case].
AB - Vitiligo is associated with other autoimmune diseases. We report a 52-year-old
male with a Sjogren syndrome that was treated with monthly pulses of intravenous
immunoglobulin for a chronic inflammatory demyelinating polyradiculoneuropathy.
The neurological disorder responded adequately to the treatment and the patient
also noted a marked remission of his vitiligo with almost compete re-pigmentation
of the scalp and face and partial repigmentation of other areas.
PMID- 21879189
TI - [Retroperitoneal fibrosis associated with chronic use of ergotamine: report of
one case].
AB - Retroperitoneal fibrosis (RPF) associated with chronic use of ergotamine is a
very rare disorder. We report a 45-year-old woman who presented with a RPf after
using, almost daily for 23 years, ergotamine tartrate for migraine relief. FRP
presented as a chronic inflammatory state, anemia, abdominal and lumbosacral pain
and a hypogastric mass. A CT-Scan showed a periaortic mass and left
hydronephrosis. A percutaneous biopsy was obtained and the patient was subjected
to a surgical ureterolysis and tissue resection. The biopsy confirmed the
presence of RPf. Due to persistent symptoms and increase in the volume of
periaortic tissue, treatment with colchicine 1 mg/day and defazacort 30 mg/day
was started, resulting in a rapid disappearance of symptoms, disappearance of
inflammation and a significant reduction in the volume of the periaortic tissue.
The patient remains in complete remission after 29 months of follow up.
PMID- 21879190
TI - [International policies towards sick leave: A referent to analyze the Chilean
situation].
AB - This is a comparative review of sick leave and wage replacement policies among
countries of the Organization for Economic Co-operation and Development (EOCD),
an organism that Chile joined recently. This review also deals with the main
transformations applied to the system to improve its effciency and the variables
that explain such changes. The Chilean system is analyzed from the perspective of
substitution rates, waiting time and protection length. According to these
parameters, Chile is located below countries such as Scandinavian countries, that
provide a universal protection, and over those countries that provide a focalized
protection according to worker's needs.
PMID- 21879191
TI - [The role of uric acid in heart failure].
AB - Complications and mortality of heart failure are high, despite the availability
of several forms of treatment. Uric acid, the end product of purine metabolism
would actively participate in the pathophysiology of heart failure. However,
there is no consensus about its action in cardiovascular disease. Serum uric acid
would have a protective antioxidant activity. This action could help to reduce or
counteract the processes that cause or appear as a result of heart failure.
However, these protective properties would vanish in the intracellular
environment or in highly hydrophobic areas such as atherosclerotic plaques and
adipose tissue. This review discusses the paradoxical action of uric acid in the
pathophysiology of heart failure.
PMID- 21879192
TI - [Developmental toxicity of misoprostol: an update].
AB - Misoprostol, a synthetic analog of prostaglandin E1, is currently used in Chile
and other countries as an antiulcer medication, mainly for the prevention of non
steroidal anti-inflammatory-induced gastric ulcers. Due to its uterotonic
properties, it is also indicated in obstetrics for induction of labor and
termination of pregnancy. In this last case, misoprostol is either used alone or
in combination with other oxytocic drugs such as methotrexate or mifepristone.
The use of misoprostol as an abortifacient agent is considered to be safe since
it rarely causes serious side effects. However up to 15 % of misoprostol-induced
abortions may not be successful, even under medical supervision, leading to in
utero exposure to the drug and to the induction of a series of birth defects
including limb and joints defects and Moebius syndrome. Reports from the nineties
failed to show a strong epidemiological association between in utero drug
exposure and induction of defects, a situation that has changed now that the
number of cases reported has increased. Since the practice of abortion is illegal
in Chile, many women turn to off-medical procedures to interrupt their pregnancy
and use misoprostol as an easy and cheap alternative, readily available in the
INTERNET. The lack of medical supervision in these cases may lead to situations
that favor the induction of congenital defects. Here, we present an updated
review of scientific data, to evaluate the risk of birth defects in babies
exposed to the drug during pregnancy termination failed attempts.
PMID- 21879193
TI - [Medical schools: students today].
AB - Physicians that are faculty members in medical schools receive new students every
year, and they are expected to prepare those students to become professionals.
They usually appeal to their experience to meet that challenge. However, newer
generations of students are different, and experience, with no formal training
for teaching them, can be insufficient. New characteristics of students can be
related to their early contact in life with information technology. Their brain
has been somehow modified by stimuli offered by this technology, and the way they
learn has also been modified. This paper is a reflection about how students have
changed and it analyzes how their learning experience needs to be modified
accordingly. Teaching based only on experience might be insufficient to fulfill
the expectations of young students that have chosen the medical profession for
their future.
PMID- 21879194
TI - [Bioethics of Sigmund Freud's death: euthanasia or appropriation?].
AB - The death of Freud raises the ethical dilemma about euthanasia. It can be
characterized as indirect active euthanasia according to the rule of double
effect, or terminal sedation, or palliated death. The primacy of the principle of
autonomy over non maleficence, conditioned the physician's attitude toward his
patient Freud. The physician assisted death was and remains punishable in western
medicine. Therefore, a fundamental tradition was infringed. In contrast, the
present study attempts to characterize the final position of Freud himself to his
death and called it appropriation of his finitude; he assumes his being-unto
death, that is, he now projects his being not as a being-at-his-end but as a
being-unto-end, indicating thereby that he understood that the end always
penetrated his whole existence.
PMID- 21879195
TI - [The anatomy Lesson of Dr. Nicolaes Tulp: The beginning of a medical utopia].
AB - The Anatomy Lesson of Dr. Nicolaes Tulp was painted by Rembrandt Harmen-szoon van
Rijn at the early age of 26 years. In the XVII century these paintings were very
popular in the Netherlands, and in this country the cities flourished as cultural
centers searching the anatomy knowledge. Nicolaes Tulp was one of the persons in
the center of Amsterdam's scene during XVII century. In 1632 Tulp was 39 years
old, and he was an anatomist and a surgeon. Rembrandt masterly shows an autopsy
performed by Dr. Tulp. This picture is the description of the beginning of a
medical intellectual utopia: the absolute visibility of the disease.
Unfortunately this utopia is blind to the complete visibility of the psycho-socio
cultural dimensions of the ill.
PMID- 21879196
TI - [Basic concepts about paternity testing].
AB - Nowadays, the analysis of genetic markers is a very important and validated tool
for the identification of individuals, and for paternity testing. To do so,
highly variable regions of the human genome are analyzed, making it possible to
obtain the genetic profile of an individual, and to distinguish between different
individuals. The methodology used is basically the same all over the world,
consisting in the analysis of 13 to 15 markers. To assign biological paternity
the child must have inherited the characteristics from the alleged father in each
of the genetic markers analyzed. This analysis achieves a certainty higher than
with any other test, which is expressed as the probability of paternity. This
probability has to be at least 99.9%, but greater probabilities are usually
obtained, especially if the mother is included in the analysis. If the
characteristics of two or more genetic markers from the alleged father are absent
in the child, biological paternity is excluded.
PMID- 21879197
TI - [Updated reference values for sperm counts (WHO 2010)].
PMID- 21879198
TI - [Medical research, Chilean miners and ?Medicine P4?].
PMID- 21879199
TI - Complement in skin diseases.
AB - Complement is one of the most important mechanisms of natural resistance
preventing infections in humans and animals. It is actively involved in the
pathogenesis of several diseases, including skin diseases, characterized by the
presence of autoantibodies, foreign microorganisms, altered tissue cells, and the
presence of mannan. Complement is intended to kill invading microorganisms but it
can also destroy the organism's own damaged or altered cells. It is characterized
by vigorous activity and is also potentially harmful for the host if triggered in
its own body. This review discusses the significance of complement activation for
emerging skin diseases and highlights the importance of serological laboratory
tests for the detection of complement system activity alterations in skin
diseases such as pemphigus vulgaris, bullous pemphigoid, herpes gestationis,
dermatitis herpetiformis, porphyria, urticaria, angioedema, cutaneous vasculitis,
systemic lupus erythematosus, partial lipodystrophy, lichen planus, xeroderma
pigmentosum, psoriasis, and recurrent cutaneous infections. Finally, we draw
attention to the current potential for treating these diseases with complement
inhibitors.
PMID- 21879200
TI - Discontinuing long-term Iloprost treatment for Raynaud's Phenomenon and systemic
sclerosis: a single-center, randomized, placebo-controlled, double-blind study.
AB - BACKGROUND: Iloprost has been reported to reduce Raynaud's phenomenon (RP) and to
inhibit progression of systemic sclerosis (SSc). OBJECTIVE: The aim of our study
was to compare monthly iloprost infusions with placebo in patients treated long
term. METHODS: Seventeen patients, six with RP and 11 with SSc on monthly
treatment with iloprost, received either a 3-hour intravenous infusion of
iloprost or an equal volume of placebo once per month for 4 months in a
monocentric, randomized, placebo-controlled, double-blind study. Raynaud attacks
as measured by diary entries, skin temperature, skin sclerosis, fist closure,
mouth opening, and digital ulcers were recorded during the observation period.
RESULTS: Whereas mouth opening improved significantly (p = 0.043) in the iloprost
treated group, RS improved in both patient groups. However, no significant
differences were found in the outcome measures. CONCLUSION: Although iloprost
influences the inflammatory cascade in SSc, no statistical differences were seen
in our study, indicating that treatment strategies with iloprost should be
modified.
PMID- 21879201
TI - Photodynamic therapy as adjunctive therapy for morpheaform basal cell carcinoma.
AB - The authors decided to evaluate the possible use of methyl-aminolevulinate
photodynamic therapy (MAL-PDT) as adjunctive therapy for morpheaform basal cell
carcinoma prior to standard surgical excision in order to reduce tumor size and
volume and to facilitate surgical treatment. It was observed that MAL-PDT may be
an option as an adjunctive therapy prior to standard surgical excision of
morpheaform basal cell carcinoma, leading to less invasive surgery.
PMID- 21879202
TI - Tufted hair folliculitis: a case report and literature review.
AB - Tufted hair folliculitis is a rare folliculitis of the scalp that resolves with
patches of scarring alopecia within multiple hair tufts emerging from dilated
follicular orifices. Tufting of hair is caused by clustering of adjacent
follicular units due to a fibrosing process and to retention of telogen hairs
within a dilated follicular orifice. Various pathogenetic mechanisms have been
proposed including nevoid abnormalities, recurrent infections of the follicles,
and retention of telogen hair in the tufts. We present a patient with tufted hair
folliculitis who was effectively treated with antibacterial medications,
verifying the infectious nature of the disease.
PMID- 21879203
TI - Isolated genital annular lichen planus.
AB - Annular lichen planus is a rarely reported variant of lichen planus (LP).
Although genital lesions are frequent in patients with LP, isolated genital LP is
rarely reported. We present a case of a 29-year- -old circumcised man with an
asymptomatic annular lesion of the penis. Histopathological features were
consistent with LP. Topical clobetasol was prescribed, with clinical improvement.
It is important to consider annular LP among the possible diagnoses of individual
annular genital lesions.
PMID- 21879204
TI - Pseudoxanthoma elasticum-like papillary dermal elastolysis.
AB - Elastolysis refers to a loss of elastic fibers. It can affect the papillary
dermis, the reticular dermis, or both. Pseudoxanthoma elasticum-like papillary
dermal elastolysis (PXE-PDE) is a clinicopathological entity first described by
Rongioletti and Rebora in 1992 (1). It is an acquired elastolytic disorder that
mainly affects the papillary dermis. Clinically it resembles pseudoxanthoma
elasticum (PXE), but it differs histologically and there is no systemic
involvement, in contrast to PXE.
PMID- 21879205
TI - Foscarnet-induced penile ulceration.
AB - Foscarnet is used to treat herpes viruses, including drug-resistant
cytomegalovirus (CMV) and herpes simplex viruses types 1 and 2 (HSV-1 and HSV-2).
There are some reports of intravenous foscarnet-induced penile and vulvar
ulceration. The authors report a case of the development of severe penile ulcers
after the initiation of intravenous foscarnet therapy.
PMID- 21879206
TI - Bilateral idiopathic hyperkeratosis of the nipple and areola.
AB - Hyperkeratosis of the nipple and areola (HNA) is an unusual dermatosis that Levy
Franckel classified into three variants (1). This rare condition occurs primarily
in young women and represents a cosmetic problem. Furthermore, its management is
a therapeutic challenge. We report on the rare case of a 32-year-old woman with
idiopathic bilateral HNA, which belongs to the third Levy-Franckel
classification.
PMID- 21879207
TI - The need for Singapore resuscitation research and guidelines.
PMID- 21879208
TI - Implementation of National Resuscitation Guidelines 2006.
AB - The implementation of guidelines released by the National Resuscitation Council
in 2006 involved all the main areas of resuscitation, especially basic life
support and defibrillation. The emphasis was on community training, creation of
simplified programmes, combining cardiopulmonary resuscitation (CPR) and
defibrillation, and using simpler training devices. As a result, public access
defibrillation programmes have been increasingly implemented together with the
CPR + automated external defibrillator programmes. A large number of instructors
have also been trained.
PMID- 21879209
TI - Basic Cardiac Life Support: 2011 Singapore guidelines.
AB - The core skills required in resuscitation of cardiac arrest individuals is
referred to as Basic Cardiac Life Support or cardiopulmonary resuscitation (CPR).
Once cardiac arrest (an unresponsive patient with no breathing or only gasping
motions) is recognised, chest compressions should be initiated. Healthcare
workers may choose to also check for a pulse to verify cardiac arrest if they are
trained. The time taken for breathing check (and pulse check) should not exceed
ten seconds. Good quality chest compressions are recommended with each being
delivered with arms extended, elbows locked, shoulders vertically above the
patient's chest and the heel of the palm on the lower half of the sternum. The
rescuer should push hard and fast, with a compression of at least 5.0 cm,100
compressions per minute for adults and allow complete chest recoil after each
compression. Every 30 chest compressions should be followed promptly by two quick
and short ventilations (each 400-600 ml tidal volume) delivered within six
seconds. Chest compression-only CPR is recommended for dispatcher-instructed CPR
or if the rescuer, for any reason, is unable or unwilling to do mouth-tomouth
ventilations. CPR should only be stopped when the patient wakes up or an
emergency team arrives and takes over patient care, or if an automated external
defibrillator were to prompt interruption of chest compressions for analysis of
heart rhythm or delivery of shock.
PMID- 21879210
TI - Defibrillation.
AB - Defibrillation may be needed in witnessed and unwitnessed cardiac arrests.
Cardiopulmonary resuscitation (CPR) must be initiated and defibrillation
administered without delay. Every shock cycle includes 1-2 minutes of CPR
followed by rhythm analysis. The energy level for biphasic defibrillation of
ventricular fibrillation is 150 J with possible step-wise escalation to 360 J.
All healthcare workers need to learn and be authorised to use an automated
external defibrillator (AED). In addition, all ambulances must be equipped with
AEDs when transporting patients. Self-adhesive pads/paddles must be applied
firmly to the skin for best effect. Monitoring electrodes and pacemaker locations
should be considered during paddle/pad placement. AED skills should be imparted
to a wide variety of community groups. More efforts will be made to increase the
availability of AEDs in public, residential, commercial and industrial
facilities.
PMID- 21879211
TI - Advanced Cardiac Life Support guidelines 2011.
AB - The main emphasis in the Advanced Cardiac Life Support (ACLS) guidelines are in
the areas of good quality chest compressions, ensuring normoventilation, removal
of atropine from the cardiac arrest algorithm, removal of the use of the
endotracheal route for drug administration, and renewed focus on the care
provided after return of spontaneous circulation. In addition, the need for
monitoring of quality of the various care procedures is emphasised. While the
various ACLS procedures are being carried out, there is a need to minimise
interruptions to chest compressions for maintenance of coronary perfusion
pressures. In addition, the resuscitation team needs to continually look out for
reversible causes of the cardiac arrest.
PMID- 21879212
TI - Life support course for nurses in Singapore.
AB - Nurses are usually the first caregivers for cardiac arrest patients in an in
hospital environment, and subsequently partner with doctors in the further
resuscitation of patients. The skills of basic life support are crucial for their
practice. The Advanced Cardiac Life Support programme is traditionally geared
toward training of medical staff in advanced resuscitation skills. The need for a
bridging course that focuses on the knowledge and skills required by nurses to
become effective members of the resuscitation team has resulted in the creation
of the Life Support Course for Nurses (LSCN) in Singapore. The components of the
LSCN programme have evolved over the years, taking into consideration the
modifications to resuscitation guidelines. The LSCN programme is gradually
including a larger proportion of nurses in the emergency and critical care
environments as well as those in the general ward.
PMID- 21879214
TI - Bystander CPR and survival.
AB - Despite years of medical advances, bystander cardiopulmonary resuscitation (CPR)
remains the most important factor in the saving of out-of-hospital cardiac arrest
victims. However, the prevalence of bystander CPR remains low. New international
recommendations, which aim to increase bystander CPR prevalence, allow for hands
only CPR under certain circumstances. More should be done to increase the
awareness and training of CPR in Singapore as well as encourage the public to
perform bystander CPR.
PMID- 21879213
TI - Newborn and paediatric resuscitation 2011 guidelines.
AB - We present the revised guidelines for newborn and paediatric resuscitation for
Singapore. The 2010 International Liaison Committee on Resuscitation consensus on
science as well as the main recommendations from the European Resuscitation
Council and American Heart Association were debated and discussed. The final
recommendations for the Singapore National Resuscitation Council were derived
after carefully reviewing the current available evidence in the literature and
balancing the local clinical climate of practice. In addition, much effort was
spent on aligning the paediatric and neonatal recommendations with the adult
(especially Basic Cardiac Life Support) recommendations.
PMID- 21879215
TI - Chest compression-only CPR or good quality 30:2 CPR.
AB - There is debate as to whether chest compression-only cardiopulmonary
resuscitation (CC-CPR) or standard 30:2 CPR should be taught to laypersons.
Equivalence in outcomes between standard CPR and CC-CPR has been amply
demonstrated in communities with short ambulance response times of about five
minutes. Depriving oxygen from a collapsed patient beyond six minutes results in
poorer outcomes. Communities with prolonged ambulance travel times have seen
improved outcomes with CPR than CC-CPR. While healthcare workers demonstrate a
reluctance to perform mouth-to-mouth ventilation, laypersons generally show a
willingness to do so. Rescuer fatigue also argues against the use of CC-CPR for
more than a few minutes. For communities with relatively long ambulance transport
times, the best approach appears to be standard CPR, with emphasis on good
quality compression. For dispatcher-assisted CPR, communication issues suggest
that CC-CPR is advisable. Public CPR training should include teaching of mouth-to
mouth ventilation alternating with chest compressions.
PMID- 21879216
TI - Cardiac arrest in the skies.
AB - Cardiac arrest occurring on board aeroplanes is rare, but remains a common cause
of inflight incidents. This review examines some of the management problems
unique to inflight cardiac arrests, and emphasises the use of cardiopulmonary
resuscitation and automated external defibrillators.
PMID- 21879217
TI - Improving the quality of CPR in the community.
AB - Bystander cardiopulmonary resuscitation (CPR) is important for survival from out
of-hospital cardiac arrest (OHCA). However, recent research indicates that the
quality of CPR is an important and often overlooked factor affecting survival.
Individual factors, training, awareness, technique and rescuer fatigue may
influence the quality of CPR. Quality components of CPR include rate, ratio,
depth and ventilation-compression ratio. The new 2010 CPR guidelines advocate a
ratio of compressions to ventilations of 30:2, with a rate of at least 100
compressions per minute. Depth of compression should be at least 5 cm. Rescuers
should allow complete recoil of the chest. Locally, limited information is
available regarding the quality of CPR being performed for OHCA. Strategies to
improve the quality of CPR include research, training, education as well as
incorporating appropriate technologies that measure and feedback the quality of
CPR. These technologies are at the heart of recent advances, as they now make it
feasible to provide routine feedback to rescuers providing CPR, through the
integration of feedback devices into training equipment, defibrillators and
standalone CPR assist devices.
PMID- 21879218
TI - Mechanical CPR.
AB - Mechanical devices for cardiopulmonary resuscitation have been in use for
decades. Significant advances in the understanding of cardiac arrest physiology
have led to improvements and new devices. Piston, load distribution band, active
compression decompression and the impedance threshold device are discussed.
PMID- 21879219
TI - Drugs in resuscitation: an update.
AB - Drug therapy is recommended after effective cardiopulmonary resuscitation and
defibrillation in cardiac arrest. Some drugs appear to have short-term benefits,
such as improved survival to hospital, e.g. vasopressor and antiarrhythmics.
Hence, they have been included in the cardiac life support algorithm. However, to
date, no drug (or combination of drugs) has been shown to improve long-term
survival in randomised trials. Hopefully, improvements in post-arrest intensive
unit care can translate improved survival in hospitals into better long-term
outcomes. This review is an update on drugs during resuscitation, including the
choice of agents, dosing, sequence and route. Specific drugs may have benefits in
correcting identified causes of collapse. Drug usage during resuscitation is an
evolving science, with the use of medications improving as results of clinical
studies become available.
PMID- 21879220
TI - Controlled hypothermia in post-resuscitation management: what is so cool about
it?
AB - Survivors of successful resuscitated cardiac arrest have a high incidence of
severe neurological deficits. The pathophysiology of cerebral injury is thought
to be multifactorial, and therapeutic mild hypothermia is one of the strategies
that have been shown to minimise this complication. In this article, we outline
the theoretical basis for this strategy as well as the clinical evidence to
support current practice guidelines advocating its use. We also review the
technical aspects of implementing hypothermia after resuscitation as well as the
potential side effects. Finally, unresolved issues are discussed as we outline
the ongoing prospective clinical trial underway in Singapore in order to evaluate
its safety and efficacy in our local population. We advocate further research
into this topic, as it has great potential to improve the outcomes of comatose
resuscitated patients of cardiac arrest.
PMID- 21879221
TI - The post-resuscitation bundle.
AB - Following restoration of pulse after the institution of cardiopulmonary
resuscitation, defibrillation and perhaps, the initial resuscitative drugs, there
is a greater challenge of maintaining that heartbeat for at least the next 24
hours, which can better ensure a high likelihood of the patient being discharged
alive from the hospital. A bundle of procedures, which may need to be
administered simultaneously, is required. These include prompt identification and
treatment of the cause of cardiac arrest, with early consideration for procedures
such as percutaneous coronary interventions and fibrinolytics, and treatment of
electrolyte abnormalities. In addition, a definitive airway and normocapnoeic
ventilation without causing hyperoxaemia, together with rational management of
haemodynamics with intra-arterial and central venous lines and vasoactive agents
will be crucial. Additional benefit is possible with appropriate forms of early
goal-directed therapy and achieving therapeutic hypothermia within the first few
hours, followed by gradual rewarming and ensuring glycaemic control by
maintaining blood sugars within a range of 6-10 mmol /L. All these would be
important and need to be continued for at least 24 hours, together with a series
of measures to control neurological reactions and monitor neurological responses
for best effect. Creation of a bundle that incorporates these various aspects of
care would more likely ensure that most patients who achieve return of
spontaneous circulation may be discharged alive from the hospital with optimal
neurological function.
PMID- 21879222
TI - The role of nurses in the resuscitation of in-hospital cardiac arrests.
AB - Survival rates for in-hospital cardiac arrests are disappointing. Even though
such arrests are often witnessed by a nurse, inadequate training may cause these
first responders to have to wait for Advanced Cardiac Life Support trained
personnel to arrive to perform defibrillation. The introduction of automated
external defibrillator (AED) use by nurses was designed to address this problem,
but studies have revealed that AED use is associated with a lower rate of
survival after in-hospital cardiac arrest compared with no AED use. Interruption
to cardiopulmonary resuscitation during the AED advisory mode is the likely
reason for these unexpected results. Hence, courses like the Life Support Course
for Nurses, which trains nurses to recognise collapse rhythms and to institute
manual defibrillation, are extremely important. Barriers to the practice of
advanced life support by nurses and recommendations for the prevention and
management of in-hospital cardiac arrest are discussed.
PMID- 21879223
TI - Quality of resuscitation in hospitals.
AB - There is relatively less literature available on in-hospital cardiac arrest
(IHCA) as opposed to that of out-of-hospital cardiac arrest (OHCA). Although IHCA
and OHCA patients may differ at baseline, they share similar factors that are
associated with survival. Important variables need to be standardised for
reporting. Principles such as the 'chain of survival' remain applicable in the
response. Early escalation protocols and medical emergency teams, together with
streamlined activation pathways and staff training, are crucial. Post
resuscitation care bundles should be implemented.
PMID- 21879224
TI - Brain attack: needing resuscitation.
AB - The brain is extremely susceptible to focal ischaemia. Following vascular
occlusion, a core of severely damaged brain tissue develops, surrounded by an
ischaemic penumbra. This potentially-salvageable penumbra may be estimated by
advanced neuroimaging techniques, particularly by diffusion-perfusion mismatch.
Clinical trials have demonstrated the efficacy of intravenous thrombolysis within
three hours of onset of ischaemic stroke in reducing short-term disability.
Recanalisation is enhanced by intra-arterial thrombolysis, sonothrombolysis and
clot-retrieval devices. Occasionally, reperfusion injury may lead to clinical
deterioration. The search continues for effective neuroprotectants. Brain
perfusion needs to be maintained through blood and intracranial pressure
management. Hemicraniectomy for 'malignant' cerebral oedema reduces death and
disability. Elevated glucose should be controlled and hypoxia alleviated. Public
education of symptoms and the need for immediate presentation to a medical
facility is needed. Stroke unit care reduces death and disability with little
increase in cost. Current evidence supports urgent efforts to resuscitate the
brain after stroke.
PMID- 21879225
TI - Proposal for establishment of a National Sudden Cardiac Arrest registry.
AB - There is a need to establish a National Sudden Cardiac Arrest registry that would
track the performance and outcomes of out-of-hospital (OHCA) and in-hospital
cardiac arrests (IHCA) in the country. An effective strategy to improve survival
from sudden cardiac arrest in Singapore requires a multi-pronged effort targeting
the community, Emergency Medical Services (EMS) and the hospitals. The
establishment of such a registry is important, as it would enable the tracking of
trends and effectiveness of subsequent interventions related to our national
strategy for management of both OHCA and IHCA. The quality improvement process of
measurement-benchmarking-feedback/change is well established. A key part of this
process is data collection. A cardiac arrest registry can be a key tool for
quality improvement and serves as an important foundation on which to implement
and track planned improvements to cardiac arrest management both in and out of
hospital. It would also aid in planning for deployment of resources,
interventions and ongoing efforts to improve Singapore's EMS.
PMID- 21879226
TI - Developing resuscitation programmes in the community: the tasks ahead for the
National Resuscitation Council.
AB - Singapore has a long way to go to becoming a 'heartsafe' society. Given our small
size and culture of hard work in our country, we can achieve a state of good
first response by our community citizens through public cardiopulmonary
resuscitation and automated external defibrillators training programmes at
various key sectors and through the implementation of public access
defibrillation in a committed manner. For our second-line responders, investing
in technology to improve response times and quality of chest compressions with
earlier interventions will go a long way toward strengthening the chain of
survival in the community. Building on this strong foundation and having a strong
hospital-based cardiac arrest management system will ensure that those who
achieve return of spontaneous circulation will more likely remain alive and be
discharged from hospital in a neurologically optimal state.
PMID- 21879227
TI - Medico-legal issues in cardiopulmonary resuscitation and defibrillation.
PMID- 21879228
TI - Comment on: "Foreign bodies in the urinary bladder and their management: a
Pakistani experience".
PMID- 21879231
TI - Inter-rater reliability of the early functional abilities scale.
AB - OBJECTIVE: To investigate the inter-rater reliability of the Early Functional
Abilities (EFA) scale. DESIGN: An observational study of inter-rater reliability
in an open cohort. PATIENTS: Twenty-four patients with traumatic brain injury in
need of medical or surgical intervention in the early rehabilitation section of
the intensive care unit. METHODS: The EFA was assessed by 4 different professions
in the rehabilitation team. Inter-rater reliability was assessed using linear
weighted kappa statistics. RESULTS: The overall weighted kappa values of the
different EFA items varied from 0.27 to 0.60. The items in the sensorimotor
functional area had the highest pairwise agreement,with a mean kappa range of
0.68-0.76. The vegetativest ability, position tolerance and wakefulness items had
the lowest mean kappa values (0.49, 0.33 and 0.49, respectively). Agreement was
good to excellent between the occupational therapist and physiotherapist across
the majority of the items, whereas the physician and nurse agreed less with one
another. CONCLUSION: The inter-rater reliability of the EFA scale was good for
most items among all the raters. The scale maybe used by all members of the
interdisciplinary team after training in administration and scoring. A reduction
in the number of items in the vegetative functional domain is recommended.
PMID- 21879232
TI - Rasch analysis of the Nottingham extended activities of daily living scale.
AB - BACKGROUND AND PURPOSE: The Nottingham Extended Activities of Daily Living (EADL)
Scale is frequently used in clinical practice and research in rehabilitation to
assess patients' independence in activities of daily living. Summative scores are
used for this purpose, but this is problematic because the EADL is an ordinal
level measurement scale. OBJECTIVES: To examine the fit of data to the Rasch
model and to determine how the fit could be improved by making changes to the
scale. The appropriateness of using total and subscale (Mobility, Kitchen,
Domestic and Leisure) scores in determining change over time was evaluated.
METHODS: EADL data (n = 210 stroke patients, 55% male, age range 27-93 years)
from a randomized trial of a Stroke family support organiser service were
analysed using the Partial Credit model. RESULTS: Rasch analysis did not support
the total scale as a unidimensional measure of activities of daily living.
However,the subscales exhibited reasonable fit to the Rasch model following re
scoring and removal of items. Item 16 exhibited differential item functioning for
age and item 22 differential item functioning for gender. CONCLUSION: The results
endorse the use and psychometric properties of the 4 EADL subscales, but not the
total scale.Further work to corroborate these findings would be useful.
PMID- 21879233
TI - Progressive muscle relaxation therapy for atopic dermatitis: objective assessment
of efficacy.
AB - The aims of this study were to validate the efficacy of progressive muscle
relaxation (PMR) in patients with atopic dermatitis and to evaluate the
serological parameters that may serve as objective measures of the efficacy of
PMR. A total of 25 patients with atopic dermatitis were randomly assigned to
either a PMR group (n = 15) or a control group (n = 10). Serum levels of nerve
growth, neuropeptide Y, and Th2 cytokines (IL-4, IL-5, and IL-13) were measured
at baseline and after one month. At baseline, only anxiety was positively
correlated with pruritus score (state anxiety: R = 0.496, p = 0.014; trait
anxiety: R = 0.423, p = 0.04). Serum levels of neuropeptide Y were inversely
related to the State-Trait Anxiety Inventory (STAI) (state anxiety: R = -0.475, p
= 0.019; trait anxiety: R = -0.418, p = 0.042) and pruritus scores (R = -0.451, p
= 0.035). After one month of PMR therapy, the degree of pruritus and loss of
sleep was significantly decreased in the PMR group (p < 0.001), but not among
controls. State anxiety scores showed significant improvement after treatment
only in the PMR group (p = 0.005). There were no significant changes in the
serological parameters in either group. Reductions in Eczema Area and Severity
Index (EASI) scores were significant, but similar, in both groups. PMR may be a
useful adjunctive modality for the management of atopic dermatitis through the
reduction of anxiety. No change was found in biological parameters, but it was
observed that neuropeptide Y may be related to high levels of anxiety in atopic
dermatitis at baseline.
PMID- 21879234
TI - Polymorphisms in the ATG16L1 gene are associated with psoriasis vulgaris.
PMID- 21879235
TI - Mast cells as regulators of skin inflammation and immunity.
AB - Mast cells are known to be the effector cells of immediate-type allergy, but
experimental evidence obtained during the last decade has revealed their role in
innate and acquired immunity. Upon activation mast cells can undergo an
anaphylactic or piecemeal degranulation or degranulation-independent mediator
secretion, resulting in rapid or slow release of soluble mediators, such as
serine proteinases, histamine, lipid-derived mediators, cytokines, chemokines and
growth factors. Mast cells can express different receptors and ligands on the
cell surface, molecules that can activate the cells of the immune system, such as
different subsets of T cells. All these mediators and cell surface molecules can
promote inflammation in the skin. During the last years, a new role for mast
cells has emerged; induction of tolerance or immunosuppression and interaction
with regulatory T cells. However, the mechanisms that switch the proinflammatory
function of mast cells to an immunosuppressive one are unknown. In this review,
the immunoregulatory function of mast cells and its relation to skin inflammation
are discussed.
PMID- 21879236
TI - Sequential occurrence of pemphigus vulgaris and palmoplantar pustulosis: possible
role of cytokine profile.
PMID- 21879237
TI - Genotype-phenotype correlation in Chinese patients with dystrophic epidermolysis
bullosa pruriginosa.
AB - Dystrophic epidermolysis bullosa pruriginosa (DEB-Pr) is a rare variant of
dystrophic epidermolysis bullosa (DEB) due to dominant or recessive mutations in
the COL7A1 gene. More than 40 mutations in COL7A1 have been described in DEB-Pr.
The aim of this study was to understand the genotype-phenotype correlation in
Chinese patients with DEB-Pr. Three Chinese families with typical clinical
features of DEB-Pr were studied. The results were analysed in association with
the eight Chinese DEB-Pr patients reported in the literature. In the three
Chinese families with DEB-Pr, we found two dominant cases with G1773R and
c.6900+1G>C mutations, and one case with heterozygous G2701W mutation of
uncertain inheritance mode. In the 10 Chinese patients with dominant type of DEB
Pr, 7 glycine substitutions and three splicing site mutations of exon 87 skipping
were identified. Glycine substitution mutations in the triple helix region and
exon 87 skipping, leading to the in-frame deletion of 23 amino acid residues in
the triple-helix, are often seen in Chinese patients with dominant DEB-Pr,
although the glycine substitutions are also frequently present in dominant DEB.
PMID- 21879238
TI - Disseminated BCG infection in severe combined immunodeficiency.
PMID- 21879239
TI - Rapid progression of hidradenitis suppurativa in the lower leg of a patient with
psoriasis vulgaris.
PMID- 21879240
TI - Characterization of peripheral natural killer cells and their reduction in drug
induced hyper-sensitivity syndrome.
PMID- 21879241
TI - Metachronous Merkel cell carcinoma on both cheeks.
AB - Merkel cell carcinoma (MCC), an aggressive skin cancer with neuroendocrine
features, has been found to be associated with a new type of human polyomavirus
called Merkel cell polyomavirus (MCV). Patients diagnosed with MCC have a
significantly increased risk of a second primary cancer. We report here the first
case of two primary MCCs arising on the face at different times, associated with
MCV infection. The tumour on the patient's right cheek was surgically removed,
followed by chemoradiation. After a 10-year tumour-free period, a new tumour
developed on the patient's left cheek. Histological and immunohistochemical
findings were consistent with MCC. The tumours had high MCV copy numbers and
expressed large T antigen, which may play a major role in MCV-mediated
carcinogenesis. This case highlights the close links between MCC and MCV.
PMID- 21879242
TI - A subungual blue naevus showing expansile growth.
PMID- 21879243
TI - Patients with psoriasis feel stigmatized.
AB - Stigmatization is defined as having a discrediting mark that leads to social
discrimination and alienation. The aim of this study was to estimate the level of
stigmatization experienced by patients with psoriasis. A total of 102 individuals
with psoriasis were recruited and was assessed using the 6-item Stigmatization
Scale and the 33-item Feelings of Stigmatization Questionnaire. In addition,
quality of life, stress and depression were evaluated. The majority of patients
felt that they were stigmatized by psoriasis. The most bothersome aspect was that
other people stared at their skin changes. According to the 33-item
questionnaire, anticipation of rejection and feelings of guilt and shame were the
major aspects of stigmatization, the level of which correlated significantly with
pruritus intensity, stress prior to exacerbation, depressive symptoms and quality
of life. In order to decrease the stigmatization level in patients with
psoriasis, greater effort is needed to raise awareness in contemporary societies
that psoriasis is not contagious, but is a disease like many other chronic
conditions.
PMID- 21879244
TI - An unusual missense mutation in the GJB3 gene resulting in severe
erythrokeratodermia variabilis.
PMID- 21879245
TI - Prevalence, correlates and characteristics of chronic pruritus: a population
based cross-sectional study.
AB - Pruritus is the most frequent symptom in dermatology. Its impact on quality of
life is substantial. Epidemiological data on chronic pruritus (>6 weeks) at the
population level is sparse, but is important in order to understand the burden
and risk factors of this distressing symptom. The aim of this population-based
cross-sectional study was to estimate the point, 12-month and lifetime prevalence
of chronic pruritus, assessing its association with sociodemographic variables
and describing its characteristics. A validated postal questionnaire was sent to
4,500 individuals in from the German General population. Three contact attempts
were made. The response rate was 57.8% (n=2,540). The point prevalence of chronic
pruritus was 13.5% (95% confidence interval (95% CI) 12.2-14.9%), 12-month
prevalence 16.4% (15.0-17.9%) and lifetime prevalence 22.0% (20.4-23.7%).
Multivariate analyses found only ethnic origin independently associated with
chronic pruritus. The impact of chronic pruritus on quality of life and emotional
well-being appears to depend on severity rather than on the presence of the
symptom alone. This is the first study to investigate various prevalence
estimates of chronic pruritus at the population level. Despite its limitations
(self-report and potential self-selection) this study indicates a high burden of
chronic pruritus in society.
PMID- 21879246
TI - Serum CXCL13 chemokine is not a marker for active Lyme borreliosis.
PMID- 21879247
TI - Lactase deficiency: a potential novel aetiological factor in chronic pruritus of
unknown origin.
AB - Chronic pruritus, which is associated with a wide variety of underlying diseases,
represents a challenge in diagnostics and treatment in dermatology and general
medicine. The cause of pruritus remains unknown in up to 45% of patients. In this
study, 718 patients with chronic pruritus were analysed concerning lactase
deficiency, demographic data, aetiology, duration and intensity of pruritus. A
total of 154 patients were tested positive for lactase deficiency and 38.3%
showed a significant anti-pruritic response to a lactose-free diet (minimum 4
weeks). The best results were observed in patients with pruritus of mixed or
unknown origin (n = 91; 64% response). Age, sex, localization or duration had no
significant influence on the anti-pruritic effect of a lactose-free diet. Lactase
deficiency might be an independent causal factor in the elicitation of chronic
pruritus. Thus, screening for lactase deficiency represents a rational step in
the diagnostic work-up of chronic pruritus. In case of a positive test result, a
lactose-free diet offers a low-cost, efficient and specific therapy in patients
with chronic pruritus.
PMID- 21879248
TI - Co-culture of melanocytes with adipose-derived stem cells as a potential
substitute for co-culture with keratinocytes.
AB - Cell-to-cell interactions between melanocytes and keratinocytes increase the
proliferation and migration of melanocytes. In fact, mixed keratinocyte and
melanocyte cultures have been used for autologous cell transplantation for
treatment of vitiligo. However, this may require taking an amount of skin tissue
large enough to leave scars. In this study, the in vitro effect of adipose
derived stem cells (ADSCs) on proliferation, differentiation and migration of
melanocytes was compared with that of keratinocytes using immunohistochemistry
and a Boyden chamber migration assay. The proliferation and migration of
melanocytes was significantly stimulated by co-culture with ADSCs compared with
melanocyte monocultures, al-though the effect of ADSCs was less powerful than
that of keratinocytes. This may be related to increases in stem cell factor and
basic fibroblast growth factor, growth factors for melanocytes, produced by the
ADSCs. The ratios of melanocytes stained with antibodies against Trp-2, E
cadherin and N-cadherin were significantly increased by co-culturing with ADSCs
compared with co-culturing with keratinocytes as well as melanocyte monocultures.
The proportion of less-pigmented melanocytes was also increased and sustained for
a longer duration in the presence of ADSCs. Our data show that co-culturing with
ADSCs results in increased melanocyte proliferation and migration while reducing
differentiation, and could provide a means to treat disorders such as vitiligo.
PMID- 21879249
TI - Oral lesion in leprosy: borderline tuberculoid diagnosis based on detection of
Mycobacterium leprae DNA by qPCR.
AB - Oral lesions are rarely reported in paucibacillary forms of leprosy. We report
here a case with an erythematous hyposensitive lesion in the palate and no skin
lesions. In addition to routine tests, biopsies of the lesion in the palate and
of clinically normal surrounding areas were performed and subjected to real-time
PCR for detection of Mycobacterium leprae DNA. The biopsy of the oral lesion was
positive for bacilli DNA, followed by positive serum anti-PGL-1 and Mitsuda test,
but with negative histopathology. The patient was diagnosed with a borderline
tuberculoid form. After multidrug therapy the lesion had significantly regressed
and the bacilli DNA detection in the former lesion was negative. The bacilli DNA
detection in an oral lesion by real-time PCR not only improved leprosy diagnosis,
but also helped in the classification of clinical form, and in the establishment
of the appropriate therapeutic regime.
PMID- 21879250
TI - Teledermatological monitoring of psoriasis patients on biologic therapy.
AB - Patients with psoriasis who are being treated with biologics require intensive
monitoring. However, the monitoring tool teledermatology is not commonly used. We
investigated the applicability of a mobile phone based teledermatological system
for monitoring psoriasis patients on biologic therapy. Nineteen patients were
given mobile phones with built-in cameras, in order to transmit health status
data and images (mobile visits) weekly for a 6-month period. Face-to-face visits
were carried out at weeks 0, 4, 12 and 24. Image quality, the Psoriasis Area and
Severity Index (PASI), the handling of adverse events, and patients' feedback
questionnaires were evaluated. Ninety-five percent of the images were of
sufficient quality to enable accurate assessment of the PASI. The distance
between the interpolated face-to-face PASIs and the corresponding mobile visit
PASIs was 0.46 +/- 2.15 (median +/- interquartile range). All 155 adverse events
were handled correctly by the system. This teledermatological system represents a
reliable tool for management of psoriasis patients who are on systemic treatment.
PMID- 21879251
TI - Bosentan is effective against digital ulcerations and hyperkeratosis in systemic
sclerosis.
PMID- 21879252
TI - The potential of mouse skin-derived precursors to differentiate into mesenchymal
and neural lineages and their application to osteogenic induction in vivo.
AB - Although previous studies indicate that skin-derived precursors (SKPs) are
multipotent dermal precursors that share similarities with neural crest stem
cells (NCSCs), a shared ability for multilineage differentiation toward neural
crest lineages between SKPs and NCSCs has not been fully demonstrated. Here, we
report the derivation of SKPs from adult mouse skin and their directed
multilineage differentiation toward neural crest lineages. Under controlled in
vitro conditions, mouse SKPs were propagated and directed toward peripheral
nervous system lineages such as peripheral neurons and Schwann cells, and
mesenchymal lineages, such as osteogenic, chondrogenic, adipogenic, and smooth
muscle cells. To ask if SKPs could generate these same lineages in vivo, a
mixture of SKP-derived mesenchymal stem cells and hydroxyapatite/tricalcium
phosphate was transplanted into the rat calvarial defects. Over the ensuing 4
weeks, we observed formation of osteogenic structure in the calvarial defect
without any evidence of teratomas. These findings demonstrate the multipotency of
adult mouse SKPs to differentiate into neural crest lineages. In addition, SKP
derived mesenchymal stem cells represent an accessible, potentially autologous
source of precursor cells for tissue-engineered bone repair.
PMID- 21879253
TI - Response to low-dose X-irradiation is p53-dependent in a papillary thyroid
carcinoma model system.
AB - The link between high doses of radiation and thyroid cancer has been well
established in various studies, as opposed to the effects of low doses. In this
study, we investi-gated the effects of low-dose X-ray irradiation in a papillary
thyroid carcinoma model with wild-type and mutated p53. A low dose of 62.5 mGy
was enough to cause an upregulation of p16 and a decrease in the number of TPC-1
cells in the S phase, but not in the number of BCPAP p53-mutant cells. At a dose
of 0.5 Gy, visible signs of senescence appeared only in the TPC-1 cells. We
conclude that low doses of X-rays are enough to cause a change in cell cycle
distribution, possibly p53-dependent p16 activation, but no significant
apoptosis. Senescence requires higher doses of X-irradiation via a mechanism
involving both p16 and p21.
PMID- 21879254
TI - Altered intestinal epithelium-associated lymphocyte repertoires and function in
ApcMin/+ mice.
AB - ApcMin/+ mice spontaneously develop multiple intestinal adenomas along the length
of the small intestine and colon. Currently little is known about the role of the
immune system in regulating intestinal tumorigenesis in these animals. This study
characterised small intestinal intraepithelial lympho-- cyte (IEL) populations in
C56BL/6J ApcMin/+ mice and wild-type (Apc+/+) mice. We also determined the effect
that T cells expressing either gammadelta or alphabeta encoded T cell receptors
(TcR) exert on intestinal tumorigenesis. ApcMin/+ mice had significantly lower
numbers of CD3+ IELs compared with Apc+/+ littermates and displayed reduced
cytotoxicity against tumour target cells. Further analysis of IEL cytotoxicity
revealed differences in the cytotoxic pathways utilised by IELs in ApcMin/+ and
Apc+/+ mice with ApcMin/+ IELs displaying an absence of perforin/granzyme
mediated killing and increased levels of Fas-FasL-mediated cytotoxicity compared
with wild-type IELs. Analysis of ApcMin/+ mice crossed with alphabeta T-cell
deficient (TcRbeta-/-) or gammadelta T-cell deficient (TcRdelta-/-) mice on the
same genetic background revealed decreased tumour multiplicity in the absence of
both alphabeta and gammadelta T-cells. This study demonstrates that altered T
cell subsets play important roles in promoting tumorigenesis in ApcMin/+ mice and
forms the basis for future mechanistic studies.
PMID- 21879255
TI - The effect of a dimeric Affibody molecule (ZEGFR:1907)2 targeting EGFR in
combination with radiation in colon cancer cell lines.
AB - The epidermal growth factor receptor (EGFR) is frequently overexpressed in
colorectal cancer and is therefore an attractive target for treatment.
(ZEGFR:1907)2 is a newly developed dimeric affibody molecule with high affinity
to the extracellular part of EGFR. In this study, we evaluated the cytotoxic
effects of (ZEGFR:1907)2 in combination with external radiation and the possible
inhibitory effects in the EGFR signalling pathways in the colon cancer cell lines
HT-29 and HCT116. The effects were compared with an EGFR antibody (cetuximab) and
the tyrosine kinase inhibitors (erlotinib and sunitinib). These cell lines are
genotypically different with respect to e.g. KRAS and BRAF mutational status,
recently shown to be of clinical significance for therapeutic effects. Both cell
lines express approximately 100,000-150,000 EGFRs per cell but differ in the
radiation response (HCT116, SF2=0.28 and HT-29, SF2=0.70). Exposure to
(ZEGFR:1907)2 produced a small, but significant, reduction in survival in HCT116
but did not affect HT-29 cells. Similar results were obtained after exposure to
EGF and the EGFR antibody cetuximab. The EGFR tyrosine kinase targeting inhibitor
erlotinib and the multi-tyrosine kinase inhibitor sunitinib reduced survival in
both cell lines. However, none of the drugs had any significant radiosensitizing
effects in combination with radiation. Akt and Erk are central proteins in the
EGFR downstream signalling and in the cellular response to ionizing radiation.
The activation of Akt (Ser 473) and Erk (Thr202/Tyr204) by radiation was both
dose- and time-dependent. However the activation of EGFR was not clearly affected
by radiation. Neither (ZEGFR:1907)2 nor any of the other drugs were able to
completely inactivate Akt or Erk. On the contrary, erlotinib stimulated Akt
phosphorylation in both cell lines and in HCT116 cells Erk was activated. Overall
the results illustrate the complexity in response to radiation and drugs in cells
with differential phenotypic status.
PMID- 21879256
TI - State of heat shock factor 1 expression as a putative diagnostic marker for oral
squamous cell carcinoma.
AB - Heat shock factor 1 (HSF1) is responsible for expres-- sion of a large class of
heat shock proteins that have been implicated in the malignant phenotype of human
cancers. Little is known about the effect of a high level of HSF1 on the behavior
of oral squamous cell carcinoma (OSCC). In this study, we assessed the value of
HSF1 for predicting clinical outcomes in OSCC. Quantitative reverse transcriptase
polymerase chain reaction and Western blotting showed that the expressions of
HSF1 mRNA and protein in OSCC-derived cell lines (HSC-2, HSC-3, HSC-4, Sa3, Ca9
22, KON and Ho-1-u-1) were elevated compared with those in human normal oral
keratinocytes (P<0.05). Similar to in vitro data, HSF1 mRNA expression in primary
OSCCs (n=50) was significantly greater than in normal counterparts (P<0.05).
Since HSF1 was observed in the nucleus and cytoplasm by immu-- nohistochemistry,
we investigated the correlation between the HSF1 expression status at each
subcellular location and the clinical behavior of OSCCs. Among the clinical
classifications, higher nuclear HSF1 expression was closely related to tumor size
and histopathologic types (P<0.05). These results showed for the first time that
nuclear HSF1 expression may contribute to cancer progression and that HSF1 might
be a potential diagnostic biomarker and a therapeutic target for OSCCs.
PMID- 21879257
TI - MicroRNA-125b-2 confers human glioblastoma stem cells resistance to temozolomide
through the mitochondrial pathway of apoptosis.
AB - MicroRNAs (miRNAs) are small, non-coding RNA molecules that regulate protein
expression by cleaving or repressing the translation of target mRNAs. miR-125b,
one of the neuronal miRNAs, was recently found to be necessary for stem cell
fission and for making stem cells insensitive to chemotherapy signals.
Temozolomide (TMZ) is a promising chemotherapeutic agent for treating
glioblastomas. However, resistance develops quickly and with a high frequency.
Given the insensitivity of some glioblastomas to TMZ and the hypothesis that
glioma stem cells cause resistance to drug therapy, exploring the functions and
mechanisms of miR-125b action on TMZ-treated glioblastoma stem cells would be
valuable. In this study, we found that miR-125b-2 is overexpressed in
glioblastoma multiforme tissues and the corresponding stem cells (GBMSC);
downregulation of miR-125b-2 expression in GBMSC could allow TMZ to induce GBMSC
apoptosis. Additionally, the expression of the anti-apoptotic protein Bcl-2 was
decreased after the TMZ+miR-125b-2 inhibitor treatment, while the expression of
the proapoptotic protein Bax was increased. Further research demonstrated that
the induction of apoptosis in GBMSC is also associated with increased cytochrome
c release from mitochondria, induction of Apaf-1, activation of caspase-3 and
poly-ADP-ribose polymerase (PARP). Taken together, these results suggest that miR
125b-2 overexpression might confer glioblastoma stem cells resistance to TMZ.
PMID- 21879258
TI - Dickkopf-3 maintains the PANC-1 human pancreatic tumor cells in a
dedifferentiated state.
AB - Pancreatic cancer (PaCa) is the fourth leading cause of cancer deaths in Western
societies, with pancreatic ductal adenocarcinomas (PDACs) accounting for >90% of
such cases. PDAC is a heterogeneous disease that includes a subset showing
overexpression of the secreted glycoprotein Dickkopf-related protein 3 (Dkk-3), a
protein shown to be downregulated in various cancers of different tissues. The
biological function of Dkk-3 in this subset was studied using the Dkk-3
expressing PANC-1 cell line as a model for PDACs. The influence of Dkk-3
overexpression and knockdown on cellular differentiation and proliferation of
PANC-1 was investigated. Confocal microscopy showed that Dkk-3 was expressed in a
fraction of PANC-1 cells. While lentiviral-mediated overexpression of DKK3 did
not alter cellular proliferation, knockdown of DKK3 resulted in significant
reduction of cellular proliferation and concomitant induction of cell cycle
inhibitors CDKN2B (p15INK4b), CDKN1A (p21CIP1) and CDKN1B (p27KIP1). In parallel,
pancreatic epithelial cell differentiation markers AMY2A, CELA1, CTRB1, GCG, GLB1
and INS were significantly upregulated. PANC-1 cells differentiated using exendin
4 showed analogous induction of cell cycle inhibitors and differentiation
markers. Thus, we conclude that Dkk-3 is required to maintain a highly
dedifferentiated and consequently proliferative state in PANC-1, indicating a
similar function in the Dkk-3 overexpressing subset of PDACs. Therefore, Dkk-3
represents a potential target for the treatment of Dkk-3-positive subtypes of
PaCa to drive cells into cell cycle arrest and differentiation.
PMID- 21879259
TI - Effect of human cytomegalovirus infection on the expression of Hoxb2 and Hoxb4
genes in the developmental process of cord blood erythroid progenitors.
AB - The aim of the present study was to investigate the role of Hoxb2 and Hoxb4 gene
expression induced by human cytomegalovirus (HCMV) and/or all-trans retinoic acid
(ATRA) on the proliferation and committed differentiation process of human cord
blood hematopoietic stem cells (HSCs) to colony-forming erythroid progenitor
cells (CFU-Es) in vitro. Cord blood was collected from the fetal placenta
umbilical vein in 12 cases and cultured using hematopoietic stem cell culture
technique in vitro. The proliferation and differentiation of cord blood HSCs to
CFU-Es were continuously disrupted with HCMV-AD169 and/or 6 x 10-8 mol/l of ATRA.
Expression levels of the Hoxb2 and Hoxb4 genes in the blank, ATRA, HCMV-AD169 and
ATRA + HCMV treatment groups of CFU-Es were detected on day 3, 7 and 10 of
culture by fluorescent quantitative reverse transcriptase-polymerase chain
reaction method. Hoxb2 and Hoxb4 gene expression in each group began on day 3,
obviously increased on day 7 and reached a peak on day 10. The expression levels
of the Hoxb2 and Hoxb4 genes in the HCMV group were obviously down-regulated
compared with the level in the blank group. However, expression levels of the
Hoxb2 and Hoxb4 genes were significantly up-regulated in the HCMV + ATRA group
compared with the HCMV group (P<0.05). Abnormal expression of the Hoxb2 and Hoxb4
genes induced by HCMV may play important roles in abnormal hematopoietic damage.
They were also correlated with the process of erythroid hematopoiesis. ATRA (6 x
10-8 mol/l) significantly up-regulated expression of the Hoxb2 and Hoxb4 genes in
the normal erythroid progenitor cells and in those cells infected with HCMV as
well.
PMID- 21879260
TI - Inhibition of cell motility and invasion by HangAmDan-B in NCI-H460 human non
small cell lung cancer cells.
AB - Correlation between inhibition of cell motility and anti-invasive activity by the
water extract of HangAmDan-B (HAD-B), a crude extract of eight Korean medicinal
animals and plants, in NCI-H460 human non-small cell lung cancer (NSCLC) cells
was investigated. Within the concentrations that were not cytotoxic, HAD-B
induced significant concentration-dependent inhibition of cell motility and
invasiveness of NCI-H460 cells. Treatment with HAD-B resulted in dose-dependent
inhibition of the activities of matrix metallo-proteinase (MMP)-2 and MMP-9, and
this was correlated with a decrease in expression of their mRNA and proteins, and
upregulation of tissue inhibitors of metalloproteinase (TIMP)-1 and TIMP-2
expression. Anti-invasive activity of HAD-B was also found to be associated with
increased tightness of the tight junction (TJ), as demonstrated by an increase in
transepithelial electrical resistance. In addition, the present results indicated
that treatment with HAD-B resulted in repression of the levels of claudin family
members, which are major components of TJs that play a key role in control and
selectivity of para-cellular transport. Although further studies are needed,
findings from the present study indicate that TJs and MMPs are critical targets
of HAD-B-induced anti-invasiveness in NCI-H460 NSCLC cells.
PMID- 21879261
TI - A randomized trial comparing postoperative adjuvant chemotherapy with cisplatin
and 5-fluorouracil versus preoperative chemotherapy for localized advanced
squamous cell carcinoma of the thoracic esophagus (JCOG9907).
AB - BACKGROUND: Patients with esophageal carcinoma receiving postoperative
chemotherapy showed superior disease-free survival than those receiving surgery
alone in a Japan Clinical Oncology Group trial (JCOG9204). The purpose of this
study was to evaluate optimal perioperative timing-that is, before or after
surgery-for providing chemotherapy in patients with locally advanced esophageal
squamous cell carcinoma. METHODS: Eligible patients with clinical stage II or
III, excluding T4, squamous cell carcinoma were randomized to undergo surgery
followed (group 1) or preceded (group 2) by chemotherapy consisting of two
courses of cisplatin plus 5-fluorouracil. The primary end point was progression
free survival. RESULTS: We randomized 330 patients, with 166 assigned to group 1
and 164 to group 2, between May 2000 and May 2006. The planned interim analysis
was conducted after completion of patient accrual. Progression-free survival did
not reach the stopping boundary, but overall survival in group 2 was superior to
that of group 1 (P = 0.01). Therefore, the Data and Safety Monitoring Committee
recommended early publication. Updated analyses showed the 5-year overall
survival to be 43% in group 1 and 55% in group 2 (hazard ratio 0.73, 95%
confidence interval 0.54-0.99, P = 0.04), where the median follow-up of censored
patients was 61.6 months. Concerning operative morbidity, renal dysfunction after
surgery in group 2 was slightly higher than in group 1. CONCLUSIONS: Preoperative
chemotherapy with cisplatin plus 5-fluorouracil can be regarded as standard
treatment for patients with stage II/III squamous cell carcinoma.
PMID- 21879262
TI - Patterns of recurrence after ablation of colorectal cancer liver metastases.
AB - PURPOSE: To determine the local recurrence rate and factors associated with
recurrence after intraoperative ablation of colorectal cancer liver metastases.
METHODS: A retrospective analysis of a prospectively maintained database was
performed for patients who underwent ablation of a hepatic colorectal cancer
metastasis in the operating room from April 1996 to March 2010. Kaplan-Meier
survival curves and Cox models were used to determine recurrence rates and assess
significance. RESULTS: Ablation was performed in 10% (n = 158 patients) of all
cases during the study period. Seventy-eight percent were performed in
conjunction with a liver resection. Of the 315 tumors ablated, most tumors were
<= 1 cm in maximum diameter (53%). Radiofrequency ablation was used to treat most
of the tumors (70%). Thirty-six tumors (11%) had local recurrence as part of
their recurrence pattern. Disease recurred in the liver or systemically after 212
tumors (67%) were ablated. On univariate analysis, tumor size greater than 1 cm
was associated with a significantly increased risk of local recurrence (hazard
ratio 2.3, 95% confidence interval 1.2-4.5, P = 0.013). The 2 year ablation zone
recurrence-free survival was 92% for tumors <= 1 cm compared to 81% for tumors >1
cm. On multivariate analysis, tumor size of >1 cm, lack of postoperative
chemotherapy, and use of cryotherapy were significantly associated with a higher
local recurrence rate. CONCLUSIONS: Intraoperative ablation appears to be highly
effective treatment for hepatic colorectal tumors <= 1 cm.
PMID- 21879263
TI - Expression of sodium-iodide symporter and TSH receptor in subclinical metastatic
lymph nodes of papillary thyroid microcarcinoma.
AB - BACKGROUND: The clinical significance of the subclinical lymph node (LN)
metastasis in clinically node-negative (cN0) papillary thyroid microcarcinoma
(PTMC) has been debated. We investigated the expression of sodium-iodide
symporter (NIS) and thyroid-stimulating hormone receptor (TSHR) in the
subclinical metastatic LNs of PTMC, which are crucial prerequisites for the
response to radioactive iodine treatment. METHODS: Among 149 consecutive patients
who received total thyroidectomy in conjunction with prophylactic central neck
dissection for cN0 PTMC from October 2005 to December 2007, 20 who had single
PTMC and subclinical LN metastasis (cN0, pN1, single PTMC) were included.
Immunohistochemical staining was performed with anti-human NIS antibody and anti
human TSHR antibody in 20 primary tumors and 52 metastatic LNs. RESULTS: NIS and
TSHR expression was detected in 19 (95%) and 18 (90%) of 20 PTMCs, respectively.
NIS and TSHR expression were also detected in 50 (96.2%) and 39 (75%) of 52
metastatic LNs, respectively. In 85% of patients, the presence of NIS expression
in primary PTMCs was concordant with that in corresponding metastatic LNs.
Intensities of NIS and TSHR expression were diverse. In 6 of 12 cases of multiple
metastatic LNs, the metastatic LNs showed heterogeneous intensities of NIS
expression. CONCLUSIONS: The presence of NIS and TSHR expression was observed
with high frequency in both PTMCs and corresponding subclinical metastatic LNs.
However, the intensity of NIS and TSHR expression was diverse. Multiple
metastatic LNs from single primary tumor focus could have heterogeneous intensity
of NIS expression.
PMID- 21879264
TI - Presurgical localization of parathyroid adenomas with magnetic resonance imaging
at 3.0 T: an adjunct method to supplement traditional imaging.
AB - PURPOSE: To investigate the use of a chemical shift-based water-fat separation
magnetic resonance imaging (MRI) method, and time-resolved contrast-enhanced MRI
at 3 T for improved presurgical localization of parathyroid adenomas. METHODS:
Twenty-five patients with primary hyperparathyroidism were prospectively
enrolled. Patients underwent MRI, which was reviewed by two experienced
neuroradiologists who were blinded to Tc-99m sestamibi imaging and operative
results. RESULTS: Overall, MRI detected 16 adenomas in 25 patients (sensitivity
64%, positive predictive value 67%), while sestamibi detected 18 of 25 adenomas
(sensitivity 72%, positive predictive value 90%). Importantly, MRI was able to
detect adenomas in four (57%) of the seven patients whose disease was missed by
sestamibi analysis. MRI demonstrated excellent image quality and fat suppression
by using a chemical shift-based water-fat separation technique. The time-resolved
MRI was considered to be less helpful, although in some cases it was
indispensable. CONCLUSIONS: MRI is an excellent adjunct for preoperative
parathyroid localization. The advent of improved fat suppression techniques in
the neck, including chemical shift-based water-fat separation, is critical to its
utility. Although time-resolved MRI was not always helpful, it was crucial in
certain cases. It may prove to be more useful with the development of faster
scanning techniques.
PMID- 21879265
TI - Postoperative complications deteriorate long-term outcome in pancreatic cancer
patients.
AB - BACKGROUND: Different tumor-specific prognostic factors have been identified in
recent years for patients who undergo surgery due to pancreatic head cancer, but
the results often were inconsistent. Furthermore, the impact of postoperative
complications on patient long-term survival has not been described. METHODS: The
long-term outcome of 428 patients who underwent resection of pancreatic head
cancer at our center during a 17-year period was evaluated. Perioperative
details, including postoperative complications, as well as the follow-up of all
patients who left the hospital postoperatively were collected in a prospective
database. Univariate and multivariate models were used to identify potential
prognostic factors and to evaluate the impact of postoperative complications on
long-term survival. RESULTS: The median survival was 15.5 months with a
postoperative complication rate (grade I-IV) of 32.7%. Independent prognostic
significance was detected for grading (P < 0.001), R status (P = 0.001), and
lymph node status (P = 0.003). The occurrence of severe postoperative
complications (grade III-IV) was associated with a significantly shortened
survival (16.5 vs. 12.4 months; P = 0.002) and was identified as an independent
prognostic factor (P = 0.002). CONCLUSIONS: This large study demonstrates that
severe postoperative complications have a strong impact on the long-term survival
of patients with pancreatic head cancer comparable to tumor characteristics, such
as lymph node status, grading, or R status. As a result, the improvement of
surgical procedures in specialized centers might lead to a survival benefit in
these patients.
PMID- 21879266
TI - Increased CD13 expression reduces reactive oxygen species, promoting survival of
liver cancer stem cells via an epithelial-mesenchymal transition-like phenomenon.
AB - BACKGROUND: Recently, it has been reported that a small population of cancer stem
cells (CSCs) play a role in resistance to chemotherapy and radiation therapy. We
reported that CD13(+) liver CSCs survive in hypoxic lesions after chemotherapy,
presumably through increased expression of CD13/Aminopeptidase N, which is a
scavenger enzyme in the reactive oxygen species (ROS) metabolic pathway. On the
other hand, the concept of epithelial-mesenchymal transition (EMT) was indicated
by a recent study showing an increased plasticity linked to the cellular
"stemness" of CSCs. METHODS: To study the relationship between CSCs and EMT, we
examined biological characteristics of liver cancer cell lines with EMT by
exposing transforming growth factor-beta (TGF-beta). RESULTS: We showed that a
TGF-beta-induced EMT-like phenomenon is associated with increased CD13 expression
in liver cancer cells. This phenomenon prevents further increases in the ROS
level as well as the induction of apoptosis, promoting the survival of CD13(+)
CSCs, whereas inhibition of CD13 stimulates apoptosis. Immunohistochemical
analysis also indicated that after chemotherapy, CD13 was coexpressed with N
cadherin in surviving cancer cells within fibrous capsules. We have demonstrated
that CD13 expression plays a role in supporting the survival of CSCs and that
there is an EMT-associated reduction in ROS elevation. CONCLUSIONS: This novel
and consistent linkage between functional CSC markers and the EMT phenomenon
suggests a bona fide candidate for targeted therapy for EMT-mediated invasion and
metastasis of liver cancer.
PMID- 21879267
TI - Behavior of serous borderline ovarian tumors with and without micropapillary
patterns: results of a French multicenter study.
AB - BACKGROUND: Behavior of serous borderline ovarian tumors with micropapillary
patterns (MP-SBOT) is thought to be worse than those without micropapillary
patterns, but few cohort studies have compared epidemiological characteristics,
surgical management, and recurrence rates between these two groups. METHODS: In a
French retrospective multicenter study of 475 borderline ovarian tumors (BOT)
treated from 1990 to 2009, we studied patients with a serous BOT and treated
after 2000 including 20 patients with and 77 patients without micropapillary
patterns. RESULTS: Patients with MP-SBOT were younger (P = 0.01), often
asymptomatic (P = 0.04), and with abnormal CA 125 serum levels (P = 0.04).
Peritoneal implants were more frequently observed in these patients (P = 0.01);
also, they underwent conservative treatment more frequently (P = 0.002), had a
higher risk of misdiagnosis with invasive carcinoma by intraoperative histology
(P < 0.05), and had more frequent restaging surgery (P = 0.001). No difference in
recurrence was noted between the groups. No disease-related mortality was
observed. CONCLUSIONS: Patients with MP-SBOT represent a heterogeneous population
in terms of presence of invasive peritoneal implants. Conservative surgery could
be a suitable option for MP-SBOT patients without implants and who wish to
conserve childbearing potential, without increasing the risk of recurrence.
PMID- 21879268
TI - Is intraoperative imprint cytology evaluation still feasible for the evaluation
of sentinel lymph nodes for lobular carcinoma of the breast?
AB - BACKGROUND: The evaluation of sentinel lymph nodes (SLNs) from a patient with
lobular breast cancer is challenging. Metastatic lobular cancer is difficult to
identify in SLNs because of its low-grade cytomorphology and its tendency to
resemble lymphocytes. Intraoperative imprint cytology (IIC) is a rapid, reliable
method for evaluating SLNs intraoperatively. We sought to reexamine our
experience with this technique in the identification of invasive lobular breast
cancer SLN metastases. METHODS: A retrospective review of a prospectively
maintained database of IIC results of 1010 SLN mapping procedures for breast
cancer was performed. From this cohort we reviewed SLN cases of lobular cancer.
The SLNs were evaluated intraoperatively by bisecting the SLN. Imprints were made
of each cut surface and stained with hematoxylin and eosin (H&E) and Diff-Quik.
Permanent sections were evaluated with up to 4 H&E-stained levels and cytokeratin
immunohistochemistry. IIC results were compared with final pathologic results.
RESULTS: A total of 67 cases of pure invasive lobular cancer were identified. The
sensitivity was 71%, specificity was 100%, and accuracy was 92%. No statistically
significant differences in sensitivity, specificity, or accuracy were identified
between the intraoperative detection of lobular carcinoma vs ductal carcinoma.
The specificity has remained the same since 2004. However the accuracy (82% vs
92%; P = .09) and sensitivity (52% vs 71%; P = .02) has improved since 2004.
CONCLUSIONS: As we have previously shown, the sensitivity and specificity of IIC
in evaluating lobular carcinoma is feasible and accurate. IIC continues to be a
viable alternative to frozen section for intraoperative evaluation.
PMID- 21879270
TI - Prognostic value of basal phenotype in HER2-overexpressing breast cancer.
AB - BACKGROUND: Primary breast cancers that overexpress human epidermal growth factor
receptor 2 have variable biological features and clinical outcomes. A subgroup of
HER2-overexpressing tumors that express basal-like immunohistochemical markers
the so-called basal-HER2+ subtype--is associated with poor prognosis. We
investigated the clinical relevance of this basal-HER2+ subtype within HER2
overexpressing breast tumors. METHODS: Database review identified consecutive
patients with HER2-overexpressing breast cancer. Archival tumor specimens from
these patients were immunostained for estrogen receptor (ER), HER2, and basal
cytokeratin (CK) expression, then subtyped as luminal-HER2+ (ER positive and
basal CK negative), HER2+ (ER negative and basal CK negative), and basal-HER2+
(ER negative and basal CK positive). Subtypes were correlated with
clinicopathologic features and overall survival. RESULTS: Immunohistochemical
assessment of 131 HER2-overexpressing breast tumors identified 79 (60%) luminal
HER2+ tumors, 40 (31%) HER2+ tumors, and 12 (9%) basal-HER2+ tumors. There was no
difference in the use of adjuvant trastuzumab and chemotherapy among patients
with these subtypes. Five-year overall survival was 65% for patients with basal
HER2+ tumors versus 94% (P = 0.0035) and 96% (P = 0.0031) for patients with
luminal-HER2+ and HER2+ tumors, respectively. The basal-HER2+ subtype was
associated with the worst prognosis after adjusting for age, tumor size, lymph
node status, and adjuvant treatment (hazard ratio 5.06, 95% confidence interval
1.1-23.2, P = 0.037). CONCLUSIONS: The basal-HER2+ subtype highlights the
heterogeneous biology of HER2-overexpressing breast cancer. The basal-HER2+
subtype is independently associated with poor survival and may provide insight
into breast cancer cell response to anti-HER2 therapy.
PMID- 21879269
TI - Is the 1-cm rule of distal bowel resection margin in rectal cancer based on
clinical evidence? A systematic review.
AB - BACKGROUND: Distal intramural spread is present within 1 cm from visible tumor in
a substantial proportion of patients. Therefore, >= 1 cm of distal bowel
clearance is recommended as minimally acceptable. However, clinical results are
contradictory in answering the question of whether this rule is valid. The aim of
this review was to evaluate whether in patients undergoing anterior resection, a
distal bowel gross margin of <1 cm jeopardizes oncologic safety. METHODS: A
systematic review of the literature identified 17 studies showing results in
relation to margins of approximately <1 cm (948 patients) versus >1 cm (4626
patients); five studies in relation to a margin of <= 5 mm (173 patients) versus
>5 mm (1277 patients), and five studies showing results in a margin of <= 2 mm
(73 patients). In most studies, pre- or postoperative radiation was provided.
RESULTS: A multifactorial process was identified resulting in selection of
favorable tumors for anterior resection with the short bowel margin and
unfavorable tumors for abdominoperineal resection or for anterior resection with
the long margin. In total, the local recurrence rate was 1.0% higher in the <1-cm
margin group compared to the >1-cm margin group (95% confidence interval [CI]
0.6 to 2.7; P = 0.175). The corresponding figures for <= 5 mm cutoff point were
1.7% (95% CI -1.9 to 5.3; P = 0.375). The pooled local recurrence rate in
patients having <= 2 mm margin was 2.7% (95% CI 0 to 6.4). CONCLUSIONS: In the
selected group of patients, <1 cm margin did not jeopardize oncologic safety.
PMID- 21879271
TI - The additional value of lymphatic mapping with routine SPECT/CT in unselected
patients with clinically localized melanoma.
AB - PURPOSE: To investigate whether single photon emission computed tomography camera
with integrated radiographic computed tomography (SPECT/CT) is of additional
value compared to conventional lymphoscintigraphy in routine lymphatic mapping in
patients with melanoma. METHODS: Thirty-five unselected patients with a primary
melanoma who were scheduled for wide local excision and sentinel node biopsy
underwent conventional lymphoscintigraphy and subsequently SPECT/CT. We
determined whether SPECT/CT showed additional sentinel nodes, whether it provided
better information on the location of the sentinel nodes, and whether this
additional anatomic information led to a change in the planned surgical approach.
RESULTS: SPECT/CT depicted the same 69 sentinel nodes as conventional
lymphoscintigraphy in all 35 patients plus found eight additional sentinel nodes
in seven patients (20%). In two of these patients (5.7%), an additional nodal
basin had to be explored to find the extra sentinel nodes. SPECT/CT provided
additional anatomic information that was helpful to the surgeon in 11 patients
(31%) and led to an adjustment of the surgical approach in 10 patients (29%).
CONCLUSIONS: SPECT/CT provided relevant additional information in 16 (46%) of the
35 patients. Routine use of SPECT/CT in addition to conventional
lymphoscintigraphy is recommended in melanoma patients undergoing lymphatic
mapping.
PMID- 21879272
TI - 20 years experience of TNF-based isolated limb perfusion for in-transit melanoma
metastases: TNF dose matters.
AB - BACKGROUND: Approximately 5-8% of melanoma patients will develop in-transit
metastases (IT-mets). Tumor necrosis factor-alpha (TNF) and melphalan-based
isolated limb perfusion (TM-ILP) is an attractive treatment modality in melanoma
patients with multiple IT-mets. This study reports on a 20 years experience and
outlines the evolution and major changes since the introduction of TNF in ILP.
METHODS: A total of 167 TM-ILPs were performed in 148 patients, between 1991 and
2009. TM-ILPs were performed at high doses of TNF (3-4 mg) from 1991 to 2004 (n =
99) and at low doses of TNF (1-2 mg) from 2004 to 2009 (n = 68) under mild
hyperthermic conditions (38 degrees C-39.5 degrees C.). Melphalan doses were
unchanged at 10-13 mg/l (leg and arm, respectively). Characteristics for the 167
ILPs were: 81 stage IIIB, 65 stage IIIC, and 21 stage IV disease. RESULTS: The
overall response rate was 89% (n = 148). (Complete response [CR] = 61%; partial
response [PR] = 28%). CR rates correlated with stage (P = .001) and with high
dose vs. low-dose TNF (70% vs. 49%; P < .006). High-dose TNF prolonged local
control (median 16 months vs. 11 months; P = .076). Survival was not influenced
by TNF dose. CR after ILP and number of lesions also correlated with local
progression-free interval. Overall survival did correlate with stage of disease
(P < .001), size of the lesions (P = .001), and a CR (P < .001). CONCLUSIONS:
This 2-decade single-center experience demonstrates that TM-ILP is a safe and
effective treatment modality for melanoma patients with multiple IT-mets. Higher
dose of TNF was associated with significantly higher CR rates and prolonged local
control without an effect on overall survival.
PMID- 21879274
TI - Melanoma in the older patient: measuring frailty as an index of survival.
PMID- 21879273
TI - Intercellular adhesion molecule-1 (ICAM-1) is upregulated in aggressive papillary
thyroid carcinoma.
AB - BACKGROUND: Intercellular adhesion molecule-1 (ICAM-1) is implicated in
carcinogenesis. In this study we examined the expression of ICAM-1 in papillary
thyroid cancer (PTC). We hypothesized that ICAM-1 correlates with indicators of
tumor aggressiveness in PTC. METHODS: Thirty-five primary and metastatic PTCs,
five follicular adenomas, five Hashimoto thyroiditis, five nodular hyperplasia,
and eight normal thyroid tissue samples were analyzed for ICAM-1 gene expression
using quantitative reverse-transcription polymerase chain reaction (RT-PCR). ICAM
1 gene expression was analyzed at protein level by immunohistochemistry (IHC)
using a semiquantitative score. Gene expression and intensity levels were
correlated with markers of tumor aggressiveness including BRAF V600E mutation,
tumor size, extrathyroidal extension (ETE), angiolymphatic invasion, and lymph
node metastasis. RESULTS: ICAM-1 gene expression was higher in PTC (p = 0.01) and
lymph node metastases (p = 0.03) when compared with benign tumors and
Hashimoto's. Furthermore, PTCs exhibiting BRAF V600E mutation (p = 0.01), ETE (p
< 0.01), and lymph node metastasis (p = 0.02) were associated with higher ICAM-1
levels. Gene expression correlated with protein levels on IHC. Additionally,
poorly differentiated thyroid carcinoma had a higher ICAM-1 intensity score
compared with well-differentiated carcinoma (p = 0.03). CONCLUSIONS: ICAM-1
expression is upregulated in papillary thyroid carcinoma. Furthermore, ICAM-1
upregulation correlated with aggressive tumor features such as BRAF V600E
mutation, ETE, and lymph node metastasis, suggesting that ICAM-1 plays a role in
thyroid cancer progression.
PMID- 21879275
TI - Screening for Lynch syndrome in colorectal cancer: are we doing enough?
AB - PURPOSE: The purpose of this study was to assess the efficacy of screening for
the detection of Lynch syndrome (LS) in an unselected population undergoing
surgery for a colorectal cancer. METHODS: A total of 1,040 patients were
prospectively included between 2005 and 2009. LS screening modalities included
the Bethesda criteria, immunochemistry (IHC) for MLH1, MSH2, and MSH6, and
microsatellite instability (MSI) by using pentaplex markers. Promoter methylation
was assessed in tumors with a loss of MLH1 expression. Gene sequencing was
offered to patients with abnormal IHC or MSI status without promoter methylation.
RESULTS: A total of 105 patients had an abnormal result: 102 (9.8%) exhibited a
loss of protein on IHC and 98 (9.4%) had MSI. A discordant result was observed in
10 patients with eventual proven LS in 6 patients. Loss of MLH1 (n = 64) was due
to promoter methylation in 43 patients (67.2%). Overall, of 62 patients with an
abnormal result, 38 had genetic sequencing leading to 25 (65.8%) identified with
a germ-line mutation. Loss of MSH2 on IHC was associated with a mutation in 78.3%
(18 of 23) of cases. Among the 62 patients with abnormal results, 23 (37.1%) did
not meet the Bethesda criteria. CONCLUSIONS: Strict application of the Bethesda
criteria does not lead to identification of all patients with LS. IHC and MSI
testing are complementary methods and should be used in association to identify
potential LS patients.
PMID- 21879276
TI - Long-term outcomes after resection versus transplantation for hepatocellular
carcinoma within UCSF criteria.
AB - PURPOSE: We compared the long-term outcomes of resection and transplantation for
hepatocellular carcinoma (HCC) while satisfying the University of California at
San Francisco criteria. METHODS: HCC patients who underwent liver resection (n =
746) and transplantation (n = 54) between 2001 and 2007 were reviewed. Overall
and disease-free survival rates were evaluated using the Kaplan-Meier estimator,
and independent prognostic factors were determined using the Cox proportional
regression model. The presence of cirrhosis was used to divide the patients into
groups. The patients who received primary transplantation were further analyzed.
RESULTS: Nine years after surgery, the patients' overall survival was similar in
the resection and transplantation groups (75.9 and 77.2%, respectively).
Furthermore, the recurrence rate in the resection group was higher than that in
the transplantation group (65 vs. 34.4%; adjusted hazard ratio, 3.27; range, 1.76
6.08), especially for cirrhosis patients (adjusted hazard ratio, 4.28; range,
2.14-8.56). The results suggested that noncirrhotic patients who underwent
resection had a better survival advantage than primary liver transplant
recipients did (adjusted hazard ratio, 0.46; range, 0.18-1.21). However,
noncirrhotic patients had higher recurrence rates (59.2 vs. 15.8%; adjusted
hazard ratio, 3.98; range, 1.26-12.58). Similar trends were noted in patients
with hepatitis B virus infection and/or a single tumor. CONCLUSIONS: Long-term
survival rates after liver transplantation and resection were similar, but the
latter was associated with a higher recurrence rate.
PMID- 21879277
TI - Different characteristics of thymomas with and without myasthenia gravis.
AB - BACKGROUND: The objective of this study was to evaluate the different
pathological and clinical characteristics of thymomas with and without myasthenia
gravis (MG) and to determine whether the presence of MG influences the prognosis
in thymoma patients. METHODS: We retrospectively studied data from 228
consecutive patients operated on from 1992 to 2007 in Beijing Tongren Hospital.
These thymoma patients have been subdivided into two groups: group A with MG (n =
125) and group B without MG (n = 103). RESULTS: There were no perioperative
deaths. There were 19 inoperable cases (6 in the group with MG, 13 without MG, P
= 0.035). The proportions of types A, AB, B1, B2, B3, and C thymoma in this data
were 0, 22.4, 26.4, 30.4, 20.8, and 0%, respectively, in the group with MG, and
10.5, 13.4, 23.6, 24.5, 16.4, and 11.6%, respectively in the group without MG.
There was a significant difference between hyperplastic paraneoplastic thymus
coexisting in 28.8% patients with MG and only 5.8% in patients without MG.
Microthymoma was identified in the paraneoplastic thymus of three patients with
MG. There were 198 patients followed up. There was no recurrence in patients with
type A, and a few patients with types AB, B1, B2, B3, and C recurred. The
actuarial 5- and 10-year survival rates were 89.3 and 81.2% for patients with MG,
respectively, and 90 and 78.9% for patients without MG, respectively. Within 5
years postoperatively, 6 of 9 patients with MG died of myasthenia crisis, while 6
of 7 deaths in patients without MG were attributable to inoperable tumors (stage
IV) and type C thymoma. CONCLUSIONS: MG seldom occurs in types A and C thymoma.
MG of some thymoma patients was not caused by thymoma, but by the paraneoplastic
thymus. The prognosis of thymomas with MG is similar to that without MG. The main
cause of death is myasthenia crisis for thymoma patients with MG and stage IV
and/or type C for thymoma patients without MG.
PMID- 21879279
TI - Twelve-month persistency with oral 5-aminosalicylic acid therapy for ulcerative
colitis: results from a large pharmacy prescriptions database.
AB - BACKGROUND: Patients receiving 5-aminosalicylic acid (5-ASA) require long-term
therapy to achieve good outcomes. Persistency (duration of time from initiation
to discontinuation of therapy) is therefore an important consideration. AIM: To
evaluate persistency in patients receiving various oral 5-ASA formulations.
METHODS: This retrospective, 12-month, cohort study examined new-starter patients
(any age and diagnosis) from a large United States pharmacy database who filled a
prescription for oral 5-ASA [Lialda((r)), Asacol((r)), Pentasa((r)) 250 or 500
mg, balsalazide (generic and Colazal((r))), and olsalazine (Dipentum((r)))]
between March and September 2007. Persistency was evaluated monthly on the basis
of prescription refill rates. RESULTS: Prescription and refill records were
identified for 44,191 patients receiving oral 5-ASA. After 1 year, 20% of
patients receiving Lialda were considered persistent and classified as continuing
(refilling within a timeframe of up to twice the duration of the prescription),
compared with 9% receiving Asacol, 7 (250 mg) and 10% (500 mg) receiving Pentasa,
10% receiving balsalazide, and 10% receiving Dipentum. CONCLUSIONS: Overall
persistency with oral 5-ASA therapy was low. However, patients receiving once
daily Lialda had significantly higher persistency after 1 year of treatment than
patients receiving other oral 5-ASA therapies.
PMID- 21879280
TI - Factors affecting the success of endoscopic bougia dilatation of radiation
induced esophageal stricture.
AB - AIMS: The purpose of this retrospective study was to assess clinical outcomes of
endoscopic bougie dilation of esophageal strictures after radiation therapy for
head and neck cancer, and to assess the risk factors which affect the treatment
success. METHODS: Thirty-one patients with esophageal stricture due to radiation
therapy were treated with endoscopic bougie dilation. The following parameters
were evaluated; age, gender, primary site of the tumor, initial treatment of the
tumor, prescribed dose of radiation, the time to onset of esophageal stricture
after radiation therapy, grade of esophageal stricture according to clinical and
endoscopic findings, number of dilatations, recurrence of esophageal stricture,
and the result of the therapy. RESULTS: The average follow-up was 26 months with
a range of 1-84 months. Successful endoscopic bougie dilation was achieved in 26
of 31 patients. The median time to onset of esophageal stricture after radiation
therapy was significantly shorter in patients who did not respond to endoscopic
bougie dilation. CONCLUSION: Endoscopic bougie dilation is a safe and effective
procedure for the management of radiation-induced esophageal stricture. Time to
onset of esophageal stricture is the most important factor for the treatment
success. In addition, the total prescribed dosage of radiation has minimal
effects on the result of endoscopic bougie dilation.
PMID- 21879281
TI - Endoscopic management of liver abscess with biliary communication.
AB - BACKGROUND AND STUDY AIMS: The formation of a communication between liver
abscesses and intrahepatic bile ducts is an uncommon cause of bile leak. The
surgical management of biliary fistulas is associated with high morbidity and
mortality. We performed a prospective study of the endoscopic management of liver
abscess communicating with bile ducts. PATIENTS AND METHODS: We studied 38
patients with liver abscesses that had ruptured into the intrahepatic bile ducts.
The presence of a biliary fistula was suspected by jaundice and/or by the
appearance of bile in percutaneous drainage effluent from a liver abscess and was
confirmed by endoscopic retrograde cholangiopancreatography (ERCP). Subsequently,
patients underwent treatment by endoscopic sphincterotomy and either biliary
stenting or nasobiliary drainage. Nasobiliary drains or biliary stents (both 7
Fr) were placed according to standard techniques. Nasobiliary drains were removed
when bile leakage stopped and closure of the fistula was confirmed by
cholangiography; stents were removed after an interval of 4-6 weeks. RESULTS: Of
the total of 586 patients with liver abscesses, seen over a 10-year period, there
were 38 (30 amebic, 8 pyogenic) patients who developed a biliary fistula between
the liver abscess cavity and the intrahepatic bile ducts (right intrahepatic bile
ducts in 30 patients, left intrahepatic bile ducts in 8 patients). We performed
either endoscopic sphincterotomy with insertion of a nasobiliary drain (n = 18)
or endoscopic sphincterotomy with biliary stenting (n = 20). The fistulas healed
in all patients after a median time of 6 days (range 4-40 days) after endoscopic
treatment. The nasobiliary drainage catheters and stents were removed after 8-40
days of their placement. CONCLUSIONS: Endoscopic therapy is an effective mode of
treatment for biliary fistulas complicating liver abscesses.
PMID- 21879282
TI - Clinical usefulness of endoscopic ultrasonography in the differential diagnosis
of gallbladder wall thickening.
AB - BACKGROUND AND AIMS: The differential diagnosis of gallbladder (GB) cancer from
inflammatory diseases in patients with a thickened GB wall is difficult, whereas
the pre-operative diagnosis of GB cancer is critical for selecting the
appropriate surgical modality. We evaluated the clinical usefulness of endoscopic
ultrasonography (EUS) for the differential diagnosis of GB wall thickening.
METHODS: The medical records, post-operative pathology reports, and images of EUS
for 134 patients with GB wall thickening (>3 mm) who underwent laparoscopic or
open cholecystectomies at our institution between December 2006 and February 2010
were retrospectively reviewed. RESULTS: Thirteen patients (9.7%) had neoplastic
GB wall thickening (11 with adenocarcinomas and two with adenosquamous
carcinomas) and the remaining 121 patients (90.3%) had non-neoplastic GB wall
thickening (117 with inflammatory GB wall thickening and four with
adenomyomatosis). The mean (+/- standard deviation [SD], mm) GB wall thickness
was 6.5 +/- 3.3 and 19.4 +/- 7.7 for non-neoplastic and neoplastic GB wall
thickening, respectively (P < 0.01). The EUS variables which had a statistically
significant association with neoplastic GB wall thickening were GB wall
thickening >10 mm, disruption of the normal two layers of the GB wall, hypoechoic
internal echogenecity, and the absence of gallstones. Based on the multivariate
analyses, GB wall thickening >10 mm and hypoechoic internal echogenecity were
independent predictive factors for neoplastic GB wall thickening. CONCLUSIONS:
The diagnostic power of EUS for differentiating neoplastic and non-neoplastic GB
wall thickening could be improved by the appropriate application of some EUS
variables.
PMID- 21879283
TI - Consensus interferon used to treat prior partial-responders to pegylated
interferon plus ribavirin.
AB - BACKGROUND: The response to pegylated interferon (peg-IFN) plus ribavirin therapy
remains less than ideal with 40-50% of treated subjects failing to clear the
virus. Moreover, retreatment is only minimally effective. Consensus interferon (c
IFN) has been shown to be efficacious in HCV genotype 1 patients who have failed
therapy with peg-IFN. AIM: To evaluated the response to re-treatment of peg-IFN
plus ribavirin partial-responders with c-IFN plus ribavirin. METHODS: Forty-two
subjects who had previously failed to clear virus after treatment with peg-IFN
plus ribavirin were treated with c-IFN (15 MUg/day) plus ribavirin (800-1,200
mg/day) until 12 months of therapy or a total of six consecutive months of PCR
negativity was achieved. RESULTS: The study population consisted predominantly of
males (71%), Caucasians (76%), with African Americans comprising the remaining
24%, subjects with HCV genotype 1 infection (81%) and 21% had cirrhosis by liver
biopsy. The overall SVR rate was 29%. The only pretreatment variable that
distinguished responders from partial-responders was the serum triglyceride
level. CONCLUSIONS: The use of c-IFN plus ribavirin in the retreatment of prior
peg-IFN plus ribavirin partial responders is essentially twice that achieved in
prior re-treatment regimens consisting of a second course of peg-IFN plus
ribavirin. These results will need to be evaluated against the use of triple
therapy consisting of a peg-IFN plus ribavirin and a protease inhibitor. More
studies utilizing c-IFN plus ribavirin with either a protease inhibitor or
polymerase inhibitor need to be performed as well.
PMID- 21879284
TI - Effects of RNAi-mediated matrix metalloproteinase-2 gene silencing on the
invasiveness and adhesion of esophageal carcinoma cells, KYSE150.
AB - BACKGROUND: Esophageal carcinoma is one of the main malignancies in China.
Previous studies indicated that matrix metalloproteinases (MMPs) play important
roles in the process of tumor invasion and metastasis in several types of solid
tumors. Among all of the MMPs, MMP-2 is one of the MMPs closely associated with
tumor invasion. In this study, we suppressed MMP-2 expression with RNA
interference and then observed inhibitory effects on the invasion and migration
of the esophageal carcinoma cell line KYSE150. METHODS: Three target sequences
were selected and siRNA against MMP-2 mRNA were synthesized. After being
transfected by the transfection complexes, the MMP-2 expression of KYSE150 cells,
which overexpresses MMP-2, were examined by Western blot analysis and real-time
polymerase chain reaction (PCR). Cell migration and invasion were measured with
migration assay and Boyden chamber assays, respectively. RESULTS: RNAi against
MMP-2 successfully inhibited the mRNA and protein expression of MMP-2 in the
esophageal carcinoma cell line KYSE150. MMP-2 knockdown inhibited the invasion
and migration of esophageal carcinoma cell line KYSE150. CONCLUSIONS: These
findings suggested that the RNAi approach towards MMP-2 may be a potentially
effective therapeutic method for the treatment of esophageal carcinoma.
PMID- 21879285
TI - Paternal occupational exposure to pesticides and risk of neuroblastoma among
children: a meta-analysis.
AB - OBJECTIVE: Epidemiological data relating paternal pesticide exposure in
occupational settings with higher risk of neuroblastoma are inconsistent. Since
most studies included a small number of cases, lack of power is a potential
reason for observed inconsistencies. We conducted a meta-analysis of published
studies that investigated the association of paternal occupational pesticide
exposure with childhood neuroblastoma. METHODS: We identified published studies
up to October 2009 on paternal pesticide exposure and neuroblastoma using online
databases and extracted relative risk estimates for the association. Summary
relative risk estimates were calculated using the fixed effects inverse variance
weighting method. To account for heterogeneity, we used Shore-corrected
confidence intervals and the random effects method. Subgroup analyses were
conducted according to study design, location, and exposure ascertainment method.
RESULTS: The meta-analysis included seven case-control and two cohort studies
with a combined total of 1,426 cases. Overall, between-study heterogeneity was
low (p = 0.46), and the fixed effects, random effects, and Shore-adjusted
confidence intervals were similar. The summary risk ratio was 1.07 (95% CI 0.79
1.46, two-sided p value = 0.65). Results of the subgroup meta-analyses were
robustly null. CONCLUSIONS: Findings from current published studies do not
support an association between paternal occupational pesticide exposure and
childhood neuroblastoma.
PMID- 21879286
TI - Higher DNA repair activity is related with longer replicative life span in
mammalian embryonic fibroblast cells.
AB - Since the detailed comparison of DNA repair activities among mammalian embryonic
fibroblast cells with different replicative life spans has not been investigated,
we tested DNA repair activities in embryonic fibroblast cells derived from
mammals including human, dog, rat, and mouse. The cell viability after treatment
of four DNA damage agents appeared to be decreased in the order of human
embryonic fibroblasts (HEFs) > dog embryonic fibroblasts (DEFs) > rat embryonic
fibroblasts (REFs) > mouse embryonic fibroblasts (MEFs) although statistical
significance was lacking. The amounts of strand breaks and AP
(apurinic/apyrimidinic) sites also appear to be decreased in the order of HEFs >
DEFs > REFs >= MEFs after treatment of DNA damage agents. The DNA repair
activities and rates including base excision repair (BER), nucleotide excision
repair (NER) and double-strand break repair (DSBR) including non-homologous end
joining (NHEJ) decreased again in the order of HEFs > DEFs > REFs >= MEFs. BER
and NHEJ activities in 3% O(2) also decreased in the order of HEFs > DEFs > REFs
> MEFs. This order in DNA repair activity appears to be coincident with that of
replicative life span of fibroblasts and that of life span of mammals. These
results indicate that higher DNA repair activity is related with longer
replicative life span in embryonic fibroblast cells.
PMID- 21879287
TI - B cell immunosenescence: different features of naive and memory B cells in
elderly.
AB - Elderly people show a reduced protection against new infections and a decreased
response to vaccines as a consequence of impairment of both cellular and humoral
immunity. In this paper we have studied memory/naive B cells in the elderly,
evaluating surface immunoglobulin expression, production of the pro- and anti
inflammatory cytokines, tumor necrosis factor (TNF)-alpha and interleukin (IL)
10, and presence of somatic hypermutation, focusing on the IgG(+)IgD(-)CD27(-)
double negative (DN) B cells that are expanded in the elderly. Our results show
that naive B cells from young donors need a sufficiently strong stimulus to be
activated "in vitro", while naive B cells from old subjects are able to produce
IL-10 and TNF-alpha when stimulated "physiologically" (alpha-CD40/IL-4),
suggesting that these cells might play a role in the control of the immuno
inflammatory environment in the elderly. In addition, in the elderly there is an
accumulation of DN B cells with a reduced rate of somatic hypermutation. Thus, DN
B lymphocytes may be exhausted cells that are expanded and accumulate as a by
product of persistent stimulation or impaired germinal center formation.
PMID- 21879288
TI - Vascular access in transcatheter aortic valve implantation.
AB - The positive early experiences with TAVI however, revealed that vascular access
remains a hindrance to broader application and success of the procedure. This
article will review the most common vascular routes used to deliver transcatheter
aortic valves, and describe a new technique via the right axillary/subclavian
artery approach.
PMID- 21879289
TI - Dysfunction of TGF-beta1 signaling in Alzheimer's disease: perspectives for
neuroprotection.
AB - Alzheimer's disease (AD) is a neurodegenerative disorder that affects about 35
million people worldwide. Current drugs for AD only treat the symptoms and do not
interfere with the underlying pathogenic mechanisms of the disease. AD is
characterized by the presence of beta-amyloid (Abeta) plaques, neurofibrillary
tangles, and neuronal loss. Identification of the molecular determinants
underlying Abeta-induced neurodegeneration is an essential step for the
development of disease-modifying drugs. Recently, an impairment of the
transforming growth factor-beta1 (TGF-beta1) signaling pathway has been
demonstrated to be specific to the AD brain and, particularly, to the early phase
of the disease. TGF-beta1 is a neurotrophic factor responsible for the initiation
and maintenance of neuronal differentiation and synaptic plasticity. The
deficiency of TGF-beta1 signaling is associated with Abeta pathology and
neurofibrillary tangle formation in AD animal models. Reduced TGF-beta1 signaling
seems to contribute both to microglial activation and to ectopic cell-cycle re
activation in neurons, two events that contribute to neurodegeneration in the AD
brain. The neuroprotective features of TGF-beta1 indicate the advantage of
rescuing TGF-beta1 signaling as a means to slow down the neurodegenerative
process in AD.
PMID- 21879290
TI - Wnt5a plays a crucial role in determining tooth size during murine tooth
development.
AB - We have previously demonstrated that tooth size is determined by dental
mesenchymal factors. Exogenous bone morphogenetic protein (BMP)4, Noggin,
fibroblast growth factor (FGF)3 and FGF10 have no effect on tooth size, despite
the expressions of Bmp2, Bmp4, Fgf3, Fgf10 and Lef1 in the dental mesenchyme.
Among the wingless (Wnt) genes that are differentially expressed during tooth
development, only Wnt5a is expressed in the dental mesenchyme. The aims of the
present study were to clarify the expression pattern of Wnt5a in developing tooth
germs and the role of Wnt5a in the regulation of tooth size by treatment with
exogenous WNT5A with/without an apoptosis inhibitor on in vitro tooth germs
combined with transplantation into kidney capsules. Wnt5a was intensely expressed
in both the dental epithelium and mesenchyme during embryonic days 14-17,
overlapping partly with the expressions of both Shh and Bmp4. Moreover, WNT5A
retarded the development of tooth germs by markedly inducing cell death in the
non-dental epithelium and mesenchyme but not widely in the dental region, where
the epithelial-mesenchymal gene interactions among Wnt5a, Fgf10, Bmp4 and Shh
might partly rescue the cells from death in the WNT5A-treated tooth germ.
Together, these results indicate that WNT5A-induced cell death inhibited the
overall development of the tooth germ, resulting in smaller teeth with blunter
cusps after tooth-germ transplantation. Thus, it is suggested that Wnt5a is
involved in regulating cell death in non-dental regions, while in the dental
region it acts as a regulator of other genes that rescue tooth germs from cell
death.
PMID- 21879291
TI - Moral learning in an integrated social and healthcare service network.
AB - The traditional organizational boundaries between healthcare, social work, police
and other non-profit organizations are fading and being replaced by new
relational patterns among a variety of disciplines. Professionals work from their
own history, role, values and relationships. It is often unclear who is
responsible for what because this new network structure requires rules and
procedures to be re-interpreted and re-negotiated. A new moral climate needs to
be developed, particularly in the early stages of integrated services. Who should
do what, with whom and why? Departing from a relational and hermeneutic
perspective, this article shows that professionals in integrated service networks
embark upon a moral learning process when starting to work together for the
client's benefit. In this context, instrumental ways of thinking about
responsibilities are actually counterproductive. Instead, professionals need to
find out who they are in relation to other professionals, what core values they
share and what responsibilities derive from these aspects. This article
demonstrates moral learning by examining the case of an integrated social service
network. The network's development and implementation were supported by
responsive evaluation, enriched by insights of care ethics and hermeneutic
ethics.
PMID- 21879292
TI - Sequential chemotherapy and myeloablative allogeneic hematopoietic stem cell
transplantation for refractory acute lymphoblastic leukemia.
AB - The prognosis of patients receiving allogeneic hematopoietic stem cell
transplantation (HSCT) for refractory acute lymphoblastic leukemia (ALL) is very
poor. To improve survival rates, we attempted to intensify the conditioning
regimen with daunorubicin, vincristine, prednisolone, medium-dose etoposide,
cyclophosphamide, and total body irradiation (DNR/VCR/PSL plus medium-dose
VP/CY/TBI). Four patients in relapse or induction failure of B-precursor ALL
without other complications underwent allogeneic HSCT. Initially, chemotherapy
comprising DNR 60 mg/m(2) for 3 days, VCR 1.4 mg/m(2) for 1 day, and PSL 60
mg/m(2) for 3 days was administered, which was followed by medium-dose VP/CY/TBI;
some modifications were made for individual patients. All patients achieved
engraftment and complete remission after HSCT. Regimen-related toxicities were
tolerable and no patient died within 100 days. Two patients were alive without
disease on days 563 and 1,055. The third patient relapsed on day 951, while the
fourth died on day 179 without disease. Our results indicate that intensified
myeloablative HSCT should be considered for patients with refractory ALL.
PMID- 21879294
TI - Adhesion and biofilm formation of Mycoplasma pneumoniae on an abiotic surface.
AB - We demonstrated that when M. pneumoniae was grown on an abiotic surface of either
glass or polystyrene with a serum-containing medium, the bacteria adhered to the
surface and formed highly differentiated volcano-like biofilm structures. As
adherence to the surface and/or biofilm formation was totally inhibited by anti
P1 polyclonal monospecific antibodies, we suggest that the adherence of M.
pneumoniae to the abiotic surface and/or biofilm formation is associated with P1,
the major tip organelle protein of this organism. Furthermore, adherence and/or
biofilm formation was markedly inhibited by treating the serum component of the
growth medium with neuraminidase or by growing the bacteria in the presence of
sialyllactose, suggesting that the initial step in the adherence to and/or
biofilm formation by M. pneumoniae on an abiotic surface is the interaction of
the bacterium through its tip organelle with sialic acid residues of serum
glycoproteins.
PMID- 21879293
TI - Methylation-specific ligation detection reaction (msLDR): a new approach for
multiplex evaluation of methylation patterns.
AB - A new sensitive method for multiplex gene-specific methylation analysis was
developed using a ligation-based approach combined with a TaqMan-based detection
and readout employing universal reporter probes. The approach, termed methylation
specific Ligation Detection Reaction (msLDR), was applied to test 16 loci in 8
different colorectal cancer cells in parallel. These loci encode immune
regulatory genes involved in T-cell and natural killer cell activation, whose
silencing is associated with the development or progression of colorectal cancer.
Parallel analysis of HLA-A, HLA-B, STAT1, B2M, LMP2, LMP7, PA28alpha, TAP1, TAP2,
TAPBP, ULBP2 and ULBP3 by msLDR in eight colorectal cancer cell lines showed
preferential methylation at the HLA-B, ULBP2 and ULBB3 loci, but not at the other
loci. MsLDR was found to represent a suitable and sensitive method for the
detection of distinct methylation patterns as validated by conventional
bisulphite Sanger sequencing and COBRA analysis. Since gene silencing by
epigenetic mechanisms plays a central role during transformation of a normal
differentiated somatic cell into a cancer cell, characterization of the gene
methylation status in tumours is a major topic not only in basic research, but
also in clinical diagnostics. Due to a very simple workflow, msLDR is likely to
be applicable to clinical samples and thus comprises a potential diagnostic tool
for clinical purposes.
PMID- 21879295
TI - Animal tumor models for PET in drug development.
AB - Positron emission tomography (PET) is being increasingly applied to animal tumor
models due to the need for proof-of-concept testing and preclinical efficacy
studies of anticancer agents. Regardless of the nature of an experiment,
investigators should carefully select a suitable animal tumor model as part of
the experimental design. This review introduces sources of information and the
guiding principles regarding applicability of various animal tumor models for PET
in anticancer agent development especially for small animals.
PMID- 21879296
TI - The value of 18F-FDG-PET/CT in the differential diagnosis of solitary pulmonary
nodules in areas with a high incidence of tuberculosis.
AB - OBJECTIVE: The purpose of this study was to evaluate (18)F-FDG-PET, PET/CT, and
CT in the diagnosis of benign or malignant solitary pulmonary nodules (SPNs) in
areas with a high incidence of TB. METHODS: Ninety-six patients with a SPN
smaller than 30 mm were studied prospectively. PET/CT images were obtained 60 min
after intravenous injection of (18)F-FDG. The data obtained for each patient were
analyzed and the accuracy, sensitivity, specificity, positive predictive value
(PPV), and negative predictive value (NPV) were calculated and compared using
chi(2) test. RESULTS: The sensitivity, specificity, accuracy, PPV, and NPV in the
diagnosis of SPN were 86.7, 72.2, 81.3, 83.9, and 76.5%, respectively, for CT and
88.3, 61.1, 79.1, 79.1, and 75.9%, respectively, for PET. Tuberculosis was
responsible for 57.1% (8/14) of false-positives on PET. The sensitivity,
specificity, accuracy, PPV, and NPV in the diagnosis of SPN were 96.7, 75.7,
88.5, 88.1, and 94.4% for PET/CT. The accuracy of PET/CT was higher than that of
either CT or PET alone (P < 0.05). CONCLUSION: PET has a high false-positive rate
in areas with a high incidence of TB; combined PET and CT (PET/CT) can improve
the diagnostic accuracy in the differentiation of an SPN.
PMID- 21879297
TI - Cis-trans photoisomerization properties of GFP chromophore analogs.
AB - The photoswitching behaviour of the green fluorescent protein (GFP) chromophore
and its analogs opens up exciting horizons for the engineering and development of
molecular devices for high sensitivity in vivo studies. In this work we present
the synthesis and photophysical study of four GFP chromophore analogs belonging
to butenolide and pyrrolinone classes. These chromophores possess an intriguing
photoinduced cis-trans isomerization mechanism. Stereochemical structural
assignment was unambiguously performed by 1D Nuclear Overhauser Effect NMR
measurements. The spectroscopic properties of both cis and trans isomers were
studied, and photoconversion quantum yield for cis-trans isomerization was
assessed to be in the 0.1-0.4 range. Finally, the 3J(C,H) coupling constant in
the 13C-C=C-H motif was in excellent agreement with theoretical DFT calculations,
thus providing a further confirmation of cis-trans photoisomerization of the
structurally analog GFP chromophore.
PMID- 21879298
TI - Single-pot derivatisation strategy for enhanced gliotoxin detection by HPLC and
MALDI-ToF mass spectrometry.
AB - Gliotoxin is produced by non-ribosomal peptide synthesis and secreted from
certain fungi, including Aspergillus fumigatus. It is an
epipolythiodioxopiperazine that contains an intact disulphide bridge and is the
focus of intense research as a consequence of its negative immunomodulatory
properties. Gliotoxin detection is generally enabled by reversed-phase-high
performance liquid chromatography (RP-HPLC), with absorbance detection (220-280
nm), or liquid chromatography-mass spectrometry, yet detection is not readily
achievable by matrix-assisted laser desorption ionisation-time-of-flight mass
spectrometry (MALDI-ToF MS). We have developed a single-pot derivatisation
strategy which uses sodium borohydride-mediated reduction of gliotoxin followed
by immediate alkylation of exposed thiols by 5'-iodoacetamidofluorescein to yield
a stable product, diacetamidofluorescein-gliotoxin (GT-(AF)(2)), of molecular
mass 1103.931 Da ((M+H)+). This product is readily detectable by RP-HPLC and
exhibits a 6.8-fold increase in molar absorptivity compared with gliotoxin, which
results in a higher sensitivity of detection (40 ng; 125 pmoL). GT-(AF)(2) also
fluoresces (excitation/emission, 492:518 nm). Unlike free gliotoxin, the product
(>800 fmol) is detectable by MALDI-ToF MS. Sporidesmin A can also be detected by
RP-HPLC and MALDI-ToF MS (>530 fmol) using this strategy. We also demonstrate
that the strategy facilitates detection of gliotoxin (mean +/- SD = 3.55 +/- 0.07
MUg 100 MUL(-1); n = 2) produced by A. fumigatus, without the requirement for
organic extraction of culture supernatants and associated solvent removal. GT
(AF)(2) is also detectable (150 ng; 460 pmol) by thin-layer chromatography.
PMID- 21879299
TI - Could smaller really be better? Current and future trends in high-resolution
microcoil NMR spectroscopy.
AB - NMR is an invaluable analytical technique that provides structural and chemical
information about a molecule without destroying the sample. However, NMR suffers
from an inherent lack of sensitivity compared to other popular analytical
techniques. This trends article focuses on strategies to increase the sensitivity
of NMR using solenoidal microcoil, microstrip, and microslot probes. The role of
these reduced-volume receiver coils for detection in hyphenated capillary
electrophoresis (CE) and capillary isotachophoresis (cITP) NMR experiments is
discussed. Future directions will likely build on work to develop probes
containing multiple coils for high-throughput NMR and field-portable instruments.
PMID- 21879301
TI - [Traumatic dissection of carotid arteries caused by high energy motorcycle
accident].
AB - Injuries of internal carotid arteries caused by high energy trauma are rare but
often combined with poor outcome. Blunt trauma to the head and neck as well as
the use of newer motorcycle helmets together with crash circumstances should
promptly lead to a differentiated polytrauma management with expansion of
radiologic diagnostics. This could lead to a reduction of overlooked dissections
and an increase in promptly and correctly treated injuries.
PMID- 21879300
TI - Hydrophilic interaction liquid chromatography (HILIC)--a powerful separation
technique.
AB - Hydrophilic interaction liquid chromatography (HILIC) provides an alternative
approach to effectively separate small polar compounds on polar stationary
phases. The purpose of this work was to review the options for the
characterization of HILIC stationary phases and their applications for
separations of polar compounds in complex matrices. The characteristics of the
hydrophilic stationary phase may affect and in some cases limit the choices of
mobile phase composition, ion strength or buffer pH value available, since
mechanisms other than hydrophilic partitioning could potentially occur. Enhancing
our understanding of retention behavior in HILIC increases the scope of possible
applications of liquid chromatography. One interesting option may also be to use
HILIC in orthogonal and/or two-dimensional separations. Bioapplications of HILIC
systems are also presented.
PMID- 21879302
TI - [The influence of timing of surgery on mortality and early complications in
femoral neck fractures, by surgical procedure: an analysis of 22,566 cases from
the German External Quality Assurance Program].
AB - BACKGROUND: The current S2 guideline recommends treatment of a femoral neck
fracture within the first 24 h; this becomes evident by the significant rise in
general and early surgical complications, when the surgical treatment was delayed
for more than 48 h. The influence of different surgical procedures was
investigated. PATIENTS UND METHODS: A total of 22,566 records from the external
Quality Assurance Program in North Rhine-Westphalia for treatment of femoral neck
fractures in 2004/2005 (BQS specification 7.0 and 8.0) were risk-adjusted and
evaluated. RESULTS: Surgery was performed within 48 h in 83.9% of the patients. A
significant rise in general and early surgical complications was registered when
the surgical treatment was delayed for more than 48 h. Mortality and general
complications were significantly lower for percutaneous screw fixation. All kinds
of joint replacement show significantly higher general and surgical
complications. CONCLUSION: The analyzed data support the rating of femoral neck
fracture as requiring the intervention of urgent early surgery, as stated in the
guideline. Percutaneous screw fixation can be considered for immobile and
multimorbid patients with undislocated fractures. Advantages of total hip
replacement compared to hemiarthroplasty cannot be supported by the QS-NRW data.
PMID- 21879303
TI - [Patient safety].
PMID- 21879304
TI - [Injuries of the midfoot].
AB - Injuries of the midfoot are often missed and therefore underestimated. The
diagnosis and primary treatment are crucial for the outcome. An accurately made
diagnosis and therapy initially can anticipate the extent of post-traumatic
malpositioning, arthrosis and the number of subsequent surgeries. Diagnostics
should liberally include computed tomography with multiplanar reconstructions;
clinically a compartment syndrome should be treated at an early stage. Surgery
includes anatomical reconstruction of the relevant joints and ligamentous
structures and restoration of axes and lengths of the columns of the foot.
Innovations comprise intraoperative 3-D imaging and computer-assisted surgery for
quality control. New methods for ligamentous injuries are transosseous suturing
and endobutton techniques, which have not yet become established.
PMID- 21879305
TI - Tuberculosis of breast: unusual manifestation of tuberculosis.
AB - Tuberculosis affects almost every organ of the body, and the breast is no
exception. However, tuberculosis of the breast is rare, and the varied
presentation requires a high index of suspicion, especially in middle-aged women
for whom a clinical diagnosis of malignancy is likely to be made. We report two
cases of primary tuberculosis of breast with different manifestations. One case
presented as tuberculous mastitis and the other presented with a lump
masquerading as breast carcinoma. The diagnosis in both cases was based on
demonstration of acid-fast bacilli on histopathological examination. Resolution
was complete with antitubercular therapy, and major surgical intervention was not
necessary.
PMID- 21879306
TI - Mediterranean spotted fever and encephalitis: a case report and review of the
literature.
AB - Mediterranean spotted fever (MSF) is a disease caused by Rickettsia conorii and
transmitted by the brown dog tick Rhipicephalus sanguineus. It is widely
distributed through southern Europe, Africa, and the Middle East. It is an
emerging or a reemerging disease in some regions. Countries of the Mediterranean
basin, such as Portugal, have noticed an increased incidence of MSF over the past
10 years. It was believed that MSF was a benign disease associated with a
mortality rate of 1-3% before the antimicrobial drug era. It was called benign
summer typhus. Severe forms were described in 1981, and the mortality rate
reached 32% in Portugal in 1997. However, neurological manifestations associated
with brain lesions are a rare event. We describe the case of a man with fever,
maculopapular rash, a black spot, and hemisensory loss including the face on the
left side of the body with brain lesions in the imaging studies.
PMID- 21879307
TI - Up-regulated biglycan expression correlates with the malignancy in human
colorectal cancers.
AB - Biglycan, an extracellular matrix protein, has been implicated in the oncogenesis
and cancer development in various types of human cancer. The clinical
significance of biglycan in colorectal cancer, however, remains unclear. In the
present study, biglycan mRNA expression was analyzed in 110 samples (primary
colorectal tumor and matched adjacent normal tissue) derived from 55 patients
with colorectal cancer using quantitative real-time RT-PCR. The correlations
between biglycan up-regulation and the clinicopathological data were also
evaluated. We found that the up-regulation of biglycan occurred in 61.8% (34/55)
of colorectal cancer tissues, and biglycan expression in colorectal cancer
tissues was markedly higher than that in corresponding normal tissues (P =
0.0264). Moreover, statistical analysis displayed a significant correlation in
biglycan up-regulation with poor tumor differentiation (P = 0.009), lymph node
metastasis (P = 0.041), and distant metastasis (P = 0.036). However, there was no
significant correlation between biglycan up-regulation and other
clinicopathological factors (all P > 0.05). In conclusion, biglycan may be a
potential marker for the malignancy of colorectal cancer.
PMID- 21879308
TI - Erdheim-Chester disease with multisystem involvement in a 4-year-old.
AB - Erdheim-Chester disease is a rare form of non-Langerhans histiocytosis of unknown
origin occurring mainly in adults. It is extremely rare in children. We report a
case of a 4-year-old boy with Erdheim-Chester disease that initially presented as
hemifacial palsy and bone pain with multisystem involvement. We describe
radiographic findings of bones that show characteristic bilateral symmetrical
osteosclerosis with atypical osteolytic lesions in addition to CT findings for
pulmonary involvement and MR findings for intracranial lesions.
PMID- 21879309
TI - Hydrophilic acrylic intraocular lens optic opacification in a diabetic patient.
AB - PURPOSE: To report clinicopathologic and ultrastructural feature of one opacified
hydrophilic acrylic intraocular lens (IOL) explanted from a diabetic patient.
METHODS: A 48-year-old man underwent trans pars plana vitrectomy and
phacoemulsification with implantation of a hydrophilic acrylic lens OS in
November 2008. The patient complained of a marked decrease in visual acuity in
May 2009 as a result of a milky opalescence of the IOL. Intraocular lens
explantation and exchange were performed in August 2009, and the explanted IOL
was submitted to our center for detailed pathologic, histochemical and
ultrastructural evaluation. It was stained by the von Kossa method for calcium,
and also underwent scanning electron microscopy and energy dispersive radiograph
spectroscopy to ascertain the nature of the deposits leading to opacification.
RESULTS: Opacification of the IOL was found to be the cause of the decreased
visual acuity. The opacification involved only the IOL optic, and the haptics was
clear. Histochemical and ultrastructural analyses revealed that the opacity was
caused by deposition of calcium and phosphate within the lens optic. CONCLUSION:
We believe this report of calcification of the Akreos((r)) MI-60 IOL is of
clinicopathological importance. Long-term follow-up of diabetic patients
implanted with this IOL should be maintained by surgeons and manufacturers.
PMID- 21879310
TI - PMS: photosystem I electron donor or fluorescence quencher.
AB - Light energy harvested by the pigments in Photosystem I (PSI) is used for charge
separation in the reaction center (RC), after which the positive charge resides
on a special chlorophyll dimer called P700. In studies on the PSI trapping
kinetics, P700(+) is usually chemically reduced to re-open the RCs. So far, the
information available about the reduction rate and possible chlorophyll
fluorescence quenching effects of these reducing agents is limited. This
information is indispensible to estimate the fraction of open RCs under known
experimental conditions. Moreover, it would be important to understand if these
reagents have a chlorophyll fluorescence quenching effects to avoid the
introduction of exogenous singlet excitation quenching in the measurements. In
this study, we investigated the effect of the commonly used reducing agent
phenazine methosulfate (PMS) on the RC and fluorescence emission of higher plant
PSI-LHCI. We measured the P700(+) reduction rate for different PMS
concentrations, and show that we can give a reliable estimation on the fraction
of closed RCs based on these rates. The data show that PMS is quenching
chlorophyll fluorescence emission. Finally, we determined that the fluorescence
quantum yield of PSI with closed RCs is 4% higher than if the RCs are open.
PMID- 21879311
TI - Nitric oxide synthase inhibition abrogates hydrogen sulfide-induced
cardioprotection in mice.
AB - The cardioprotective property of hydrogen sulfide (H(2)S) is recently reported.
However, cellular signaling cascades mediated by H(2)S are largely unclear. This
study was undertaken to explore the molecular mechanism of H(2)S-induced
cardioprotection in mouse heart by utilizing in vivo model of cardiac injury. We
report here that intraperitoneal administration of sodium hydrogen sulfide (NaHS,
50 MUmol kg(-1 )day(-1) for 2 days), a H(2)S donor, significantly (P <= 0.05)
increased nitric oxide levels in serum as well as myocardium without any sign of
myocardial injury. Typical characteristics of myocardial injury induced by
isoproterenol (ISO) administration was significantly (P <= 0.05) abrogated by
NaHS administration as evidenced from reduction in elevated thiobarbituric acid
reactive substances (TBARS) and normalization of glutathione (GSH), glutathione
peroxidase, superoxide dismutase (SOD), and catalase activity. Further, decrease
in TNF-alpha expression and improvement in myocardial architecture was also
observed. However, co-administration of N-nitro-L-arginine methyl ester, a nitric
oxide synthase (NOS) inhibitor, and Celecoxib, a selective cyclooxygenase-2 (COX
2) inhibitor along with NaHS and ISO abrogated the beneficial effect of H(2)S
differentially. Inhibition of NOS significantly (P <= 0.05) increased serum
creatine kinase, lactate dehydrogenase, serum glutamic oxaloacetic transaminase
activity and myocardial TBARS, along with significant (P <= 0.05) reduction of
myocardial GSH, SOD, and catalase. This was followed by increase in TNF-alpha
expression and histopathological changes. Our results revealed that H(2)S
provides myocardial protection through interaction with NOS and COX-2 pathway and
inhibition of NOS completely abrogates the hydrogen sulfide-induced
cardioprotection in mice.
PMID- 21879312
TI - Potential preventive effect of carvacrol against diethylnitrosamine-induced
hepatocellular carcinoma in rats.
AB - Antioxidants are one of the key players in tumorigenesis, several natural and
synthetic antioxidants were shown to have anticancer effects. The aim of the
present study is to divulge the chemopreventive nature of carvacrol during
diethylnitrosamine (DEN)-induced liver cancer in male wistar albino rats.
Administration of DEN to rats resulted in increased relative liver weight and
serum marker enzymes aspartate transaminase (AST), alanine transaminase (ALT),
alkaline phosphatase (ALP), lactate dehydrogenase (LDH), and gamma glutamyl
transpeptidase (gammaGT). The levels of lipid peroxides elevated (in both serum
and tissue) with subsequent decrease in the final body weight and tissue
antioxidants like superoxide dismutase (SOD), catalase (CAT), reduced glutathione
(GSH), glutathione peroxidase (GPx), and glutathione reductase (GR). Carvacrol
supplementation (15 mg/kg body weight) significantly attenuated these
alterations, thereby showing potent anticancer effect in liver cancer.
Histological observations and transmission electron microscopy studies were also
carried out, which added supports to the chemopreventive action of the carvacrol
against DEN-induction during liver cancer progression. These findings suggest
that carvacrol prevents lipid peroxidation, hepatic cell damage, and protects the
antioxidant system in DEN-induced hepatocellular carcinogenesis.
PMID- 21879313
TI - Genotype rs8099917 near the IL28B gene and amino acid substitution at position 70
in the core region of the hepatitis C virus are determinants of serum
apolipoprotein B-100 concentration in chronic hepatitis C.
AB - The life cycle of the hepatitis C virus (HCV) is closely related to host
lipoprotein metabolism. Serum levels of lipid are associated with the response to
pegylated interferon plus ribavirin (PEG-IFN/RBV) therapy, while single
nucleotide polymorphisms (SNPs) around the human interleukin 28B (IL28B) gene
locus and amino acid substitutions in the core region of the HCV have been
reported to affect the efficacy of PEG-IFN/RBV therapy in chronic hepatitis with
HCV genotype 1b infection. The aim of this study was to elucidate the
relationship between serum lipid and factors that are able to predict the
efficacy of PEG-IFN/RB therapy, with specific focus on apolipoprotein B-100 (apoB
100) in 148 subjects with chronic HCV G1b infection. Our results demonstrated
that both the aa 70 substitution in the core region of the HCV and the rs8099917
SNP located proximal to the IL28B were independent factors in determining serum
apoB-100 and low-density lipoprotein (LDL) cholesterol levels. A significant
association was noted between higher levels of apoB-100 (P = 1.1 * 10(-3)) and
LDL cholesterol (P = 0.02) and the subjects having Arg70. A significant
association was also observed between subjects carrying the rs8099917 TT
responder genotype and higher levels of apoB-100 (P = 6.4 * 10(-3)) and LDL
cholesterol (P = 4.2 * 10(-3)). Our results suggest that apoB-100 and LDL
cholesterol are markers of impaired cellular lipoprotein pathways and/or host
endogenous interferon response to HCV in chronic HCV infection. In particular,
serum apoB-100 concentration might be an informative marker for judging changes
in HCV-associated intracellular lipoprotein metabolism in patients carrying the
rs8099917 responder genotype.
PMID- 21879314
TI - Association of cognitive performance with interleukin-6 receptor Asp358Ala
polymorphism in healthy adults.
AB - Wechsler adult intelligence scale-revised was performed in 576 healthy adults to
examine whether a functional polymorphism (Asp358Ala) of the IL-6 receptor (IL
6R) gene is associated with cognitive performance. Verbal intelligence quotient
in Asp homozygotes was significantly higher compared to Ala carriers (P = 0.005).
Compared to Ala carriers, Asp homozygotes performed better in the verbal subtests
requiring long-term memory stores. Elevated IL-6 and soluble IL-6R levels in Ala
carriers may have negative impact on acquiring verbal cognitive ability requiring
long-term memory.
PMID- 21879315
TI - Intravenous leiomyomatosis: CT findings.
AB - Intravenous leiomyomatosis (IVL) is a rare smooth muscle tumor. Although IVL is
histologically benign, it might be aggressive in its behavior and can grow into
pelvic veins and the inferior vena cava (IVC) extending into the heart chambers
and pulmonary vasculature. Occasionally, it was found to have lung metastasis. We
describe four cases of IVL in the IVC with a history of hysterectomy for uterine
leiomyoma, one extending into the left renal vein and three growing into the
right heart chamber. We report the computed tomography (CT) findings in the four
cases and briefly discuss the CT features of IVL in order to help making
accurately preoperative diagnosis and improve the rate of surgical resection and
survival.
PMID- 21879316
TI - MRI of Crohn's disease: from imaging to pathology.
AB - Technological advances in the area of magnetic resonance imaging (MRI) raised
expectations on the potential role of this imaging modality for evaluation of the
gastrointestinal tract, especially on Crohn's disease (CD), based on the high
spatial and tissue resolution as well as lack of ionizing radiation. Available
evidence indicates that MRI has a high diagnostic accuracy for the detection of
disease activity, location of the lesions, and also for determining the severity
of the disease, which together are the main aspects for the therapeutic decision
making in patients with CD. MRI provides a high accuracy in detection of
strictures, characterization of fistulizing lesions and extraluminal
complications such us abscesses which are a key finding for considering medical
and surgical options. Knowledge of the pathological substrate of inflammatory
lesions in CD as well as extraenteric lesions may improve diagnostic image
interpretation, and thus contribute to optimize patient care.
PMID- 21879317
TI - Contrast-enhanced ultrasound in abdominal imaging.
AB - The administration of a contrast agent is considered an essential tool to
evaluate abdominal diseases using Ultrasound. The most targeted organ is the
liver, especially to characterize focal liver lesions and to assess the response
to percutaneous treatment. However, the expanding abdominal indications of
contrast-enhanced ultrasound make this technique an important tool in the
assessment of organ perfusion including the evaluation of ischemic, traumatic,
and inflammatory diseases.
PMID- 21879318
TI - Late diagnosis of medial condyle fracture of the humerus with rotational
displacement in a child.
AB - For displaced medial condyle fractures in children, open reduction with internal
fixation seems to be most popular treatment method. The major complication of
this method is failure to make the proper early diagnosis. Corrective
supracondylar humeral osteotomy has been preferred to open reduction and internal
fixation for managing malunited fragments. We report a case of a child with
nonunion of the medial condyle of the humerus who was subsequently treated
successfully with open reduction and internal fixation.
PMID- 21879320
TI - A comparison of islet autotransplantation with allotransplantation and factors
elevating acute portal pressure in clinical islet transplantation.
AB - BACKGROUND: Acute portal pressure rise is occasionally observed during
intraportal islet infusion, especially in islet autotransplantation (IAT) where
tissue purification is rarely applied. In this paper we investigate factors
associated with acute portal pressure rise, a known risk factor for portal vein
thrombosis. METHODS: Retrospective data was collected on 15 islet autotransplant
and 122 allogeneic islet transplant subjects. Non-purified pancreatic cells were
transplanted in islet autotransplants, and purified islet cells were transplanted
in allogeneic transplants. Portal pressure was documented throughout the islet
infusion. RESULTS: The total numbers of transplanted islets were significantly
smaller in autotransplants than allografts, although the packed cell volume in
autotransplants was larger. Autoislet infusion, with a larger packed cell volume,
caused higher transient portal venous pressures than allogeneic islet transplant.
Univariate analysis and multivariate linear regression revealed that packed cell
volume and the number of transplanted cells were significant risk factors for
acute portal pressure rise in both autotransplants and allogeneic transplants.
CONCLUSIONS: Non-purified IAT has a higher risk for acute portal pressure rise
than allogeneic islet transplantation, and the rise is associated with the packed
cell volume and the number of transplanted cells. Minimization of packed cell
volume and cautious monitoring of portal pressure are important to avoid
potential complications of portal hypertension.
PMID- 21879319
TI - Peer victimization in youth with Tourette syndrome and other chronic tic
disorders.
AB - Chronic tic disorders including Tourette syndrome have negative impact across
multiple functional domains. We explored associations between peer victimization
status and tic subtypes, premonitory urges, internalizing symptoms, explosive
outbursts, and quality of life among youth with chronic tic disorders, as part of
the internet-based omnibus Tourette Syndrome Impact Survey. A mixed methods
design combined child self-report and parental proxy-report (i.e., parent
reporting on the child) demographic and quantitative data for affected youth ages
10-17 years addressing gender, mean age, ethnicity and other socioeconomic
features, and presence of tic disorders and co-occurring psychiatric disorders.
Peer "Victim" versus "Non-victim" status was determined using a subset of four
questions about being bullied. "Victim" status was identified for those youth who
endorsed the frequency of the occurrence of being bullied in one or more of the
four questions as "most of the time" or "all of the time". Data from 211 eligible
youth respondents and their parents/guardians showed 26% reporting peer
victimization. Victim status was associated with greater tic frequency,
complexity and severity; explosive outbursts; internalizing symptoms; and lower
quality of life. Peer victimization among youth with chronic tic disorders is
common and appears associated with tic morbidity, anxiety, depression, explosive
outbursts, and poorer psychosocial functioning. Anticipatory guidance, specific
bullying screening and prevention, and further studies are indicated in this
population.
PMID- 21879321
TI - The prognosis after curative resection of gallbladder cancer with hilar invasion
is similar to that of hilar cholangiocarcinoma.
AB - BACKGROUND/PURPOSE: Gallbladder cancer (GBC) often invades the hepatic hilum and
even small tumors can cause obstructive jaundice. Operative intervention for GBC
with obstructive jaundice is sometimes not recommended because it is associated
with a poor prognosis. However, the extended procedure is recommended for
patients with hilar cholangiocarcinoma (HC). We therefore compared the
postoperative survival of patients with GBC invading the hepatic hilum with that
with HC. METHODS: Between 1998 and 2008, 27 patients with GBC invasion of the
hepatic hilum (hGBC) and 124 with HC underwent surgical resection with curative
intent in the Department of Surgical Oncology, Hokkaido University Graduate
School of Medicine. This study included patients with GBC without peritoneal
dissemination and liver or para-aortic lymph node metastasis. Extended right
hemihepatectomy and extrahepatic bile duct resection comprise the treatment of
choice for GBC with hilar invasion (hGBC). We aimed to achieve R0 outcomes by
aggressive vascular resection and/or concomitant resection of directly invaded
organs around the GBC along with extended right hemihepatectomy. RESULTS: We
analyzed 27 patients with hGBC (age 58-83 years; median 71 years; male:female
13:14) and 124 with HC (age 45-80 years; median 69 years; male:female 94:30). The
3- and 5-year survival rates of 43 and 24% for hGBC and 58 and 38% for HC,
respectively, did not differ significantly (p = 0.14). Preoperative obstructive
jaundice was a complication in 22 (81%) and 95 (77%) patients with hGBC and HC,
respectively. The 5-year survival rates were 40 and 36%, respectively, which did
not differ significantly (p = 0.61). The 5-year survival rates after extended
right hemihepatectomy to resect the tumor with curative intent were 34 and 34%
for hGBC and HC, which did not differ significantly (p = 0.14). CONCLUSIONS: The
prognosis after curative resection of GBC with hilar invasion is similar to that
of HC in selected patients. Aggressive surgery for advanced GBC with hilar
invasion might increase survival rates.
PMID- 21879322
TI - Role of death receptor, mitochondrial and endoplasmic reticulum pathways in
different stages of degenerative human lumbar disc.
AB - Intervertebral disc (IVD) cell apoptosis has been suggested to play an important
role in promoting the degeneration process. It has been demonstrated that IVD
cell apoptosis occurs through either death receptor, mitochondrial or endoplasmic
reticulum (ER) pathway. Our study aimed to explore the relationship among these
three pathways and grade of IVD degeneration (IVDD). IVDs were collected from
patients with lumbar fracture, vertebral tumor, disc herniation or
spondylolisthesis. IVDs were distinguished by MRI and histomorphological
examination, cell apoptosis was detected by TUNEL staining. Biomarkers of these
three apoptosis pathways were detected by RT-PCR and Western blot. Furthermore,
the correlation between apoptosis pathways biomarkers and disc pathology were
analyzed. Nucleus pulposus cell density decreased with degeneration process, and
increased apoptotic ratio. ER pathway was predominant in mild stage of IVDD
(GRP78, GADD153 upregulation and caspase-4 activation), death receptor pathway
was predominant in mild and moderate stages (Fas, FasL up-regulation and caspase
8 activation) and mitochondrial pathway was predominant in moderate and severe
stages (Bcl-2 down-regulation, Bax up-regulation, cytochrome-c accumulation in
cytoplasm and caspase-9 activation). There were significant differences in the
expressions of Fas, FasL, Bax, GADD153, cytochrome-c and cleaved caspase-8/9/3
between contained and non-contained discs. In conclusion, apoptosis occurs via
these three apoptosis pathways together in IVDD. ER pathway plays a more critical
role in the mild compared to moderate and severe stages, death receptor pathway
in mild and moderate, and mitochondrial pathway in moderate and severe stages of
IVDD. Disc cells apoptosis may progress rapidly after herniation, and may depend
on the type of herniation.
PMID- 21879323
TI - Comparative analysis of evolutionary dynamics of genes encoding leucine-rich
repeat receptor-like kinase between rice and Arabidopsis.
AB - The leucine-rich repeat (LRR) receptor kinase (RLK) proteins constitute a large
superfamily in the plant genome, and carry out key functions in a variety of
biological pathways. In an effort to determine the evolutionary fate of members
of a large gene family such as plant LRR RLK proteins we conducted in silico
analysis using complete genome sequencing datasets, genome-wide transcriptome
databases, and bioinformatics tools. A total of 292 and 165 LRR RLK genes were
retrieved from the rice and Arabidopsis genomes, respectively, formed by diverse
duplication events for gene expansion. The phylogenic analyses of the LRR RLK
genes suggested combinations of LRR domains and RLK domains in the ancient plant
genome prior to the divergence of rice and Arabidopsis, followed by massive
independent expansions during speciation. The somewhat high frequencies (50-73%)
of expressional divergence of members of duplicate gene pairs formed by
whole/segmental genome duplication (W/SGD) and tandem duplication (TD) events of
Arabidopsis and TD events of rice support the idea of their functional diversity
for gene retention. By contrast, a relatively low degree (at least 20%) of
members of rice LRR RLK gene pairs formed by W/SGD appear to be divergent in
expression following the duplication event. At least 7 pairs of co-expressed gene
clusters, including each of the tentative orthologous LRR RLK genes between rice
and Arabidopsis, were enriched to an orthologous set between members of each of
the pairs as compared to those of the random pairs, suggesting some degree of
functional conservation of individual genes. These results may shed some light on
the crucial functions of the plant LRR RLK genes with regard to a variety of
biological processes.
PMID- 21879324
TI - Intraarticular epidermal cyst of knee.
PMID- 21879325
TI - Hematoma of the ligamentum flavum in the thoracic spine: report of two cases and
possible role of the transforming growth factor beta-vascular endothelial growth
factor signaling axis in its pathogenesis.
PMID- 21879327
TI - Parkinson's disease showing progressive conduction aphasia.
AB - Patients with Parkinson's disease (PD) may develop progressive dementia late in
their clinical course. Dementia in PD is mostly related to neuropathological
findings of extensive Lewy bodies (LBs), with or without the coexistence of
Alzheimer's disease (AD) pathology. Aphasia has been reported in patients with LB
diseases with AD pathology; however, there have been no reports of typical PD
patients developing progressive aphasia during their clinical course. We describe
a female PD patient who later developed progressive conduction aphasia
characterized by phonemic paraphasia and disturbance in repetition of short
sentences without disturbance in writing or auditory comprehension. No episodes
of fluctuations of attention, memory complaints, or planning errors were
observed. She experienced episodes of visual hallucination. Her low scores on the
Mini-Mental State Examination suggested impairment of orientation and attention,
and her scores on Raven's Coloured Progressive Matrices test indicated impaired
visuospatial functions. However, her cognitive deficits were not sufficiently
severe to impair her daily life. Brain magnetic resonance images revealed atrophy
of the left superior temporal gyrus and widening of the left sylvian fissure.
[(18)F]-fluorodeoxyglucose positron emission tomography revealed glucose
hypometabolism in the left cerebral hemisphere. These findings may be related to
conduction aphasia. During the progression of PD lesions, the brainstem LB is
assumed to take an upward course, extend to the limbic system, and then extend to
the neocortex. Conduction aphasia observed in our patient may be associated with
an unusual progression of the LB pathology from the brainstem to the left
temporoparietal lobe.
PMID- 21879328
TI - Treatment for Chiari 1 malformation (CIM): analysis of a pediatric surgical
series.
AB - Children may present a peculiar picture of CIM, as syncopes and acute
paraparesis. In a series of 99 children operated for CIM at FINCB there were no
major surgical morbidity nor mortality. The preoperative symptoms improved more
in this pediatric series than in the adult cases treated at the same institution
in the same period; a reason could be the shorter duration of symptoms and
another the children plasticity. An untreated nonsyndromic craniosynostosis was
present in 10 cases. In our hands, the results of the limited extradural
decompression were poor. In some CIM associated with psychiatric symptoms an
unexpected improvement was observed after tonsilar resection. The associated
Syringomyelia reduced in more than 80% of children and disappeared in a
significant number. The rare associated tethered cord (5%) needed a double
treatment, detethering by itself being insufficient to treat also tonsillar
descent. The clinical symptoms are often more serious in children than in the
adults, but the results of surgery, especially on the syrinx, are better.
PMID- 21879329
TI - Crimp frequency is strongly correlated to myofibroblast density in the human
anterior cruciate ligament and its autologous tendon grafts.
AB - PURPOSE: Collagen crimp is essential for maintaining viscoelastic properties of
normal ligament and tendon tissue. The actin isoform alpha-smooth muscle actin
(ASMA) has been identified in fibroblastic cells of these tissues. These highly
differentiated cells, so-called myofibroblasts may transmit tensile forces to the
extracellular matrix, thus it has been suggested that they are responsible for
the wrinkling of the extracellular matrix and the formation of crimp. During
anterior cruciate ligament (ACL) graft remodeling, crimp formation plays an
integral role. Thus, it was our purpose to determine the relationship between
myofibroblast density and crimp frequency in human tendon graft tissue and the
ACL. METHODS: Different tendon grafts and ACLs were harvested from young human
multi-organ donors immediately after death. Myofibroblasts were immunostained
with a monoclonal antibody, and histomorphometry was performed using a digital
imaging system. Crimp length was measured, and data were correlated. RESULTS: All
tendons and ACLs showed a significant correlation of myofibroblast density and
crimp frequency (R(2) 0.81-0.43). The strongest correlation was found for the
patellar tendon, the poorest for the gracilis tendon. There is also evidence that
the phenotype respectively the shape of myofibroblasts might be responsible for
different stages of crimp formation. CONCLUSION: With the present investigation,
we found that myofibroblasts might be involved in crimp formation and should be
viewed as an integral part of normal tendon and ligament tissue. Furthermore, the
shape of myofibroblasts may further indicate the contractile potency of the
extracellular matrix, thus presenting a dynamic and variable crimp rather than a
static situation. This study is an experimental study. In terms of clinical
relevance all the mentioned tendons can be used as auto- or allografts for ACL
reconstruction, nevertheless their microscopic structure and cellular population
have yet not been adequately investigated and compared.
PMID- 21879330
TI - Expression of beta-defensin-4 in "an in vivo and ex vivo model" of human
osteoarthritic knee meniscus.
AB - PURPOSE: To investigate, for the first time, the expression of beta-defensins-4,
by immunohistochemistry and western blotting, in OA meniscus versus control
meniscus, thus providing new insights into the physiological processes of
meniscus repairing. METHOD: beta-defensins-4 was studied in vivo, in knee
osteoarthritic menisci obtained from 30 patients (20 men and 10 women) who
underwent isolated arthroscopic partial medial or lateral meniscectomy, and in
vitro on fibrochondrocyte cells from human OA knee menisci. The study was
conducted using morphological, immunohistochemical, and Western blot analysis.
RESULTS: The histological results demonstrated structural alterations and cracks
of OA menisci accompanied by a very strong beta-defensin-4 immunohistochemical
staining. The Western blot analysis confirmed also a strong expression of beta
defensin-4 in OA fibrochondrocyte cells. CONCLUSION: The present study suggests
an activation of beta-defensin-4 induction, in human knee meniscus induced by the
OA inflammatory process. It may represent an endogenous antibiotic defense
mechanism accompanied by an intrinsic effort of tissue remodeling in OA articular
joints. In conclusion, the present paper suggests the clinical relevance of beta
defensin-4 in the prospective of future alternative medical treatment for OA.
PMID- 21879331
TI - Apoptosis of HL-60 leukemia cells induced by carbazole alkaloids isolated from
Murraya euchrestifolia.
AB - We carried out primary screening of 13 carbazole alkaloids isolated from the
plant species Murraya euchrestifolia (Rutaceae) on cell growth inhibition of the
human leukemia cell line HL-60. Among them, murrayafoline-A (1) and
murrayazolinine (7) exhibited significant growth suppression due to apoptosis
mediated by the activation of the caspase-9/caspase-3 pathway.
PMID- 21879332
TI - Gambogenic acid-induced time- and dose-dependent growth inhibition and apoptosis
involving Akt pathway inactivation in U251 glioblastoma cells.
AB - Glioblastoma multiforme is the most common and aggressive type of primary brain
tumor. Uncontrolled activation of the PI3K/Akt signaling pathway resulting from
genetic alterations in phosphatase and tensin homolog deleted on chromosome 10
(PTEN) and epidermal growth factor receptor (EGFR) correlates with poor prognosis
and resistance to chemotherapy and radiotherapy of glioblastomas. In this study,
we found that gambogenic acid (GNA), a polyprenylated xanthone isolated from the
traditional medicine gamboge, efficiently arrested the cell cycle at the
G(0)/G(1) phase by specifically repressing the expression of cyclin D1 and cyclin
E, suppressed cell proliferation, colony formation and cell migration, and
induced caspase-dependent apoptosis in U251 glioblastoma cells in a time- and
dose-dependent manner. The pro-apoptotic effect of GNA on U251 cells was shown to
be mediated through inactivation of the Akt pathway, because GNA efficiently
suppressed the expression level of EGFR and reduced the phosphorylation of Akt
(T308) and GSK3beta (S9). Furthermore, the combined treatment with LY294002, a
specific inhibitor of the PI3K/Akt kinase pathway, and GNA showed a synergistic
or additive effect on the growth of U251 cells. Our results showed that GNA is a
promising therapeutic agent for glioblastomas.
PMID- 21879333
TI - Ovarian gonadoblastoma with dysgerminoma in a 15-year-old girl with 46, XX
karyotype: case report and review of the literature.
AB - OBJECTIVE: To present a challenging case of hCG positivity in a young patient and
to review similar cases reported in the literature. METHODS: Literature search of
gonadoblastoma cases with pure 46, XX karyotype using PubMed database. RESULTS: A
15-year-old girl with hCG positivity was investigated for the source and the
initial diagnosis was an ectopic pregnancy. An ovarian tumor was identified after
failed methotrexate therapy and the pathological diagnosis was gonadoblastoma
with dysgerminoma. To the best of our knowledge, the case was unique in the
literature for having the smallest diameter of a gonadoblastoma tumor with 46, XX
karyotype. CONCLUSION: Differential diagnosis of perimenarcheal vaginal bleeding
may be challenging for the clinician. Rare causes such as pregnancy both
intrauterine and extrauterine and hormone producing tumors should be kept in
mind.
PMID- 21879334
TI - Risk of uterine rupture in women undergoing trial of labour with a history of
both a caesarean section and a vaginal delivery.
AB - PURPOSE: To determine the risk of uterine rupture for women undergoing trial of
labour (TOL) with both a prior caesarean section (CS) and a vaginal delivery.
METHODS: A systematic literature search was performed using keywords for CS and
uterine rupture. The results were critically appraised and the data from relevant
and valid articles were extracted. Odds ratios were calculated and a pooled
estimate was determined using the Mantel-Haenszel method. RESULTS: Five studies
were used for final analysis. Three studies showed a significant risk reduction
for women with both a previous CS and a prior vaginal delivery (PVD) compared to
women with a previous CS only, and two studies showed a trend towards risk
reduction. The absolute risk of uterine rupture with a prior vaginal delivery
varied from 0.17 to 0.46%. The overall odds ratio for PVD was 0.39 (95% CI 0.29
0.52, P < 0.00001). CONCLUSION: Women with a history of both a CS and vaginal
delivery are at decreased risk of uterine rupture when undergoing TOL compared
with women who have only had a CS.
PMID- 21879335
TI - Biomechanics of the female pelvic floor: a prospective trail of the alteration of
force-displacement-vectors in parous and nulliparous women.
AB - OBJECTIVE: We hypothesize that the holistic and multiplanar depiction of pelvic
floor structures by dynamic MRI is of particular value in rendering information
about the extent of functional changes that can lead to pelvic floor dysfunction.
METHODS: 134 women were prospectively included for assessment of their pelvic
floor function. RESULTS: Study groups differed significantly in the direction of
their force-displacement-vectors. A shift from ventral to dorsal is present
depending on parity, mode of delivery and age. Maternal age and body height
correlated to the force-displacement-vector, whereas maternal weight did not.
Pressing direction proved to be dependent on the inclination of the pelvis and
the aperture of the levator hiatus while remaining independent from the aperture
of the abdominal wall. CONCLUSION: Biomechanical data interpretation uncovered
the pathogenetic relevance of progressive retroflection of the force-displacement
vector. This is responsible for the onset of a vicious cycle of trauma-related
force deflection perpetuating pelvic floor traumatization.
PMID- 21879336
TI - Multistep regulation of protein kinase A in its localization, phosphorylation and
binding with a regulatory subunit in fission yeast.
AB - The cAMP-PKA is the major glucose-sensing pathway that controls sexual
differentiation in Schizosaccharomyces pombe. Sequencing from the pka1 locus of
recessive sam mutants, in which cells are highly inclined to sexual
differentiation, led to the identification of mutations in the pka1 locus in sam5
(pka1-G441E) and sam7 (pka1-G441R). Rst2 and Ste11 proteins were induced and
localized to the nucleus of sam5 and sam7 mutants even under rich glucose
conditions, indicating that the function of Pka1 was completely abolished by
mutations. Pka1-G441E and Pka1-G441R mutant proteins reside in the cytoplasm,
even under glucose-rich conditions, while wild-type Pka1 resides in the nucleus,
indicating that the functionality of Pka1 is important for its nuclear
localization. This is supported by the observation that the Pka1-T356A mutant,
which partially lacks Pka1 function, was localized to both the cytoplasm and the
nucleus, but an active phosphomimetic Pka1-T356D mutant prtotein was localized to
the nucleus under glucose-rich conditions. In addition to the basal
phosphorylation of Pka1 at T356, hyperphosphorylation of Pka1 was observed under
glucose-starved conditions, and such hyperphosphorylation was not observed in
pka1-G441E, pka1-G441R, pka1-T356A or pka1-T356D mutants. As these mutant
proteins failed to interact with a regulatory subunit Cgs1, hyperphosphorylation
of Pka1 mutant proteins was considered to be dependent on Cgs1 interaction.
Consistent with a role for Cgs1 in Pka1 phosphorylation, we detected the
formation of a Cgs1-Pka1 complex prior to Pka1 hyperphosphorylation. Together,
these results indicate that nuclear localization of Pka1 depends on its activity
and hyperphosphorylation of Pka1 depends on Cgs1 interaction.
PMID- 21879337
TI - Quantitative evaluation of the tibial tunnel after anterior cruciate ligament
reconstruction using diffusion weighted and dynamic contrast enhanced MRI: a
follow-up feasibility study.
AB - OBJECTIVE: The aim of the study was to evaluate the feasibility of two
quantitative MRI methods: diffusion weighted imaging (DWI) and dynamic contrast
enhanced imaging (DCEI), for follow-up assessment of the tibial tunnel after
reconstruction of the anterior cruciate ligament (ACL). MATERIALS AND METHODS:
Twenty-three patients were examined by MRI at 1 and 6 months following ACL
reconstruction. DWI and DCEI were utilized for evaluating the region of interest
(ROI) within the proximal part of the tibial tunnel. From the resulting apparent
diffusion coefficient (ADC) maps, ADC values were calculated. DCEI data were used
to extract the enhancement factor (f(enh)) and the enhancement gradient (g(enh))
for the same ROI. RESULTS: Calculated ADC as well as the f(enh) and g(enh) had
diminished to a statistically significant extent by 6 months after ACL
reconstruction. The average ADC value diminished from 1.48 (10(-3) mm(2)/s) at 1
month to 1.30 (10(-3) mm(2)/s) at 6 months after reconstruction. The average
f(enh) value decreased from 1.21 at 1 month to 0.50 at 6 months and the average
g(enh) value decreased from 2.01%/s to 1.15%/s at 6 months, respectively.
CONCLUSION: The study proved feasibility of DWI and DCEI for quantitative
assessment of the tibial tunnel at 1 and 6 months after ACL reconstruction. Both
methods have the potential for use as an additional tool in the evaluation of new
methods of ACL reconstruction. To our knowledge, this is the first time
quantitative MRI has been used in the follow-up to the ACL graft healing process.
PMID- 21879338
TI - Lumbar peritoneal shunt containing a programmable valve for intracranial
hypertension caused by Borden type 1 dural arteriovenous fistulas.
AB - Three male patients underwent lumbar peritoneal (LP) shunt for intracranial
hypertension caused by intracranial Borden type 1 dural arteriovenous fistulas (D
AVFs). Endovascular treatment was performed initially, but it was ineffective in
all cases. Before LP shunt, the Mariotte blind spot expanded in all cases and
severe papilledema was observed in two cases. We managed the opening pressure of
the shunt system in accordance with patient symptoms. Mariotte blind spot
expansion and papilledema disappeared after LP shunt. Follow-up cerebral
angiography revealed spontaneous closure of D-AVFs in one case and aggressive
conversion in two cases. D-AVFs were completely closed by transvenous
embolization. Because the angioarchitecture of the fistula frequently worsens
without deterioration of the symptom after LP shunt, follow-up angiography and
additional treatment are important.
PMID- 21879339
TI - Reduced aldehyde dehydrogenase activity and arginine vasopressin receptor 2
expression in the kidneys of male TALLYHO/JngJ mice of prediabetic age.
AB - The TALLYHO/JngJ (TH) mouse is a novel polygenic model of type 2 diabetes and
exhibits obesity, hyperglycemia (males), hyperinsulinemia, hyperlipidemia, and
enlarged pancreatic islets. Since the kidney is damaged by hyperglycemia in other
animal models, the present study aimed to determine the kidney phenotype of TH
mice using immunoblot and histological analyses of the kidneys of 6-week-old
(prediabetic) and 16-week-old TH mice. Interestingly, even 6-week-old male TH
mice showed significant increases in kidney weight, compared to C57BL/B6 (B6)
mice. Cuboidal parietal epithelium was observed in the Bowman's capsule in male
TH mice at the prediabetic age. Water accumulated inside the kidneys of male TH
mice in an age-dependent manner, but not in B6 mice. Since Swr/J mice are
reported to develop diabetes insipidus and share 86.8% genotype homology with TH
mice, the expression level of arginine vasopressin receptor 2 (AVPR2), a
candidate protein for diabetes insipidus, was examined and determined to be
significantly reduced in the kidneys of prediabetic male TH mice, compared to B6
mice. Aldehyde dehydrogenase (ALDH) activity in the kidneys of prediabetic male
TH mice was significantly lower than that in age-matched male B6 mice, while
there were no differences between female TH and B6 mice. These results suggest
that the kidney phenotype of prediabetic TH mice occurs only in males,
accompanied by a reduction in ALDH activity and AVPR2 expression. The kidney
phenotype of male TH mice at a prediabetic age becomes evident before the onset
of diabetes.
PMID- 21879340
TI - HPV vaccination and the effect of information framing on intentions and
behaviour: an application of the theory of planned behaviour and moral norm.
AB - BACKGROUND: Human papillomavirus (HPV) is a common sexually transmitted infection
(STI) known to cause cervical cancer and genital warts. However, making the
genital warts aspect explicit may reduce HPV vaccination intention and behaviour
due to perceived stigma associated with STIs. PURPOSE: This study investigated
the effect of differential information framing on intention to receive the HPV
vaccine using the Theory of Planned Behaviour (TPB) and moral norm construct.
METHOD: Female university students were randomised to receive a fact sheet
describing the HPV vaccine as: (1) preventing cervical cancer only (n = 81); or
(2) preventing both cervical cancer and genital warts (n = 78). A 2-month follow
up investigated relationships between vaccination intention and actual behaviour.
RESULTS: No effect of information framing was detected on intention to receive
the HPV vaccine, or vaccine uptake behaviour at 2-month follow-up. The
traditional TPB components predicted 54% of the variance in vaccination intention
(F (3,155) = 61.580, p < 0.001), and moral norm explained an additional 6.2%.
Intention predicted a significant but relatively small proportion of variation
(9.6%) in behaviour. CONCLUSION: The HPV vaccine does not seem to be associated
with perceptions of stigma related to genital warts, and has broad acceptance
among a female university population. This study demonstrates that TPB is suited
to investigate HPV vaccination, and has helped clarify the role of moral norm
within the TPB.
PMID- 21879341
TI - Role of the neurosteroid allopregnanolone in the hyperalgesic behavior induced by
painful nerve injury in rats.
AB - The neurosteroid allopregnanolone (AP) influences the excitability of the central
nervous system by acting as a positive allosteric modulator of gamma-aminobutyric
acid type A (GABA(A)) receptors. Here, we investigated the role of AP and its
therapeutic potential in rats that showed hyperalgesic behavior after undergoing
spinal nerve ligation (SNL). AP levels measured in the spinal cord and brain of
rats that underwent SNL were greater than the corresponding levels in control
animals. More importantly, spinal AP levels in hyperalgesic rats were lower than
those in the rats that did not develop hyperalgesia following SNL; in contrast,
brain AP levels were comparable among these groups. No differences in serum AP
levels were observed among the groups. In addition, intrathecal exogenous
administration of AP showed the antihyperalgesic effects in hyperalgesic rats
after SNL. These findings suggest that changes in spinal AP biosynthesis are
involved in the pathogenesis of neuropathic pain following peripheral nerve
injury, and pharmacological manipulation of this phenomenon may provide a
potential therapeutic target for neuropathic pain.
PMID- 21879342
TI - Determination of the full length sequence of a chicken astrovirus suggests a
different replication mechanism.
AB - The genomic RNA of a novel chicken astrovirus was determined. The full length
sequence is 7520 nucleotides and encodes three open reading frames (1a, 1b, 2)
for three proteins. The genomic organization was similar to other astroviruses
with two exceptions. The open reading frame of the RNA-dependent RNA polymerase
contains its own start codon which is different from other astroviruses described
to date, providing evidence for a replication mechanism different than what has
previously been described for astroviruses. Furthermore, the stem-loop structure
located at the potential ribosomal frameshift signal described for other
astroviruses has been shown to be a hairpin structure for the novel chicken
astrovirus. Phylogenic analysis of the full length sequence revealed that this
chicken astrovirus formed a branch independent from other astroviruses,
indicating that this astrovirus is significantly different from astroviruses
described to date.
PMID- 21879343
TI - Association between frequency of drinking alcohol and chronic kidney disease in
men.
AB - OBJECTIVES: Chronic kidney disease (CKD) is a major public health problem.
Epidemiological studies of the relationship between alcohol intake and CKD are
scarce in Japan. This cross-sectional study aims to investigate the relationship
between frequency of drinking alcohol and CKD in Japanese men. METHODS: The
subjects were 9,196 men (mean +/- standard deviation age, 57.9 +/- 5.1 years) who
underwent a health check-up. CKD was defined as estimated glomerular filtration
rate <60 mL/min/1.73 m(2). Frequency of alcohol drinking was obtained from
questionnaire and divided into five categories: nondrinkers, once or twice a
week, three or four times a week, five or six times a week, and everyday
drinkers. RESULTS: Multivariable-adjusted [age, body mass index, hypertension,
diabetes, hyper-low-density lipoprotein (LDL) cholesterolemia, smoking, and
physical activity] odds ratios and 95% confidence intervals (CIs) were calculated
using logistic regression analysis. Compared with the results for the
nondrinkers, the multivariable-adjusted odds ratios of CKD were as follows: 0.76
(95% CI 0.60-0.95) for 1-2 drinks per week, 0.74 (95% CI 0.59-0.93) for 3-4
drinks per week, 0.79 (95% CI 0.64-0.97) for 5-6 drinks per week, and 0.60 (95%
CI 0.51-0.71) for everyday drinkers. There was a significant inverse trend across
increasing frequency of drinking alcohol (p = 0.001 for trend). CONCLUSIONS: An
inverse association was found between frequency of drinking alcohol and CKD in
apparently healthy men.
PMID- 21879344
TI - Work-related respiratory symptoms and lung function among solderers in the
electronics industry: a meta-analysis.
AB - OBJECTIVE: Research on the respiratory effect of exposure to solder fumes in
electronics workers has been conducted since the 1970s, but has yielded
inconsistent results. The aim of this meta-analysis was to clarify the potential
association. METHODS: Effect sizes with corresponding 95% confidence intervals
(CIs) for odds of respiratory symptoms related to soldering and spirometric
parameters of solderers were extracted from seven studies and pooled to generate
summary estimates and standardized mean differences in lung function measures
between exposed persons and controls. RESULTS: Soldering was positively
associated with wheeze after controlling for smoking (meta-odds ratio: 2.60, 95%
CI: 1.46, 4.63) and with statistically significant reductions in forced
expiratory volume in 1 s (FEV1) (-0.88%, 95% CI: -1.51, -0.26), forced vital
capacity (FVC) (-0.64%, 95% CI: -1.18, -0.10), and FEV1/FVC (-0.35%, 95% CI:
0.65, -0.05). However, lung function parameters of solderers were within normal
ranges [pooled mean FEV1: 97.85 (as percent of predicted), 95% CI: 94.70, 100.95,
pooled mean FVC: 94.92 (as percent of predicted), 95% CI: 81.21, 108.64, and
pooled mean FEV1/FVC: 86.5 (as percent), 95% CI: 78.01, 94.98]. CONCLUSIONS:
Soldering may be a risk factor for wheeze, but may not be associated with a
clinically significant impairment of lung function among electronics workers.
PMID- 21879345
TI - Rapid automatic assessment of microvascular density in sidestream dark field
images.
AB - The purpose of this study was to develop a rapid and fully automatic method for
the assessment of microvascular density and perfusion in sidestream dark field
(SDF) images. We modified algorithms previously developed by our group for
microvascular density assessment and introduced a new method for microvascular
perfusion assessment. To validate the new algorithm for microvascular density
assessment, we reanalyzed a selection of SDF video clips (n = 325) from a study
in intensive care patients and compared the results to (semi-)manually found
microvascular densities. The method for microvascular perfusion assessment
(temporal SDF image contrast analysis, tSICA) was tested in several video
simulations and in one high quality SDF video clip where the microcirculation was
imaged before and during circulatory arrest in a cardiac surgery patient. We
found that the new method for microvascular density assessment was very rapid
(<30 s/clip) and correlated excellently with (semi-)manually measured
microvascular density. The new method for microvascular perfusion assessment
(tSICA) was shown to be limited by high cell densities and velocities, which
severely impedes the applicability of this method in real SDF images. Hence, here
we present a validated method for rapid and fully automatic assessment of
microvascular density in SDF images. The new method was shown to be much faster
than the conventional (semi-)manual method. Due to current SDF imaging hardware
limitations, we were not able to automatically detect microvascular perfusion.
PMID- 21879346
TI - P2Y1, P2Y6, and P2Y12 receptors in rat splenic sinus endothelial cells: an
immunohistochemical and ultrastructural study.
AB - Localization of three P2X and six P2Y receptors in sinus endothelial cells of the
rat spleen was examined by immunofluorescent microscopy, and ultrastructural
localization of the detected receptors was examined by immunogold electron
microscopy. In immunofluorescent microscopy, labeling for anti-P2Y1, P2Y6, and
P2Y12 receptors was detected in endothelial cells, but P2X1, P2X2, P2X4, P2Y2,
P2Y4, and P2Y13 receptors was not detected. P2Y1 and P2Y12 receptors were
prominently localized in the basal parts of endothelial cells. P2Y6 receptor was
not only predominantly localized in the basal parts of endothelial cells, but
also in the superficial layer. Triple immunofluorescent staining for a
combination of two P2Y receptors and actin filaments showed that P2Y1, P2Y6, and
P2Y12 receptors were individually localized in endothelial cells. Phospholipase C
beta3, phospholipase C- gamma2, and inositol-1,4,5-trisphosphate receptors,
related to the release of the intracellular Ca(2+) from the endoplasmic
reticulum, were also predominantly localized in the basal parts of endothelial
cells. In immunogold electron microscopy, labeling for P2Y1, P2Y6, and P2Y12
receptors were predominantly localized in the basal part of endothelial cells
and, in addition, in the junctional membrane, basal plasma membrane, and caveolae
in the basal part of endothelial cells. Labeling for phospholipase C-beta3 and
phospholipase C-gamma2 was dominantly localized in the basal parts and in close
proximity to the plasma membranes of endothelial cells. The possible functional
roles of these P2Y receptors in splenic sinus endothelial cells are discussed.
PMID- 21879348
TI - Regarding "Long-term lead elimination from plasma and whole blood after
poisoning".
PMID- 21879347
TI - Human dental pulp stem cells demonstrate better neural and epithelial stem cell
properties than bone marrow-derived mesenchymal stem cells.
AB - Dental pulp stem cells (hDP-SCs) were primarily derived from pulp tissues of
primary incisors, exfoliated deciduous and permanent third molar teeth. To
understand the characteristics of hDP-SCs from impacted third molar,
proliferation capacities, gene expression profiles, phenotypic, ultrastructural,
and differentiation characteristics were analyzed in comparison with human bone
marrow-derived mesenchymal stem cells (hBM-MSCs), extensively. hDP-SCs showed
more developed and metabolically active cells. Contrary to hBM-MSCs, hDP-SCs
strongly expressed both cytokeratin (CK)-18 and -19, which could involve in
odontoblast differentiation and dentine repair. The intrinsic neuro-glia
characteristics of hDP-MSCs were demonstrated by the expression of several
specific transcripts and proteins of neural stem cell and neurons. These cells
not only differentiate into adipogenic, osteogenic, and chondrogenic lineage, but
also share some special characteristics of expressing some neural stem cell and
epithelial markers. Under defined conditions, hDP-SCs are able to differentiate
into both neural and vascular endothelial cells in vitro. Dental pulp might
provide an alternative source for human MSCs. hDP-SCs with a promising
differentiation capacity could be easily isolated, and possible clinical use
could be developed for neurodegenerative and oral diseases in the future.
PMID- 21879349
TI - Diallyl disulfide induces Ca2+ mobilization in human colon cancer cell line
SW480.
AB - Diallyl disulfide (DADS), one of the major organosulfur compounds of garlic, is
recognized as a group of potential chemopreventive compounds. In this study, we
examines the early signaling effects of DADS on human colorectal cancer cells
SW480 loaded with Ca(2+)-sensitive dye fura-2. It was found that DADS caused an
immediate and sustained rise of [Ca(2+)](i) in a concentration-dependent manner
(EC(50) = 232 MUM). DADS also induced a [Ca(2+)](i) elevation when extracellular
Ca(2+) was removed, but the magnitude was reduced by 45%. Depletion of
intracellular Ca(2+) stores with 2 MUM carbonylcyanide m-chlorophenylhydrazone, a
mitochondrial uncoupler, didn't affect DADS's effect. In Ca(2+)-free medium, the
DADS-induced [Ca(2+)](i) rise was abolished by depleting stored Ca(2+) with 1 MUM
thapsigargin (an endoplasmic reticulum Ca(2+) pump inhibitor). DADS-caused
[Ca(2+)](i) rise in Ca(2+)-containing medium was not affected by modulation of
protein kinase C activity. The DADS-induced Ca(2+) influx was blocked by
nicardipine (10 MUM). U73122, an inhibitor of phospholipase C, abolished ATP (but
not DADS)-induced [Ca(2+)](i) rise. These findings suggest that DADS induced a
significant rise in [Ca(2+)](i) in SW480 colon cancer cells by stimulating both
extracellular Ca(2+) influx and thapsigargin-sensitive intracellular Ca(2+)
release via as yet unidentified mechanisms.
PMID- 21879350
TI - Frowning muscle activity and perception of effort during constant-workload
cycling.
AB - We have recently demonstrated that electromyogram (EMG) amplitude of the frowning
muscles correlates with perception of effort during leg-extension exercise.
However, during aerobic exercise the relationship between facial EMG and
perception of effort has never been investigated. The aim of the present study
was to investigate whether facial EMG reflects perception of effort also during
constant-workload cycling. We investigated the effects of exercise duration and
exercise intensity on facial EMG of the corrugator supercilii muscles, rating of
perceived effort, heart rate, and blood lactate concentration. Twenty
recreationally active male and female volunteers performed a constant-workload
time to exhaustion test on a cycle ergometer. Participants were randomly
allocated to the heavy-intensity [63 +/- 3% peak power output (P(peak))], or the
severe-intensity (80 +/- 5% P(peak)) group. The results show that facial EMG can
differentiate between two exercise intensities during constant-workload cycling.
The effects of exercise duration are inconclusive. Facial EMG increased over time
in the severe-intensity group, but not in the heavy-intensity group. Future
studies testing a wider range of exercise intensities are required to establish a
correlation between facial EMG and exercise intensity during aerobic exercise,
and further investigations are needed to establish why there is a discrepancy
between facial EMG and perception of effort during lower-intensity aerobic
exercise.
PMID- 21879351
TI - Acute metabolic responses to a 24-h ultra-marathon race in male amateur runners.
AB - The study was conducted to evaluate the metabolic responses to a 24 h ultra
endurance race in male runners. Paired venous and capillary blood samples from 14
athletes (mean age 43.0 +/- 10.8 years, body weight 64.3 +/- 7.2 kg, VO(2max)
57.8 +/- 6.1 ml kg(-1) min(-1)), taken 3 h before the run, after completing the
marathon distance (42.195 km), after 12 h, and at the finish of the race, were
analyzed for blood morphology, acid-base balance and electrolytes, lipid profile,
interleukin-6 (IL-6), high-sensitivity C-reactive protein (hsCRP), and serum
enzyme activities. Mean distance covered during the race was 168.5 +/- 23.1 km
(range 125.2-218.5 km). Prolonged ultra-endurance exercise triggered immune and
inflammatory responses, as evidenced by a twofold increase in total leukocyte
count with neutrophils and monocytes as main contributors, nearly 30-fold
increase in serum IL-6 and over 20-fold rise in hsCRP. A progressive exponential
increase in mean creatine kinase activity up to the level 70-fold higher than the
respective pre-race value, a several fold rise in serum activities of aspartate
aminotransferase and alanine aminotransferase, and a fairly stable serum gamma
glutamyl transferase level, were indicative of muscle, but not of liver damage.
With duration of exercise, there was a progressive development of
hyperventilation-induced hypocapnic alkalosis, and a marked alteration in
substrate utilization towards fat oxidation to maintain blood glucose
homeostasis. The results of this study may imply that progressive decline in
partial CO(2) pressure (hypocapnia) that develops during prolonged exercise may
contribute to increased interleukin-6 production.
PMID- 21879352
TI - Effects of aerobic fitness on oxygen uptake kinetics in heavy intensity swimming.
AB - This study aimed to characterise both the VO2 kinetics within constant heavy
intensity swimming exercise, and to assess the relationships between VO2 kinetics
and other parameters of aerobic fitness, in well-trained swimmers. On separate
days, 21 male swimmers completed: (1) an incremental swimming test to determine
their maximal oxygen uptake (VO2 max), first ventilatory threshold (VT), and the
velocity associated with VO2max (vVO(2 max)) and (2) two square-wave transitions
from rest to heavy-intensity exercise, to determine their VO2 kinetics. All the
tests involved breath-by-breath analysis of freestyle swimming using a swimming
snorkel. VO2 kinetics was modelled with two exponential functions. The mean
values for the incremental test were 56.0 +/- 6.0 ml min(-1) kg(-1), 1.45 +/-
0.08 m s(-1); and 42.1 +/- 5.7 ml min(-1) kg(-1) for VO2 max, vVO(2 max) and VT,
respectively. For the square-wave transition, the time constant of the primary
phase (sp) averaged 17.3 +/- 5.4 s and the relevant slow component (A'sc)
averaged 4.8 +/- 2.9 ml min(-1) kg(-1) [representing 8.9% of the end-exercise VO2
(%A'sc)]. sp was correlated with vVO(2 max) (r = -0.55, P = 0.01), but not with
either VO2max (r = 0.05, ns) or VT (r = 0.14, ns). The %A' sc did not correlate
with either VO2max (r = -0.14, ns) or vVO(2 max) (r = 0.06, ns), but was
inversely related with VT (r = -0.61, P < 0.01). This study was the first to
describe the VO2 kinetics in heavy-intensity swimming using specific swimming
exercise and appropriate methods. As has been demonstrated in cycling, faster VO2
kinetics allow higher aerobic power outputs to be attained. The slow component
seems to be reduced in swimmers with higher ventilatory thresholds.
PMID- 21879353
TI - Thioacetamide-induced fulminant hepatic failure induces cerebral mitochondrial
dysfunction by altering the electron transport chain complexes.
AB - Fulminant hepatic failure (FHF) is an acute form of hepatic encephalopathy
resulting from severe inflammatory or necrotic liver damage without any
previously established liver damage. This develops as a complication due to viral
infections, and drug abuse. FHF also occurs in acute disorders like Reye's
syndrome. Although the exact mechanisms in the etiology of FHF are not
understood, elevated levels of brain ammonia have been consistently reported.
Such increased ammonia levels are suggested to alter neurotransmission signals
and impair cerebral energy metabolism due to mitochondrial dysfunctions. In the
present study we have examined the role of cerebral electron transport chain
complexes, including complex I, II, III IV, and pyruvate dehydrogenase in the non
synaptic mitochondria isolated from the cortex of the thioacetamide-induced FHF
rats. Further, we have examined if the structure of mitochondria is altered. The
results of the current study demonstrated a decrease in the activity of the
complex I by 31 and 48% at 18 and 24 h respectively after the thioacetamide
injection. Similarly, the activity of electron transport chain complex III was
inhibited by 35 and 52% respectively, at 18 and 24 h, respectively. The complex
II and complex IV, on the other hand, revealed unaltered activity. Further the
activity of pyruvate dehydrogenase at 18 and 24 h after the induction of FHF was
inhibited by 29 and 43%, respectively. Our results also suggest mitochondrial
swelling in FHF induced rats. The inhibition of the respiratory complexes III and
I and pyruvate dehydrogenase might lead to the increased production of free
radical resulting in oxidative stress and cerebral energy disturbances thereby
leading to mitochondrial swelling and further contributing to the pathogenesis of
FHF.
PMID- 21879354
TI - How the motor system handles nouns: a behavioral study.
AB - It is an open question whether the motor system is involved during understanding
of concrete nouns, as it is for concrete verbs. To clarify this issue, we carried
out a behavioral experiment using a go-no go paradigm with an early and delayed
go-signal delivery. Italian nouns referring to concrete objects (hand-related or
foot-related) and abstract entities served as stimuli. Right-handed participants
read the stimuli and responded when the presented word was concrete using the
left or right hand. At the early go-signal, slower right-hand responses were
found for hand-related nouns compared to foot-related nouns. The opposite pattern
was found for the left hand. These findings demonstrate an early lateralized
modulation of the motor system during noun processing, most likely crucial for
noun comprehension.
PMID- 21879355
TI - Scale-down assessment of the sensitivity of Yarrowia lipolytica to oxygen
transfer and foam management in bioreactors: investigation of the underlying
physiological mechanisms.
AB - A scale-down investigation of the impact of local dissolved oxygen limitation on
lipase production by Y. lipolytica has been performed. One of the major issues
encountered during this kind of process is foam formation, requiring a reduction
of the overall oxygen transfer efficiency of the system in order to keep antifoam
consumption to a reasonable level. A regulation strategy involving oxygen
enrichment of the air flow through the reactor has allowed this issue to be
partly overcome. For a second time, the scale dependency of the process operated
with air enrichment has been investigated by a combination of scale-down and
pilot-scale cultivation tests. The scale-down apparatus considered in this work
comprised a well-mixed part connected to a plug-flow part subjected to dissolved
oxygen limitation. Surprisingly, foaming intensity was greatly reduced in the
case of the test performed in scale-down reactors (SDRs) while maintaining the
same stirring and aeration intensities in the stirred part of the reactor. For
mean residence time of 100 s in the recycle loop of the reactor, foam formation
was significantly reduced while cell growth and lipase production were both
unaltered. When the residence time in the recycle loop was raised to 200 s, the
foam phenomena was also reduced, but the lipase yield was altered as well as lip2
gene transcription and translation as shown by real-time quantitative polymerase
chain reaction (RT-qPCR) and reporter gene activity, respectively. Our results
clearly show the importance of primarily taking into account cell physiology for
the scaling-up procedure.
PMID- 21879356
TI - Encapsulation of R. planticola Rs-2 from alginate-starch-bentonite and its
controlled release and swelling behavior under simulated soil conditions.
AB - The plant growth-promoting bacteria (PGPR) Raoultella planticola Rs-2 was
encapsulated with the various blends of alginate, starch, and bentonite for
development of controlled-release formulations. The stability and release
characteristics of these different capsule formulations were evaluated. The
entrapment efficiency of Rs-2 in the beads (capsules) was more than 99%. The
diameter of dry beads ranged from 0.98 to 1.41 mm. The bacteria release
efficiency, swelling ratio, and biodegradability of the different bead
formulations were enhanced by increasing the starch or alginate contents, but
were impeded by higher bentonite content. The release kinetics of viable cells
from capsules and the swelling ratio of capsules were studied in simulated soil
media of varying temperature, moisture, pH, and salt content. The release of
loaded Rs-2 cells and swelling of capsules are greatly affected by moisture,
temperature, pH and salt content of the release medium. The release of viable Rs
2 cells from capsules was positively associated with the swelling properties of
the capsules. The release of Rs-2 cells occurred through a Case II diffusion
mechanism. In summary, this work indicates that alginate-starch-bentonite blends
are a viable option for the development of efficient controlled-release
formulations of Rs-2 biofertilizer, and which could have a promising application
in natural field conditions.
PMID- 21879357
TI - Constitutional moments in governing science and technology.
AB - Scholars in science and technology studies (STS) have recently been called upon
to advise governments on the design of procedures for public engagement. Any such
instrumental function should be carried out consistently with STS's interpretive
and normative obligations as a social science discipline. This article
illustrates how such threefold integration can be achieved by reviewing current
US participatory politics against a 70-year backdrop of tacit constitutional
developments in governing science and technology. Two broad cycles of
constitutional adjustment are discerned: the first enlarging the scope of state
action as well as public participation, with liberalized rules of access and
sympathetic judicial review; the second cutting back on the role of the state,
fostering the rise of an academic-industrial complex for technology transfer, and
privatizing value debates through increasing delegation to professional
ethicists. New rules for public engagement in the United Sates should take
account of these historical developments and seek to counteract some of the anti
democratic tendencies observable in recent decades.
PMID- 21879359
TI - Ob/ob serum promotes a mesenchymal cell phenotype in B16BL6 melanoma cells.
AB - In 2009, malignant melanoma was responsible for approximately 9,000 deaths in the
US. These deaths are often associated with aggressive metastasis to secondary
sites such as the lungs. Epidemiological and animal studies suggest that obesity
is a risk factor for melanoma. Others have shown that B16BL6 melanoma cells
metastasize more aggressively in obese ob/ob than in lean mice. However, the
mechanism by which obesity promotes B16BL6 melanoma metastasis in ob/ob mice has
not been identified. In the present study, we used serum obtained from control
and ob/ob leptin-deficient obese mice to determine if obese serum increases the
aggressive phenotype of melanoma cells. Results showed that ob/ob serum has
higher levels of resistin, insulin, tPAI1, IL-6, TNF-alpha, and MCP-1 compared to
control serum. We showed that ob/ob serum increases the invasive ability of
B16BL6 melanomas. To further determine the mechanism by which ob/ob serum
increases the invasive ability of melanomas, we determined the effect of ob/ob
and control serum on genes associated with the epithelial-to-mesenchymal
transition (EMT). Cancer cells with a mesenchymal phenotype have a higher
metastatic ability. Snai1 and Twist are genes that are strongly associated with
EMT and metastasis of melanomas. Our results showed that ob/ob serum increases
the expression of Snai1 and Twist. Moreover, ob/ob serum increased matrix
metalloproteast 9 (MMP9) activity and decreased the expression of E-cadherin and
the metastasis suppressor gene Kiss1. In summary, results suggest that obesity
may increase the metastatic ability of melanoma by promoting a mesenchymal cell
phenotype.
PMID- 21879358
TI - Molecular identification of arsenic-resistant estuarine bacteria and
characterization of their ars genotype.
AB - In the present study, 44 arsenic-resistant bacteria were isolated through serial
dilutions on agar plate with concentrations >=0.05 mM of sodium arsenite and >=10
mM of sodium arsenate from Mandovi and Zuari--estuarine water systems. The ars
genotype characterization in 36 bacterial isolates (resistant to 100 mM of sodium
arsenate) revealed that only 17 isolates harboured the arsA (ATPase), B (arsenite
permease) and C (arsenate reductase) genes on the plasmid DNA. The arsA, B and C
genes were individually detected using PCR in 16, 9 and 13 bacterial isolates
respectively. Molecular identification of the 17 isolates bearing the ars
genotype was carried using 16S rDNA sequencing. A 1300 bp full length arsB gene
encoding arsenite efflux pump and a 409 bp fragment of arsC gene coding for
arsenate reductase were isolated from the genera Halomonas and Acinetobacter.
Phylogenetic analysis of arsB and arsC genes indicated their close genetic
relationship with plasmid borne ars genes of E. coli and arsenate reductase of
plant origin. The putative arsenate reductase gene isolated from Acinetobacter
species complemented arsenate resistance in E. coli WC3110 and JM109 validating
its function. This study dealing with isolation of native arsenic-resistant
bacteria and characterization of their ars genes might be useful to develop
efficient arsenic detoxification strategies for arsenic contaminated aquifers.
PMID- 21879360
TI - The neuropsychological underpinnings to psychopathic personality traits in a
nationally representative and longitudinal sample.
AB - Although psychopathy is a major area of research in psychology and criminology,
much remains unknown about its etiological underpinnings. Drawing on data from
the National Longitudinal Study of Adolescent Health, the current study explored
the association between neuropsychological deficits and psychopathic personality
traits and produced three key findings. First, four neuropsychological deficits
measures were consistently related to the measure of psychopathic personality
traits both longitudinally and cross-sectionally. Second, neuropsychological
deficits measures predicted variation in psychopathic personality traits for both
males and females and the magnitude of the association between neuropsychological
deficits and psychopathic personality traits did not vary as a function of
gender. Third, parental socialization measures had relatively small and
inconsistent effects on psychopathic personality traits. Suggestions for future
research are offered.
PMID- 21879362
TI - [Imaging in carcinoma of the upper respiratory tract. TNM classification of
neoplastic lesions].
AB - Computer tomography (CT) and magnetic resonance (MRI) imaging are instrumental in
cancer staging in carcinoma of the upper respiratory tract in that they are able
to identify local spread and tumor invasion, which can often be only
insufficiently evaluated by clinical and endoscopic examination alone. These
additional radiologic findings, in conjunction with the clinical examination, aid
in choosing the most appropriate therapeutic approach. The evaluation of CT and
MRI findings is challenging due to the complex anatomy of the head and neck area.
This article reviews the most important anatomical structures for the evaluation
of cancer invasion and therapeutic decision-making.
PMID- 21879363
TI - [Compatibility of family and medical profession].
AB - The compatibility of family and profession is especially difficult for employees
in medical professions because of shift work and overtime. It seems that in the
future women are going to represent the majority of medical professionals. Hence,
with the manifest lack of physicians social aspects will also play a bigger role
in the choice of the place of employment. In most families the classic role model
prevails although women are well educated and men also set a high value on the
compatibility of family and profession and would like to take parental leave and
work in flexible working hours. This represents a chance, especially for
radiology.
PMID- 21879361
TI - The roles of integrins in mediating the effects of mechanical force and growth
factors on blood vessels in hypertension.
AB - Hypertension is characterized by a sustained increase in vasoconstriction and
attenuated vasodilation in the face of elevated mechanical stress in the blood
vessel wall. To adapt to the increased stress, the vascular smooth muscle cell
and its surrounding environment undergo structural and functional changes known
as vascular remodeling. Multiple mechanisms underlie the remodeling process,
including increased expression of humoral factors and their receptors as well as
adhesion molecules and their receptors, all of which appear to collaborate and
interact in the response to pressure elevation. In this review, we focus on the
interactions between integrin signaling pathways and the activation of growth
factor receptors in the response to the increased mechanical stress experienced
by blood vessels in hypertension.
PMID- 21879364
TI - [Practical implementation of a quality management system in a radiological
department].
AB - This article describes the architecture of a project aiming to implement a DIN EN
ISO 9001 quality management system in a radiological department. It is intended
to be a practical guide to demonstrate each step of the project leading to
certification of the system. In a planning phase resources for the implementation
of the project have to be identified and a quality management (QM) group as core
team has to be formed. In the first project phase all available documents have to
be checked and compiled in the QM manual. Moreover all relevant processes of the
department have to be described in so-called process descriptions. In a second
step responsibilities for the project are identified. Customer and employee
surveys have to be carried out and a nonconformity management system has to be
implemented. In this phase internal audits are also needed to check the new QM
system, which is finally tested in the external certification audit with
reference to its conformity with the standards.
PMID- 21879365
TI - The involvement of the HO-1 pathway in the anti-inflammatory action of a sulfated
polysaccharide isolated from the red seaweed Gracilaria birdiae.
AB - OBJECTIVES: The aim of this study was to investigate the involvement of the
hemoxigenase-1 (HO-1) pathway in the anti-inflammatory action of a sulfated
polysaccharide from the red seaweed Gracilaria birdiae (SP-Gb). METHODS: SP-Gb
(5, 10 and 20 mg/kg) was administered to Wistar rats in a peritonitis model using
carrageenan or a paw edema model using carrageenan or dextran. To analyze the
involvement of HO-1 in the anti-inflammatory activity of SP-Gb, the animals were
pretreated subcutaneously with a specific HO-1 inhibitor (ZnPP IX). To evaluate
the systemic effects, SP-Gb (10 mg/kg) was administered to mice intraperitoneally
before waiting for 48 h or for 14 days. RESULTS: SP-Gb (10 mg/kg) caused an anti
inflammatory effect that was evidenced by a decrease in leukocytes in the
peritoneal cavity. SP-Gb also reduced the paw edema induced by carrageenan and
inhibited the paw edema induced by dextran in the first half-hour. After being
inhibited by ZnPP IX, the anti-inflammatory effect of SP-Gb on carrageenan
induced rat paw edema was not observed. SP-Gb did not cause mortality or
significant changes in the biochemical, hematological and histopathological
parameters. CONCLUSION: SP-Gb may be used as a tool for further investigations
into the inflammatory processes associated with the hemoxigenase-1 pathway.
PMID- 21879366
TI - [Sheared catheter in regional anaesthesia : causes and follow-up of an axiallary
plexus catheter].
AB - In the past years intoxication with local anesthetics, damage to nerves, vessels
and other accompanying structures as well as infectiological events have been
discussed more and more as complications accompanying peripheral nerve blocks
(PNB). The following case report highlights a complication which seems to rarely
occur and deals with a sheared continuous PNB, where a fragment of the catheter
remained in the patient. The possible causes for the damage are discussed and
recommendations on the clinical management of such a case are made.
PMID- 21879367
TI - N2 fixation estimates in real-time by cavity ring-down laser absorption
spectroscopy.
AB - The most common currency for estimating N(2) fixation is acetylene reduction to
ethylene. Real-time estimates of nitrogen fixation are needed to close the global
nitrogen budget and these remain a critical gap in both laboratory and field
experiments. We present a new method for continuous real-time measurements of
ethylene production: Acetylene Reduction Assays by Cavity ring-down laser
Absorption Spectroscopy (ARACAS). In ARACAS, air in the headspace of an
incubation chamber is circulated with a diaphragm pump through a cavity ring-down
ethylene spectrometer and back to the incubation chamber. This paper describes
the new approach and its benefits compared to the conventional detection of
ethylene by flame ionization detector gas chromatography. First, the detection of
acetylene reduction to ethylene is non-intrusive and chemically non-destructive,
allowing for real-time measurements of nitrogenase activity. Second, the
measurements are made instantaneously and continuously at ppb levels, allowing
for observation of real-time kinetics on time intervals as short as a few
seconds. Third, the instrument can be automated for long time periods of
measurement. Finally, the technique will be widely accessible by the research
community as it can be readily adapted to most existing acetylene reduction
protocols and is based on a modestly priced, commercially available instrument.
We illustrate its use for measuring N(2) fixation using two species, the
diazotrophic bacterium Azotobacter vinelandii and the lichen Peltigera
praetextata. We also discuss potential limitations of the approach, primarily the
implications of leaks in the analyzer, as well as future improvements.
PMID- 21879368
TI - Geriatric syndromes in older homeless adults.
AB - BACKGROUND: The average age of the US homeless population is increasing. Little
is known about the prevalence of geriatric syndromes in older homeless adults.
OBJECTIVE: To determine the prevalence of common geriatric syndromes in a sample
of older homeless adults, and to compare these prevalences to those reported in
the general older population. DESIGN: Cross-sectional. PARTICIPANTS: Two hundred
and forty-seven homeless adults aged 50-69 recruited from eight homeless shelters
in Boston, MA. MAIN MEASURES: Interviews and examinations for geriatric
syndromes, including functional impairment, cognitive impairment, frailty,
depression, hearing impairment, visual impairment, and urinary incontinence. The
prevalences of these syndromes in the homeless cohort were compared to those
reported in three population-based cohorts. KEY RESULTS: The mean age of the
homeless cohort was 56.0 years, and 19.8% were women. Thirty percent of subjects
reported difficulty performing at least one activity of daily living, and 53.2%
fell in the prior year. Cognitive impairment, defined as a Mini-Mental State
Examination score <24, was present in 24.3% of participants; impaired executive
function, defined as a Trail Making Test Part B duration >1.5 standard deviations
above population-based norms, was present in 28.3% of participants. Sixteen
percent of subjects met criteria for frailty, and 39.8% had major depression,
defined as a score >=10 on the Patient Health Questionnaire 9. Self-reported
hearing and visual impairment was present among 29.7% and 30.0% of subjects,
respectively. Urinary incontinence was reported by 49.8% of subjects. After
multivariate adjustment for demographic characteristics, homeless adults were
more likely to have functional impairment, frailty, depression, visual impairment
and urinary incontinence compared to three population-based cohorts of older
persons. CONCLUSIONS: Geriatric syndromes that are potentially amenable to
treatment are common in older homeless adults, and are experienced at higher
rates than in the general older population.
PMID- 21879369
TI - The importance of social ties in sustaining medication adherence in resource
limited settings.
PMID- 21879370
TI - Update in perioperative medicine 2011.
PMID- 21879371
TI - Overcoming the rigors of Klebsiella pneumoniae.
PMID- 21879372
TI - The impact of postgraduate training on USMLE(r) step 3(r) and its computer-based
case simulation component.
AB - BACKGROUND: The United States Medical Licensing Examination(r) (USMLE(r)) Step
3(r) examination is a computer-based examination composed of multiple choice
questions (MCQ) and computer-based case simulations (CCS). The CCS portion of
Step 3 is unique in that examinees are exposed to interactive patient-care
simulations. OBJECTIVE: The purpose of the following study is to investigate
whether the type and length of examinees' postgraduate training impacts
performance on the CCS component of Step 3, consistent with previous research on
overall Step 3 performance. DESIGN: Retrospective cohort study PARTICIPANTS:
Medical school graduates from U.S. and Canadian institutions completing Step 3
for the first time between March 2007 and December 2009 (n = 40,588). METHODS:
Post-graduate training was classified as either broadly focused for general areas
of medicine (e.g. pediatrics) or narrowly focused for specific areas of medicine
(e.g. radiology). A three-way between-subjects MANOVA was utilized to test for
main and interaction effects on Step 3 and CCS scores between the demographic
characteristics of the sample and type of residency. Additionally, to examine the
impact of postgraduate training, CCS scores were regressed on Step 1 and Step 2
Clinical Knowledge (CK) scores. Residuals from the resulting regressions were
plotted. RESULTS: There was a significant difference in CCS scores between
broadly focused (MU = 216, sigma = 17) and narrowly focused (MU=211, sigma = 16)
residencies (p < 0.001). Examinees in broadly focused residencies performed
better overall and as length of training increased, compared to examinees in
narrowly focused residencies. Predictors of Step 1 and Step 2 CK explained 55% of
overall Step 3 variability and 9% of CCS score variability. CONCLUSIONS: Factors
influencing performance on the CCS component may be similar to those affecting
Step 3 overall. Findings are supportive of the validity of the Step 3 program and
may be useful to program directors and residents in considering readiness to take
this examination.
PMID- 21879373
TI - Against all odds.
PMID- 21879374
TI - Characteristics of patients with primary non-adherence to medications for
hypertension, diabetes, and lipid disorders.
AB - BACKGROUND: Information comparing characteristics of patients who do and do not
pick up their prescriptions is sparse, in part because adherence measured using
pharmacy claims databases does not include information on patients who never pick
up their first prescription, that is, patients with primary non-adherence.
Electronic health record medication order entry enhances the potential to
identify patients with primary non-adherence, and in organizations with
medication order entry and pharmacy information systems, orders can be linked to
dispensings to identify primarily non-adherent patients. OBJECTIVE: This study
aims to use database information from an integrated system to compare patient,
prescriber, and payment characteristics of patients with primary non-adherence
and patients with ongoing dispensings of newly initiated medications for
hypertension, diabetes, and/or hyperlipidemia. DESIGN: This is a retrospective
observational cohort study. PARTICIPANTS (OR PATIENTS OR SUBJECTS): Participants
of this study include patients with a newly initiated order for an
antihypertensive, antidiabetic, and/or antihyperlipidemic within an 18-month
period. MAIN MEASURES: Proportion of patients with primary non-adherence overall
and by therapeutic class subgroup. Multivariable logistic regression modeling was
used to investigate characteristics associated with primary non-adherence
relative to ongoing dispensings. KEY RESULTS: The proportion of primarily non
adherent patients varied by therapeutic class, including 7% of patients ordered
an antihypertensive, 11% ordered an antidiabetic, 13% ordered an
antihyperlipidemic, and 5% ordered medications from more than one of these
therapeutic classes within the study period. Characteristics of patients with
primary non-adherence varied across therapeutic classes, but these
characteristics had poor ability to explain or predict primary non-adherence
(models c-statistics = 0.61-0.63). CONCLUSIONS: Primary non-adherence varies by
therapeutic class. Healthcare delivery systems should pursue linking medication
orders with dispensings to identify primarily non-adherent patients. We encourage
conduct of research to determine interventions successful at decreasing primary
non-adherence, as characteristics available from databases provide little
assistance in predicting primary non-adherence.
PMID- 21879375
TI - Case report of a patient with osteopoikilosis.
AB - Osteopoikilosis (OPK) is an uncommon osteosclerotic dysplasia. There is no exact
evidence of its etiology and pathogenesis. Usually, it is an asymptomatic
disease, and the diagnosis is made incidentally from radiographs, which show
multiple, small, well-defined, variably shaped and widely distributed sclerotic
areas over the skeleton. In this study, we report a 54-year-old man who suffers
from back and leg pain and was diagnosed OPK by radiologically and review
literature.
PMID- 21879376
TI - Registry of the clinical characteristics of spondyloarthritis in a cohort of
Egyptian population.
AB - The aim of this study was to characterize the socioeconomic features, as well as
disease activity and functional status, treatment use, and quality of life in a
cohort of Egyptian population. All are measured by standard instruments. This is
a descriptive multicenter; cross-sectional study included consecutive patients
with spondyloarthritis (SpAs) diagnosed according to the European
spondyloarthritis study group criteria. Four Egyptian centers participated (one
from the Upper Egypt, one from the Delta, and two from the West Coast), all
adopted the same criteria for patient assessment, and data were collected in the
same data base over a 12-month duration. A total of 75 patients were included in
the study. The series consisted of 34 ankylosing spondylitis (AS) patients (64%),
23 patients with psoriatic arthritis (45.3%), 15 patients with Juvenile onset AS
(18.7%), 2 patients with reactive arthritis (2.7%), and one with inflammatory
bowel disease-related arthritis (1.3%). There was predominance of male patients
(84%). All were Caucasians; 13% from the Upper Egypt, and 87% from the Delta and
West coast Egypt. Their mean age was 37.44 +/- 12.8 years; mean disease duration
was 11.85 +/- 9.27 years. Pure axial disease was reported by 24% of the patients,
and pure peripheral involvement was observed in 4%, while the mixed pattern
(axial, peripheral, and entheseal) was observed in 34%. Dactylitis was detected
in 9.3%, tarsitis in 8%, and enthesitis in 29.3%. The most common extra-articular
manifestation was anterior uveitis, reported by 5.3% of patients. Human
leukocytic antigen B27 (HLA-B27) was positive in 58.7% of the tested patients (n
= 29). Mean Bath Ankylosing Spondylitis Disease activity Index (BASDAI) score was
4.16 +/- 2.12, of which 22 patients had a score more than 4; mean Bath Ankylosing
Spondylitis Functional Index 5.12 +/- 2.40, mean BASMI 4.17 +/- 2.95, mean BAS-GI
5.92 +/- 2.00, and mean Health assessment questionnaire 1.10 +/- 0.65. In
addition, patients with AS showed a higher incapacity for work, felt more pain
and presented more axial affection than others. The most utilized treatments were
the conventional drugs including non-steroidal anti-inflammatory drugs, followed
by sulfasalazine (50%), methotrexate (14%), and steroids (6%). Tumor necrosis
factor-alpha inhibitors were received by 7 patients (14%), mostly in the form of
Infliximab (85.7%) and were used by only 38.7% of patients with BASDAI of 4 or
more. This registry provides the first clinical and demographic data of SpA
patients in Egypt upon which a large-scale database registration can be
initiated. The most frequently diagnosed SpA in Egyptian patients was AS, with
mainly combined axial and peripheral involvement. The clinical spectrum of SpAs
seen among Egyptians is similar to most other registries; however, Egyptian AS
patients showed some differences in the clinical manifestations. The low
frequency of HLA-B27 and the clinical variations in AS may be due to different
genetic and/or environmental factors in Egypt.
PMID- 21879377
TI - Evaluation of apoptosis-related gene Fas (CD95) and FasL (CD178) polymorphisms in
Iranian rheumatoid arthritis patients.
AB - Apoptosis signals are essential for establishing homeostasis and adequate immune
response. Dysregulation of apoptosis-related genes in the immune system, which
could be due to gene polymorphisms, conduct to autoimmune diseases including
rheumatoid arthritis. In the current study, the apoptosis-related gene Fas_
670A>G, FasL_844C>T, and FasLIVS2nt_124A>G polymorphisms were genotyped in 120
Iranian patients with rheumatoid arthritis (RA) and 112 unrelated healthy
controls using PCR-RFLP method. Among the 120 RA patients being heterozygous in
the promoter region of Fas_-670A/G (OR 1.42,CI 0.92-1.52, P = 0.18) and FasL_
844C/T (OR 1.42, CI 0.92-1.52, P = 0.18) and homozygous in the minor allele for
FasLIVS2nt_124G/G (OR 1.43, CI 0.76-1.81, P = 0.7), the frequency of these
polymorphisms is higher in the cases than in controls and the elevated risk of RA
were observed when the patient compared with controls, although this is not
statistically significant.
PMID- 21879378
TI - Recent advances in the biodegradation of chlorothalonil.
AB - Chlorothalonil (TPN; 2,4,5,6-tetrachloroisophthalonitrile) has been widely used
as a broad-spectrum chlorinated aromatic fungicide and its application resulted
in global pollution commonly detected in the diverse ecosystems. Recently,
microbial degradation of TPN has been studied extensively as an effective and
environmental-friendly method to reduce TPN residue levels in the environment.
This review summarizes the current knowledge of recent developments in the
biodegradation of TPN. Diverse pure culture strains capable of degrading TPN were
widely distributed among Proteobacteria and several metabolic pathways of TPN
biotransformation were discovered. The two key genes (glutathione S-transferase
and chlorothalonil hydrolytic dehalogenase coding gene) responsible for the
conversion of TPN and recent findings for future practical bioremediation of TPN
contaminated ecosystem are also discussed.
PMID- 21879379
TI - Clinical pathologic conference case 5: agranulocytosis.
PMID- 21879380
TI - Moderating effects of aggression on the associations between social withdrawal
subtypes and peer difficulties during early adolescence.
AB - Recent research has revealed significant heterogeneity in the peer difficulties
associated with social withdrawal subtypes during early adolescence, but little
is known about possible sources of that heterogeneity. This study of 194 Indian
young adolescents (48% female; 90% Hindu; M age= 13.35 years) evaluated whether
the peer adversity related to self-reported social withdrawal subtypes (shyness,
unsociability, avoidance) varied as a function of peer-nominated overt and
relational aggression, and gender. Regression analyses revealed that overt
aggression and gender moderated the pathways between shyness and peer exclusion
and peer victimization such that the associations were significant and positive
only for boys who were high and girls who were low in overt aggression. Several
additional moderator effects were found, including results revealing that
relational aggression (in certain cases, in conjunction with gender) moderated
the association between: (1) avoidance and peer exclusion and peer rejection, (2)
shyness and peer rejection, and (3) unsociability and peer victimization. For
adolescents who were average and low in relational aggression, avoidance was
positively related to peer rejection, and unsociability was positively related to
peer victimization. However, only for boys who were high in relational
aggression, avoidance was found to be positively related to peer exclusion, and
shyness was positively related to peer rejection. The findings highlight the
importance of considering additional individual risk factors in studies of social
withdrawal subtypes and point to important differences for young adolescent
withdrawn boys and girls.
PMID- 21879381
TI - Growing up too soon? Parentification among immigrant and native adolescents in
Germany.
AB - Parentification (adolescents' adoption of adult family roles by providing
instrumental or emotional support for their parents) is assumed to be higher in
immigrant than native families. An often discussed reason for parentification is
the adolescent-parent acculturation gap in immigrant families whereby immigrant
adolescents acculturate faster and outperform their parents socio-culturally. The
aim of this multi-informant, multi-group study was to investigate levels,
predictors, and psychosocial outcomes of instrumental and emotional
parentification. The sample comprised 197 native (adolescents: mean age 14.7
years, 52% female) and 185 ethnic German immigrant (adolescents: mean age 15.7
years, 60% female) mother-adolescent dyads. Results revealed higher levels of
emotional and instrumental parentification among immigrant adolescents. Parents'
partnership dissatisfaction predicted instrumental and emotional parentification
only in the native German sample. Among immigrants, language brokering related to
instrumental and emotional parentification, and a larger mother-adolescent
acculturation gap was associated with higher levels of emotional parentification.
The positive psychosocial outcome, self-efficacy, was predicted by instrumental
parentification in both adolescent groups. Exhaustion, the negative outcome,
however, was related to higher levels of instrumental and lower levels of
emotional parentification only in the immigrant group. The results of this study
highlight that family systems can change due to migration to another country,
with adolescents becoming more responsible for family matters than is normative
for their age. However, only some of these premature responsibilities carry a
risk of maladaptation, with others seeming to provide opportunities for positive
developmental growth.
PMID- 21879382
TI - Involuntary craniofacial lingual movements in intensive care-acquired
quadriplegia.
AB - BACKGROUND: The syndrome of involuntary craniofacial lingual movements in the
setting of acute intensive care-acquired quadriplegia (critical illness
neuromyopathy) following sepsis-associated encephalopathy has not been previously
described. We suggest a localization and treatment for this disabling condition.
METHODS: Three patients (2 female) from our center were quadriplegic from
critical illness neuromyopathy when they developed involuntary craniofacial
lingual movements following sepsis-associated encephalopathy. RESULTS: Extensive
investigations failed to identify an etiology for the abnormal movements.
Movements were of large amplitude, of moderate speed, and semi-rhythmic in the
jaw, tongue, and palate, persistent and extremely bothersome to all patients.
Injection with Botulinum toxin type A was very beneficial. CONCLUSIONS:
Involuntary craniofacial lingual movements in the setting of flaccid quadriplegia
following sepsis-associated encephalopathy are consistent with focal craniofacial
brainstem myoclonus and constitutes a new syndrome. Botulinum toxin type A
treatment maybe helpful in treatment.
PMID- 21879385
TI - OMIT: a domain-specific knowledge base for microRNA target prediction.
AB - Identification and characterization of the important roles microRNAs (miRNAs)
perform in human cancer is an increasingly active research area. Unfortunately,
prediction of miRNA target genes remains a challenging task to cancer
researchers. Current processes are time-consuming, error-prone, and subject to
biologists' limited prior knowledge. Therefore, we propose a domain-specific
knowledge base built upon Ontology for MicroRNA Targets (OMIT) to facilitate
knowledge acquisition in miRNA target gene prediction. We describe the ontology
design, semantic annotation and data integration, and user-friendly interface and
conclude that the OMIT system can assist biologists in unraveling the important
roles of miRNAs in human cancer. Thus, it will help clinicians make sound
decisions when treating cancer patients.
PMID- 21879384
TI - Polymorph formation and nucleation mechanism of tolfenamic acid in solution: an
investigation of pre-nucleation solute association.
AB - PURPOSE: Crystallization from solution involves nucleation and growth; growth
conditions greatly influence self-association behaviors of solute molecules in
these steps, affecting crystal packing of organic molecules. We examined the role
of pre-nucleation association to provide insights into the mutual influence
between molecular conformation in solution and packing in the solid state.
METHODS: Crystallization experiments of tolfenamic acid were conducted in ethanol
under different supersaturation conditions. UV spectroscopy was performed to
study self-association of solute molecules in ethanol as a function of
concentration. Intermolecular interaction energies of tolfenamic acid dimers were
calculated with quantum mechanical methods. RESULTS: As supersaturation
increased, growth of the most stable polymorph outpaced the metastable one,
contradicting Ostwald's Rule of Stages. UV spectroscopy measurement suggests
solute molecules exist as hydrogen-bonded dimers and more dimers form as total
concentration increases. Hydrogen bonding in the most stable form is
significantly stronger than that in the metastable form. CONCLUSIONS: With the
fact that molecular conformation is different in the two polymorphs, as
concentration increases, solute molecules rearrange their conformations to form
stronger hydrogen-bonded dimers in solution, resulting in nucleation of the most
stable form.
PMID- 21879383
TI - White matter abnormalities and their impact on attentional performance in adult
attention-deficit/hyperactivity disorder.
AB - Inattention is the most important behavioral feature of adult patients with
attention-deficit/hyperactivity disorder (ADHD). Neuroimaging studies in ADHD
have demonstrated abnormalities primarily in the frontostriatal circuitry and
were mostly conducted in children. We investigated white matter (WM) integrity in
adult ADHD patients and the correlation of WM microstructure and
neuropsychological parameters in 37 (21 men) never-medicated adult ADHD patients
and 34 age- and gender-matched healthy controls. All subjects underwent clinical
interviews, rating scales, and neuropsychological tests of attentional
performance. Diffusion tensor imaging (DTI) was acquired, and 12 WM regions-of
interest (ROIs) within the attentional network were chosen. Group differences of
mean fractional anisotropy (FA) and mean diffusivity (MD) values were calculated
for each ROI, and patients' DTI measures were then correlated with measures of
attentional performance. FA values in ADHD patients were significantly reduced in
the left inferior longitudinal fasciculus (ILF), while MD values were
significantly increased in ADHD patients in the frontal portion of the left
frontooccipital fasciculus (IFO). In ADHD patients, MD values were negatively
correlated with attentional performance in the left ILF. Our findings provide
further support for disturbed frontostriatal structural connectivity and also
point to an involvement of the left temporal white matter with an impact on
attentional performance.
PMID- 21879386
TI - Delivery of brain-derived neurotrophic factor via nose-to-brain pathway.
AB - PURPOSE: To investigate the plausibility of delivering brain-derived neurotrophic
factor (BDNF) to brain via nose-to-brain pathway using chitosan as barrier
modulating agent. METHODS: Effect of different viscosity grades chitosan at
different concentrations on permeation of fluorescein isothio-cyanate dextran (FD
40 K) across bovine olfactory mucosa was studied using Franz diffusion cells.
Medium viscosity chitosan was used to carry out permeation studies of BDNF.
Pharmacokinetic and pharmacodynamic studies were carried out in Sprague dawley
rats upon intranasal/i.v administration of different formulations. RESULTS:
Medium viscosity chitosan more efficiently enhanced permeation of FD 40 K across
olfactory mucosa compared to other grades. In case of BDNF, medium viscosity
chitosan (0.25% w/v) enhanced permeation ~14-fold over control (18.78 +/- 16.69
ng/cm(2)). Brain bioavailability of rats administered intranasally with BDNF
solution containing chitosan was significantly enhanced ~13-fold compared to rats
administered with same concentration of BDNF solution without chitosan. In rats
subjected to immobilization stress, BDNF solution containing chitosan
significantly decreased immobility time. CONCLUSIONS: Intranasal formulations
containing chitosan as barrier-modulating agent significantly enhanced brain
bioavailability of BDNF. Delivery of BDNF was found to counteract stress-induced
depression in rats.
PMID- 21879387
TI - Cationic liposomal co-delivery of small interfering RNA and a MEK inhibitor for
enhanced anticancer efficacy.
AB - PURPOSE: To test whether co-delivery of anticancer small interfering RNA (siRNA)
and a chemical MEK inhibitor using cationic liposomes enhances anticancer
activity in vitro and in vivo. METHOD: MEK inhibitor PD0325901 was encapsulated
in lipid layers of N',N''-dioleylglutamide-based cationic liposomes (DGL). Mcl1
specific siRNA (siMcl1) was complexed to DGL or PD0325901-loaded liposomes
(PDGL). Efficiency of cellular siRNA delivery was tested using fluorescent double
stranded RNA. Silencing of target proteins was evaluated using Western blotting
and real-time quantitative polymerase chain reactions. In vivo anticancer
activity was tested using xenografted mice. RESULTS: Size and zeta potential of
PDGL were similar to DGL. PDGL could deliver double-stranded RNA into cells with
efficiencies comparable to DGL. Cellular co-delivery of siMcl1 and PD0325901
reduced expression of Mcl1 and pERK1/2 proteins and more effectively reduced
tumor cell survival than other treatments. In mice, siMcl1 and PD0325901 co
delivered by PDGL inhibited growth of tumors 79%. Substantial apoptosis of tumor
cells was observed following PDGL-mediated co-delivery of siMcl1, but not in
other groups. CONCLUSIONS: PDGL-mediated co-delivery of siMcl1 and MEK inhibitor,
PD0325901, could serve as a potential strategy for combination chemogene
anticancer therapy.
PMID- 21879388
TI - Comparative investigations on in vitro serum stability of polymeric micelle
formulations.
AB - PURPOSE: Stability of polymeric micelles upon injection is essential for a drug
delivery system but is not fully understood. We optimized an analytical test
allowing quantification of micellar stability in biofluids and applied it to a
variety of block copolymer micelles with different hydrophobic block
architechtures. METHODS: Polymeric micelles were prepared from four different
polymers and investigated via encapsulation of two fluorescent dyes. Samples were
incubated in human serum; changes in Foerster Resonance Energy Transfer (FRET)
were recorded as a function of time. This fluorescence-based approach was
supported semi-quantitatively by results from Asymmetrical Flow Field-Flow
Fractionation (AF4). RESULTS: After incubation experiments, micellar stability
was determined by calculation of two stability-indicating parameters: residual
micellar fractions (RMFs) and in vitro serum half-lives. Both parameters showed
that PEG-PVPy micelles rapidly destabilized after 3 h (RMF < 45%), whereas PEG
PLA, PEG-PLGA and PEG-PCL micelles were far more stable (RMFs 65 to 98%).
CONCLUSION: This FRET-based assay is a valuable tool in evaluating and screening
serum stability of polymeric micelles and revealed low serum stability of PEG
PVPy micelles compared to polyester-based micelles.
PMID- 21879390
TI - Students' learning as the focus for shared involvement between universities and
clinical practice: a didactic model for postgraduate degree projects.
AB - In an academic programme, completion of a postgraduate degree project could be a
significant means of promoting student learning in evidence- and experience-based
practice. In specialist nursing education, which through the European Bologna
process would be raised to the master's level, there is no tradition of including
a postgraduate degree project. The aim was to develop a didactic model for
specialist nursing students' postgraduate degree projects within the second cycle
of higher education (master's level) and with a specific focus on nurturing
shared involvement between universities and healthcare settings. This study
embodies a participatory action research and theory-generating design founded on
empirically practical try-outs. The 3-year project included five Swedish
universities and related healthcare settings. A series of activities was
performed and a number of data sources secured. Constant comparative analysis was
applied. A didactic model is proposed for postgraduate degree projects in
specialist nursing education aimed at nurturing shared involvement between
universities and healthcare settings. The focus of the model is student learning
in order to prepare the students for participation as specialist nurses in
clinical knowledge development. The model is developed for the specialist nursing
education, but it is general and could be applicable to various education
programmes.
PMID- 21879389
TI - MicroRNA replacement therapy for cancer.
AB - MicroRNA are small noncoding RNAs that translationally repress their target
messenger RNAs. Many microRNAs are expressed at reduced levels in tumors.
microRNAs with reduced expression in cancer often regulate oncogenes, resulting
in enhanced tumor growth. One therapeutic option is to restore microRNA levels in
the tumor to that of the non-diseased tissue. This is possible by delivering
microRNA to the tumor in the form of an oligonucleotide mimic or by expressing
the microRNA in the cancer using a gene vector. This article surveys the field of
oligonucleotide mimics and gene vector approaches to restore microRNA levels in
tumors and reviews the literature on experimental and pre-clinical studies that
have used these approaches to treat cancer.
PMID- 21879391
TI - In vitro and in vivo investigation of drug-eluting implants for the treatment of
periodontal disease.
AB - This paper developed solvent-free drug-eluting implants for metronidazole
delivery for the treatment of periodontal disease and investigated the
characteristics of the drug's release from the implants, both in vitro and in
vivo, using an HPLC assay. The metronidazole exhibited a two-stage release
behavior in vitro with an initial burst release followed by a diffusion
controlled release and then a secondary burst release. The accumulated drug
release reached 100% on the 18th day, and the drug-eluting implant was totally
dissolved on the same day. Additionally, the drug-eluting disks were implanted
within the sub-gingival space of both lower incisors of six rabbits. The curve of
in vivo drug release was smoother and showed a predominantly diffusion-controlled
release. The implants were totally dissolved at 2 weeks after implantation. The
concentration of metronidazole remained above the MIC(90) during the entire
investigation.
PMID- 21879392
TI - Formulation and evaluation of in situ gelling systems for intranasal
administration of gastrodin.
AB - Gastrodin is the major bioactive constituent of the traditional Chinese drug
"Tianma." It is used in the treatment of some nervous system diseases and can be
transported to the brain via intranasal administration. In the current paper, the
development of a novel ion-activated in situ gelling system for the nasal
delivery of gastrodin is discussed. An in situ perfusion model was used to
determine the absorption-rate constant of gastrodin through rat nasal mucosa. The
optimal formulation was determined by measuring the critical cation
concentration, anti-dilution capacity, gel expansion coefficient, water-holding
capacity, and adhesive capacity. The best formulation consisted of 10% gastrodin,
0.5% deacetylated gellan gum as the gelatinizer, and 0.03% ethylparaben as the
preservative. The rheological properties of gastrodin nasal in situ gels were
also investigated. The viscosity and elasticity sharply increased at temperatures
below 25 degrees C. When physiological concentrations of cations were added into
the preparation, the mixture gelled into a semi-solid. The results of an
accelerated stability test show that gastrodin nasal in situ gels can be stable
for more than 2 years. Mucociliary toxicity was evaluated using the in situ toad
palate model and the rat nasal mucociliary method; both models demonstrated no
measurable ciliotoxicity. Pharmacodynamic studies suggest that similar acesodyne
and sedative effects were induced following intranasal administration of 50 mg/kg
gastrodin nasal in situ gels or oral administration of 100 mg/kg gastrodin
solution. The in situ gel preparation is a safe and effective nasal delivery
system for gastrodin.
PMID- 21879393
TI - Brimonidine tartrate-eudragit long-acting nanoparticles: formulation,
optimization, in vitro and in vivo evaluation.
AB - In the present study, an effort was made to design prolonged release Eudragit
nanoparticles of brimonidine tartrate by double emulsion-solvent evaporation
technique for the treatment of open-angle glaucoma. The effect of various
formulation variables like initial drug amount, lecithin proportion, phase volume
and pH, secondary emulsifier and polymer proportion were studied. Various process
variables like energy and duration of emulsification, lyophilization on the
characteristics of nanoparticles and in vitro drug release profile were studied.
The selected formulations were subjected to in vivo intraocular pressure-lowering
efficacy studies by administering aqueous dispersion of nanoparticles into the
lower cul de sac of glaucomatous rabbits. The prepared Eudragit-based
nanoparticles were found to have narrow particle size range and improved drug
loading. The investigated process and formulation variables found to have
significant effect on the particle size, drug loading and entrapment efficiency,
and in vitro drug release profile of nanoparticles. The selected formulations
upon in vivo ocular irritability and tolerability tests were found to be well
tolerated with no signs of irritation. In vivo pharmacodynamic efficacy studies
revealed that the selected nanoparticle formulations significantly improved the
therapy as area under the ?IOP vs. time curve [AUC((?IOP vs. t))] showed several
fold increase in intensity and duration of intraocular pressure (IOP) decrease.
All the selected nanoparticle formulations were found to prolong the drug release
in vitro and prolong IOP reduction efficacy in vivo, thus rendering them as a
potential carrier in developing improved drug delivery systems for the treatment
of glaucoma.
PMID- 21879394
TI - Enhanced bioavailability of atorvastatin calcium from stabilized gastric resident
formulation.
AB - Oral bioavailability of atorvastatin calcium (ATC) is very low (only 14%) due to
instability and incomplete intestinal absorption and/or extensive gut wall
extraction. When ATC is packed in the form of tablets, powders, etc., it gets
destabilized as it is exposed to the oxidative environment, which is usually
present during the production process, the storage of the substance, and the
pharmaceutical formulation. Therefore, stabilized gastro-retentive floating
tablets of ATC were prepared to enhance bioavailability. Water sorption and
viscosity measurement studies are performed to get the best polymer matrix for
gastro-retention. A 3(2) factorial design used to prepare optimized formulation
of ATC. The selected excipients such as docusate sodium enhanced the stability
and solubility of ATC in gastric media and tablet dosage form. The best
formulation (F4) consisting of hypromellose, sodium bicarbonate, polyethylene
oxide, docusate sodium, mannitol, crosscarmellose sodium, and magnesium stearate,
gave floating lag time of 56 +/- 4.16 s and good matrix integrity with in vitro
dissolution of 98.2% in 12 h. After stability studies, no significant change was
observed in stability, solubility, floating lag time, total floating duration,
matrix integrity, and sustained drug release rates, as confirmed by DSC and
powder X-ray diffraction studies. In vivo pharmacokinetic study performed in
rabbits revealed enhanced bioavailability of F4 floating tablets, about 1.6 times
compared with that of the conventional tablet (Storvas(r) 80 mg tablet). These
results suggest that the gastric resident formulation is a promising approach for
the oral delivery of ATC for improving bioavailability.
PMID- 21879395
TI - Cyberknife hypofractionated stereotactic radiosurgery (HSRS) of resection cavity
after excision of large cerebral metastasis: efficacy and safety of an 800 cGy *
3 daily fractions regimen.
AB - Development of hypofractionated stereotactic radiosurgery (HSRS) has expanded the
size of lesion that can be safely treated by focused radiation in a limited
number of treatment sessions. However, clinical data regarding the efficacy and
morbidity of HSRS in the treatment of cerebral metastasis is lacking. Here, we
review our experience with CyberKnife((r)) HSRS for this indication. From 2005 to
2010, we identified 37 patients with large (>3 cm in diameter) cerebral
metastases resection cavity that was treated with HSRS. This constituted
approximately 8% of all treated resection cavities. We reviewed dose regimens,
local control, distal control, and treatment associated morbidities. Primary
sites for the metastatic lesions included: lung (n = 10), melanoma (n = 12),
breast (n = 9), kidney (n = 4), and colon (n = 2). All patients underwent
resection of the cerebral metastasis and received 800 cGy * 3 daily fractions to
the resection cavity. Of the 37 patients treated, one-year follow-up data was
available for 35 patients. The median survival was 5.5 months. Actuarial local
control rate at 6 months was 80%. Local failures did not correlate with prior
WBRT, or tumor histology. Distant recurrence occurred in 7 of the 35 patients.
Morbidities associated with HSRS totaled 9%, including radiation necrosis (n = 1,
2.9%), prolonged steroid use (n = 1, 2.9%), and new-onset seizures (n = 1, 2.9%).
This study demonstrates the safety and efficacy of an 800 cGy * 3 daily fractions
CyberKnife((r)) HSRS regimen for irradiation of large resection cavity. The
efficacy compares favorably to historical data derived from patients undergoing
WBRT, SRS, or brachytherapy.
PMID- 21879397
TI - How do snails meet fish? Landscape perspective needed to study parasite
prevalence.
AB - We echo the viewpoints presented in the recent editorials and forums in ecohealth
in 2009 and 2010 that understanding the links between water and health using
biogeography can provide insights into the patterns of and the processes that
give rise to the distribution of disease prevalence. In particular, we underscore
the need to integrate disease ecology and biogeography using landscape ecological
approaches. We use opisthorchiasis, a major public health problem in Southeast
Asia, to illustrate our opinions.
PMID- 21879398
TI - Does urban sprawl impact on self-rated health and psychological distress? A
multilevel study from Sydney, Australia.
AB - Mental health can be influenced by a number of neighbourhood physical and social
environmental characteristics. We aimed to determine whether urban sprawl (based
on population density) in Sydney, Australia, is associated with self-rated health
and psychological distress. We used a cross-sectional multilevel study design.
Individual level data on self-rated health and psychological distress were
obtained from the 2006 and 2007 NSW Population Health Survey. We did not find
significant associations between urban sprawl and self-rated health and
psychological distress after controlling for individual and area level
covariates. However, positive neighbourhood factors were generally associated
with better self-rated health and lower psychological distress but few of these
associations were statistically significant.
PMID- 21879399
TI - [Diagnostic criteria for eating disorders: what will DSM-5 feature?].
AB - The diagnostic criteria of the classic eating disorders anorexia nervosa (AN) and
bulimia nervosa (BN) are characterized by both a high validity and clinical
utility. However, up to 50% of patients in specialized eating disorder centers do
not meet the full diagnostic criteria and are relegated to the residual diagnosis
"eating disorders not otherwise specified (EDNOS)". Eating disorders are more
varied and variable than the ICD-10 or DSM-IV criteria suggest. This article
describes the suggested modifications of the diagnostic criteria for eating
disorders for the DSM-5. The DSM-5 abstains from setting a specific numerical
standard for weight for AN and reduces the required minimum frequency for BN and
binge eating disorder (BED) to once a week over the last 3 months. This will
likely reduce the number of cases in the EDNOS category. In addition, the DSM-5
provides brief descriptions of several conditions of potential clinical
significance without providing detailed criteria in order to stimulate additional
research.
PMID- 21879400
TI - Dynamic contrast-enhanced magnetic resonance imaging with Gd-EOB-DTPA for the
evaluation of liver fibrosis in chronic hepatitis patients.
AB - OBJECTIVES: To develop a non-invasive MRI method for evaluation of liver
fibrosis, with histological analysis as the reference standard. METHODS: The
study protocol was approved by the Institutional Review Board for Human Studies
of our hospital, and written informed consent was obtained from all subjects.
Seventy-nine subjects who received dynamic contrast-enhanced MRI (DCE-MRI) with
Gd-EOB-DTPA were divided into three subgroups according to Metavir score: no
fibrosis (n = 30), mild fibrosis (n = 34), and advanced fibrosis (n = 15). The
DCE-MRI parameters were measured using two models: (1) dual-input single
compartment model for arterial blood flow (F (a)), portal venous blood flow,
total liver blood flow, arterial fraction (ART), distribution volume, and mean
transit time; and (2) curve analysis model for Peak, Slope, and AUC. Statistical
analysis was performed with Student's t-test and the nonparametric Kruskal-Wallis
test. RESULTS: Slope and AUC were two best perfusion parameters to predict the
severity of liver fibrosis (>F2 vs. ?F2). Four significantly different variables
were found between non-fibrotic versus mild-fibrotic subgroups: F (a), ART,
Slope, and AUC; the best predictor for mild fibrosis was F (a) (AUROC:0.701).
CONCLUSIONS: DCE-MRI with Gd-EOB-DTPA is a noninvasive imaging, by which multiple
perfusion parameters can be measured to evaluate the severity of liver fibrosis.
PMID- 21879401
TI - TRPA1-mediated accumulation of aminoglycosides in mouse cochlear outer hair
cells.
AB - Aminoglycoside ototoxicity involves the accumulation of antibiotic molecules in
the inner ear hair cells and the subsequent degeneration of these cells. The
exact route of entry of aminoglycosides into the hair cells in vivo is still
unknown. Similar to other small organic cations, aminoglycosides could be brought
into the cell by endocytosis or permeate through large non-selective cation
channels, such as mechanotransduction channels or ATP-gated P2X channels. Here,
we show that the aminoglycoside antibiotic gentamicin can enter mouse outer hair
cells (OHCs) via TRPA1, non-selective cation channels activated by certain
pungent compounds and by endogenous products of lipid peroxidation. Using
conventional and perforated whole-cell patch clamp recordings, we found that
application of TRPA1 agonists initiates inward current responses in wild-type
OHCs, but not in OHCs of homozygous Trpa1 knockout mice. Similar responses
consistent with the activation of non-selective cation channels were observed in
heterologous cells transfected with mouse Trpa1. Upon brief activation with TRPA1
agonists, Trpa1-transfected cells become loaded with fluorescent gentamicin-Texas
Red conjugate (GTTR). This uptake was not observed in mock-transfected or non
transfected cells. In mouse organ of Corti explants, TRPA1 activation resulted in
the rapid entry of GTTR and another small cationic dye, FM1-43, in OHCs and some
supporting cells, even when hair cell mechanotransduction was disrupted by pre
incubation in calcium-free solution. This TRPA1-mediated entry of GTTR and FM1-43
into OHCs was observed in wild-type but not in Trpa1 knockout mice and was not
blocked by PPADS, a non-selective blocker of P2X channels. Notably, TRPA1
channels in mouse OHCs were activated by 4-hydroxynonenal, an endogenous molecule
that is known to be generated during episodes of oxidative stress and accumulate
in the cochlea after noise exposure. We concluded that TRPA1 channels may provide
a novel pathway for the entry of aminoglycosides into OHCs.
PMID- 21879402
TI - Similar outcomes for nail versus plate fixation of three-part proximal humeral
fractures.
AB - BACKGROUND: There is a lack of consensus regarding optimal surgical management of
displaced and unstable three-part proximal humeral fractures. QUESTIONS/PURPOSES:
The objective of this prospective observational study was to compare the clinical
and radiologic outcomes of plate versus nail fixation of three-part proximal
humeral fractures. PATIENTS AND METHODS: Two hundred eleven patients with
unstable three-part proximal humeral fractures were treated with ORIF using plate
(PHILOS [proximal humeral interlocking system]/LPHP [locking proximal humerus
plate]) or nail (PHN [proximal humeral nail]) osteosynthesis. Outcome
measurements included pain, Constant and Murley and Neer scores, and the
occurrence of complications at 3, 6, and 12 months postsurgery. Regression
analysis and the likelihood ratio test were used to evaluate differences between
the cohorts. RESULTS: Throughout the 1-year followup period the Constant and
Murley scores improved significantly for both cohorts; there was no significant
difference between the nail group compared with the plate group. Also, 1-year
Neer scores were similar between the two cohorts. Patients in the PHN group
perceived significantly less pain compared with patients in the plate fixation
group at 3, 6 and 12 months after surgery. We observed 79 local complications in
60 patients with no significant risk difference between the treatment groups; 35
intraoperative complications were directly related to the initial surgical
procedure. CONCLUSIONS: The similar 1-year outcomes for nail versus plate
fixation of three-part proximal humeral fractures suggest that both techniques
may be useful for internal fixation of these fractures. Many complications were
related to incorrect surgical technique and therefore can be avoided. Advanced
surgical skills and experience are considered to be more critical for successful
operative treatment of three-part proximal humeral fractures than the selection
of the implant. LEVEL OF EVIDENCE: Level II, therapeutic study (prospective
comparative study). See the Guidelines for Authors for a complete description of
levels of evidence.
PMID- 21879403
TI - Is reverse shoulder arthroplasty appropriate for the treatment of fractures in
the older patient? Early observations.
AB - BACKGROUND: The treatment of comminuted proximal humerus fractures in older
patients is challenging. Variable values of functional outcomes scores, ROMs,
patient satisfaction, and bony healing have been reported with conventional
techniques, including open reduction and internal fixation, percutaneous pinning,
and hemiarthroplasty. Another alternative is reverse total shoulder arthroplasty,
although it is unclear whether this provides better ROM or function.
QUESTIONS/PURPOSES: We (1) evaluated ROM, pain level, and American Shoulder and
Elbow Surgeons scores of patients who had a reverse total shoulder arthroplasty
for displaced three- and four-part proximal humerus fracture and (2) identified
clinical and radiographic complications from the procedure. PATIENTS AND METHODS:
We retrospectively reviewed 30 patients in three institutions who had undergone a
primary reverse total shoulder arthroplasty for displaced three- or four-part
proximal humerus fractures. Mean age was 77 years (range, 65-94 years). Minimum
followup was 12 months (mean, 23 months; range, 12-36 months). RESULTS: Mean
postoperative American Shoulder and Elbow Surgeons score was 78 (range, 36-98),
mean active forward flexion was 139 degrees (range, 90 degrees -180 degrees ),
and mean active external rotation was 27 degrees (range, 0 degrees -45 degrees
). Mean American Shoulder and Elbow Surgeons pain score was 0.7 (range, 0-5) and
mean visual analog scale pain score was 1.1 (range, 0-5). Complications were
identified in three of 30 patients (10%). CONCLUSIONS: At short term, reverse
total shoulder arthroplasty relieved pain and improved function. The complication
rate compared favorably with those reported for other treatment alternatives.
LEVEL OF EVIDENCE: Level IV, therapeutic study. See Guidelines for Authors for a
complete description of levels of evidence.
PMID- 21879404
TI - Orthopaedic case of the month: Elbow pain in a 9-year-old boy.
PMID- 21879405
TI - Which factors influence preservation of the osteonecrotic femoral head?
AB - BACKGROUND: Although surgeons use many procedures to preserve the femoral head in
patients with hip osteonecrosis, there is no consensus regarding the best
procedure. QUESTIONS/PURPOSES: We raised the following questions: (1) Is one
surgical treatment preferred based on published data? (2) What are the rates of
radiographic progression or conversion to THA after treatment of pre- and
postcollapse hips? (3) Does lesion size in the femoral head influence
progression? And (4) does the extent of involvement of the weightbearing surface
of the femoral head influence outcome? METHODS: We searched MEDLINE and Scopus
for articles published between 1998 and 2010. We included only articles assessing
an operative intervention for hip osteonecrosis and having a level of evidence of
I to IV. We included 54 of the 488 reviewed manuscripts. RESULTS: No procedure
was superior to others. In pre- and postcollapse hips, 264 of 864 hips (31%) and
419 of 850 hips (49%), respectively, exhibited radiographic disease progression.
There were lower failure rates when the lesion involved less than 15% of the
femoral head or had a necrotic angle of less than 200 degrees (14%-25%) and when
the osteonecrotic lesion involved only the medial 1/3 of the weightbearing
surface (4.6%). CONCLUSIONS: The best treatment of precollapse lesions is
difficult to determine due to the limitations of the available literature.
However, the data suggest operative intervention prevents collapse of small
lesions of the femoral head or when there is a limited amount of the
weightbearing surface involved. Patients with head collapse have a high
progression rate after a femoral head-saving procedure.
PMID- 21879406
TI - Accumulation of fatty marrow in the osteonecrotic hip mimicking joint infection.
AB - BACKGROUND: Factors such as lipids or cholesterol crystals in body fluids can
cause a spurious elevation of leukocytes (WBC) in an automated cell count. This
artifact can occur in automated WBC counts of hip fluid from femoral head
osteonecrosis (ON), and an erroneous diagnosis of septic arthritis can be made.
QUESTION/PURPOSES: We describe the frequency of this artifactual leukocytosis in
femoral head ON and how to differentiate it from septic arthritis. METHODS: From
September 1997 to June 2004, 414 patients (486 hips) with femoral head ON were
scheduled to undergo THAs. Although we had no preoperative suspicion of
infection, we intraoperatively suspected concomitant pyogenic arthritis in eight
patients (eight hips) because pus-like fluid gushed from the joint during the
operation. To confirm the presence or absence of infection we intraoperatively
evaluated the joint fluid with automated blood cell count and microscopy. The
automated WBC count was elevated in all eight patients. In seven of the eight
patients, WBCs were not identified under microscopic observation and the
leukocytosis of automated cell counting was considered to be erroneous. These
seven patients underwent THAs. The minimum followup was 3 years (average, 45
months; range, 36-60 months). RESULTS: These seven patients were considered to
have a spurious elevation of WBC (range, 4500-18,400/mm(3); mean, 8970/mm(3)) in
an automated cell count. The prevalence of the spurious leukocytosis was 1.4%
(7/414) in osteonecrotic hips. In all seven patients, we observed numerous fat
cells and globules instead of WBCs under microscopic observation. No bacteria
were seen in the smear and culture of the joint fluid. The seven patients
underwent THAs, and no patient had a subsequent deep wound infection as of the
last followup. CONCLUSIONS: Fatty joint effusion in an osteonecrotic hip may
appear like pus and erroneously can be interpreted as leukocytes in an automated
cell count. In this situation, a microscopic evaluation of joint fluid smear
should be performed to determine the presence of infection and the treatment
method. LEVEL OF EVIDENCE: Level III, prognostic study. See Guidelines for
Authors for a complete description of levels of evidence.
PMID- 21879407
TI - Endoprosthetic treatment is more durable for pathologic proximal femur fractures.
AB - BACKGROUND: Pathologic proximal femur fractures result in substantial morbidity
for patients with skeletal metastases. Surgical treatment is widely regarded as
effective; however, failure rates associated with the most commonly used
operative treatments are not well defined. QUESTIONS/PURPOSES: We therefore
compared surgical treatment failure rates among intramedullary nailing,
endoprosthetic reconstruction, and open reduction-internal fixation when applied
to impending or displaced pathologic proximal femur fractures. PATIENTS AND
METHODS: We retrospectively compared the clinical course of 298 patients who
underwent intramedullary nailing (n = 82), endoprosthetic reconstruction (n =
197), or open reduction-internal fixation (n = 19) from 1993 to 2008. Primary
outcome was treatment failure, which was defined as reoperation for any reason.
Treatment groups were compared for differences in demographic and clinical
parameters. RESULTS: The number of treatment failures in the endoprosthetic
reconstruction group (3.1%) was significantly lower than in the intramedullary
nailing (6.1%) and open reduction-internal fixation (42.1%) groups. The number of
revisions requiring implant exchange also was significantly lower for
endoprosthetic reconstruction (0.5%), compared with intramedullary nailing (6.1%)
and open reduction-internal fixation (42.1%). CONCLUSIONS: Endoprosthetic
reconstruction is associated with fewer treatment failures and greater implant
durability. Prospective studies are needed to determine the impact of operative
strategy on function and quality of life. LEVEL OF EVIDENCE: Level III,
therapeutic study. See Guidelines for Authors for a complete description of
levels of evidence.
PMID- 21879408
TI - Alumina-on-alumina THA in patients with juvenile idiopathic arthritis: a 5-year
followup study.
AB - BACKGROUND: THA is a concern in juvenile idiopathic arthritis (JIA) owing to
patients' youth, poor bone stock, and small physical size. QUESTIONS/PURPOSES: We
asked whether (1) uncemented alumina-on-alumina THAs have good clinical and
radiographic results at midterm followup in young patients with inflammatory
arthritis and end-stage hip disease secondary to JIA, and (2) the anatomic center
of rotation of the hip could be reconstructed in patients with acetabular
protrusion. We also assessed the rate of surgical complications. PATIENTS AND
METHODS: We retrospectively reviewed 31 alumina-on-alumina THAs in 21 patients
with a mean age of 30.9 years (range, 14-48 years). Minimum followup until the
time of revision of any component or the latest evaluation was 16 months. For
nonrevised cases, the minimum followup was 60 months (range, 60-108 months).
Acetabular protrusion was mild in 17 hips (Group 1) and moderate-severe in 14
(Group 2). Bone autograft was used to reconstruct the acetabulum in Group 2.
Acetabular reconstruction was evaluated according to Ranawat et al. RESULTS: One
cup was revised owing to aseptic loosening at 16 months; the remaining hips
showed good clinical and radiographic results. The mean postoperative horizontal
distance and the distance between the center of the head of the prosthesis and
the true center of the femoral head improved in Group 2. There were no
complications related to alumina. CONCLUSIONS: Although THA is a technically
demanding procedure in patients with JIA, uncemented alumina-on-alumina THA
provides pain relief and improves quality of life. In patients with acetabular
protrusion, bone grafts enable anatomic cup positioning. Continued followup will
be required to determine whether the alumina-on-alumina bearings in patients with
JIA result in less osteolysis and loosening. LEVEL OF EVIDENCE: Level IV,
therapeutic study. See Guidelines for Authors for a complete description of
levels of evidence.
PMID- 21879409
TI - Endoprostheses last longer than intramedullary devices in proximal femur
metastases.
AB - BACKGROUND: The proximal femur is the most common site of surgery for bone
metastases, and stabilization may be achieved through intramedullary fixation
(IMN) or endoprosthetic reconstruction (EPR). Intramedullary devices are less
expensive, less invasive, and may yield improved function over endoprostheses.
However, it is unclear which, if either, has any advantages. QUESTIONS/PURPOSES:
We determined whether function, complications, and survivorship differed between
the two approaches. METHODS: We retrospectively reviewed 158 patients with 159
proximal femur metastatic lesions treated with surgical stabilization. Forty-six
were stabilized with IMN and 113 were treated with EPR. The minimum followup was
0.25 months (mean, 16 months; median, 17 months; range, 0.25-86 months). RESULTS:
The mean Musculoskeletal Tumor Society score was 24 of 30 (80%) after IMN and 21
of 30 (70%) after EPR. There were 12 complications (26%) in the IMN group,
including 10 nonunions, six of which went on to mechanical failure. There were
complications in 20 of 113 (18%) of the EPR group, which consisted of 10
dislocations (9%) and 10 infections (9%). There were no mechanical failures with
EPR. Both implants remained functional for the limited lifespan of these patients
in each group at all time intervals. EPRs were associated with increased implant
longevity compared with IMNs (100% versus 85% 5-year survival, respectively) and
a decreased rate of mechanical failure (0% versus 11%, respectively) when
compared with the intramedullary devices. CONCLUSIONS: Patients with metastatic
disease to the proximal femur may live for long periods of time, and these
patients may undergo stabilization with either IMN or EPR with comparable
functional scores and the implant survivorship exceeding patient survivorship at
all time intervals. Endoprostheses demonstrate a lower mechanical failure rate
and a higher rate of implant survivorship without mechanical failure than IMN
devices. LEVEL OF EVIDENCE: Level III, therapeutic study. See Guidelines for
Authors for a complete description of levels of evidence.
PMID- 21879410
TI - Are African American patients more likely to receive a total knee arthroplasty in
a low-quality hospital?
AB - BACKGROUND: Total joint arthroplasty is widely performed in patients of all races
with severe osteoarthritis. Prior studies have reported that African American
patients tend to receive total joint arthroplasties in low-volume hospitals
compared with Caucasian patients, suggesting potential racial disparity in the
quality of arthroplasty care. QUESTIONS/PURPOSES: We asked whether (1) a hospital
outcome measure of risk-adjusted mortality or complication rate within 90 days of
primary TKA can be directly used to profile hospital quality of care, and (2)
African Americans were more likely to receive TKAs at low-quality hospitals (or
hospitals with higher risk-adjusted outcome rate) compared with Caucasian
patients. PATIENTS AND METHODS: We developed a risk-adjusted, 90-day
postoperative outcome measure to identify high-, intermediate-, and low-quality
hospitals based on patient records in the Medicare Provider Analysis and Review
files between July 1, 2002, and June 30, 2005 (the first cohort). We then
analyzed a second cohort of African American and Caucasian patients receiving
Medicare who underwent primary TKAs between July and December 2005 to determine
the independent impact of race on admissions to high-, intermediate-, and low
quality hospitals. RESULTS: The risk-adjusted postoperative
mortality/complication rate varied substantially across hospitals; hospitals can
be meaningfully categorized into quality groups. In the second cohort of
admissions, 8% of African American patients (n = 4894) versus 9.2% of Caucasian
patients (n = 86,705) were treated in high-quality hospitals whereas 14.7% of
African American patients versus 12.7% of Caucasians patients were treated in low
quality hospitals. After controlling for patient demographic, socioeconomic,
geographic, and diagnostic characteristics, the odds ratio for admission to low
quality hospitals was 1.28 for African American patients compared with Caucasian
patients (95% CI, 1.18-1.41). CONCLUSIONS: Among elderly Medicare beneficiaries
undergoing TKA, African American patients were more likely than Caucasian
patients to be admitted to hospitals with higher risk-adjusted postoperative
rates of complications or mortality. Future work is needed to address the
residential, social, and referring factors that underlie this disparity and
implications for outcomes of care.
PMID- 21879412
TI - Does international normalized ratio level predict pulmonary embolism?
AB - BACKGROUND: Preventing pulmonary embolism is a priority after major
musculoskeletal surgery. The literature contains discrepant data regarding the
influence of anticoagulation on the incidence of pulmonary embolism after joint
arthroplasty. The American College of Chest Physicians guidelines recommend
administration of oral anticoagulants (warfarin), aiming for an international
normalized ratio (INR) level between 2 and 3. However, recent studies show
aggressive anticoagulation (INR > 2) can lead to hematoma formation and increased
risk of subsequent infection. QUESTIONS/PURPOSES: We asked whether an INR greater
than 2 protects against pulmonary embolism. PATIENTS AND METHODS: We identified
9112 patients with 10,122 admissions for joint arthroplasty between 2004 and
2008. All patients received warfarin for prophylaxis, aiming for an INR level of
2 or lower. We assessed 609 of 10,122 admissions (6%) for pulmonary embolism
using CT, ventilation/perfusion scan, or pulmonary angiography, and 163 of 10,122
admissions (1.6%) had a proven pulmonary embolism. RESULTS: Fifteen of 163
admissions (9%) had an INR greater than 2 before or on the day of workup compared
to 35 of 446 admissions (8%) who were negative. We observed no difference between
the INR values in patients with or without pulmonary embolism. CONCLUSIONS: We
found no clinically relevant difference in the INR values of patients who did or
did not develop pulmonary embolism. The risk of bleeding should be weighed
against the risk of pulmonary embolism when determining an appropriate target INR
for each patient, as an INR less than 2 may reduce the risk of bleeding while
still protecting against pulmonary embolism. LEVEL OF EVIDENCE: Level III,
therapeutic study. See Instructions to Authors for a complete description of
levels of evidence.
PMID- 21879413
TI - Classification of three-dimensional thoracic deformities in adolescent idiopathic
scoliosis from a multivariate analysis.
AB - PURPOSE: Understanding how to classify and quantify three-dimensional (3D) spinal
deformities remains an open question in adolescent idiopathic scoliosis. The
objective of this study was to perform a 3D manifold characterization of
scoliotic spines demonstrating thoracic deformations using a novel geometric and
intuitive statistical tool to determine patterns in pathological cases. METHODS:
Personalized 3D reconstructions of thoracic (T)/lumbar (L) spines from a cohort
of 170 Lenke Type-1 patients were analyzed with a non-linear manifold embedding
algorithm in order to reduce the high-dimensionality of the data, using
statistical properties of neighbouring spine models. We extracted sub-groups of
the data from the underlying manifold structure using an unsupervised clustering
algorithm to understand the inherent distribution and determine classes of
pathologies which appear from the low-dimensional space. RESULTS: For Lenke Type
1 patients, four clusters were detected from the low-dimensional manifold of 3D
models: (1) normal kyphosis (T) with hyper-lordosis (L) and high Cobb angles (37
cases), (2) low kyphosis (T) and normal lordosis (L), with high rotation of plane
of maximum curvature (55 cases), (3) hypo-kyphotic (T) and hyper-lordosis (L) (21
cases) and (4) hyper-kyphotic (T) with strong vertebral rotation (57 cases).
Results show the manifold representation can potentially be useful for
classification of 3D spinal pathologies such as idiopathic scoliosis and serve as
a tool for understanding the progression of deformities in longitudinal studies.
CONCLUSIONS: Quantitative evaluation illustrates that the complex space of spine
variability can be modeled by a low-dimensional manifold and shows the existence
of an additional hyper-kyphotic subgroup from the cohort of 3D spine
reconstructions of Lenke Type-1 patients when compared with previous findings on
the 3D classification of spinal deformities.
PMID- 21879417
TI - CME instructions: the nuclear cardiology report: problems, predictors, and
improvement. A report from the ICANL database.
PMID- 21879414
TI - Anterior surgery in selective patients with massive ossification of posterior
longitudinal ligament of cervical spine: technical note.
AB - STUDY DESIGN: The study includes case series, technical note and review of
literature. OBJECTIVE: The objective of this study was to assess the validity of
the radiographic indicator and the result of anterior operation for massive
ossification of posterior longitudinal ligament (MOPLL, ossification of posterior
longitudinal ligament with an occupying ratio exceeding 50%). Anterior
decompression yielded a better outcome than posterior approach in patients with
MOPLL of cervical spine. But anterior surgery has the problem of technically
demanding and was associated with a high incidence of surgery-related
complications. Many ways for reducing the risk of anterior surgery have been
reported, including floating method, employing microscopes or burrs, and laser
assisted corpectomy. MATERIALS AND METHODS: A case series of selective patients
with MOPLL of cervical spine undergoing anterior surgery is reported. All
patients were strictly selected based on CT images with the appearance of open
base. 29 cases with more than 12 months follow-up (average, 31.0 +/- 10.0 m) were
reviewed. Average age at operation was 59.3 +/- 8.2 years (43-73 years). Anterior
decompression was done only for one or two vertebrae. RESULTS: One corpectomy was
done in 13 cases, two corpectomies in 3 cases, and one corpectomy and one
discectomy in 13 cases. Three levels were fused in 16 cases and two levels in 13
cases. No permanent neurological deterioration was observed. Neurological
improvement was observed in every patients with an average improvement rate of 64
+/- 23%. Mesh migration was observed in one case. A fusion rate of 100% was
achieved. CONCLUSION: Anterior surgery using our technique may be a relatively
simple and safe procedure in selective patients with massive ossification of
posterior longitudinal ligament of cervical spine.
PMID- 21879418
TI - The costs of switching attentional sets.
AB - People prioritize those aspects of the visual environment that match their
attentional set. In the present study, we investigated whether switching from one
attentional set to another is associated with a cost. We asked observers to
sequentially saccade toward two color-defined targets, one on the left side of
the display, the other on the right, each among a set of heterogeneously colored
distractors. The targets were of the same color (no attentional set switch
required) or of different colors (switch of attentional sets necessary), with
each color consistently tied to a side, to allow observers to maximally prepare
for the switch. We found that saccades were less accurate and slower in the
switch condition than in the no-switch condition. Furthermore, whenever one of
the distractors had the color associated with the other attentional set, a
substantial proportion of saccades did not end on the target, but on this
distractor. A time course analysis revealed that this distractor preference
turned into a target preference after about 250-300 ms, suggesting that this is
the time required to switch attentional sets.
PMID- 21879419
TI - Fechner, information, and shape perception.
AB - How do retinal images lead to perceived environmental objects? Vision involves a
series of spatial and material transformations--from environmental objects to
retinal images, to neurophysiological patterns, and finally to perceptual
experience and action. A rationale for understanding functional relations among
these physically different systems occurred to Gustav Fechner: Differences in
sensation correspond to differences in physical stimulation. The concept of
information is similar: Relationships in one system may correspond to, and thus
represent, those in another. Criteria for identifying and evaluating information
include (a) resolution, or the precision of correspondence; (b) uncertainty about
which input (output) produced a given output (input); and (c) invariance, or the
preservation of correspondence under transformations of input and output. We
apply this framework to psychophysical evidence to identify visual information
for perceiving surfaces. The elementary spatial structure shared by objects and
images is the second-order differential structure of local surface shape.
Experiments have shown that human vision is directly sensitive to this higher
order spatial information from interimage disparities (stereopsis and motion
parallax), boundary contours, texture, shading, and combined variables.
Psychophysical evidence contradicts other common ideas about retinal information
for spatial vision and object perception.
PMID- 21879420
TI - Improved lymph node harvest from resected colon cancer specimens did not cause
upstaging from TNM stage II to III.
AB - BACKGROUND: The number of lymph nodes retrieved and examined from a resected
colon cancer specimen may be crucial for correct staging. We examined if efforts
to increase the lymph node harvest to more than 12 lymph nodes per specimen would
upstage some patients from TNM stage II to III. METHODS: Three hospitals compared
results from 2000 with those of 2007 in 421 resected patients with stage II and
III colon cancer. Hospital A endeavored to improve the surgical procedure while
the pathologists enhanced the quality of lymph node sampling. Hospital B did not
make any marked changes, while hospital C introduced the GEWF lymph node solvent
(glacial acetic acid, ethanol, distilled water, and formaldehyde) in their
pathology method. RESULTS: In 2000, 12 or more lymph nodes were harvested in
39.6, 45.0, and 21.1% of the specimens from the three hospitals, while the
figures for 2007 were 85.7, 42.0, and 90.3%, respectively. The significant
increase in lymph node harvest in two of the hospitals in 2007 compared to 2000
(p < 0.001) did not affect the share of patients with stage III in 2007 (38.7%)
compared to 2000 (44.1%) (p = 0.260). The number of positive lymph nodes and the
lymph node ratio (LNR) decreased from 2000 to 2007. A lymph node yield of 12 or
more was not associated with an increased probability of positive lymph nodes in
a multivariable logistic regression analysis. CONCLUSION: More radical surgery
and dedicated pathologists and the use of the GEWF solvent significantly
increased the lymph node yield but did not upstage patients from TNM stage II to
III.
PMID- 21879421
TI - Factors associated with recurrence within 2 years after curative surgery for
gastric adenocarcinoma.
AB - BACKGROUND: Despite curative surgery for gastric cancer, many patients die of
recurrent cancer. Few studies have investigated the time to recurrence after
curative resection for gastric cancer. METHODS: Data were collected prospectively
between December 1987 and December 2006. A total of 1,549 patients underwent
curative resection of adenocarcinoma of the stomach at Taipei Veterans General
Hospital. Among them, 419 patients had recurrence; they were divided into early
recurrence (<2 years) and late recurrence (>=2 years). The clinicopathological
characteristics, survival time after recurrence, and recurrence patterns were
compared between the two groups. RESULTS: Multivariate analysis showed that stage
III gastric cancer patients with early recurrence had larger tumors and more
lymph node metastasis than patients with late recurrence, while no difference
between early and late recurrence was observed in stage I and II patients. Early
recurrence was associated with more distant metastasis than was late recurrence.
Patients with advanced TNM stage tended to die within 2 years after recurrence.
CONCLUSIONS: Gastric cancer patients with larger tumors and more lymph node
metastasis tended to have early recurrence, especially stage III patients.
Advanced TNM stage was associated with early cancer death after recurrence.
PMID- 21879422
TI - Staging of peripheral-type intrahepatic cholangiocarcinoma: appraisal of the new
TNM classification and its modifications.
AB - BACKGROUND: In the seventh edition, the TNM classification for intrahepatic
cholangiocarcinoma (IHC) is separated from that for hepatocellular carcinoma.
Because it is the first independent classification for IHC, the validity of the
new classification needs to be evaluated. METHODS: The medical records of 93
patients with peripheral-type IHC who underwent treatment (61 resected and 32
unresectable tumors) were retrospectively reviewed focusing on the new TNM
classification for IHC. RESULTS: The 5-year survival rate and the median survival
time for the 61 patients with resected tumors were 33.9% and 2.05 years,
respectively. The survival of the patients with periductal invasion was similar
to that of the patients without. The survival of the patients with metastasis to
the gastrohepatic lymph nodes (G-LN) was dismal without any 2-year survivors and
similar to that of the patients with unresectable tumors (P = 0.247). On
multivariate analysis, histologic differentiation (P = 0.034), multiple tumors (P
= 0.014), lymph node metastasis (P < 0.001), and distant metastasis other than
lymph node metastasis (P = 0.007) were identified as independent prognostic
factors. According to the above results, we modified the new TNM classification
as follows: IHC with multiple tumors was classified as pT4 disease; periductal
invasion was excluded from determinant of the T-categories; and metastasis to G
LN was treated as distant metastasis. The survival curves based on our
modifications were better stratified than those based on the new TNM
classification. CONCLUSIONS: The TNM classification for IHC included some
clinical inconsistencies; therefore, we proposed some modifications of the new
TNM classification for IHC and demonstrated that these modifications offer better
stratification of the survival data. Further revisions are necessary for its
improvement.
PMID- 21879423
TI - The surgical treatment of Graves' disease in children and adolescents.
AB - BACKGROUND: Surgery as definitive treatment of pediatric Graves' disease is
recommended for children and adolescents experiencing adverse effects of
thionamides or disease relapse after at least 2 years of medical treatment. In
addition, it is indicated in patients with a large goiter or with suspicious
nodules. Total or near-total thyroidectomy should be performed, since subtotal
thyroidectomy is associated with a high risk of relapse in this group. Patients
should be referred to surgeons experienced in thyroid surgery because studies
show that children and adolescents have a higher complication rate than adults.
METHODS: This is a retrospective matched case-control study. To analyze
postoperative morbidity of children and adolescents (mean age = 15 +/- 3 years)
with Graves' disease who underwent total thyroidectomy between 2000 and 2010 in
our department, a statistically identical group of adults (mean age = 46 +/- 3)
who also underwent total thyroidectomy for Graves' disease was matched as a
control. End points were surgical complications like postoperative bleeding,
transient and permanent recurrent laryngeal nerve palsy, and transient and
permanent hypoparathyroidism. RESULTS: There was no significant difference in the
mean operation time (137 +/- 33 min), the rate of intraoperative parathyroid
gland autotransplantation (9.5%), postoperative bleeding (4.8%), transient and
permanent recurrent laryngeal nerve palsy (4.8 and 0%), and transient and
permanent hypocalcemia (28.6 and 0%). CONCLUSION: Total thyroidectomy in children
and adolescents with Graves' disease performed in a department that specializes
in endocrine surgery is a safe procedure with no higher complication rates than
total thyroidectomy in adults with Graves' disease.
PMID- 21879424
TI - Incidence and prevention of postoperative venous thromboembolism: are they
meaningful quality indicators in Japanese health care settings?
AB - BACKGROUND: Venous thromboembolism (VTE) epidemiology varies widely across
surgical procedures. At present, there are few epidemiologic reports regarding
VTE in Japan. Japanese VTE prophylaxis guidelines recommend a risk-based approach
based on previous epidemiologic statistics. VTE includes deep vein thrombosis
(DVT) and pulmonary embolism (PE). PE prevention is the main goal, although the
relation between PE and DVT is still controversial. METHODS: We collected
administrative data for 1,016,496 surgical patients from 260 hospitals. We
analyzed DVT and PE incidence and selected two subgroups for further analysis:
gastroenterologic surgery and specific orthopedic surgery (high-frequency group).
RESULTS: Overall DVT incidence was 1947 (0.19%); and the PE incidence was 538
(0.05%). DVT case fatality rate was 3.44% (67/1947); that for PE was 22.86%
(123/538). Both overall and subgroup incidences were comparable to those in
previous reports. Subgroup analyses in the high-frequency group did not show a
relation between DVT and PE. VTE prophylaxis did not show a relation between DVT
and PE despite 82.0% [corrected] adherence. CONCLUSIONS: Our results are
consistent with established data regarding DVT and PE incidence. Administrative
data available in Japan provides a powerful epidemiologic tool to characterize
rare diseases such as DVT and PE. DVT is not a suitable quality indicator in
Japan. However, PE is too rare to be considered a rate-based outcome indicator,
and VTE prophylaxis is [corrected] widely applied to be used as a process
indicator. VTE measurement is not a useful quality indicator in Japan to compare
hospitals but provides a longitudinal self-survey.
PMID- 21879425
TI - Global financial crisis and surgical practice: the Greek paradigm.
AB - Apart from the significant implications of recent financial crisis in overall
health indices and mortality rates, the direct effect of health resources
redistribution in everyday clinical practice is barely recognized. In the case of
Greece, health sector reform and health spending cuts have already had a major
impact on costly interventions, particularly in surgical practice. An increase in
utilization of public health resources, lack of basic and advanced surgical
supplies, salary deductions, and emerging issues in patient management have
contributed to serious dysfunction of a public health system unable to sustain
current needs. In this context, significant implications arise for the surgeons
and patients as proper perioperative management is directly affected by reduced
public health funding. The surgical community has expressed concerns about the
quality of surgical care and the future of surgical progress in the era of the
European Union. Greek surgeons are expected to support reform while maintaining a
high level of surgical care to the public. The challenge of cost control in
surgical practice provides, nevertheless, an excellent opportunity to reconsider
health economics while innovation through a more traditional approach to the
surgical patient should not be precluded. A Greek case study on the extent of the
current situation is presented with reference to health policy reform, serving as
an alarming paradigm for the global community under the pressure of a profound
financial recession.
PMID- 21879426
TI - Lithium-associated hyperparathyroidism: surgical strategies in the era of
minimally invasive parathyroidectomy.
AB - BACKGROUND: Lithium remains an effective treatment of bipolar affective disorder.
The long-term use of lithium is associated with an alteration in parathyroid
function that may culminate in hyperparathyroidism. The long-term effects of
lithium use are variable due to its complex effects on calcium homeostasis and
bone metabolism, and as a consequence the indications for surgery remain poorly
defined. The optimal surgical strategy for lithium-associated hyperparathyroidism
in the era of minimally invasive surgery is also the subject of debate. The aim
of the present study was to evaluate the variable findings of lithium-associated
parathyroid disease. METHODS: A retrospective review was performed of patients
undergoing parathyroid surgery presenting with lithium-associated
hyperparathyroidism from July 1999 until July 2009 at the university hospital La
Timone, Marseille, and from October 2005 to July 2009 at Hammersmith Hospital,
Imperial College, London. Fifteen patients underwent surgery for lithium
associated hyperparathyroidism. Clinical data including patient demographics,
duration of lithium use, clinical manifestations of hyperparathyroidism,
indications for surgery, and biochemical parameters preoperatively and
postoperatively were reviewed. Preoperative imaging, the surgical procedure
performed, operative findings, and histopathology were also analyzed. RESULTS:
All 15 patients had preoperative imaging: sestamibi scanning showed that 10
patients had localized single-gland disease, 1 had multiple hot spots, and 4 had
a negative scan. Ultrasonography demonstrated a single abnormal gland in 8
patients and multiple enlarged glands in 1 patient; the test was negative in 6.
As a consequence of concordant preoperative imaging a minimally invasive approach
(endoscopic or a focused lateral approach) was adopted in 3 patients. Focused
surgery demonstrated an enlarged hyperplastic gland in 3 cases and resulted in
normocalcemia in the immediate postoperative period. However, one patient has a
serum calcium at the upper limit of normal and elevated parathyroid hormone (PTH)
levels, suggestive of possible recurrence of disease at 15 months follow-up. One
patient has permanent hypoparathyroidism. In those patients who had open
procedures, final histology showed hyperplastic multiglandular disease in 10
patients (83.3%) of patients and single-gland disease in 2 patients (16.7%). None
of these patients show evidence of recurrence at follow-up. CONCLUSIONS: Lithium
hyperparathyroidism is predominantly a multiglandular disease characterized by
asymmetrical hyperplasia that is frequently associated with misleading or
discordant localization studies. Bilateral neck exploration is therefore
recommended in order to minimize the risk of disease recurrence.
PMID- 21879427
TI - Crossing the boundaries of 'colonial psychiatry'. Reflections on the development
of psychiatry in British India, C. 1870-1940.
AB - This article explores the development of psychiatric institutions within the
context of British colonial rule in India, in particular during the late
nineteenth and early twentieth centuries. Existing scholarship on 'colonial
medicine' has tended to focus on colonial power and hegemony and the British
endeavour to 'colonize the Indian body' during the nineteenth century. It is
suggested here that reference to 'colonial' medicine and psychiatry tends to
reify the ideology of colonialism and neglect other important dimensions such as
the role of international scientific networks and the mental hospital as the
locus of care and medicalization. From the later period of British colonial
engagement in south Asia, people's right and entitlement to medical care and the
colonial state's obligation to provide institutional treatment facilities
received increased attention. As the early twentieth-century case of an Indian
hospital superintendent shows, practitioners' professional ambitions went beyond
the confines of 'colonial psychiatry'. He practiced in his institution science
based psychiatry, drawing on models and treatment paradigms that were then
prevalent in a variety of countries around the globe.
PMID- 21879428
TI - Sexual satisfaction, relationship satisfaction, and health are associated with
greater frequency of penile-vaginal intercourse.
PMID- 21879429
TI - Judgments of sexual orientation and masculinity-femininity based on thin slices
of behavior: a cross-cultural comparison.
AB - Studies of North Americans suggest that laypeople can judge the sexual
orientation of others with greater than chance accuracy based on brief
observations of their behavior (i.e., "gaydar" exists). One factor that appears
to contribute to these judgments is targets' degree of masculinity-femininity.
However, behaviors related to sexual orientation and to masculinity-femininity
might vary across cultures. Thus, cross-cultural work is needed to test whether
judgments of sexual orientation are more accurate when targets and raters are
from the same culture. American and Czech male targets, 38 homosexual and 41
heterosexual, were videotaped and brief segments of the videotapes were presented
to American and Czech raters. Overall, raters' judgments of targets' sexual
orientation were related to targets' self-reported sexual orientation. However,
the relationship was stronger when targets were judged by raters from their own
country. In general, results suggest that there are both cross-cultural
similarities and differences in gaydar and in cues related to sexual orientation.
PMID- 21879430
TI - Body odor quality predicts behavioral attractiveness in humans.
AB - Growing effort is being made to understand how different attractive physical
traits co-vary within individuals, partly because this might indicate an
underlying index of genetic quality. In humans, attention has focused on
potential markers of quality such as facial attractiveness, axillary odor
quality, the second-to-fourth digit (2D:4D) ratio and body mass index (BMI). Here
we extend this approach to include visually-assessed kinesic cues (nonverbal
behavior linked to movement) which are statistically independent of structural
physical traits. The utility of such kinesic cues in mate assessment is
controversial, particularly during everyday conversational contexts, as they
could be unreliable and susceptible to deception. However, we show here that the
attractiveness of nonverbal behavior, in 20 male participants, is predicted by
perceived quality of their axillary body odor. This finding indicates covariation
between two desirable traits in different sensory modalities. Depending on two
different rating contexts (either a simple attractiveness rating or a rating for
long-term partners by 10 female raters not using hormonal contraception), we also
found significant relationships between perceived attractiveness of nonverbal
behavior and BMI, and between axillary odor ratings and 2D:4D ratio. Axillary
odor pleasantness was the single attribute that consistently predicted
attractiveness of nonverbal behavior. Our results demonstrate that nonverbal
kinesic cues could reliably reveal mate quality, at least in males, and could
corroborate and contribute to mate assessment based on other physical traits.
PMID- 21879431
TI - A case of perforative peritonitis caused by a piece of bamboo in a patient on
peritoneal dialysis.
AB - We report a case of peritonitis resulting from colon perforation caused by
ingestion of a rare foreign body in a patient on peritoneal dialysis (PD). A 72
year-old woman on PD was hospitalized with abdominal pain and cloudy PD fluid
(PDF). Although conventional antibiotic therapy was started because of a
diagnosis of infectious peritonitis, low-grade fever, abdominal pain and a high
number of white blood cells in PDF persisted. On day 3, anaerobic bacteria were
recognized on bacterial culture of PDF, suggesting a gastrointestinal etiology.
During exploratory laparotomy, sigmoidal perforation by a piece of bamboo,
probably resulting from ingestion of contaminated food, was found.
PMID- 21879432
TI - [Urooncology - our competence!].
PMID- 21879433
TI - [Interdisciplinary Working Group Bladder Cancer (IABC) in the German Cancer
Society newly founded].
PMID- 21879434
TI - [Preoperative evaluation of adult patients prior to elective, non-cardiac
surgery. Joint recommendations of German Society of Anesthesiology and Intensive
Care Medicine, German Society of Surgery and German Society of Internal
Medicine].
AB - Evaluation of the patient's medical history and a physical examination are the
cornerstones of risk assessment prior to elective surgery and may help to
optimize the patient's preoperative medical condition and to guide perioperative
management. Whether the performance of additional technical tests (e.g. blood
chemistry, ECG, spirometry, chest-x-ray) can contribute to a reduction of
perioperative risk is often not very well known or controversial. Similarly,
there is considerable uncertainty among anesthesiologists, internists and
surgeons with respect to the perioperative management of the patient's long-term
medication. Therefore, the German Scientific Societies of Anesthesiology and
Intensive Care Medicine (DGAI), Internal Medicine (DGIM) and Surgery (DGCH) have
joined to elaborate and publish recommendations on the preoperative evaluation of
adult patients prior to elective, non-cardiac and non-lung resection surgery. In
the first part the general principles of preoperative evaluation are described
(part A). The current concepts for extended evaluation of patients with known or
suspected major cardiovascular disease are presented in part B. Finally, the
perioperative management of patients' long-term medication is discussed (part C).
The concepts proposed in these interdisciplinary recommendations endorsed by the
DGAI, DGIM and DGCH provide a common basis for a structured preoperative risk
assessment and management. These recommendations aim to ensure that surgical
patients undergo a rational preoperative assessment and at the same time to avoid
unnecessary, costly and potentially dangerous testing. The joint recommendations
reflect the current state-of-the-art knowledge as well as expert opinions because
scientific-based evidence is not always available. These recommendations will be
subject to regular re-evaluation and updating when new validated evidence becomes
available.
PMID- 21879439
TI - [Common infections in internal medicine].
PMID- 21879443
TI - K-acetylation and its enzymes: overview and new developments.
AB - Lysine (K) acetylation refers to transfer of the acetyl moiety from acetyl-CoA to
the epsilon-amino group of a lysine residue. This is posttranslational and
reversible, with its level dynamically maintained by lysine acetyltransferases
(KATs) and deacetylases (KDACs). Traditionally, eukaryotic KDACs have been
referred to as HDACs (histone deacetylases). Recent proteomic studies have
revealed that hundreds of bacterial proteins and thousands of eukaryotic proteins
contain acetyl-lysine (AcK) residues, indicating that K-acetylomes are comparable
to phosphoproteomes. The current challenges are to assign enzymes that execute
specific acetylation events, to determine the impact of these events, and to
relate this modification to other posttranslational modifications, cell signaling
networks, and pathophysiology under different cellular and developmental
contexts. In this chapter, we provide a brief overview about the acetylomes,
KATs, HDACs, AcK-recognizing protein domains, and acetylation-modulating
therapeutics, and emphasize the latest developments in related areas. The
remaining chapters of the book focus on and cover various aspects of HDACs (both
the Rpd3/Hda1 and sirtuin families), which shall provide novel insights into how
to utilize these enzymes for developing a new generation of HDAC-related
therapeutics.
PMID- 21879444
TI - The biology of HDAC in cancer: the nuclear and epigenetic components.
AB - Traditionally, cancer has been regarded to originate from genetic alterations
such as mutations, deletions, rearrangements as well as gene amplifications,
leading to abnormal expression of tumor suppressor genes and oncogenes. An
increasing body of evidence indicates that in addition to changes in DNA
sequence, epigenetic alterations contribute to cancer initiation and progression.
In contrast to genetic mutations, epigenetic changes are reversible and therefore
an attractive target for cancer therapy. Many epi-drugs such as histone
deacetylase (HDAC) inhibitors showed anticancer activity in cell culture and
animal models of carcinogenesis. Recently, the two HDAC inhibitors
suberoylanilide hydroxamic acid (SAHA, Vorinostat) and Romidepsin (Depsipeptide,
FK228) were FDA approved for the treatment of cutaneous T-cell lymphoma (CTCL).
Although HDAC inhibitors are potent anticancer agents, these compounds act
against several HDAC family members potentially resulting in numerous side
effects. This stems from the fact that HDACs play crucial roles in a variety of
biological processes including cell cycle progression, proliferation,
differentiation, and development. Consistently, mice deficient in single HDACs
mostly exhibit severe phenotypes. Therefore, it is necessary to specify the
cancer-relevant HDACs in a given tumor type in order to design selective
inhibitors that target only cancer cells without affecting normal cells. In this
chapter, we summarize the current state of knowledge of individual nuclear HDAC
family members in development and tumorigenesis, their contribution to the
hallmarks of cancer, and the involvement of HDAC family members in different
types of human malignancies.
PMID- 21879445
TI - Deacetylation of nonhistone proteins by HDACs and the implications in cancer.
AB - Acetylation and deacetylation of lysine residues controlled by histone
acetyltransferases (HATs) and histone deacetylases (HDACs), respectively, are
among the most common posttranslational modifications of proteins. In addition to
histones, a large number of nonhistone proteins that can undergo reversible
acetylation have been identified. These nonhistone acetylated/deacetylated
proteins are involved in a wide range of cellular processes including
transcription, translation, DNA repair, metabolism, and cell structure. Aberrant
deacetylation of nonhistone proteins is implicated in many human diseases,
including cancer. In this chapter, we review and describe the involvement of
HDACs in cancer-associated cellular processes via deacetylation of nonhistone
proteins, and the possible implications for carcinogenesis and cancer
development.
PMID- 21879446
TI - The biology and therapeutic implications of HDACs in the heart.
AB - The heart responds to stresses such as chronic hypertension and myocardial
infarction by undergoing a remodeling process that is associated with myocyte
hypertrophy, myocyte death, inflammation and fibrosis, often resulting in
impaired cardiac function and heart failure. Recent studies have revealed key
roles for histone deacetylases (HDACs) as both positive and negative regulators
of pathological cardiac remodeling, and small molecule HDAC inhibitors have
demonstrated efficacy in animal models of heart failure. This chapter reviews the
functions of individual HDAC isoforms in the heart and highlights issues that
need to be addressed to enable development of novel HDAC-directed therapies for
cardiovascular indications.
PMID- 21879447
TI - HDACs in skeletal muscle remodeling and neuromuscular disease.
AB - Skeletal muscle is made of heterogeneous myofibers with different contractile and
metabolic properties. The diverse functionality of myofibers enables skeletal
muscle to carry out different tasks from maintaining body posture to performing
active movements. In addition to motility, skeletal muscle, which constitutes 40%
of body mass, is also a key target of insulin action and performs an essential
function in glucose metabolism. Adult skeletal muscle is a highly adaptive organ
system and can undergo specific changes in contractile and metabolic properties
to meet different functional demands. This plasticity of myofibers reflects a
highly coordinated change in gene expression program that is controlled by neural
activity. The capacity for on-demand remodeling confers skeletal muscle the
remarkable adaptability important for animal survival; its dysregulation,
however, could contribute to muscle and metabolic diseases. How neural activity
dictates transcriptional programming to modify muscle functionality and diversity
is a fundamental issue. Recent studies have identified members of class IIa HDACs
as important effectors in both physiological and pathological muscle remodeling.
By way of modifying myofiber properties, pharmacological manipulation of IIa
HDACs activity could have potential therapeutic utility in the treatment of
muscle disorders.
PMID- 21879448
TI - Rationale for HDAC inhibitor therapy in autoimmunity and transplantation.
AB - While there are currently more than 70 ongoing clinical trials of inhibitors of
so-called classical HDACs (HDACi) as anticancer therapies, given their potency as
antiproliferative and angiostatic agents, HDACi also have considerable
therapeutic potential as anti-inflammatory and immunosuppressive drugs. The
utility of HDACi as anti-inflammatory agents is dependent upon their proving safe
and effective in experimental models. Current pan-HDACi compounds are not well
suited to this role, given the broad distribution of target HDACs and their
complex and multifaceted mechanisms of action. In contrast, the development of
isoform-selective HDACi may provide important new tools for therapy in
autoimmunity and transplantation. This chapter discusses which HDACs are
worthwhile targets in inflammation and progress toward their therapeutic
inhibition, including the use of HDAC subclass and isoform-selective HDACi to
promote the functions of Foxp3+ T regulatory cells.
PMID- 21879451
TI - Characterization of nuclear sirtuins: molecular mechanisms and physiological
relevance.
AB - Sirtuins are protein deacetylases/mono-ADP-ribosyltransferases found in organisms
ranging from bacteria to humans. This group of enzymes relies on nicotinamide
adenine dinucleotide (NAD(+)) as a cofactor linking their activity to the
cellular metabolic status. Originally found in yeast, Sir2 was discovered as a
silencing factor and has been shown to mediate the effects of calorie restriction
on lifespan extension. In mammals seven homologs (SIRT1-7) exist which evolved to
have specific biological outcomes depending on the particular cellular context,
their interacting proteins, and the genomic loci to where they are actively
targeted. Sirtuins biological roles are highlighted in the early lethal
phenotypes observed in the deficient murine models. In this chapter, we summarize
current concepts on non-metabolic functions for sirtuins, depicting this broad
family from yeast to mammals.
PMID- 21879452
TI - Structural biology of human metal-dependent histone deacetylases.
AB - Class I, II, and IV histone deacetylases (HDACs) are metal-dependent enzymes
involved in a broad and partly unexplored array of biological mechanisms that
include epigenetic control of gene expression. The catalytic domain of human
classes I and IIa enzymes has been solved in complex with a substrate peptide and
inhibitors, which revealed a conserved architecture, uncovered the catalytic
mechanism of deacetylation, and outlined a chemical framework for inhibitor
design. We will review the different structural elements of metal-dependent HDACs
and their contributions to substrate recognition, catalysis, and inhibitor
specificity.
PMID- 21879449
TI - The role of mammalian sirtuins in the regulation of metabolism, aging, and
longevity.
AB - Ever since the discovery of sirtuins a decade ago, interest in this family of NAD
dependent deacetylases has exploded, generating multiple lines of evidence
implicating sirtuins as evolutionarily conserved regulators of lifespan. In
mammals, it has been established that sirtuins regulate physiological responses
to metabolism and stress, two key factors that affect the process of aging.
Further investigation into the intimate connection among sirtuins, metabolism,
and aging has implicated the activation of SIRT1 as both preventative and
therapeutic measures against multiple age-associated disorders including type 2
diabetes and Alzheimer's disease. SIRT1 activation has clear potential to not
only prevent age-associated diseases but also to extend healthspan and perhaps
lifespan. Sirtuin activating compounds and NAD intermediates are two promising
ways to achieve these elusive goals.
PMID- 21879450
TI - Mitochondrial sirtuins in the regulation of mitochondrial activity and metabolic
adaptation.
AB - In eukaryotes, mitochondria carry out numerous functions that are central to
cellular and organismal health. How mitochondrial activities are regulated in
response to differing environmental conditions, such as variations in diet,
remains an important unsolved question in biology. Here, we review emerging
evidence suggesting that reversible acetylation of mitochondrial proteins on
lysine residues represents a key mechanism by which mitochondrial functions are
adjusted to meet environmental demands. In mammals, three members of the sirtuin
class of NAD(+)-dependent deacetylases - SIRT3, SIRT4, and SIRT5 - localize to
mitochondria and regulate targets involved in a diverse array of biochemical
pathways. The importance of this activity is highlighted by recent studies of
SIRT3 indicating that this protein suppresses the emergence of diverse age
related pathologies: hearing loss, cardiac fibrosis, and malignancy. Together,
these findings argue that mitochondrial protein acetylation represents a central
means by which mammals regulate mitochondrial functions to maintain cellular and
organismal homeostasis.
PMID- 21879455
TI - [Background noise in the procrustean bed: implementation at a new hospital
reimbursement system in Germany].
PMID- 21879453
TI - Sirtuin modulators.
AB - Members of the sirtuin family including the founding protein Sir2 in
Saccharomyces cerevisiae have been linked to lifespan extension in simple
organisms. This finding prompted evaluation of the role of Sir2 orthologues in
many aging-associated conditions including neurodegeneration, type II diabetes
and cancer. These studies have demonstrated that genetic and pharmacologic
manipulation of sirtuin activity have beneficial effects in a surprisingly broad
spectrum of aging-associated conditions suggesting that the Sir2-family of
enzymes presents an attractive target for the development of pharmacological
agents. While the initial model favored pharmacological activators of sirtuins as
calorie restriction mimetics, it now appears that either activation or inhibition
of sirtuins may be desirable for ameliorating disease depending on the
pathological condition and the target tissue. In this chapter we review the
development of pharmacological small molecule activators and inhibitors of the
sirtuin family of enzymes.
PMID- 21879456
TI - [Antidepressants for mild depressive disorders].
PMID- 21879457
TI - Measuring patient safety in neonatology.
AB - Measurement of patient safety serves to identify opportunities to improve safety
within a neonatal intensive care unit (NICU), compare the safety of care provided
by different NICUs, determine changes in response to safety interventions or
programs, follow safety trends over time, and potentially deny payment for
specific events. The ideal patient safety measures are rates of events derived
from surveillance with valid and reliable detection of numerators (errors or
adverse events) and denominators (the opportunities for errors or adverse events
to occur). Methods used to identify these numerators and denominators include
reporting, direct observation, videotaping, chart review, trigger tools, and
automated methods. However, there are significant methodological and practical
(feasibility) challenges to the accurate and reliable determination of rates of
errors and adverse events. These include failure to detect and document such
events, surveillance bias, lack of consistent definitions, frequent requirement
for judgment in identifying and classifying challenges (which introduces
interrater inconsistency), and need for significant additional resources.
PMID- 21879458
TI - Central line-associated bloodstream infections in neonatal intensive care:
changing the mental model from inevitability to preventability.
AB - Previously considered unavoidable complications of hospital care (reflecting an
"entitlement" mental model), health care-associated infections are now considered
as medical errors and cause significant preventable morbidity and mortality in
neonates. Prevention of such infections, particularly central line-associated
bloodstream infections (CLABSI), should be an important patient safety priority
for all neonatal intensive care units (NICUs). An important first step is to
promote a mental model of CLABSIs as preventable complications of care. Other
general strategies are (1) promoting an organizational culture of safety and
empowerment of staff; (2) hand hygiene; (3) avoiding overcrowding and
understaffing; (4) using breast milk for enteral feedings; and (5) involving
families in infection prevention efforts. Specific strategies to prevent CLABSI
are (1) insertion practices: insertion of all central vascular catheters under
strict sterile conditions with the aid of a checklist; (2) maintenance practices:
ensuring that entries into the lumen of the vascular catheter always occur under
aseptic conditions, minimizing catheter disconnections, and replacement of
intravenous infusion sets at recommended intervals; (3) removal of all central
lines as soon as possible. Participation in national or statewide quality
improvement collaboratives is an emerging trend in neonatology that can enhance
CLABSI prevention efforts by NICUs.
PMID- 21879459
TI - The effect of physician sleep deprivation on patient safety in perinatal-neonatal
medicine.
AB - Recent data indicate that as many as 180,000 patients die each year due to harm
suffered as a result of medical care. Between 40 and 60% of these deaths-and the
millions of injuries due to medical care-are preventable. In the neonatal
intensive care unit (NICU), neonates' size and fragility makes them especially
susceptible to serious medical errors, which occur at a far higher rate in this
population than elsewhere in hospitals. A growing body of literature demonstrates
that the work schedules and sleep deprivation of physicians are important
contributors to this epidemic of error. Nowhere is there a higher risk of adverse
outcomes due to provider sleep deprivation than in the NICU, where even minor
lapses of attention or miscalculations can lead to dire patient outcomes. This
review will discuss what is known about how provider sleep deprivation may impact
perinatal and neonatal medicine and will discuss ongoing research questions that
must be addressed to guide future improvement efforts.
PMID- 21879460
TI - Surgical findings in acquired undescended testis: an explanation for pubertal
descent or non-descent?
AB - AIM: Surgical findings were studied to find an explanation for the phenomenon
that some acquired undescended testes (UDT) descend spontaneously whereas others
need orchiopexy. METHODS: In patients with acquired UDT spontaneous descent was
awaited until at least Tanner stage P2G2. Orchiopexy was performed when a stable
scrotal position had not been achieved by the end of follow-up. RESULTS:
Orchiopexy was needed in 57 of 132 cases (43%). In cases requiring orchiopexy,
the difference in testis volume compared to the contralateral healthy testis was
significantly larger than for spontaneously descended testes. 41 (72%)
undescended testes were found in the superficial inguinal pouch; 16 (28%) at the
external annulus. 26 of the 41 testes in the superficial inguinal pouch position
(63%) could be manipulated preoperatively into a non-stable scrotal position; 15
could only reach the scrotal entrance prior to surgery. None of the 16 testes
located at the external annulus could reach a scrotal position. Inguinal
exploration in most cases revealed a fibrous string or a partially open processus
vaginalis. CONCLUSION: The mobility of acquired UDT located within the external
annulus is limited. It is mainly the fibrous string and the partially open
processus vaginalis that prevent normal elongation of the spermatic cord with
growth. These testes are unlikely to descend spontaneously. Acquired UDT lying in
the superficial inguinal pouch can often be pushed down well below the scrotal
entrance. We speculate that under normal hormonal stimulation at puberty, some of
these growing testes may overcome the strength of the fibrous string in the
spermatic cord and descend again spontaneously.
PMID- 21879461
TI - Immunological effects of acute testicular torsion on the contralateral testis in
rats.
AB - AIM: The mechanism by which unilateral testicular torsion leads to injury of the
contralateral testis is still controversial. The present study aimed to evaluate
immunohistopathological changes in the contralateral testis of rats after acute
testicular torsion. METHODS: 40 pubertal rats were randomly divided into 3
groups. Group 1 (n=10) functioned as controls. Group 2 rats (n=10) underwent a
sham operation, and Group 3 (n=20) underwent 720 degrees torsion of the left
spermatic cord (3 h) followed by detorsion (24 h). RESULTS: Immunofluorescence
tests were done to investigate contralateral lesions in half of the animals in
Group 3. Nitro blue tetrazolium (NBT) was injected in both testes to identify the
presence of oxygen-derived free radicals. 24 h after reperfusion, bilateral
samples of the testes were obtained for histological analysis. Fisher's exact
test was used for statistical analysis with p-values <0.05 considered
significant.Immunofluorescence tests were positive for the contralateral (right)
testis but with a lower intensity than that of the testis submitted to torsion.
NBT reaction was intense all over the left testis while a minor reaction was
visible in the right testis. In Group 3 the left distorted testicle showed
diffuse edema with cell elimination and extensive necrosis of the seminiferous
tubules. Histological lesions were moderate to mild in the contralateral testis.
CONCLUSION: An autoimmune IgG-mediated mechanism deposited on the basement
membrane of seminiferous tubules seems to be involved in the genesis of
contralateral testis injury.
PMID- 21879462
TI - Re-operations for Hirschsprung's disease: long-term complications.
AB - INTRODUCTION: In some patients with Hirschsprung's disease (HD), the initial
surgical procedure fails, and the patients suffer from repeated or persistent
symptoms. These patients complain of severe inflammation, intestinal obstruction,
fecal or urinary incontinence, abdominal pain or dystrophy. However, little data
has been published on the long-term follow-up results after re-operations for HD.
MATERIALS AND METHODS: We followed 8 cases between 2004 and 2006, of complicated
HD requiring repeated surgery and recorded prior procedures, histological
results, indications for re-operation, postoperative follow-up as well as long
term clinical outcomes including stool patterns, nutrition and micturition.
RESULTS: The follow-up period ranged from 3.0 to 5.5 years (mean: 4.4 years).
Indications for repeat procedures were as follows: blind rectal pouch after a
Duhamel operation (n = 2), persistent aganglionosis (n = 4), long-segment
stenosis (n = 1) after a Rehbein operation, and anal stenosis following TERPT
(transanal endorectal pull-through) (n = 1). In one patient who had a Duhamel
Martin operation, extirpation of the rectum and a definitive terminal ileostomy
was necessary. A Duhamel procedure was performed in five patients with a primary
Rehbein and 1 patient with a primary Duhamel operation. Complete stool continence
was achieved in 4 patients. Partial fecal incontinence persisted in one patient
with associated trisomy 21. 1 patient with total colonic aganglionosis and 1
patient with a pelvic fistula and a previous subtotal colectomy reported soiling
1-2 times per week after a repeat operation. 4 patients in our series experienced
postoperative complications following repeated surgery [perianal ulceration (n =
2), repeated botulinum toxin injection for sphincter achalasia (n = 1) and
functionally impaired colonic transit without stenosis (n = 1)]. Micturition was
normal in 7 patients, 1 patient with associated trisomy 21 was partially
continent, and 1 patient reported infrequent urge incontinence. CONCLUSIONS: All
patients improved after further surgical intervention. However, resolution of
their symptoms was delayed and partial stool incontinence or soiling persisted in
3 patients. Most complications leading to repeat procedures are preventable,
especially residual aganglionosis. Therefore, great efforts should be made to
minimize complications when planning and performing the primary surgery.
PMID- 21879463
TI - COUP-TFII gene expression is upregulated in embryonic pleuroperitoneal folds in
the nitrofen-induced congenital diaphragmatic hernia rat model.
AB - INTRODUCTION: The nitrofen model of congenital diaphragmatic hernia (CDH) creates
a Bochdalek-type diaphragmatic defect and has been widely used to investigate the
pathogenesis of CDH. However, the exact pathogenesis of the diaphragmatic defect
in this model is still poorly understood. Chicken ovalbumin upstream promotor
transcription factor II (COUP-TFII) is expressed in the embryonic
pleuroperitoneal folds (PPF) in the early stage of development and in the
diaphragm in the late days of gestation. COUP-TFII is known to be a strong
repressor of the retinoid signaling pathway (RSP), which plays an important role
in diaphragm development. Furthermore, it has been recently shown that COUP-TFII
is upregulated during early gestation in the nitrofen-induced hypoplastic lung.
We designed this study to investigate the hypothesis that COUP-TFII gene
expression is upregulated during early diaphragmatic development in the PPF.
MATERIAL AND METHODS: Timed pregnant rats were exposed to either olive oil
(Control) or nitrofen (CDH) on day 9 of gestation (D9). Fetuses were sacrificed
on D13, D18 or D21. The PPF was dissected from D13 fetuses using laser capture
microdissection. Diaphragms were dissected from D18 and D21 fetuses under the
dissection microscope. The relative mRNA expression levels of COUP-TFII were
determined using real-time PCR. Immunohistochemistry was performed to evaluate
diaphragmatic protein expression and the distribution of COUP-TFII.Results On
D13, gene expression levels of COUP-TFII in the PPF were significantly increased
in the CDH group (82.93 +/- 11.85) compared to Controls (46.22 +/- 8.09; p <
0.05), whereas there were no differences at later time points. The
immunoreactivity of diaphragmatic COUP-TFII was markedly increased in the PPF in
the CDH group compared to controls on D13. No difference in immunoreactivity was
observed on D18 and D21. CONCLUSION: Upregulation of COUP-II gene expression in
the PPF may contribute to the diaphragmatic defect in the nitrofen CDH model by
inhibiting the RSP.
PMID- 21879464
TI - The global paediatric surgery network: early measures of interest in the website.
AB - PURPOSE: The Global Paediatric Surgery Network (GPSN) website was launched in May
2010, with the following goals: to serve as a clearing house for pediatric
surgery volunteer work performed worldwide, to provide online resources for
surgeons working in areas of limited medical resources, and to provide practical
and educational information for surgeons who wish to volunteer. The purpose of
this study was to assess use of the website over the first year since its launch
(May 6, 2010-May 5, 2011). METHODS: The GPSN website was examined for number of
pages, number of listings in Past Work, Present Work, and Help Needed categories,
as well as number of volunteers available. The online tool Google Analytics was
used to assess parameters that measure use of the website, such as number of
visits, number of page views, number of visitors, time on the website, and
geographic origin of visitors. RESULTS: The GPSN website consists of 30 pages.
There are 9 listings in Past Work, 23 listings in Present Work, and 13 listings
in Help Needed categories. 118 people have registered as willing to volunteer,
and 96 have indicated that they are able to work in times of a natural disaster.
There were 8437 visits to the website, with 28 916 page views by 5170 visitors
from 145 countries, with an average number of page views of 3.43 and an average
time on the website of 4:05 min. The most visited pages were the homepage, the
meetings page, and the pediatric surgery organizations page. 4 websites of
pediatric surgery organizations have links to the GPSN website. CONCLUSIONS:
Based on early website use, we conclude that there is an interest in the GPSN. We
speculate that participation in the GPSN will continue to grow, but that there is
a continued need to promote the website in the global pediatric surgery
community.
PMID- 21879465
TI - [Patients as customers? The term "customer" in the perception of medical students
at the end of their university training].
AB - In the preceding decades a new perspective on the role of patients in the health
care system has gained ground, considering patients not merely as "suffering
persons" but additionally as "customers". Physicians, however, tend to disagree
with this approach because of the economic connotation of the term customer.
Until now, there is only poor evidence of whether students of medicine - who are
going to work as physicians in the future - agree or disagree with that approach
and whether they are ready to accept patients as customers. In the following
study students of medicine were interviewed on their perspectives towards that
approach, in particular on their attitudes towards the idea of "the patient as
customer", the appropriateness of the term consumer in different clinical
settings and sectors of health care, the implementation of consumer orientation
in clinical routine, and their favoured model of physician-patient
relationship.As the study could not build upon data of prior similar studies, a
quantitative and qualitative cross-sectional study with a descriptive-explorative
design was conducted. Using a semi-standardised questionnaire, 313 medical
students (response rate: 95%) were interviewed in Spring 2010. At the time of the
survey, the students were enrolled at the faculty of medicine at Freiburg
University, Germany, and were in their last semester which immediately preceded
their exam.The future physicians do not consider patients primarily as customers.
More than 80% of the respondents "absolutely" or "largely" supported the idea
that patients are considerably more than customers. The analysis of the
qualitative data of the study shows different results. Here, more statements were
made that patients could equally be seen as customers (449 students supported
this idea, 298 did not). Statements contradicting the customer approach referred
mostly to the asymmetry of the physician-patient relationship and the special
role of the patient. The highest level of acceptance of the customer approach was
found in classical service settings such as pharmacies, the lowest level in
emergency medical aid. According to medical students, a consumer orientation has
been realised in different health service areas in correspondingly different
degrees: On top of the list are plastic surgery clinics, followed by private
health insurances and homeopathic clinics. A minority of medical students predict
the implementation of consumer orientation in the emergency medical aid. Future
physicians consider their relationship to patients largely as a relationship
between a healing person and a person seeking help rather than a relationship
between a service provider and a customer.Considering recent developments in the
organisation of medical services and health services in general, it becomes
increasingly important to know what kind of 'service behaviour' patients expect
from their doctors and other health providers. Obviously, it is not self-evident
for medical students to perceive their future patients as customers and to act as
customer-oriented 'service providers'. In view of this, the faculties of medicine
at universities - which provide professional training to students of medicine -
should be aware of the challenge to 'socialise' their students so that they can
keep up with patients' expectations.
PMID- 21879466
TI - [Smoking in movies and established smoking in adolescence].
AB - AIM: The aim of this study was to examine whether smoking in movies can predict
established smoking in adolescence. METHODS: A longitudinal study was conducted
over a period of 13 months with 4112 German students. Adolescents' exposure to
smoking in movies was assessed by asking each student to indicate which film he
or she had seen from a unique list of 50 movies, which was randomly selected for
each individual survey from a sample of 398 popular contemporary movies. We
calculated exposure to movie smoking for each respondent by summing the number of
smoking occurrences for each movie that the respondent reported seeing. RESULTS:
At follow-up, a total of 272 young people had smoked more than 100 cigarettes
during their lifetime. While 2.1% of the young people with the lowest exposure to
movie smoking initiated established smoking, 13.4% of the group with the highest
exposure to movie smoking initiated established smoking. The adjusted relative
risk of initiation of established smoking was 2.05 times higher in the group with
the highest movie smoking exposure compared to the group with the lowest exposure
(95% confidence interval: 1.25-3.35). CONCLUSION: Our data indicate that smoking
in movies can be regarded as an independent risk factor for the initiation of
established smoking in adolescence.
PMID- 21879467
TI - The characteristics of osteoporotic fractures in the region of Bialystok (BOS-2).
The application of the WHO algorithm, FRAX(r)BMI and FRAX(r)BMD assessment tools
to determine patients for intervention.
AB - BACKGROUND: The 2007 WHO guidelines for the treatment of osteoporosis require
that we know the population risk of an osteoporotic fracture for each country to
classify patients requiring treatment. MATERIAL AND METHODS: Studies have been
carried out among a random cohort of 1,608 women over the age of 40 to assess a
ten-year absolute risk of main osteoporotic fractures (AR-10 m.o.fx.) and hip
fractures (AR-10 h.fx.) by using FRAX(r)BMI and FRAX(r)BMD based on the
epidemiology of fractures in England. RESULTS: Both methods gave similar results
in assessing the probability of fracture, showing the increase of AR-10 m.o.fx.
in subsequent life decades to rise from 5% in the fifth decade to 25% in the
ninth, mean result 11%, and AR-10 h.fx. to rise over the same period from 0.5% to
13%, mean result 3%. The number of fractures increases up to the seventh and
eighth decades, and decreases according to the number of patients in the age
group. The commonest fracture risks reported, other than old age and low BMI,
were a prior fracture, a family history of hip fracture and smoking. CONCLUSIONS:
Comparative analysis of examined parameters of FRAX between people with and
without fractures showed considerable differences only in age and AR-10 m.o.fx.
This doubled in people with previous fractures (ca. 18% vs. 9%) and AR-10 h.fx.
(ca. 5% vs. 2.5%). The "middle" area between the average population risks (AR-10
m.o.fx. 11% and AR-10 h.fx. 3%) and the risks in patients with fractures (AR-10
m.o.fx. 18% and AR-10 h.fx. 9%) could work as an indicator: below those values
the risk is low and no treatment is required; above those values, the risk is
high, and intervention is necessary; the middle area implies a BMD examination
and reassessment of the fracture risk.
PMID- 21879468
TI - Interleukin-6 and its considerable role in the pathogenesis of thyrotoxicosis
related disturbances of bone turnover in postmenopausal women.
AB - BACKGROUND: Thyrotoxicosis is more frequent in postmenopausal women than in the
general population, effectively accelerating bone turnover. Interleukin-6 has
been shown to be involved in the pathogenesis of bone disorders. Thus, the aim of
the present study was to assess the role of IL-6 and its soluble receptor in the
pathogenesis of thyrotoxicosis-related disturbances of bone turnover in oestrogen
deficient women. MATERIAL AND METHODS: The study was carried out in 40 subjects
with toxic nodular goitre in three groups: Group 1 - 13 premenopausal females,
mean age 36 +/- 15 years (PremTx->PremEu); Group 2 - 12 postmenopausal females,
mean age 66 +/- 14 years (PostTx->PostEu); and Group 3 - 15 males, mean age 45 +/
21 years (MTx->MEu). Overt thyrotoxicosis and euthyreosis after treatment with
thyrostatics were confirmed by thyrotropin, free thyroxine and free
triiodothyronin concentrations. Serum levels of bone turnover markers: TRACP5b
and osteocalcin as well as serum IL-6 and IL-6sR were determined using ELISA
kits. RESULTS: TRACP5b/osteocalcin quotient was significantly elevated in the
PostTx females compared to the PremTx women (p < 0.02). There was a positive
correlation between serum TRACP5b and osteocalcin in the studied patients (R =
0.45, p < 0.001). Levels of serum IL-6 values were significantly elevated in
PostTx: 3.0 (2.14-6.40) and MTx: 2.24 (1.60-5.10), compared to PremTx females:
1.39 (0.96-2.14) (p < 0.01 and p < 0.05 respectively). There were significant
positive correlations between IL-6 and IL-6sR concentrations (R = 0.22, p < 0.05)
and between IL-6sR and TRACP5b serum levels (R = 0.23, p < 0.05). CONCLUSIONS:
The results of our study suggest that interleukin-6 plays a considerable role in
the pathogenesis of thyrotoxicosis-related disturbances of bone turnover in
oestrogen-deficient women.
PMID- 21879469
TI - The association between thyroid malignancy and chronic lymphocytic thyroiditis:
should it alter the surgical approach?
AB - BACKGROUND: The relation between thyroid neoplasms and chronic lymphocytic
thyroiditis (CLT) is controversial. While it is accepted that focal lymphocytic
thyroiditis develops secondarily to malignancy, it is not clear whether diffuse
lymphocytic thyroiditis has a tendency to develop into thyroid cancer. The aim of
this study was to investigate the relation between CLT and malignant tumours of
the thyroid and evaluate the surgical approach to CLT cases. MATERIAL AND
METHODS: In this study, 917 patients operated on for thyroid diseases were
investigated retrospectively. Seventy-seven (8.4%) patients histopathologically
diagnosed as having CLT (either non-specific or Hashimoto's thyroiditis) were
investigated for any concurrent malignant neoplasm. Fifteen patients in whom CLT
and thyroid malignancy were coexisting were included in the study. RESULTS: In
the pathological evaluation of 917 cases, malignancy in the thyroid was found in
97 (10.6%) cases. Seventy-seven cases were categorised as CLT. Of these 77, 16
(20.8%) were Hashimoto's thyroiditis (specific CLT) and the other 61 (79.2%) were
non-specific CLT. In 15 cases, thyroid malignancy was found to be concurrent with
CLT. Of the malignities, nine (60%) were papillary carcinoma, three (20%)
medullar carcinoma, one (6.6%) follicular carcinoma, one (6.6%) Hurthle cell
carcinoma, and one (6.6%) lymphoma. In our series, the rate of the development of
malignancy against the background of CLT was 19.48%, while the rate in the groups
without CLT was 9.76%, with a statistically significant difference between the
groups (p = 0.008). CONCLUSIONS: CLT cases should be evaluated more carefully in
terms of malignancy. If a nodule is detected on thyroiditis, the minimal surgical
intervention should be lobectomy. Total thyroidectomy should be considered as
preferable to subtotal thyroidectomy because of its many advantages such as
controlling thyroiditis, removing the probability of reoperation, and hormonal
stability.
PMID- 21879470
TI - Intake of iodine-containing multivitamin preparations by pregnant women from the
Krakow region of Poland.
AB - BACKGROUND: The aim of this study was to evaluate the number of women who take
multivitamin formulations containing iodine. MATERIAL AND METHODS: A 34-question
questionnaire was given to 500 women during their puerperal stay in two
obstetrics/gynaecology wards in Krakow. RESULTS: 295 pregnant women (59%) took
iodine-containing formulations. 205 pregnant women (41%) took multivitamin
preparations without iodine. 49.7% of the women (91 out of 183) who inhabited
rural areas and small towns were not supplemented with iodine during pregnancy.
Women in Krakow took iodine-containing multivitamin formulations in 61.2% of
cases. Women with primary and secondary education did not use iodine
supplementation in 48.3% and 50.3% of cases respectively. Women with a university
education did not use supplementation in 38.6% of cases. The prevalence of women
using iodine-containing multivitamin preparation was similar in each age group.
CONCLUSIONS: The promotion of iodine supplementation to pregnant women should be
augmented at each level of contact with medical staff. Medical staff should be
reminded about such promotion at each level of medical care and training (general
practitioner, obstetrics/gynaecology specialist, endocrinologist, postgraduate
training).
PMID- 21879471
TI - The hypertriglyceridemic waist phenotype and metabolic syndrome by differing
criteria in type 2 diabetic patients and their relation to lipids and blood
glucose control.
AB - BACKGROUND: Metabolic syndrome (MetS) describes clustering of obesity,
dyslipidemia, hyperglycemia and hypertension and increases risk for
cardiovascular disease and type 2 diabetes. The 'hypertriglyceridemic waist'
phenotype (HTGW) represents a simple approach to identifying individuals with
increased risk. The aim of the study was to determine the prevalence of HTGW and
MetS in type 2 diabetic patients, and to examine their relation to lipids and
blood glucose control. MATERIAL AND METHODS: 300 type 2 diabetic patients were
analysed, and their history of diabetes, anthropometric measures, measurements of
blood pressure (BP), lipids and glycemic control parameters were taken. RESULTS:
In type 2 diabetic patients, the prevalence of MetS was 71.0% by the AHA/NHLBI
definition and 75.33% by the IDF definition. The prevalence was 62.58% and 66.45%
in men, and 80% and 84.83% in women by the same definitions, respectively. There
were 41.33% of patients with HTGW (42.76% among women and 40% among men). There
were statistically significant differences of age, fasting plasma glucose (FPG)
and postprandial glucose (PPG) in women with and without MetS according to both
definitions, and of total and LDL cholesterol with and without MetS according to
AHA/NHLBI (but not IDF). In men, there were statistically significant differences
of total cholesterol and of HbA(1c) with and without MetS according to AHA/NHLBI
(but not IDF). Women with HTGW had higher levels of total and LDL cholesterol,
systolic and diastolic BP. Men with HTGW had higher levels of total cholesterol,
diastolic BP, HbA(1c), FPG and PPG. CONCLUSIONS: Determining MetS or HTGW helps
identify those with increased cardiovascular risk.
PMID- 21879472
TI - Comparison of systolic and diastolic blood pressure with pulse pressure and mean
arterial pressure for prediction of type 2 diabetes: the Isfahan Diabetes
Prevention Study.
AB - BACKGROUND: The aim of this study was to compare the ability of the systolic and
diastolic blood pressure (BP), pulse pressure (PP), fraction PP (PPF) and mean
arterial pressure (MAP) to predict progression to diabetes in non-diabetic first
degree relatives (FDRs) of patients with type 2 diabetes. MATERIAL AND METHODS: A
total of 701 non-diabetic FDRs aged 20-70 in 2003 to 2005 were followed through
to 2008 for the occurrence of type 2 diabetes mellitus. At baseline and through
follow-ups, participants underwent a standard 75 g 2-h oral glucose tolerance
test. Prediction of progression to type 2 diabetes was assessed using area under
the receiver-operating characteristic (ROC) curves based upon measurement of PP,
MAP, PPF, systolic and diastolic BP. RESULTS: Diabetes developed in 72
participants (10.3%) during the follow-up period. The incidence of type 2
diabetes was 3.4 per 100 person years in men and 4.9 in women. Systolic and
diastolic BP and MAP were related to diabetes, but PP and PPF were not. Systolic
and diastolic BP and MAP have similar associations with incident diabetes. Areas
under the ROC curves were 0.582 for systolic, 0.589 for diastolic, 0.589 for MAP,
0.520 for PP, and 0.468 for PPF. CONCLUSION: These results indicate that systolic
and diastolic BP are as strong as MAP in predicting progression to diabetes.
Increased BP may help identify FDRs of patients with type 2 diabetes at high risk
for diabetes who are candidates for BP control.
PMID- 21879473
TI - Higher 11-beta-hydroxysteroid dehydrogenase type I gene expression in white
adipose tissue in male than female rats.
AB - BACKGROUND: 11-beta-hydroxysteroid dehydrogenase type I (11-beta-HSD1) in the
white adipose tissue (WAT) of rats catalyses the conversion of 11
dehydrocorticosterone to corticosterone, a more active glucocorticosteroid.
Glucocorticosteroids in WAT stimulate adipocytes differentiation and increase
adipocytes size. The aim of this study was to examine the association between
expression of 11-beta-HSD1 in the WAT of male and female rats and adipose tissue
mass as well as body mass. MATERIAL AND METHODS: Perirenal WAT from male and
female Wistar rats aged three months, and ovariectomized females of the same age,
was used in the study. 11-beta-HSD1 gene expression was assayed in the perirenal
WAT of rats by real-time PCR. RESULTS: 11-beta-HSD1 gene expression in the
perirenal WAT of male rats was higher than in female rats. The WAT and body mass
of male rats was also higher than in females. 11-beta-HSD1 gene expression in the
perirenal WAT as well as WAT mass and body mass increased simultaneously after
ovariectomy. CONCLUSIONS: The results presented in this paper suggest that higher
11betaHSD1 gene expression in the WAT is associated with higher body and adipose
tissue mass. Moreover, our results suggest that oestradiol can modulate 11-beta
HSD1 gene expression in the WAT of rats.
PMID- 21879474
TI - Technological difficulties in ghrelin and obestatin assays.
AB - In recent years we have performed more than 1,000 radioimmunoassays of ghrelin
and obestatin. In these assays, we have encountered several technological
obstacles. Another difficulty was the enormous discrepancy of plasma ghrelin
results published by different authors. The aim of this article is to comment on
these problems. Not all peptides of the hypothalamus and intestines are present
in blood circulation. Several neuropeptides do not cross the blood-brain barrier,
and several gastrointestinal peptides are present in extremely low concentrations
in the blood. That requires time-consuming and laborious extraction. In these
procedures, considerable amounts of peptides may be lost. In addition, these
peptides are very unstable and prone to enzymatic degradation. This makes it
mandatory to add enzymatic inhibitors to plasma samples. The peptides are also
unstable in elevated temperatures, hence the assays should be performed in air
conditioned laboratories and the kits should be transported in proper low
temperature conditions. Peptides may appear in several isoforms of different
biological activity, but antibodies routinely used in these assays are polyclonal
and do not differentiate between these forms. This complicates clinical
evaluation of the results. To date, there are no international standards of
ghrelin, obestatin or other active peptides, probably because of their extreme
instability. Because of technological difficulties, the results of peptide assays
performed in different scientific research institutions vary greatly and cannot
be compared to each other. This disadvantage may be partially diminished by
including samples of healthy subjects in each assay run to check whether the
peptide concentrations of the patients differ significantly from that of control
subjects.
PMID- 21879475
TI - The role of vitamins in the prevention and treatment of thyroid disorders.
AB - Although vitamin deficiencies are uncommon in Poland or other developed
countries, many patients take vitamin supplements. Despite the widespread
availability of vitamins and the universal belief that vitamins offer health
benefits, few publications have addressed their role in the prevention and
treatment of thyroid diseases. There is some evidence to suggest that the
administration of vitamins with anti-oxidant properties in patients with
hyperthyroidism can decrease the severity of clinical symptoms, and that vitamin
D supplementation can have a beneficial effect on the bone system for these
patients. It has also been suggested that patients with autoimmune thyroid
diseases should be periodically screened for vitamin B12 deficiency. There has
been no data to support vitamin supplementation in the primary or secondary
prevention of thyroid malignancies.
PMID- 21879476
TI - Primary hyperparathyroidism: a rare endocrinopathy in children. Two case reports.
AB - Primary hyperparathyroidism (PHPT) is thought to be a common disease in adults.
However, it is a rare endocrine disorder in children and adolescents. We report
two cases of primary hyperparathyroidism in children diagnosed at the Department
of Endocrinology and Diabetes (EU and D) in the Children's Hospital (ChH),
Kielce. The clinical course of the disease in these cases was fundamentally
dissimilar, which confirms the observation that this rare endocrinopathy in
children presents various clinical profiles, leading to diagnostic difficulties.
In the first case, the severe course of PHPT was observed with signs suggesting a
hypercalcemic crisis. In the second case, the patient was in a good condition
with a mild hypercalcemia and symptoms limited to the skeleton, due to early
identification of the disease. We believe these cases indicate the significant
role of calcemia determination as a screening test in the diagnosis of PHPT,
including in children.
PMID- 21879477
TI - A difficult diagnosis: a case report of combined Riedel's disease and fibrosing
Hashimoto's thyroiditis.
AB - Riedel's disease (RD) is a rare form of chronic thyroiditis, predominantly
characterised by fibrosis which may involve neighbouring tissues and organs.
Hashimoto's disease (HD), on the other hand, is fairly common. Clinical
differentiation between these diseases is often difficult, and the results of
diagnostic imaging, laboratory tests and cytology studies are often similar. We
report the case of a female patient with Riedel's thyroiditis displaying
clinical, laboratory and radiological traits of both diseases. A 44 year-old
Caucasian female was diagnosed with hypothyroidism. A fine-needle aspiration
biopsy was performed; the findings were suggestive of an exacerbated chronic
inflammatory process. However, a small lymphocyte-derived malignancy could not be
ruled out with certainty, and so the patient was referred for elective
thyroidectomy. The microscopic features of both specimens did not meet the
criteria of Hashimoto's thyroiditis. The immunohistochemical studies revealed few
scattered B lymphocytes (CD20 positive) and numerous scattered T lymphocytes (CD3
positive). Finally, Riedel's thyroiditis with an intense inflammatory infiltrate
composed of lymphocytes was diagnosed. Reaching a diagnosis was particularly
difficult in this patient, since Riedel's thyroiditis, the fibrosing form of
Hashimoto's disease and malignant tumours of the thyroid can show similar traits
upon physical and histopathological examination. As the clinical data was
indicative of Hashimoto's thyroiditis and there were partial histological
criteria of two forms of thyroiditis, namely Hashimoto's and Riedel's, the very
rare diagnosis of a combined disease was made. Dense B and T lymphocytes and some
plasma cell infiltrates, as well as the destruction of thyroid follicles by
fibrosis extending into surrounding tissues, were supportive of the eventual
diagnosis. Differentiating between the histopathological and clinical
presentation of both diseases in one patient is difficult, primarily due to the
partial overlapping of their histopathological traits. In order to avoid a
diagnostic error, close cooperation between the endocrinologist and pathologist
is mandatory. It is our opinion that in our patient the two diseases existed
separately, and their coexistence was most likely coincidental.
PMID- 21879478
TI - Liver abscess due to Yersinia bacteremia in a well-controlled type I diabetic
patient.
AB - Yersiniae enterocolitica, a gram negative rod-like organism, causes terminal
ileitis and mesenteric adenitis in adolescents and adults. Some forms present
with liver and spleen abscesses and have worse prognosis. We report a type 1
diabetic patient with a liver abscess mimicking metastatic liver disease who was
successfully treated with percutaneous drainage and antibiotic administration;
culture from blood was positive for Yersinia enterocolitica, but drainage
material from the liver abscess did not yield a positive result for Yersinia
enterocolitica. Although the prognosis is not good in such cases, with high
mortality rates, our patient recovered from the disease with appropriate
treatment.
PMID- 21879479
TI - [Management of thyroid diseases during pregnancy].
AB - The management of thyroid disorders during pregnancy is one of the most
frequently disputed problems in modern endocrinology. It is widely known that
thyroid dysfunction may result in subfertility, and, if inadequately treated
during pregnancy, may cause obstetrical complications and influence fetal
development. The 2007 Endocrine Society Practice Guideline endorsed with the
participation of the Latino America Thyroid Association, the American Thyroid
Association, the Asia and Oceania Thyroid Association and the European Thyroid
Association, greatly contributed towards uniformity of the management of thyroid
disorders during pregnancy and postpartum. Despite the tremendous progress in
knowledge on the mutual influence of pregnancy and thyroid in health and disease,
there are still important areas of uncertainty. There have been at least a few
important studies published in the last 3 years, which influenced the thyroidal
care of the expecting mother. It should also be remembered that guidelines may
not always be universally applied in all populations with different ethnical,
socio-economical, nutritional (including iodine intake) background or exposed to
different iodine prophylaxis models. The Task Force for development of guidelines
for thyroid dysfunction management in pregnant women was established in 2008. The
expert group has recognized the following tasks: development of the coherent
model of the management of thyroid dysfunction in pregnant women, identification
of the group of women at risk of thyroid dysfunction, who may require endocrine
care in the preconception period, during pregnancy and postpartum - that is in
other words, the development of Polish recommendations for targeted thyroid
disorder case finding during pregnancy, and the development of Polish trimester
specific reference values of thyroid hormones. Comprehensive Polish guidelines
developed by the Task Force are to systematize the management of the thyroid
disorders in pregnant women in Poland.
PMID- 21879480
TI - Proceedings of the First Lorenzo Tomatis Conference on Environment and Cancer.
June 4-5, 2009, Turin, italy. Dedicated to the memory of Lorenzo Tomatis.
PMID- 21879481
TI - Proceedings of a conference: ''From DNA-Inspired Physics to Physics-Inspired
Biology', June 1-5, 2009, Trieste-Miramare, Italy.
PMID- 21879482
TI - Proceedings of 14th Japanese Clinico-Pathological Conference on Renal Allograft
Pathology. July 17, 2010, Tokyo, Japan.
PMID- 21879484
TI - Proceedings of the Fourth International Brain-Computer Interface Meeting. May 31
June 4, 2010, Monterey, California, USA.
PMID- 21879483
TI - Comparison of AAV2 and AAV5 in gene transfer in the injured spinal cord of mice.
AB - Recombinant adeno-associated virus (AAV) vectors are promising tools for gene
therapy. In spinal cord injury where extensive damage occurs, vectors with high
diffusion and transduction abilities are required. We compared the diffusion
capacity and transduction efficiency of AAV2 and AAV5 vectors using a mouse
spinal cord injury model. Our study demonstrates that AAV5 is more effective than
AAV2 for delivering genes into the injured spinal cord tissue. AAV5 diffused 6.9
mm from the injection site, transduced with an approximately two-fold increase in
total cell number and yielded an approximately three-fold increase in gene
expression in comparison with AAV2.
PMID- 21879485
TI - Proceedings of the 22nd International Conference on Information Processing in
Medical Imaging. July 3-8, 2011. Kloster Irsee, Germany.
PMID- 21879486
TI - Proceedings of the Second International Conference on Regulatory T Cells and Th17
Cells and Clinical Application in Human Diseases. July 17-20, 2010, Shanghai,
China.
PMID- 21879487
TI - Special issue in honor of Henk Lekkerkerker's 65th birthday.
PMID- 21879488
TI - Special issue in honor of Mina J. Bissel.
PMID- 21879489
TI - Proceedings of the First Conference on Proteomics of Protein Degradation and
Ubiquitin Pathways, June 6-8, 2010, Vancouver, British Columbia, Canada.
PMID- 21879490
TI - Proceedings of the 9th European Symposium on Paediatric Cochlear Implantation,
Warsaw, 2009. Preface.
PMID- 21879491
TI - Recent pioneering cardiology developments in Japan: Japanese cardiologists have
discovered Waon therapy for severe or refractory heart failure and extracorporeal
cardiac shock wave therapy for severe angina pectoris.
PMID- 21879492
TI - The endovascular stent graft raises vascular stiffness and changes cardiac
structure within a very short time.
PMID- 21879494
TI - Leiomyosarcoma of the temporal bone.
PMID- 21879496
TI - "Visionary art".
PMID- 21879497
TI - Oral Pathology Quiz #71. Case number 3. Odontogenic keratocyst.
PMID- 21879498
TI - Oral Pathology Quiz #71. Case number 4. Pyogenic fibroma.
PMID- 21879499
TI - Retraction. Extracellular matrix fibronectin increases prostaglandin E2 receptor
subtype EP4 in lung carcinoma cells through multiple signaling pathways: the role
of AP-2.
PMID- 21879501
TI - The Finnish Cardiac Society: the history and achievements of cardiology in
Finland are discussed by the Society's president.
PMID- 21879502
TI - The elusive renal cell carcinoma: reversal imaging of arterial phase to improve
acuity.
PMID- 21879503
TI - A novel "no-touch" robot-assisted laparoscopic technique facilitates ureteral
reconstructive surgery.
PMID- 21879504
TI - JGA news.
PMID- 21879505
TI - The role of education in advancing veterinary medicine.
PMID- 21879506
TI - Pets rescued, treated after deadly tornado.
PMID- 21879507
TI - Herpesvirus claims another elephant as search for answers continues.
PMID- 21879508
TI - Government coordinates national response to fatal bat disease.
PMID- 21879509
TI - Did Irish marriage patterns survive the emigrant voyage? Irish-American
nuptiality, 1880-1920.
PMID- 21879510
TI - Green revolution and agro-industrialisation: a case study of primary food
processing industries in the Indian Punjab.
PMID- 21879512
TI - Pakistan, partition and gender: fashioning the shape of Pakistani womanhood.
PMID- 21879513
TI - Propaganda and remembrance: gender, education, and "the women's awakening" of
1936.
PMID- 21879514
TI - Extraction of pacing leads: overview of current techniques.
PMID- 21879515
TI - Abstracts of the 15th Annual Scientific Meeting of the Japanese Heart Failure
Society (JHFS). October 13-15, 2011. Kagoshima, Japan.
PMID- 21879516
TI - [Documenting and describing. The epistemic function of psychiatric records, their
archiving and reinterpretation into case histories].
AB - The paper attempts to reconstruct the writing of published case histories. Due to
the establishment of a scientific classification system in psychiatry there were
at the Charite several changes from the late 1870s onwards: (1) Not only was the
documentation in the clinical records altered significantly, but also (2) the
archive was reorganized into a double filing system and (3) the casuistic made a
development from describing seldom or sensational cases into a mode which aimed
to unfold psychiatric theory through 'typical cases'. Original medical records,
the internal documentation of psychiatric observation, will be compared to their
published version. Both, the narrative of a case study and the documentation in
the clinical records reveal performative processes of observation and
documentation.
PMID- 21879517
TI - Removing the center from computing: biology's new mode of digital knowledge
production.
AB - This article shows how the USA's National Institutes of Health (NIH) helped to
bring about a major shift in the way computers are used to produce knowledge and
in the design of computers themselves as a consequence of its early 1960s efforts
to introduce information technology to biologists. Starting in 1960 the NIH
sought to reform the life sciences by encouraging researchers to make use of
digital electronic computers, but despite generous federal support biologists
generally did not embrace the new technology. Initially the blame fell on
biologists' lack of appropriate (i.e. digital) data for computers to process.
However, when the NIH consulted MIT computer architect Wesley Clark about this
problem, he argued that the computer's quality as a device that was centralized
posed an even greater challenge to potential biologist users than did the
computer's need for digital data. Clark convinced the NIH that if the agency
hoped to effectively computerize biology, it would need to satisfy biologists'
experimental and institutional needs by providing them the means to use a
computer without going to a computing center. With NIH support, Clark developed
the 1963 Laboratory Instrument Computer (LINC), a small, real-time interactive
computer intended to be used inside the laboratory and controlled entirely by its
biologist users. Once built, the LINC provided a viable alternative to the 1960s
norm of large computers housed in computing centers. As such, the LINC not only
became popular among biologists, but also served in later decades as an important
precursor of today's computing norm in the sciences and far beyond, the personal
computer.
PMID- 21879518
TI - [LONI & Co: about the epistemic specificity of digital spaces of knowledge in
cognitive neuroscience].
AB - In the neurosciences digital databases more and more are becoming important tools
of data rendering and distributing. This development is due to the growing impact
of imaging based trial design in cognitive neuroscience, including morphological
as much as functional imaging technologies. As the case of the 'Laboratory of
Neuro Imaging' (LONI) is showing, databases are attributed a specific
epistemological power: Since the 1990s databasing is seen to foster the
integration of neuroscientific data, although local regimes of data production,
manipulation and--interpretation are also challenging this development.
Databasing in the neurosciences goes along with the introduction of new
structures of integrating local data, hence establishing digital spaces of
knowledge (epistemic spaces): At this stage, inherent norms of digital databases
are affecting regimes of imaging-based trial design, for example clinical
research into Alzheimer's disease.
PMID- 21879519
TI - Sex, religion, and the single woman c.1950-75: the importance of a 'short' sexual
revolution to the English religious crisis of the sixties.
AB - The English 'sexual revolution' has recently become increasingly conceived as
'long', lasting many decades, and by some historians as a gradual phenomenon, but
reaching a peak with the introduction of the oral contraceptive pill in the
1960s. At the same time, the 'religious crisis' of the same decade has been
attributed by some recent scholarship to liberal Christian revolt within the
churches, and largely unconnected with sex. This article offers different views.
First, based on the illegitimacy rate, it argues that, after a period of decline,
restraint, and only minor change in the period 1946-59, the 1960s witnessed a
sudden growth in pre-marital heterosexual intercourse before the pill's
availability to single women, implying a cultural rather than a technological
cause. Second, based on contemporary social surveys, it argues that there is
clear evidence of a strong inverse correlation between levels of religious
activity and levels of pre-marital sexual intercourse. Third, it argues that in
the 1950s the dominant conservative Christian culture restrained single women
from pre-marital sexual intercourse, but that from the early 1960s changing
attitudes led to rising levels of sexual activity, led by single women, which
reduced religious attitudes and Christian churchgoing, thus constituting a
significant instigator of the religious crisis.
PMID- 21879520
TI - Changes in the Chinese overseas population, 1955 to 2007.
AB - Two approaches have been adopted to study Chinese overseas. The first one
stresses homeland ties and sojourning mentality; the second one focuses on host
society conditions and the social construction of Chinese. This paper analyzes
the population of Chinese overseas after the Second World War, which has
increased steadily over time but unevenly by region from 11 million in 1955 to 39
million in 2007. Certain historical factors and contemporary forces explain the
uneven growth. The paper argues that undue emphasis on homeland influence is
unwarranted in view of the importance of how receiving society and its policy of
accommodation have shaped the growth and development of Chinese overseas
communities after the Second World War.
PMID- 21879521
TI - Working after childbirth: a lifecourse transition analysis of Canadian women from
the 1970s to the 2000s.
AB - In this paper we compare cohorts of mothers who had their first children between
1970 and 1999, in terms of their probability of beginning work shortly after
childbearing. Using the 2001 General Social Survey, Cycle 15 on Family History,
we investigate the effects of women's socioeconomic characteristics on labor
force withdrawal. Our discussion focuses on the analysis of the transition as a
type of life course analysis. We underline the differentiation of the transition
by cohorts, educational attainment, income, et cetera. We show that since the mid
1980s, mothers with low educational attainment are dramatically excluded from the
labor market within the two years following the birth of their first child.
PMID- 21879522
TI - Masculinity, consumerism, and appearance: a look at men's hair.
AB - Historically, being concerned about appearance was stereotypically associated
with women. Now masculinities too have become embedded in appearance norms.
Consequently men too are increasingly concerned about their appearance. Via
interviews with 14 Canadian men, the role of hair in self-identification and both
satisfaction and dissatisfaction with appearance is examined. Emergent themes
suggest that masculinity and appearance are increasingly intertwined, and
consumer culture cultivates a climate that encourages men to view their
appearance as something worthy of investment. Findings suggest that men are
concerned about their appearance-specifically their hair-and that there is a
relationship between masculinity, appearance, and self-identification. Findings
are discussed within theories of masculinity and consumerism.
PMID- 21879523
TI - [The movement to represent Francophone minority groups: the future of health
services in French].
AB - The Action Plan for Official Languages launched in 2003 intends to revitalize the
country's linguistic duality. Among the priority areas, health is the focus in
order to ensure the training of health professionals to serve official language
minority communities and to network the main actors concerned about the
organization of services in the minority language. The aim of this paper is to
report representations of Francophones in minority with respect to the future of
health services in French. The study is based on a participatory methodology:
concept mapping to identify the conceptual universe of a given problem.
PMID- 21879524
TI - The embodied organization of a real-time fetus: the visible and the invisible in
prenatal ultrasound examinations.
AB - Through an analysis of videotaped interactions between healthcare professionals
and pregnant women during ultrasound prenatal examinations in Japan, I explore
some aspects of sequence organization in which an ultrasound real-time fetus is
organized. The ultrasound demonstration of the fetal condition is an
intrinsically interactional and distributed achievement. The ultrasound fetus is
constructed as a real-time object in a particular technological environment; in
this environment, the participants' orientations to spatially separated
operational fields, that is, the monitor screen and the woman's abdomen, are
exhibited and integrated in the actual course of interaction. In conclusion, the
fundamental relation between organizational lived work in a technological
environment and the observable features of technology will be suggested.
PMID- 21879525
TI - Extending the rails: how research reshapes clinics.
AB - The purpose of clinical research is to create the scientific foundation for
medical practice. In this way of thinking, the effect on medical practice occurs
after the research has been completed. Social studies of science have debunked
the standard model of scientific research, observing that changes in practice
associated with research occur not just because of the results of research but
also because of the practice of research. Drawing on fieldwork in HIV clinics in
the US, South Africa,Thailand, and Uganda, we argue that clinical trials shape
medical practice by altering the organizations in which both medical treatment
and clinical trials take place. Three general processes are central to this
transformation: the modification of material environments, the reorganization of
bureaucratic relations, and the prioritization of research values. These
processes unfold somewhat differently in the clinics of poorer countries than in
those of wealthier ones.
PMID- 21879526
TI - Tradition and toxicity: evidential cultures in the kava safety debate.
AB - This paper examines the debate about the safety of kava (Piper methysticum Forst.
f, Piperaceae), a plant native to Oceania, where it has a long history of
traditional use. Kava became popular as an anti-anxiety treatment in Western
countries in the late 1990s, but it was subsequently banned in many places due to
adverse reports of liver toxicity. This paper focuses on the responses to the
bans by scientists involved in kava research, contrasting their evidential
culture with that employed by clinicians and regulatory officials. Cultural
constructions and social negotiations of risk are shown to be context-specific,
and are shaped by professional, disciplinary, and organizational factors, among
others. Though the science of hepatotoxicity is uncertain enough to allow for
multiple interpretations of the same data, the biomedical/clinical narrative
about kava remains dominant. This case study explores the influence of these
cultural, social, and political factors on the production of scientific knowledge
and the assessment of benefit/risk posed by comestibles.
PMID- 21879527
TI - The costs and benefits of 'red tape': anti-bureaucratic structure and gender
inequity in a science research organization.
AB - This paper explicates a central conflict that can affect science research
organizations, the conflict between the anti-bureaucratic stance believed to
advance science and concerns for gender equity rooted in the universalist ethos
of science. We present a case study of a science research organization, using
employment and publication records, a survey of 308 employees, and qualitative
interviews with 60 employees. We show how anti-bureaucratic organizational
structures perpetuate gender inequities for both female scientists and non
scientists.
PMID- 21879528
TI - E. Leroy Ryer (1880-1972) and Elmer E. Hotaling (1887-1950), optometric leaders
and authors, and partners in optometry practice.
AB - E. LeRoy Ryer and Elmer E. Hotaling were very prominent optometrists of the first
half of the twentieth century and made numerous contributions to the profession.
They were among the early pioneers of professional optometry practice. They
published many articles in optometry journals, and did work in instrument design.
They were charter members of the American Academy of Optometry in 1922, Ryer
having suggested such an organization in 1905. In the first decade of the
twentieth century, they were briefly faculty members in a two-year optometry
school, an unusual length of study for the time. This paper presents brief
biographical sketches of each, along with discussion of the two books they
coauthored, Optometric Procedure and Ophthalmometry. The former book contained
much of their views on how optometry should be practiced.
PMID- 21879529
TI - [Early achievements of the Danish pharmaceutical industry--3. Alfred Benzon].
AB - The article series provides a written and pictorial account of the Danish
pharmaceutical industry's products from their introduction until about 1950. Part
3 deals with products from the company founded by Alfred Benzon in 1849. Alfred
Nicolai Benzon owned the Swan Pharmacy in Copenhagen. In 1863 he started an
independent company manufacturing branded pharmaceuticals, thus combining the
pharmacy's activities with the wholesale business. The family owned the company
until 1952, when it was converted into a foundation. After several restructuring
rounds, the medicine production business continued as Benzon Pharma A/S until
1990, when Nycomed Pharma A/S bought up all the branded pharmaceuticals. As the
first pharmaceutical company in Denmark, Alfred Benzon was an industrial
frontrunner in the country at the time, supplying not only the domestic market
but foreign markets as well. Alfred Benzon was the first Danish company to
produce ether for anesthesia, and malt extract, a dietetic preparation. The high
quality of both products made them valuable export articles. In the early 1890s,
Alfred Benzon became the first Danish company to start the research-based
production of extract of thyroid glands from slaughtered cattle. This was the
beginning of a long-standing specialization in producing organotherapeutic
substances from animal organs originating from Danish animal husbandry. In 1932
the company had 26 preparations of this type in its range, many of them on the
market for several years. These medicine substances included iron preparations
and effervescent salts followed by sulfonamides, synthetic hormones and a
substance to counteract motion sickness.
PMID- 21879530
TI - [A personal prescription book].
AB - The Danish Collection of the History of Pharmacy includes a unique record: Mrs.
I.J. Valentiner's prescription book. The slim volume dates from the period 1906
1917 and contains a collection of 45 prescriptions for Mrs. Valentiner, whose
husband was a land agent on a large estate, Frederikslund, on the island of
Funen. Mrs. Valentiner's brother, Axel Bentsen, a physician in Northern Jutland
during this period, wrote most of the prescriptions. Many of the prescriptions
are dated within a few days of each other. The likely explanation is a practical
one. When visiting his sister, Axel Bentsen probably provided her and her family
with prescriptions for a broad spectrum of medicines such as analgesics, cough
mixtures, laxatives and ointments, should they be needed. The record shows that
various pharmacies were involved in making up 24 of the prescriptions in Mrs.
Valentiner's prescription book until 1926, and the book was thus in use for 20
years.
PMID- 21879531
TI - [The formula book. The pharmacies' own formulas].
AB - During the 19th century and part of the 20th, a book of formulas was a useful
tool for many Danish pharmacists in their daily work in the pharmacy laboratory.
These books were handwritten and contained formulas that supplemented the
official formula books, e.g. the pharmacopoeias. They originated from many
different sources such as colleagues, the pharmaceutical press, local doctors,
veterinarians and dentists. The book of formulas could be a pharmacist's personal
document, or it could belong to a pharmacy for general use in the laboratory. The
formulas included many drugs, but a number of the products were intended for
daily domestic life: ingredients for food and spirits, cosmetics, cleaning and
maintenance, etc. Other products were for use by the local hairdresser or
photographer, for example. The article provides an overview of 52 formula books
in a wide variety of shapes and sizes with instructions for a total of 8,000
10,000 compositions. Part of this large body of practical knowledge by individual
pharmacists was collected and published in books in order to be available to all
pharmacists. Some of this knowledge was also printed in booklets written for the
general public under such pseudonyms as "An old Pharmacist". In the mid-20th
century, Sven Holm enjoyed a prominent career as a well-known pharmacist giving
advice on the radio and TV and in newspapers and magazines. The need for these
formula books declined as pharmacies gradually stopped making up their own
medicines towards the end of 20th century and finally ceased altogether in 1990.
PMID- 21879532
TI - [Introduction (inquiry, status of research, sources and literature)].
PMID- 21879533
TI - [Paraphrase. Interpretation of statements by the Vatican].
PMID- 21879534
TI - [Documents of the Vatican. Source collection in extracts].
PMID- 21879535
TI - Reflections on the ups and downs of optometry's relationship with organized
medicine and organized ophthalmology.
PMID- 21879536
TI - William Bohne (1827-1906), author of handbook for opticians, first textbook by an
American optometrist.
AB - William Bohne (1827-1906) was a teacher in Germany before emigrating to the
United States in 1852. He worked as an optician (as optometrists were known at
that time) in New Orleans in the second half of the nineteenth century. His book,
Handbook for Opticians, which went through three editions, appears to have been
the first optical care textbook written by an American optometrist. This paper
gives a brief biographical sketch of Bohne and a description of the contents of
the third edition of his book.
PMID- 21879537
TI - How soft contact lenses came to the USA.
PMID- 21879538
TI - Some doctors of medicine who published optometry books and played significant
roles in early twentieth century optometric education.
AB - This paper provides brief profiles of four doctors of medicine who wrote books
for optometrists and who were faculty members in, and/or directors of, optometry
schools in the early twentieth century. Those studied were Thomas G. Atkinson
(1870-1946), Marshall B. Ketchum (1856-1937), Joseph I. Pascal (1890-1955), and
Clarence W. Talbot (1883-1958). The content of the books they wrote is also
discussed.
PMID- 21879539
TI - From self-interest to selflessness. Commissioning may leave GPs straddling a
conflict of interests.
PMID- 21879540
TI - Antenatal. An efficient approach to maternity appointments.
PMID- 21879541
TI - Transitional leadership. Making sure two into one does go.
PMID- 21879542
TI - End of life care. Complying with final wishes.
PMID- 21879543
TI - Degradations of model compounds representing some phenolics in olive mill
wastewater via electro-Fenton and photoelectro-Fenton treatments.
AB - The electrochemical oxidation of vanillic acid, o-coumaric acid and
protocatechuic acid, three representative toxic phenolics in olive mill
wastewater, was studied using carbon felt cathode in the electro-Fenton system.
Results obtained, in the presence or absence of UV support, were compared
throughout the degradation processes up to mineralization. It was demonstrated
that all three phenolic compounds reacted completely with hydroxyl radicals and
degraded efficiently. It was shown in the photoelectro-Fenton process that the
degradation and mineralization efficiency of the phenolic compounds were enhanced
by the effect of UV light, especially at the later stages of the degradation
processes.
PMID- 21879544
TI - Phosphorus recovery from human urine and anaerobically treated wastewater through
pH adjustment and chemical precipitation.
AB - Increased population growth and food prices have resulted in more demand for
fertilizers, especially phosphorus (P), to be used in agriculture and production
of food crops. This research investigated the feasibility of P recovery from
selected wastewaters in the form of precipitates only with pH adjustment. Human
urine and effluent of an anaerobic digester treating a piggery's wastewater were
employed to determine appropriate pH conditions for P recovery including
solubility of the precipitates in an agricultural soil. From the laboratory
experiments, the highest P recovery was found to be 106 mg per one litre of urine
at pH 11. Due to its lower P content, the highest P recovery from one litre of
the anaerobic digester effluent was 39 mg at pH 9. The X-ray diffraction analysis
of the precipitates identified them to consist of struvite, syn-NH4MgPO4 6H2O,
and other precipitate compounds consisting of CaCO3, NaCl and Mg3Al2(SiO4)3 and P
contents of the precipitate samples were found to be 3-7%. When mixed with soils
at a moisture content of 50%, the extents of P solubilized from the precipitate
samples were in the range of 50-60%. Application of these experimental results to
full-scale operation for P recovery is suggested.
PMID- 21879545
TI - Characterization and treatment of Denizli landfill leachate using anaerobic
hybrid/aerobic CSTR systems.
AB - Leachate generated in municipal solid waste landfill contains large amounts of
organic and inorganic contaminants. In the scope of the study, characterization
and anaerobic/aerobic treatability of leachate from Denizli (Turkey) Sanitary
Landfill were investigated. Time-based fluctuations in characteristics of
leachate were monitored during a one-year period. In characterization study;
chemical oxygen demand (COD), biochemical oxygen demand (BOD) dissolved oxygen,
temperature, pH, alkalinity, volatile fatty acids, total nitrogen, NH4-N,
BOD5/COD ratio, suspended solid, inert COD, anaerobic toxicity assay and heavy
metals concentrations in leachate were monitored. Average COD, BOD and NH4-N
concentration in leachate were measured as 18034 mg/l, 11504 mg/l and 454 mg/l,
respectively. Generally, pollution parameters in leachate were higher in summer
and relatively lower in winter due to dilution by precipitation. For treatment of
leachate, two different reactors, namely anaerobic hybrid and aerobic completely
stirred tank reactor (CSTR) having effective volumes of 17.7 and 10.5 litres,
respectively, were used. After 41 days of start-up period, leachate was loaded to
hybrid reactor at 10 different organic loading rates (OLRs). OLR was increased by
increasing COD concentrations. COD removal efficiency of hybrid reactor was
carried out at a maximum of 91%. A percentage of 96% of residual COD was removed
in the aerobic reactor. NH4-N removal rate in CSTR was quite high. In addition,
high methane content was obtained as 64% in the hybrid reactor. At the end of the
study, after 170 operation days, it can be said that the hybrid reactor and CSTR
were very effective for leachate treatment.
PMID- 21879546
TI - Characteristics of physicochemical adsorption of soluble matter by particles
formed in a fluidized pellet bed reactor.
AB - To investigate the mechanism of soluble matter removal by a fluidized pellet bed
(FPB) reactor, an experimental study was conducted using a laboratory-scale FPB
device for treating synthetic wastewater under a condition of no activated sludge
seeding and no dissolved oxygen supply so that the physicochemical functions of
the FPB reactor could be evaluated. By using polyaluminium chloride and
polyacrylamide as coagulants, it was found that most of the substances in the
synthetic wastewater could not be effectively removed by conventional coagulation
and sedimentation. A similar condition was observed in the start-up period of the
FPB operation. However, as a steady FPB was formed usually after about 10 hours'
operation, the influent COD, ammonia nitrogen and total phosphorous could be
quickly and effectively entrapped by the fluidized grown particle layer. Because
these substances were not coagulable under normal conditions, adsorption might
have performed an important role in their removal. Through an adsorption
experiment using the grown pellets as adsorbent and glucose as adsorbate without
dosing coagulants, it was found that the process followed well the Freundlich
adsorption isotherm. The adsorption was also confirmed to be reversible by a
washing experiment. A scanning electron microscopy coupled with energy dispersive
spectroscopy analysis of the pellets before and after washing showed that the
elements of carbon and phosphorous in the outer layer of the pellets were easily
desorbed. The study results can provide an explanation, other than the
coagulation mechanism, for the removal of soluble matter by the FPB.
PMID- 21879548
TI - A correlation-regression model for the physicochemical parameters of the
groundwater in Coimbatore city, India.
AB - The textile hub of Coimbatore city is facing a serious water pollution problem,
both for surface water and groundwater. Industrial and domestic waste is
continuously discharged into surface water bodies, resulting in the degradation
of groundwater quality. In order to assess the quality of groundwater, the
Singanallur area was selected for the present study. The quality of groundwater
is worse in this area and the physicochemical parameters exceed the permissible
limits of the Indian drinking water standards. The water type of the study area
was predominantly NaCl and MgCl. A statistical analysis was carried out to
understand the linear relation between the best correlated parameters. The
relationship for different parameters for the study area was analysed for two
seasons, pre-monsoon and post-monsoon, because the water quality varies widely
seasonally. The study showed that there is a good and equal correlation between
total hardness and calcium, total hardness and magnesium, and calcium and
magnesium in both time periods. The relationship can be utilized to determine the
value of calcium and magnesium when the value of total hardness is known for the
study area. Cluster analysis was performed to obtain a dendrogram for the study
area, from which the source of pollution was identified for different regions.
PMID- 21879547
TI - The decontamination of bleaching effluent by pilot-scale solar Fenton process.
AB - A solar Fenton process was applied as post-treatment to selectively eliminate
organic pollutants and toxicants in bleaching effluents of kraft pulp mills.
Experiments were conducted to study the effect of system parameters (pH, initial
concentration of H2O2, molar ratio of Fe2+/H2O2 and solar-UV irradiance) on the
removals of chemical oxygen demand and colour. The results showed 92.8% of COD
and 99.6% of colour were removed at pH 3.5, H2O2 30 mM/ L, Fe2+/H2O2 1:100, solar
UV irradiance 11070 mW/m2, reaction time 120 min. The first-order kinetic model
was used to study the dependence of the reaction rate on solar-UV irradiance: a
linear relationship was shown to exist between reaction rate constants and solar
UV irradiance. The results of gas chromatography mass spectrometry analysis
showed that the toxicity of the bleaching effluents was mainly derived from the
presence of mononuclear aromatics, polycyclic aromatic hydrocarbons and
organochlorides, which were all degraded into harmless organic acids under the
attack of hydroxyl radicals generated from the solar Fenton reaction.
PMID- 21879549
TI - Modification of pineapple peel fibre with succinic anhydride for Cu2+, Cd2+ and
Pb2+ removal from aqueous solutions.
AB - Research on chemical modification of pineapple peel fibre with succinic anhydride
was carried out to create a novel adsorbent for Cu2+, Cd2+ and Pb2+ removal from
aqueous solution. After pretreatment with iso-propyl alcohol and NaOH, pineapple
peel fibre was modified via reaction with succinic anhydride for introduction of
carboxylic functional groups. The modified pineapple peel fibre was characterized
with Fourier transform infrared (FTIR) spectroscopy and evaluated for its
adsorptive ability for Cu2+, Cd2+ and Pb2+ from synthetic metal solutions. The
FTIR analysis proved the introduction of carboxylic functional groups in the
backbone of the modified pineapple peel fibre. The modified pineapple peel fibre
showed higher adsorptive capacity for Cu2+, Cd2+ and Pb2+ compared with raw
pineapple peel and pineapple peel fibre pretreated with iso-propyl alcohol. The
adsorption of Cu2+, Cd2+ and Pb2+ on the modified pineapple peel fibre depended
on solution pH value, adsorption time and initial metal concentration. The
maximum adsorption capacities of the modified fibre were observed at pH 5.4 for
Cu2+ (27.68 +/- 0.83 mg g(-1) or 0.44 mmol g(-1)), at pH 7.5 for Cd2+ (34.18 +/-
1.02 mg g(-1) or 0.30 mmol g(-1)) and at pH 5.6 for Pb2+ (70.29 +/- 2.11 mg g(-1)
or 0.34 mmol g(-1)) respectively. The adsorption followed the pseudo-second-order
kinetics model and the experimental data coincided well with the Langmuir model.
PMID- 21879550
TI - The effects of antecedent dry days on the nitrogen removal in layered soil
infiltration systems for storm run-off control.
AB - The effects of antecedent dry days (ADD) on nitrogen removal efficiency were
investigated in soil infiltration systems, with three distinguishable layers:
mulch layer (ML), coarse soil layer (CSL) and fine soil layer (FSL). Two sets of
lab-scale columns with loamy CSL (C1) and sandy CSL (C2) were dosed with
synthetic run-off, carrying chemical oxygen demand of 100 mg L(-1) and total
nitrogen of 13 mg L(-1). The intermittent dosing cycle was stepwise adjusted for
5, 10 and 20 days. The influent ammonium and organic nitrogen were adsorbed to
the entire depth in C1, while dominantly to the FSL in C2. In both columns, the
effluent ammonium concentration increased while the organic nitrogen
concentration decreased, as ADD increased from 5 to 20 days. The effluent of C1
always showed nitrate concentration exceeding influent, caused by nitrification,
by increasing amounts as ADD increased. However, the wash-out of nitrate in C1
was not distinct in terms of mass since the effluent flow rate was only 25% of
the influent. In contrast, efficient reduction (>95%) of nitrate loading was
observed in C2 under ADD of 5 and 10 days, because of insignificant nitrification
in the CSL and denitrification in the FSL. However, for the ADD of 20 days, a
significant nitrate wash-out appeared in C2 as well, possibly because of the re
aeration by the decreasing water content in the FSL. Consequently, the total
nitrogen load escaping with the effluent was always smaller in C2, supporting the
effectiveness of sandy CSL over loamy FSL for nitrogen removal under various
ADDs.
PMID- 21879551
TI - Measurement and modelling of adsorption equilibrium, adsorption kinetics and
breakthrough curve of toluene at very low concentrations on to activated carbon.
AB - Indoor air pollution, characterized by many pollutants at very low
concentrations, is nowadays known as a worrying problem for human health. Among
physical treatments, adsorption is a widely used process, since porous materials
offer high capacity for volatile organic chemicals. However, there are few
studies in the literature that deal with adsorption as an indoor air pollution
treatment. The aim of this study was to investigate the adsorption of toluene on
to activated carbon at characteristic indoor air concentrations. Firstly, global
kinetic parameters were determined by fitting Thomas's model to experimental data
obtained with batch experiments. Then, these kinetic parameters led to the
determination of Henry's coefficient, which was checked with experimental data of
the adsorption isotherm. Secondly, we simulated a breakthrough curve made at an
inlet concentration 10 times higher than the indoor air level. Even if the
kinetic parameters in this experiment are different from those in batch
experiments, it can be emphasized that the Henry coefficient stays the same.
PMID- 21879552
TI - Characterization and metal availability of copper, lead, antimony and zinc
contamination at four Canadian small arms firing ranges.
AB - Backstop soils of four small-arms firing ranges (SAFRs) of the Canadian Force
Bases (CFBs) were characterized in terms of their total soil Cu, Pb, Sb and Zn
concentrations, grain size distribution, mineralogy, chemical properties,
vertical in-depth contamination distribution (for one CFB), and scanning electron
microscope (SEM-EDS) characterization. Metal availability from the soils was
evaluated with three leaching tests: the toxicity characteristics leaching
procedure (TCLP), representing a landfill leachate; the synthetic precipitation
leaching procedure (SPLP), representing field conditions; and the gastric juice
simulation test (GJST), representing the leachate of the human stomach during the
digestive process and, therefore, the potential metal transfer to humans in the
case of soil ingestion. Metal analyses of soils and leaching test extracts were
conducted with an Inductively Coupled Plasma Atomic Emission Spectrometry (ICP
AES) instrument. Total soil results showed maximal concentrations of 27,100 mg/kg
for Pb, 7720 mg/kg for Cu, 1080 mg/kg for Zn, and 570 mg/kg for Sb. The SEM-EDS
analysis showed significant amounts of lead carbonates, which resulted from the
alteration of the initial metallic Pb particles. Metal availability evaluation
with the leaching tests showed that TCLP Pb and Sb thresholds were exceeded. For
the SPLP and the GJST, the drinking water thresholds of the Ministere du
Developpement Durable, de l'Environnement et des Pares (MDDEP) of Quebec were
exceeded by Pb and Sb. The metal availability assessment showed that SAFR
backstop soils may pose a potential risk to the environment, groundwater and
humans, and affect the management of such soils in order to minimize potential
metal dispersion in the environment.
PMID- 21879553
TI - Removal and recovery of nutrients as struvite from anaerobic digestion residues
of poultry manure.
AB - The removal and the recovery of nutrients, namely nitrogen (N) and phosphorus (P)
from anaerobically digested and solid-liquid separated manure effluents via
struvite precipitation were investigated. Both the liquid and the solid phases of
the poultry manure digester effluent were subjected to struvite precipitation
experiments. The Mg:N:P molar ratio of 1:1:1 in the liquid phase resulted in an
average NH4-N removal efficiency of 86.4%, which increased to 97.4% by adjusting
the Mg:N:P ratio to 1.5:1:1. The acidic phosphorus-dissolution process was
applied to the solid phase of the effluent to obtain a phosphorus-enriched
solution. Nutrient recovery experiments with NaOH as the buffering reagent were
conducted with and without addition of external chemicals (Mg and P sources) to
evaluate the influence of the Mg:N:P molar ratio, the Mg:P molar ratio and pH.
All the experiments depicted complete PO4-P (99.6-100.0%) and partial NH4-N (3.3
65.6%) recoveries from the phosphorus-enriched solution.
PMID- 21879554
TI - Assessment of a full-scale duckweed pond system for septage treatment.
AB - Environmental conditions and wastewater treatment performance in a full-scale
duckweed pond system are presented. The treatment system consisted of three
stabilization ponds in series and was fed with septage. Vacuum trucks pumped the
septage from residential holding tanks and discharged it to the system daily. The
inflow rates averaged 36 m3 d(-1) in the cold season and 60 m3 d(-1) in the warm
season. Duckweed (Lemna minor) colonized the ponds in the warm months and
survived during the cold season. Because of the difficult process for harvesting
the duckweed biomass, the investigation of the treatment efficiency was carried
out without plant harvesting. Samples were collected from the vacuum trucks and
from the exit of each pond and were analysed for physicochemical and
microbiological parameters over a period of 12 months. The results showed that
the duckweed mat suppressed algal biomass, which in turn led to anoxic and
neutral pond conditions. On an annual basis, the duckweed system sufficiently
removed BOD5 (94%), NH4+ (72%) and E. coli (99.65%), with lower removal of TSS
(63%) and Enterococci (91.76%). A slight increase (1.1%) was recorded for o-PO4(3
). Between the two sampling seasons, BOD5 and TSS removal efficiencies were
higher in the cold season with the longer retention time. Similar removal values
in the warm and the cold season were found for nutrients and bacteria. These
findings indicate that BOD5 and TSS removals are less temperature-dependent at
higher retention times, while ammonia nitrogen and bacterial removals are
substantially influenced by temperature as well as retention time.
PMID- 21879555
TI - The effect of the presence of metatartaric acid on removal effectiveness of heavy
metal ions on chelating ion exchangers.
AB - The paper presents experimental results and their evaluation for the sorption of
copper(II), zinc(II), cobalt(II) and nickel(II) complexes with metatartaric acid
on chelating ion exchangers with different functional groups. The literature
lacks the data concerning sorption of heavy metal ions in the presence of
metatartaric acid on ion exchangers. The effect of important parameters such as
the value of pH, the metal(II) ion and ligand concentration as well as their
molar ratio and the type of functional group of the ion exchanger used was
studied. It was found that the time of 60 min was sufficient for sorption to
attain equilibrium. The equilibrium sorption capacities for copper(II), zinc(II),
cobalt(II) and nickel(II) complexes with metatartaric acid were 37.35 mg/g, 32.02
mg/g, 32.78 mg/g and 28.31 mg/g on Lewatit TP 207 and 42.15 mg/g, 34.32 mg/g,
27.76 mg/g and 21.70 mg/g on Lewatit TP 260, respectively. The sorption optimum
pH was 7. Temperature does not affect the sorption process significantly. The
sorption data were well fitted by the Langmuir adsorption model whereas kinetics
of the sorption process was well described by the pseudo second order kinetics
equation.
PMID- 21879556
TI - Recovery of metallic copper by integrated chemical reduction and high gradient
magnetic separation.
AB - The recovery of metals from waste effluents is necessary for pollution prevention
and sustainable practice. High gradient magnetic separation (HGMS) is seen as a
viable method. We investigated the capture of valence copper from aqueous copper
ion by HGMS in combination with a chemical reduction process. When a copper
solution (3.9 or 15.6 mM) was exposed to excess of dithionite (mole ratio of 1:3)
in the presence of ammonia (mole ratio of 4) and amended with MnCl2 (2.5 g/L) and
the mixture passed through a flow reactor under a strong magnetic field (10000
Gauss), valence copper was obtained and captured in the reactor with well over
95% yields. The chemical reduction reactions were unaffected by the presence of
MnCl2 while the amount of MnCl2 (0, 20 and 32 mM) has significantly varied the
copper recovery efficiency, especially in the case of high initial copper ion
concentration (15.6 mM). Formation of MnO2 flocs was found to have a detrimental
effect on copper removal efficiency. The HGMS method offers a tool of resource
recovery for copper from waste effluents.
PMID- 21879557
TI - Thin wetted film cylindrical flow photo reactor for the degradation of Procion
blue H-B dye over TiO2 and ZnO.
AB - A thin wetted film cylindrical flow reactor was fabricated for photocatalytic
oxidation of Procion blue H-B dye in textile washwater with the suspensions of
TiO2 and ZnO. The disappearance of colour and organic reduction were studied in
terms of the removal of colour and chemical oxygen demand (COD). Operating
parameters such as effect of pH, UV irradiation with and without catalyst,
initial concentration of dye and effect of flow rate were studied and kinetics of
Procion blue H-B dye has been studied over TiO2 and ZnO surfaces. Since
adsorption is the prerequisite condition for decolorization/degradation of dye
molecules in the presence of heterogeneous catalysis, the Langmuir and Freundlich
isotherms were examined to verify the adsorption intensity. The results clearly
demonstrated that, the optimum loading of the photocatalyst was found to be 300
and 400 mg/L of TiO2 and ZnO, respectively. The maximum COD reduction efficiency
was 68% for TiO2 and 58% for ZnO. On the other hand, the colour removal
efficiency was found to be 74% and 69%, respectively for TiO2- and ZnO-assisted
systems under optimum conditions. Conclusively, these two semiconductors could
degrade Procion blue H-B dye at different time intervals and both isotherms fit
well.
PMID- 21879558
TI - Nitrification of an industrial wastewater in a moving-bed biofilm reactor: effect
of salt concentration.
AB - Nitrification of wastewaters from chemical industries can pose some challenges
due to the presence of inhibitory compounds. Some wastewaters, besides their
organic complexity present variable levels of salt concentration. In order to
investigate the effect of salt (NaCl) content on the nitrification of a
conventional biologically treated industrial wastewater, a bench scale moving-bed
biofilm reactor was operated on a sequencing batch mode. The wastewater
presenting a chloride content of 0.05 g l(-1) was supplemented with NaCl up to 12
g Cl(-) l(-1). The reactor operation cycle was: filling (5 min), aeration (12 or
24h), settling (5 min) and drawing (5 min). Each experimental run was conducted
for 3 to 6 months to address problems related to the inherent wastewater
variability and process stabilization. A PLC system assured automatic operation
and control of the pertinent process variables. Data obtained from selected batch
experiments were adjusted by a kinetic model, which considered ammonia, nitrite
and nitrate variations. The average performance results indicated that
nitrification efficiency was not influenced by chloride content in the range of
0.05 to 6 g Cl(-) l(-1) and remained around 90%. When the chloride content was 12
g Cl(-) l(-1), a significant drop in the nitrification efficiency was observed,
even operating with a reaction period of 24 h. Also, a negative effect of the
wastewater organic matter content on nitrification efficiency was observed, which
was probably caused by growth of heterotrophs in detriment of autotrophs and
nitrification inhibition by residual chemicals.
PMID- 21879559
TI - Persistence of non-native spore forming bacteria in drinking water biofilm and
evaluation of decontamination methods.
AB - Persistence of Bacillus globigii spores, a surrogate for Bacillus anthracis, was
studied on biofouled concrete-lined slides in drinking water using biofilm
annular reactors. Reactors were inoculated with B. globigii spores and
persistence was monitored in the bulk and biofilm phases, first in dechlorinated
water and later with free chlorine concentrations of 1 and 5 mg/L. In the
dechlorinated study, a steady state population of spores developed on the slides.
The addition of free chlorine at 5 mg/L decreased the adhered spore density by 2
logs within 4 hours and spores were not detected after 67 and 49 hours in the
presence of 1 and 5 mg/L free chlorine, respectively. This suggests that adhered
spores can persist in non-chlorinated conditions, but detach and/or are
inactivated upon addition of free chlorine. When injected into a chlorinated
reactor, adhered spore density continually decreased and spores were either
undetectable or unquantifiable by 48 hours for both 1 and 5 mg/L chlorine
concentrations. Results from these experiments suggest that the presence of a
free chlorine residual limits adherence of viable spores to biofouled concrete
lined pipe walls by inactivating spores before they have attached. Both free
chlorine concentrations (1 and 5 mg/L) were equally effective at inactivating
spores in terms of log reduction, but the higher concentrations yielded faster
rates of log reduction.
PMID- 21879560
TI - Full-scale sludge liquor treatment for ammonium reduction with low carbon dosage.
AB - The separate treatment of sludge liquor, produced by dewatering anaerobic
digested sludge at wastewater treatment plants, gives rise to extreme
environments for nitrogen removal. A full-scale sequencing batch reactor was
operated with the aim of introducing and studying denitritation as a supplement
to nitritation in order to reduce operating costs. Since the main plant only has
problems with ammonium reduction capacity, the initial strategy was to have
sufficient ammonium reduction with optimal alkalinity production by denitrifiers,
i.e. low carbon dosage and minimum alkalinity and residual oxidized ammonium in
the effluent. This strategy led to an unbalanced and sensitive process because
the denitrifiers were often inhibited. High dissolved oxygen (DO) readings and no
decrease in oxidation-reduction potential (ORP) during anoxic phases with
simultaneous ethanol dosage indicated inhibition of denitrifiers, probably by the
intermediate product NO, which may have interfered with the DO sensor. Nitric
oxide production was believed to be favoured in the beginning of the anoxic phase
as a result of low pH and high nitrite concentration. A stable nitritation
denitritation process could be achieved when the aerobic hydraulic retention time
(HRT) was decreased to the same length as the anoxic HRT, which resulted in
increased unused alkalinity.
PMID- 21879561
TI - Efficiency of hydroxyl radical formation and phenol decomposition using UV light
emitting diodes and H2O2.
AB - A novel process combining hydrogen peroxide (H2O2) and radiation emitted by
ultraviolet light emitting diodes (UV LEDs) has been investigated. The UV LEDs
were used as UV-C light sources emitting radiation in the range 257-277 nm for
decomposition of the model substance phenol in water. In addition, the effect of
H2O2 to phenol molar ratio and initial phenol concentration was examined. Two
parameters, the decomposition efficiency of phenol and characterization of
hydroxyl radical (HO*) production from H2O2 when illuminated with UV radiation,
were selected to provide detailed information regarding the performance of the UV
LEDs in the treatment process. A new concept was introduced to characterize and
describe the production of HO* radicals produced when photons were absorbed by
H2O2 molecules. The phenol decomposition efficiency at the initial concentration
of 100 mg/L was the most pronounced at the lowest emitted wavelength. A
significant correlation was found between the phenol decomposition efficiency and
the photons absorbed by H2O2 (i.e. formation of radicals).
PMID- 21879562
TI - Experimental investigation of an applicator of liquid slurry, from biogas
production, for crop production.
AB - A unit for the application of liquid digested slurry in the field was designed
and developed. The developed slurry applicator had a capacity of 1500 L and was
pulled by a 35 h.p. tractor. The liquid digested slurry of a biogas plant was
pumped in to the tank with the help of a slurry pump. The necessary power
transmission system, consisting of a pulley, power take off shaft (PTO) and cross
joints, was provided to get power from the PTO of the tractor. In this paper an
attempt has been made to evaluate the application of liquid slurry in the field
in terms of plant growth parameters such as number of branches/plant, number of
nodules/plant, plant height and yield attributes like pods/plant and grains/pod.
The application of liquid slurry resulted in an increase in grain, straw and
biological yields of 32%, 7% and 15%, respectively, compared with the application
of farmyard manure.
PMID- 21879563
TI - Remediation of soils contaminated with chromium using citric and hydrochloric
acids: the role of chromium fractionation in chromium leaching.
AB - Acid washing is a common method for soil remediation, but is not always efficient
for chromium-contaminated soil. Both soil particle size and the forms of chromium
existing in the soil affect the efficiency of soil washing. Laboratory batch and
column dissolution experiments were conducted to determine the efficiencies of
citric and hydrochloric acids as agents to extract chromium from soils
contaminated with chromium. The effects of soil particle size and chromium
fractionation on Cr leaching were also investigated. About 90% of chromium in the
studied soil existed either in residual form or bound to iron and manganese
oxides, and Cr fraction distributions were similar for all soil particle sizes.
Almost all exchangeable and carbonate-bound chromium was removed by washing once
with 0.5 M HCl, whereas organic chromium was more effectively removed by washing
with citric acid rather than with HCl solution of the same concentration. For
chromium fractions that were either bound to Fe-Mn oxides or existed as residual
forms, the efficiencies of acid washing were usually 20% or less, except for 0.5
M HCl solution, which had much higher efficiencies. Separation of the soil sample
by particle size before the separate washing of the soil fractions had little
improvement on the chromium removal.
PMID- 21879564
TI - The effects of H2O and SO2 on the behaviour of CuSO4-CeO2/TS for low temperature
catalytic reduction of NO with NH3.
AB - The catalyst CuSO4-CeO2/TiO2-SiO2 (CuSO4-CeO2/TS), for low temperature catalytic
reduction (LT-SCR) of NO with NH was prepared by the impregnation method. The
impacts of H2O and SO2 on the catalyst were investigated. Experiments showed that
H2O brought down the catalyst's activity at low reaction temperature. However,
this negative effect of H2O could be mostly eliminated with a raised temperature
of above 220 degrees C. In a 10-hour experiment on the catalyst's resistibility
to SO2 poisoning, NO conversion increased slightly by the promoting effect of
SO2. The same catalyst underwent continuous examination with both SO2 and H2O in
the feed gas; NO conversion of around 90.2% was maintained for 37 hours, showing
better performance than CuSO4-CeO2/TiO2. This suggested that SiO2 in the TiO2
SiO2 catalyst supported the supression of the oxidization of SO2 to SO3.
Therefore, the formation of ammonium sulphate over the catalyst and the
sulphation of CeO2 in the catalyst were greatly alleviated, leading to a better
resistibility of the catalyst to SO2 poisioning. After the 37 hours, the catalyst
activity began to fall, and an irrecoverable deactivation of the catalyst was
observed.
PMID- 21879565
TI - Biofouling characteristics and identification of preponderant bacteria at
different nutrient levels in batch tests of a recirculating cooling water system.
AB - Understanding the influence of nutrient levels on biofouling control is an
important requirement for management strategies in a recirculating cooling water
system. Nutrient limitation may be one way to control biofouling development
without increasing biocide dosing. Therefore, this study was carried out to
investigate the effects of nutrient levels on biofouling characteristics and to
identify the preponderant bacteria in the batch tests with a simulated cooling
water system. The biofouling characteristics were assessed by varying the
biofoulant mass and the bacteria respiratory activity, which was estimated by
measuring oxygen uptake rates. According to the results obtained in nutrient
factor experiments, the biofouling could be better controlled at carbon, nitrogen
and phosphorus concentrations of 30 mg N/L, 8 mg N/L and 1.0 mg P/L,
respectively. Increasing carbon concentrations shortened the biofouling initial
growth period and resulted in higher biofoulant mass. The preponderant bacteria
strains involved in biofouling under two culture conditions were identified by
applying both physiological and biochemical tests and further molecular biology
techniques with phylogenetic affiliation analysis. Enterobacter (family
Enterobacteriaceae), Staphylococcus (family Micrococcaceae), Bacillus (family
Bacillaceae), Proteus (family Enterobacteriaceae), Neisseria (family
Neisseriaceae) and Pseudomonas (family Pseudomonadaceae) were dominant in the
conditions of lower carbon concentration (30 mg/L). Enterobacter are autotrophs,
but the other five bacteria are all heterotrophs. In the conditions of higher
carbon concentration (70 mg/L), Klebsiella (family Enterobacteriaceae),
Enterobacter and Microbacterium (family Microbacteriaceae) were dominant;
Enterobacter and Microbacterium are heterotrophs.
PMID- 21879566
TI - Preparation, morphology and coagulation characteristics of a new polyferric
chloride coagulant prepared using pyrite cinders.
AB - Pyrite cinders are the main industrial waste generated from the process of
sulphuric acid production using pyrite ores. The pyrite cinders may have
utilization value in preparing a new polyferric chloride (PFC) coagulant. In
order to attain this objective, a preparation method for PFC products from pyrite
cinders was studied by a Taguchi orthogonal array experiment. On the basis of the
successful preparation, the morphologies and coagulation characteristics of three
PFC products, with different basicities, were observed by transmission electron
microscopy (TEM) and examined by jar tests, respectively. The results showed that
the molar ratio of NaOH to Fe should be in a range between 0.75:1 and 1:1, the
molar ratio of stabilizer NaH2PO4 to Fe should be in a range between 0.12:1 and
0.15:1, the curing time should be 2 h, the curing temperature can be 60 degrees C
and the molar ratio of NaHCO3 to Fe can be determined according to the basicity
required in order to get the optimal stability of PFC products and the best
flocculation efficiency. Although the degree of aggregation of the PFC products
became larger with the increase in the basicity, the coagulation efficiency could
be only improved with an increase in the basicity within a certain range. When
the basicity exceeded a certain value, the coagulation efficiency started to
decrease. In this study, PFC2 (B = 11.16%) had better coagulation characteristics
than either PFCl (B = 6.08%), PFC2 (B = 11.16%), PFC3 (B = 15.92%) or
polyaluminium chloride (PAC).
PMID- 21879567
TI - Night-mare and its treatment.
PMID- 21879568
TI - The treatment of tuberculosis in Ferrara (Italy) in the 19th century.
AB - The present work is a review of the remedies in use in Ferrara against
tuberculosis in the 1800s. The work started from the discovery of accounts
describing methods and remedies. These remedies were also in use world wide. Of
particular interest is the work by Antonio Campana, a famous professor of
Pharmaceutical Chemistry and Botany in Ferrara, who wrote a pharmacopoeia which
had several editions between 1797 and 1841. The Farmacopea Ferrarese was
addressed to the apothecaries of Ferrara. Nevertheless, due to its great
reputation it had an international distribution. It provided us with an
exhaustive view about the medical field in Ferrara in the early 1800s. The
remedies adopted in the city in the second half of the century were in line with
those present abroad. The work was also supported by the discovery of statistical
accounts of the Sant'Anna hospital from 1871. The manuscript written by
Alessandro Bennati enabled elucidation of the methods used to treat tuberculosis
in the second half of the century. Bennati's work is an historical document
completed by the work of the physician Cesare Minerbi.
PMID- 21879569
TI - Ingenious pharmaceutical historical objects through social scientific spectacles.
PMID- 21879570
TI - Regulations introduced in Scottish cities during the fourteenth to the
seventeenth centuries to prevent the spread of the plague.
PMID- 21879571
TI - The seaman's medicine chest in the late 18th century.
PMID- 21879572
TI - Black eye cosmetics of ancient Egypt.
PMID- 21879573
TI - Charles W. White and Walter White: colonial commercial travellers.
PMID- 21879574
TI - The mental health sector and the social sciences in post-World War II USA. Part
I: total war and its aftermath .
AB - This paper examines the impact ofWorldWar II and its aftermath on the mental
health sector, and traces the resulting transformations in US psychiatry and
psychology. Focusing on the years between 1940 and 1970, it analyses the growing
federal role in funding training and research in the mental health sector, the
dominance of psychoanalysis within psychiatry in these years, and the parallel
changes that occurred in both academic and clinical psychology.
PMID- 21879575
TI - From social pathologies to individual psyches: psychiatry navigating socio
political currents in 20th-century Latvia.
AB - The paper explores psychiatry's responses to the twentieth-century socio
political currents in Latvia by focusing on social objectives, clinical
ideologies, and institutional contexts of Soviet mental health care. The
tradition of German biological psychiatry in which Baltic psychiatrists had been
trained blended well with the materialistic monism of Soviet psychoneurology.
Pavlov's teaching of the second signal system was well suited to Soviet
ideological needs: speech stimuli were seen as a vehicle for moulding the
individual's mind. The transformation in diagnostic practices during the 1970s
and 1980s reflected the demise of optimism about the capacity of the self to
model itself to the needs of the society. Latvian psychiatry was prepared to
embrace more individualistic and pessimistic theories of the self.
PMID- 21879576
TI - 'Good in all respects': appearance and dress at Staffordshire County Lunatic
Asylum, 1818-54.
AB - Dress was integral to the ideals and practice of Staffordshire County Lunatic
Asylum, an institution catering for all social classes. Lunatics' appearance was
used to gauge the standard of care inside the asylum and beyond. Clothing was
essential for moral treatment and physical health. It helped to denote social and
institutional class: clothes were integral to paupers' admission; rich patients
spent time and money dressing; for disturbed inmates and those who destroyed
asylum attire, the consequence could be'secure dress', which was fundamental to
therapeutics. Later, when an ethos of non-restraint was introduced, the
superintendent used patients' appearance to propagate an image of his enlightened
care.
PMID- 21879577
TI - Sexuality and psychoanalytic aggrandisement: Freud's 1908 theory of cultural
history.
AB - In 1908, in his article "'Civilized" sexual morality and modern nervous illness',
Freud presented neuroses as the consequence of a restrictive state of cultural
development and its 'civilized morality'. He found the inspiration for this idea
by expanding upon previous formulations in this area by his predecessors (notably
Christian von Ehrenfels) that focused on a cultural process earlier introduced by
Kant, while also integrating in his analysis the principles of Haeckel's
evolutionism (history of development, recapitulation) which eventually re-defined
the psychoanalytic theory of neuroses. These new theoretical elements became the
basis of psychoanalytic theory and thereby influenced subsequent thinking in the
cultural process itself and in human sciences. This transformation of underlying
theory provided a unique historical and analytical framework for psychoanalysis
which allowed Freud to claim for it a pre-eminent position among the human
sciences.
PMID- 21879579
TI - Vitamins for the soul: John Bowlby's thesis of maternal deprivation, biomedical
metaphors and the deficiency model of disease.
AB - In 1951 John Bowlby, British psychoanalyst and child psychiatrist, published his
now famous report, Maternal Care and Mental Health, commissioned by the World
Health Organization. In this report, Bowlby coined the term 'maternal
deprivation', which quickly permeated into Western psychiatry and psychology. The
implications of Bowlby's writings, while widely criticized and contested,
generated a considerable amount of research and brought about significant changes
in perceptions of separation between children and their mothers. This article
examines the origins of the 'maternal deprivation' hypothesis, focusing on how
the deficiency theory of disease influenced psychiatric discourse, and framed
Bowlby's theory of maternal care. We argue that developments in paediatric
medicine, and particularly in the field of nutritional deficiencies, provided
Bowlby a prototype for conceptualizing his early views on the psychological needs
of children and the development of psychopathology.
PMID- 21879578
TI - Infanticide in Mecklenburg and Western Pomerania: documents from four centuries
(1570-1842).
AB - In this study we present an unprecedented comprehensive overview of cases of
infanticide in the region of Mecklenburg-Western Pomerania, from the end of the
16th century to the middle of the 19th century. Using the Faculty of Law's
verdict files stored in the University of Rostock's archives, we analysed and
evaluated 362 cases. Changes in the prosecution of this crime, in the way the
trials were conducted and in the passing of sentences illustrate the judicial
conceptions of the academics, as well as being of great social interest. For the
almost exclusively female defendants, behavioural patterns occurred repeatedly:
shame, confusion and hopelessness triggered concealment of the pregnancy and
ultimately the deliberate or negligent killing of the newborn child.
PMID- 21879580
TI - 'On periodical depressions and their pathogenesis' by Carl Lange (1886).
AB - Carl Lange was the founding father of neurology in Denmark, authoring several
pioneering works within this field; however, these remained largely unknown
internationally as he did not have them translated into a major language. He
became a pioneer of psychophysiology with his contribution to the so-called James
Lange theory of emotion. His treatise on'periodical depressions' ('the Lange
theory of depressions', 1886), is not only an early historical landmark but also
a masterly 'modern' description concerning the nosology and nosography of
recurrent depressions. Moreover, it is a landmark in the early history of lithium
therapy, sadly ignored by Lange's contemporaries, but which little more than half
a century later, with Cade's rediscovery of lithium's therapeutic effect in mood
disorders in 1949, ushered in modern psychopharmacology.
PMID- 21879581
TI - From war service to domestic service: ex-servicewomen and the Free Passage Scheme
1919-22.
AB - At the end of the First World War, the British government put into operation a
Free Passage Scheme for ex-servicemen, ex-servicewomen and their dependants to
emigrate to the colonies and dominions of the Empire. This scheme was driven by a
complex network of interlinked beliefs and policies concerning both the
relationship between the metropole and the Empire, and the perceived necessity
for social stability in Britain and in the dominions and colonies. This article
examines the Free Passage Scheme, paying particular attention to the ways in
which it was envisaged as a means of restoring a gendered balance of the
population in Britain, where young women outnumbered young men at the end of the
war, and in the dominions, where men outnumbered women, and was also seen as a
way of emigrating women whose wartime work experiences were understood to be in
conflict with gendered identities in the post-war period. The article argues that
the Free Passage Scheme needs to be understood as gendered, as it envisaged the
transformation of female members of the auxiliary wartime services into domestic
servants for the Dominions. The scheme's failure, it is argued, prefigures the
failure of the far larger Empire Settlement Act of 1922 to emigrate large numbers
of British women as domestic servants.
PMID- 21879583
TI - The forgotten survey: social services in the Oxford district: 1935-40.
AB - This article describes one of the lesser known social surveys of the first half
of the twentieth century in Britain and looks at its origins and its outcomes.
Funded by the Rockefeller grant to Oxford University to enhance social studies
there, the Oxford Survey published in two volumes in 1938 and 1940 engaged Oxford
academics from agricultural economics, economics, statistics, and government, as
well as Barnett House members involved in voluntary organizations, adult
education, settlements, citizenship, and social work. It was a far-reaching study
that aimed to analyse all aspects of public services, in the context of a
thorough-going description of the geography, industry, and population statistics
of the local area. It was also designed to have national relevance, because of
the development of the motor industry in Cowley. The Oxford Survey differed from
Booth and Rowntree's exploration of the habits and circumstances of the urban
poor. Instead, it had more affinity to surveys of industrial and regional
planning and work coming from the Le Play school, in which the act of surveying
communities was perceived as a way of enhancing citizenship.
PMID- 21879582
TI - Youth crime and preventive policing in post-war Scotland (c.1945-71).
AB - This article explores debates concerning the methods and styles used by the
police service in its dealings with children and young people in post-war
Scotland (in comparison with England). Study of the implementation of Police
Juvenile Liaison Schemes is used to consider shifting points of tension as well
as cooperation between the police and other occupational groups engaged in work
at the nexus of youth justice-welfare. Whilst often characterized as
contradictory tendencies, the article demonstrates that a social welfare ethic
and a criminal justice ethic were coexistent within the rhetoric and practice of
policing, but that they operated in a state of flux. It also argues that styles
of policing were subject to change, particularly as the use of discretionary and
informal methods was increasingly challenged, as physical violence was
increasingly seen as an outmoded recourse for the institutions of criminal
justice, and as the policing of youth was increasingly politicized. The post-war
period can be characterized in terms of greater levels of public scrutiny, the
formalization of processes previously undertaken through informal or semi-formal
mechanisms, and attempts (not always successful) to systematize procedures
nationally in terms of the Scottish state.
PMID- 21879584
TI - Japan hospitals. Foreword.
PMID- 21879585
TI - Collaborative work among healthcare professionals and the regeneration of
hospital care.
PMID- 21879586
TI - Reaching toward a bright future for the Japan Hospital Association (JHA)-
healthcare cost reduction policy breakthrough. A local hospital director's
efforts.
PMID- 21879587
TI - Medical tourism--why are Japanese hospitals still incapable, resistive or opposed
to competing in this global trend?
PMID- 21879588
TI - Crisis of novel infectious diseases: why don't Japan have enough vaccines?
PMID- 21879589
TI - Effect on self-esteem of workshop to induce laughter through praise.
PMID- 21879590
TI - Preventive care strategies based on disease prevalence among Japanese urban
elderly: focus on disease prevention in mildly frail elders with long-term care
insurance.
AB - AIM: To investigate the relationship between prevalent diseases and medical
conditions in frail elderly in Japan who require lighter levels of care in order
to improve preventive care strategies. METHODS: Data from Japanese long-term care
insurance (LTCI) documentation was used to investigate the relationship between
the prevalence of diseases and medical conditions among 553 frail elderly people
(193 men and 360 women) over 65 years old in a central area of Osaka prefecture.
Logistic regression analysis was used to determine the associations among related
diseases and main medical conditions in the lightest levels of care required.
RESULTS: With age, the prevalence of hypertension, heart disease, dementia, and
fractures increased, whereas neoplasms, cerebrovascular disease, and diabetes
mellitus decreased. Neoplasms and circulatory disease were significantly more
common in men, and musculoskeletal disease and injury were more common in women
among main medical conditions requiring light care. Diseases significantly
associated with the lightest level of care were hyperlipidemia (odds ratio 3.0),
osteoporosis without fracture (1.9), and gonarthrosis (1.7) in women.
CONCLUSIONS: Efforts to control musculoskeletal diseases and lifestyle diseases
are essential preventive care strategies, especially in the preliminary stages of
care under LTCI. Previously proven measures such as the cancer screening,
intensive blood pressure control, continuous nutritional management, and thorough
diabetes treatment beginning in middle age can help to prevent fractures, cancer,
cerebrovascular disease, and dementia, all of which severely erode quality of
life.
PMID- 21879591
TI - Main medical conditions of frail elderly patients that require intensive care
under the Japanese Long-Term Care Insurance (LTCI) system: a comparison with
German LTCI.
AB - BACKGROUND: Although the number of frail elderly individuals has rapidly
increased with global aging, few studies have assessed the main medical
conditions that are covered by Long-Term Care Insurance (LTCI) systems.
OBJECTIVES AND METHODS: To improve preventive care strategies, the author
researched data from 553 frail elderly individuals above 65 years of age in the
Osaka central area. Logistic regression analysis was used to identify severe
diseases associated with levels of care higher than level 3 (3+) under the
Japanese LTCI system, which is equivalent to the care standards of the German
LTCI system. The main medical conditions were also compared between the LTCI
systems of both countries. RESULTS: Diseases significantly associated with
Japanese level of care 3+ were renal failure (odds ratio 6.3), fracture (5.3),
dementia (4.4), and cerebrovascular disease (CVD; 2.5) in males and fracture
(7.5), heart failure (3.6), dementia (3.3), CVD (2.9), and depression (2.8) in
females. Main medical conditions in Japanese patients by gender were dementia
(males 29%, females 21%), CVD (males 27%, females 22%), neoplasm (males 11%), and
fracture or fracture sequelae (females 24%). Among German LTCI recipients, the
main medical conditions by gender were diseases of the circulatory system (males
23%, females 19%) and mental and behavioral disorders (males 17%, females 20%).
CONCLUSION: Dementia and diseases of the circulatory system, especially CVD, were
the most common main recipients. Intensive blood pressure control and thorough
diabetes treatment are the top preventive healthcare strategies for both diseases
of the circulatory system and dementia to avoid disease progression and
accumulation. Early detection and treatment of cancer in males and prevention of
fractures in females are of particular importance.
PMID- 21879592
TI - [Trophoblast differentiation and its regulation in pathologic process of
pregnancy induced hypertension].
PMID- 21879593
TI - Various skin manifestations of mycosis fungoides: histopathological features and
prognosis.
AB - Mycosis fungoides (MF) is a type of T cell lymphoma, and comprises more than one
half of primary cutaneous T cell lymphomas (CTCL). Many variants of MF have been
reported to date, although there are only three descriptions of MF variants in
the WHO-EORTC classification published in 2005. Herein, we present four cases of
MF, namely classical MF, Woringer-Kolopp disease, bullous MF, and folliculotropic
MF. These variants of MF were all typical, although they are quite rare. Their
unique clinical manifestations arise from characteristic histolopathological
changes in the lesions. The location and amount of infiltrating tumor cells in
the epidermis seem to be profoundly correlated with the prognosis.
PMID- 21879594
TI - An autopsy case of misdiagnosis based on postmortem computed tomography findings.
AB - A middle-aged man was found lying beside his bicycle on an early winter morning.
The cause of death was diagnosed by clinicians as traumatic intracerebral
hemorrhage and cerebral contusion with frontal bone fracture based on the
findings of Computed Tomography (CT) of the head. However, forensic autopsy
revealed that there were no evidences of intracerebral hemorrhage and left
frontal bone fracture but the defect of golf ball size on the frontal lobe which
was considered to be a complication from the old cerebral contusion and old bone
fracture. The bleeding and pooling blood from subarachnoid hemorrhage (SAH) to
the frontal lobe defect had the appearance of an intracerebral hemorrhage.
Disruption of left renal artery was found and the cause of death was diagnosed as
massive hemorrhage due to this rupture. Although postmortem CT is a useful tool
for obtaining information on the body prior to conducting an autopsy, it should
be used with extreme caution.
PMID- 21879595
TI - [A study of the structure of bioceramic coatings obtained by plasma spraying of
hydroxyapatites of synthetic and biological origins].
PMID- 21879596
TI - [A bio-impedance meter providing measurement of the active and reactive
components].
PMID- 21879597
TI - [Hardware implementing a parallel association method for recovering associative
functions of speech in patients with sensory aphasia].
PMID- 21879598
TI - [A thermopulser with a channel for thermopulsing session efficiency assessment].
PMID- 21879599
TI - [An autonomous orthopedic rehabilitation device for automated osteosynthesis].
PMID- 21879600
TI - [A small-size autonomous electrostimulation probe].
PMID- 21879601
TI - [Approaches to determining the source and assessing the dynamics of spontaneous
pathological brain activity in patients with chronic pain syndromes based on a
labView virtual device system].
PMID- 21879602
TI - Health. Vaccinate or leave. More pediatricians are firing families for not giving
their kids shots.
PMID- 21879603
TI - Galen and astrology: a Mesalliance?
AB - The author examines the question of Galen's affinity with astrology, in view of
Galen's extended astrological discussion in the De diebus decretoriis (Critical
Days). The critical passages from Galen are examined, and shown to be superficial
in understanding. The author performs a lexical sounding of Galen's corpus, using
key terms with astrological valences drawn from the Critical Days, and assesses
their absence in Galen's other works. He compares Galen's astrology with the
astrology of Ptolemy's Tetrabiblos, and evaluates their respective strategies of
scientific reasoning. Three types of inference are introduced and applied to
Galen's astrology. Finally, he concludes that the empirical side of Galen's
science does not depend upon astrological methods or concepts, but that these
were introduced for their rhetorical effect in presenting his new medical
methodology. It is suggested that continued attention to Galen's astrology has
obscured the truly important empirical scientific method that Galen developed.
PMID- 21879604
TI - Science as an ally of religion: a Muslim appropriation of 'the conflict thesis'.
AB - John W. Draper's History of the Conflict between Religion and Science (1874) is
commonly regarded as the manifesto of the 'conflict thesis'. The superficiality
of this thesis has been demonstrated in recent studies, but to read Draper's work
only as a text on 'science versus religion' is to miss half of its significance,
as it also involved evaluations of individual religions with respect to their
attitudes towards science. Due to Draper's favourable remarks on Islam, the
Ottoman author Ahmed Midhat translated his work into Turkish, and published it
along with his own comments on Draper's arguments. Midhat interpreted Islam using
the cues provided by Draper, and portrayed it as the only religion compatible
with science. While his Christian readers condemned Draper for his approach to
Islam, Midhat transformed the 'conflict thesis' into a proclamation that Islam
and science were allies in opposition to Christian encroachment on the Ottoman
Empire. This paper analyses Midhat's appropriation of Draper's work and compares
it to the reaction of Draper's Christian readers. It discusses the context that
made an alliance between Islam and science so desirable for Midhat, and
emphasizes the impact of the historico-geographical context on the encounters
between and representations of science and religion.
PMID- 21879605
TI - Linnaeans outdoors: the transformative role of studying nature 'on the move' and
outside.
AB - Travelling is an activity closely associated with Carolus Linnaeus (1707-1778)
and his circle of students. This article discusses the transformative role of
studying nature outdoors (turning novices into naturalists) in eighteenth-century
Sweden, using the little-known journeys of Carl Back (1760-1776), Sven Anders
Hedin (1750-1821) and Johan Lindwall (1743-1796) as examples. On these journeys,
through different parts of Sweden in the 1770s, the outdoors was used,
simultaneously, as both a classroom and a space for exploration. The article
argues that this multifunctional use of the landscape (common within the Linnaean
tradition) encouraged a democratization of the consumption of scientific
knowledge and also, to some degree, of its production. More generally, the study
also addresses issues of how and why science and scientists travel by discussing
how botanical knowledge was reproduced and extended 'on the move', and what got
senior and junior students moving.
PMID- 21879606
TI - Johann Wilhelm Hittorf and the material culture of nineteenth-century gas
discharge research.
AB - In the second half of the nineteenth century, gas discharge research was
transformed from a playful and fragmented field into a new branch of physical
science and technology. From the 1850s onwards, several technical innovations
powerful high-voltage supplies, the enhancement of glass-blowing skills, or the
introduction of mercury air-pumps- allowed for a major extension of experimental
practices and expansion of the phenomenological field. Gas discharge tubes served
as containers in which resources from various disciplinary contexts could be
brought together; along with the experimental apparatus built around them the
tubes developed into increasingly complex interfaces mediating between the human
senses and the micro-world. The focus of the following paper will be on the
physicist and chemist Johann Wilhelm Hittorf (1824-1914), his educational
background and his attempts to understand gaseous conduction as a process of
interaction between electrical energy and matter. Hittorf started a long-term
project in gas discharge research in the early 1860s. In his research he tried to
combine a morphological exploration of gas discharge phenomena-aiming at the
experimental production of a coherent phenomenological manifold--with the
definition and precise measurements of physical properties.
PMID- 21879607
TI - 'Sexual chemistry' before the pill: science, industry and chemical
contraceptives, 1920-1960.
AB - The history of contraceptives met the history of drugs long before the invention
of the contraceptive pill. In the first half of the twentieth century, numerous
pharmaceutical laboratories, including major ones, manufactured and marketed
chemical contraceptives: jellies, suppositories, creams, powders and foams
applied locally to prevent conception. Efforts to put an end to the marginal
status of these products and to transform them into 'ethical' drugs played an
important role in the development of standardized laboratory tests of efficacy of
contraceptive preparations; debates on the validity of such tests; evaluation of
the long-term toxicity of chemical compounds; and the rise of collaborations
between activists, non-profit organizations and the pharmaceutical industry.
Chemical contraceptives were initially associated with quack medicine, shady
commercial practices and doubtful morality. Striving to change the status of
contraceptives and to promote safe and efficient products that reduced fertility
in humans shaped some of the key features of the present-day production and
regulation of pharmaceuticals.
PMID- 21879608
TI - Disability, absence and the health reform era.
AB - The total cost of employee absence for many employers is high, and the
correlation between employee health and disability is clear. This article reviews
several challenges employers face in managing employee health and absence in a
well-integrated manner. Although such an undertaking is not easy, the additional
cost national health care reform may bring makes the interrelationship between
employer profitability and employee absence, health and disability more crucial
than ever for employers to recognize and manage.
PMID- 21879609
TI - Improving workplace productivity--it isn't just about reducing absence.
AB - Employers must get more aggressive in their health and productivity strategies. A
comprehensive strategy includes data analytics across health and lost-time
programs, absence policies that meet today's needs for both employer and
employee, health and wellness programs targeting modifiable health behaviors, and
absence program administration that is aligned to operational goals. This article
targets key aspects of a comprehensive long-term health and productivity
strategic vision. An organization can use these aspects independently to address
immediate tactical issues while it develops its broader strategy. The target
areas include a view from the perspective of data management, absence program
design and management, employee health and wellness, and behavioral health.
PMID- 21879610
TI - The impact of health reform on HSAs.
AB - The health care reform law contains only two direct changes to health savings
accounts (HSAs): eliminating the ability to use the HSA for over-the-counter
drugs and increasing the early withdrawal penalty from 10% to 20%. The indirect
changes, however, could drastically curtail the growth of HSAs or even result in
the end of HSAs. The actual impact is uncertain at this time because much of the
detail of the law is left to regulatory interpretation. This article identifies
and analyzes seven areas in the new law that could indirectly impact HSAs.
PMID- 21879611
TI - Legal update. Subrogation--contractual right to reimbursement--Common Fund
Doctrine disclaimer.
AB - Zurich Am. Ins. Co. v. O'Hara, 604 F3d 1232, 49 EBC. (BNA) 1018, (11th Cir.
2010). Court upheld Zurich's right to reimbursement for medical expenses from the
proceeds of any full or partial recovery given the explicit plan language so
providing "regardless of whether the covered person has been fully compensated or
made whole."
PMID- 21879612
TI - Legal update. Definition of accident--accidental death and dismemberment--alcohol
related automobile collision--foreseeability of death as result of driving while
intoxicated.
AB - LaAsmar v. Phelps Dodge Corp. Life, Accidental Death & Dismemberment & Dependent
Life Ins. Plan, 605 F3d 789, 2010 WL 1794437(10th Cir. 2010). A death caused by
an alcohol-related automobile collision qualifies as an "accident" that would
require payment of accidental death and dismemberment plan benefits.
PMID- 21879613
TI - Duration discrimination by musicians and nonmusicians.
AB - This study investigated the effects of stimulus modality, standard duration, sex,
and laterality in duration discrimination by musicians and nonmusicians.
Seventeen musicians (M age = 24.1 yr.) and 22 nonmusicians (M age = 26.8 yr.)
participated. Auditory (1,000 Hz) and tactile (250 Hz) sinusoidal suprathreshold
stimuli with varying durations were used. The standard durations tested were 0.5
and 3.0 sec. Participants discriminated comparison stimuli which had durations
slightly longer and shorter than the standard durations. Difference limens were
found by the method of limits and converted to Weber fractions based on the
standard durations. Musicians had lower, i.e., better, Weber fractions than
nonmusicians in the auditory modality, but there was no significant difference
between musicians and nonmusicians in the tactile modality. Auditory
discrimination was better than tactile discrimination. Discrimination improved
when the standard duration was increased both for musicians and nonmusicians.
These results support previous findings of superior auditory processing by
musicians. Significant differences between discrimination in the millisecond and
second ranges may be due to a deviation from Weber's law and the discontinuity of
timing in different duration ranges reported in the literature.
PMID- 21879614
TI - Facial resemblances between heterosexual, gay, and lesbian couples.
AB - Researchers have noted a physical resemblance (homophily) between human sex
partners. To date, these studies and their related interpretations have been
based on heterosexual couples. The present study compared physical resemblances
between gay, lesbian, and heterosexual couples, using 40 photographs of each from
national newspapers, which were rated by 34 men and 56 women (M age = 53 yr., SD
= 12.1). Half the photographs were of actual couples and half were randomly mixed
within each group. Actual couples were rated as significantly more similar in
appearance than random pairings of people. Ratings of similarity were
significantly higher (indicating greater perceived homophily) for gay couples
than heterosexual couples, while there was no statistically significant
difference in similarity ratings between lesbian couples versus gay and
heterosexual couples. The results were interpreted in terms of evolutionary and
parental imprinting hypotheses.
PMID- 21879615
TI - Repetition of educational AIDS advertising affects attitudes.
AB - In educational AIDS campaigns, initiators often use advertisements to warn about
the threat of AIDS. The present Internet study (N = 283) tested the assumption of
an inverted U-shaped relationship between the number of educational AIDS
advertisements in a magazine and the perceived threat of AIDS among different
groups (i.e., homosexual men and heterosexual men and women). This expectation
was primarily based on signaling theory, which assumes that recipients use
repetition frequency as a cue for judgments about the message. Results provided
support for the expected inverted U-curve.
PMID- 21879616
TI - Sexual orientation and shifts in preferences for a partner's body attributes in
short-term versus long-term mating contexts.
AB - This study assessed the effects of short- and long-term mating contexts on
preferences for body characteristics of potential relationship partners in
lesbians and heterosexual women. Lesbians (n = 41) rated figure drawings and
computer-generated images of women that varied in body fat, waist-to-hip ratio,
and breast size; heterosexual women (n = 95) rated computer-generated images of
men that varied in muscularity and body fat. Both lesbians and heterosexual women
showed a shift in preferences toward more physically attractive partners for
shortterm relationships. All body aspects were affected, except that heterosexual
women did not show a preference shift for male body fat. The results were
interpreted in terms of a mating trade-off strategy in which mate preferences are
the consequence of cost/benefit analyses and suggest that preferences for
physical attributes of sexual partners may be shared by members of the same sex
regardless of sexual orientation.
PMID- 21879617
TI - Willingness to date across race: differences among gay and heterosexual men and
women.
AB - Willingness to date members of other races was examined among 200 men with same
sex dating preference (n = 100) and opposite-sex dating preference (n = 100), and
200 women with same-sex dating preference (n = 100) and opposite-sex dating
preference (n = 100) who were randomly selected from an Internet dating web site.
Overall, results indicated a greater willingness among gay participants than
heterosexual participants to date people of other races. A 2 (Sex) x 2 (Sexual
Orientation) analysis of variance showed an interaction, with lesbian women more
willing to date other races than gay men, while among heterosexual participants
men were more willing than women to date other races. The role of mate selection
theory, and the importance of the status afforded various races in U.S. society,
were applied to interpret people's willingness to date other races.
PMID- 21879618
TI - Leadership and satisfaction in change commitment.
AB - Managerial transformational leadership skills may directly influence banking
counter staff toward change commitment and improve job satisfaction and service
quality, or the influence instead may be mediated by change commitment. For a
sample comprised of 246 managers from four large Taiwanese banks, the following
path relationships were tested: (1) the association of transformational
leadership with change commitment, (2) the association of change commitment with
job satisfaction, and (3) the direct or indirect (through the mediation of change
commitment) effect of transformational leadership on job satisfaction. Regression
was utilized to gain insight into the effects of transformational leadership and
change commitment on job satisfaction. Transformational leadership may foster
change by providing psychological support to the banking counter staff, enabling
them to use their skills to meet the needs of individual customers in response to
complex environments.
PMID- 21879619
TI - Love styles, shyness, and patterns of emotional self-disclosure.
AB - Previous research has shown a relationship between love styles and shyness. This
study explored the possibility that this effect may be due to differences in
patterns of self-disclosure associated with shyness. University student
participants (N = 143) completed the Love Attitudes Scale, the Emotional Self
Disclosure Scale, and the Revised Cheek and Buss Shyness Scale. Correlations
between the measures were calculated and significant results ranged from r = .18
to .30. Findings supported previous research in showing significant relationships
between shyness and love styles; self-disclosure showed no relationship with
shyness but did show some direct associations with love style scores. Results are
discussed in relation to the importance of self-disclosure in relationship
development.
PMID- 21879620
TI - Development of a measure of work motivation for a meta-theory of motivation.
AB - This study presents a measure of work motivation designed to assess the
motivational concepts of the meta-theory of motivation. These concepts include
intrinsic process motivation, goal internalization motivation, instrumental
motivation, external self-concept motivation, and internal self-concept
motivation. Following a process of statement development and identification, six
statements for each concept were presented to a sample of working professionals
(N = 330) via a paper-and-pencil questionnaire. Parallel analysis supported a 5
factor solution, with a varimax rotation identifying 5 factors accounting for
48.9% of total variance. All 5 scales had Cronbach alpha coefficients above .70.
Limitations of the newly proposed questionnaire and suggestions for its further
development and use are discussed.
PMID- 21879621
TI - Reliability and validity of a bilingual measure of religiosity in English and
Vietnamese: preliminary results from a pilot study.
AB - This study reports preliminary psychometric findings for a seven-item religiosity
scale in a community-based sample of Vietnamese Americans ages 18 to 83 years (N
= 119; 58% women, 42% men). A bilingual survey was distributed to Vietnamese who
were evacuated during Hurricane Katrina and had returned after the disaster.
Internal consistency, factorial structure validity, and criterion validity were
evaluated on the scale items. The bilingual scale had good internal consistency.
While exploratory and confirmatory factor analysis results provided support for a
two-factor structure which captured Religious Involvement and Religious Coping, a
one-factor model had slightly better fit. Individuals who scored high on the
religiosity scale reported a significantly lower score on their stressful
experiences during the hurricane, providing evidence of criterion validity.
PMID- 21879622
TI - Subjectivity and environmental influence in relation to sense of authenticity.
AB - The authors responded to criticisms raised recently by Giannini (2010) of the
authors' 2009 study in which cross-age differences were examined in the sense of
authenticity. Comments address three aspects of the criticism, interpretation of
the results, and misunderstanding of the method used as well as the concepts. In
particular, future research likely needs to focus on the subjective aspects of
sense of authenticity.
PMID- 21879623
TI - Measuring intention in language learning: a confirmatory factor analysis.
AB - Intention in language learning has not been studied effectively in research on
second language (L2) learning. The goal is to fill this gap by designing and
testing a measure of L2 learning intention. The scale was differentiated into two
distinct but correlated components, goal intention and implementation intention,
within the L2 context. The two intention scales were examined for reliability and
validity using a series of standard psychometric procedures. A confirmatory
factor model was then constructed and tested with a sample of 333 senior high
school and college students. The results showed that a modified model had good
psychometric characteristics and reasonable fit to the data.
PMID- 21879624
TI - Taiwanese members' report of verbal interactions and their relations to
demographic variables in the group counseling process.
AB - The purpose was to examine differences in verbal interactions during the group
counseling process and the relationship between perceived verbal interactions and
members' demographic variables. 42 participants were recruited and randomly
assigned to one of four counseling groups. Based on the Hill Interaction Matrix,
Quadrant 4 verbal interactions, consisting of Speculative and Confrontative
verbal behaviors in Personal and Relationship levels, were perceived
significantly more often at the closing stage than at the initial stage.
Furthermore, the perceived verbal interactions were related to the demographic
variables of sex, educational level, and group experience, but not
acquaintanceship. The findings suggested that the higher ratings of perceived
Speculative and Confrontative verbal behaviors and the lower ratings of Assertive
and Silence verbal interactions must be interpreted cautiously from a cross
cultural perspective, especially in Asian cultures.
PMID- 21879625
TI - The social adjustment, academic performance, and creativity of Taiwanese children
with Tourette's syndrome.
AB - The purpose was to describe the social adjustment, academic achievement, and
creativity of 127 Taiwanese children with Tourette's Syndrome and a control group
of 138 Taiwanese children with typical development and reports of the parents of
both groups. The Tourette's Syndrome group had significantly more disruptive
behaviors than the controls; most parents reported their children with Tourette's
Syndrome had high academic achievement although the children scored significantly
lower than controls on the Elaboration subtest of Creative Thinking.
PMID- 21879626
TI - Managing antidepressants in primary care: physicians' treatment modifications.
AB - To examine antidepressant management practices in primary care, patients (N =
148) given an antidepressant for at least one month completed the Beck Depression
Inventory (BDI-II), the Patient Health Questionnaire-9 (PHQ-9), and a demographic
survey. Participants' mean age was 50.7 yr. and 80% were women. Patients' charts
indicated whether physicians had made changes to prescribed antidepressants or
dose either 6 wk. before or 6 wk. after study entry. For the 87% of participants
whose depression status could be determined, 10% met dysthymic disorder criteria
and only 33% had had a medication change in the previous month. Major depressive
disorder occurred in 37% but only 18% had had a medication change. Co-existing
dysthymic disorder and major depressive disorder were diagnosed in 34%, with 24%
receiving a medication change. Participants not receiving a medication change had
mean BDI-II scores indicating moderate depression. Lack of antidepressant
adjustment suggests physicians may need to monitor depressive symptoms closely
using protocols and prompts.
PMID- 21879627
TI - Depression symptoms among Omani children: age and sex differences.
AB - The present study explored the existence of depressive symptoms among Omani
children according to sex and age. The Arabic translated version of the
Multidimensional Child and Adolescent Depression Scale was administered to 1,749
children (828 boys, 921 girls) ranging in age from 10 to 18 years old (M= 13.9,
SD = 2.3) from 10 schools representing different areas of Muscat, Oman. The
results showed no differences in the total mean scores on depression between boys
and girls, although differences were observed on 4 of the 8 subscales. Boys had
significantly higher scores on Weak concentration and Loneliness, while girls
scored higher for Sleep problems and Anhedonia. Significant differences across
ages also were exhibited for the total score as well as four subscales
(Pessimism, Weak concentration, Anhedonia, and Fatigue), suggesting higher rates
of depression among 15- to 18-year-olds.
PMID- 21879628
TI - MBTI and historical data.
AB - The Myers-Briggs Type Indicator presents a model of personality which may be
useful for understanding historical personalities, but empirical verification is
necessary.
PMID- 21879629
TI - Screening for attention-deficit/hyperactivity disorder in adult inpatients with
psychiatric disorders.
AB - The purpose was to assess the effectiveness of the adult ADHD Module from the
MINI International Neuropsychiatric Interview (MINI) and the Conners' Adult ADHD
Rating Scales: Screening Version DSM-IV ADHD Symptoms Total Scale (CAARS-S:SV) in
screening for attention-deficit/hyperactivity (ADHD) disorder in patients
hospitalized for other psychiatric disorders. Assessment measures were
administered to 55 (50%) female and 55 (50%) male adult (>18 yr. old) inpatients.
Only six (5%) of the 110 inpatients had been diagnosed with comorbid ADHD
according to medical charts. In contrast, 55 (50%) patients met criteria for ADHD
according to the MINI, and 39 (36%) patients met criteria on the CAARS-S:SV. The
higher rates of prevalence for the MINI and the CAARS-S:SV were attributable to
symptom criteria for ADHD being similar to those shared with comorbid disorders.
PMID- 21879630
TI - Distractors interfere with numerical estimation in Chinese college students as a
function of field-dependent or field-independent cognitive style.
AB - Field-dependent/independent groups' numerical estimation was assessed with
respect to different distractors. Participants were 81 college students with
field-dependent or field-independent cognitive styles. Cognitive style had a
significant main effect on reaction without distractors. When the number of
distractors was double the number of targets, the estimation accuracy of the
field-dependent and field-independent groups differed. Under this double
distractors condition, both the field-dependent and field-independent
participants used logarithmic representation more than linear representation in
their numerical estimations, but no significant between-group differences were
found.
PMID- 21879631
TI - Explaining inconsistencies in Shakespeare's character Henry V on the basis of the
emotional undertones of his speeches.
AB - Shakespeare's character Henry V is infamous, among 20th-century analysts of
drama, for his inconsistent disposition. Some analysts highlight this character's
reformation and others his Machiavellian tendency to moderate his disposition in
tune with changing situations. The Dictionary of Affect in Language (Whissell,
2009) was used to score the emotional undertones of words in Henry V's dialogue.
Analyses of these undertones, described in terms of Pleasantness and Activation,
demonstrated that the character Henry V was, in overall terms, emotionally
average, that there was minimal evidence of growth or reform in him across time,
and that situational factors (e.g., revelry, kingship, courtship, battle) were
associated with the dramatic changes in his speeches. The character employed more
passive language in private and personal situations and more active language in
his (public) royal role. Four categories of Henry V's speeches (Condescension,
Control, Self-definition, and the Courtship of Good Opinion), represented in both
public and private discourse, reflected increasing pleasantness in emotional
undertones.
PMID- 21879632
TI - Measuring childhood abuse and neglect in a group of female indoor sex workers in
the Netherlands: a confirmatory factor analysis of the Dutch version of the
Childhood Trauma Questionnaire-Short Form.
AB - Confirmatory factor analysis was performed on the responses to the Dutch version
of the Childhood Trauma Questionnaire-Short Form from a sample of 123 female
indoor sex workers in The Netherlands. Results indicate the expected five-factor
structure fit the data well. In line with Bernstein and others, the instrument
was a valid measure of retrospective childhood abuse and neglect in this sample.
PMID- 21879633
TI - Influencing factors on color and product-function association.
AB - The associations of age, sex, and matching types with color and product-function
were examined in a real-world product scenario (shampoo) among 128 volunteers (M
age = 29.3 yr.; SD = 15.6). A pilot study identified eight popular colors and
eight product-functions. The association between color and product-function was
explored in the main sample. Responses suggested seven pairings of color/product
functions: Red/Hot oil treatment, Yellow/Bright and shiny hair, Green/Herbal
extracts, Blue/Deep cleaning, Purple/Soothing, Black/Antiseptic, and White/Anti
dandruff. Analyses indicated that adult participants required more repetitions
for retention, as did memorization with random pairing compared to participant
selected pairings. There were statistically significant correlations of responses
to colors and product functions. With known color/product-function associations,
manufacturers might promote their products more effectively. It is suggested that
the associations might be sex- or culture-specific.
PMID- 21879634
TI - Self-reported inability to cry as a symptom of anhedonic depression in
outpatients with a major depressive disorder.
AB - To ascertain whether self-reported inability to cry would be associated with
symptoms of anhedonic depression, the 21-item Beck Depression Inventory-II was
administered to 1,050 outpatients diagnosed with a DSM-IV-TR major depressive
disorder. 219 (21%) patients reported on the BDI-II Crying item that they were
unable to cry, and 831 (79%) patients reported they were able to cry. Only BDI-II
Loss of Interest was significantly associated with the inability to cry after the
other BDI-II symptoms were controlled for using a multiple logistic-regression
analysis. The inability to cry was discussed as an indicator of anhedonic
depression.
PMID- 21879635
TI - Psychosocial profile of people with AIDS and their caregivers in Egypt.
AB - Caring for individuals with AIDS in developing countries represents a major
crisis to families and natural caregivers. This study presents the psychosocial
profiles of people with AIDS and their caregivers in Egypt to assess the effects
of HIV/AIDS on patients, their caregivers, and the factors that influence
caregiving and support. Various stressors and challenges for patients as well as
hardships the caregiver faces were explored. The literature documents
difficulties such as inadequate health care services, lack of resources, and
stigma that patients experience in developing countries. Findings indicate an
association between the psychosocial effects of AIDS on patients and their
caregivers.
PMID- 21879636
TI - Spatial and nonspatial working memory and visual search.
AB - It has been indicated that visual search is interfered with in spatial working
memory (WM), although not in nonspatial WM. In this study, the effects on visual
search of individual differences in spatial and nonspatial WM were examined. Two
visual search conditions were used: a conjunction search condition comprising two
features (color and shape) and a disjunction condition comprising only one
feature (color or shape). 96 participants (42 men, 54 women, M age = 20.9 yr., SD
= 3.5) participated in this study. The participants were divided into high and
low WM groups based on their spatial and nonspatial WM test scores. As a result,
statistically significant group differences in the conjunction search rate were
observed in spatial WM but not in nonspatial WM. These results suggest there is a
relationship between visual search and the individual spatial WM ability, but
this does not hold for nonspatial WM.
PMID- 21879637
TI - Characteristics of juvenile offenders with invalid and valid MMPI--a profiles.
AB - The issue of what type of juvenile offender produces an invalid versus a valid
Minnesota Multiphasic Personality Inventory, Adolescent Form (MMPI-A) profile
requires study. Using technical manual profile invalidation requirements from a
cohort sample of 1,054 juvenile offenders, 387 produced invalid profiles, whereas
667 yielded valid profiles. Utilizing scale scores from the Millon Adolescent
Clinical Inventory (MACI), univariate and multivariate analyses for girls, boys,
and combined samples of invalid and valid MMPI-A profiles suggested similarities
and differences which influenced the results for the combined samples. The groups
were separated on the following MACI scales: Disclosure, Impulsivity, Substance
Abuse Propensity, Unruly, and Oppositional by sex and for the combined group.
Also noted were other MACI scales which distinguished boys and girls in
comparisons made between as well as within the invalid and valid profiles.
PMID- 21879638
TI - Stress, hope, and loneliness in young adolescents.
AB - A sample of 134 young adolescents attending a middle school responded to the
Perceived Stress Scale, the Hopefulness Scale for Adolescents, and the Revised
UCLA Loneliness Scale. Correlational analyses indicated that higher scores on
stress were significantly associated with lower scores on hope (r = -.55) and
higher scores on loneliness (r = .52). Unlike an earlier study with predominately
ethnic minority adolescents, the present findings with predominately Euro
American adolescents supported the relationship proposed between stress and hope;
the relationship proposed between stress and loneliness was supported.
PMID- 21879639
TI - Evaluation of a psychosocial intervention on social support, perceived control,
coping strategies, emotional distress, and quality of life of breast cancer
patients.
AB - The purpose of this research was to demonstrate that a specific psychosocial
intervention changes reactions to cancer and quality of life. This study was
carried out on 66 patients with a first breast cancer. Patients were randomly
divided into two groups: a specific intervention group (G1, 8 sessions) or a
support group (G2, 8 sessions). A control group (G3) was composed of patients who
refused to participate in psychological intervention. Social support, perceived
control, repression of emotions, coping strategies, emotional distress, and
quality of life were assessed one week before (T1) and at the end (T2) of the
psychological intervention. Results showed that G1 did not have significantly
modified quality of life or psychological scores. Patients of G2 had poorer
emotional quality of life, use of internal causal attributions, and minimized
their illness at T1 as compared to patients of G3. At Time 2 these differences
were not observed.
PMID- 21879640
TI - When causality does not imply correlation: more spadework at the foundations of
scientific psychology.
AB - Experimental research in psychology is based on an open-loop causal model which
assumes that sensory input causes behavioral output. This model was tested in a
tracking experiment where participants were asked to control a cursor, keeping it
aligned with a target by moving a mouse to compensate for disturbances of
differing difficulty. Since cursor movements (inputs) are the only observable
cause of mouse movements (outputs), the open-loop model predicts that there will
be a correlation between input and output that increases as tracking performance
improves. In fact, the correlation between sensory input and motor output is very
low regardless of the quality of tracking performance; causality, in terms of the
effect of input on output, does not seem to imply correlation in this situation.
This surprising result can be explained by a closed-loop model which assumes that
input is causing output while output is causing input.
PMID- 21879641
TI - Comparison of group and individual cognitive-behavioral therapy in reducing fear
of negative evaluation.
AB - Previous studies have established the efficacy of group and individual formats of
cognitive-behavioral therapy in reducing fear of negative evaluation in social
anxiety disorder, but the effectiveness of the group and individual therapies has
not been widely assessed. This study was conducted to compare the effectiveness
of cognitive-behavior group therapy to individual cognitive-behavioral therapy in
social anxiety disorder. 28 patients were randomly allocated to two groups of 14.
Each group participated in 12 weekly sessions. The final sample was 22
participants after drop-out. Pretest and posttest data were collected using the
Brief Fear of Negative Evaluation Scale and the Beck Depression Inventory-II.
Controlling for pretest fear of negative evaluation and depression, cognitive
behavioral group therapy was more effective than individual cognitive-behavioral
therapy in reducing fear of negative evaluation, but the clinical significance
and improvement rates of the two treatment formats were equivalent.
PMID- 21879642
TI - Cross-industry dimensionality of the commitment to change scale in China.
AB - Commitment to organizational change can explain a range of employees' attitudes
and behaviors during organizational change, and its measurement is central to
explaining such organizational linkages. The purpose of this study is to re
examine the dimensionality of Herscovitch and Meyer's Commitment to Change Scale
across two different industrial samples in a non-Western cultural context
(China). The two samples were 198 civil servants (60.0% men; M age = 29.3 yr., M
organizational tenure = 62.7 mo.) and 442 high-tech company employees (58.2% men;
M age = 30.5 yr., M organizational tenure = 66.5 mo.) who had respectively
undergone major changes in compensation and performance appraisal systems in
their organizations at the time of the research. Results of exploratory and
confirmatory factor analyses showed that a four-factor model with 14 items fit
the data best in both samples. The generality of the scale's structure as well as
its implications for change-management practices are discussed.
PMID- 21879643
TI - An evolutionary hypothesis of suicide: why it could be biologically adaptive and
is so prevalent in certain occupations.
AB - From an evolutionary perspective, suicide is a puzzle, because it has serious
adverse effects, yet is remarkably common and heritable. An hypothesis is
proposed to explain this puzzle, by explaining how suicide could be adaptive
through reducing risk that individuals will transmit infections to kin. Empirical
evidence supports four predictions from the hypothesis. There are well
established mechanisms by which infections and immune factors increase risk for
mental disorders that contribute to suicide. Suicide is more prevalent in
occupations with greater exposure to infection and immune-compromising factors
and at higher latitudes, where key environmental factors increase vulnerability
to infection. In several other highly social species, suicide-like behaviors have
evolved to reduce transmission of infections. If the hypothesis is correct,
detection and treatment of underlying infections and immune dysfunction should
help predict and prevent suicidal behavior, while also combating spread of
infectious diseases.
PMID- 21879644
TI - Electroencephalographic responses to photographs: a case study of three women
with distinct adult attachment interview classifications.
AB - This preliminary study explored whether neurophysiological responses to visual
stimuli, including attachment-related pictures, differed based on attachment
status. Along with self-reported valence ratings and reaction times, recorded
electroencephalographic (EEG) responses to a total of 100 images, 25 each of
Positive, Negative, Neutral, and Personal (each participant's parents and child),
were analyzed within and among three mothers with three attachment statuses
(Dismissing, Preoccupied, and Secure), as judged by the Adult Attachment
Interview (AAI). All three mothers gave their highest pleasantness ratings for
Personal photographs. However, differences emerged when cross-region Alpha2
activation patterns in response to each picture type were compared amongst
attachment categories. Alpha2 activation recorded during viewing of the
participants' children's photographs was similar to viewing Negative pictures for
mothers with insecure (Dismissing and Preoccupied) status; whereas the Alpha2
activation of the mother with Secure status towards photographs of her child was
similar to Positive pictures. Different patterns of hemispheric asymmetry in
Beta1 frequency when processing different picture types were also found. The
mother with Dismissing status showed significantly stronger left-hemisphere Beta1
activation across all image types. The Preoccupied mother showed significantly
stronger right-hemisphere Beta1 activation for all but the Neutral images, during
which activation did not differ between the two hemispheres. The mother with
Secure status showed significantly stronger Beta1 activation in the left
hemisphere for all but parental Personal photos, during which activation did not
differ between the two hemispheres. Implications from the current findings and
future research possibilities are discussed.
PMID- 21879645
TI - Preoperative cardiac risk management.
AB - Approximately 100 million people undergo noncardiac surgery annually worldwide.
It is estimated that around 3% of patients undergoing noncardiac surgery
experience a major adverse cardiac event. Although cardiac events, like
myocardial infarction, are major cause of perioperative morbidity or mortality,
its true incidence is difficult to assess. The risk of perioperative cardiac
complications depends mainly on two conditions: (1) identified risk factors, and
(2) the type of the surgical procedure. On that basis, different scoring systems
have been developed in order to accurately assess the perioperative cardiac risk
and to improve the patient management. Importantly, patients with estimated high
risk should be tested preoperatively by non-invasive cardiac imaging modalities.
According to test results, they can proceed directly to planed surgery with the
use of cardioprotective drugs (beta-blockers, statins, aspirin), or to myocardial
revascularization prior to non-cardiac surgery. In this review, we discuss the
role of clinical cardiac risk factors, laboratory measurements, additional non
invasive cardiac testing, and consequent strategies in perioperative management
of patients undergoing noncardiac surgery.
PMID- 21879646
TI - Preoperative preparation of patients with arterial or pulmonary hypertension in
noncardiac surgery.
AB - Arterial hypertension is not an independent risk factor in cardiovascular
complications in noncardiac surgery. Nevertheless, preoperative evaluation is
necessary and includes estimation of arterial hypertension grade and possible
damage of target organs. In patients with first and second grade of arterial
hypertension postponement of elective intervention is not necessary, only
optimization of therapy. On the other hand, patients with third level arterial
hypertension have benefit if intervention is postponed till the reduction of
arterial pressure. There is no indication that any of the antihypertensive drug
groups has advantage in the preoperative treatment of hypertension. Unlike
arterial hypertension pulmonary hypertension increases the risk of cardiac
morbidity and mortality in the perioperative period. In patients with pulmonary
hypertension, anesthesia and surgery may be complicated with heart failure,
hypoxia and arrhythmias. Preoperative and postoperative treatments include
calcium channel blockers, prostanoids, endothelin receptor antagonists and
inhibitors of phosphodiesterase type 5.
PMID- 21879647
TI - Approach to patients with implanted pacemaker and scheduled surgical or
diagnostic procedure.
AB - Application of cardiac electrostimulation in strictly defined indications has
been on the increase over the last few decades. Frequent use of this therapy as
well as the fact that it is applied predominantly in patients in the seventh
decade of life, implies possible signifficant comorbidities and need for various
diagnostic and surgical procedures. These are the reasons we decided to point out
certain specific features in approaching this patient group in preparation and
implementation of these procedures. Preoperative approach starts with usual
patient history, with additional information on the type of pacemaker, last
pacemaker check and electrocardiogram. This general approach is not substantialy
different for pacemaker or ICD patients. What is specific is the possible
interferrence caused by devices used in diagnostic or therapeutic procedures
(diathermy, lithotripsy). Complications that may arise are usually related to the
underlying disease rather than the pacemaker malfunction, but still, careful
approach and pacemaker check are warranted, especially in the group marked as
"pacemaker dependent". Adequate preoperative assessment, only slightly different
from the usual, represents a sufficient guarantee for safe procedures diagnostic,
therapeutic or surgical.
PMID- 21879648
TI - The preoperative assessment of patients with valvular heart disease as a
comorbidity.
AB - In patients with valvular heart disease planned for any type of surgery
preoperative evaluation and preparation are especially important for a
successfull outcome of the surgery. Preoperative preparation and intraoperative
treatment of patients with valvular heart disease are different de-Spending on
the type of valvular disease: aortic stenosis, aortic regurgitation, mitral
stenosis, mitral regurgitation or mitral valve prolapse. In this paper we have
outlined the criteria for evaluating the severity of valvular disease, given that
the risk in surgery is proportional to the degree of valvular disease. Also,
given that the risk in surgery is also directly proportional to the type and
extent of non cardiac surgery, it will be presented recommendations for
intraoperative monitoring, with the purpose of evaluating patient's hemodynamic
state, as well as recommendations for perioperative treatment of hypotension,
tachycardia, and other hemodynamic disturbances. In the paper we will separately
discuss bacterial endocarditis profilaxys which can occur after the surgery of
patients with valvular disease. Since the patients with valvular disease, and
especially the ones with implanted prosthetic valve or heart arrhythmia, are
usually on oral anticoagulation therapy, it will be given recommendations for
treatment of patients on oral anticoagulation therapy as part of preoperative
preparations.
PMID- 21879649
TI - Preoperative preparation of patients with cardiomyopathies in non-cardiac
surgery.
AB - Cardiomyopathies are myocardial diseases in which there is structural and
functional disorder of the heart muscle, in the absence of coronary artery
disease, hypertension, valvular disease and congenital heart disease.
Cardiomyopathies are grouped into specific morphological and functional
phenotypes: dilated cardiomyopathy, hypertrophic cardiomyopathy, restrictive
cardiomyopathy, arrhythmogenic right ventricular cardiomyopathy and unclassified
cardiomyopathies. Patients with dilated and hypertrophic cardiomypathy are prone
to the development of congestive heart failure in the perioperative period. Also,
patients with hypertrophic and arrhythmogenic right ventricular cardiomyopathy
are prone to arrhythmias in the perioperative period. Preoperative evaluation
includes history, physical examination, ECG, chest radiography, complete blood
count, electrolytes, creatinine, glomerular filtration rate, glucose, liver
enzymes, urin analysis, BNP and echocardiographic evaluation of left ventricular
function. Drug therapy should be optimized and continued preoperatively. Surgery
should be delayed (unless urgent) in patients with decompensated or untreated
cardiomyopathy. Preoperative evaluation requires integrated multidisciplinary
approach of anesthesiologists, cardiologist and surgeons.
PMID- 21879650
TI - Preoperative and perioperative management of patients with pericardial diseases.
AB - Hemodynamic instability is the major concern in surgical patients with
pericardial diseases, since general anesthesia and positive pressure ventilation
may precipitate cardiac tamponade. In advanced constriction diastolic impairment
and myocardial fibrosis/atrophy may cause low cardiac output during and after
surgery. Elective surgery should be postponed in unstable patients with
pericardial comorbidities. Pericardial effusion should be drained percutaneously
(in local anesthesia) and pericardiectomy performed for constrictive pericarditis
before any major surgical procedure. In emergencies, volume expansion,
catecholamines, and anesthetics keeping cardiac output and systemic resistance
should be applied. Etiology of pericardial diseases is an important issue is the
preoperative management. Patients with neoplastic pericardial involvement have
generally poor prognosis and any elective surgical procedure should be avoided.
For patients with acute viral or bacterial infection or exacerbated metabolic,
uremic, or autoimmune diseases causing significant pericardial effusion, surgery
should be postponed until the causative disorder is stabilized and signs of
pericarditis have resolved.
PMID- 21879651
TI - Preoperative preparation of vascular patients undergoing nonvascular surgery.
AB - Patients with vascular diseases mainly caused by atherosclerosis, that are
undergoing nonvascular surgery, often have co-existing conditions which affect
their cardiovascular system. Cardiovascular complications are among the most
common perioperative complications including respiratory complications and
infections. These include coronary disease, hypertension, heart insufficiency,
pulmonary hypertension, and renovascular hypertension, among others. Preoperative
preparation must include the use of beta-blocker therapy, antihypertensive,
antithrombotic and antilipogenic therapy. Electrocardiogram (ECG) and trans
thoracic echocardiography are the minimum preoperative diagnostic evaluations
that should be performed, because complications may arise even in patients
without prior cardiovascular symptomatology. Venous diseases are the most common
contemporary diseases affecting people of all age groups and races. Invasive
diagnostic-therapeutic procedures may cause lesions of venous endothelium, hence
perioperative prevention of deep vein thrombosis (DVT) with the use of heparin or
low-molecular -weight heparin (LMWH) should be undertaken.
PMID- 21879652
TI - Preoperative preparation of patients with infectious and restrictive respiratory
diseases as comorbidities.
AB - In patients with respiratory pathology changes in respiratory physiology may lead
to clinical problems during the conduct of anesthesia and the perioperative
period. An understanding of the disease processes that can affect the lungs and
pleura allows the anesthesiologist to account for the potential complications of
these conditions and manage the anesthetic accordingly. This article describes
the initial evaluation of a patient with respiratory problems. A thorough medical
history, physical examination and some functional tests are the keys in decision
making in preparation for anesthesia and surgery. The burden of respiratory
disease is reviewed, and some important areas of current interest are
highlighted.
PMID- 21879653
TI - Preoperative considerations for patients with chronic obstructive pulmonary
disease.
AB - Chronic obstructive pulmonary disease is a risk factor for development of
intraoperative and postoperative pulmonary complications. Regarding the type and
the extent of surgical procedure, patients with COPD are at risk of aggravation
of pulmonary function which leads to complicated perioperative course. In order
to reduce perioperative complications, preoperative evaluation and preoperative
patient preparation are of great importance. Goals of preoperative preparation
and anesthesia in patients with COPD are maintaining ventilation-perfusion ratio,
preventing development of hipoxemia, intraoperative brochospasm, pneumothorax and
disturbances of cardivascular system.
PMID- 21879654
TI - Preoperative preparation of the patient with the abnormalities of red and white
blood cells.
AB - The complete peripheral blood count analysis including laboratory screening tests
of haemostasis and coagulation should be done in every patient before surgery, in
order to detect specific abnormalities for primary or secundary haematologic
disorder. These abnormalities might be very important course of perioperative and
postoperative complications. Anaemia is the most frequent haematologic
abnormality seen during preoperative period. Therapy approach depends on the type
and anaemia degree, and also on the type and time of surgery. If surgery is not
urgent specific therapy according to the anaemia type (iron therapy, vitamin B12,
folic acid, corticosteroids, recombinant erythropoietin) should be given in all
anaemias with deficiency of iron, megaloblastic anaemias, acquired haemolytic
anaemias and anaemias in end stage renal disease. Transfusion of red cells are
most frequently given in patients with normovolemic anaemias with haemoglobin
level of 10.0 g/dl and hematocrit of 0.30, but lower levels in haemodynamic
stable patients. Venesections should be done in patients with erythrocytosis in
order to reduce total red cell volume, but taking into account the perioperative
bleeding. Patients with leukocyte abnormalities suspected on primary haematologic
disorder need urgent haematologic diagnostic procedures. In patients with
leucocytosis the actual level of neutropenia is the bigger problem than the level
of leucocytosis. In those patients treatment generally involves preventing
infections, managing of febrile neutropenia with broad spectrum antibiotics and
antifungal drugs, treatment with recombinant granulocyte hematopoetic factor,
rarely transfusions of granulocyte concentrates and intravenous immunoglobulins.
PMID- 21879655
TI - Preoperative assessment and preparation of patients with diseases affecting the
central nervous system.
AB - PURPOSE OF REVIEW: This review will examine the most important issues of
preoperative evaluation and preparation in relation to patients with deseases
affecting the central nervous system. Those patients may undergo various forms of
surgery unrelated to the central nervous system disease. We discuss the effect of
physiologic and pharmacological factors on cerebral autoregulation and control of
intracranial pressure alongside its clinical relevance with the help of new
evidence. RECENT FINDINGS: Regardless of the reason for surgery, coexisting
diseases of brain often have important implications when selecting anesthetic
drugs, procedures and monitoring techniques. Suppression of cerebral metabolic
rate is not the sole mechanism for the neuroprotective effect of anaesthetic
agents. There are certain general principles, but also some specific
circumstances, when we are talking about optimal anesthetic procedure for a
patient with coexisting brain disease. Intravenous anesthesia, such as
combination of propofol and remifentanil, provides best preservation of
autoregulation. Among inhaled agents isoflurane and sevoflurane appear to
preserve autoregulation at all doses, whereas with other agents autoregulation is
impaired in a dose-related manner. During maintenance of anesthesia the patient
is ventilated by intermittent positive pressure ventilation, at intermediate
hyperventilation (PaCO2 25-30 mmHg). SUMMARY: Intraoperative cerebral
autoregulation monitoring is an important consideration for the patients with
coexisting neurological disease. Transcranial Doppler based static autoregulation
measurements appears to be the most robust bedside method for this purpose.
PMID- 21879656
TI - Preoperative preparation of patients with pituitary gland disorders.
AB - This paper presents the most common disorders of pituitary function: acromegaly,
hypopituitarism, diabetes insipidus and syndrome similar to diabetes insipidus,
in terms of their importance in preoperative preparation of patients. Pituitary
function manages almost the entire endocrine system using the negative feedback
mechanism that is impaired by these diseases. The cause of acromegaly is a
pituitary adenoma, which produces growth hormone in adults. Primary therapy of
acromegaly is surgical, with or without associated radiotherapy. If a patient
with acromegaly as comorbidity prepares for non-elective neurosurgical operation,
then it requires consultation with brain surgeons for possible delays of that
operation and primary surgical treatment of pituitary gland. If operative
treatment of pituitary gland is carried out, the preoperative preparation (for
other surgical interventions) should consider the need for perioperative
glucocorticoid supplementation. Panhypopituitarism consequences are different in
children and adults and the first step in diagnosis is to assess the function of
target organs. Change of electrolytes and water occurs in the case of pituitary
lesions in the form of central or nephrogenic diabetes insipidus as a syndrome of
inappropriate secretion of antidiuretic hormone (SIADH). Preoperative preparation
of patients with pituitary dysfunction should be multidisciplinary, whether it is
a neurosurgical or some other surgical intervention. The aim is to evaluate the
result of insufficient production of pituitary hormones (hypopituitarism),
excessive production of adenohypophysis hormones (acromegaly, Cushing's disease
and hyperprolactinemia) and the influence of pituitary tumours in surrounding
structures (compression syndrome) and to determine the level of perioperative
risk. Pharmacological suppressive therapy of the hyperfunctional pituitary
disorders can have significant interactions with drugs used in the perioperative
period.
PMID- 21879657
TI - Preoperative preparation of patient with diabetes mellitus.
AB - The goal of this article is to present the importance of diabetes mellitus as
comorbidity in patients submitting to different surgical procedures. The results
of numerous studies that have been presented here showed worst surgical outcome
in patients with bad diabetes control. This review considers the elements for
preoperative evaluation and preparation of these patients (former therapy,
longterm metabolic control, micro and macrovascular complications etc). According
to existing data, the goals for preoperative preparation and the regimes for
their achievement have been defined. Also, the regimes for blood glucose controle
during intraoperative and postoperative period have been evaluated in this
article.
PMID- 21879658
TI - Modern concepts of preoperative preparation of patients with thyroid gland
disease.
AB - Preoperative evaluation of patients with thyroid land disease, in any kind of
surgery, should include the possibility of difficult intubation caused by
thyromegaly, the hormonal status (its disbalance), as well as the screening, and
therapy of consequences of thyroid imbalance on specific organ systems,
especially cardiovascular. It is necessary to select the adequate anesthetics and
other pharmacological agents, according to current hormonal status. It is also
necessary to select the adequate medications and other therapeutic measures for
prevention and treatment of possible complications in perioperative period, some
of which are life-threatening (thyroid storm and mixedema coma).
PMID- 21879659
TI - Preoperative preparation of patients with hyperparathyroidism as comorbidity.
AB - Preoperative preparation of patients with hyperarathyroidism planned to be
operated and/or already operated because of some other disease have specific
characteristics in function of the type of hyperparathyroidism, primary or
secondary. In primary hyperparathyroidism, repercussions of pronounced
hypercalcemia on organs and systems are of essential importance. The most
important aspect of preoperative preparation of these patients is therefore the
treatment of hypercalcemia. In patients with secondary hyperparathyroidism as
comorbidity, calcium level is of lesser importance since it stays mostly within
reference values. Essential for perioperative preparation of these patients is
the fact that they have chronic renal insufficiency and usually are on extrarenal
depuration, so that uremic toxic disorders important for the perioperative course
should be taken into account. Disorders caused by primary or secondary
hyperparathyroidism (and terminal chronic renal insufficiency) must be brough to
so-called "stable state" in elective surgical interventions. Preoperative
preparation in urgent surgical interventions is focused only on vitally
endangering consequences of hyperparathyroidism such as hypercalcemic crisis or
extreme hyperkalemia.
PMID- 21879660
TI - Contemporary approach to preoperative preparation of patients with adrenal cortex
hormones dysfunction.
AB - Preoperative preparation of the patients with adrenal cortex dysfunction is based
on the careful preoperative evaluation of the type and the severity of the
disturbance. The dysfunction involving adrenal glands may be: insufficiency
(severe, mild, expressed) and hyperfunction (hypercorticism and/or
hyperaldosteronism). If we speak about the patients with limited adrenal reserve
(Addison's disease, therapeutic glucocorticoid application etc.) they need
necessary corticosteroid supplementation, during preoperative preparation, as
well as, during complete perioperative period. Doses needed for the substitution
are adjusted according to the severity of adrenal insufficiency and according to
the extent of the planned surgical procedure. Patients with Cushing's syndrome
(or other form of hypercorticism), as well as, patients with Conn's syndrome (or
other forms of hyperaldosteronism), do have numerous organ dysfunctions, that are
significant in preoperative preparation, anesthesia and for the outcome of the
surgical treatment. Common feature for both of the above syndromes is
hydroelectrolyte disbalance, with hypokalemia, metabolic alkalosis and
hypertension. Disturbances related to the adrenal cortex hyperfunction must be
corrected preoperatively, in order to avoid complications. When we speak about
hypokalemia it must be promptly corrected even before urgent/vital surgical
procedure because it may cause severe intraoperative cardiac arrhythmia.
PMID- 21879661
TI - Preoperative preparation of patients with renal diseases.
AB - If patients with renal diseases had to undergo surgical intervention, they should
be prepared in such a way to be in a stable phase of the underlying surgical
disease, without any infection, euvolemic, with satisfactory blood pressure and
corrected electrolyte balance. These patients need to be hydrated well before
intervention, the fall of blood pressure during intervention should be avoided
and adequate hydration after the intervention must be continued (taking into
account the condition of the kidneys, heart and age of patient). It is assumed
that nephrotoxic drugs are to be evaded in renal patients or, if they were
necessary, the dosage and dosing interval should be adjusted and prolonged,
respectively. The use of radiographic contrast is not advisable, but if required,
plentiful hydration will be needed, the least workable contrast dose and, if
possible, with lower ionic charge and lower osmolarity will be administered. If
surgical intervention was urgent and if there was not enough time for
conservative therapy, i.e., correction of electrolytes, volemia, blood pressure
and higher values of nitrate substances, a renal patient would be temporarily
dialyzed in the immediate preoperative and postoperative course. Any surgical
intervention in these patients may aggravate the renal function and bring the
patient closer to dialysis treatment. Nevertheless, sometimes the benefit of
surgical treatment for the acute surgical disease is higher (especially if it was
life-threatening) than the risk of renal function exacerbation and coming closer
to dialysis.
PMID- 21879662
TI - Preoperative assessment of patients with end stage renal failure.
AB - Patients with end stage renal failure (ESRF) present a number of challenges to
the anesthesiologist. They may be chronically ill and debilitated and have the
potential for multisystem organ dysfunction. Patients with primary renal disease
are likely younger and have good cardiopulmonary reserve. Older patients with
renal failure secondary to diabetes mellitus or hypertension may suffer the
ravages of diffuse atherosclerosis and heart disease. To safely manage these
patients we need to understand the benefits and limitations of dialysis, problems
related with primary disease, pathophysiological effects of ESRF, and the altered
pharmacology of commonly used anesthetic agents and perioperative medications in
ESRF. Problems encountered by anesthesiologist in ESRF patients include
hypertension, ischemic heart disease, congestive heart failure, anemia, metabolic
acidosis, hyperkaliemia, hyponatremia and circulatory collapse. All surgical
procedure in patients with ESRF carries significant risk of peri- and
postoperative complications (mostly cardiovascular) and even fatal outcome.
PMID- 21879663
TI - Preoperative assessment and preparation of patients with neurologic disorders.
AB - Ageing of populataion world wide has significant contribution as one of the major
risk factor for neurodegenerative disorders. The patients with neurodegenerative
as well as other neuological diseases presented the population with possible
great need either of small or big surgical intervention. There are several
important issues in patients with neurological diseases: the nature, disease
duration, therapy, the patient's ability to live without assistance. Neurological
disease may become worst by general and regional anesthesia. Stopping therapy may
lead to worsening of neurological diseases. One of the main common threat is the
risk of significant cardiorespiratory complications, which is important in
assessing operational risk, in preoperative preparation and in terms of
postoperative recovery and outcomes of surgical treatment. This has resulted in
greater preoperative care by detailed patient history evaluation and examination,
patient information and informed consent. Besides the effect of the anaesthetic
technique upon the course of the disease, there is also the interaction of drugs
administered during anaesthesia and patient medication. Several undiagnosed
diseases may be disclosed following a surgical/anaesthetic intervention.
PMID- 21879664
TI - Preoperative assessment and management of patient with psychiatric comorbidity.
AB - This article explains the most frequent psychiatric disorders such as co
morbidity in the acute surgical treatment, along with its position and importance
for the surgical procedure. Besides basic features of these disorders,
epidemiology and clinical expression, this article holds the latest therapeutic
approach, side effects, toxicity and drug interactions, during the surgical
procedure. Frequent postoperative problems, delirium, and postoperative cognitive
disorders are noted in these patients. To avoid these complications, it is
recommended to use a mini-mental score examination to re-evaluate the decision
and indication for high risk surgery patient.
PMID- 21879665
TI - Preoperative preparation of alcohol and psychoactive substances-addicted
patients.
AB - Proper diagnosis of psychoactive substance abuse and addiction, as well as acute
intoxication, withdrawal syndrome and overdosing are of great importance in
patients who are preparing for surgical intervention. There are some specific
details in their preoperative preparation whether they underwent emergency or
elective surgery. Good knowledge of the characteristics of psychoactive substance
abuse and addiction, interaction of psychoactive substances and anesthetics and
any other drugs that could be used in the perioperative period is important
especially for anastesiologist. In this work we present key issues for
recognizing theese patients as well as some guidelines for adequate preoperative
preparation and postoperative care.
PMID- 21879666
TI - Osteo-articular diseases as comorbidity in non-orthopaedic surgery.
AB - Osteo-articular diseases have significant presence among general population.
Osteo-articular disorders can be caused by disease or by trauma. There are many
osteo-articular diseases which have influence on general state of the organysm
and on other present diseases in a various level. The influence appears by
increasing risk of main disease complications, limited movement complicates
postoperative treatment of main disease and medicament therapy of osteo-articular
disease sometimes modifies perioperative therapy of main disease. Trauma as
comorbidity needs urgent care and, in the same time, it is a huge complication
for the injured condition. Osteoarticular trauma healing usually lasts several
weeks, so it prolongs the healing of intercurrent surgical disease. Osteo
articular changes as comorbidity during the acute surgical disease healing need
proper preoperative preparing, With the aim to minimise perioperative morbidity
and mortality.
PMID- 21879667
TI - Preoperative preparation for patients with nutritional disorders.
AB - Eating disorders are very common today. An increasing number of patients that
undergo anesthesia and surgery have some nutritional disorder. These disorders
are very versatile starting from obesity to anorexia. Significant changes in all
organ systems are present. These pathophysiological changes are increased with
the duration of the disease. There are many changes in the functioning of the
cardiovascular system in all these diseases and there are significant.
Respiratory and ventilatory functions are changed too. There are also many
endocrine disorders. As a final result, there are many serious biochemical and
coagulation disorders. These patients are often under some drug treatment.
Patients could be under psychiatric therapy (psychiatric drugs) and/or could take
drugs for relieving symptoms related to the pathophysiological changes in
different organ systems. Preoperative preparation of patients must be detailed.
All changes must be improved to optimal condition. In addition, it is necessary
to think about the possible influence of used drugs on the anesthesia.
PMID- 21879668
TI - Preoperative preparation of geriatric patients.
AB - There is a continuous increase in the proportion of elderly patients undergoing
surgical procedures. This review will concentrate on selected topics related to
elderly care that represent current unresolved and relevant issues for the care
of the elderly surgical patient including: aging related organ dysfunction,
perioperative risk assessment of geriatrics patient, preoperative optimization
and pharmacological support of elderly patient. Additionally, age as a clear risk
factor for postoperative cognitive dysfunction is also discussed.
PMID- 21879669
TI - Preoperative evaluation of patients with history of allergy.
AB - The prevalence of allergic reactions in general opulation shows a constant rise.
It has been estimated that up to 40% of surgical patients have a positive history
of some kind of allergy. These patients represent a challenge during
perioperative evaluation, since they can be exposed to a large variety of drugs
and substances during surgery and anesthesia. A lot of adverse drug reactions
show similar clinical presentation with allergic reactions. The latter are
usually poorly explored since preoperative allergology testing is performed in a
limited number of patients. Management of patients with history of allergy is
impeded by the fact that most of allergens cross-react in a manner that is not
always easy to predict. Allergies can manifest themselves with a broad spectrum
of clinical symptoms, ranging from mild skin symptoms such as itch and urticaria,
to a life-threatening anaphylactic reactions followed by hypotension,
bronchospasm and cardiovascular collapse. Prevention of allergic reactions during
perioperative period requires detailed history taking in order to identify
patients at risk, optimization of anesthesia strategy, pharmacological
premedication and further allergology diagnostic work-up in selected cases.
PMID- 21879670
TI - Preoperative assesment of the immunocompromised patient.
AB - In some surgical patients immunosuppression is easily apparent and directly
caused by known underlying disease or treatment. In others, although induced by
the underlying disease, immunosuppression may be less obvious. Perioperative
management of immunocompromised patient is mostly directed by the fact that
immunosuppression itself does not cause pathology, but does leave the patient
prone to infection. Immunodeficiency can be broadly characterized as congenital
(primary) or acquired (secondary). The majority of immune deficiencies that are
of interest to the anaesthetist are acquired. They can be present both in
children and adults, in a huge variety of patients that are presented
preoperatively. Most of them do not require different than usual perioperative
anaesthetic management. However, in some of them specific aspects of treatment
should be considered, such as HIV infected, cancer, transplant patients, and
those scheduled for organ transplantation.
PMID- 21879671
TI - Preoperative preparation of pregnant women.
AB - All the elective surgeries are to be avoided during pregnancy and pregnant women
should undergo only emergency surgical interventions. Pregnancy is associated
with different physiological changes in the organism, which should be taken into
account in preparative preparation of the pregnant women. Expanded body fluid
volume leads to dilutional anemia, however other hematological disorders may be
present as well. Extreme obesity is a frequent comorbidity, while hypertension is
associated with the highest risks since it may lead to a life-threatening
complication--eclampsia. As for other coexisting diseases, urinary tract
infections and gestational diabetes are the most common as well as
hyperthyroidism and other diseases that may also develop. The type and severity
of the acute surgical disease, extensiveness of the planned surgery as well as
the type of planned anesthesia to be applied, occasionally necessitate, depending
on the gestational age, termination of pregnancy to be considered. Gynecological
obstetric consultations are mandatory in all surgical interventions planned in
pregnant women.
PMID- 21879672
TI - Rough ride ahead. Moody's sees trouble for THR since swift acquisition.
PMID- 21879673
TI - Poor ratings. Standard & Poor's report highlights dysfunction in its own realm.
PMID- 21879674
TI - Feeling the squeeze. Healthcare execs see smaller raises overall, but some still
net strong increases while others lose ground.
PMID- 21879675
TI - States with the most ambulatory surgery centers.
PMID- 21879676
TI - Cosmetic sciences from ancient Persia.
PMID- 21879677
TI - Brown cosmetics in ancient Egypt.
PMID- 21879678
TI - The proposal for an imperial pharmaceutical qualification 1929.
PMID- 21879679
TI - Anticipating sympathomimetic asthma therapy: Henry Hyde Salter (1823-1871).
PMID- 21879680
TI - RNs share lessons learned in primary care network.
PMID- 21879681
TI - Assessing caffeine impact on patient health.
PMID- 21879682
TI - Addictions: preventative practice for professional nurses.
PMID- 21879683
TI - Social justice: who cares?
PMID- 21879684
TI - Adding drug to hanging IV bag poses many risks.
PMID- 21879685
TI - From stack-firing to pyromania: medico-legal concepts of insane arson in British,
US and European contexts, c. 1800-1913. Part I.
AB - This article surveys evolving and competing medico-legal concepts of pyromania
and insane arson. Exploiting evidence from medical jurisprudence, medico-legal
publications, medical lexicography and case histories, it seeks to explicate the
key positions in contemporary professional debates concerning arson and mental
derangement. A major focus is the application of the doctrines of moral and
partial insanity, monomania, instinctive insanity and irresistible impulse to
understandings of pyromania and insane arson. The limited extent to which mental
defect provided a satisfactory diagnosis and exculpatory plea for morbid arson is
also explored. Additionally, this article compares and contrasts contemporary
debates about other special manias, especially kleptomania. Part 2 will be
published in the next issue, History of Psychiatry 21 (4).
PMID- 21879686
TI - Illnesses of the will in 'pre-psychiatric' times.
AB - Since its emergence as a medical discipline in its own right, i.e. since the end
of the eighteenth century, disorders of the will have constituted a major area of
interest for psychiatrists. But even before then, in 'pre-psychiatric' times so
to speak, there were occasional descriptions of illnesses of the will or, in the
nomenclature used at the time,'ambiguous emotional states of minds'.This study
presents some very early attempts to tackle and explain the problems of amentia
occulta, manie sons delire and monomania in German literature, concentrating on
works written from a medical and philosophical perspective. Beginning with the
differentiation between will and reason, this study explores some concepts in
which the will was perceived as a possible cause of mental illness and thus
became a topic of medical interest.
PMID- 21879687
TI - The face of madness in Romania: the origin of psychiatric photography in Eastern
Europe.
AB - In 1870 the Romanian physician Nicolae G. Chernbach published a photographic
atlas of the main types of mental alienation, a collection of twelve plates
depicting mentally ill patients from the Marcutza Asylum in Bucharest. Each
photograph included a diagnosis based on the clinical nosography and theories of
the physiognomy of insanity acknowledged during the period. The publication of
the atlas--just a few years after Hugh W. Diamond's initial use of photography
for this purpose in Britain in the 1850s--means that the photographs were not
only the first taken in Romania, but among the first photographs of the mentally
ill. This study provides an insight into the origins of modern clinical
psychiatry and medical advances in Romania, and the contemporary personalities in
Romanian and Eastern European medicine.
PMID- 21879688
TI - From psyche to soma? Changing accounts of antisocial personality disorders in the
American Journal of Psychiatry.
AB - The history of psychiatry is often portrayed through the metaphor of a pendulum,
the profession swinging back and forth between a concern with psyche and soma.
Recent work critiquing the pendulum metaphor, however, suggests that it does not
account for the complexity of psychiatry. This article explores the metaphor
through an analysis of the changing aetiological accounts of personality
disorders associated with antisocial behaviour advanced in the American Journal
of Psychiatry from 1950 onwards. It is argued that the social, scientific and
economic factors which help shape overarching professional trends in psychiatry
only partly structure personality disorder discourse. If the pendulum swings,
therefore, not all psychiatrists move with it.
PMID- 21879689
TI - Psychiatry in Britain, c. 1900.
AB - At this period, British psychiatrists practised in a climate of opinion that was
deeply pessimistic, influenced by the views of Henry Maudsley and by the
accumulation in asylums of incurable patients. The inflexible Lunacy Act of 1890
tended to encourage chronicity. The terminology both of mental illness and of the
doctors who dealt with it was uncertain. Management of these disorders was
intimately involved with the operation of the Poor Law. Neurology, on the other
hand, carried high prestige and advanced clinically; many patients with neurotic
disorders came under the care of neurologists. Postgraduate education and
training in psychiatry was practically non-existent, as was academic psychiatry,
in contrast notably to Germany, though there was a small professional
organization.
PMID- 21879690
TI - The reception of Eugen Bleuler in British psychiatry, 1892-1954.
AB - This article draws on over 60 years of British medical journals and psychiatry
textbooks to indicate the chronological stages of the reception of Eugen Bleuler
in British psychiatry. Bleuler was already well known in Britain before his
schizophrenia book appeared, with the journals containing numerous references,
mainly positive, to his work. The psychiatry textbooks, however, were slower to
integrate his contribution. This paper argues that this was not due to Bleuler's
placing Freud on a par with Kraepelin, but because of the early negative reaction
to Kraepelin's dementia praecox concept, despite Bleuler's wider and less ominous
conception of the illness.
PMID- 21879691
TI - On the chopping block. Municipal bonds could be seen as part of deficit fix.
PMID- 21879692
TI - Meeting resistance. Express Scripts-Medco deal called anti-competitive.
PMID- 21879693
TI - Price stability. No hike expected in Medicare drug plan averages.
PMID- 21879694
TI - Gun to the head. Debt-ceiling law reminds providers who runs the healthcare show.
PMID- 21879695
TI - Safety net for needy. Healthcare leaders want providers to put focus on primary
care.
PMID- 21879696
TI - An 'open door' for the vulnerable. Even after reform is in place, need for safety
net providers will be great.
PMID- 21879697
TI - Total recall. Providers are taking the lead in revamping system for handling
questionable drugs and medical devices.
PMID- 21879698
TI - Largest healthcare law firms.
PMID- 21879699
TI - Still at ground level. Security concerns hinder move into cloud services.
PMID- 21879700
TI - Inside Pfizer's palace coup. Did CEO Jeff Kindler get pushed out because he was
shaking up the dysfunctional pharmaceutical giant--or because he was an
ineffective leader?
PMID- 21879701
TI - Biodegradable block copolymer micelles with thiol-responsive sheddable coronas.
AB - Novel sheddable micelles having hydrophilic coronas capable of being shed from
biodegradable polylactide (PLA) cores by the cleavage of disulfide linkages in
response to thiols were prepared by aqueous micellization of PLA-based
amphiphilic block copolymers functionalized with disulfides at block junctions.
These well-defined copolymers were synthesized by a combination of ring-opening
polymerization and atom transfer radical polymerization in the presence of a new
disulfide-functionalized double-head initiator having both terminal OH and Br
groups. (1)H NMR and GPC results indicate that both polymerizations were well
controlled with molecular weight distribution as low as M(w)/M(n) < 1.2. Aqueous
micellization to form core/shell micelles with disulfides at the interface of PLA
cores and hydrophilic coronas and their thiol-responsive degradation were
investigated. In the presence of water-soluble thiols, disulfide linkages in the
micelles were cleaved and hydrophilic coronas were lost, causing PLA cores to
precipitate due to the loss of colloidal stability. In a biomedical perspective,
the new sheddable micelles were not cytotoxic and hence biocompatible.
PMID- 21879702
TI - Concise asymmetric total synthesis of 9-epi-sessilifoliamide J.
AB - A 10-step asymmetric synthesis of 9-epi-sessilifoliamide J (20), together with
sessilifoliamide J (6), has been accomplished from the key chiral building block
11 via a threo-selective vinylogous Mannich reaction and a Ley oxidation-SmI(2)
mediated coupling lactonization. The absolute configuration of the natural
sessilifoliamide J was established.
PMID- 21879703
TI - Synthesis of 6-substituted-4-hydroxy-2-pyridinones via intramolecular ketene
trapping of functionalized enamine-dioxinones.
AB - The synthesis of various 6-substituted-4-hydroxy-2-pyridinones is reported. The
functionalized keto-dioxinones were constructed via a diethylzinc mediated
crossed Claisen condensation reaction and subsequent enamine formation,
thermolysis, and cyclization-aromatization providing the pyridinone unit.
PMID- 21879704
TI - Three-component coupling approach to trachyspic acid.
AB - Three-component coupling of the lithium enolate of t-BuOAc, silyl glyoxylate, and
an alpha,beta-unsaturated ketone enables the rapid construction of the trachyspic
acid carbon skeleton. A 3,4-disubstituted isoxazole is utilized to mask the C7/C9
dicarbonyl. New enolsilane/nitrile-oxide cycloadditions enable the preparation of
various 3,4-disubstituted isoxazoles that are challenging to access by other
means.
PMID- 21879705
TI - Halide, amide, cationic, manganese carbonylate, and oxide derivatives of
triamidosilylamine uranium complexes.
AB - Treatment of the complex [U(Tren(TMS))(Cl)(THF)] [1, Tren(TMS) =
N(CH(2)CH(2)NSiMe(3))(3)] with Me(3)SiI at room temperature afforded known
crystalline [U(Tren(TMS))(I)(THF)] (2), which is reported as a new polymorph.
Sublimation of 2 at 160 degrees C and 10(-6) mmHg afforded the solvent-free
dimer complex [{U(Tren(TMS))(MU-I)}(2)] (3), which crystallizes in two
polymorphic forms. During routine preparations of 1, an additional complex
identified as [U(Cl)(5)(THF)][Li(THF)(4)] (4) was isolated in very low yield due
to the presence of a slight excess of [U(Cl)(4)(THF)(3)] in one batch. Reaction
of 1 with one equivalent of lithium dicyclohexylamide or bis(trimethylsilyl)amide
gave the corresponding amide complexes [U(Tren(TMS))(NR(2))] (5, R = cyclohexyl;
6, R = trimethylsilyl), which both afforded the cationic, separated ion pair
complex [U(Tren(TMS))(THF)(2)][BPh(4)] (7) following treatment of the respective
amides with Et(3)NH.BPh(4). The analogous reaction of 5 with Et(3)NH.BAr(f)(4)
[Ar(f) = C(6)H(3)-3,5-(CF(3))(2)] afforded, following addition of 1 to give a
crystallizable compound, the cationic, separated ion pair complex
[{U(Tren(TMS))(THF)}(2)(MU-Cl)][BAr(f)(4)] (8). Reaction of 7 with K[Mn(CO)(5)]
or 5 or 6 with [HMn(CO)(5)] in THF afforded [U(Tren(TMS))(THF)(MU-OC)Mn(CO)(4)]
(9); when these reactions were repeated in the presence of 1,2-dimethoxyethane
(DME), the separated ion pair [U(Tren(TMS))(DME)][Mn(CO)(5)] (10) was isolated
instead. Reaction of 5 with [HMn(CO)(5)] in toluene afforded [{U(Tren(TMS))(MU
OC)(2)Mn(CO)(3)}(2)] (11). Similarly, reaction of the cyclometalated complex
[U{N(CH(2)CH(2)NSiMe(2)Bu(t))(2)(CH(2)CH(2)NSiMeBu(t)CH(2))}] with [HMn(CO)(5)]
gave [{U(Tren(DMSB))(MU-OC)(2)Mn(CO)(3)}(2)] [12, Tren(DMSB) =
N(CH(2)CH(2)NSiMe(2)Bu(t))(3)]. Attempts to prepare the manganocene derivative
[U(Tren(TMS))MnCp(2)] from 7 and K[MnCp(2)] were unsuccessful and resulted in
formation of [{U(Tren(TMS))}(2)(MU-O)] (13) and [MnCp(2)]. Complexes 3-13 have
been characterized by X-ray crystallography, (1)H NMR spectroscopy, FTIR
spectroscopy, Evans method magnetic moment, and CHN microanalyses.
PMID- 21879707
TI - Determination of 4(5)-methylimidazole in soy sauce and other foods by LC-MS/MS
after solid-phase extraction.
AB - A method for the determination of 4(5)-methylimidazole (4MeI) in naturally brewed
soy sauce was developed for the first time using solid-phase extraction (SPE) and
liquid chromatography-tandem mass spectrometry (LC-MS/MS). SPE on silica-based
reversed-phase cartridges with heptafluorobutyric acid as an ion-pairing reagent
was used for the efficient cleanup of 4MeI. A multimode ODS column was employed
for the chromatographic separation. To subtract the matrix effect during LC-MS/MS
analysis, a standard addition method was used. The levels of 4MeI found in
naturally brewed soy sauce were extremely low (ranging from <0.002 to 0.023
MUg/g), whereas those in soy sauces containing caramel color were generally high
(ranging from 0.43 to 4.8 MUg/g). The method proved to be useful for the analysis
of 4MeI in other foods such as caramel colors, drinks, and Worcestershire sauce.
PMID- 21879708
TI - Proteomic analysis of pH and strains dependent protein secretion of Trichoderma
reesei.
AB - Bioenergy, particularly biofuel, from lignocellulosic biomass has been considered
as one of the most promising renewable and sustainable energies. The industrial
productivity and efficiency of microbial lignocellulolytic enzymes for cellulosic
biofuel applications are significantly affected by pH of culture condition. This
study established and compared hydrolytic protein expression profiles of
Trichoderma reesei QM6a, QM9414, RUT C30 and QM9414MG5 strains at different pH in
cellulosic culture media. Liquid chromatography-tandem mass spectrometry (LC
MS/MS) analysis of secretome of T. reesei cultured from pH 3.0-9.0 revealed
significantly higher hydrolytic protein expressions at acidic pH. The Bray-Curtis
similarity indices, clustering, and Shannon diversity index elucidated
differences in protein secretion at different pHs in individuals and among the
strains. This study demonstrated a comparative lignocellulolytic enzyme secretion
profile of T. reesei and its mutants at different pHs and provides pH sensitive
and resistance enzyme targets for industrial lignocellulose hydrolysis.
PMID- 21879706
TI - Chemical tags for labeling proteins inside living cells.
AB - To build on the last century's tremendous strides in understanding the workings
of individual proteins in the test tube, we now face the challenge of
understanding how macromolecular machines, signaling pathways, and other
biological networks operate in the complex environment of the living cell. The
fluorescent proteins (FPs) revolutionized our ability to study protein function
directly in the cell by enabling individual proteins to be selectively labeled
through genetic encoding of a fluorescent tag. Although FPs continue to be
invaluable tools for cell biology, they show limitations in the face of the
increasingly sophisticated dynamic measurements of protein interactions now
called for to unravel cellular mechanisms. Therefore, just as chemical methods
for selectively labeling proteins in the test tube significantly impacted in
vitro biophysics in the last century, chemical tagging technologies are now
poised to provide a breakthrough to meet this century's challenge of
understanding protein function in the living cell. With chemical tags, the
protein of interest is attached to a polypeptide rather than an FP. The
polypeptide is subsequently modified with an organic fluorophore or another
probe. The FlAsH peptide tag was first reported in 1998. Since then, more refined
protein tags, exemplified by the TMP- and SNAP-tag, have improved selectivity and
enabled imaging of intracellular proteins with high signal-to-noise ratios.
Further improvement is still required to achieve direct incorporation of powerful
fluorophores, but enzyme-mediated chemical tags show promise for overcoming the
difficulty of selectively labeling a short peptide tag. In this Account, we focus
on the development and application of chemical tags for studying protein function
within living cells. Thus, in our overview of different chemical tagging
strategies and technologies, we emphasize the challenge of rendering the labeling
reaction sufficiently selective and the fluorophore probe sufficiently well
behaved to image intracellular proteins with high signal-to-noise ratios. We
highlight recent applications in which the chemical tags have enabled
sophisticated biophysical measurements that would be difficult or even impossible
with FPs. Finally, we conclude by looking forward to (i) the development of high
photon-output chemical tags compatible with living cells to enable high
resolution imaging, (ii) the realization of the potential of the chemical tags to
significantly reduce tag size, and (iii) the exploitation of the modular chemical
tag label to go beyond fluorescent imaging.
PMID- 21879709
TI - First-row transition-metal chloride complexes of the wide bite-angle diphosphine
(iPr)DPDBFphos and reactivity studies of monovalent nickel.
AB - The diphosphine 4,6-bis(3-diisopropylphosphinophenyl)dibenzofuran (abbreviated as
(iPr)DPDBFphos) has been metalated with transition metal dichlorides of zinc,
cobalt, and nickel to yield ((iPr)DPDBFphos)MCl(2) complexes. Within these
compounds, the diphosphine (iPr)DPDBFphos adapts a wide range of bite angles (115
to 180 degrees ) as determined by X-ray crystallography. A three-coordinate
planar Ni(I) species was isolated from the reduction of ((iPr)DPDBFphos)NiCl(2)
with KC(8). Low-temperature electron paramagnetic resonance (EPR) measurements of
((iPr)DPDBFphos)NiCl allow the determination of g values (2.09, 2.14, 2.37) and
hyperfine coupling constants to two (31)P nuclei, A(iso) = 46 * 10(-4) cm(-1),
and one (37)Cl/(35)Cl nucleus, A = (12, 0.7, 35) * 10(-4) cm(-1). Density
functional theory (DFT) studies reveal the nature of the magnetic orbital to be
d(xy), which has sigma-antibonding and pi(?)-antibonding interactions with the
phosphorus and chloride atoms, respectively. The monovalent nickel complex reacts
with substrates containing C-X bonds; and in the case of vinyl chloride, a Ni(II)
vinyl species ((iPr)DPDBFphos)Ni(CH?CH(2))Cl is generated along with the Ni(II)
dichloride complex. The monovalent Ni(I) chloride is an active catalyst in the
Kumada cross-coupling reaction of vinyl chloride and phenyl Grignard reagent.
PMID- 21879710
TI - Dendrimers to treat rheumatoid arthritis.
AB - In comparison with linear polymers, dendrimers' multivalency and nanostructure
confer substantial advantages in drug delivery including rapid cell entry,
targetability, and easier passage across biological barriers. Previous work has
shown that phosphorus-containing dendrimers capped with anionic azabisphosphonate
(ABP) end groups prompt anti-inflammatory activation of human monocytes. By using
two mouse models of arthritis mimicking human rheumatoid arthritis (RA), Hayder
et al. recently demonstrated that intravenous injection of dendrimer ABP inhibits
the secretion of proinflammatory cytokines and osteoclastogenesis--two
fundamental monocyte-dependent processes of inflammation and bone erosion in RA.
While available biological therapies for RA target only one of the cytokines
involved in inflammation or bone erosion, dendrimer ABP, by virtue of its double
action on both processes in mice, might become a more active and cost-saving
alternative for RA patients. This Perspective highlights this important
development and the challenges that lie ahead.
PMID- 21879711
TI - Ruthenium-catalyzed para-selective oxidative cross-coupling of arenes and
cycloalkanes.
AB - A novel, direct para-selective oxidative cross-coupling of benzene derivatives
with cycloalkanes catalyzed by ruthenium was developed. A wide range of arenes
bearing electron-withdrawing substituents was functionalized directly with simple
cycloalkanes with high para-selectivity; arenes with electron-donating groups
were mainly para-functionalized. Benzoic acid can be used directly.
PMID- 21879712
TI - Role of the ectodomain serine 275 in shaping the binding pocket of the ATP-gated
P2X3 receptor.
AB - ATP-activated P2X3 receptors expressed in nociceptive sensory neurons play an
important role in pain signaling. Basic properties of this receptor subtype,
including very strong desensitization, depend on the rate of dissociation of the
agonist from the binding site. Even though the rough structure of the ATP binding
site has been proposed on the basis of the X-ray structure of the zebrafish P2X4
receptor and mutagenesis studies, the fine subunit-specific structural properties
predisposing the receptor to tight capture of the agonist inside the binding
pocket have not been elucidated. In this work, by exploring in silico the
functional role for the left flipper located in the ectodomain region, we
identified within this loop a candidate residue S275, which could contribute to
the closure of the agonist-binding pocket. Testing of the S275 mutants using the
patch-clamp technique revealed a crucial role for S275 in agonist binding and
receptor desensitization. The S275A mutant showed a reduced rate of onset of
desensitization and accelerated resensitization and was weakly inhibited by
nanomolar agonist. Extracellular calcium application produced inhibition instead
of facilitation of membrane currents. Moreover, some full agonists became only
partial agonists when applied to the S275A receptor. These effects were stronger
with the more hydrophobic mutants S275C and S275V. Taken together, our data
suggest that S275 contributes to the closure of the agonist-binding pocket and
that effective capture of the agonist provided by the left flipper in calcium
dependent manner determines the high rate of desensitization, slow recovery, and
sensitivity to nanomolar agonist of the P2X3 receptor.
PMID- 21879713
TI - Dual high adhesion surface for water in air and for oil underwater.
AB - A new type of dual high surface adhesion both in an oil/water/solid system and in
a water/air/solid system is reported. A walnutlike cuprous iodide (CuI)
microcrystal surface, which is composed of numerous CuI nanocrystals, shows an
amphiphobic, highly adhesive surface for water in air and for oil underwater. The
maximum adhesive force is about 120.3 +/- 1.6 MUN in the air for a water droplet
and about 23.8 +/- 2.1 MUN underwater for an oil droplet. These findings will
help us to design novel high adhesive materials in two-phase or multiphase
mediums.
PMID- 21879714
TI - Anti-influenza virus polyketides from the acid-tolerant fungus Penicillium
purpurogenum JS03-21.
AB - Fractionation of the ethyl acetate extract of an acid-tolerant fungus,
Penicillium purpurogenum JS03-21, resulted in the isolation of six new compounds,
purpurquinones A-C (1-3), purpuresters A and B (4 and 5), and 2,6,7-trihydroxy-3
methylnaphthalene-1,4-dione (6), together with three known compounds, TAN-931
(7), (-)-mitorubrin (8), and orsellinic acid. The structures of 1-6 were
elucidated primarily by NMR experiments. The absolute configurations of 1-4 were
assigned on the basis of CD and NOESY data. Compounds 2-4 and 7 exhibited
significant antiviral activity against H1N1, with IC50 values of 61.3, 64.0,
85.3, and 58.6 MUM, respectively.
PMID- 21879715
TI - A chiroptical photoswitchable DNA complex.
AB - The interesting structural, electronic, and optical properties of DNA provide
fascinating opportunities for developing nanoscale smart materials by integrating
DNA with opto-electronic components. In this article we demonstrate the
electrostatic binding of an amine-terminated dithienylethene (DET) molecular
switch to double-stranded synthetic polynucleotides. The DET switch can undergo
photochemical ring-closure and opening reactions. Circular dichroism (CD) and UV
vis spectroscopy show that both the open, 1o, and the closed, 1c, forms of the
switch bind to DNA. Upon addition of DNA to a solution of 1o or 1c, the UV-vis
spectrum displays a hypochromic effect, indicative of an interaction between the
switch and the DNA. The chirality of the DNA double-helix is transmitted to the
switching unit which displays a well-defined CD signal upon supramolecular
complexation to the DNA. Additionally, the CD signal of the DNA attenuates,
demonstrating that both components of the complex mutually influence each other's
structure; the DNA induces chirality in the switch, and the switch modifies the
structure of the DNA. Modulation of the chiroptical properties of the complex is
achieved by photochemically switching the DET between its ring open and closed
isomers. A pH dependence study of the binding shows that when the pH is increased
the switches lose their binding ability, indicating that electrostatic
interactions between protonated amines and the negatively charged phosphate
backbone are the dominant driving force for binding to the DNA. A comparison of
poly(deoxyguanylic-deoxycytidylic) acid [poly(dGdC)(2)] polynucleotides with
poly(deoxyadenylic-deoxythymidylic) acid [poly(dAdT)(2)] shows distinct
differences in the CD spectra of the complexes.
PMID- 21879716
TI - Secondary water relaxation in a water/dimethyl sulfoxide mixture revealed by
deuteron nuclear magnetic resonance and dielectric spectroscopy.
AB - We exploit the potential of a combined dielectric spectroscopy (DS) and deuteron
nuclear magnetic resonance ((2)H NMR) approach to investigate the molecular
dynamics in a supercooled 2:1 molar mixture of deuterated water (D(2)O) and
dimethyl sulfoxide (DMSO). While DS probes the rotational motion of both
components, application of (2)H NMR allows us to single out the dynamical
behavior of the water molecules. Combining the results of both methods, we can
follow the slowdown of the alpha-process of the mixture over more than 10 orders
of magnitude in time, revealing that the Vogel-Fulcher-Tammann (VFT) equation
describes well its temperature dependence down to the glass transition
temperature, T(g) = 146 K. While the (2)H NMR data do not provide evidence for a
secondary relaxation process in the weakly supercooled regime, they indicate
that, in the deeply supercooled regime, T(g) <= T <= 160 K, the water molecules
do show a secondary dynamical process, which is faster and exhibits a weaker
temperature dependence than the alpha-process of the mixture. Consistently, the
shape of the dielectric spectra changes in this temperature range. (2)H NMR
rotational correlation functions reveal that this faster secondary water process
destroys essentially all orientational correlation. In addition, these data show
that the water reorientation process is characterized by a mean elementary jump
angle smaller than 13 degrees . Possible origins of the faster secondary water
process in the deeply supercooled mixture are discussed.
PMID- 21879717
TI - Surface plasmon resonances in strongly coupled gold nanosphere chains from
monomer to hexamer.
AB - We present experimental data on the light scattering properties of linear chains
of gold nanoparticles with up to six nanoparticles and an interparticle spacing
of 1 nm. A red shift of the surface plasmon resonance with increasing chain
length is observed. An exponential model applied to the experimental data allows
determination of an asymptotic maximum resonance at a chain length of 10-12
particles. The optical data are compared with analytical and numerical
calculation methods (EEM and BEM).
PMID- 21879718
TI - Random laser based on waveguided plasmonic gain channels.
AB - A waveguide-plasmonic scheme is constructed by coating the matrix of randomly
distributed gold nanoisland structures with a layer of dye-doped polymer, which
provides strong feedback or gain channels for the emission from the dye molecules
and enables successful running of a random laser. Excellent overlap of the
plasmonic resonance of the gold nanoislands with the photoluminescence spectrum
of the dye molecules and the strong confinement mechanism provided by the active
waveguide layer are the key essentials for the narrow-band and low-threshold
operation of this random laser. This kind of feedback configuration potentially
enables directional output from such random lasers. The flexible solution
processable fabrication of the plasmonic gold nanostructures not only enables
easy realization of such a random laser but also provides mechanisms for the
tuning and multicolor operation of the laser emission.
PMID- 21879719
TI - Particle size, shape and activity for photocatalysis on titania anatase
nanoparticles in aqueous surroundings.
AB - TiO(2) nanoparticles have been widely utilized in photocatalysis, but the atomic
level understanding on their working mechanism falls much short of expectations.
In particular, the correlation between the particle structure and the
photocatalytic activity is not established yet, although it was observed that the
activity is sensitive to the particle size and shape. This work, by investigating
a series of TiO(2) anatase nanoparticles with different size and shape as the
photocatalyst for water oxidation, correlates quantitatively the particle size
and shape with the photocatalytic activity of the oxygen evolution reaction
(OER). Extensive density functional theory (DFT) calculations combined with the
periodic continuum solvation model have been utilized to compute the electronic
structure of nanoparticles in aqueous solution and provide the reaction
energetics for the key elementary reaction. We demonstrate that the equilibrium
shape of nanoparticle is sensitive to its size from 1 to 30 nm, and the sharp
crystals possess much higher activity than the flat crystals in OER, which in
combination lead to the morphology dependence of photocatalytic activity. The
conventionally regarded quantum size effect is excluded as the major cause. The
physical origin for the shape-activity relationship is identified to be the
unique spatial separation/localization of the frontier orbitals in the sharp
nanoparticles, which benefits the adsorption of the key reaction intermediate
(i.e., OH) in OER on the exposed five-coordinated Ti of {101} facet. The
theoretical results here provide a firm basis for maximizing photocatalytic
activity via nanostructure engineering and are also of significance for
understanding photocatalysis on nanomaterials in general.
PMID- 21879720
TI - Conformational switching immobilized hairpin DNA probes following subsequent
expanding of gold nanoparticles enables visual detecting sequence-specific DNA.
AB - A simple, rapid, and sensitive method for visual detection of sequence-specific
DNA was developed using hairpin DNA as the recognition element and hydroxylamine
enlarged gold nanoparticles (Au-NPs) as the signal producing component. In the
assay, we employed a hairpin DNA probe dually labeled with amine and biotin at
the 5'- and 3'-end, respectively. The probe was coupled with reactive N
oxysuccinnimide in a DNA-bind 96-well plate. Without the target DNA, the
immobilized hairpin probe was in a "closed" state, which kept the streptavidin
gold off the biotin. The hybridization between the loop sequence and the target
broke the short stem duplex upon approaching the target DNA. Consequently, biotin
was forced away from the 96-well plate surface and available for conjugation with
the streptavidin-gold. The hybridization could be detected visually after the
HAuCl(4)-NH(2)OH redox reaction catalyzed by the Au-NPs. Under the optimized
conditions, the visual DNA sensor could detect as low as 100 amol of DNA targets
with excellent differentiation ability and even a single-base mismatch.
PMID- 21879721
TI - Cross-talk among intracellular signaling pathways mediates the diphenyl
ditelluride actions on the hippocampal cytoskeleton of young rats.
AB - In the present report, we showed that diphenyl ditelluride (PhTe)(2) induced in
vitro hyperphosphorylation of glial fibrillary acidic protein (GFAP), vimentin
and neurofilament (NF) subunits in hippocampus of 21 day-old rats.
Hyperphosphorylation was dependent on L-voltage dependent Ca(2+) channels (L
VDCC), N-methyl-d-aspartate (NMDA) and metabotropic glutamate receptors, as
demonstrated by the specific inhibitors verapamil, DL-AP5 and MCPG, respectively.
Also, dantrolene, a ryanodine channel blocker, EGTA and Bapta-AM, extra and
intracellular Ca(2+) chelators respectively, totally prevented this effect.
Activation of metabotropic glutamate receptors by (PhTe)(2) upregulates
phospholipase C (PLC), producing inositol 1, 4, 5-trisphosphate (IP(3)) and
diacylglycerol (DAG). Therefore, high Ca(2+) levels and DAG directly activate
Ca(2+)/calmodulin-dependent protein kinase (PKCaMII) and protein kinase C (PCK),
resulting in the hyperphosphorylation of Ser-57 in the carboxyl-terminal tail
domain of the low molecular weight NF subunit (NF-L). Also, the activation of
Erk1/2, and p38MAPK resulted in hyperphosphorylation of KSP repeats of the medium
molecular weight NF subunit (NF-M). It is noteworthy that PKCaMII and PKC
inhibitors prevented (PhTe)(2)-induced Erk1/2MAPK and p38MAPK activation as well
as hyperphosphorylation of KSP repeats on NF-M, suggesting that PKCaMII and PKC
could be upstream of this activation. Taken together, our results highlight the
role of Ca(2+) as a mediator of the (PhTe)(2)-elicited signaling targeting
specific phosphorylation sites on IF proteins of neural cells of rat hippocampus.
Interestingly, this action shows a significant cross-talk among signaling
pathways elicited by (PhTe)(2), connecting glutamate metabotropic cascade with
activation of Ca(2+) channels. The extensively phosphorylated amino- and carboxyl
terminal sites could explain, at least in part, the neural dysfunction
associated with (PhTe)(2) exposure.
PMID- 21879723
TI - Self-assembly and orientation of hydrogen-bonded oligothiophene polymorphs at
liquid-membrane-liquid interfaces.
AB - One of the challenges in organic systems with semiconducting function is the
achievement of molecular orientation over large scales. We report here on the use
of self-assembly kinetics to control long-range orientation of a quarterthiophene
derivative designed to combine intermolecular pi-pi stacking and hydrogen bonding
among amide groups. Assembly of these molecules in the solution phase is
prevented by the hydrogen-bond-accepting solvent tetrahydrofuran, whereas
formation of H-aggregates is facilitated in toluene. Rapid evaporation of solvent
in a solution of the quarterthiophene in a 2:1:1 mixture of 1,4
dioxane/tetrahydrofuran/toluene leads to self-assembly of kinetically trapped
mats of bundled fibers. In great contrast, slow drying in a toluene atmosphere
leads to the homogeneous nucleation and growth of ordered structures shaped as
rhombohedra or hexagonal prisms depending on concentration. Furthermore,
exceedingly slow delivery of toluene from a high molecular weight polymer
solution into the system through a porous aluminum oxide membrane results in the
growth of highly oriented hexagonal prisms perpendicular to the interface. The
amide groups of the compound likely adsorb onto the polar aluminum oxide surface
and direct the self-assembly pathway toward heterogeneous nucleation and growth
to form hexagonal prisms. We propose that the oriented prismatic polymorph
results from the synergy of surface interactions rooted in hydrogen bonding on
the solid membrane and the slow kinetics of self-assembly. These observations
demonstrate how self-assembly conditions can be used to guide the supramolecular
energy landscape to generate vastly different structures. These fundamental
principles allowed us to grow oriented prismatic assemblies on transparent indium
doped tin oxide electrodes, which are of interest in organic electronics.
PMID- 21879722
TI - Mitochondrial-nuclear communication by prohibitin shuttling under oxidative
stress.
AB - Mitochondrial-nuclear communication is critical for maintaining mitochondrial
activity under stress conditions. Adaptation of the mitochondrial-nuclear network
to changes in the intracellular oxidation and reduction milieu is critical for
the survival of retinal and retinal pigment epithelial (RPE) cells, in relation
to their high oxygen demand and rapid metabolism. However, the generation and
transmission of the mitochondrial signal to the nucleus remain elusive.
Previously, our in vivo study revealed that prohibitin is upregulated in the
retina, but downregulated in RPE cells in the aging and diabetic model. In this
study, the functional role of prohibitin in the retina and RPE cells was examined
using biochemical methods, including a lipid binding assay, two-dimensional gel
electrophoresis, immunocytochemistry, Western blotting, and a knockdown approach.
Protein depletion by siRNA characterized prohibitin as an anti-apoptotic molecule
in mitochondria, while the lipid binding assay demonstrated subcellular
communication between mitochondria and the nucleus under oxidative stress. The
changes in the expression and localization of mitochondrial prohibitin triggered
by reactive oxygen species are crucial for mitochondrial integrity. We propose
that prohibitin shuttles between mitochondria and the nucleus as an anti
apoptotic molecule and a transcriptional regulator in a stress environment in the
retina and RPE cells.
PMID- 21879724
TI - From near-field to far-field coupling in the third dimension: retarded
interaction of particle plasmons.
AB - We study the transition from the near-field to the far-field coupling regime of
particle plasmons in a three-dimensional geometry. In the far-field regime,
retardation plays the dominant role and the plasmonic resonances are radiatively
coupled. When the spatial arrangement of the oscillators is matched to their
resonance wavelength, superradiant-like effects are observed.
PMID- 21879725
TI - Dissolved organic carbon enhances the mass transfer of hydrophobic organic
compounds from nonaqueous phase liquids (NAPLs) into the aqueous phase.
AB - The hypothesis that dissolved organic carbon (DOC) enhances the mass transfer of
hydrophobic organic compounds from nonaqueous phase liquids (NAPLs) into the
aqueous phase above that attributable to dissolved molecular diffusion alone was
tested. In controlled experiments, mass transfer rates of five NAPL-phase PAHs
(log K(OW) 4.15-5.39) into the aqueous phase containing different concentrations
of DOC were measured. Mass transfer rates were increased by up to a factor of 4
in the presence of DOC, with the greatest enhancement being observed for more
hydrophobic compounds and highest DOC concentrations. These increases could not
be explained by dissolved molecular diffusion alone, and point to a parallel DOC
mediated diffusive pathway. The nature of the DOC-mediated diffusion pathway as a
function of the DOC concentration and PAH sorption behavior to the DOC was
investigated using diffusion-based models. The DOC-enhanced mass transfer of NAPL
phase hydrophobic compounds into the aqueous phase has important implications for
their bioremediation as well as bioconcentration and toxicity.
PMID- 21879726
TI - Diverse metabolic profiles of a Streptomyces strain isolated from a hyper-arid
environment.
AB - The metabolic profile of Streptomyces sp. strain C34, isolated from the Chilean
hyper-arid Atacama Desert soil, is dependent on the culture media used for its
growth. The application of an OSMAC approach on this strain using a range of
cultivation media resulted in the isolation and identification of three new
compounds from the rare class of 22-membered macrolactone polyketides, named
chaxalactins A-C (1-3). In addition, the known compounds deferroxamine E (4),
hygromycin A (5), and 5"-dihydrohygromycin A (6) were detected. The isolated
compounds were characterized by NMR spectroscopy and accurate mass spectrometric
analysis. Compounds 1-3 displayed strong activity against Gram-positive but weak
activity Gram-negative strains tested.
PMID- 21879727
TI - Efficient copper-catalyzed S-vinylation of thiols with vinyl halides.
AB - The synthesis of vinyl sulfides through the coupling reaction of thiols with
vinyl iodides, bromides, and chlorides is described. The thiols can couple with
aryl iodides in the presence of only 0.5 mol % Cu(2)O without the need for an
ancillary ligand. In the presence of 5 mol % of Cu(2)O and 10 mol % 1,10
phenanthroline as the ligand, the more challenging alkyl vinyl bromides can also
be coupled with thiols, giving the vinyl sulfides in good to excellent yields.
PMID- 21879728
TI - Diastereo- and enantioselective conjugate addition of 3-substituted oxindoles to
nitroolefins catalyzed by a chiral Ni(OAc)2-diamine complex under mild
conditions.
AB - A simple catalyst system assembled from an enantiomerically pure diamine ligand
and Ni(OAc)(2) efficiently generates chiral metal enolates derived from 3
substituted oxindoles bearing an N-1 carbonyl group. The enolates smoothly
undergo diastereo- and enantioselective conjugate addition to a wide range of
nitroolefins under mild reaction conditions, furnishing 3,3-disubstituted
oxindole products bearing two vicinal quaternary/tertiary stereocenters in 74-95%
yields and 60:40 to 99:1 dr, 71-97% ee.
PMID- 21879729
TI - Imaging the hidden modes of ultrathin plasmonic strip antennas by
cathodoluminescence.
AB - We perform spectrally resolved cathodoluminescence (CL) imaging nanoscopy using a
30 keV electron beam to identify the resonant modes of an ultrathin (20 nm),
laterally tapered plasmonic Ag nanostrip antenna. We resolve with deep
subwavelength resolution four antenna resonances (resonance orders m = 2-5) that
are ascribed to surface plasmon polariton standing waves that are confined on the
strip. We map the local density of states on the strip surface and show that it
has contributions from symmetric and antisymmetric surface plasmon polariton
modes, each with a very different mode index. This work illustrates the power of
CL experiments that can visualize hidden modes that for symmetry reasons have
been elusive in optical light scattering experiments.
PMID- 21879730
TI - Compositional variability in conventional and glyphosate-tolerant soybean
(Glycine max L.) varieties grown in different regions in Brazil.
AB - The compositions of a diverse range of commercially available conventional and
genetically modified (GM; glyphosate-tolerant) soybean varieties from maturity
groups 8 and 5, respectively, grown in the northern and southern soybean regions
of Brazil during the 2007-2008 and 2008-2009 growing seasons were compared.
Compositional analyses included measurement of essential macro- and
micronutrients, antinutrients, and selected secondary metabolites in harvested
seed as well as measurement of proximates in both forage and harvested seed.
Statistical comparisons utilized a mixed analysis of variance model to evaluate
the relative contributions of growing season, soybean growing region, production
site, phenotype (GM or conventional), and variety. The study highlighted
extensive variability in the overall data set particularly for components such as
fatty acids, vitamin E, and isoflavones. There were few differences between the
GM and non-GM populations, and most of the variability in the data set could be
attributed to regional and variety differences. Overall, the results were
consistent with the expanding literature on the lack of any meaningful impact of
transgene insertion on crop composition.
PMID- 21879731
TI - CpG hypermethylation of the C-myc promoter by dsRNA results in growth
suppression.
AB - Deregulation of the c-myc proto-oncogene plays an important role in
carcinogenesis. It is, therefore, commonly found to be overexpressed in various
types of tumors. Downregulation of c-myc expression assumes great importance in
tumor therapy because of its ability to promote and maintain cancer stem cells.
Apart from post-transcriptional gene silencing (PTGS), siRNAs have also been
shown to cause transcriptional gene silencing (TGS) through epigenetic
modifications of a gene locus. This approach can potentially be used to silence
genes for longer periods and at a much lesser dosage than PTGS. In this study, we
have examined the effect of transfection of a novel siRNA directed against a CpG
island encompassing the CT-I(2) region in the P2 promoter of c-myc in U87MG and
other cell lines. Transient transfection with this siRNA resulted in c-myc
promoter CpG hypermethylation and decreased expression of c-myc (both mRNA and
protein) and its downstream targets. A decrease was also observed in the
expression of some stemness markers (oct-4 and nanog). Stable transfection also
confirmed the promoter CpG hypermethylation and reduced c-myc expression along
with reduced cell proliferation and an increase in apoptosis and senescence. A
significant decrease in c-myc levels was also observed in three other cancer cell
lines after transient transfection under similar conditions. Thus this novel
siRNA has the capability of becoming an effective therapeutic tool in
malignancies with overexpression of c-myc and may be of particular use in the
eradication of recalcitrant cancer stem cells.
PMID- 21879732
TI - Semisynthesis of fluorescent metabolite sensors on cell surfaces.
AB - Progress in understanding signal transduction and metabolic pathways is hampered
by a shortage of suitable sensors for tracking metabolites, second messengers,
and neurotransmitters in living cells. Here we introduce a class of rationally
designed semisynthetic fluorescent sensor proteins, called Snifits, for measuring
metabolite concentrations on the cell surface of mammalian cells. Functional
Snifits are assembled on living cells through two selective chemical labeling
reactions of a genetically encoded protein scaffold. Our best Snifit displayed
fluorescence intensity ratio changes on living cells significantly higher than
any previously reported cell-surface-targeted fluorescent sensor protein. This
work establishes a generally applicable and rational strategy for the generation
of cell-surface-targeted fluorescent sensor proteins for metabolites of interest.
PMID- 21879733
TI - Cooperativity between S...pi and Rg...pi in the OCS...C6H6...Rg (Rg = He, Ne, Ar,
and Kr) van der Waals complexes.
AB - The complexes OCS...C(6)H(6), C(6)H(6)...Rg, and OCS...C(6)H(6)...Rg (Rg = He,
Ne, Ar, and Kr) have been studied by means of MP2 calculations and QTAIM
analyses. The optimized geometries of the title complexes have C(6v) symmetry.
The intermolecular interactions in the OCS...C(6)H(6)...Rg complexes are
comparatively stronger than that in the OCS...C(6)H(6) complex, which prove that
the He, Ne, Ar, and Kr atoms have the ability to form weak bonds with the benzene
molecule. In QTAIM studies, the pi-electron density of benzene was separated from
the total electron density. The molecular graphs and topological parameters of
the OCS...piC(6)H(6), piC(6)H(6)...Rg, and OCS...piC(6)H(6)...Rg complexes
indicate that the interactions are mainly attributed to the electron density
provided by the pi-bonding electrons of benzene and the top regions of the S and
Rg atoms. Charge transfer is observed from the benzene molecule to SCO/Rg in the
formation of the OCS...C(6)H(6), C(6)H(6)...Rg, and OCS...C(6)H(6)...Rg
complexes. Molecular electrostatic potential (MEP) analyses suggest that the
electrostatic energy plays a pivotal role in these intermolecular interactions.
PMID- 21879734
TI - Large shift in source of fine sediment in the upper Mississippi river.
AB - Although sediment is a natural constituent of rivers, excess loading to rivers
and streams is a leading cause of impairment and biodiversity loss. Remedial
actions require identification of the sources and mechanisms of sediment supply.
This task is complicated by the scale and complexity of large watersheds as well
as changes in climate and land use that alter the drivers of sediment supply.
Previous studies in Lake Pepin, a natural lake on the Mississippi River, indicate
that sediment supply to the lake has increased 10-fold over the past 150 years.
Herein we combine geochemical fingerprinting and a suite of geomorphic change
detection techniques with a sediment mass balance for a tributary watershed to
demonstrate that, although the sediment loading remains very large, the dominant
source of sediment has shifted from agricultural soil erosion to accelerated
erosion of stream banks and bluffs, driven by increased river discharge. Such
hydrologic amplification of natural erosion processes calls for a new approach to
watershed sediment modeling that explicitly accounts for channel and floodplain
dynamics that amplify or dampen landscape processes. Further, this finding
illustrates a new challenge in remediating nonpoint sediment pollution and
indicates that management efforts must expand from soil erosion to factors
contributing to increased water runoff.
PMID- 21879735
TI - Activity and safety of synthetic lectins based on benzoboroxole-functionalized
polymers for inhibition of HIV entry.
AB - Lectins derived from plant and microbial sources constitute a vital class of
entry inhibitors that target the oligomannose residues on the HIV envelope gp120.
Despite their potency and specificity, success of lectin-based entry inhibitors
may be impeded by high manufacturing costs, formulation and potential
mitogenicity. Therefore, there exists a gap in the HIV microbicides pipeline that
underscores the need for mass producible, synthetic, broad-spectrum, and
biocomptabile inhibitors of HIV entry. Here, we present the development of a
polymeric synthetic lectin, based on benzoboroxole (BzB), which exhibits weak
affinity (~25 M(-1)) for nonreducing sugars, similar to those found on the HIV
envelope. High molecular weight BzB-functionalized polymers demonstrated
antiviral activity that increased with an increase in ligand density and
molecular weight of the polymer construct, revealing that polyvalency improves
activity. Polymers showed significant increase in activity from 25 to 75 mol %
BzB functionalization with EC(50) of 15 MUM and 15 nM, respectively. A further
increase in mole functionalization to 90% resulted in an increase of the EC(50)
(59 +/- 5 nM). An increase in molecular weight of the polymer at 50 mol % BzB
functionalization showed a gradual but significant increase in antiviral
activity, with the highest activity seen with the 382 kDa polymer (EC(50) of 1.1
+/- 0.5 nM in CEM cells and 11 +/- 3 nM in TZM-bl cells). Supplementing the
polymer backbone with 10 mol % sulfonic acid not only increased the aqueous
solubility of the polymers by at least 50-fold but also demonstrated a
synergistic increase in anti-HIV activity (4.0 +/- 1.5 nM in TZM-bl cells),
possibly due to electrostatic interactions between the negatively charged polymer
backbone and the positively charged V3-loop in the gp120. The benzoboroxole
sulfonic acid copolymers showed no decrease in activity in the presence of a
seminal concentration of fructose (p > 0.05). Additionally, the copolymers
exhibit minimal, if any, effect on the cellular viability, barrier properties, or
cytokine levels in human reconstructed ectocervical tissue after 3 days of
repeated exposure and did not show pronounced activity against a variety of other
RNA and DNA viruses.
PMID- 21879737
TI - Experimental assessment of the vibration-reorientation contribution to liquid
crystal NMR dipolar couplings: the case of tetramethylallene dissolved in a
nematic mesophase.
AB - In the present paper, the peculiar orientational behavior, studied by liquid
crystal NMR (LXNMR) spectroscopy, of the D(2d) symmetry quasi-spherical molecule
of tetramethylallene (TMA) dissolved in the nematic solvent I52 is exploited to
attempt a quantitative experimental assessment of the correlation between
molecular vibrations and overall rotations in weakly oriented molecules. The
analysis of the very small D(HH) and (1)D((13)C-H) dipolar couplings, available
from the natural abundance LXNMR spectra of TMA at different temperatures, allows
for a derivation leading (by making a few approximations) to the quantification
of the vibration-reorientation (also called nonrigid) contribution affecting the
observed direct (1)D((13)C-H) dipolar coupling. The obtained results show that,
under the particular conditions of the studied system (very weak orientational
ordering of a highly symmetric molecule), this contribution is particularly
important, in order to reproduce the whole value of the "observed" dipolar
coupling. This issue is discussed and commented on at length in the work, also,
by making reference to the analogy with perfectly symmetric molecules (such as
methane and analogues) dissolved in liquid crystalline phases.
PMID- 21879736
TI - Human pluripotent stem cell-based approaches for myocardial repair: from the
electrophysiological perspective.
AB - Heart diseases are a leading cause of mortality worldwide. Terminally
differentiated adult cardiomyocytes (CMs) lack the innate ability to regenerate.
Their malfunction or significant loss can lead to conditions from cardiac
arrhythmias to heart failure. For myocardial repair, cell- and gene-based
therapies offer promising alternatives to donor organ transplantation. Human
embryonic stem cells (hESCs) can self-renew while maintaining their pluripotency.
Direct reprogramming of adult somatic cells to become pluripotent hES-like cells
(also known as induced pluripotent stem cells or iPSCs) has been achieved. Both
hESCs and iPSCs have been successfully differentiated into genuine human CMs. In
this review, we describe our current knowledge of the structure-function
properties of hESC/iPSC-CMs, with an emphasis on their electrophysiology and
Ca(2+) handling, along with the hurdles faced and potential solutions for
translating into clinical and other applications (e.g., disease modeling,
cardiotoxicity and drug screening).
PMID- 21879739
TI - Highly efficient continuous flow reactions using singlet oxygen as a "green"
reagent.
AB - Described is a new method for the efficient in situ production of singlet oxygen
in a simple continuous flow photochemical reactor. The extremely large
interfacial area generated by running the biphasic mixture in a narrow channel at
a high flow rate ensures high throughput as well as fast and efficient oxidation
of various alkenes, 1,3-dienes, and thioethers on a preparative scale.
PMID- 21879738
TI - Identification of CrkL-SH3 binding proteins from embryonic murine brain:
implications for Reelin signaling during brain development.
AB - The Crk and Crk-like (CrkL) adaptor proteins play important roles in numerous
signaling pathways, bridging tyrosine kinase substrates to downstream signaling
effectors by virtue of their phosphotyrosine-binding SH2 domains and their
effector-binding SH3 domains. Critical to understanding the diverse roles of
Crk/CrkL is the identification of tissue- and signal-specific tyrosine
phosphorylated substrates to which they are recruited and the tissue-specific
effector proteins they chaperone into signaling complexes. Crk and CrkL are known
biochemically and genetically to be essential mediators of Reelin/Disabled-1
(Dab1) signaling, which governs proper mammalian brain development. Multimeric
Reelin clusters its receptors as well as the receptor-bound intracellular
scaffolding protein Dab1. Clustering induces Fyn/Src-dependent Dab1 tyrosine
phosphorylation, which recruits Crk/CrkL and SH3-bound effectors. Previously, 21
Crk/CrkL-SH3 binding proteins were identified from diverse cell types. We present
here the proteomic identification of 101 CrkL-SH3 binding proteins from embryonic
murine brain. The identified proteins are enriched in the Crk/CrkL-SH3 binding
motif and signaling activities regulating cell adhesion and motility. These
results suggest Reelin-induced Dab1 tyrosine phosphorylation may generate a
multifaceted signaling scaffold containing a rich array of Crk/CrkL-SH3 binding
effectors and may explain a growing diversity of cellular activities suggested to
be influenced by Reelin/Dab1 signaling.
PMID- 21879740
TI - Experimental and theoretical studies on the radical-cation-mediated imino-Diels
Alder reaction.
AB - The feasibility of an electron transfer imino-Diels-Alder reaction between N
benzylideneaniline and arylalkenes in the presence of a pyrylium salt as a
photosensitizer has been demonstrated by a combination of product studies, laser
flash photolysis (LFP), and DFT theoretical calculations. A stepwise mechanism
involving two intermediates and two transition states is proposed.
PMID- 21879741
TI - Microbially mediated mineral carbonation: roles of phototrophy and heterotrophy.
AB - Ultramafic mine tailings from the Diavik Diamond Mine, Canada and the Mount Keith
Nickel Mine, Western Australia are valuable feedstocks for sequestering CO2 via
mineral carbonation. In microcosm experiments, tailings were leached using
various dilute acids to produce subsaline solutions at circumneutral pH that were
inoculated with a phototrophic consortium that is able to induce carbonate
precipitation. Geochemical modeling of the experimental solutions indicates that
up to 2.5% and 16.7% of the annual emissions for Diavik and Mount Keith mines,
respectively, could be sequestered as carbonate minerals and phototrophic
biomass. CO2 sequestration rates are mainly limited by cation availability and
the uptake of CO2. Abundant carbonate mineral precipitation occurred when
heterotrophic oxidation of acetate acted as an alternative pathway for CO2
delivery. These experiments highlight the importance of heterotrophy in producing
sufficient DIC concentrations while phototrophy causes alkalinization of waters
and produces biomass (fatty acids = 7.6 wt.%), a potential feedstock for biofuel
production. Tailings storage facilities could be redesigned to promote CO2
sequestration by directing leachate waters from tailings piles into specially
designed ponds where carbonate precipitation would be mediated by both chemical
and biological processes, thereby storing carbon in stable carbonate minerals and
potentially valuable biomass.
PMID- 21879742
TI - Cryoflotation: densities of amorphous and crystalline ices.
AB - We present an experimental method aimed at measuring mass densities of solids at
ambient pressure. The principle of the method is flotation in a mixture of liquid
nitrogen and liquid argon, where the mixing ratio is varied until the solid
hovers in the liquid mixture. The temperature of such mixtures is in the range of
77-87 K, and therefore, the main advantage of the method is the possibility of
determining densities of solid samples, which are instable above 90 K. The
accessible density range (~0.81-1.40 g cm(-3)) is perfectly suitable for the
study of crystalline ice polymorphs and amorphous ices. As a benchmark, we here
determine densities of crystalline polymorphs (ices I(h), I(c), II, IV, V, VI,
IX, and XII) by flotation and compare them with crystallographic densities. The
reproducibility of the method is about +/-0.005 g cm(-3), and in general, the
agreement with crystallographic densities is very good. Furthermore, we show
measurements on a range of amorphous ice samples and correlate the density with
the d spacing of the first broad halo peak in diffraction experiments. Finally,
we discuss the influence of microstructure, in particular voids, on the density
for the case of hyperquenched glassy water and cubic ice samples prepared by
deposition of micrometer-sized liquid droplets.
PMID- 21879743
TI - Artificial sweetener sucralose in U.S. drinking water systems.
AB - The artificial sweetener sucralose has recently been shown to be a widespread of
contaminant of wastewater, surface water, and groundwater. In order to understand
its occurrence in drinking water systems, water samples from 19 United States
(U.S.) drinking water treatment plants (DWTPs) serving more than 28 million
people were analyzed for sucralose using liquid chromatography tandem mass
spectrometry (LC-MS/MS). Sucralose was found to be present in source water of 15
out of 19 DWTPs (47-2900 ng/L), finished water of 13 out of 17 DWTPs (49-2400
ng/L) and distribution system water of 8 out of the 12 DWTPs (48-2400 ng/L)
tested. Sucralose was only found to be present in source waters with known
wastewater influence and/or recreational usage, and displayed low removal (12%
average) in the DWTPs where finished water was sampled. Further, in the subset of
DWTPs with distribution system water sampled, the compound was found to persist
regardless of the presence of residual chlorine or chloramines. In order to
understand intra-DWTP consistency, sucralose was monitored at one drinking water
treatment plant over an 11 month period from March 2010 through January 2011, and
averaged 440 ng/L in the source water and 350 ng/L in the finished water. The
results of this study confirm that sucralose will function well as an indicator
compound for anthropogenic influence on source, finished drinking and
distribution system (i.e., tap) water, as well as an indicator compound for the
presence of other recalcitrant compounds in finished drinking water in the U.S.
PMID- 21879744
TI - High-field dipolar electron paramagnetic resonance (EPR) spectroscopy of
nitroxide biradicals for determining three-dimensional structures of
biomacromolecules in disordered solids.
AB - We consider the state-of-the-art capabilities and future perspectives of electron
spin triangulation by high-field/high-frequency dipolar electron paramagnetic
resonance (EPR) techniques designed for determining the three-dimensional
structure of large supra-molecular complexes dissolved in disordered solids.
These techniques combine double site-directed spin labeling (SDSL) with
orientation-resolving pulsed electron-electron double resonance (PELDOR)
spectroscopy. In particular, we appraise the prospects of angular triangulation,
which extends the more familiar distance triangulation. As a model case for spin
labeled proteins, the three-dimensional structures of two nitroxide biradicals
with rather stiff bridging blocks and deuterated nitroxide headgroups have been
derived. To this end we applied 95 GHz high-field electron dipolar EPR
spectroscopy with the microwave pulse-sequence configurations for PELDOR and
relaxation-induced dipolar modulation enhancement (RIDME). Various specific
spectroscopic strategies are discussed to overcome the problems of overlapping
spectra of the chemically identical nitroxide labels when attached to
macromolecular systems. We conclude that due to the high detection sensitivity
and spectral resolution the combination of SDSL with high-field RIDME/PELDOR
stands out as an extremely powerful tool for 3D structure determination of large
disordered systems. The approach compares favorably with other structure
determining magnetic-resonance methods. This holds true both for stable and
transient radical-pair states. Angular constraints are provided in addition to
distance constraints obtained for the same sample. Thereby, the number of
necessary distance constraints is strongly reduced. Since each measurement of a
distance constraint requires an additional doubly spin-labeled sample, the
reduction of necessary distance constraints is another appealing aspect of
orientation-resolving EPR spin triangulation for protein structure determination.
PMID- 21879745
TI - Survey of polyphenol constituents in grapes and grape-derived products.
AB - A rapid and comprehensive qualitative method has been developed to characterize
the different classes of polyphenols, such as anthocyanins, flavonols, phenolic
acids, and flavanols/proanthocyanidins, in grape products. The detection was
achieved by two runs with the same LC gradient in different MS ionization modes
and mobile phase modifiers (positive ionization mode and 0.4% trifluoroacetic
acid for anthocyanins and flavonols; negative ionization mode and 0.1% formic
acid for phenolic acids and flavanols). From an analysis of the MS and UV data
and in comparison with the authenticated standards, a total of 53 compounds were
identified, including 33 anthocyanins, 12 flavonols, 4 phenolic acids, and 4
flavanols/proanthocyanidins. With the method developed, a survey was then
conducted to qualitatively assess the composition of polyphenols among 29
different grape products including original grape, grape juice, grape wine, and
grape-derived dietary supplements, and their chemical profiles were
systematically compared. This method provided a comprehensive qualitative insight
into the composition of polyphenols in grape-derived products.
PMID- 21879746
TI - Synthesis of the 6-O-methyl-D-glycero-alpha-L-gluco-heptopyranose moiety present
in the capsular polysaccharide from Campylobacter jejuni NCTC 11168.
AB - The first synthesis of the 6-O-methyl-D-glycero-alpha-L-gluco-heptopyranose
moiety present in the capsular polysaccharide from Campylobacter jejuni NCTC
11168 is reported. The target (1) was synthesized as the 8-aminooctyl glycoside
and then conjugated to bovine serum albumin (BSA) for the generation of
antibodies recognizing this motif. Heptose 1 was obtained from D-galactose via a
series of galactofuranose derivatives.
PMID- 21879747
TI - Graphene growth using a solid carbon feedstock and hydrogen.
AB - Graphene has been grown on Cu at elevated temperatures with different carbon
sources (gaseous hydrocarbons and solids such as polymers); however the detailed
chemistry occurring at the Cu surface is not yet known. Here, we explored the
possibility of obtaining graphene using amorphous-carbon thin films, without and
with hydrogen gas added. Graphene is formed only in the presence of H(2)(g),
which strongly suggests that gaseous hydrocarbons and/or their intermediates are
what yield graphene on Cu through the reaction of H(2)(g) and the amorphous
carbon. The large area, uniform monolayer graphene obtained had electron and hole
mobilities of 2520 and 2050 cm(2) V(-1) s(-1), respectively.
PMID- 21879749
TI - Femtosecond nanofocusing with full optical waveform control.
AB - The simultaneous nanometer spatial confinement and femtosecond temporal control
of an optical excitation has been a long-standing challenge in optics. Previous
approaches using surface plasmon polariton (SPP) resonant nanostructures or SPP
waveguides have suffered from, for example, mode mismatch, or possible dependence
on the phase of the driving laser field to achieve spatial localization. Here we
take advantage of the intrinsic phase- and amplitude-independent nanofocusing
ability of a conical noble metal tip with weak wavelength dependence over a broad
bandwidth to achieve a 10 nm spatially and few-femtosecond temporally confined
excitation. In combination with spectral pulse shaping and feedback on the second
harmonic response of the tip apex, we demonstrate deterministic arbitrary optical
waveform control. In addition, the high efficiency of the nanofocusing tip
provided by the continuous micro- to nanoscale mode transformation opens the door
for spectroscopy of elementary optical excitations in matter on their natural
length and time scales and enables applications from ultrafast nano-opto
electronics to single molecule quantum coherent control.
PMID- 21879748
TI - [Ru(bpy)2(5-cyanouracil)2]2+ as a potential light-activated dual-action
therapeutic agent.
AB - The cation cis-[Ru(bpy)(2)(5CNU)(2)](2+) (bpy = 2,2'-bipyridine; 5CNU = 5
cyanouracil) was synthesized and investigated for use as a potential light
activated dual-action therapeutic agent. The complex undergoes efficient
photoinduced 5CNU ligand exchange for solvent water molecules, thus
simultaneously releasing biologically active 5CNU and generating
[Ru(bpy)(2)(H(2)O)(2)](2+). The latter binds covalently to ds-DNA, such that
photolysis results in the generation of 3 equiv of potential therapeutic agents
from a single molecule.
PMID- 21879750
TI - A 3500-year record of Hg and Pb contamination in a mediterranean sedimentary
archive (the Pierre Blanche Lagoon, France).
AB - A sediment core encompassing 3500 years of continuous sedimentation has been
collected from a coastal lagoon located on the southwestern French Mediterranean
coast. Lead concentrations and stable isotopes show that the sediments have
recorded the three major periods of Pb pollution: the Etruscan-Greek-Roman period
(650 BC to AD 50), the medieval period (AD 650 to AD 1450), and the modern period
(from around AD 1850 to the present). These periods were separated by low
pollution periods during the Dark Ages (between AD 50 and 650) and during the
16th century. From the end of the 19th century to the 1960s, Pb pollution
increased exponentially. Coal combustion was the major source of Pb in the lagoon
in the second half of the 20th century. Both the decrease in coal consumption and
the ban on leaded gasoline resulted in a decrease in Pb pollution by a factor of
1.5 between 1973 and 1995. From 1991, sewage treatment plants and incinerators
could be the major source of Pb. The average baseline Hg concentration from 1525
BC to AD 900 was 0.017 +/- 0.003 MUg g-1 (n = 54). The Hg concentrations profile
shows three major peaks: in AD 1150, AD 1660, and AD 1969, with the
concentrations being respectively 8, 5, and 34 times higher than the baseline
levels. The medieval peak (AD 1150) is attributed the medical use of Hg in the
town of Montpellier and/or the burning of soil and vegetation. Noticeable Hg
pollution was also detected during the 17th century in relation to gold and
silver amalgamation in Europe. From the end of the 19th century, Hg
concentrations increased exponentially until 1969. This modern pollution is
attributed to the burning of coal.
PMID- 21879751
TI - Novel synthesis of steryl esters from phytosterols and amino Acid.
AB - The feasibility of esterification of phytosterol with the amino acid l-glutamic
acid was established. The influence of various organic solvents was investigated,
and n-butanol was selected as an ideal solvent for phytosteryl esters synthesis
with l-glutamic acid. The reaction conditions were further optimized by
orthogonal experiments, and a 92.3% degree of esterification was obtained when
optimum conditions were used. FT-IR spectral, GC-MS, and NMR analyses were
adopted to determine the steryl esters of l-glutamic acid. The FT-IR spectrum
indicated the presence of ester bonds in the phytosteryl esters with l-glutamic
acid, and on the basis of the detailed mass spectrography analysis, GC-MS and NMR
offered an efficient and reliable way to confirm the steryl esters. This novel
synthesis approach of phytosteryl esters with amino acid supplied a promising
alternative to the substrate on esterification of phytosterols and thus can be
readily applied to further studies of functional food ingredients of phytosteryl
esters.
PMID- 21879753
TI - Gate-activated photoresponse in a graphene p-n junction.
AB - We study photodetection in graphene near a local electrostatic gate, which
enables active control of the potential landscape and carrier polarity. We find
that a strong photoresponse only appears when and where a p-n junction is formed,
allowing on-off control of photodetection. Photocurrents generated near p-n
junctions do not require biasing and can be realized using submicrometer gates.
Locally modulated photoresponse enables a new range of applications for graphene
based photodetectors including, for example, pixilated infrared imaging with
control of response on subwavelength dimensions.
PMID- 21879754
TI - Photochemical approach toward deposition of gold nanoparticles on functionalized
carbon nanotubes.
AB - The development of new methods for the facile synthesis of hybrid nanomaterials
is of great importance due to their importance in nanotechnology. In this work,
we report a new method to deposit Au nanoparticles on the surface of single
walled carbon nanotubes (SWCNTs). Our approach consists of a one pot synthesis in
which Au nanoparticles are generated in the presence of a photoreducing agent
(Irgacure-2959) and carboxyl or polymer-functionalized SWCNTs (f-SWCNTs). We have
observed that when carbon nanotubes are functionalized with polymers containing
pendant amino groups, the latter can act as specific nucleation sites for well
dispersed deposition of Au nanoparticles. The surface coverage of the Au
nanoparticles can be observed by transmission electron spectroscopy. These
observations are compared to that of carboxyl functionalized SWCNTs, in which
less surface coverage was observed. The f-SWCNT/Au nanocomposites were also
characterized by UV-vis, infrared, and Raman spectroscopy and thermogravimetric
analysis (TGA). This facile and effective route can be implemented to deposit
gold nanoparticles on other surface-functionalized carbon nanotubes.
PMID- 21879756
TI - Uptake of Np(IV) by C-S-H phases and cement paste: an EXAFS study.
AB - Nuclear waste disposal concepts developed worldwide foresee the use of
cementitious materials for the immobilization of long-lived intermediate level
waste (ILW). This waste form may contain significant amounts of neptunium-237,
which is expected to be present as Np(IV) under the reducing conditions
encountered after the closure of the repository. Predicting the release of Np(IV)
from the cementitious near field of an ILW repository requires a sufficiently
detailed understanding of its interaction with the main sorbing components of
hardened cement paste (HCP). In this study, the uptake of Np(IV) by calcium
silicate hydrates (C-S-H) and HCP has been investigated using extended X-ray
absorption fine structure (EXAFS) spectroscopy. The EXAFS studies on Np(IV)-doped
C-S-H and HCP samples reveal that Np(IV) is predominantly incorporated in the
structure of C-S-H phases having different Ca:Si ratios. The two main species
identified correspond to Np(IV) in C-S-H with a Ca:Si mol ratio of 1.65 as in
fresh cement and with a Ca:Si mol ratio of 0.75 as in highly degraded cement. The
local structure of Np(IV) changes with the Ca:Si mol ratio and does not depend on
pH. Furthermore, Np(IV) shows the same coordination environment in C-S-H and HCP
samples. This study shows that C-S-H phases are responsible for the Np(IV) uptake
by cementitious materials and further that incorporation in the interlayer of the
C-S-H structure is the dominant uptake mechanism.
PMID- 21879758
TI - Facile charge-displacement at silicon gives spaced-out reaction.
AB - Adsorbates on metals, but not previously on semiconductors, have been observed to
display long-range repulsive interactions. On metals, due to efficient
dissipation, the repulsions are weak, typically on the order of 5 meV at 10 A. On
the 7*7 reconstruction of the Si(111) surface, charge transport through the
surface has been demonstrated by others using charge injection by STM tips. Here
we show that for both physisorbed brominated molecules, and for chemisorbed Br
atoms, induced charge-transfer in the Si(111)-7*7 surface can lead to a strong
repulsive interaction between adsorbates, calculated as 200 meV at 13.4 A. This
large repulsive interaction must be channeled through the surface since it causes
widely spaced "one-per-corner-hole" patterns of physisorption (three cases-
directly observed here) and subsequent chemisorption (four cases observed). The
patterns were observed by ultrahigh vacuum scanning tunneling microscopy for four
different brominated hydrocarbon adsorbates; 1,2-dibromoethane, 1-bromopropane, 1
bromopentane, and bromobenzene, deposited individually on the surface. In every
case, adsorbates were overwhelmingly more likely to be found singly than multiply
adjacent to a corner-hole, constituting a distinctive pattern having a
probability p = 7 * 10(-5) compared to a random distribution.
PMID- 21879759
TI - Excitation and tuning of higher-order Fano resonances in plasmonic oligomer
clusters.
AB - Plasmonic oligomer clusters are assemblies of closely packed metallic
nanoparticles. They provide a rich set of spectral features such as Fano
lineshapes and a simultaneous tunability of the supported resonances in the
optical wavelength regime. In this study, we investigate numerically and
experimentally clusters of plasmonic nanoparticles that exhibit multiple Fano
resonances due to the interference of one broad superradiant mode and multiple
narrow subradiant modes. In particular we investigate oligomers with multiple
ring modes and elongated chains of nanoparticles surrounded by one ring of
nanoparticles. We show that the number of nanoparticles and their respective
arrangement in the cluster strongly influence the spectral position and
modulation depth of the spectral signature of the supported modes. Our study
opens up the pathway to "plasmonic super molecules" that show unprecedented
tunability, which renders them highly suitable for applications such as
multiwavelength surface-enhanced Raman scattering.
PMID- 21879757
TI - Synthesis, biological, and antitumor activity of a highly potent 6-substituted
pyrrolo[2,3-d]pyrimidine thienoyl antifolate inhibitor with proton-coupled folate
transporter and folate receptor selectivity over the reduced folate carrier that
inhibits beta-glycinamide ribonucleotide formyltransferase.
AB - 2-Amino-4-oxo-6-substituted pyrrolo[2,3-d]pyrimidine antifolates with a thienoyl
side chain (compounds 1-3, respectively) were synthesized for comparison with
compound 4, the previous lead compound of this series. Conversion of hydroxyl
acetylen-thiophene carboxylic esters to thiophenyl-alpha-bromomethylketones and
condensation with 2,4-diamino-6-hydroxypyrimidine afforded the 6-substituted
pyrrolo[2,3-d]pyrimidine compounds of type 18 and 19. Coupling with l-glutamate
diethyl ester, followed by saponification, afforded 1-3. Compound 3 selectively
inhibited the proliferation of cells expressing folate receptors (FRs) alpha or
beta, or the proton-coupled folate transporter (PCFT), including KB and IGROV1
human tumor cells, much more potently than 4. Compound 3 was more inhibitory than
4 toward beta-glycinamide ribonucleotide formyltransferase (GARFTase). Both 3 and
4 depleted cellular ATP pools. In SCID mice with IGROV1 tumors, 3 was more
efficacious than 4. Collectively, our results show potent antitumor activity for
3 in vitro and in vivo, associated with its selective membrane transport by FRs
and PCFT over RFC and inhibition of GARFTase, clearly establishing the 3-atom
bridge as superior to the 1-, 2-, and 4-atom bridge lengths for the activity of
this series.
PMID- 21879760
TI - Comprehensive profiling and quantitation of amine group containing metabolites.
AB - Primary and secondary amines, including amino acids, biogenic amines, hormones,
neurotransmitters, and plant siderophores, are readily derivatized with 6
aminoquinolyl-N-hydroxysuccinimidyl carbamate using easily performed experimental
methodology. Complex mixtures of these amine derivatives can be fractionated and
quantified using liquid chromatography-electrospray ionization-mass spectrometry
(LC-ESI-MS). Upon collision induced dissociation (CID) in a quadrupole collision
cell, all derivatized compounds lose the aminoquinoline tag. With the use of
untargeted fragmentation scan functions, such as precursor ion scanning, the loss
of the aminoquinoline tag (Amq) can be monitored to identify derivatized species;
and the use of targeted fragmentation scans, such as multiple reaction
monitoring, can be exploited to quantitate amine-containing molecules. Further,
with the use of accurate mass, charge state, and retention time, identification
of unknown amines is facilitated. The stability of derivatized amines was found
to be variable with oxidatively labile derivatives rapidly degrading. With the
inclusion of antioxidant and reducing agents, tris(2-carboxyethyl)-phosphine
(TCEP) and ascorbic acid, into both extraction solvents and reaction buffers,
degradation was significantly decreased, allowing reproducible identification and
quantification of amine compounds in large sample sets.
PMID- 21879761
TI - Threshold-avoiding proteomics pipeline.
AB - We present a new proteomics analysis pipeline focused on maximizing the dynamic
range of detected molecules in liquid chromatography-mass spectrometry (LC-MS)
data and accurately quantifying low-abundance peaks to identify those with
biological relevance. Although there has been much work to improve the quality of
data derived from LC-MS instruments, the goal of this study was to extend the
dynamic range of analyzed compounds by making full use of the information
available within each data set and across multiple related chromatograms in an
experiment. Our aim was to distinguish low-abundance signal peaks from noise by
noting their coherent behavior across multiple data sets, and central to this is
the need to delay the culling of noise peaks until the final peak-matching stage
of the pipeline, when peaks from a single sample appear in the context of all
others. The application of thresholds that might discard signal peaks early is
thereby avoided, hence the name TAPP: threshold-avoiding proteomics pipeline.
TAPP focuses on quantitative low-level processing of raw LC-MS data and includes
novel preprocessing, peak detection, time alignment, and cluster-based matching.
We demonstrate the performance of TAPP on biologically relevant sample data
consisting of porcine cerebrospinal fluid spiked over a wide range of
concentrations with horse heart cytochrome c.
PMID- 21879762
TI - Odd-even effect of repeating aminoethylene units in the side chain of N
substituted polyaspartamides on gene transfection profiles.
AB - A series of the N-substituted polyaspartamides possessing repeating aminoethylene
units in the side chain was prepared in this study to identify polyplexes with
effective endosomal escape and low cytotoxicity. All cationic N-substituted
polyaspartamides showed appreciably lower cytotoxicity than that of commercial
transfection reagents. Interestingly, a distinctive odd-even effect of the
repeating aminoethylene units in the polymer side chain on the efficiencies of
endosomal escape and transfection to several cell lines was observed. The
polyplexes from the polymers with an even number of repeating aminoethylene units
(PA-Es) achieved an order of magnitude higher transfection efficiency, without
marked cytotoxicity, than those of the polymers with an odd number of repeating
aminoethylene units (PA-Os). This odd-even effect agreed well with the buffering
capacity of these polymers as well as their capability to disrupt membrane
integrity selectively at endosomal pH, leading to highly effective endosomal
escape of the PA-E polyplexes. Furthermore, the formation of a polyvalent charged
array with precise spacing between protonated amino groups in the polymer side
chain was shown to be essential for effective disruption of the endosomal
membrane, thus facilitating transport of the polyplex into the cytoplasm. These
data provide useful knowledge for designing polycations to construct safe and
efficient nonviral gene carriers.
PMID- 21879763
TI - Adsorption, desorption, and removal of polymeric nanomedicine on and from
cellulose surfaces: effect of size.
AB - The increased production and commercial use of nanoparticulate drug delivery
systems combined with a lack of regulation to govern their disposal may result in
their introduction to soils and ultimately into groundwater systems. To better
understand how such particles interact with environmentally significant
interfaces, we study the adsorption, desorption, and removal behavior of
poly(ethylene glycol)-based nanoparticulate drug delivery systems on and from
cellulose, which is the most common organic compound on Earth. It is shown that
such an adsorption process is only partially reversible, and most of the
adsorbate particles do not desorb from the cellulose surface even upon rinsing
with a large amount of water. The rate constant of adsorption decreases with
increasing particle size. Furthermore, hydrodynamic forces acting parallel to the
surfaces are found to be of great importance in the context of particle dynamics
near the cellulose surface, and ultimately responsible for the removal of some
fraction of particles via rolling or sliding. As the particle size increases, the
removal rates of the particles increase for a given hydrodynamical condition.
PMID- 21879764
TI - Peptide mixtures can self-assemble into large amyloid fibers of varying size and
morphology.
AB - Peptide mixtures spontaneously formed micrometer-sized fibers and ribbons from
aqueous solution. Hydrolyzed gliadin produced short, slightly elliptical fibers
while hydrolyzed wheat gluten, a mixture of gliadin and glutenin, formed round
fibers of similar size. Mixing hydrolyzed gliadin with increasing molar amounts
of myoglobin or amylase resulted in longer, wider fibers that transitioned from
round to rectangular cross section. Fiber size, morphology, and modulus were
controlled by peptide mixture composition. Fourier transform infrared (FT-IR)
spectroscopy results showed that peptides experienced alpha to beta transitions
forming an elementary cross-beta peptide secondary structure, indicative of
amyloids. Large fiber formation was observed to be dependent on hydrophobic
packing between constituent peptides. A model was developed to show how the fiber
morphology was influenced by the peptides in the mixture.
PMID- 21879765
TI - Cytokinin-dependent improvement in transgenic P(SARK)::IPT tobacco under nitrogen
deficiency.
AB - Wild-type (WT) and transgenic tobacco plants overexpressing
isopentenyltransferase (IPT), a gene coding the rate-limiting step in cytokinin
(CKs) synthesis, were grown under limited nitrogen (N) conditions to evaluate the
role of CKs in NUE (N-use efficiency) and in different parameters that determine
the quality of tobacco leaves. The results indicate that WT tobacco plants
submitted to N deficiency show a decline in the leaf/root ratio, associated with
a decrease in the NUE and in tobacco-leaf quality, defined by an increase in the
quantity of nicotine. On the contrary, the transgenic plants submitted to N
deficiency maintained the leaf/root ratio, presenting a higher NUE and greater
quality of tobacco leaves than the WT plants, as the latter showed reduced
nicotine and an increase in reducing sugars under severe N-deficiency conditions.
Therefore, the overexpression of CKs under N deficiency could be a useful tool to
improve tobacco cultivation, given that it could reduce N-fertilizer application
and thereby provide economic savings and environmental benefits, maintaining
yield and improving tobacco leaf quality.
PMID- 21879766
TI - Comparison of odor-active compounds in grapes and wines from vitis vinifera and
non-foxy American grape species.
AB - Native American grape (Vitis) species have many desirable properties for
winegrape breeding, but hybrids of these non-vinifera wild grapes with Vitis
vinifera often have undesirable aromas. Other than the foxy-smelling compounds
in Vitis labrusca and Vitis rotundifolia , the aromas inherent to American
Vitis species are not well characterized. In this paper, the key odorants in wine
produced from the American grape species Vitis riparia and Vitis cinerea were
characterized in comparison to wine produced from European winegrapes (V.
vinifera). Volatile compounds were extracted by solid-phase microextraction
(SPME) and identified by gas chromatography-olfactometry/mass spectrometry (GC
O/MS). On the basis of flavor dilution values, most grape-derived compounds with
fruity and floral aromas were at similar potency, but non-vinifera wines had
higher concentrations of odorants with vegetative and earthy aromas: eugenol, cis
3-hexenol, 1,8-cineole, 3-isobutyl-2-methoxypyrazine (IBMP), and 3-isopropyl-2
methoxypyrazine (IPMP). Elevated concentrations of these compounds in non
vinifera wines were confirmed by quantitative GC-MS. Concentrations of IBMP and
IPMP were well above sensory threshold in both non-vinifera wines. In a follow-up
study, IBMP and IPMP were surveyed in 31 accessions of V. riparia, V. rupestris,
and V. cinerea. Some accessions had concentrations of >350 pg/g IBMP or >30 pg/g
IPMP, well above concentrations reported in previous studies of harvest-ripe
vinifera grapes. Methyl anthranilate and 2-aminoacetophenone, key odorants
responsible for the foxiness of V. labrusca grapes, were undetectable in both the
V. riparia and V. cinerea wines (<10 MUg/L).
PMID- 21879767
TI - Formation pathway of CuInSe2 nanocrystals for solar cells.
AB - Copper, indium, and gallium chalcogenide nanocrystals (binary, ternary, and
quaternary) have been used to fabricate high-efficiency thin-film solar cells.
These solution-based methods are being scaled-up and may serve as the basis for
the next generation of low-cost solar cells. However, the formation pathway to
reach stoichiometric ternary CuInSe(2) or any chalcopyrite phase ternary or
quaternary nanocrystal in the system has not been investigated but may be of
significant importance to improving nanocrystal growth and discovering new
methods of synthesis. Here, we present the results of X-ray diffraction, electron
microscopy, compositional analysis, IR absorption, and mass spectrometry that
reveal insights into the formation pathway of CuInSe(2) nanocrystals. Starting
with CuCl, InCl(3), and elemental Se all dissolved in oleylamine, the overall
reaction that yields CuInSe(2) involves the chlorination of the hydrocarbon
groups of the solvent. Further, we show that the amine and alkene functional
groups in oleylamine are not necessary for the formation of CuInSe(2)
nanocrystals by conducting successful syntheses in 1-octadecene and octadecane.
Hence, the role of oleylamine is not limited to nanocrystal size and morphology
control; it also acts as a reactant in the formation pathway. Typically, the
formation of copper selenide (CuSe) and indium selenide (InSe) nanocrystals
precedes the formation of CuInSe(2) nanocrystals in oleylamine. But it was also
found that Cu(2-x)Se (0 < x < 0.5) and In(2)Se(3) were the primary intermediates
involved in the formation of CISe in a purely non-coordinating solvent such as 1
octadecene, which points to the surface-stabilization effect of the coordinating
solvent on the less thermodynamically stable indium selenide (InSe) nanocrystals.
We also show that the yield of the chalcopyrite phase of CuInSe(2) (as opposed to
the sphalerite phase) can be increased by reacting CuSe nanocrystals with
InCl(3).
PMID- 21879768
TI - Serum levels of pregnancy zone protein are elevated in presymptomatic Alzheimer's
disease.
AB - We have sought for disease-related proteins that could predict the onset of
Alzheimer's disease (AD) in a study population derived from the Rotterdam Scan
Study, a population-based prospective cohort study designed to investigate the
etiology and natural history of age-related brain changes in the elderly. The
serum proteome of 43 persons who developed AD, after an average of 4.2 years (+/
2.6 years SD) after blood sampling, and 43 gender- and age-matched controls who
remained dementia-free during follow-up was investigated by liquid chromatography
mass spectrometry. We identified 61 differentially expressed peptides between
presymptomatic AD and controls, 9 of which were derived from pregnancy zone
protein (PZP). Quantitative measurements using a multiple reaction monitoring
assay showed a significant increase in concentration of PZP in presymptomatic AD
(34.3 +/- 20.6 mg/L) compared with controls (23.6 +/- 13.6 mg/L) (p = 0.006). The
difference in PZP was significant in women. Immunohistochemical validation of the
findings on brain tissue sections showed strong PZP expression in senile plaques
and in microglial and glial cells in AD with only low expression in some
scattered glial cells in controls.
PMID- 21879769
TI - Characterization of lignin structures and lignin-carbohydrate complex (LCC)
linkages by quantitative 13C and 2D HSQC NMR spectroscopy.
AB - To characterize the lignin structures and lignin-carbohydrate complex (LCC)
linkages, milled wood lignin (MWL) and mild acidolysis lignin (MAL) with a high
content of associated carbohydrates were sequentially isolated from ball-milled
poplar wood. Quantification of their structural features has been achieved by
using a combination of quantitative (13)C and 2D HSQC NMR techniques. The results
showed that acetylated 4-O-methylgluconoxylan is the main carbohydrate associated
with lignins, and acetyl groups frequently acylate the C2 and C3 positions. MWL
and MAL exhibited similar structural features. The main substructures were beta-O
4' aryl ether, resinol, and phenylcoumaran, and their abundances per 100 Ar units
changed from 41.5 to 43.3, from 14.6 to 12.7, and from 3.7 to 4.0, respectively.
The S/G ratios were estimated to be 1.57 and 1.62 for MWL and MAL, respectively.
Phenyl glycoside and benzyl ether LCC linkages were clearly quantified, whereas
the amount of gamma-ester LCC linkages was ambiguous for quantification.
PMID- 21879770
TI - Atmospheric chemistry of (Z)-CF3CH?CHCF3: OH radical reaction rate coefficient
and global warming potential.
AB - Rate coefficients, k, for the gas-phase reaction of the OH radical with (Z)
CF(3)CH?CHCF(3) (cis-1,1,1,4,4,4-hexafluoro-2-butene) were measured under pseudo
first-order conditions in OH using pulsed laser photolysis (PLP) to produce OH
and laser-induced fluorescence (LIF) to detect it. Rate coefficients were
measured over a range of temperatures (212-374 K) and bath gas pressures (20-200
Torr; He, N(2)) and found to be independent of pressure over this range of
conditions. The rate coefficient has a non-Arrhenius behavior that is well
described by the expression k(1)(T) = (5.73 +/- 0.60) * 10(-19) * T(2) * exp[(678
+/- 10)/T] cm(3) molecule(-1) s(-1) where k(1)(296 K) was measured to be (4.91 +/
0.50) * 10(-13) cm(3) molecule(-1) s(-1) and the uncertainties are at the 2sigma
level and include estimated systematic errors. Rate coefficients for the
analogous OD radical reaction were determined over a range of temperatures (262
374 K) at 100 Torr (He) to be k(2)(T) = (4.81 +/- 0.20) * 10(-19) * T(2) *
exp[(776 +/- 15)/T], with k(2)(296 K) = (5.73 +/- 0.50) * 10(-13) cm(3) molecule(
1) s(-1). OH radical rate coefficients were also measured at 296, 345, and 375 K
using a relative rate technique and found to be in good agreement with the PLP
LIF results. A room-temperature rate coefficient for the O(3) + (Z)
CF(3)CH?CHCF(3) reaction was measured using an absolute method with O(3) in
excess to be <6 * 10(-21) cm(3) molecule(-1) s(-1). The atmospheric lifetime of
(Z)-CF(3)CH?CHCF(3) due to loss by OH reaction was estimated to be ~20 days.
Infrared absorption spectra of (Z)-CF(3)CH?CHCF(3) measured in this work were
used to determine a (Z)-CF(3)CH?CHCF(3) global warming potential (GWP) of ~9 for
the 100 year time horizon. A comparison of the OH reactivity of (Z)
CF(3)CH?CHCF(3) with other unsaturated fluorinated compounds is presented.
PMID- 21879771
TI - The "hockey sticks" effect revisited: the conformational and electronic
properties of 3,7-dithia-1,5-diazabicyclo[3.3.1]nonane from the QTAIM
perspective.
AB - The conformational effects in bicyclo[3.3.1]nonanes, while thoroughly studied,
have not yet received the full theoretical explanation. R. F. W. Bader's quantum
theory of atoms in molecules presents unique opportunities for studying the
stereoelectronic interactions (SEI) and weak intramolecular bonding leading to
these effects. Here, we report the study of 3,7-dithia-1,5
diazabicyclo[3.3.1]nonane by means of the topological analysis of the calculated
(MP2(full)/6-311++G**) and experimental (X-ray derived) charge density to reveal
the origins of the so-called "hockey sticks" effect observed in similar
compounds. A new explanation of the relative stability of bicyclo[3.3.1]nonane
conformers based on the analysis of the QTAIM atomic energies is given. The H...H
and S...S interactions in bicyclo[3.3.1]nonane and its dithia derivatives are
shown to be significant factors contributing to the differences in the relative
stability of the conformers.
PMID- 21879772
TI - Interaction of alcohols with 2-fluoro- and 4-fluorophenylacetylenes: infrared
optical double resonance spectroscopic and computational investigation.
AB - Alcohol complexes of 4-fluorophenylacetylene and 2-fluorophenylacetylene were
investigated using IR-UV double resonance spectroscopy. Methanol forms a cyclic
complex with both the fluorophenylacetylenes incorporating C-H...O and O-H...pi
hydrogen bonds, the structure of which is similar to that of the corresponding
water complex but different from that of a phenylacetylene-methanol complex. The
anti conformer of ethanol also binds in a similar fashion to both the
fluorophenylacetylenes. Additionally, the gauche conformer of ethanol binds to 2
fluorophenylacetylene in a distinctly different structural motif that
incorporates C-H...F and O-H...pi hydrogen bonds. The OH group of
trifluoroethanol interacts primarily with the pi electron density of the C=C
bond. The pi electron density of the C=C bond is the principal point of
interaction between the alcohols and both the fluorophenylacetylenes. The present
results are indicative of the fact that fluorine substitution on the phenyl ring
is sufficient to eliminate the subtle hydrogen bonding behavior of
phenylacetylene.
PMID- 21879773
TI - Surface-induced self-assembly of dipeptides onto nanotextured surfaces.
AB - There is an increasing interest for the utilization of biomolecules for
fabricating novel nanostructures due to their ability for specific molecular
recognition, biocompatibility, and ease of availability. Among these molecules,
diphenylalanine (Phe-Phe) dipeptide is considered as one of the simplest
molecules that can generate a family of self-assembly based nanostructures. The
properties of the substrate surface, on which the self-assembly process of these
peptides occurs, play a critical role. Herein, we demonstrated the influence of
surface texture and functionality on the self-assembly of Phe-Phe dipeptides
using smooth silicon surfaces, anodized aluminum oxide (AAO) membranes, and
poly(chloro-p-xylylene) (PPX) films having columnar and helical morphologies. We
found that helical PPX films, AAO, and silicon surfaces induce similar self
assembly processes and the surface hydrophobicity has a direct influence for the
final dipeptide structure whether being in an aggregated tubular form or creating
a thin film that covers the substrate surface. Moreover, the dye staining data
indicates that the surface charge properties and hence the mechanism of the self
assembly process are different for tubular structures as opposed to the peptidic
film. We believe that our results may contribute to the control of surface
induced self-assembly of peptide molecules and this control can potentially allow
the fabrication of novel peptide based materials with desired morphologies and
unique functionalities for different technological applications.
PMID- 21879774
TI - A three-component reaction forming naphthyridones--synthesis of lophocladine
analogs.
AB - A three-component reaction forming dihydro 2,7-naphthyridine-1-ones has been
developed. These unstable dihydro intermediates can be either oxidized or reduced
to form naphthyridones or tetrahydro naphthyridones, respectively. The reaction
tolerates a large variety of aldehydes and amines, and the produced compounds are
analogs of the natural product lophocladine A.
PMID- 21879775
TI - Off-label pharmacovigilance.
PMID- 21879776
TI - Is the large simple trial design used for comparative, post-approval safety
research? A review of a clinical trials registry and the published literature.
AB - Post-approval, observational drug safety studies face well known difficulties in
controlling for confounding, particularly confounding by indication for drug use.
A study design that addresses confounding by indication is the large simple trial
(LST). LSTs are characterized by large sample sizes, often in the thousands;
broad entry criteria consistent with the approved medication label; randomization
based on equipoise, i.e. neither physician nor patient believes that one
treatment option is superior; minimal, streamlined data collection requirements;
objectively-measured endpoints (e.g. death, hospitalization); and follow-up that
minimizes interventions or interference with normal clinical practice. In theory
then, the LST is a preferred study design for drug and vaccine safety research
because it controls for biases inherent to observational research while still
providing results that are generalizable to 'real-world' use. To evaluate whether
LSTs are used for comparative safety evaluation and if the design is, in fact,
advantageous compared with other designs, we conducted a review of the published
literature (1949 through 31 December 2010) and the ClinicalTrials.gov registry
(2000 through 31 December 2010). Thirteen ongoing or completed safety LSTs were
identified. The design has rarely been used in comparative drug safety research,
which is due to the operational, financial and scientific hurdles of implementing
the design. The studies that have been completed addressed important clinical
questions and, in some cases, led to re-evaluation of medical practice. We
conclude the design has demonstrated utility for comparative safety research of
medicines and vaccines if the necessary scientific and operational conditions for
its use are met.
PMID- 21879778
TI - Fluoroquinolone-associated myasthenia gravis exacerbation: evaluation of
postmarketing reports from the US FDA adverse event reporting system and a
literature review.
AB - BACKGROUND: Exacerbations of myasthenia gravis have been reported in
antibacterial-treated patients. In animal and in vitro models of experimentally
induced myasthenia gravis, fluoroquinolones exhibit neuromuscular blockade.
OBJECTIVE: The aim of this retrospective study was to evaluate postmarketing
adverse event reports submitted to the US FDA and case reports published in the
scientific literature for a potential association between fluoroquinolone
exposure and acute exacerbations of myasthenia gravis. METHODS: On 1 March 2011,
we searched the FDA Adverse Event Reporting System (AERS) database to retrieve
all reports of myasthenia gravis exacerbation as a serious adverse event in
patients treated with fluoroquinolones. We also conducted an Internet-based
search using EMBASE for additional English-language cases in the scientific
literature. RESULTS: We identified a total of 37 unique cases describing
myasthenia gravis exacerbation following fluoroquinolone systemic exposure. We
retrieved AERS reports for 27 non-ventilated patients administered the following
fluoroquinolones: levofloxacin (n = 9), moxifloxacin (n = 6), ciprofloxacin (n =
6), ofloxacin (n = 2), gatifloxacin (n = 2), norfloxacin (n = 1) and
trovafloxacin (n = 1). Additionally, we retrieved ten case reports published in
the literature involving non-ventilated patients administered ciprofloxacin (n =
4), levofloxacin (n = 2) and ofloxacin, norfloxacin, pefloxacin and prulifloxacin
(1 patient each). Myasthenia gravis exacerbations developed a median of 1 day
following fluoroquinolone exposure. The 37 cases describe dyspnoea (n = 19; 51%),
myasthenic crisis requiring ventilatory support (n = 11; 30%) and death (n = 2;
5%). Additional exacerbation-related adverse events were generalized muscle
weakness (n = 20; 54%), dysphagia (n = 9; 24%), diplopia (n = 6; 16%) and ptosis
(n = 6; 16%). Six patients (16%) experienced a positive rechallenge, with
recurrent myasthenia gravis exacerbation after fluoroquinolone reintroduction.
CONCLUSIONS: Fluoroquinolone exposure may result in potentially life-threatening
myasthenia gravis exacerbations in patients with underlying disease. Healthcare
professionals should be aware of this serious drug-disease association and
carefully weigh the benefit-risks of fluoroquinolones when treating infections in
non-ventilated myasthenic patients.
PMID- 21879780
TI - The case-population study design: an analysis of its application in
pharmacovigilance.
AB - BACKGROUND: The case-population approach or population-based case-cohort approach
is derived from the case-control design and consists of comparing past exposure
to a given risk factor in subjects presenting a given disease or symptom (cases)
with the exposure rate to this factor in the whole cohort or in the source
population of cases. In the same way as the case-control approach, the case
population approach measures the disproportionality of exposure between cases of
a given disease and their source population expressed in the form of an odds
ratio approximating the ratio of the risks in exposed and not-exposed populations
(relative risk). OBJECTIVE: The aim of this study was to (i) present the case
population principle design in a way understandable for non-statisticians; (ii)
propose the easiest way of using it for pharmacovigilance purposes (mainly
alerting and hypothesis testing); (iii) propose simple formulae for computing an
odds ratio and its confidence interval; (iv) apply the approach to several
practical and published examples; and (v) discuss its pros and cons in the
context of real life. METHODS: The approach used is derived from that comparing
two rates expressed as person-time denominators. It allows easy computation of an
odds ratio and its confidence interval under several hypotheses. Results obtained
with the case-population approach were compared with those of case-control
studies published in the literature. RESULTS: Relevance and limits of the
proposed approach are illustrated by examples taken from published
pharmacoepidemiological studies. The odds ratio (OR) reported in a European case
control study on centrally acting appetite suppressants and primary pulmonary
hypertension was 23.1 (95% CI 6.9, 77.7) versus 31 (95% CI 16.2, 59.2) using the
case-population approach. In the European case-control studies SCAR (Severe
Cutaneous Adverse Reactions) and EuroSCAR on the risk of toxic epidermal
necrolysis associated with the use of medicines, the OR for cotrimoxazole was 160
and 102, respectively, versus 44.4 using the case-population approach. Similarly,
these two case-control studies found ORs of 12 and 72 for carbamazepine versus
24.4 using the case-population approach, 8.7 and 16 for phenobarbital versus
21.9, 12 for piroxicam (analysed in the SCAR study only) versus 14.5, and 5.5 and
18 for allopurinol versus 3.4 using the case-population approach. CONCLUSIONS:
Being based on the estimate derived from sales statistics of the total exposure
time in the source population of cases, the method can be used even when there is
no information about the actual number of exposed subjects in this population.
Although the case-population approach suffers from limitations stemming from its
main advantage, i.e. impossibility to control possible confounders and to
quantify the strength of associations due to the absence of an ad hoc control
group, it is particularly useful to use in routine practice, mainly for purposes
of signal generation and hypothesis testing in drug surveillance.
PMID- 21879779
TI - Drug-induced taste and smell alterations: a case/non-case evaluation of an
italian database of spontaneous adverse drug reaction reporting.
AB - BACKGROUND: The frequency and clinical features of drug-related taste and/or
smell impairments are currently unclear. OBJECTIVE: The aim of this study was to
identify major drug classes associated with taste and smell alterations reported
to the Italian spontaneous adverse drug reaction (ADR) reporting database.
METHODS: The association between drug and altered taste or smell was investigated
by case/non-case methodology. The reporting odds ratio (ROR) was used as a
measure of disproportionality. Cases were defined as patients with at least one
ADR related to taste or smell impairments. The non-cases included all patients
without any ADRs related to taste or smell alterations. RESULTS: According to the
selection criteria, 52 166 reports were included in the analysis. Overall, 182
cases of drug-related taste and/or smell dysfunctions were identified.
Statistically significant unadjusted RORs were reported for macrolides (n = 31;
7.1; 95% CI 4.8, 10.5), terbinafine (the only drug reported within the group of
antimycotics belonging to the Anatomical Therapeutic Chemical class D01AE) [n =
17; 76.4; 95% CI 44.0, 132.6], fluoroquinolones (n = 15; 1.7; 95% CI 1.0, 2.8)
and protein kinase inhibitors (n = 10; 4.0; 95% CI 2.1, 7.7). When RORs were
adjusted for sex and age category, the disproportion remained statistically
significant for all of the previously mentioned drug classes. CONCLUSIONS: Taste
and/or smell abnormalities are common, sometimes unexpected and often persistent
complaints of patients during pharmacological treatments. Physicians should be
aware of the impact of these ADRs on patients' quality of life.
PMID- 21879777
TI - Drug-induced photosensitivity: culprit drugs, management and prevention.
AB - Photo-induced drug eruptions are cutaneous adverse events due to exposure to a
drug and either ultraviolet or visible radiation. Based on their pathogenesis,
they can be classified as phototoxic or photoallergic drug eruptions, although in
many cases it is not possible to determine whether a particular eruption is due
to a phototoxic or photoallergic mechanism. In this review, the diagnosis,
prevention and management of drug-induced photosensitivity are discussed.
Diagnosis is based primarily on the history of drug intake and the clinical
appearance of the eruption, primarily affecting sun-exposed areas of the skin.
Phototesting and photopatch testing can be useful adjuncts in making a diagnosis.
The mainstay of management is prevention, including informing patients of the
possibility of increased sun sensitivity and the use of sun protective measures.
However, once the eruption has occurred, it may be necessary to discontinue the
culprit medication and treat the eruption with a potent topical corticosteroid.
Drugs that have been implicated in causing photosensitive eruptions are reviewed.
Tetracycline, doxycycline, nalidixic acid, voriconazole, amiodarone,
hydrochlorothiazide, naproxen, piroxicam, chlorpromazine and thioridazine are
among the most commonly implicated medications. We review the medical literature
regarding evidence for the culpability of each drug, including the results of
phototesting, photopatch testing and rechallenge testing.
PMID- 21879781
TI - Effect of pre-medication on early adverse reactions following antivenom use in
snakebite: a systematic review and meta-analysis.
AB - BACKGROUND: Pre-medication has been used to protect against early adverse
reactions (EAR) following antivenom administration after snakebite. Studies have
evaluated its efficacy with variable results. OBJECTIVE: The aim of the study was
to conduct a systematic review and meta-analysis of published data to assess the
effect of pre-medication on the risk of EAR. METHODS: We conducted a search of
MEDLINE, the Cochrane Database and various search engines/websites, searched
handbooks, book chapters and peer-reviewed articles relating to clinical
snakebite, and consulted experts in this field. The search was on published
literature up to September 2010. A meta-analysis was conducted of all randomized
and non-randomized studies of EAR following antivenom in snakebite that utilized
either adrenaline (epinephrine)-containing or non-adrenaline (antihistamines,
corticosteroids)-containing pre-medications compared with control groups. We
performed either random- or fixed-effects analysis based on the presence of
heterogeneity as assessed with two tests, including the I2 statistic, and
performed restricted analyses on data derived from randomized or non-randomized
studies. Sensitivity analysis investigating the influence of single studies on
overall estimates was conducted and we determined publication bias where detected
in both of the two tests used for its assessment. RESULTS: Three randomized and
four non-randomized studies were selected for inclusion in this study. When all
ten comparisons from the seven selected studies were combined (with a total of
434 subjects in the pre-medication groups and 399 subjects in the control
groups), the overall summary risk ratio (RR) for EAR was 0.70 (95% CI 0.50, 0.99;
p = 0.041; I 2= 66.5%). When analysis was restricted to only studies employing
adrenaline-containing pre-medication, the combined summary RR was 0.32 (95% CI
0.18, 0.58; p < 0.0001; I2 = 9.5%). Results were not statistically significant
when analyses were restricted to studies employing non-adrenaline-containing pre
medications, or cohort or randomized controlled designs. Analysis was limited by
heterogeneity, paucity and quality of data. CONCLUSIONS: Findings are consistent
with a substantial beneficial effect of adrenaline pre-medication, but a marginal
benefit with the combination of pre-medications used against EAR could not be
excluded. Future studies are recommended and they should explore possible
synergism of broader combinations of drugs and effects of mode of antivenom
administration in large randomized controlled trials. Meanwhile, highly purified
antivenoms with less risk of EAR should be made available in the rural tropics.
PMID- 21879782
TI - Communication in drug safety: a report from an interactive debate held at the
10th annual meeting of the international society of pharmacovigilance (ISoP),
2010.
PMID- 21879784
TI - Viral hepatitis A, active component, U.S. Armed Forces, 2000-2010.
AB - From 2000 to 2010, there were 214 incident diagnoses of acute hepatitis A among
active component members of the U.S. Armed Forces; the crude overall incidence
rate during the period was 1.37 per 100,000 person-years. Rates of incident
diagnoses of acute hepatitis A were relatively low throughout the period and much
lower than during the pre-vaccine era (1990-1996). There were disproportionate
numbers of diagnoses of acute hepatitis A among service members born in countries
endemic for the infection. The low rates of acute hepatitis A among U.S. military
members overall reflect the widespread use of hepatitis A virus vaccine.
PMID- 21879785
TI - Viral hepatitis B, active component, U.S. Armed Forces, 2000-2010.
AB - From 2000 to 2010, there were 903 and 1,484 incident diagnoses of acute and
chronic hepatitis B virus infections, respectively, among active component
members of the U.S. Armed Forces; crude overall incidence rates during the period
were 5.8 (acute hepatitis B) and 9.5 (chronic hepatitis B) per 100,000 person
years. Incidence rates of both acute and chronic hepatitis B declined during the
period. There were relatively high crude rates of hepatitis B diagnoses among
service members who were Asian/Pacific Islanders, females, health care workers,
and older than 40 years. Declining rates of hepatitis B diagnoses in the U.S.
military likely reflect increased avoidance of risky behaviors, vaccination of
immunologically naive recruits, and accession into service of increasing numbers
of individuals immunized during childhood.
PMID- 21879786
TI - Viral hepatitis C, active component, U.S. Armed Forces, 2000-2010.
AB - From 2000 to 2010, there were 808 and 2,738 incident cases of acute and chronic
hepatitis C, respectively, among active component members of the U.S. Armed
Forces; crude overall incidence rates during the period were 5.16 (acute
hepatitis C) and 17.48 (chronic hepatitis C) per 100,000 person-years. Incidence
rates of acute hepatitis C diagnoses steadily declined (by approximately 80
percent overall) during the period; rates of chronic hepatitis C diagnoses also
declined but proportionately less overall than for acute hepatitis C. Declining
trends likely reflect avoidance of risky behaviors, comprehensive screening of
blood products, and accession standards that include screening for illicit drugs
and antibodies to HIV-1.
PMID- 21879787
TI - Updates: Routine screening for antibodies to HIV-1, civilian applicants for U.S.
military service and U.S. Armed Forces, active and reserve components.
AB - During routine testing of civilian applicants for U.S. military service, the
overall seroprevalence of antibodies to HIV-1 was lower in 2010 than in any year
since 1990. Among members of the active components of the U.S. Army and Air
Force, HIV-1 seroprevalences were higher in 2008-2010 than in recent prior years.
Among members of the active components of the U.S. Navy and Marine Corps, the
Marine Corps Reserve, and the Army National Guard, HIV-1 seroprevalences have
slightly declined or remained relatively stable for at least ten years. In the
reserve components of most of the service branches, it is difficult to discern
long-term trends because of instability of seroprevalences observed in the
relatively small numbers of reserve component members tested each year.
PMID- 21879788
TI - Surveillance snapshot: service members with hepatitis B, hepatitis C, and HIV-1,
active component, U.S. Armed Forces.
AB - Risk factors and routes of transmission of hepatitis B virus (HBV), hepatitis C
virus (HCV), and human immunodeficiency virus (HIV) are similar; therefore,
individuals infected with one of these viruses may be coinfected or at high risk
of acquiring another infection. Among active component service members diagnosed
with HBV infections (n=2,204) between 2000 and 2010, 86 (3.9%) were also
diagnosed with HCV and 49 (2.2%) with HIV-1. Among service members diagnosed with
HCV infections (n=3,185) between 2000 and 2010, 86 (2.7%) were diagnosed with HBV
and 45 (1.4%) with HIV-1. Four service members were diagnosed with HBV, HCV, and
HIV-1 during the period (figure below). Individuals diagnosed with HIV-1, HBV, or
HCV infections should be tested for coinfections and counseled to prevent
acquisitions of similarly transmitted infections.
PMID- 21879789
TI - Calculation of diagnostic parameters of advanced serological and molecular tissue
print methods for detection of Citrus tristeza virus: a model for other plant
pathogens.
AB - Citrus tristeza virus (CTV) is one of the most important virus diseases that
affect citrus. Control of CTV is achieved by grafting selected virus-free citrus
scions onto CTV-tolerant or -resistant rootstocks. Quarantine and certification
programs are essential for avoiding the entry and propagation of severe strains
of CTV. Citrus nurseries in Spain and central California (United States) maintain
zero-tolerance policies for CTV that require sensitive, specific, and reliable
pathogen-detection methods. Tissue-print (TP) real-time reverse-transcriptase
polymerase chain reaction (RT-PCR) assay was compared with the validated TP
enzyme-linked immunosorbent assay (ELISA), using the CTV-specific monoclonal
antibodies 3DF1 and 3CA5, for CTV detection. In total, 1,395 samples from healthy
and CTV-infected nursery and mature tree plants were analyzed with both methods.
The total agreement between both detection methods was substantial (Cohen's kappa
index of 0.77 +/- 0.03). The diagnostic parameters of each technique (i.e., the
sensitivity, specificity, and likelihood ratios) were evaluated in a second test
involving 658 Citrus macrophylla nursery plants. Mexican lime indexing was used
to evaluate samples with discrepant results in the analysis. For TP-ELISA, a
sensitivity of 0.8015, a specificity of 0.9963, and a positive and negative
likelihood ratio of 216.42 and 0.199, respectively, were estimated. For TP real
time RT-PCR, a sensitivity of 0.9820, a specificity of 0.8519, and a positive and
negative likelihood ratio of 6.63 and 0.021, respectively, were estimated. These
diagnostic parameters show that TP real-time RT-PCR was the most sensitive
technique, whereas TP-ELISA showed the highest specificity, validating the use of
the molecular technique for routine CTV-detection purposes. In addition, our
results show that the combination of both techniques can accurately substitute
for the conventional biological Mexican lime index for the detection of CTV. The
calculation of diagnostic parameters is discussed, as a necessary tool, to
validate detection or diagnostic methods in plant pathology. Furthermore,
assessment of the post-test probability of disease after a diagnostic result and
CTV prevalence allows selection of the best method for accurate and reliable
diagnosis.
PMID- 21879790
TI - Sequence and simple-sequence repeat analyses of the fungal pathogen Seiridium
cardinale indicate California is the most likely source of the cypress canker
epidemic for the Mediterranean region.
AB - Seiridium cardinale is the pathogenic fungus of unknown origin responsible for a
world pandemic known as cypress canker affecting several species of Cupressaceae
in both the Northern and Southern Hemisphere. In this study, a comparative
genetic analysis of worldwide populations was performed using sequence analysis
of a portion of the ?-tubulin locus and seven polymorphic simple-sequence repeat
(SSR) loci on 96 isolates. Sequence analysis identified two distinct ?-tubulin
alleles, both present in California. Only one of the two alleles was detected in
the Mediterranean basin, while two isolates from the Southern Hemisphere were
characterized by the presence of the allele absent from the Mediterranean. SSRs
identified a total of 46 multilocus genotypes (MGs): genotypic diversity was
always higher in the California population, and calculations of the index of
association (I(A)) determined the presence of linkage disequilibrium associated
with the absence of sexual reproduction only in the Mediterranean population but
not in California. In 50 instances, the same MG was found at great geographic
distances, implying a role played by humans in spreading the disease. Network
analysis performed on SSR data identified three clusters of MGs: California,
Morocco, and the rest of the Mediterranean. Both the Morocco and the
Mediterranean clusters were linked to the California cluster. Coalescent analysis
identified insignificant migration between California and Italy, as expected in
the presence of a single introduction event, and very high migration from Italy
into Greece, as expected of an outbreak still in exponential growth phase and
starting from an Italian source.
PMID- 21879791
TI - Colonization and movement of GFP-labeled Clavibacter michiganensis subsp.
michiganensis during tomato infection.
AB - The vascular pathogen Clavibacter michiganensis subsp. michiganensis is
responsible for bacterial wilt and canker of tomato. Pathogenicity of this
bacterium is dependent on plasmid-borne virulence factors and serine proteases
located on the chromosomal chp/tomA pathogenicity island (PAI). In this study,
colonization patterns and movement of C. michiganensis subsp. michiganensis
during tomato infection was examined using a green fluorescent protein (GFP)
labeled strain. A plasmid expressing GFP in C. michiganensis subsp. michiganensis
was constructed and found to be stable in planta for at least 1 month. Confocal
laser-scanning microscopy (CLSM) of inoculated stems showed that the pathogen
extensively colonizes the lumen of xylem vessels and preferentially attaches to
spiral secondary wall thickening of the protoxylem. Acropetal movement of the
wild-type strain C. michiganensis subsp. michiganensis NCPPB382 (Cmm382) in
tomato resulted in an extensive systemic colonization of the whole plant reaching
the apical region after 15 days, whereas Cmm100 (lacking the plasmids pCM1 and
pCM2) or Cmm27 (lacking the chp/tomA PAI) remained confined to the area
surrounding of the inoculation site. Cmm382 formed biofilm-like structures
composed of large bacterial aggregates on the interior of xylem walls as observed
by CLSM and scanning electron microscopy. These findings suggest that virulence
factors located on the chp/tomA PAI or the plasmids are required for effective
movement of the pathogen in tomato and for the formation of cellular aggregates.
PMID- 21879792
TI - Detection and quantification of Pratylenchus thornei in DNA extracted from soil
using real-time PCR.
AB - The root-lesion nematode Pratylenchus thornei is one of the most important pests
restricting productivity of wheat in the Pacific Northwest (PNW). It is laborious
and difficult to use microscopy to count and identify the nematodes in soils. A
SYBR Green I-based real-time polymerase chain reaction (PCR) assay was developed
to detect and quantify this species from DNA extracts of soil. A primer set,
designed from the internal transcribed spacer region (ITS1) of rDNA, was highly
specific to P. thornei and did not amplify DNA from 27 isolates of other
Pratylenchus spp., other nematodes, and six fungal species present in PNW wheat
fields. A standard curve relating threshold cycle and log values of nematode
number was generated from artificially infested soils. The standard curve was
supported by a high correlation between the numbers of P. thornei added to soil
and the numbers quantified using real-time PCR. Examination of 15 PNW dryland
field soils and 20 greenhouse samples revealed significant positive correlations
between the numbers determined by real-time PCR and by the Whitehead tray and
microscopic method. Real-time PCR is a rapid, sensitive alternative to time
consuming nematode extractions, microscopic identification, and counting of P.
thornei from field and greenhouse soils.
PMID- 21879793
TI - Comparison of three-dimensional orthodontic load systems of different commercial
archwires for space closure.
AB - OBJECTIVE: To experimentally quantify the effects of the loop design on three
dimensional orthodontic load systems of two types of commercial closing loop
archwires: Teardrop and Keyhole. MATERIALS AND METHODS: An orthodontic force
tester and custom-made dentoform were used to measure the load systems produced
on two teeth during simulated space closure. The system included three force
components along and three moment components about three clinically defined axes
on two target teeth: the left maxillary canine and the lateral incisor. The
archwires were attached to the dentoform and were activated following a standard
clinical procedure. RESULTS: The resulting six load components produced by the
two archwires were reported and compared. The results were also compared with
those of the T-loop archwire published previously. CONCLUSIONS: The three designs
deliver similar loading patterns; however, the component magnitudes are dependent
on the design. All of the designs result in lingual tipping of the teeth, canine
lingual-mesial displacement, canine crown-mesial-in rotation, and incisor crown
distal-in rotation.
PMID- 21879794
TI - Small antral follicle count (2-5 mm) and ovarian volume for prediction of
pregnancy in in vitro fertilization cycles.
AB - AIMS: To assess the value of antral follicle count (AFC) and other parameters as
predictors of pregnancy in in vitro fertilization (IVF). METHODS: In a cohort
study, unselected consecutive women in IVF treatment were evaluated. Pretreatment
parameters included AFC (subpopulations of small (2-5 mm) and large (5-10 mm)
AFC), ovarian volume, and ovarian stroma Doppler indices. RESULTS: The study
included 115 women of whom 38 (33%) were pregnant. Total AFC was significantly
higher in the pregnant than in the non-pregnant group (13.7 +/- 5.8 vs. 11.3 +/-
5.3, p = 0.034). On receiver operator characteristic (ROC) curve analysis, the
pretreatment variables that were significantly associated with pregnancy were
small AFC (2-5 mm), total AFC, and ovarian volume/AFC ratio. Women with a
positive score (total AFC >15; small AFC (2-5 mm) >10; ovarian volume in
mm(3)/AFC, <1400), had a significantly higher number of retrieved oocytes and a
higher pregnancy rate than women with a negative score (12.1 +/- 5.1 vs. 8.7 +/-
5.0, p = 0.027 and 58.3% vs. 30.1%, p = 0.049, respectively). On multivariate
logistic regression analysis, total AFC was the only significant and independent
predictor of pregnancy (p = 0.034). CONCLUSION: Pretreatment small AFC and
ovarian volume may identify women with a higher probability to achieve pregnancy
in IVF.
PMID- 21879795
TI - Post-menopausal hormone therapy reduces autoantibodies to oxidized apolipoprotein
B100.
AB - The aim of the study was to verify whether post-menopausal hormone replacement
therapy (HRT) modifies autoantibody titers against oxidized low-density
lipoprotein (LDL) (anti-LDLoxi), against epitopes of oxidized apolipoprotein B100
and common carotid intima-media thickness (IMT) in these women. Sixty-eight women
in pre-menopause (PMW) and 216 in post-menopause (POMW) were recruited; eighty
three had undergone HRT for at least 12 months, where 48 received conjugated
estrogens alone (EHRT) and 35 received conjugated estrogen and
medroxyprogesterone acetate (CHRT). ELISA was used to determine autoantibodies.
Lipoprotein lipase (LPL), hepatic lipase (HL), cholesterol ester transfer protein
(CETP) and phospholipid transfer protein (PLTP) activities were assayed by
radiometric methods. IMT was measured using Doppler ultrasound. Anti-oxidized LDL
and anti-D antibodies increased by 40% (p <= 0.003) and 42% (p <= 0.006),
respectively, with menopause. There was a surprising and significant 7% reduction
in anti-D2 antibody titers with HRT (p <= 0.050), indicating a positive effect of
treatment on the immune response to oxidized LDL. Combined HRT decreased
activities of HL and LPL. HRT did not change common carotid IMT, which was
increased by 32% as expected after menopause (p <= 0.030). This study describes,
for the first time, the protective effect of HRT on decreasing autoantibody
titers against oxidized apolipoprotein B in LDL.
PMID- 21879796
TI - A role for Bradyrhizobium japonicum ECF16 sigma factor EcfS in the formation of a
functional symbiosis with soybean.
AB - Alternative sigma (sigma) factors, proteins that recruit RNA polymerase core
enzyme to target promoters, are one mechanism by which bacteria transcriptionally
regulate groups of genes in response to environmental stimuli. A class of
sigma(70) proteins, termed extracytoplasmic function (ECF) sigma factors, are
involved in cellular processes such as bacterial stress responses and virulence.
Here, we describe an ECF16 sigma factor, EcfS (Blr4928) from the gram-negative
soil bacterium Bradyrhizobium japonicum USDA110, that plays a critical role in
the establishment of a functional symbiosis with soybean. Nonpolar insertional
mutants of ecfS form immature nodules that do not fix nitrogen, a defect that can
be successfully complemented by expression of ecfS. Overexpression of the
cocistronic gene, tmrS (blr4929), phenocopies the ecfS mutant in planta and,
therefore, we propose that TmrS is a negative regulator of EcfS, a determination
consistent with the prediction that it encodes an anti-sigma factor. Microarray
analysis of the ecfS mutant and tmrS overexpressor was used to identify 40
transcripts misregulated in both strains. These transcripts primarily encode
proteins of unknown and transport-related functions and may provide insights into
the symbiotic defect in these strains.
PMID- 21879797
TI - Environmental risk factors and their impact on the age of onset of schizophrenia:
Comparing familial to non-familial schizophrenia.
AB - BACKGROUND AND AIMS: Several risk factors for schizophrenia have yet been
identified. The aim of our study was to investigate how certain childhood and
adolescent risk factors predict the age of onset of psychosis in patients with
and without a familial component (i.e. a relative with schizophrenia or
schizoaffective disorder). METHODS: Aside from the age of onset of psychosis, we
examined the risk factors for schizophrenia including obstetric complications,
birth during winter or spring, behavioral deviances or delayed motor and speech
development, exposure to adverse life events and exposure to substance use within
a group of 100 patients (45 female, 55 male) with a mean age (+/- standard
deviation) of 35.15 +/- 13.21. RESULTS: Birth complications and cannabis abuse
are predictors for an earlier onset of schizophrenia in patients with non
familial schizophrenia. No environmental risk factors for an earlier age of onset
in familial schizophrenia have been identified. CONCLUSIONS: Certain
environmental risk factors for schizophrenia seem to have an impact on the age of
onset of psychosis in non-familial schizophrenia, they do not seem to have an
impact on familial schizophrenia.
PMID- 21879798
TI - Pregnancy in heart- and heart/lung recipients can be problematic.
AB - OBJECTIVE: The first successful pregnancy after heart transplantation was
reported in 1988. Worldwide experience with heart and heart/lung transplanted (H
HLTx) pregnant women is limited. To expand this knowledge the collaborating
Nordic thoracic transplant centers wanted to collect information on all such
pregnancies from their centers. DESIGN: Information was retrospectively collected
on all H-HLTx pregnancies in the Nordic countries. RESULTS: A total of 25 women
have had 42 pregnancies and all survived the gestation. Minor complications were
increasing incidence of proteinuria, hypertension and diabetes. Major problems
were two rejections (early post partum), two severe renal failures, seven pre
eclampsias and 17 abortions. Five women died two to 12 years after delivery. Of
25 live born children, one was born with cancer and one died early after
inheriting the mother's cardiomyopathy. CONCLUSION: Pregnancy after H-HLTx can be
successful for both mother and child. There are, however, many obstacles which
should be addressed. Respecting the couple's desire for children the attitude
should be carefully, not too optimistic, after proper pre-pregnant information
and counseling. Delivery should preferably take place at the transplant center.
PMID- 21879799
TI - Changes in the brain activation balance in motor-related areas after constraint
induced movement therapy; a longitudinal fMRI study.
AB - PRIMARY OBJECTIVE: This study investigated the longitudinal changes in brain
activation balance in motor-related areas after Constraint-Induced Movement
Therapy (CIMT). METHODS AND PROCEDURES: The subjects included seven ischemic
stroke patients with mild right hemiparesis. Eight normal subjects were also
included. The patients underwent functional MRI and motor function tests (Fugl
Meyer Assessment; FMA, modified Wolf Motor Function Test; mWMFT) both before and
immediately after CIMT and also after a 3-month follow-up. RESULTS: The motor
function test scores improved immediately after CIMT; moreover, these scores were
either maintained or improved even at the 3-month follow-up. In a comparison of
the chronological data of the contralaterality index of the affected hand
movement, the cerebellar activity changed significantly to ipsilateral activation
immediately after CIMT and thereafter the cerebellar activity further changed to
ipsilateral activation at the 3-month follow-up. A correlation was observed among
the contralateral activation, FMA and mWMFT scores in SM1 and the ipsilateral
activation and in the mWMFT scores in the cerebellum at the 3-month follow-up
examinations. CONCLUSION: The participation of the contralateral SM1 and the
ipsilateral cerebellum is thus considered to play an important role in the
satisfactory recovery of the motor function after CIMT intervention.
PMID- 21879800
TI - Minor adverse effects of galvanic vestibular stimulation in persons with stroke
and healthy individuals.
AB - OBJECTIVE: Galvanic vestibular stimulation (GVS) induces polarity-specific
activations in the vestibular nerves and upstream in the vestibular and
parietotemporal cortices as well as sub-cortical regions. This makes it an
attractive technique for cognitive neuromodulation. However, systematic studies
regarding adverse effects of GVS are unavailable. Thus, this study assessed
adverse effects during and after sub-sensory GVS (mean: 0.6 mA) and GVS with 1.5
mA. METHODS: Two hundred and fifty-five GVS sessions delivered to 55 persons with
stroke and 30 healthy individuals were analysed using a 34-item-questionnaire
including potential symptoms and rating scales for adverse effects. RESULTS: The
most frequent symptoms during and after GVS were slight itching (mean: 10.2%) and
tingling (mean: 10.7%) underneath the electrodes. Healthy individuals and persons
with stroke did not differ in their incidence and rated intensity of adverse
effects, nor did persons with or without unilateral spatial neglect. Adverse
effects were found more frequently with GVS with 1.5 mA as with sub-sensory GVS.
Participants were unable to differentiate real from sham conditions during sub
sensory GVS. Importantly, neither seizures nor vertigo or nausea were observed.
CONCLUSION: Sub-sensory GVS and GVS with 1.5 mA induce very few and mild adverse
effects in healthy and persons with stroke and are safe when safety guidelines
are followed.
PMID- 21879801
TI - Microvascular occlusions and coronary microembolization.
PMID- 21879803
TI - A critical review of Salmonella Typhimurium infection in laying hens.
AB - Salmonella Typhimurium has been reported to contaminate egg production across the
world, but where Salmonella Enteritidis is endemic it is this latter serovar that
dominates egg-borne salmonellosis. However, Salmonella Typhimurium is a major
food-borne pathogen so it is important to understand how it can impact the
microbiological safety of eggs and what serovar-specific control strategies may
be appropriate in the future as control over Salmonella Enteritidis continues to
improve. To that end, the present review examines the published literature on
Salmonella Typhimurium in laying hens and eggs, with particular reference to
comparative studies examining different serovars. Experimentally Salmonella
Enteritidis is more often isolated from egg contents and seems to adhere better
to reproductive tract mucosa, whilst Salmonella Typhimurium appears to provoke a
more intense tissue pathology and immune response, and flock infections are more
transient. However, it is observed in many cases that the present body of
evidence does not identify clear differences between specific behaviours of the
serovars Typhimurium and Enteritidis, whether in laying hens, in their eggs, or
in the laying environment. It is concluded that further long-term experimental
and natural infection studies are needed in order to generate a clearer picture.
PMID- 21879802
TI - Human herpesvirus 6 and cytomegalovirus in ileocolonic mucosa in inflammatory
bowel disease.
AB - OBJECTIVES: Reactivation of a latent cytomegalovirus (CMV) may occur in
inflammatory bowel disease (IBD). Data of human herpesvirus 6 (HHV-6)--a close
relative to CMV--in active IBD are scarce. The aim of this study was to detect
HHV-6 and CMV antigens in the mucosa of active and inactive IBD. MATERIAL AND
METHODS: 79 IBD patients (47 ulcerative colitis (UC) and 32 Crohn's disease (CD))
were recruited and endoscopic and histological disease activity was scored.
Control group consisted of 15 non-IBD patients with normal colonoscopy.
Immunohistochemical stainings for HHV-6B and CMV antigens were performed on
biopsy specimens from the ileum and colorectum. The intensity of HHV-6B and CMV
expression was graded as negative, mild, moderate, or intense. RESULTS: HHV-6B
antigen was positive in 35 (44%) and CMV in 64 (81%). Of controls, 6 (40%) were
mildly positive for HHV-6 and 6 (40%) for CMV. In IBD, both CMV and HHV-6B
intensity correlated with endoscopic disease severity (CMV p = 0.010 and HHV-6 p
= 0.048). Simultaneous HHV-6B and CMV antigen expression occurred in 29 (37%) and
associated with endoscopic activity (p = 0.006) and to a number of
immunosuppressives (p = 0.033). A significant difference in HHV-6B positivity was
found between endoscopically active and inactive UC (p = 0.040). Both CMV and HHV
6B intensity correlated with histological severity in the rectal biopsy specimens
(for CMV p = 0.040 and for HHV-6B p = 0.027). CONCLUSIONS: Both viruses occurred
ubiquitously in the IBD mucosa. Coexistence of viruses was common and associated
with disease activity and use of immunosuppressives. HHV-6B intensity correlated
with endoscopic severity in UC.
PMID- 21879804
TI - Lynch syndrome screening in newly diagnosed colorectal cancer in general
pathology practice: from the revised Bethesda guidelines to a universal approach.
AB - OBJECTIVE: Lynch syndrome (LS) is the most common hereditary form of colorectal
cancer (CRC). The revised 2004 Bethesda guidelines were developed to identify
potential LS patients. This study aimed to retrospectively evaluate utilization
and adequacy of the guidelines in general pathology practice and to determine if
a universal LS screening approach increased the potential LS detection rate in
newly diagnosed CRCs. MATERIAL AND METHODS: Included were 445 primary CRCs
surgically resected from November 2006 to March 2009, when reflex microsatellite
instability (MSI) testing was based on histomorphology and age as well as 145
CRCs resected from July 2009 to July 2010 when a universal LS testing paradigm
was used. Reflex MSI testing rates and MSI testing results were determined.
RESULTS: The overall LS screening rate from November 2006 to March 2009 was
34.8%, and the extrapolated microsatellite instability-high (MSI-H) rate was 8.5%
(38/445). Strict adherence to the revised Bethesda guidelines, that is, without
testing CRC diagnosed in patients ?60 years, would have missed 26 (68.4%) MSI-H
CRCs. The overall LS screening rate from July 2009 to July 2010 was 76.3% and the
MSI-H rate was 20.6% (30/145). Compared with the MSI tested group, the untested
group had more CRCs removed by local excision (22.2% vs. 4.8%, p = 0.00035).
CONCLUSION: The revised Bethesda guidelines are inadequate for LS screening when
personal and family cancer history is not available to the pathologist, a
universal screening paradigm greatly increased the rate of MSI testing and MSI-H
CRC detection and CRCs less likely to be screened for LS were those diagnosed in
locally excised specimens.
PMID- 21879805
TI - Fatal case of ectopic enterobiasis: Enterobius vermicularis in the kidneys.
AB - Enterobius vermicularis is one of the most common intestinal parasites found in
humans. They commonly infest the terminal ileum and large intestine, and are
usually considered an innocuous parasite that can be easily eradicated with
proper treatment. However, extraintestinal migration of worms, although very
rare, may lead to severe health disorders or even death. This article, reports
the first fatal case of ectopic enterobiasis known to the authors, which
developed in an adult patient with E. vermicularis infection, causing perforation
of the large intestine and generalized bacterial peritonitis. Despite emergency
laparotomy, the patient died from septic shock on the day after surgery. During
pathological examination, worms were found not only in the large intestine, but
also in the renal parenchyma; worm eggs were found deposited in the lungs as
well.
PMID- 21879806
TI - Resolving the theory of planned behaviour's 'expectancy-value muddle' using
dimensional salience.
AB - The theory of planned behaviour is one of the most widely used models of decision
making in the health literature. Unfortunately, the primary method for assessing
the theory's belief-based expectancy-value models results in statistically
uninterpretable findings, giving rise to what has become known as the 'expectancy
value muddle'. Moreover, existing methods for resolving this muddle are
associated with various conceptual or practical limitations. This study addresses
these issues by identifying and evaluating a parsimonious method for resolving
the expectancy-value muddle. Three hundred and nine Australian residents aged 18
24 years rated the expectancy and value of 18 beliefs about posthumous organ
donation. Participants also nominated their five most salient beliefs using a
dimensional salience approach. Salient beliefs were perceived as being more
likely to eventuate than non-salient beliefs, indicating that salient beliefs
could be used to signify the expectancy component. The expectancy-value term was
therefore represented by summing the value ratings of salient beliefs, an
approach that predicted attitude (adjusted R2 = 0.21) and intention (adjusted R2
= 0.21). These findings suggest that the dimensional salience approach is a
useful method for overcoming the expectancy-value muddle in applied research
settings.
PMID- 21879807
TI - Similar effects of visual perception and imagery on simple reaction time.
AB - A longstanding issue is whether perception and mental imagery share similar
cognitive and neural mechanisms. To cast further light on this problem, we
compared the effects of real and mentally generated visual stimuli on simple
reaction time (RT). In five experiments, we tested the effects of difference in
luminance, contrast, spatial frequency, motion, and orientation. With the
intriguing exception of spatial frequency, in all other tasks perception and
imagery showed qualitatively similar effects. An increase in luminance, contrast,
and visual motion yielded a decrease in RT for both visually presented and
imagined stimuli. In contrast, gratings of low spatial frequency were responded
to more quickly than those of higher spatial frequency only for visually
presented stimuli. Thus, the present study shows that basic dependent variables
exert similar effects on visual RT either when retinally presented or when
imagined. Of course, this evidence does not necessarily imply analogous
mechanisms for perception and imagery, and a note of caution in such respect is
suggested by the large difference in RT between the two operations. However, the
present results undoubtedly provide support for some overlap between the
structural representation of perception and imagery.
PMID- 21879808
TI - U-shaped association between body mass index and the prevalence of wheeze and
asthma, but not eczema or rhinoconjunctivitis: the ryukyus child health study.
AB - BACKGROUND: Studies reporting on the association between obesity and allergies
have mostly focused on asthma. Little is known about the relationship of obesity
to other allergic diseases, and the information that is available has been
inconsistent. We examined the association between body mass index (BMI) and the
prevalence of wheeze, asthma, eczema, and rhinoconjunctivitis in Japanese
schoolchildren. METHODS: Study subjects were 24,399 children aged 6-15 years in
Okinawa, Japan. Outcomes were based on diagnostic criteria from the International
Study of Asthma and Allergies in Childhood. BMI was categorized into five groups;
<5th, 5th to 35th, >35th to 65th, >65th to 95th, and >95th percentile groups.
Adjustment was made for sex, age, region of residence, number of siblings,
smoking in the household, physical activity, paternal and maternal history of
allergic disorders, and paternal and maternal educational levels. RESULTS: The
prevalence values of wheeze, asthma, eczema, and rhinoconjunctivitis in the
previous 12 months were 10.8%, 7.6%, 6.9%, and 7.6%, respectively. Compared with
the referent category (>35th to 65th percentile group), higher percentile
categories were positively associated with the prevalence of wheeze. A U-shaped
relationship between BMI and asthma was observed. No material associations
between BMI percentile categories and the prevalence of eczema or
rhinoconjunctivitis were found. CONCLUSION: These findings suggested that being
either underweight or overweight might increase the likelihood of asthma among
Japanese schoolchildren.
PMID- 21879809
TI - Final results of a multicenter phase 1 study of lenalidomide in patients with
relapsed or refractory chronic lymphocytic leukemia.
AB - Based on clinical activity in phase 2 studies, lenalidomide was evaluated in a
phase 2/3 study in patients with relapsed/refractory chronic lymphocytic leukemia
(CLL). Following tumor lysis syndrome (TLS) complications, the protocol was
amended to a phase 1 study to identify the maximum tolerated dose-escalation
level (MTDEL). Fifty-two heavily pretreated patients, 69% with bulky disease and
48% with high-risk genomic abnormalities, initiated lenalidomide at 2.5 mg/day,
with dose escalation until the MTDEL or the maximum assigned dose was attained.
Lenalidomide was safely titrated to 20 mg/day; the MTDEL was not reached. Most
common grade 3-4 adverse events were neutropenia and thrombocytopenia; TLS was
mild and rare. The low starting dose and conservative dose escalation strategy
resulted in six partial responders and 30 patients obtaining stable disease. In
summary, lenalidomide 2.5 mg/day is a safe starting dose that can be titrated up
to 20 mg/day in patients with CLL.
PMID- 21879810
TI - Three cases of primary cutaneous lymphoblastic lymphoma: microarray-based
comparative genomic hybridization and gene expression profiling studies with
review of literature.
AB - Lymphoblastic lymphoma (LBL) is a neoplasm of precursor B- or T-lymphocytes, and
primary skin involvement is uncommon. The aim of the study was to review all
reported primary cutaneous (PC)-LBLs and to examine three new cases to better
characterize this neoplasm. Two of our patients showed a pre-B phenotype (PC-B
LBL) and one a never-reported pre-T phenotype (PC-T-LBL). The patient with PC-T
LBL showed an aggressive course, while those with PC-B-LBL showed a complete
remission (CR) after polychemotherapy. Cytogenetic analysis and gene expression
profiling (GEP) were performed on one case of PC-B-LBL and on that of PC-T-LBL. A
specimen of PC-B-LBL and two specimens (early and late stage) of PC-T-LBL were
investigated by microarray-based comparative genomic hybridization (CGH). All
specimens revealed trisomy of chromosome 4. PC-T-LBL showed a gain of 1p36.33
p22.1 in the early stage and multiple chromosome gains/losses in the late stage.
Our data suggest that trisomy 4 could be detected early in LBL and gain of
1p36.33-p22.1 could be an interesting marker in PC-T-LBL. LBL is an aggressive
disease but, only in B-LBL, the cutaneous presentation seems to be a favorable
prognostic factor and polychemotherapy is the best therapeutic approach. We
suggest that PC-LBL should be included as a provisional clinicopathologic entity
in future cutaneous lymphoma classification.
PMID- 21879812
TI - Predictors of physicians' attitudes toward sharing information with patients and
addressing psychosocial needs: a cross-sectional study in Greece.
AB - Sharing information with patients and addressing their psychosocial needs are
recognized as fundamental practices of patient-centered physicians. Our study
explored predictors of physicians' patient-centered attitudes and yielded a
better understanding of the relative influences of job satisfaction, employment
status, specialty, previous communication skills training, and sociodemographic
factors. Physicians who participated in 13 identical workshops offered throughout
Greece were invited to complete a battery of anonymous questionnaires
(demographics, job satisfaction scale, Patient-Practitioner Orientation Scale
Sharing subscale, and Physician Belief Scale). Prediction models were used to
identify predictors of patient-centered attitudes. In total, 400 fully completed
questionnaires were returned (response rate 79.8%). Job satisfaction, previous
training in communication skills, younger age and lower socioeconomic status were
predictors of positive attitudes toward sharing information with patients. Job
satisfaction, previous training in communication skills, and stronger religious
beliefs were predictors of higher psychosocial orientation. Job satisfaction and
training in communication skills should be ensured in the effort to develop and
maintain patient-centered attitudes in physicians. Religious beliefs, age, and
socioeconomic status should be taken into consideration in the effort to help
physicians become aware of their biases.
PMID- 21879811
TI - A novel Aurora kinase A inhibitor MK-8745 predicts TPX2 as a therapeutic
biomarker in non-Hodgkin lymphoma cell lines.
AB - Selective small-molecule kinase inhibitors have encouraging clinical efficacy in
several malignancies. These agents are still limited to a subset of patients,
indicating the need to develop therapeutic biomarkers that influence clinical
benefit. In this study, we demonstrate that treatment with MK-8745, a novel
Aurora-A specific inhibitor, leads to cell cycle arrest at the G2/M phase with
accumulation of tetraploid nuclei followed by cell death in non-Hodgkin lymphoma
(NHL) cell lines. The sensitivity of the cell lines to MK-8745 is correlated with
the expression level of Aurora-A activator. The siRNA knockdown of Aurora-A
activator TPX2 (targeting protein for Xenopus kinase-like protein 2) increased MK
8745 sensitivity in less-MK-8745-sensitive NHL cell lines, whereas overexpression
of TPX2 in high-MK-8745-sensitive NHL cell lines increased drug resistance. Our
results indicate that TPX2 may serve as a biomarker for identifying
subpopulations of patients sensitive to Aurora-A inhibitor treatment.
PMID- 21879813
TI - Seeking and processing influenza vaccine information: a study of health care
workers at a large urban hospital.
AB - In response to low influenza vaccination rates among health care workers, health
officials have explored determinants of uptake and developed communication
interventions. Key to these efforts is how workers seek and attend to vaccine
information. We applied a model of risk information seeking and processing to
survey 226 physicians and nurses at a large, urban hospital (response rate =
5.7%; cooperation rate = 91.5%). Our goals were to (1) apply the model to a new
setting, audience, and issue and (2) explore novel concepts (e.g., source
credibility and information usefulness) and moderating relationships among
variables. Consistent with previous research, information subjective norms
perceived social pressure to remain informed about vaccination-were a strong
predictor of information seeking and systematic processing. Neither source
credibility nor information usefulness independently increased explained
variance, although they moderated the relationship between norms and
communication behavior. We discuss theoretical and practical implications of
these findings.
PMID- 21879814
TI - Pumice as a remarkable substrate for the origin of life.
AB - The context for the emergence of life on Earth sometime prior to 3.5 billion
years ago is almost as big a puzzle as the definition of life itself. Hitherto,
the problem has largely been addressed in terms of theoretical and experimental
chemistry plus evidence from extremophile habitats like modern hydrothermal vents
and meteorite impact structures. Here, we argue that extensive rafts of glassy,
porous, and gas-rich pumice could have had a significant role in the origin of
life and provided an important habitat for the earliest communities of
microorganisms. This is because pumice has four remarkable properties. First,
during eruption it develops the highest surface-area-to-volume ratio known for
any rock type. Second, it is the only known rock type that floats as rafts at the
air-water interface and then becomes beached in the tidal zone for long periods
of time. Third, it is exposed to an unusually wide variety of conditions,
including dehydration. Finally, from rafting to burial, it has a remarkable
ability to adsorb metals, organics, and phosphates as well as to host organic
catalysts such as zeolites and titanium oxides. These remarkable properties now
deserve to be rigorously explored in the laboratory and the early rock record.
PMID- 21879815
TI - Redefining diagnostic symptoms of depression using Rasch analysis: testing an
item bank suitable for DSM-V and computer adaptive testing.
AB - OBJECTIVE: We aimed to redefine the optimal self-report symptoms of depression
suitable for creation of an item bank that could be used in computer adaptive
testing or to develop a simplified screening tool for DSM-V. METHOD: Four hundred
subjects (200 patients with primary depression and 200 non-depressed subjects),
living in Iraqi Kurdistan were interviewed. The Mini International
Neuropsychiatric Interview (MINI) was used to define the presence of major
depression (DSM-IV criteria). We examined symptoms of depression using four well
known scales delivered in Kurdish. The Partial Credit Model was applied to each
instrument. Common-item equating was subsequently used to create an item bank and
differential item functioning (DIF) explored for known subgroups. RESULTS: A
symptom level Rasch analysis reduced the original 45 items to 24 items of the
original after the exclusion of 21 misfitting items. A further six items (CESD13
and CESD17, HADS-D4, HADS-D5 and HADS-D7, and CDSS3 and CDSS4) were removed due
to misfit as the items were added together to form the item bank, and two items
were subsequently removed following the DIF analysis by diagnosis (CESD20 and
CDSS9, both of which were harder to endorse for women). Therefore the remaining
optimal item bank consisted of 17 items and produced an area under the curve
(AUC) of 0.987. Using a bank restricted to the optimal nine items revealed only
minor loss of accuracy (AUC = 0.989, sensitivity 96%, specificity 95%). Finally,
when restricted to only four items accuracy was still high (AUC was still 0.976;
sensitivity 93%, specificity 96%). CONCLUSIONS: An item bank of 17 items may be
useful in computer adaptive testing and nine or even four items may be used to
develop a simplified screening tool for DSM-V major depressive disorder (MDD).
Further examination of this item bank should be conducted in different cultural
settings.
PMID- 21879817
TI - Changing attitudes in ovarian stimulation.
PMID- 21879819
TI - Estradiol valerate and dienogest: a novel four-phasic oral contraceptive pill
effective for pregnancy prevention and treatment of heavy menstrual bleeding.
AB - Estradiol valerate and dienogest have been combined to create a novel four-phasic
oral contraceptive pill effective for both pregnancy prevention and treatment of
heavy menstrual bleeding. This formulation represents the only oral contraceptive
pill available in the USA containing an estrogen component that is biologically
active as the endogenous estrogen 17beta-estradiol. This medication was developed
out of efforts to replace the most common estrogen in contraceptive pills,
ethinyl estradiol, which is known to be a potent inducer of hepatic protein
synthesis. Estradiol valerate has been available since the 1970s in oral and
injectable forms indicated for the treatment of menopausal climacteric symptoms.
Dienogest has been used in other oral contraceptive pills for over 10 years.
Previous attempts to develop an oral contraceptive pill with natural estradiol or
estradiol valerate were unsuccessful due to poor cycle control. A novel dynamic
dosing regimen was devised to improve the bleeding pattern. This medication has
been shown in several clinical trials to have good contraceptive efficacy and
cycle control. Recent studies have also demonstrated that this medication is
effective for the treatment of heavy menstrual bleeding. However, compared with
other oral contraceptive pills, this medication is associated with a higher
frequency of absent withdrawal bleeding. Furthermore, the dynamic dosing regimen
requires relatively complex instructions for users who miss pills.
PMID- 21879816
TI - Vaginal progesterone to reduce the rate of preterm birth and neonatal morbidity:
a solution at last.
PMID- 21879820
TI - Hemochromatosis and ovarian cancer.
AB - Evaluation of: Gannon PO, Medelci S, Le Page C et al. Impact of hemochromatosis
gene (HFE) mutations on epithelial ovarian cancer risk and prognosis. Int. J.
Cancer 128(10), 2326-2334 (2011). The frequency of two mutations (C282Y and D62H)
of the hemochromatosis gene were investigated in women with ovarian cancer. A
single allele mutation of the C282Y but not the H63D gene product was detected in
8-9% of women with benign ovarian tumors (n = 124) and ovarian cancers (n = 360)
compared with 2.5% for controls (n = 80) representing a 4.9-fold increase in
risk. With high-grade serous ovarian cancers (n = 179), the survival rate of
women with a single allele C282Y mutation was reduced from 39 to 19 months. These
results implicate mutations of the hemochromatosis gene in the generation and
severity of ovarian cancers, which may have prognostic value.
PMID- 21879821
TI - Surgery for recurrent ovarian cancer.
AB - Cytoreductive surgery is accepted as a major treatment of primary ovarian cancer.
The role in recurrent ovarian cancer remains a field of discussion and
controversy, mainly owing to missing data from prospective randomized trials and
lack of universal definitions. Retrospective data indicate that complete
resection of recurrent tumor formations should be aimed for, since survival
prolongation is mainly seen for patients with no residual disease. Thus, it is
most important to find predictors of complete resection, on the one hand to offer
the best therapeutic chances to patients, but on the other hand to protect
patients with limited life expectancy from additional surgical burden. The first
prospective surgical trial in recurrent ovarian cancer, AGO-DESKTOP II validated
a score ('AGO score') for complete resection. It was shown that patients with a
good general condition (ECOG 0), no residual disease after surgery for primary
ovarian cancer and absence of ascites in presurgical diagnostics have a 76%
likelihood of undergoing complete resection. In this article, further recent data
regarding surgery for recurrent ovarian cancer are going to be discussed and the
advantages of incorporating these patients into randomized trials are
highlighted.
PMID- 21879822
TI - Fertility preservation in women with cancer: importance of a multidisciplinary
approach.
AB - For all patients affected by a disease that could impair fertility before or
during the reproductive lifespan, strategies to preserve their fertility and the
ability to bear their own children is likely to be of utmost importance. While
fertility preservation is a promising option, most of the technologies currently
used are far from being well-established or are still experimental. Patients
should be aware that no method guarantees success. Psychological and ethical
impacts of fertility preservation are major concerns and should be included in
the multidisciplinary approach to the patients.
PMID- 21879823
TI - Fertility, infertility and thrombophilia.
AB - Hypercoagulation has been reported in some studies to be associated with
reproductive failures, such as unexplained infertility, IVF implantation failure
and recurrent fetal losses. Many pregnancy-related disorders have been
interpreted as consequences of impaired microvascular function and might be
viewed as a mild form of venous thromboembolic disease. In the absence of
clinical guidelines, there is a need for an evidence base regarding thrombophilic
screening and antithrombotic therapy in cases of reproductive failure. This
article will focus on the controversial effect of congenital and acquired
thrombophilia on human fertility, and will review the English literature for
relevant studies identified by searching PubMed((r)) results between January 1966
November 2010 using the key words: 'thrombophilia', 'fertility' and
'infertility'.
PMID- 21879824
TI - Pre-eclampsia, severe pre-eclampsia and hemolysis, elevated liver enzymes and low
platelets syndrome: what is new?
AB - Pre-eclampsia and eclampsia have been known to us for centuries. Significant
improvements have been made in our knowledge of the disease, however, delivery
remains the only effective form of treatment. There is widespread variation of
practice in the management of hypertensive disease in pregnancy, which may lead
to substandard care. The use of aspirin in preventing pre-eclampsia, the lack of
correlation between urinary protein and adverse outcome, and the ineffectiveness
of corticosteroids in the management of hemolysis and elevated liver enzymes and
low platelets syndrome are a few of the developments that will alter the way this
condition is managed. This article aims to provide a general overview of pre
eclampsia, eclampsia and hemolysis, hemolysis and elevated liver enzymes and low
platelets syndrome supported by the latest evidence, which will help the care
provider adopt a focused approach and use the latest knowledge to understand and
manage this old condition.
PMID- 21879825
TI - Managing low sexual desire in women.
AB - Low sexual desire is a prevalent symptom, but not one frequently volunteered by
women. When accompanied by distress, loss of libido is known as hypoactive sexual
desire disorder, which can have a significant impact on a woman's wellbeing. The
etiology of hypoactive sexual desire disorder is multifactorial and its
management requires a combination of psychosocial and pharmacological
interventions. This article outlines the assessment of patients presenting with
the symptom of low sexual desire and discusses the evidence for pharmacological
management.
PMID- 21879826
TI - Management of endometriosis-related pain: an update.
AB - Endometriosis is a chronic and recurrent condition affecting 6-10% of women of a
reproductive age. It results from implantation of endometrial tissue outside the
uterine cavity. It is associated with pelvic pain and infertility. Treatment for
endometriosis ranges from medical management, to conservative surgery, to
definitive surgery with a hysterectomy and bilateral salpingo-oophorectomy, and
should be based on patient's fertility desires and tolerance. Medical treatment
involves hormonal manipulation to induce a hypoestrogenic or antiestrogenic state
to induce regression and apoptosis of lesions. Endometriosis can have dire
effects on women's lives and productivity; this article will focus on early
diagnosis, treatment and prevention of recurrence of the disease.
PMID- 21879827
TI - Safety of tranexamic acid in women with heavy menstrual bleeding: an open-label
extension study.
AB - AIMS: An open-label, extension clinical study was conducted to assess the safety
of a novel, oral formulation of tranexamic acid (TA) in women with cyclic heavy
menstrual bleeding. PATIENTS & METHODS: Eligible patients who completed either a
three- or six-cycle double-blinded clinical trial of TA were offered enrollment
into a study of nine cycles with TA (1.3 g orally three times/day for a maximum
of 5 days per cycle). Safety was assessed by the incidence of treatment-emergent
adverse events, ophthalmologic examinations and ECGs, among other evaluations.
RESULTS: The most commonly reported treatment-emergent adverse events were
menstrual discomfort (46.2%), headache (43.9%) and back pain (23.1%). A small
proportion of participants (3.8%) reported ocular adverse events, but there was
no evidence of ocular toxicity. No prothrombotic effects were observed.
CONCLUSION: During nine menstrual cycles of treatment, this novel formulation of
TA was well tolerated and exhibited a favorable safety profile supporting its use
as a therapy for cyclic heavy menstrual bleeding.
PMID- 21879828
TI - Nutritional status of Iranian women with rheumatoid arthritis: an assessment of
dietary intake and disease activity.
AB - BACKGROUND: Long-standing chronic diseases such as rheumatoid arthritis are known
to be associated with impairment of nutritional status to some degree. The
present study aimed to assess nutritional status of women with rheumatoid
arthritis and compare their ingestion of certain micronutrients with dietary
reference intakes. METHODS: In this cross-sectional study, 90 eligible women were
recruited. After examination, all patients were evaluated on the basis of disease
activity score, calculated using the number of tender and swollen joints, patient
global assessment of pain and high sensitivity C-reactive protein (CRP). A three
day 24 h recall was completed and a 10 ml fasting blood sample was obtained to
assess the plasma levels of malondialdehyde, total antioxidant and CRP. RESULTS:
Despite normal BMI, intake of energy and micronutrients including calcium, folic
acid, zinc, magnesium and vitamin B6 were considerably lower compared with the
dietary reference intakes. There was no significant relationship between intake
of different nutrients or food groups and disease activity score and the
biochemical markers including malondialdehyde, CRP and total antioxidant.
CONCLUSIONS: Intake of energy and some micronutrients were significantly lower
than the recommended values. However, no relationship was found between intake of
different food groups or nutrients with disease activity or serum antioxidant
capacity.
PMID- 21879829
TI - Hypovitaminosis D3 in menopausal women in a tertiary care hospital in Delhi.
AB - Blood samples from 126 menopausal women, seeking treatment for different ailments
at a tertiary care, multidisciplinary hospital in Delhi, India, were examined for
their vitamin D(3), quantified by 25-hydroxvitamin D (25-OH-D) level. Using a
direct ELISA kit, the 25-OH-D levels were measured and were found to be
sufficient in 30 (23.8%) cases, adequate in 10 cases (7.9%) and deficient in 86
cases (68.2%). Severe hypo-vitaminosis in menopausal women in the Indian
subcontinent ought to be treated with oral or parenteral supplementation. Point
of-care assay formats are needed for quantification of 25-OH-D levels at
healthcare centers.
PMID- 21879831
TI - The StepOne real-time polymerase chain reaction detection of Salmonella sp.,
Salmonella enterica ser. typhimurium and enteritidis in milk and meat.
AB - The aim of this study was to follow contamination of ready to eat milk and meat
products with Salmonella spp. by using the StepOne real-time polymerase chain
reaction (PCR). Classical microbiological methods for detection of foodborne
bacteria involve the use of pre-enrichment and/or specific enrichment, following
isolation of bacteria in solid media and the final confirmation by biochemical
and/or serological tests. We used the PrepSEQ Rapid Spin Sample Preparation Kit
for isolation of DNA and MicroSEQ(r) Salmonella spp. Detection Kit for pursuance
of the real-time PCR (Applied Biosystems). In samples without incubation we
detected strain of Salmonella sp. in 5 out of 25 samples (swabs), as well as in
the internal positive control (IPC), which was positive in all samples. This
StepOne real-time PCR assay is extremely useful for any laboratory equipped by
real-time PCR. It is a fast, reproducible, simple, specific and sensitive way to
detect nucleic acids, which could be used in clinical diagnostic tests in the
future. Our results indicated that real-time PCR assay developed in this study
could sensitively detect Salmonella spp. in ready-to-eat food. This could prevent
infection caused by Salmonella, and also could benefit food manufacturing
companies by extending their product's shelf-life as well as saving the cost of
warehousing their food products while awaiting pathogen testing results.
PMID- 21879832
TI - Alternative antimicrobial compounds to control potential Lactobacillus
contamination in bioethanol fermentations.
AB - Antibiotics are commonly used to control microbial contaminants in yeast-based
bioethanol fermentation. Given the increase in antibiotic-resistant bacteria,
alternative natural antimicrobials were evaluated against the potential
contaminant, Lactobacillus. The effects of nisin, epsilon-polylysine, chitosan
(CS) and lysozyme were screened against 5 Lactobacillus strains. A standard broth
microdilution method was used in 96-well plates to assess the minimal inhibitory
concentration (MIC). L. delbrueckii subsp lactis ATCC479 exhibited maximal MICs
with CS, epsilon-polylysine and nisin (1.87, 0.3125 and 0.05 mg/mL,
respectively). Nisin reduced most Lactobacillus strains by 6 log CFU/mL after 48
hours with the exception of L. casei. Synergism occurred when
ethylenediaminetetraacetic acid (EDTA) was added with nisin. An MIC of 0.4 mg/mL
of nisin combined with the EDTA at an MIC of 1 mg/ml markedly suppressed L .casei
by 6 log CFU/mL. In conclusion, alternative antimicrobials proved to be a
potential candidate for controlling bacterial contamination in the fermentation
process. Synergistic effect of nisin with EDTA successfully inhibited the nisin
resistant contaminant, L. casei.
PMID- 21879833
TI - Lava cave microbial communities within mats and secondary mineral deposits:
implications for life detection on other planets.
AB - Lava caves contain a wealth of yellow, white, pink, tan, and gold-colored
microbial mats; but in addition to these clearly biological mats, there are many
secondary mineral deposits that are nonbiological in appearance. Secondary
mineral deposits examined include an amorphous copper-silicate deposit (Hawai'i)
that is blue-green in color and contains reticulated and fuzzy filament
morphologies. In the Azores, lava tubes contain iron-oxide formations, a soft
ooze-like coating, and pink hexagons on basaltic glass, while gold-colored
deposits are found in lava caves in New Mexico and Hawai'i. A combination of
scanning electron microscopy (SEM) and molecular techniques was used to analyze
these communities. Molecular analyses of the microbial mats and secondary mineral
deposits revealed a community that contains 14 phyla of bacteria across three
locations: the Azores, New Mexico, and Hawai'i. Similarities exist between
bacterial phyla found in microbial mats and secondary minerals, but marked
differences also occur, such as the lack of Actinobacteria in two-thirds of the
secondary mineral deposits. The discovery that such deposits contain abundant
life can help guide our detection of life on extraterrestrial bodies.
PMID- 21879834
TI - Patients' perceptions of services and preferences for care in amyotrophic lateral
sclerosis: a review.
AB - Service providers and service users often have different perspectives on health
and social care services. We have undertaken a systematic review of empirical
data between 1988 and March 2011 relating to ALS service users' perspectives on
health and social care services. Forty-seven texts were extracted and a narrative
synthesis conducted. Few studies have explored ALS patients' experiences in
relation to their satisfaction with services. Our review showed that ALS patients
expect dignified care but they are often dissatisfied with health care services
and have unmet expectations of their care. Most studies of decision-making and
preferences for care have focused on end-of-life intervention. Various factors
influence preferences for care from the service user perspective and people with
ALS may adjust their use of services as they negotiate change. In conclusion,
further research on the timeliness of services to meet changing needs of service
users is required. The service user experience of allied health care services
prior to end-of-life care also warrants investigation. Service providers need to
support people with ALS as they negotiate feelings of acceptance and
independence. Research to identify the key parameters of the ALS patient
experience of services is required.
PMID- 21879835
TI - Adrenal lesions in patients with extra-adrenal malignancy - benign or malignant?
AB - BACKGROUND: Adrenal lesions in patients with extra-adrenal malignancy can be part
of disseminated tumour disease, but may also be incidental, benign finding.
Strict characterisation is therefore crucial, and may have profound effects on
patient management. PURPOSE: To prospectively characterise and follow-up adrenal
lesions in patients with extra-adrenal malignancy, stratified into those with
past or concurrent malignancy, with or without metastases. MATERIAL AND METHODS:
All incidentally detected adrenal lesions identified at cross-sectional imaging
during 18 months in a defined geographical region were prospectively reported.
All adult oncologic patients with adrenal lesions were subjected to biochemical
work-up and dedicated adrenal imaging for lesion characterisation, including a
two year follow-up. RESULTS: Benign adrenal lesions were found in 74% (29/39) of
patients who had a history of extra-adrenal malignancy, in 53% (57/108) of those
with concurrent extra-adrenal malignancy without metastatic disease and in 25%
(27/109) in those with signs of metastatic disease. CONCLUSION: An adrenal lesion
occurring in a patient with past malignancy has a high likelihood of representing
a benign lesion, and even in patients with present signs of malignant disease at
least one fourth to one half of such lesions are benign. Dedicated adrenal
imaging including computed tomography attenuation measurements with wash-out
characteristics, in addition to biochemical testing for adrenal dysfunction, is
highly recommended in these cases, especially in patients without any other signs
of metastatic spread.
PMID- 21879836
TI - A household survey on the extent of home medication storage. A cross-sectional
study from rural Crete, Greece.
AB - BACKGROUND: Patients often have multiple chronic diseases, use multiple
prescriptions and over the counter medications resulting in polypharmacy. Many of
them store these medications for future use in their homes, rather than take them
as directed by their physician, resulting in a waste of health care resources,
and potentially dangerous misuse. OBJECTIVES: This study aimed to investigate the
magnitude of medication home hoarding, the exchange of medication with
family/friends, families' beliefs about the medication use, source of medication,
pharmaceutical class, cost of stored medicine and conditions of storage. METHODS:
A structured questionnaire was administered within the homes in two rural areas
in Crete. RESULTS: Forty families participated in the study including 85
individual household members (36 men, and 49 women with an average age of 56.5 +/
24.3 mean +/- SD). There were a total of 557 medications recorded, with 324
different medications representing a total value of ?8954. The mean quantity of
medication boxes stored in each home was 8.5 +/- 5.8. Cardiovascular medications
accounted for 56% of medications for current use; whereas analgesics (24%), and
antibiotics (17%), were the most medications being stored for future use.
Exchange of medicine was very common (95%). Beliefs that 'more expensive
medication is more effective', and that 'over the counter medications are safe
because they were easily available' were expressed. CONCLUSIONS: Medications are
being stored in large quantities in these rural areas, with a large percentage of
them being wasted or misused.
PMID- 21879837
TI - Drugs for neglected diseases: part II.
PMID- 21879838
TI - The importance of patent sharing in neglected disease drug discovery.
PMID- 21879840
TI - Interview with Solomon Nwaka.
AB - Solomon Nwaka was born in Nigeria and grew up seeing at first hand the impact of
neglected diseases. His research efforts have taken him across the globe, in both
the developed and developing world. Following posts in academia, industry and at
the Medicines for Malaria Venture, he is now at the WHO where he is engaged in
initiating schemes to support research into neglected diseases in developing
countries, notably the African Network for Drugs and Diagnostics Innovation,
which is now an organization under the United Nations Economic Commission for
Africa. He speaks to Future Medicinal Chemistry about what inspired him to take
up a career in neglected disease research and how the developing world should
lead the effort to tackle some of the diseases that most affect them.
PMID- 21879841
TI - Diarylquinolines, synthesis pathways and quantitative structure--activity
relationship studies leading to the discovery of TMC207.
AB - The emergence of multidrug-resistant strains of Mycobacterium tuberculosis and
resistance to current anti-TB drugs call for the discovery and development of new
effective anti-TB drugs. TMC207 is the lead candidate of a novel class of
antimycobacterial agents, the diarylquinolines, which specifically inhibit
mycobacterial ATP synthase and displays high activity against both drug
susceptible and multidrug-resistant strains of Mycobacterium tuberculosis. This
article covers both synthesis pathways as well as qualitative and quantitative
analyses of the structure-activity relationships of the diarylquinoline series on
Mycobacterium smegmatis activity.
PMID- 21879842
TI - Drugs for Neglected Diseases initiative model of drug development for neglected
diseases: current status and future challenges.
AB - The Drugs for Neglected Diseases initiative (DNDi) is a patients' needs-driven
organization committed to the development of new treatments for neglected
diseases. Created in 2003, DNDi has delivered four improved treatments for
malaria, sleeping sickness and visceral leishmaniasis. A main DNDi challenge is
to build a solid R&D portfolio for neglected diseases and to deliver preclinical
candidates in a timely manner using an original model based on partnership. To
address this challenge DNDi has remodeled its discovery activities from a project
based academic-bound network to a fully integrated process-oriented platform in
close collaboration with pharmaceutical companies. This discovery platform relies
on dedicated screening capacity and lead-optimization consortia supported by a
pragmatic, structured and pharmaceutical-focused compound sourcing strategy.
PMID- 21879843
TI - Challenges and opportunities in developing novel drugs for TB.
AB - Mycobacterium tuberculosis is a difficult pathogen to combat and the first-line
drugs currently in use are 40-60 years old. The need for new TB drugs is urgent,
but the time to identify, develop and ultimately advance new drug regimens onto
the market has been excruciatingly slow. On the other hand, the drugs currently
in clinical development, and the recent gains in knowledge of the pathogen and
the disease itself give us hope for finding new drug targets and new drug leads.
In this article we highlight the unique biology of the pathogen and several
possible ways to identify new TB chemical leads. The Global Alliance for TB Drug
Development (TB Alliance) is a not-for-profit organization whose mission is to
accelerate the discovery and development of new TB drugs. The organization
carries out research and development in collaboration with many academic
laboratories and pharmaceutical companies around the world. In this perspective
we will focus on the early discovery phases of drug development and try to
provide snapshots of both the current status and future prospects.
PMID- 21879844
TI - Challenges in antimalarial drug discovery.
AB - Malaria is one of the most devastating diseases in the world, affecting almost
225 million people a year, and causing over 780,000 deaths, most of which are
children under the age of 5 years. Following the recent call for the eradication
of the disease, supported by the WHO, there has been increasing investment into
antimalarial drug-discovery projects. These activities are aimed at generating
the next generation of molecules focused on the treatment and transmission
blocking of Plasmodium falciparum and Plasmodium vivax endo- and exo-erythrocytic
stages of the parasite. This article summarizes the current top-level thinking
regarding the prosecution of such endeavors and the disease-specific
considerations in project planning.
PMID- 21879845
TI - Drug repositioning in the treatment of malaria and TB.
AB - The emergence and spread of drug resistance in the malaria parasite Plasmodium
falciparum as well as multi- and extremely drug-resistant forms of Mycobacterium
tuberculosis, the causative agent of TB, could hamper the control of these
diseases. For instance, there are indications that the malaria parasite is
becoming resistant to artemisinin derivatives, drugs that form the backbone of
antimalarial combination therapy. Likewise, Mycobacterium tuberculosis strains
that are multidrug-resistant or extremely drug-resistant to first- and second
line drugs have been associated with increased mortality. Thus, more than ever,
new antimalarials and anti-TB drugs are needed. One of the strategies to discover
new drugs is to reposition or repurpose existing drugs, thus reducing the cost
and time of drug development. In this review, we discuss how this concept has
been used in the past to discover antimalarial and anti-TB drugs, and summarize
strategies that can lead to the discovery and development of new drugs.
PMID- 21879848
TI - Foreword. Special issue: adverse effects of arsenic (As) on the environment.
PMID- 21879846
TI - Nitroimidazoles for the treatment of TB: past, present and future.
AB - Tuberculosis remains a leading cause of death resulting from an infectious agent,
and the spread of multi- and extensively drug-resistant strains of Mycobacterium
tuberculosis poses a threat to management of global health. New drugs that
effectively shorten the duration of treatment and are active against drug
resistant strains of this pathogen are urgently required to develop effective
chemotherapies to combat this disease. Two nitroimidazoles, PA-824 and OPC-67683,
are currently in Phase II clinical trials for the treatment of TB and the outcome
of these may determine the future directions of drug development for anti
tubercular nitroimidazoles. In this review we summarize the development of these
nitroimidazoles and alternative analogs in these series that may offer attractive
alternatives to PA-824 and OPC-67683 for further development in the drug
discovery pipeline. Lastly, the potential pitfalls in the development of
nitroimidazoles as drugs for TB are discussed.
PMID- 21879849
TI - Arsenic-enriched groundwaters of India, Bangladesh and Taiwan--comparison of
hydrochemical characteristics and mobility constraints.
AB - Arsenic (As) enrichment in groundwater has become a major global environmental
disaster. Groundwater samples were collected from 64 sites located in the
districts of 24-Parganas (S), and Nadia in West Bengal, India (Bhagirathi sub
basin), and 51 sites located in the districts of Comilla, Noakhali, Magura,
Brahman baria, Laxmipur, Munshiganj, Faridpur and Jhenaida in Bangladesh (Padma
Meghna sub-basin). Groundwater samples were also collected from two As-affected
areas (Chianan and Lanyang plains) of Taiwan (n = 26). The concentrations of
major solutes in groundwater of the Padma-Meghna sub-basin are more variable than
the Bhagirathi sub-basin, suggesting variations in the depositional and
hydrological settings. Arsenic concentrations in groundwaters of the studied
areas showed large variations, with mean As concentrations of 125 MUg/L (range:
0.20 to 1,301 MUg/L) in Bhagirathi sub-basin, 145 MUg/L (range: 0.20 to 891
MUg/L) in Padma-Meghna sub-basin, 209 MUg/L (range: 1.3 to 575 MUg/L) in Chianan
plain, and 102 MUg/L (range: 2.5 to 348 MUg/L) in Lanyang plain groundwater. The
concentrations of Fe, and Mn are also highly variable, and are mostly above the
WHO-recommended guideline values and local (Indian and Bangladeshi) drinking
water standard. Piper plot shows that groundwaters of both Bhagirathi and Padma
Meghna sub-basins are of Ca-HCO(3) type. The Chianan plain groundwaters are of Na
Cl type, suggesting seawater intrusion, whereas Lanyang plain groundwaters are
mostly of Na-HCO(3) type. The study shows that reductive dissolution of Fe(III)
oxyhydroxides is the dominant geochemical process releasing As from sediment to
groundwater in all studied areas.
PMID- 21879851
TI - Application of geostatistics with Indicator Kriging for analyzing spatial
variability of groundwater arsenic concentrations in Southwest Bangladesh.
AB - This article seeks to explore the spatial variability of groundwater arsenic (As)
concentrations in Southwestern Bangladesh. Facts about spatial pattern of As are
important to understand the complex processes of As concentrations and its
spatial predictions in the unsampled areas of the study site. The relevant As
data for this study were collected from Southwest Bangladesh and were analyzed
with Flow Injection Hydride Generation Atomic Absorption Spectrometry (FI-HG
AAS). A geostatistical analysis with Indicator Kriging (IK) was employed to
investigate the regionalized variation of As concentration. The IK prediction map
shows a highly uneven spatial pattern of arsenic concentrations. The safe zones
are mainly concentrated in the north, central and south part of the study area in
a scattered manner, while the contamination zones are found to be concentrated in
the west and northeast parts of the study area. The southwest part of the study
area is contaminated with a highly irregular pattern. A Generalized Linear Model
(GLM) was also used to investigate the relationship between As concentrations and
aquifer depths. A negligible negative correlation between aquifer depth and
arsenic concentrations was found in the study area. The fitted value with 95 %
confidence interval shows a decreasing tendency of arsenic concentrations with
the increase of aquifer depth. The adjusted mean smoothed lowess curve with a
bandwidth of 0.8 shows an increasing trend of arsenic concentration up to a depth
of 75 m, with some erratic fluctuations and regional variations at the depth
between 30 m and 60 m. The borehole lithology was considered to analyze and map
the pattern of As variability with aquifer depths. The study has performed an
investigation of spatial pattern and variation of As concentrations.
PMID- 21879850
TI - Temporal and seasonal variability of arsenic in drinking water wells in Matlab,
southeastern Bangladesh: a preliminary evaluation on the basis of a 4 year study.
AB - Temporal and seasonal variability of As concentrations in groundwater were
evaluated in As-affected areas of Matlab, southeastern Bangladesh. Groundwater
samples from 61 randomly selected tubewells were analyzed for As concentrations
over a period of three years and four months (from July 2002 to November 2005)
and monitored seasonally (three times a year). The mean As concentrations in the
sampled tubewells decreased from 153 to 123 MUg/L during July 2002 to November
2005. Such changes were pronounced in tubewells with As concentration >50 MUg/L
than those with As concentrations <50 MUg/L. Similarly, individual wells revealed
temporal variability, for example some wells indicated a decreasing trend, while
some other wells indicated stable As concentration during the monitoring period.
The mean As concentrations were significantly higher in Matlab North compared
with Matlab South. The spatial variations in the mean As concentrations may be
due to the differences in local geological conditions and groundwater flow
patterns. The variations in mean As concentrations were also observed in shallow
(<40 m) and deep (>40 m) wells. However, to adequately evaluate temporal and
seasonal variability of As concentration, it is imperative to monitor As
concentrations in tubewells over a longer period of time. Such long-term
monitoring will provide important information for the assessment of human health
risk and the sustainability of safe drinking water supplies.
PMID- 21879852
TI - Natural contamination with arsenic and other trace elements in groundwater of the
Central-West region of Chaco, Argentina.
AB - This study covered the central agricultural region of the Chaco province, which
lacks a permanent river networks. However, during the rainy period there is
localized groundwater recharge. About 84 groundwater samples were taken during
the period April-December 2007. These groundwater samples were collected from two
different depths: 62 samples from shallow wells (4 to 20 m) and 24 samples from
deep wells (20 to 100 m). Chemical variables were determined: pH, specific
conductance, total dissolved solid, hardness, alkalinity, HCO(3)-, CO(3)(2-),
SO(4)(2-), Cl-, NO(3)-, NO(2) -, NH(4)+, F-, As((tot)), Na+, K+, Ca2+, Mg2+, Fe,
Cu, Ni, Pb and Zn. The chemical composition of groundwater in the study area is
dominantly sodium bicarbonate and sodium chloride bicarbonate, comprising more
than 60% (52/86) of shallow and deep groundwater samples. Of the 86 analyzed
groundwater samples, 88% exceeded the WHO (World Health Organization) and CAA
(Codigo Alimentario Argentino) standards (10 MUg/L) for As (arsenic) and 9%
exceeded the WHO standard (1.5 mg/L) for F(-).Groundwater highly contaminated
with As (max. 1,073 MUg/L) and F- (max. 4.2 mg/L) was found in shallow aquifer.
The contaminated groundwater is characterized by high pH (max. 8.9), alkalinity
(max. HCO(3)- 1,932 mg/L), SO(4)(2-) (max. 11,862 mg/L), Na(+) (max. 3,158 mg/L),
Cl(-) (max. 10,493 mg/L) and electric conductivity greater than 33.3 MUS/cm.
Other associated elements (Ni, Pb, Cu and Zn) are present in low concentrations,
except for Fe that in 32% of samples exceeded the guideline value of 0.3 mg/L
suggested by the CAA.
PMID- 21879853
TI - Biogeochemical characteristics of Kuan-Tzu-Ling, Chung-Lun and Bao-Lai hot
springs in southern Taiwan.
AB - Hot springs are the important natural sources of geothermally heated groundwater
from the Earth's crust. Kuan-Tzu-Ling (KTL), Chung-Lun (CL) and Bao-Lai (BL) are
well-known hot springs in southern Taiwan. Fluid and mud (sediments) samples were
collected from the eruption points of three hot springs for detailed
biogeochemical characterization. The fluid sample displays relatively high
concentrations of Na(+) and Cl(-) compared with K(+), Mg(2+), Ca(2+), NO(2) (-),
and SO(4) (2-), suggesting a possible marine origin. The concentrations of Fe,
Cr, Mn, Ni, V and Zn were significantly higher in the mud sediments compared with
fluids, whereas high concentrations of As, Ba, Cu, Se, Sr and Rb were observed in
the fluids. This suggests that electronegative elements were released during
sediment-water interactions. High As concentration in the fluids was observed to
be associated with low redox (Eh) conditions. The FTIR spectra of the humic acid
fractions of the sediments showed the presence of possible functional groups of
secondary amines, ureas, urethanesm (amide), and silicon. The sulfate-reducing
deltaproteobacterium 99% similar to Desulfovibrio psychrotolerans (GU329907) were
rich in the CL hot spring while mesophilic, proteolytic, thiosulfate- and sulfur
reducing bacterium that 99% similar to Clostridium sulfidigenes (GU329908) were
rich in the BL hot spring.
PMID- 21879854
TI - Biogeochemical interactions among the arsenic, iron, humic substances, and
microbes in mud volcanoes in southern Taiwan.
AB - Fluid and mud samples collected from Hsiaokunshui (HKS), Wushanting (WST),
Yenshuikeng (YSK), Kunshuiping (KSP), Liyushan (LYS), and Sinyangnyuhu (SYNH) mud
volcanoes of southwestern Taiwan were characterized for major ions, humic
substances (HS) and trace elements concentrations. The relationship between the
release of arsenic (As) and activities of sulfate-reducing bacteria has been
assessed to understand relevant geochemical processes in the mud volcanoes.
Arsenic (0.02-0.06 mg/L) and humic substances (4.13 * 10(-4) to 1.64 * 10(-3) mM)
in the fluids of mud volcanoes showed a positive correlation (r = 0.99, p < 0.05)
except in Liyushan mud volcano. Arsenic and iron in mud sediments formed two
separate groups i) high As, but low Fe in HKS, WST, and SYNH; and ii) low As, but
high Fe in the YSK, KSP, and LYS mud volcanoes. The Eh(S.H.E.) values of the mud
volcano liquids were characterized by mild to strongly reducing conditions. The
HKS, SYNH, and WST mud volcanoes (near the Chishan Fault) belongs to strong
reducing environment (-33 to -116 mV), whereas the LYS, YSK, and KSP mud
volcanoes located near the coastal plain are under mild reducing environment (-11
to 172 mV). At low Eh values mud volcanoes, saturation index (SI) values of
poorly crystalline phases such as amorphous ferric hydroxide indicate
understaturation, whereas saturation is reached in relatively high Eh(S.H.E.)
values mud volcanoes. Arsenic contents in sediments are low, presumably due to
its release to fluids (As/Fe ratio in YSK, KSP, and LYS sediment: 4.86 * 10(-4)
6.20 * 10(-4)). At low Eh(S.H.E.) values (mild to strong reducing environment),
arsenic may co-precipitate with sulfides as a consequence of sulfate reduction
(As/Fe ratios in WST, HKS, and SYNH sediments: 0.42-0.69).
PMID- 21879855
TI - Role of organic matter and humic substances in the binding and mobility of
arsenic in a Gangetic aquifer.
AB - Arsenic (As) enrichment in groundwater has led to extensive research,
particularly on the factors responsible for its release into groundwater. In the
Gangetic plain, organic matter driven microbial reduction of Fe-oxyhydroxides is
considered as the most plausible mechanism of As release into groundwater.
However, the role of organic matter in the aqueous environment is not well known
and particularly that of organometallic complex. In this study, we have
characterized bulk sediment and groundwater samples, collected from Barasat, West
Bengal, India, to understand the effect of organic matter in the binding and
mobility of As in the subsurface environment. The results showed a moderate
correlation (R(2) = 0.49, p < 0.05) between dissolved organic carbon (DOC) and As
in groundwater, suggesting that DOC has a role in releasing As into groundwater.
The relative fluorescent intensity (RFI) of the dissolved humic substances in
groundwater showed a maximum value of 65 QSU (mean: 47 +/- 8 QSU). FT-IR spectra
of the extracted humic acid fractions of the sediment showed COO-, C = O, OH, and
C = C (aromatic ring) functional groups, which may act as a chelating agents with
the metal(loid)s. FT-IR spectra of the HA-As complex exhibited specific peaks at
1242 and 832 cm(-1) in the fingerprint region. This is similar to the extracted
humic acid fractions of the Gangetic sediment, suggesting binding of As with
humic substances.
PMID- 21879856
TI - The potential for reductive mobilization of arsenic [As(V) to As(III)] by OSBH(2)
(Pseudomonas stutzeri) and OSBH(5) (Bacillus cereus) in an oil-contaminated site.
AB - Microbial reduction of arsenate [As(V)] plays an important role in arsenic (As)
mobilization in aqueous environments. In this study, we investigated reduction of
arsenate by different bacterial isolates such as OSBH(1) (GU329913), OSBH(2)
(GU329914), OSBH(3) (GU329915), OSBH(4) (GU329916) and OSBH(5) (GU329917),
isolated from the oil sludge of a sewage treatment plant operated by the China
Petroleum Refinery Company in Kaohsiung, southern Taiwan. Bacterial strains of
pure culture were identified by 16S rRNA analysis (>=99 % nucleotide similarity).
Morphological and 16S rRNA analysis show that the isolate OSBH(1) is similar to
E. coli, OSBH(2) is similar to P. stutzeri, OSBH(3) is similar to P. putida,
OSBH(4) is similar to P. aeruginosa, and OSBH(5) is similar to B. Cereus. The
As(V) was transformed to As(III) in the presence of isolates OSBH(2) and OSBH(5)
by a detoxification process. The potential reduction rates of As(V) were higher
in the presence of isolate OSBH(5) compared to the isolate OSBH(2). The microbial
growth (cell/mL) of isolate OSBH(5) was significantly higher in culture medium
compared to OSBH(2). The bacterial isolates such as OSBH(1), OSBH(3) and OSBH(4)
were found to be incapable of transforming the As(V). It is concluded that the
activity of the oil-degrading bacterial isolates described in this work
contributes to the mobilization of As in the more toxic As(III) form that affects
biotic life.
PMID- 21879857
TI - Fractionation and bioavailability of arsenic in agricultural soils: solvent
extraction tests and their relevance in risk assessment.
AB - Sequential extraction procedure (SEP) and a physiologically based extraction test
(PBET) were performed with the aim to estimate the mobility, bioavailability (for
plants and humans) and spatial variation of arsenic in agricultural soils in the
Valleys of Arica and Parinacota Region (Northern Chile). For this purpose, 50
topsoil samples with different total arsenic contents in soil (36.2-729 mg kg(
1)) were collected from 10 selected sites in the Valley of Lluta, Azapa and
Camarones. The SEP test results showed that arsenic was mainly associated to the
least mobile fractions: bound to amorphous and poorly crystalline hydrous oxides
of Fe and Al (11.6-44.2%) and well-crystallized hydrous oxides of Fe and Al (24.8
48.9%). Calculated values for arsenic Chronic Daily Intake (CDI), based on the
information obtained in the tests of bioaccessibility using PBET (range 1.6-9.6
mg kg(-1)), were in the range of 0.021 to 0.128 MUg As kg(-1) d(-1), not
exceeding in any case the maximum Reference Dose for Chronic Oral Exposure, RfD =
0.3 MUg kg(-1) d(-1), established by USEPA. In general, obtained results, allow
us to establish that extraction processes using solvents can be utilized as a
source of reliable and useful information for risk assessment of exposure to
arsenic from soil, over the direct use of total arsenic contents, which can lead
to an overestimation of the toxicity by direct ingestion.
PMID- 21879858
TI - Human exposure to arsenic through foodstuffs cultivated using arsenic
contaminated groundwater in areas of West Bengal, India.
AB - The widespread incidence of chronic arsenicosis in the Bengal Delta has led to
intensive research on arsenic (As) enrichment in groundwater as well as
accumulation in foodstuffs, as there are potential health risks associated with
exposure to As from both sources. This study deals with human As exposure through
the drinking of groundwater, consumption of locally grown foodstuffs (e.g., crops
and vegetables) and cooked food in Nadia district, West Bengal. Groundwater and
foodstuffs were collected and analyzed with FI-HG-AAS to estimate the total As
content. Urine samples collected from human subjects were analyzed to assess the
As exposure. Two major crops, boro and aman rice, showed a considerable amount of
As, with mean values of 194 and 156 MUg kg(-1), respectively. Significant levels
of As were also found in other common crops and vegetables cultivated in this
area (for example, the mean As in Arum and radish was 780 and 674 MUg kg(-1),
respectively). Total intake of As from foodstuffs by adults (560 MUg day(-1)) and
children (393 MUg day(-1)) in the area was found to be at alarming levels.
Arsenic exposure was demonstrated by the presence of As in urine (ranging between
154 and 276 MUg L(-1)), with overall As retention of 50-60 %. The results of this
study further indicate the potential risk of As exposure to local inhabitants
through the food chain which is associated with continuous consumption of As
contaminated foodstuffs. Therefore, more action needs to be taken to control the
contamination pathways (such as the water-soil-crop system) to protect humans
from continuous ingestion of As through foodstuffs.
PMID- 21879859
TI - Health risks for human intake of aquacultural fish: Arsenic bioaccumulation and
contamination.
AB - Aquacultural tilapia (Oreochromis mossambicus L.) and shrimp (Penaeus monodon L.)
from groundwater-cultured ponds in southwestern Taiwan were analyzed to estimate
arsenic (As) bioaccumulation and the potential health risk to human intake. Most
of aquacultural ponds exhibited higher arsenic than maximum allowed
concentrations (50 MUg L(-1)) in pond water of Taiwan. Arsenic levels in tilapia
in Budai, Yichu and Beimen were 0.92 +/- 0.52 MUg g(-1), 0.93 +/- 0.19 MUg g(-1)
and 0.76 +/- 0.03 MUg g(-1), respectively and in shrimp was 0.36 +/- 0.01 MUg g(
1) in Beimen. Total arsenic in tilapia is highly correlated (R(2) = 0.80) with
total arsenic concentration of pond water. Total arsenic in fish showed high
correlation with that in bone (R(2) = 0.98), head (R(2) = 0.97) and tissue (R(2)
= 0.96). Organic arsenic species (DMA) was found higher relative to inorganic
species of As(III) and As(V). The average percent contribution of inorganic
arsenic to total arsenic in fish samples was 12.5% and ranged between 11.7 to
14.2%. Bioaccumulation factors (BAFs) for total arsenic in fish ranged from 10.3
to 22.1, whereas BAF for inorganic arsenic ranged from 1.33 to 2.82. The mean
human health cancer risk associated with the ingestion of inorganic arsenic in
the fish was estimated at 2.36 * 10(-4) +/- 0.99 * 10(-4), which is over 200
times greater than a de Minimus cancer risk of 1 * 10(-6). The mean human health
hazard quotient associated with ingesting inorganic arsenic in the fish was 1.22
+/- 0.52, indicating that expected human exposure exceeds the reference dose for
non-cancer health effects by 22%. These results suggest that the inhabitants in
this region are being subjected to moderately elevated arsenic exposure through
the consumption of tilapia and shrimp raised in aquaculture ponds.
PMID- 21879860
TI - Levels of total arsenic in edible fish and shellfish obtained from two coastal
sectors of the Atacama Desert in the north of Chile: use of non-migratory marine
species as bioindicators of sea environmental pollution.
AB - The Camarones (CB) and Vitor (VB) Bays are situated in the middle of Atacama
Desert, and their economies are based on activities entirely associated with the
extraction of marine produce. The aim of this study was to determine the total
arsenic content in three species of fish and seven species of shellfish from
these two bays. The quantification of the total arsenic content in these products
was performed by Hydride-Generation Atomic Absorption Spectrometry, HG-AAS. The
results showed that marine species associated with the CB sector had higher total
arsenic levels than the same species in the area of VB, a finding attributed to
much higher total arsenic concentrations in the water and soils of CB than VB.
The species with the highest total arsenic concentration was the Venus antique
(7.50 mg kg (-1)) from the CB, and the lowest total arsenic content was found in
Cheilodactylidae variegatus (0.34 mg kg(-1)) from VB.
PMID- 21879861
TI - Arsenic in freshwater fish in the Chihuahua County water reservoirs (Mexico).
AB - Water reservoirs in Chihuahua County, Mexico, are affected by some punctual and
non-punctual geogenic and anthropogenic pollution sources; fish are located at
the top of the food chain and are good indicators for the ecosystems pollution.
The study goal was to: (i) determine arsenic concentration in fish collected from
the Chuviscar, Chihuahua, San Marcos and El Rejon water reservoirs; (ii) to
assess if the fishes are suitable for human consumption and (iii) link the
arsenic contents in fish with those in sediment and water reported in studies
made the same year for these water reservoirs. Sampling was done in summer, fall
and winter. The highest arsenic concentration in the species varied through the
sampling periods: Channel catfish (Ictalurus punctatus) with 0.22 +/- 0.15 mg/kg
dw in winter and Green sunfish (Lepomis cyanellus) with 2.00 +/- 0.15 mg/kg dw in
summer in El Rejon water reservoir. A positive correlation of arsenic contents
was found through all sampling seasons in fish samples and the samples of
sediment and water. The contribution of the weekly intake of inorganic arsenic,
based on the consumption of 0.245 kg fish muscles/body weight/week was found
lower than the acceptable weekly intake of 0.015 mg/kg/body weight for inorganic
arsenic suggested by FAO/WHO.
PMID- 21879862
TI - Simultaneous removal of arsenic and fluoride from groundwater by coagulation
adsorption with polyaluminum chloride.
AB - The ArCIS-UNR(r) arsenic and fluoride removal system which consists of a
coagulation-adsorption process with initial pH adjustment and two filtration
stages (up-flow gravel roughing filtration and rapid filtration), has been
already described in previous studies. This process has been successfully
implemented in full-scale plants in Argentina, with fluoride and total arsenic
concentrations in raw water up to 2 mg/L and 200 MUg/L, respectively. The aim of
the present study is to optimize fluoride removal when it is >2.0 mg/L. The
modifications proposed were to decrease the pH level to 6.4-6.6 at the roughing
filter inlet and increase the pH level to 7.2-7.6 at the rapid filter inlet to
decrease residual aluminum concentration. Laboratory and pilot scale studies were
performed using natural water with fluoride concentrations ranging from 2.4 to
3.2 mg/L and arsenic concentrations from 60 to 90 MUg/L. An optimal combination
of operational parameters and the coagulant dose (polyaluminum chloride, PACl)
was found, to achieve final concentrations of fluoride, arsenic and aluminum in
treated water lower than those set by the regulations in force. Filtration run
time was 10 to 12 hours; research studies are necessary to extend this period.
PMID- 21879863
TI - Arsenic removal from groundwater of the Chaco-Pampean plain (Argentina) using
natural geological materials as adsorbents.
AB - Use of natural geological materials for arsenic (As) removal is an emerging
solution at a household level for poor people in remote rural settlements,
especially when the materials are locally available and can be collected by the
local population. Their low or zero cost makes these materials very attractive
compared with synthetic or commercial materials. Sometimes, this may be the only
option to provide safe water to very poor settlements. Their suitability for As
removal from water is mainly due to adsorption, co-precipitation and ion exchange
processes involving Fe- and Al-rich minerals and clay minerals present in the
soils or sediments. In the present study, various clay-rich soils from the
Santiago del Estero province (SDE, NW Argentina) and, for comparison, a laterite
from the Misiones province have been tested as adsorbents for As in shallow
naturally contaminated groundwaters of the Rio Dulce alluvial aquifer in SDE.
Batch adsorption experiments showed higher As(V) removal for the Misiones
laterite sample (99 %) as compared with the soils from SDE (40-53 %), which can
be related to lower contents of water-soluble and oxalate extractable Al and Fe
in the last samples. These results suggest the application of the Misiones
laterite soil as an alternative for As removal. However, high transportation
costs from Misiones to SDE can be an economical restriction for the low-income
population of SDE.
PMID- 21879864
TI - Dangerous "spin": the probability myth of evidence-based prescribing - a Merleau
Pontyian approach.
AB - OBJECTIVE: The aim of this study was to examine logical positivist statistical
probability statements used to support and justify "evidence-based" prescribing
rules in psychiatry when viewed from the major philosophical theories of
probability, and to propose "phenomenological probability" based on Maurice
Merleau-Ponty's philosophy of "phenomenological positivism" as a better clinical
and ethical basis for psychiatric prescribing. CONCLUSIONS: The logical
positivist statistical probability statements which are currently used to support
"evidence-based" prescribing rules in psychiatry have little clinical or ethical
justification when subjected to critical analysis from any of the major theories
of probability and represent dangerous "spin" because they necessarily exclude
the individual , intersubjective and ambiguous meaning of mental illness. A
concept of "phenomenological probability" founded on Merleau-Ponty's philosophy
of "phenomenological positivism" overcomes the clinically destructive
"objectivist" and "subjectivist" consequences of logical positivist statistical
probability and allows psychopharmacological treatments to be appropriately
integrated into psychiatric treatment.
PMID- 21879865
TI - Formulation: a proposal for a more structured, longitudinal approach.
AB - OBJECTIVE: The aim of this study was to consider formulation enabling a
longitudinal perspective to improve the quality of clinical information. METHOD:
An overview of RANZCP guidelines for formulation, our observations of formulation
in practice, and consideration of the requirements of current clinical
documentation systems were employed. RESULTS: A five paragraph structured
approach to formulation, with an example, is proposed. CONCLUSION: A structured
schema for longitudinal formulation offers advantages for mental health services
working over time with consumers with severe and enduring illnesses and should be
considered in the development of clinical information systems.
PMID- 21879866
TI - Evaluating the utility of a bipolar self-test screening measure.
AB - OBJECTIVE: To detail the methodology of an online study designed to test the
utility of a bipolar self-test screening measure. METHOD: We describe the current
technical success of an online methodology in recruiting a large sample with
minimal cost. RESULTS: Over some six months, more than 120 000 people accessed
the Black Dog Institute Bipolar Self-Test, with screening criteria allowing a
cohort to be recruited. CONCLUSION: This paper details the overall study strategy
that will be reported on after a large finite sample has been derived.
PMID- 21879867
TI - Suicide in Old Norse and Finnish folk stories.
AB - OBJECTIVE: The aim of this study was to examine the folk stories of Norway,
Iceland and Finland with a view to discovering accounts of suicide as an escape
option from intolerable predicaments, and to compare any such accounts with
material from Southern Europe. METHOD: The Poetic Edda (Norway/Iceland) and The
Kalevala (Finland) were examined for accounts of suicide, and evidence regarding
the influence of these texts and individual accounts was collected. RESULTS: The
Poetic Edda provided one account and The Kalevala three accounts of suicide
performed as a means of escaping intolerable situations. Both the Poetic Edda and
The Kalevala are in public awareness and have influenced the politics and culture
of their respective regions. The individual suicides have been depicted in
literature, music and the visual arts, from the distant past to the present time.
CONCLUSION: Suicide as a means of escape from intolerable predicaments has been
public knowledge in these regions for a millennium. This is consistent with
findings from Southern Europe and substantiates that intolerable predicaments may
lead to suicide.
PMID- 21879868
TI - Adolescent admissions to adult psychiatric units: patterns and implications for
service provision.
AB - OBJECTIVE: The aim of this study was to investigate patterns of child and
adolescent admissions to an acute adult psychiatric unit in a rural city.
Correlates of admissions were then considered in terms of service reform for this
vulnerable, under-resourced group. METHOD: The study reviewed consecutive
clinical records of children and adolescents who were admitted to an acute
general psychiatric inpatient unit over a 6 year period (N = 332). RESULTS:
Patients generally experienced numerous pre-admission psychosocial stressors;
there were many abuse histories and/or juvenile justice involvement. The
principal diagnosis was varied and comorbidity was common. Maori patients were
over-represented. The majority of admissions occurred out of working hours and
more than half came from rural areas. There was high usage of the Mental Health
Act on admission. Common causes of admission were self-harm and suicidal
behaviour. The majority of the admitted adolescents required follow up by child
and adolescent mental health services after discharge. CONCLUSION: We identified
several reform possibilities, including up-skilling emergency and adult mental
health staff in child and adolescent mental health, exploration of alternatives
to admissions and specialist service coverage.
PMID- 21879869
TI - Improving the care of mentally ill patients in a tertiary emergency department:
development of a psychiatric assessment and planning unit.
AB - OBJECTIVE: The aim was to describe the Psychiatric Assessment and Planning Unit
(PAPU), established at the Royal Melbourne Hospital (RMH) to improve access to
psychiatric care for patients presenting via the Emergency Department (ED).
METHOD: PAPU was opened in January 2007. Data was recorded from RMH ED databases
to compare ED lengths of stay (LOS) prior to and after establishing PAPU.
Interventions including requirement for one-to-one nursing (i.e. one nurse
dedicated to the care and observation of one patient) and mechanical restraint
and unarmed security threats are routinely documented and were compared before
and after PAPU opened. Demographic data and inpatient LOS information was
collected by clinicians in PAPU. RESULTS: During the 12 months of initial
evaluation we found that PAPU assisted with reducing the number of patients
waiting excessive LOS in the RMH ED essentially to zero, due to both expedient
transfers from ED and increased direct admissions from the community. We were
also able to demonstrate a reduction in mechanical restraint, security codes for
unarmed threats and one-to-one nursing in the ED. CONCLUSIONS: The establishment
of the PAPU at RMH has resulted in significant improvement in the care of
patients with mental illness in the least restrictive environment, based on
decreased LOS and need for interventions.
PMID- 21879870
TI - Building consumers in to service evaluation: development of the MH-CoPES
Framework in New South Wales.
AB - OBJECTIVE: The aim of this paper is to present the Mental Health Consumer
Perceptions and Experiences of Services (MH-CoPES) Framework and discuss its
development. The Framework was developed to address the need for a consistent
approach across New South Wales mental health services for consumer involvement
in service evaluation and planning. CONCLUSIONS: A four step Framework has been
developed for implementation across adult public mental health services in NSW.
The Framework focuses not only on collecting consumers' views of mental health
services but on ensuring that procedures to report on and make changes based on
this information are in place.
PMID- 21879871
TI - The King's Speech: the stuttering puffs of royal transference.
PMID- 21879872
TI - Monitoring in clozapine rechallenge after myocarditis.
PMID- 21879873
TI - A blow to the temple.
PMID- 21879874
TI - Undiagnosis.
PMID- 21879875
TI - Core competencies of consumer consultants employed in Victorian mental health
services.
PMID- 21879878
TI - From the honorary secretary.
PMID- 21879877
TI - From the president.
PMID- 21879879
TI - From the chief executive officer.
PMID- 21879881
TI - Stemming the tide.
PMID- 21879882
TI - In vivo binding of Orc2 to a region of the chicken lysozyme GAS41 origin
containing multiple Sp1-binding sites.
AB - Most known DNA replication origins in vertebrate genomes have been found to occur
close to transcriptional promoters. The origin of bidirectional DNA replication
of the chicken lysozyme GAS41 locus was identified in a CpG island covering the
GAS41 gene promoter. In this study, we generated an alpha-Orc2 antibody from
rabbits immunized with the C-terminal half of Orc2 for studying in vivo Orc2
binding to the lysozyme-GAS41 origin. Using the chromatin immunoprecipitation
technique and quantitative real-time polymerase chain reaction, we were able to
map the Orc2-binding site to a region of the lysozyme GAS41 origin that contains
multiple Sp1/Sp3-binding sites co-mapping with two DNase I hypersensitive sites.
Further, knockdown of endogenous Sp1 by RNA interference reduced specific Orc2
binding to the lysozyme GAS41 origin. These results suggest that Sp1 participates
in recruiting Orc2 to the origin.
PMID- 21879883
TI - Risk factors for nonadherence with Pap testing in HIV-infected women.
AB - BACKGROUND: HIV-infected women are at increased risk for cervical cancer; thus,
adherence with Papanicolaou (Pap) testing is of particular importance. The
objective of this study was to identify risk factors for inadequate Pap testing
in a diverse cohort of HIV-infected women at a large urban safety net HIV clinic.
METHODS: This retrospective cohort study assessed HIV-infected women aged 18?60
years in care between October 1, 2003, and March 31, 2008, for risk factors for
inadequate Pap testing. Unadjusted odds ratios (OR) with confidence intervals
(CI) and multivariate analyses with generalized estimating equations for
correlated data were calculated. RESULTS: Of 549 women, 293 (53.4%) had a Pap
test during each follow-up period. Women who were older, white or Hispanic
race/ethnicity, U.S. born, unemployed, drug users, and those with advanced HIV
had increased odds of no Pap testing in unadjusted analyses. In multivariate
analyses, U.S.-born women who were white or unemployed or had a baseline CD4
count <200 cells/mm(3) had increased odds of no Pap testing (OR 2.0, 95% CI 1.3
3.1; OR 2.3, CI 1.0-5.0; OR 1.7, CI 1.0-2.9, respectively). For non-U.S.-born
women, age ?50 years (OR 3.9, CI 1.7-9.0), non-English-speaking status (OR 1.6,
CI 1.0-2.4), and drug use (OR 5.8, CI 2.5-13.9) were associated with no Pap
testing. CONCLUSIONS: U.S.-born status and low CD4 count were associated with
increased odds of inadequate Pap testing. Further study is needed to identify
interventions to improve Pap testing adherence in this high-risk group.
PMID- 21879884
TI - Why is Facebook so successful? Psychophysiological measures describe a core flow
state while using Facebook.
AB - People are more and more using social networking sites (SNSs) like Facebook and
MySpace to engage with others. The use of SNSs can have both positive and
negative effect on the individual; however, the increasing use of SNSs might
reveal that people look for SNSs because they have a positive experience when
they use them. Few studies have tried to identify which particular aspects of the
social networking experience make SNSs so successful. In this study we focus on
the affective experience evoked by SNSs. In particular, we explore whether the
use of SNSs elicits a specific psychophysiological pattern. Specifically, we
recorded skin conductance, blood volume pulse, electroencephalogram,
electromyography, respiratory activity, and pupil dilation in 30 healthy subjects
during a 3-minute exposure to (a) a slide show of natural panoramas (relaxation
condition), (b) the subject's personal Facebook account, and (c) a Stroop and
mathematical task (stress condition). Statistical analysis of the
psychophysiological data and pupil dilation indicates that the Facebook
experience was significantly different from stress and relaxation on many linear
and spectral indices of somatic activity. Moreover, the biological signals
revealed that Facebook use can evoke a psychophysiological state characterized by
high positive valence and high arousal (Core Flow State). These findings support
the hypothesis that the successful spread of SNSs might be associated with a
specific positive affective state experienced by users when they use their SNSs
account.
PMID- 21879885
TI - Cancer patients use hospital-based care until death: a further analysis of the
Dutch Bone Metastasis Study.
AB - PURPOSE: To describe health care utilization (HCU) at the end of life in cancer
patients. These data are relevant to plan palliative care services, and to
develop training programs for involved health care professionals. METHODS: The
Dutch Bone Metastasis Study (DBMS) was a nationwide study proving equal
effectiveness of single fraction palliative radiotherapy compared with multiple
fractions for painful bone metastases in 1157 patients. The 860 (74%) patients
who died during follow-up were included in the current analysis. The main outcome
was the frequency of hospital-based (outpatient contact or admission) and/or
general practitioner (GP) contact during the last 12 weeks of life. Changes in
HCU towards death were related to data on quality of life and pain intensity
using a multilevel regression model. RESULTS: Hospital-based HCU was reported in
1801 (63%) returned questionnaires, whereas GP contact was stated in 1246 (43%).
In 573 (20%) questionnaires, both types of HCU were reported. In multilevel
regression analyses, the frequency of outpatient contacts remained constant
during the weeks towards death, whereas the frequency of GP contacts increased.
Lower valuation of quality of life was related to both GP- and hospital-based
HCU. CONCLUSIONS: There was a high consumption of hospital-based HCU in the last
12 weeks of life of cancer patients with bone metastases. Hospital-based HCU did
not decrease during the weeks towards death, despite an increase in GP contacts.
Future planning of palliative care and training programs should encompass close
collaboration between medical specialists and GPs to optimize end-of-life care.
PMID- 21879886
TI - Long-term outcomes of immediate versus delayed nephroureterectomy for upper tract
urothelial carcinoma.
AB - PURPOSE: To compare immediate nephroureterectomy with delayed nephroureterectomy
after a trial of nephron-sparing endoscopic surgery in patients who were treated
initially at our institution from 1996 to 2004 for upper tract urothelial
carcinoma. Patients were monitored for upper tract recurrences, metastases,
cancer-specific and overall survival. Survival outcomes and perioperative
measurements were compared between treatment groups. RESULTS: Of 73 patients, 62
underwent immediate nephroureterectomy and 11 proceeded to nephroureterectomy
after failed endoscopic management. Mean follow-up for all patients was 58 months
and 75 months for patients who were alive at last follow-up. Patients treated
initially with endoscopy averaged a surveillance procedure every 3.7 months and
had a median delay to nephroureterectomy of 10 months. Perioperative measurements
at time of nephroureterectomy did not differ between groups. Overall survival 5
years from initial resection in the delayed group and from nephroureterectomy in
the immediate group was 64% and 59%, respectively; the corresponding 5-year
cancer-specific and metastasis-free survival estimates were 91% vs 80% and 77% vs
73%, respectively (P>0.05). Pathologic progression from low to high-grade
occurred in three of seven patients from the delayed group. CONCLUSIONS: Failure
of endoscopic management necessitating nephroureterectomy does not appear to
affect survival outcomes compared with immediate nephroureterectomy in patients
with upper tract urothelial carcinoma. A trial of endoscopic management can be
considered in patients with low-grade disease and a normal contralateral kidney.
Endoscopy is a viable option when there are imperative indications for nephron
sparing in the setting of high-grade disease.
PMID- 21879887
TI - Obtained mydriasis in long-term type 2 diabetic patients.
AB - PURPOSE: The purpose of this study was to evaluate obtained mydriasis and
prevalence of small pupil in long-term type 2 diabetic patients. METHODS: A
prospective observational cross-sectional study was carried out. We included 107
eyes from 107 patients (mean age: 60.8) with long-term (>=10 years) type 2
diabetes mellitus. Patients were divided into 2 groups: no apparent diabetic
retinopathy (group 1, n=33) and diabetic retinopathy (group 2, n=74). Exclusion
criteria were eyes with prior intraocular surgeries, presence of other ocular
disorders that may affect iris, or any other condition that precludes the precise
measurement of pupil size. Sixty eyes in 60 age-matched nondiabetic patients
(mean age: 61.0) scheduled for routine fundoscopy exam were enrolled as control.
We measured pupil diameter by pupil gauges at 40 min after instillation of eye
drops (phenylephrine 10% and tropicamide 1%). Small pupil was defined as pupil
diameter of 6 mm or less. RESULTS: Mean pupil diameter was 8.07 mm [standard
deviation (SD): 0.59 mm] in the control group, 7.46 mm (SD: 0.71 mm) in group 1,
and 7.24 mm (SD: 0.83 mm) in group 2. Both group 1 (P<0.001) and group 2
(P<0.001) showed significant difference when compared with the control group. The
incidences of small pupil were 1.9% in the control group, 6.1% in group 1, and
13.5% in group 2. When compared with control, group 2 showed significantly higher
incidence of small pupil (P=0.023). CONCLUSIONS: Long-term diabetic patients
showed poorer response to pharmacological mydriasis than nondiabetic patients.
Higher prevalence of small pupil size was found in patients with diabetic
retinopathy.
PMID- 21879888
TI - Who owns federally funded research? The Supreme court and the Bayh-Dole act.
PMID- 21879889
TI - Health care policy in an age of austerity.
PMID- 21879890
TI - Fallback cuts or super-committee concoction--choosing health care's policy
poison.
PMID- 21879891
TI - Asthma phenotypes and interleukin-13--moving closer to personalized medicine.
PMID- 21879892
TI - Improving care for dual eligibles through innovations in financing.
PMID- 21879893
TI - Images in clinical medicine. Lung herniation after minimally invasive
cardiothoracic surgery.
PMID- 21879894
TI - Health technologies and innovation in the global health arena.
PMID- 21879895
TI - The art of doing nothing.
PMID- 21879898
TI - A functional element necessary for fetal hemoglobin silencing.
AB - BACKGROUND: An improved understanding of the regulation of the fetal hemoglobin
genes holds promise for the development of targeted therapeutic approaches for
fetal hemoglobin induction in the beta-hemoglobinopathies. Although recent
studies have uncovered trans-acting factors necessary for this regulation,
limited insight has been gained into the cis-regulatory elements involved.
METHODS: We identified three families with unusual patterns of hemoglobin
expression, suggestive of deletions in the locus of the beta-globin gene (beta
globin locus). We performed array comparative genomic hybridization to map these
deletions and confirmed breakpoints by means of polymerase-chain-reaction assays
and DNA sequencing. We compared these deletions, along with previously mapped
deletions, and studied the trans-acting factors binding to these sites in the
beta-globin locus by using chromatin immunoprecipitation. RESULTS: We found a new
(deltabeta)(0)-thalassemia deletion and a rare hereditary persistence of fetal
hemoglobin deletion with identical downstream breakpoints. Comparison of the two
deletions resulted in the identification of a small intergenic region required
for gamma-globin (fetal hemoglobin) gene silencing. We mapped a Kurdish beta(0)
thalassemia deletion, which retains the required intergenic region, deletes other
surrounding sequences, and maintains fetal hemoglobin silencing. By comparing
these deletions and other previously mapped deletions, we elucidated a 3.5-kb
intergenic region near the 5' end of the delta-globin gene that is necessary for
gamma-globin silencing. We found that a critical fetal hemoglobin silencing
factor, BCL11A, and its partners bind within this region in the chromatin of
adult erythroid cells. CONCLUSIONS: By studying three families with unusual
deletions in the beta-globin locus, we identified an intergenic region near the
delta-globin gene that is necessary for fetal hemoglobin silencing. (Funded by
the National Institutes of Health and others.).
PMID- 21879899
TI - Cord colitis syndrome in cord-blood stem-cell transplantation.
AB - BACKGROUND: Diarrhea is a frequent complication of hematopoietic stem-cell
transplantation (HSCT). Important causes of diarrhea after HSCT include acute
graft-versus-host disease (GVHD), infections, and medications. After the
transplantation and engraftment of hematopoietic stem cells from umbilical-cord
blood, we observed a new syndrome of culture-negative, antibiotic-responsive
diarrhea not attributable to any known cause. METHODS: We conducted a
retrospective cohort study of all patients undergoing cord-blood HSCT at our
center between March 2003 and March 2010. The cord colitis syndrome was defined
as a persistent diarrheal illness in such patients that was not due to acute
GVHD, viral or bacterial infection, or another identifiable cause. Clinical and
histopathological features of patients meeting the case definition were further
analyzed. RESULTS: Among 104 patients who underwent cord-blood HSCT at our
center, the cord colitis syndrome developed in 11 (10.6%). The 1-year Kaplan
Meier cumulative probability of meeting the case definition for the syndrome was
0.16. The median time to onset after transplantation was 131 days (range, 88 to
314). All patients had a response to a 10-to-14-day course of empirical therapy
with metronidazole, alone or in combination with a fluoroquinolone. Five of the
11 patients (45%) had recurrent diarrhea shortly after discontinuation of
antibiotics, and all patients who had a relapse had a response to reinitiation of
antibiotic therapy. On histologic examination, all patients with the cord colitis
syndrome had chronic active colitis, with granulomatous inflammation present in 7
of 11 patients (64%). CONCLUSIONS: The cord colitis syndrome is clinically and
histopathologically distinct from acute GVHD and other causes of diarrhea in
patients who have undergone cord-blood HSCT and is relatively common in this
patient population. The syndrome should be considered in such patients who have
diarrhea that is not attributable to other causes.
PMID- 21879900
TI - Electronic health records and quality of diabetes care.
AB - BACKGROUND: Available studies have shown few quality-related advantages of
electronic health records (EHRs) over traditional paper records. We compared
achievement of and improvement in quality standards for diabetes at practices
using EHRs with those at practices using paper records. All practices, including
many safety-net primary care practices, belonged to a regional quality
collaborative and publicly reported performance. METHODS: We used generalized
estimating equations to calculate the percentage-point difference between EHR
based and paper-based practices with respect to achievement of composite
standards for diabetes care (including four component standards) and outcomes
(five standards), after adjusting for covariates and accounting for clustering.
In addition to insurance type (Medicare, commercial, Medicaid, or uninsured),
patient-level covariates included race or ethnic group (white, black, Hispanic,
or other), age, sex, estimated household income, and level of education. Analyses
were conducted separately for the overall sample and for safety-net practices.
RESULTS: From July 2009 through June 2010, data were reported for 27,207 adults
with diabetes seen at 46 practices; safety-net practices accounted for 38% of
patients. After adjustment for covariates, achievement of composite standards for
diabetes care was 35.1 percentage points higher at EHR sites than at paper-based
sites (P<0.001), and achievement of composite standards for outcomes was 15.2
percentage points higher (P=0.005). EHR sites were associated with higher
achievement on eight of nine component standards. Such sites were also associated
with greater improvement in care (a difference of 10.2 percentage points in
annual improvement, P<0.001) and outcomes (a difference of 4.1 percentage points
in annual improvement, P=0.02). Across all insurance types, EHR sites were
associated with significantly higher achievement of care and outcome standards
and greater improvement in diabetes care. Results confined to safety-net
practices were similar. CONCLUSIONS: These findings support the premise that
federal policies encouraging the meaningful use of EHRs may improve the quality
of care across insurance types.
PMID- 21879901
TI - Clinical practice. Care of the adult patient after sexual assault.
PMID- 21879902
TI - Images in clinical medicine. Scleroderma.
PMID- 21879903
TI - Clinical problem-solving. A problem in gestation.
PMID- 21879904
TI - Cardiac arrest and the limitations of clinical trials.
PMID- 21879905
TI - Progress in understanding the hemoglobin switch.
PMID- 21879906
TI - Finding the meaning in meaningful use.
PMID- 21879907
TI - Blast-related traumatic brain injury in U.S. military personnel.
PMID- 21879897
TI - A trial of an impedance threshold device in out-of-hospital cardiac arrest.
AB - BACKGROUND: The impedance threshold device (ITD) is designed to enhance venous
return and cardiac output during cardiopulmonary resuscitation (CPR) by
increasing the degree of negative intrathoracic pressure. Previous studies have
suggested that the use of an ITD during CPR may improve survival rates after
cardiac arrest. METHODS: We compared the use of an active ITD with that of a sham
ITD in patients with out-of-hospital cardiac arrest who underwent standard CPR at
10 sites in the United States and Canada. Patients, investigators, study
coordinators, and all care providers were unaware of the treatment assignments.
The primary outcome was survival to hospital discharge with satisfactory function
(i.e., a score of <=3 on the modified Rankin scale, which ranges from 0 to 6,
with higher scores indicating greater disability). RESULTS: Of 8718 patients
included in the analysis, 4345 were randomly assigned to treatment with a sham
ITD and 4373 to treatment with an active device. A total of 260 patients (6.0%)
in the sham-ITD group and 254 patients (5.8%) in the active-ITD group met the
primary outcome (risk difference adjusted for sequential monitoring, -0.1
percentage points; 95% confidence interval, -1.1 to 0.8; P=0.71). There were also
no significant differences in the secondary outcomes, including rates of return
of spontaneous circulation on arrival at the emergency department, survival to
hospital admission, and survival to hospital discharge. CONCLUSIONS: Use of the
ITD did not significantly improve survival with satisfactory function among
patients with out-of-hospital cardiac arrest receiving standard CPR. (Funded by
the National Heart, Lung, and Blood Institute and others; ROC PRIMED
ClinicalTrials.gov number, NCT00394706.).
PMID- 21879908
TI - Blast-related traumatic brain injury in U.S. military personnel.
PMID- 21879909
TI - Blast-related traumatic brain injury in U.S. military personnel.
PMID- 21879896
TI - Early versus later rhythm analysis in patients with out-of-hospital cardiac
arrest.
AB - BACKGROUND: In a departure from the previous strategy of immediate
defibrillation, the 2005 resuscitation guidelines from the American Heart
Association-International Liaison Committee on Resuscitation suggested that
emergency medical service (EMS) personnel could provide 2 minutes of
cardiopulmonary resuscitation (CPR) before the first analysis of cardiac rhythm.
We compared the strategy of a brief period of CPR with early analysis of rhythm
with the strategy of a longer period of CPR with delayed analysis of rhythm.
METHODS: We conducted a cluster-randomized trial involving adults with out-of
hospital cardiac arrest at 10 Resuscitation Outcomes Consortium sites in the
United States and Canada. Patients in the early-analysis group were assigned to
receive 30 to 60 seconds of EMS-administered CPR and those in the later-analysis
group were assigned to receive 180 seconds of CPR, before the initial
electrocardiographic analysis. The primary outcome was survival to hospital
discharge with satisfactory functional status (a modified Rankin scale score of
<=3, on a scale of 0 to 6, with higher scores indicating greater disability).
RESULTS: We included 9933 patients, of whom 5290 were assigned to early analysis
of cardiac rhythm and 4643 to later analysis. A total of 273 patients (5.9%) in
the later-analysis group and 310 patients (5.9%) in the early-analysis group met
the criteria for the primary outcome, with a cluster-adjusted difference of -0.2
percentage points (95% confidence interval, -1.1 to 0.7; P=0.59). Analyses of the
data with adjustment for confounding factors, as well as subgroup analyses, also
showed no survival benefit for either study group. CONCLUSIONS: Among patients
who had an out-of-hospital cardiac arrest, we found no difference in the outcomes
with a brief period, as compared with a longer period, of EMS-administered CPR
before the first analysis of cardiac rhythm. (Funded by the National Heart, Lung,
and Blood Institute and others; ROC PRIMED ClinicalTrials.gov number,
NCT00394706.).
PMID- 21879911
TI - A novel bunyavirus in China.
PMID- 21879912
TI - A novel bunyavirus in China.
PMID- 21879913
TI - A novel bunyavirus in China.
PMID- 21879915
TI - The Palliative Care Information Act.
PMID- 21879917
TI - Reforming Medicare--toward a modified Ryan plan.
PMID- 21879918
TI - Reforming Medicare--toward a modified Ryan plan.
PMID- 21879920
TI - Anaphylaxis from peanuts ingested by blood donors?
PMID- 21879922
TI - Kidney paired donation--the next step.
PMID- 21879926
TI - Strategies for strengthening the evidence base for employee health promotion
programs.
AB - Employee health promotion programs have been a visible facet of the American
workplace for more than 30 years. During that time, a substantial amount of
research on best practices has been conducted, but because of a lack of
significant public investment in research funding there is still much to be done.
Most researchers and practitioners familiar with the literature recognize the
need to strengthen the evidence base for the field. In this edition of The Art of
Health Promotion we examine the primary recommendations emerging from a recent
federally sponsored effort to identify strategies for strengthening the evidence
base for employee health promotion.
PMID- 21879927
TI - Intervention Mapping as a framework for developing an intervention at the
worksite for older construction workers.
AB - PURPOSE: The purpose of this study was to apply the Intervention Mapping approach
as a framework in the development of a worksite intervention to improve the work
ability of construction workers. DESIGN: Development of an intervention by using
the Intervention Mapping approach. SETTING: Construction worksite. PARTICIPANTS:
Construction workers aged 45 years and older. MEASURES AND ANALYSIS: According to
the principles of Intervention Mapping, evidence from the literature was combined
with data collected from stakeholders (e.g., construction workers, managers,
providers). RESULTS: The Intervention Mapping approach resulted in an
intervention with the following components: (1) two individual visits of a
physical therapist to lower the physical workload, (2) a Rest-Break tool to
improve the balance between work and recovery, and (3) two empowerment training
sessions to increase the range of influence at the worksite. CONCLUSIONS:
Application of Intervention Mapping in the development of a worksite prevention
program was useful in the construction industry to obtain a positive attitude and
commitment. Stakeholders could give input regarding the program components as
well as provide specific leads for the practical intervention strategy. Moreover,
it also gives insight in the current theoretical and empirical knowledge in the
field of improving the work ability of older workers in the construction
industry.
PMID- 21879928
TI - The SmokingPaST Framework: illustrating the impact of quit attempts, quit
methods, and new smokers on smoking prevalence, years of life saved, medical
costs saved, programming costs, cost effectiveness, and return on investment.
AB - PURPOSE: Describe the specifications of the Smoking Prevalence, Savings, and
Treatment (SmokingPaST) Framework and show how it can illustrate the impact of
quit attempts, quit method, number of new smokers, smoking rates of immigrants
and emigrants, and death rates of smokers and nonsmokers on future smoking
prevalence rates, program costs, years of life saved, medical costs saved, cost
effectiveness of programs, and return on investment (ROI). FRAMEWORK
SPECIFICATIONS: Mathematical relationships among factors in SmokingPaST are
described. Input variables include baseline smoking rates among current adults,
new adults, immigrants, and emigrants; population counts for these groups; annual
quit attempts; and distribution of quit methods. Assumption variables include
success rate by quit method, death rates of smokers and nonsmokers, annual
medical costs of smoking, costs per person for four tobacco treatment methods,
age distribution of quitters, and distribution of medical cost funding by source.
Output variables include year-end adult smoking rates, successful quitters, years
of life saved by quitting, medical costs saved by quitting and by not hiring
smokers, total costs of smoking treatment programs, cost per quitter, cost per
life-year saved, distribution of medical cost savings from quitting, and ROI of
treatment costs. APPLICATIONS: The Framework was applied at the employer, county,
state, and national levels. CONCLUSIONS: The SmokingPaST Framework provides a
conceptually simple framework that can be applied to any population. It
illustrates that significant drops in smoking rates can be achieved and
significant savings in medical costs can be captured by employers as well as
state and federal governments through tobacco treatment and prevention programs.
Savings are especially important for reducing state and federal government
deficits and enhancing job competitiveness.
PMID- 21879929
TI - Commentaries on "Workplace health promotion will become irrelevant in national
policy if we do not learn to speak with one voice".
PMID- 21879930
TI - Speaking with one voice on worksite wellness: the American Cancer Society, the
American Diabetes Association, and the American Heart Association.
PMID- 21879931
TI - Finding common ground in the use of financial incentives for employee health
management: a call for a progress-based approach.
PMID- 21879933
TI - National prevention strategy released.
PMID- 21879934
TI - American Journal of Health Promotion presents the recipient of the 2011 Robert F.
Allen Symbol of H.O.P.E Award.
PMID- 21879935
TI - Step It UP: a multicomponent intervention to increase stair use in a university
residence building.
AB - PURPOSE: Examine the effects of a multicomponent intervention on stair usage.
DESIGN: Nonrandomized controlled intervention. SETTING: Two multistory university
dormitories. SUBJECTS: Total of 5711 direct observations of university dormitory
residents. INTERVENTION: The 2-week "Step It UP" intervention used poster prompts
and fun/competitive challenges with incentives to encourage residents to take the
stairs instead of the elevators. MEASURES: Ascending stair and elevator use was
directly observed and coded for gender at high traffic times in intervention and
control dormitories for 14 nonconsecutive hours over 1 week each at baseline,
midintervention, and 1 week postintervention. ANALYSIS: The proportions of
ascending stair and elevator users were compared using chi(2) analyses. RESULTS:
Baseline stair use was equal between intervention and control dormitories (24.9%
and 27.8%, respectively; chi(2)[1, N = 1849] = .08; p = .77). Stair use
significantly increased from baseline in the intervention dormitory to 33.24%
(chi(2)[1, N = 2192] = 18.44; p < .001) compared with no change in the
control. Stair use returned to baseline in the intervention dormitory at
postintervention (25.4%; chi(2)[1, N = 2297] = .08; p = .78). There were no
significant differences between genders. CONCLUSION: This multicomponent, short
duration intervention significantly increased stair usage in a university
dormitory relative to the control but was unable to sustain the increase when
prompts were removed. Campaigns to sustain stair use are needed. Formative
assessment is required to determine what combinations of components may yield the
most cost-effective approach for future interventions.
PMID- 21879936
TI - Human papillomavirus and cervical screening: misconceptions undermine adherence.
AB - PURPOSE: Prophylactic vaccination programs in conjunction with cervical screening
can significantly reduce the incidence of cervical cancer worldwide. This study
tested the hypothesis that human papillomavirus (HPV) vaccination can adversely
affect adherence to cervical screening if the public develops the misconception
that the HPV vaccination has removed the need for screening. DESIGN: A postal
survey using a stratified random sample was employed. PARTICIPANTS: Overall, 500
medical students and 500 nonmedical students of the Aristotle University of
Thessaloniki were invited to participate. The response rate was 82%. MEASURES: A
questionnaire was developed assessing demographic characteristics, adherence to
cervical screening, and awareness and attitudes toward HPV. ANALYSIS: Logistic
regression was used to assess the impact of HPV awareness and attitudes towards
HPV vaccination on adherence to Papanicolaou screening, controlling for place of
origin and mother's screening behavior. RESULTS: Students who believed that
vaccination against HPV obviated the need for a Papanicolaou test were two times
less likely to adhere to cervical screening (adjusted odds ratio, 1.89; 95%
confidence interval, 1.37-2.57; p = .0004). The effect was stronger in the
nonmedical subgroup (adjusted odds ratio, 3.05; 95% confidence interval, 1.85
5.160; p = .0003). CONCLUSION: Findings highlight that misconceptions can
adversely affect preventive behaviors and reduce the probability of an early
diagnosis of cervical cancer.
PMID- 21879937
TI - Expert perspectives on fruit and vegetable consumption in Australia.
AB - PURPOSE: To examine food system experts' explanations for the consistently low
level of fruit and vegetable consumption in Australia and what can be done to
increase it. DESIGN: A qualitative survey of food system experts. SETTING: The
survey was administered online to experts across Australia between August and
November 2008 using SurveyMonkey.com. SUBJECTS: Key informants (N = 332) in
sectors ranging from farming through food waste disposal; 122 usable responses
were analyzed. MEASURES: Opinions about fruit and vegetable consumption were
collected through open-ended questions. Respondent background information was
also collected. ANALYSIS: Identification of key themes via qualitative analysis.
RESULTS: Many reasons for inadequate consumption were suggested, most of which
related to inadequacies in the supply of fruit and vegetables. However, the most
commonly cited solutions focused on increasing consumer demand. CONCLUSION: The
incongruence between reasons for inadequate consumption and proposed solutions
presents a challenge and suggests a need to shift policy priorities towards
improving the supply of fruit and vegetables. Several well-coordinated approaches
between the various sectors are needed to maximize outcomes. They include some
outside the scope of traditional health promotion efforts.
PMID- 21879938
TI - Increased self-efficacy for vegetable preparation following an online, skill
based intervention and in-class tasting experience as a part of a general
education college nutrition course.
AB - PURPOSE: Assess the effectiveness of the integration of vegetable demonstration
videos and tasting experiences into a college nutrition course to influence
students' readiness to change vegetable intake, self-efficacy for vegetable
preparation, and usual vegetable intake. DESIGN: Quasiexperimental,
preintervention-postintervention comparisons. SETTING: College nutrition course.
SUBJECTS: Of the 376 students enrolled in the course, 186 completed the online
assessments (145 female, 41 male; mean age, 20 years). INTERVENTION: Participants
viewed online vegetable preparation videos and participated in vegetable tasting
experiences that featured four target vegetables, one vegetable each month for 4
months. MEASURES: Preintervention and postintervention online surveys determined
usual vegetable intake, readiness to change vegetable consumption, and self
efficacy of vegetable preparation. ANALYSIS: Chi-square distribution and paired
sample t-tests were used to examine differences preintervention and
postintervention. RESULTS: Stage of readiness to change vegetable intake shifted
from contemplation toward preparation (p < .001). Self-efficacy of vegetable
preparation increased and postintervention self-efficacy was associated with
total and target vegetable consumption (p = .001 and p = .005, respectively).
The average intake of asparagus, one of four target vegetables, increased (p =
.016); similar changes were not observed for target or total vegetable
consumption. CONCLUSION: Online vegetable demonstration videos may be an
effective and cost-efficient intervention for increasing self-efficacy of
vegetable preparation and readiness to increase vegetable consumption among
college students. More research is needed to determine long-term effects on
vegetable consumption.
PMID- 21879939
TI - Stress level, health behaviors, and quality of life in employees joining a
wellness center.
AB - PURPOSE: Examine the relationship between stress level and quality of life at a
worksite wellness center. DESIGN: A survey completed when joining the wellness
center. Setting . Employee wellness center. SUBJECTS: Survey that inquired about
stress, health behaviors, and quality of life of more than 13,000 employees
joining a wellness center. MEASURES: A series of questions about current health
status and health behaviors. ANALYSIS: Two-sample t-tests assuming unequal
variances. RESULTS: A total of 2147 of these employees reported having high
stress levels. Employees with high stress levels had statistically significant
lower quality of life, more fatigue, and poorer health compared with employees
with low stress levels. In terms of their ability and motivation to participate
in wellness programs, the high-stress employees were also less active and had
less healthy nutritional habits, less support, and less confidence in their
ability to be active. They also reported having more health problems, including
high blood pressure, high blood sugar, high cholesterol, and overweight.
CONCLUSIONS: It appears that employees with high stress levels--those who might
most benefit from participation in wellness programs--may experience the greatest
difficulty participating actively in wellness programs because of their lack of
support, low confidence, and numerous health problems. Perhaps offering tailored
stress reduction programs for these employees would be beneficial.
PMID- 21879940
TI - The role of worksite and home smoking bans in smoking cessation among U.S.
employed adult female smokers.
AB - PURPOSE: Examine the association of work and home smoking bans with quitting
behaviors among employed female smokers in the United States. DESIGN: Secondary
analyses using cross-sectional data from the 2006/2007 Tobacco Use Supplement to
the Current Population Survey. SUBJECTS: Nationally representative sample of 7610
U.S. employed female smokers, aged 18 to 64 years, who reported working indoors.
Setting . N/A. METHODS: Multivariate logistic regression analyses were conducted
to examine the association of smoking ban policies (complete work and home bans,
complete work ban only, complete home ban only, and no complete work or home ban)
with intention to quit in the next 30 days, at least one quit attempt in the past
year, and sustained abstinence of at least 3 months in the past year. RESULTS:
Twenty-nine percent of women reported complete work and home smoking bans.
Smoking bans were not associated with intention to quit and were marginally
associated with sustained abstinence. Regardless of intention to quit, women with
complete work and home bans were significantly more likely than those without
complete work and home bans to report quit attempts. Among women with no
intention to quit, the odds of having a quit attempt were significantly higher
among women who had a complete home ban only compared with women without complete
work and home bans. CONCLUSIONS: Efforts to promote quitting behaviors among
employed female smokers may be facilitated by increasing rates of complete
smoking bans at both work and home settings.
PMID- 21879941
TI - A systematic examination of smoke-free policies in multiunit dwellings in
Virginia as reported by property managers: implications for prevention.
AB - PURPOSE: In most states, smoking has been curtailed to some extent in public
buildings, workplaces, and restaurants. The next frontier for smoke-free policies
is the multiunit dwelling industry. However, the extent to which smoke-free
housing currently is available is unknown. The purpose of this study was to
measure the market for smoke-free housing in Virginia and to identify barriers to
adopting smoke-free policies. DESIGN: Telephone interviews were conducted with
property managers of rental apartments, townhouses, senior housing, and public
housing in four Virginia cities. SETTING: Four cities in Virginia. SUBJECTS: Two
hundred sixty-three property managers in four cities in Virginia (approximately
75 property managers per city). MEASURES: Property managers were administered a
brief telephone survey. RESULTS: Only 33.8% of property managers reported some
type of smoke-free policy, with only 15% of those policies prohibiting smoking in
residential units. Most property managers without a smoke-free policy were not
considering adopting such a policy for a variety of reasons. CONCLUSION: The
availability of smoke-free multiunit dwellings is severely lacking. This study
identified a number of science-based and legal misperceptions that may prevent
the adoption of smoke-free policies. Correction of these misperceptions is
warranted to increase the availability of smoke-free housing. Such policies will
be useful in creating environments that support good health practices while
simultaneously protecting tenants from exposure to secondhand smoke.
PMID- 21879942
TI - Does the environment moderate the impact of a mass media campaign to promote
walking?
AB - PURPOSE: To examine if a mass media campaign influenced walking differently in
people in different physical environments. DESIGN: Quasi-experimental study.
Setting . Wheeling, West Virginia. PARTICIPANTS: Random sample of adults age 50
to 65 years, response rate: 72.1% (n = 719 in intervention community, n = 753
in comparison community). INTERVENTION: Mass media campaign. MEASURES: Self
reported measures were used in before and after telephone surveys for walking and
the physical environment. Measures included 11 environmental walkability items,
from which two subscales (i.e., usable sidewalks/aesthetics and facilities) were
extracted. ANALYSIS: Multiple linear regression. RESULTS: Overall, walking
increased by 2.7 minutes per week (standard deviation [SD] = 231.1, not
significant [NS]). When confined to those insufficiently active at baseline
(i.e., <30 minutes per day) the minutes walked increased by 92.1 minutes (SD =
152.9, p < .001). For the insufficiently active at baseline in the top half of
the environmental factor of usable sidewalks, walking increased by 19 minutes
more than in the bottom half (NS). For the factor of aesthetics and facilities,
people in the more walkable environment increased walking by 87 minutes more than
those in the bottom half (p < .001). CONCLUSION: In this community-wide physical
activity, intervention changes in walking after the campaign were significantly
moderated by some environmental attributes. This contributes to the limited
evidence on the impact of the environment in enhancing community physical
activity interventions. This finding needs to be replicated in other community
interventions with greater environmental variation.
PMID- 21879943
TI - The effects of commuter pedestrian traffic on the use of stairs in an urban
setting.
AB - PURPOSE: Most public health physical activity guidelines now encourage people to
look for opportunities to accumulate physical activity throughout the day.
Climbing stairs in lieu of riding escalators is a prime opportunity to make
healthier choices that promote active living. The purpose of this investigation
was to examine the effects of pedestrian commuter traffic on choices to ride an
escalator, walk up an escalator, or walk up adjacent stairs in a busy urban
subway station at rush hour. DESIGN: A total of 9766 commuters were observed by
two recorders for a 2.5-hour period during the morning rush hour over 8 weeks as
to whether the commuters walked up stairs or rode an adjacent escalator in a
subway station. The number of observations per 5-minute block was recorded, and
an index of commuter traffic was computed. Demographic information and use of
escalators/stairs were also recorded. SETTING: An urban subway station with a two
flight staircase adjacent to an escalator. PARTICIPANTS: Adult commuters
travelling to work during the morning rush hour. MEASURES: Physical activity
choices were examined in relation to commuter traffic. Demographic information,
such as age, race, and weight status, were also considered. ANALYSIS: A chi(2)
analysis was used to examine differences in proportions across variables of
interest. Means were compared by using multivariate analysis of variance, and
confidence intervals were computed. RESULTS: During the least-heavy commuter
traffic period, only 11.2% of commuters chose to walk up the stairs, whereas
significantly more did so during moderate 18.7% and high 20.8% commuter traffic
periods (chi(2) = 61.8, p < .001). During low-traffic times, significantly more
commuters (21.4%) walked up the escalators compared with moderate-traffic (18.0%)
or high-traffic (18.3%) periods. African-American commuters passively rode the
escalator more (68.2%) than white commuters (56.7%), and their patterns were less
affected by commuter traffic (p < .05). CONCLUSION: Congestion in public places
can have a significant effect on opportunities for choosing active versus passive
options in moving through public places. Urban planners should consider this when
designing facilities in busy locations.
PMID- 21879944
TI - Associations between natural amenities, physical activity, and body mass index in
100 North Carolina counties.
AB - PURPOSE: To examine associations between county-level natural amenities, physical
activity (PA), and body mass index (BMI). DESIGN AND SETTING: Cross-sectional
study among 100 North Carolina counties. MEASURES: We obtained percentage of
county residents meeting PA criteria and county-wide means for reported height
and weight from the North Carolina Behavioral Risk Factor Surveillance System,
combining years 2003, 2005, and 2007. The county natural amenities scale was the
independent variable. Potential county-level covariates were percentage rural,
percentage black, median age, and median household income (Census 2000).
ANALYSIS: We conducted weighted bivariate and linear regression analyses to
examine relationships between natural amenities, aggregate PA, and aggregate BMI.
RESULTS: BMI and natural amenities were negatively associated (parameter estimate
= -.13 (.06), p = .03). When percentage meeting PA criteria was included, the
parameter estimate attenuated 15%. CONCLUSION: There was an inverse relationship
between natural amenities and BMI, partially mediated by PA.
PMID- 21879945
TI - Changes in physician costs among high-cost transcendental meditation
practitioners compared with high-cost nonpractitioners over 5 years.
AB - PURPOSE: To determine whether the Transcendental Meditation (TM) technique can
affect the physician costs of consistently high-cost people. DESIGN: Quasi
experimental, longitudinal, cost-minimization evaluation. This 14-year,
preintervention-postintervention study retrospectively assessed government
payments to physicians for treating the TM and no-treatment (NT) groups. SETTING:
Province of Quebec, Canada. PARTICIPANTS: The highest-spending 10% of 1418 Quebec
health insurance enrollees who practiced the TM technique were compared with the
highest 10% of 1418 subjects who were randomly selected from enrollees of the
same age, sex, and region. TM participants had chosen to begin the technique
prior to choosing to enter the study. MEASURES: Annual payments to private
physicians in all treatment settings. The Quebec government health insurance
agency provided the total physician payments for each of the 2836 subjects from
1981 to 1994. Other medical expense data for individuals were unavailable. Data
were adjusted for medical cost inflation. ANALYSIS: For each subject, least
squares regression slopes were calculated to estimate preintervention and
postintervention annual rates of change in payments. The groups' means, slopes,
and medians were compared using both parametric and nonparametric tests. RESULTS:
Before starting meditation, the yearly rate of increase in payments to physicians
between groups was not significantly different. After commencing meditation, the
TM group's mean payments declined $44.93 annually (p = .004), whereas the NT
comparison group's payments exhibited nonsignificant changes. After 1 year, the
TM group decreased 11%, and after 5 years their cumulative reduction was 28% (p
= .001). CONCLUSIONS: The results suggest the intervention may be an effective
method for reducing physician costs. Randomized studies are recommended.
PMID- 21879948
TI - Role of size and composition of traffic and agricultural aerosols in the
molecular responses triggered in airway epithelial cells.
AB - The increased levels of fine particles in the atmosphere are suspected of
aggravating cardiopulmonary diseases, but the determinants of particle toxicity
are poorly understood. This work aims at studying the role of composition and
size in the toxicity of size-segregated particulate matter (PM) collected at
different sites on human bronchial epithelial cells. PM were sampled at a traffic
urban site (Urb S) and a rural site (Rur S) during the pesticide-spreading
period. Ultrafine (UF), fine (F), and coarse (C) PM were characterized by their
shape and chemical composition. Whatever the site, the finest PM (UF and F)
induced the mRNA expression of CYP1A1, a biomarker of polyaromatic hydrocarbons
(PAH) exposure, NQO-1 and heme HO-1, two antioxidant responsive element-driven
genes; and two effect biomarkers, GM-CSF, a proinflammatory cytokine and
amphiregulin (AR), a growth factor. C PM have a low or no effect. Interestingly,
AR is more strongly induced by rural PM at the same mass exposure. These
discrepancies suggest involvement of PM chemical composition: rural PM bearing
the characteristics of aged aerosols with a high content of water-soluble
components, and PM at urban kerbside sites containing mainly water-insoluble
components. To conclude, we provide evidence that the finest PM fractions,
whatever their origin, are more prone to induce exposure and effect biomarkers.
The AR differential expression suggests a source-dependent effect requiring
further investigation because of the role of this growth factor in airway
remodeling, a characteristic feature of chronic lung respiratory diseases
exacerbated by particulate pollution.
PMID- 21879949
TI - Exposure to fluoropolymers and VOCs during spray sealant product use.
AB - Fluoropolymer based tile and fabric spray sealants were evaluated for the release
of airborne fluoropolymer constituents and volatile organic compounds (VOCs)
during typical product use scenarios in a simulated bathroom and a simulated
recreational vehicle. Fluoride was quantified after oxygen bomb digestion of
airborne spray collected from personal, area, and surface samples. VOCs were
quantified by gas chromatography/mass spectrometry (GC/MS) and gas
chromatography/flame ionization spectrometry (GC/FID). Tile grout sealant
contained approximately 1% acrylic fluoropolymer resin and 90% VOCs not including
propellants. VOCs were short- and medium- chain methylated isoparaffinic
hydrocarbons. When horizontally spraying a bathroom shower floor, grout spray
sealant released a non-detectable amount of fluoride (<0.8 ug/m3) and 400-1400
mg/m3 total VOCs. When vertically spraying a shower wall, up to 2.0 ug/m3 of
fluoride and from 1000 to 2300 mg/m3 total VOCs were detected. Fabric spray
sealant contained 1% acrylic fluoropolymer resin and approximately 90% VOCs
including perchloroethylene (PERC). Fabric spray released from 0.5 to 2.3 ug/m3
fluoride inside a recreational vehicle in the absence of crosswinds and less than
0.5 ug/m3 fluoride in the presence of a 10 mph crosswind. VOC release measured
240-938 mg/m3 without crosswinds and 161-522 mg/m3 with crosswinds. These studies
show that fluoropolymer constituents from fluorinated spray sealants were near
non-detectable levels in the breathing zone in nearly all samples while VOCs were
measured at elevated levels (>400 mg/m3). The toxicological consequences of
elevated VOCs during sealant spraying and the effects of certain fluoropolymer
constituents are discussed.
PMID- 21879950
TI - Quantitative exposure matrix for asphalt fume, total particulate matter, and
respirable crystalline silica among roofing and asphalt manufacturing workers.
AB - This paper summarizes available data on worker exposures to asphalt fume (soluble
fraction), total particulate matter, and respirable crystalline silica (quartz)
[hereinafter RCS] over a 30-year period in Owens Corning's asphalt production and
roofing manufacturing plants. For the period 1977 through 2006, the air
monitoring database contains more than 1,400 personal samples for asphalt fume
(soluble fraction), 2,400 personal samples for total particulate, and 1,300
personal samples for RCS. Unique process-job categories were identified for the
asphalt production and roofing shingle manufacturing plants. Quantitative
exposures were tabulated by agent, process-job, and calendar period to form an
exposure matrix for use in subsequent epidemiologic studies of the respiratory
health of these workers. Analysis of time trends in exposure data shows
substantial and statistically significant exposure reductions for asphalt fume
(soluble fraction), total particulate matter, and respirable crystalline silica
at Owens Corning plants. Cumulative distribution plots for the most recent
sampling period (2001-2006) show that 95% of the asphalt fume (soluble fraction)
measurements were less than 0.25 mg/m3; 95% of the total particulate measurements
were less than 2.2 mg/m3; and 95% of the RCS measurements were less than 0.05
mg/m3. Several recommendations are offered to improve the design of future
monitoring efforts.
PMID- 21879952
TI - Partnership to promote preventive care for pets.
PMID- 21879953
TI - More on proposed changes to veterinary curriculum.
PMID- 21879954
TI - What is your diagnosis? Spinal fracture.
PMID- 21879955
TI - What is your diagnosis? Calcinosis circumscripta.
PMID- 21879956
TI - What is your neurologic diagnosis? Blastomyces dermatitidis encephalitis.
PMID- 21879957
TI - Pathology in practice. Bacterial pseudomycetoma.
PMID- 21879958
TI - Relationships between more than two variables.
PMID- 21879959
TI - Effects of nutrition choices and lifestyle changes on the well-being of cats, a
carnivore that has moved indoors.
PMID- 21879960
TI - Compendium of animal rabies prevention and control, 2011.
PMID- 21879961
TI - Survey of colleges and schools of veterinary medicine regarding education in
complementary and alternative veterinary medicine.
AB - OBJECTIVE: To obtain information on educational programs offered in complementary
and alternative veterinary medicine (CAVM) among AVMA Council on Education (COE)
accredited colleges and schools of veterinary medicine. DESIGN: Survey. SAMPLE:
41 COE-accredited colleges and schools of veterinary medicine. PROCEDURE: A
questionnaire was e-mailed to academic deans at all COE-accredited colleges and
schools of veterinary medicine. RESULTS: Responses were received from 34 of 41
schools: 26 in the United States, 2 in Canada, 3 in Australia and New Zealand,
and 3 in Europe. Sixteen schools indicated that they offered a CAVM course.
Nutritional therapy, acupuncture, and rehabilitation or physical therapy were
topics most commonly included in the curriculum. One school required a course in
CAVM; all other courses were elective, most of which were 1 to 2 credit hours.
Courses were usually a combination of lecture and laboratory; 2 were lecture
only, and 1 was laboratory only. Of the 18 schools that reported no courses in
CAVM, many addressed some CAVM topics in other courses and 4 indicated plans to
offer some type of CAVM course within the next 5 years. CONCLUSIONS AND CLINICAL
RELEVANCE: The consensus among survey respondents was that CAVM is an important
topic that should be addressed in veterinary medical education, but opinions
varied as to the appropriate framework. The most common comment reflected strong
opinions that inclusion of CAVM in veterinary medical curricula must be evidence
based. Respondents indicated that students should be aware of CAVM modalities
because of strong public interest in CAVM and because practitioners should be
able to address client questions from a position of knowledge.
PMID- 21879962
TI - Development of new canine and feline preventive healthcare guidelines designed to
improve pet health.
PMID- 21879963
TI - Effects of intravenous administration of lactated Ringer's solution on
hematologic, serum biochemical, rheological, hemodynamic, and renal measurements
in healthy isoflurane-anesthetized dogs.
AB - OBJECTIVE: To determine the hematologic, serum biochemical, rheological,
hemodynamic, and renal effects of IV administration of lactated Ringer's solution
(LRS) to healthy anesthetized dogs. DESIGN: 4-period, 4-treatment cross-over
study. ANIMALS: 8 healthy mixed-breed dogs. PROCEDURES: Each dog was
anesthetized, mechanically ventilated, instrumented, and randomly assigned to
receive LRS (0, 10, 20, or 30 mL/kg/h [0, 4.5, 9.1, or 13.6 mL/lb/h]), IV, on 4
occasions separated by at least 7 days. Blood hemoglobin concentration and serum
total protein, albumin, lactate, and electrolyte concentrations; PCV; colloid
osmotic pressure; arterial and venous pH and blood gases (Po2; Pco2); whole blood
and plasma viscosity; arterial and venous blood pressures; cardiac output;
results of urinalysis; urine production; glomerular filtration rate; and
anesthetic recovery times were monitored. Oxygen delivery, vascular resistance,
stroke volume, pulse pressure, and blood and plasma volume were calculated.
RESULTS: Increasing rates of LRS administration resulted in dose-dependent
decreases in PCV; blood hemoglobin concentration and serum total protein and
albumin concentrations; colloid osmotic pressure; and whole blood viscosity.
Plasma viscosity; serum electrolyte concentrations; data from arterial and venous
blood gas analysis; glomerular filtration rate; urine production; heart rate;
pulse, central venous, and arterial blood pressures; pulmonary vascular
resistance; and oxygen delivery did not change. Pulmonary artery pressure, stroke
volume, and cardiac output increased, and systemic vascular resistance decreased.
CONCLUSIONS AND CLINICAL RELEVANCE: Conventional IV infusion rates of LRS to
isoflurane-anesthetized dogs decreased colligative blood components; increased
plasma volume, pulmonary artery pressure, and cardiac output; and did not change
urine production or oxygen delivery to tissues.
PMID- 21879964
TI - Association between hepatic histopathologic lesions and clinical findings in dogs
undergoing surgical attenuation of a congenital portosystemic shunt: 38 cases
(2000-2004).
AB - OBJECTIVE: To review hepatic histopathologic lesions in dogs undergoing surgical
attenuation of a congenital portosystemic shunt (CPSS) in relation to clinical
findings and tolerance of complete surgical attenuation. DESIGN: Retrospective
case series. ANIMALS: 38 dogs that underwent surgical attenuation of a CPSS.
PROCEDURES: Hepatic histologic examination findings and medical records of dogs
undergoing surgical attenuation of a single CPSS between August 2000 and July
2004 were reviewed. Liver biopsy specimens were obtained from 38 dogs during
surgery prior to complete (n = 16) or partial (22) attenuation of a CPSS and from
13 of the same dogs a median of 3 months following surgical attenuation. RESULTS:
Portal tracts were inadequate for interpretation in 2 liver biopsy specimens.
Liver biopsy specimens obtained prior to surgical attenuation of a CPSS had a
lack of identifiable portal veins (13/36 dogs), hepatic arteriolar proliferation
(25/36), ductular reaction (5/36), steatosis (16/38), and iron accumulation
(32/38). Lack of identifiable portal veins on histologic examination was
associated with increased hepatic arteriolar proliferation, decreased tolerance
to complete surgical CPSS attenuation, and decreased opacification of
intrahepatic portal vessels on portovenography. Ductular reaction was always
associated with failure to tolerate complete surgical attenuation of a CPSS.
Surgical CPSS attenuation resulted in significant clinical, serum biochemical,
and portovenographic changes indicative of improved liver function, but only
subtle changes in hepatic histologic examination findings. CONCLUSIONS AND
CLINICAL RELEVANCE: Dogs without identifiable intrahepatic portal veins that had
a ductular reaction on hepatic histologic examination were less likely to
tolerate complete attenuation of a CPSS.
PMID- 21879965
TI - Potential zinc phosphide rodenticide toxicosis in dogs: 362 cases (2004-2009).
AB - OBJECTIVE: To evaluate records of dogs exposed to zinc phosphide rodenticides and
characterize the patient population, including breed, sex, age, body weight, time
since exposure, development of clinical signs, clinical signs observed,
treatments performed, veterinary care received, outcome, and overall prognosis.
DESIGN: Retrospective case series. ANIMALS: 362 dogs with presumed zinc phosphide
exposure. PROCEDURES: An electronic computer database from an animal poison
control center was searched to identify dogs that ingested zinc phosphide between
November 2004 and July 2009. RESULTS: Accurate information regarding development
of clinical signs was available in 94.5% (342/362) of cases. Over half the dogs
(58.8% [201/342]) did not develop clinical signs, and specific clinical signs
were reported for the remaining 41.2% (141/342) of dogs. There were 180 total
clinical signs recorded for these 141 dogs, with some dogs having developed > 1
category of clinical signs. Clinical signs involving the gastrointestinal tract
were the most commonly reported type of clinical sign (66.7% [n = 120/180
reported signs]), followed by generalized malaise (17.8% [32/180]), CNS signs
(8.9% [16/180]), respiratory signs (3.3% [6/180]), and cardiovascular signs (1.7%
[3/180]). Approximately 65% (234/362) of patients received veterinary care
(including decontamination via induction of emesis, gastric lavage, or activated
charcoal administration), and of these dogs, 51.3% (120/234) were hospitalized.
For the 296 dogs for which survival data were available, the survival rate was
98.3% (291/296). CONCLUSIONS AND CLINICAL RELEVANCE: Overall, the prognosis for
zinc phosphide toxicosis was good. Zinc phosphide rodenticide toxicosis is a
potential public health concern, and veterinary staff should be aware of this
commonly used rodenticide.
PMID- 21879966
TI - Recurrent vestibular paroxysms associated with systemic hypertension in a dog.
AB - CASE DESCRIPTION: A 9-year-old 19.7-kg (43.3-lb) spayed female Australian
Shepherd was examined for an increase in frequency of episodic neurologic signs,
often occurring upon exercise. CLINICAL FINDINGS: Between episodes of neurologic
signs, the dog was considered clinically normal on the basis of findings on
physical and neurologic examinations. An episode of ataxia with central
vestibular signs was induced by exercising the patient in the hospital. All
clinicopathologic values were within reference ranges, as were findings on
magnetic resonance imaging of the brain and peripheral vestibular system.
Systolic blood pressures of 180 to 200 mm Hg were recorded, and systemic
hypertension was diagnosed. TREATMENT AND OUTCOME: While the dog received
amlodipine and enalapril, blood pressure returned to within reference range, and
episodes of neurologic signs no longer occurred. When clinical signs later
recurred, systolic blood pressure was again found to be high. Following an
increase in medication dosage, blood pressure normalized, and only 4 further
episodes of neurologic signs were observed during a follow-up period totaling 30
months. CLINICAL RELEVANCE: Transient ischemic attack is a common diagnosis in
humans but has not been described for dogs. In humans, it is defined as focal
brain dysfunction caused by vascular disease that resolves completely in less
than 24 hours and is often recurrent. Systemic hypertension is one of the most
common preexisting conditions. We propose that the dog in the present report had
clinical signs and diagnostic test results supportive of a diagnosis of transient
ischemic attack.
PMID- 21879967
TI - Extralabel use of cabergoline in the treatment of a pituitary adenoma in a rat.
AB - CASE DESCRIPTION: A 0.65-kg (1.43-lb) 24-month-old sexually intact male albino
pet rat was examined because of a 3-week history of hypodipsia, apparent
blindness, and sudden change in behavior. CLINICAL FINDINGS: The rat was able to
move around its cage but appeared unaware of its surroundings, was visually
unresponsive, and seemed unusually aggressive. The rat's hind limbs appeared
mildly paretic, and it had sporadic difficulty placing its hind limbs on a flat
surface. Given the rat's age, history, and physical examination findings, the
primary differential diagnosis was a pituitary tumor. Magnetic resonance imaging
(MRI) of the rat's brain was performed and revealed a large pituitary mass, which
was indicative of a tumor. TREATMENT AND OUTCOME: Cabergoline (0.6 mg/kg [0.27
mg/lb], PO, q 72 h) was administered. On follow-up MRI 2 months later, the
pituitary mass had substantially decreased in size. For 6 months following the
second MRI study, the rat continued to receive the same dosage of cabergoline and
had no clinical signs of disease or unusual behavior. However, at 8.5 months
after the start of the treatment, the rat was in poor condition and had clinical
signs similar to those initially. A third MRI study was performed and revealed
substantial regrowth of the mass. The rat was euthanized and a necropsy was
performed; a histopathologic diagnosis of pituitary adenoma was made. CLINICAL
RELEVANCE: Pituitary adenomas have long been recognized as a common finding in
geriatric rats (> 18 months old). Affected rats may respond favorably to oral
administration of cabergoline.
PMID- 21879968
TI - Clinical implications of high liver enzyme activities in hospitalized neonatal
foals.
AB - OBJECTIVE: To determine whether high liver enzyme activities were negatively
associated with outcome in sick neonatal foals as compared with foals that did
not have high liver enzyme activities. DESIGN: Retrospective case-control study.
ANIMALS: 147 foals < 30 days old with high gamma-glutamyltransferase activity,
high sorbitol dehydrogenase activity, or both (case foals) and 263 foals < 30
days old with gamma-glutamyltransferase and sorbitol dehydrogenase activities
within reference limits (control foals). PROCEDURES: Medical records were
reviewed for information on signalment, physical examination findings, and
results of hematologic and serum biochemical analyses performed at the time of
admission to a veterinary medical teaching hospital. RESULTS: Case foals were
significantly more likely to die or be euthanized, compared with control foals
(odds ratio, 2.22; 95% confidence interval, 1.28 to 3.85). Case foals were
significantly more likely than control foals to have sepsis, and septic foals
were significantly less likely to survive than were nonseptic foals. For case
foals, other factors associated with a greater likelihood of nonsurvival were
higher anion gap and higher logarithm of aspartate aminotransferase activity.
When sepsis status was controlled for, the presence of high liver enzyme
activities was not significantly associated with outcome. CONCLUSIONS AND
CLINICAL RELEVANCE: Results suggested that high liver enzyme activities were
common in sick neonatal foals, especially foals with sepsis. Foals with high
liver enzyme activities were more likely to be septic, and septic foals were less
likely to survive than were foals without sepsis. However, high liver enzyme
activities alone were not a useful negative prognostic indicator.
PMID- 21879969
TI - Effects of early pregnancy diagnosis by palpation per rectum on pregnancy loss in
dairy cattle.
AB - OBJECTIVE: To determine the effect of palpation per rectum (PPR) by use of 1 or 2
fetal membrane slips (FMSs) for pregnancy diagnosis during early gestation on
pregnancy loss in dairy cattle. DESIGN: Controlled, randomized block design.
ANIMALS: 928 healthy pregnant cattle. PROCEDURES: All cattle were determined to
be pregnant by use of transrectal ultrasonography at approximately day 31 after
estrus and randomly allocated into 2 groups (control group [n = 476 cows] and
palpation group [452]). The control group was not subjected to pregnancy
diagnosis via PPR. The palpation group was subdivided into 2 groups (PPR FMS 1 [n
= 230 cows] and PPR FMS 2 [222]), which involved PPR and pregnancy diagnosis via
1 or 2 FMSs, respectively, during the same examination, which was performed by 1
veterinarian between days 34 and 43 after estrus. All cattle were reevaluated by
use of transrectal ultrasonography on days 45 and 60 to determine viability of
the embryo and fetus, respectively. RESULTS: Overall pregnancy loss between days
31 and 60 was 14.1%. Pregnancy loss for the control, PPR FMS 1, and PPR FMS 2
groups from days 31 to 60 was 14.5%, 12.6%, and 14.9%, respectively. Embryonic
pregnancy loss for the control, PPR FMS 1, and PPR FMS 2 groups was 12.4%, 9.1%,
and 9.5%, respectively. Fetal pregnancy loss for the same groups was 2.4%, 3.8%,
and 5.9%, respectively. CONCLUSIONS AND CLINICAL RELEVANCE: Pregnancy diagnosis
via 1 or 2 FMSs performed during PPR in early gestation did not increase
pregnancy loss in dairy cattle.
PMID- 21879971
TI - Accuracy of the use of triaxial accelerometry for measuring daily activity as a
predictor of daily maintenance energy requirement in healthy adult Labrador
Retrievers.
AB - OBJECTIVE: To determine accuracy of the use of triaxial accelerometry for
measuring daily activity as a predictor of maintenance energy requirement (MER)
in healthy adult Labrador Retrievers. ANIMALS: 10 healthy adult Labrador
Retrievers. PROCEDURES: Dogs wore an accelerometer for two 2-week periods, with
data on daily activity successfully collected for 24 to 26 days. These data,
along with body weight, were used as independent variables in a multiple linear
regression model to predict the dependent variable of daily MER. The predictive
accuracy of the model was compared with that of a model that excluded activity.
Dietary energy intake at a stated amount of body weight stability was used as an
equivalent measure of MER in these analyses. RESULTS: The multiple linear
regression model that included body weight and daily activity as independent
variables could be used to predict observed MER with a mean absolute error of
63.5 kcal and an SE of estimation of 94.3 kcal. Removing activity from the model
reduced the predictive accuracy to a mean absolute error of 129.8 kcal and an SE
of estimation of 165.4 kcal. CONCLUSIONS AND CLINICAL RELEVANCE: Use of triaxial
accelerometers to provide an independent variable of daily activity yielded a
marked improvement in predictive accuracy of the regression model, compared with
that for a model that used only body weight. Improved accuracy in estimations of
MER could be made for each dog if an accelerometer was used to record its daily
activity.
PMID- 21879972
TI - Assessment of repeatability of a wireless, inertial sensor-based lameness
evaluation system for horses.
AB - OBJECTIVE: To determine repeatability of a wireless, inertial sensor-based
lameness evaluation system in horses. ANIMALS: 236 horses. PROCEDURES: Horses
were from 2 to 29 years of age and of various breeds and lameness disposition.
All horses were instrumented with a wireless, inertial sensor-based motion
analysis system on the head (accelerometer), pelvis (midline croup region
[accelerometer]), and right forelimb (gyroscope) before evaluation in 2
consecutive trials, approximately 5 minutes apart, as the horse was trotted in a
straight line. Signal-processing algorithms generated overall trial asymmetry
measures for vertical head and pelvic movement and stride-by-stride differences
in head and pelvic maximum and minimum positions between right and left sides of
each stride. Repeatability was determined, and trial difference was determined
for groups of horses with various numbers of strides for which data were
collected per trial. RESULTS: Inertial sensor-based measures of torso movement
asymmetry were repeatable. Repeatability for measures of torso asymmetry for
determination of hind limb lameness was slightly greater than that for forelimb
lameness. Collecting large numbers of strides degraded stride-to-stride
repeatability but did not degrade intertrial repeatability. CONCLUSIONS AND
CLINICAL RELEVANCE: The inertial sensor system used to measure asymmetry of head
and pelvic movement as an aid in the detection and evaluation of lameness in
horses trotting in a straight line was sufficiently repeatable to investigate for
clinical use.
PMID- 21879973
TI - Comparison of the effects of racemic ketamine and S-ketamine for anesthesia in
Rheem gazelles (Gazella subgutturosa marica) and Subgutturosa gazelles (Gazella
subgutturosa subgutturosa).
AB - OBJECTIVE: To evaluate effects of racemic ketamine and S-ketamine in gazelles.
ANIMALS: 21 male gazelles (10 Rheem gazelles [Gazella subgutturosa marica] and 11
Subgutturosa gazelles [Gazella subgutturosa subgutturosa]), 6 to 67 months old
and weighing (mean+/-SD) 19 +/- 3 kg. PROCEDURES: In a randomized, blinded
crossover study, a combination of medetomidine (80 MUg/kg) with racemic ketamine
(5 mg/kg) or S-ketamine (3 mg/kg) was administered i.m.. Heart rate, blood
pressure, respiratory rate, rectal temperature, and oxygen saturation (determined
by means of pulse oximetry) were measured. An evaluator timed and scored
induction of, maintenance of, and recovery from anesthesia. Medetomidine was
reversed with atipamezole. The alternate combination was used after a 4-day
interval. Comparisons between groups were performed with Wilcoxon signed rank and
paired t tests. RESULTS: Anesthesia induction was poor in 2 gazelles receiving S
ketamine, but other phases of anesthesia were uneventful. A dominant male
required an additional dose of S-ketamine (0.75 mg/kg, i.m.). After
administration of atipamezole, gazelles were uncoordinated for a significantly
shorter period with S-ketamine than with racemic ketamine. Recovery quality was
poor in 3 gazelles with racemic ketamine. No significant differences between
treatments were found for any other variables. Time from drug administration to
antagonism was similar between racemic ketamine (44.5 to 53.0 minutes) and S
ketamine (44.0 to 50.0 minutes). CONCLUSIONS AND CLINICAL RELEVANCE:
Administration of S-ketamine at a dose 60% that of racemic ketamine resulted in
poorer induction of anesthesia, an analogous degree of sedation, and better
recovery from anesthesia in gazelles with unremarkable alterations in physiologic
variables, compared with racemic ketamine.
PMID- 21879974
TI - Comparison of temporospatial and kinetic variables of walking in small and large
dogs on a pressure-sensing walkway.
AB - OBJECTIVE: To compare temporospatial variables (TSVs) and kinetic variables (KVs)
for fore-limbs and hind limbs of small and large dogs of various breeds during
walking and to determine associations among body weight (BW), TSVs, and KVs in
these groups. ANIMALS: 12 adult dogs with no evidence of lameness. PROCEDURES:
Dogs (grouped according to BW as small [< 10 kg; n = 6] or large [> 25 kg; 6])
were walked in a straight line at their preferred velocity on a wooden platform
with an embedded pressure-sensing walkway. Five valid trials were analyzed for
each dog; mean TSVs and KVs were determined for each group. The TSVs and KVs for
forelimbs and hind limbs were compared between groups, and correlations among BW,
TSVs, and KVs were determined. RESULTS: Small dogs had significantly smaller TSVs
and KVs than did large dogs. Temporal variables of small dogs and absolute
vertical force variables of small and large dogs increased as BW increased.
However, normalized peak vertical force and weight distribution values among the
4 limbs were similar between groups. CONCLUSIONS AND CLINICAL RELEVANCE:
Substantial similarities and differences were detected in gait characteristics
between small and large dogs. Results indicated TSVs and KVs can be used for
comparison of the walking gait between dogs or for comparison of variables
between limbs in an individual dog. Use of the pressure-sensing walkway is a
simple method for acquisition of TSVs and KVs for large and small dogs.
PMID- 21879975
TI - Evaluation of the effect of computed tomography scan protocols and freeform
fabrication methods on bone biomodel accuracy.
AB - OBJECTIVE: To assess the effect of computed tomography (CT) scan protocols
(radiation amounts) and fabrication methods on biomodel accuracy and variability.
SAMPLE: Cadaveric femur of a Basset Hound. PROCEDURES: Retroreconstructions (n =
158) were performed of 16 original scans and were visually inspected to select 17
scans to be used for biomodel fabrication. Biomodels of the 17 scans were made in
triplicate by use of 3 freeform fabrication processes (stereolithography, fused
deposition modeling, and 3-D printing) for 153 models. The biomodels and original
bone were measured by use of a coordinate measurement machine. RESULTS:
Differences among fabrication methods accounted for 2% to 29% of the total
observed variation in inaccuracy and differences among method-specific radiation
configurations accounted for 4% to 44%. Biomodels underestimated bone length and
width and femoral head diameter and overestimated cortical thickness. There was
no evidence of a linear association between thresholding adjustments and biomodel
accuracy. Higher measured radiation dose led to a decrease in absolute relative
error for biomodel diameter and for 4 of 8 cortical thickness measurements.
CONCLUSIONS AND CLINICAL RELEVANCE: The outside dimensions of biomodels have a
clinically acceptable accuracy. The cortical thickness of biomodels may
overestimate cortical thickness. Variability among biomodels was caused by model
fabrication reproducibility and, to a lesser extent, by the radiation settings of
the CT scan and differences among fabrication methods.
PMID- 21879976
TI - Anatomic, histologic, and two-dimensional-echocardiographic evaluation of mitral
valve anatomy in dogs.
AB - OBJECTIVE: To compare echocardiographic variables of dogs with postmortem
anatomic measurements and histologic characteristics of the mitral valve (MV).
ANIMALS: 21 cardiologically normal dogs. PROCEDURES: The MV was measured
echocardiographically by use of the right parasternal 5-chamber long-axis view.
Dogs were euthanized, and anatomic measurements of the MV annulus (MVa) were
performed at the level of the left circumflex coronary artery. Mitral valve
leaflets (MVLs) and chordae tendineae were measured. Structure of the MVLs was
histologically evaluated in 3 segments (proximal, middle, and distal). RESULTS:
Echocardiographic measurements of MVL length did not differ significantly from
anatomic measurements. A positive correlation was detected between body weight
and MVa area. There was a negative correlation between MVa area and the
percentage by which the MVL area exceeded the MVa area. Anterior MVLs had a
significantly higher number of chordae tendineae than did posterior MVLs.
Histologically, layering of MVLs was less preserved in the distal segment,
whereas the muscular component and adipose tissue were significantly more diffuse
in the proximal and middle segments. CONCLUSIONS AND CLINICAL RELEVANCE: The MV
in cardiologically normal dogs had wide anatomic variability. Anatomic
measurements of MVL length were correlated with echocardiographic measurements.
PMID- 21879977
TI - Influence of electrode position on cardioversion energy requirements during
transvenous electrical cardioversion in horses.
AB - OBJECTIVE: To evaluate influence of electrode position on cardioversion energy
(CE; energy delivered in the shock at which cardioversion was achieved) during
transvenous electrical cardioversion (TVEC) in horses with atrial fibrillation.
ANIMALS: 37 horses with atrial fibrillation (41 cardioversion events).
PROCEDURES: Records were reviewed to identify horses that underwent TVEC for
treatment of atrial fibrillation. Signalment and CE were recorded. Electrode
positions in the right atrium and pulmonary artery were identified on
intraoperative radiographs. An orthogonal coordinate space was created, and
electrode y- and z-axis coordinates and shadow lengths were determined.
Trigonometric modeling was used to estimate x-axis electrode positions that
resulted in observed shadows. Postmortem casts of catheterized horses were used
to assess electrode paths and anatomic relationships. Model assumptions were
tested by use of these and a theoretical data set. Relationships between
signalment, electrode position, and CE were assessed via multivariate analysis.
RESULTS: Sex and y-axis differences between electrode positions were significant
predictors of CE. Population stratification based on examination of residuals
improved model strength; populations differed in z-axis variables and in CE.
Decreasing distance between electrodes and pulmonary artery electrode positions
ventral to the right atrium were associated with increased CE. Agreement between
estimated and actual x-axis coordinates was poor. CONCLUSIONS AND CLINICAL
RELEVANCE: Optimal electrode positioning can reduce the energy requirement for
successful TVEC and may eventually support application of TVEC under short-term
IV anesthesia and potentially increase chances of treatment response. Further
investigation into these relationships is warranted.
PMID- 21879978
TI - Effect of hematocrit on accuracy of two point-of-care glucometers for use in
dogs.
AB - OBJECTIVE: To determine the effect of Hct on blood glucose readings of dogs
obtained by use of 2 point-of-care (POC) blood glucometers and a laboratory
analyzer. ANIMALS: 184 dogs, including 139 Greyhounds. PROCEDURES: Venous blood
samples collected from 184 dogs with a range of Hcts (measured in EDTA
anticoagulated blood) were immediately analyzed with a handheld glucometer
specifically developed for veterinary use and a glucometer developed for use in
humans. The remainder of each blood sample was placed in fluoride oxalate tubes,
and plasma glucose concentration was measured with a laboratory analyzer.
Agreement between results for the POC glucometers and laboratory analyzer and
effect of Hct on glucometer accuracy was assessed via regression analysis.
RESULTS: Significant differences were detected between results of the glucometers
and the reference laboratory analyzer. The Hct affected the correlation between
results for the glucometers and the laboratory analyzer. Deviations of the
glucometers from the reference interval varied with Hct. The glucometer for
veterinary use more closely correlated with the glucose concentration when Hct
was within or above its reference interval. The glucometer for use in humans more
closely approximated laboratory reference glucose concentrations in anemic dogs.
CONCLUSIONS AND CLINICAL RELEVANCE: Hct had a relevant impact on the correlation
between whole blood and plasma glucose concentrations in dogs. Significant
variations between results obtained with the 2 glucometers could be critical when
interpreting blood glucose measurements or selecting a POC glucometer for an
intensive care setting and precise glycemic control in critically ill dogs.
PMID- 21879979
TI - Comparison of cross-sectional anatomy and computed tomography of the tarsus in
horses.
AB - OBJECTIVE: To compare computed tomography (CT) images of equine tarsi with cross
sectional anatomic slices and evaluate the potential of CT for imaging
pathological tarsal changes in horses. SAMPLE: 6 anatomically normal equine
cadaveric hind limbs and 4 tarsi with pathological changes. PROCEDURES:
Precontrast CT was performed on 3 equine tarsi; sagittal and dorsal
reconstructions were made. In all limbs, postcontrast CT was performed after
intra-articular contrast medium injection of the tarsocrural, centrodistal, and
tarsometatarsal joints. Images were matched with corresponding anatomic slices.
Four tarsi with pathological changes underwent CT examination. RESULTS: The
tibia, talus, calcaneus, and central, fused first and second, third, and fourth
tarsal bones were clearly visualized as well as the long digital extensor,
superficial digital flexor, lateral digital flexor (with tarsal flexor
retinaculum), gastrocnemius, peroneus tertius, and tibialis cranialis tendons and
the long plantar ligament. The lateral digital extensor, medial digital flexor,
split peroneus tertius, and tibialis cranialis tendons and collateral ligaments
could be located but not always clearly identified. Some small tarsal ligaments
were identifiable, including plantar, medial, interosseus, and lateral
talocalcaneal ligaments; interosseus talocentral, centrodistal, and
tarsometatarsal ligaments; proximal and distal plantar ligaments; and
talometatarsal ligament. Parts of the articular cartilage could be assessed on
postcontrast images. Lesions were detected in the 4 tarsi with pathological
changes. CONCLUSIONS AND CLINICAL RELEVANCE: CT of the tarsus is recommended when
radiography and ultrasonography are inconclusive and during preoperative planning
for treatment of complex fractures. Images from this study can serve as a CT
reference, and CT of pathological changes was useful.
PMID- 21879980
TI - Effect of sedation protocol on glomerular filtration rate in cats as determined
by use of quantitative renal scintigraphy.
AB - OBJECTIVE: To evaluate the effect of several sedation protocols on glomerular
filtration rate (GFR) in cats as measured by use of quantitative renal
scintigraphy and to analyze interobserver differences in GFR calculation.
ANIMALS: 5 cats (1 sexually intact male, 1 neutered male, and 3 sexually intact
females). PROCEDURES: Effects on GFR of 3 sedation protocols commonly used at the
Iowa State University College of Veterinary Medicine were evaluated. The
protocols were medetomidine (11 MUg/kg) and butorphanol tartrate (0.22 mg/kg)
administered i.m.; ketamine hydrochloride (10 mg/kg) and midazolam (0.5 mg/kg)
administered i.v.; and ketamine (10 mg/kg), midazolam (0.5 mg/kg), and
acepromazine maleate (0.05 mg/kg) administered i.m.. Results for the 3 protocols
were compared with results of GFR measurements obtained in these same cats
without sedation (control protocol). RESULTS: No significant difference between
GFR measurements was associated with the 3 sedation protocols, compared with GFR
measurements for the control protocol. The greatest mean GFR values were for the
medetomidine-butorphanol and ketamine-midazolam protocols. There were no
significant differences between observers for calculation of GFR. CONCLUSIONS AND
CLINICAL RELEVANCE: Results suggested that none of the 3 sedation protocols had
significant effects on GFR calculated by use of quantitative renal scintigraphy,
compared with results for GFR evaluations performed in the cats when they were
not sedated. No significant interobserver error was evident. However, the
statistical power of this study was low, and the probability of a type II error
was high.
PMID- 21879981
TI - Nutritional analysis of gastric contents and body condition score at a single
time point in feral horses in Australia.
AB - OBJECTIVE: To determine the impact of a free-choice diet on nutritional intake
and body condition of feral horses. ANIMALS: Cadavers of 41 feral horses from 5
Australian locations. PROCEDURES: Body condition score (BCS) was determined
(scale of 1 to 9), and the stomach was removed from horses during postmortem
examination. Stomach contents were analyzed for nutritional variables and
macroelement and microelement concentrations. Data were compared among the
locations and also compared with recommended daily intakes for horses. RESULTS:
Mean BCS varied by location; all horses were judged to be moderately thin. The
BCS for males was 1 to 3 points higher than that of females. Amount of protein in
the stomach contents varied from 4.3% to 14.9% and was significantly associated
with BCS. Amounts of water-soluble carbohydrate and ethanol-soluble carbohydrate
in stomach contents of feral horses from all 5 locations were higher than those
expected for horses eating high-quality forage. Some macroelement and
microelement concentrations were grossly excessive, whereas others were grossly
deficient. There was no evidence of ill health among the horses. CONCLUSIONS AND
CLINICAL RELEVANCE: Results suggested that the diet for several populations of
feral horses in Australia appeared less than optimal. However, neither low BCS
nor trace mineral deficiency appeared to affect survival of the horses.
Additional studies on food sources in these regions, including analysis of water
soluble carbohydrate, ethanol-soluble carbohydrate, and mineral concentrations,
are warranted to determine the provenance of such rich sources of nutrients.
Determination of the optimal diet for horses may need revision.
PMID- 21879982
TI - Pharmacokinetics of intra-articular, intravenous, and intramuscular
administration of triamcinolone acetonide and its effect on endogenous plasma
hydrocortisone and cortisone concentrations in horses.
AB - OBJECTIVE: To compare pharmacokinetics of triamcinolone acetonide (TA) following
i.v., intra-articular (i.a.), and i.m. administration and determine its effect on
plasma concentrations of hydrocortisone and cortisone. ANIMALS: 6 Thoroughbreds.
PROCEDURES: TA (0.04 mg/kg) was administered i.v., i.m., or i.a., and plasma TA,
hydrocortisone, and cortisone concentrations were determined. RESULTS: I.v.
administration of TA was fitted to a 2-compartment model. Median distribution
half-life was 0.50 hours (range, 0.24 to 0.67 hours); elimination half-life was
6.1 hours (range, 5.0 to 6.4 hours). Transfer half-life of TA from joint to
plasma was 5.2 hours (range, 0.49 to 73 hours); elimination half-life was 23.8
hours (range, 18.9 to 32.2 hours). Maximum plasma concentration following i.a.
administration was 2.0 ng/mL (range, 0.94 to 2.5 ng/mL), and was attained at 10
hours (range, 8 to 12 hours). Maximum plasma concentration following i.m.
administration was 0.34 ng/mL (range, 0.20 to 0.48 ng/mL) and was attained at
13.0 hours (range, 12 to 16 hours); concentration was still quantifiable at 360
hours. Hydrocortisone plasma concentrations were significantly different from
baseline within 0.75, 2, and 1 hours after i.v., i.a., and i.m. administration,
respectively, and remained significantly different from baseline at 96 and 264
hours for i.v. and i.a. administration. Following i.m. administration of TA,
plasma concentrations of hydrocortisone did not recover to baseline
concentrations by 360 hours. CONCLUSIONS AND CLINICAL RELEVANCE: Pharmacokinetics
of TA and related changes in hydrocortisone were described following i.v., i.a.,
and i.m. administration. A single administration of TA has profound effects on
secretion of endogenous hydrocortisone.
PMID- 21879983
TI - Evaluation of the in vitro activity of gallium nitrate against Mycobacterium
avium subsp paratuberculosis.
AB - OBJECTIVE: To evaluate the in vitro susceptibility of various field isolates of
Mycobacterium avium subsp paratuberculosis (MAP) to gallium nitrate. SAMPLE: 10
isolates of MAP, including 4 isolated from cattle, 2 isolated from bison, 1
isolated from an alpaca, and 3 isolated from humans. PROCEDURES: The in vitro
susceptibility to gallium nitrate was tested by use of broth culture with
detection of MAP growth by means of a nonradiometric automated detection method.
For each MAP isolate, a series of 7 dilutions of gallium nitrate (concentrations
ranging from 200 to 1,000 MUM) were tested. Gallium nitrate was considered to
have caused 90% and 99% inhibition of the MAP growth when the time to detection
for culture of the MAP stock solution and a specific concentration of gallium
nitrate was delayed and was similar to that obtained for culture of the MAP stock
solution (without the addition of gallium nitrate) diluted 1:10 and 1:100,
respectively. RESULTS: Gallium nitrate inhibited MAP growth in all 10 isolates.
The susceptibility to gallium nitrate was variable among isolates, and all
isolates of MAP were inhibited in a dose-dependent manner. Overall, the
concentration that resulted in 90% inhibition ranged from < 200 MUM for the most
susceptible isolates to 743 MUM for the least susceptible isolates. CONCLUSIONS
AND CLINICAL RELEVANCE: Gallium nitrate had activity against all 10 isolates of
MAP tested in vitro and could potentially be used as a prophylactic agent to aid
in the control of MAP infections during the neonatal period.
PMID- 21879984
TI - Pharmacokinetics of levetiracetam after oral and intravenous administration of a
single dose to clinically normal cats.
AB - OBJECTIVE: To determine whether therapeutic concentrations of levetiracetam can
be achieved in cats and to establish reasonable i.v. and oral dosing intervals
that would not be associated with adverse effects in cats. ANIMALS: 10 healthy
purpose-bred cats. PROCEDURES: In a randomized crossover study, levetiracetam (20
mg/kg) was administered orally and i.v. to each cat. Blood samples were collected
0, 10, 20, and 40 minutes and 1, 1.5, 2, 3, 4, 6, 9, 12, and 24 hours after
administration. Plasma levetiracetam concentrations were determined via high
performance liquid chromatography. RESULTS: Mean +/- SD peak concentration was
25.54 +/- 7.97 MUg/mL. The mean y-intercept for i.v. administration was 37.52 +/-
6.79 MUg/mL. Half-life (harmonic mean +/- pseudo-SD) was 2.95 +/- 0.95 hours and
2.86 +/- 0.65 hours for oral and i.v. administration, respectively. Mean volume
of distribution at steady state was 0.52 +/- 0.09 L/kg, and mean clearance was
2.0 +/- 0.60 mL/kg/min. Mean oral bioavailability was 102 +/- 39%. Plasma drug
concentrations were maintained in the therapeutic range reported for humans (5 to
45 MUg/mL) for at least 9 hours after administration in 7 of 10 cats. Only mild,
transient hypersalivation was evident in some cats after oral administration.
CONCLUSIONS AND CLINICAL RELEVANCE: Levetiracetam (20 mg/kg) administered orally
or i.v. to cats every 8 hours should achieve and maintain concentrations within
the therapeutic range for humans. Levetiracetam administration has favorable
pharmacokinetics for clinical use, was apparently tolerated well, and may be a
reasonable alternative antiepileptic drug in cats.
PMID- 21879985
TI - Ultrasonographic evaluation of postprandial heart variation in juvenile Paraguay
anacondas (Eunectes notaeus).
AB - OBJECTIVE: To noninvasively evaluate physiologic postprandial adaptations of the
heart in snakes. ANIMALS: 6 juvenile Paraguay anacondas (Eunectes notaeus).
PROCEDURES: The heart of each anaconda was echocardiographically evaluated after
food was withheld for 28 days as well as 3 and 10 days after feeding. Physical
measurements included body length, weight, and circumference at the level of the
heart. Echocardiographic measurements included heart rate and 2-D total and
internal ventricular area. From these measurements, total ventricular volume as
well as the myocardial area as a surrogate of myocardial mass was calculated.
RESULTS: No significant changes in body length, weight, and circumference were
found. Significant increases in heart rate (from 45 to 58 beats/min), total
ventricular volume (from 4.63 to 5.54 mL), and myocardial area (from 0.7 to 0.81
cm(2)) were detected 10 days after feeding, compared with results obtained prior
to feeding after food had been withheld for 28 days. No pericardial effusion was
detected at any time point. CONCLUSIONS AND CLINICAL RELEVANCE: Echocardiographic
evaluation of the heart of anacondas was performed, and feeding resulted in
concentric cardiac hypertrophy. Physiologic fluctuation of cardiac dimensions
should be considered when cardiac imaging is performed in snakes.
PMID- 21879986
TI - Effect of omega-3 fatty acids on serum concentrations of adipokines in healthy
cats.
AB - OBJECTIVE: To determine associations between serum concentrations of omega-3
polyunsaturated fatty acids and concentrations of adiponectin, leptin, and
insulin in healthy cats. ANIMALS: 56 healthy adult client-owned cats. PROCEDURES:
Body condition score (BCS) was determined, and blood samples were collected after
food was withheld for 12 hours. Serum was harvested for fatty acid analysis and
measurement of serum concentrations of adiponectin, leptin, insulin, glucose,
triglyceride, and cholesterol. RESULTS: 1 cat was removed because of
hyperglycemia. Significant interaction effects between BCS and serum
concentrations of eicosapentaenoic acid (EPA) were detected for the analyses of
associations between EPA and serum concentrations of adiponectin, insulin, and
triglyceride. Cats were categorized into nonobese (BCS, 4 to 6 [n = 34 cats]) and
obese (BCS, 7 to 8 [21]) groups; serum concentrations of EPA were directly
associated with concentrations of adiponectin and inversely associated with
concentrations of insulin and triglyceride in obese cats and were directly
associated with concentrations of leptin and inversely associated with
concentrations of adiponectin in nonobese cats. Additionally, serum
concentrations of docosahexaenoic acid were directly associated with
concentrations of adiponectin in obese cats. No significant associations between
serum concentrations of docosahexaenoic acid or alpha-linolenic acid were
detected in the analyses for all cats. Female cats had higher serum
concentrations of adiponectin and lower concentrations of glucose than did male
cats. Increased age was associated with a small increase in serum concentrations
of leptin. CONCLUSIONS AND CLINICAL RELEVANCE: EPA may ameliorate the decrease in
adiponectin and the increase in insulin and triglyceride concentrations in obese
cats.
PMID- 21879987
TI - Effects of hyperbaric oxygen treatment on horses with experimentally induced
endotoxemia.
AB - OBJECTIVE: To determine the effectiveness of preinduction hyperbaric oxygen
treatment (HBOT) in ameliorating signs of experimentally induced endotoxemia in
horses. ANIMALS: 18 healthy adult horses. PROCEDURES: Horses were randomly
assigned to 1 of 3 equal-sized treatment groups to receive normobaric ambient air
and lipopolysaccharide (LPS), HBOT and LPS, or HBOT and physiologic saline (0.9%
NaCl) solution. Horses were physically examined, and blood was obtained for a CBC
and to determine concentration or activity of plasma tissue necrosis factor
alpha, blood lactate, and blood glucose before the horses were treated with HBOT
and then intermittently for 6 hours after administration of LPS or physiologic
saline solution. RESULTS: All LPS-treated horses developed signs and biochemical
and hematologic changes consistent with endotoxemia. Treatment with HBOT
significantly ameliorated the effect of LPS on clinical endotoxemia score but did
not significantly improve other abnormalities associated with endotoxemia.
CONCLUSIONS AND CLINICAL RELEVANCE: The protective effect of HBOT was minimal,
and results did not support its use as a treatment for horses prior to
development of endotoxemia.
PMID- 21879989
TI - Tactile and thermal detection thresholds of the scalp skin.
AB - The tactile and thermal sensitivity of diverse regions of the human body have
been documented extensively, with one exception being the scalp. Additionally,
sensory changes may accompany the hair loss from the scalp in androgen-related
alopecia (ARA), but formal quantitative sensory testing (QST) has not been
reported in respect of this. Therefore, light touch detection thresholds were
obtained at nine scalp sites and one forehead site, using Semmes-Weinstein
filaments (Von Frey hairs), and for warming and cooling from skin baseline
temperature, using 28 and 256 mm(2) thermodes. Affective, thermal, and
nociceptive sensations experienced at thermal detection threshold were
quantified. Thirty-two male participants were recruited, 10 of whom had normal
hair coverage, 12 of whom had shaved scalp but with potentially normal hair
coverage, and 10 of whom exhibited ARA to some extent. The scalp was relatively
insensitive to tactile and thermal stimulation at all tested sites, especially so
along the midline and near the apex of the skull. Threshold level warm stimuli
were rated less pleasant, the less sensitive the test site. After correction for
age-related changes in sensitivity, bald scalp sites were found more sensitive to
cooling than the same sites when shaved, consistent with prior informal reports
of increased sensitivity for some scalp sensations in ARA. QST on hair-covered
sites was subject to methodological issues that render such testing non-ideal,
such as bias in measurement of resting skin temperatures, and the near
impossibility of delivering filament stimuli to the scalp skin without disturbing
neighboring hairs.
PMID- 21879988
TI - Evaluation of glomerular filtration rate by use of dynamic computed tomography
and Patlak analysis in clinically normal cats.
AB - OBJECTIVE: To obtain quantitative variables of the abdominal aorta and both
kidneys on the basis of time-attenuation curves (TACs) and to measure glomerular
filtration rate (GFR) for each kidney and the global GFR in clinically normal
cats by use of dynamic computed tomography (CT) and Patlak analysis. ANIMALS: 9
healthy cats. PROCEDURES: All the cats were anesthetized with propofol.
Anesthesia was maintained by administration of isoflurane, and CT examination was
performed in the anesthetized cats. The TACs and renal volume were measured by
use of the baseline precontrast and single-slice dynamic scans. The CT-GFR of
each kidney and the global CT-GFRs were calculated via Patlak plot analysis.
RESULTS: CT-GFR results from 7 cats were valid. Peak aortic enhancement was
detected between 9.0 and 14.0 seconds after iohexol injection, and the initial
peak time of renal parenchymal enhancement was 15 to 24 seconds after iohexol
injection. Mean +/- SD global GFR was 2.06 +/- 0.62 mL/min/kg. Mean +/- SD CT-GFR
of the right and left kidneys was 0.97 +/- 0.32 mL/min/kg and 1.05 +/- 0.31
mL/min/kg, respectively. CONCLUSIONS AND CLINICAL RELEVANCE: The CT-GFR method
can be rapidly and conveniently performed in clinically normal cats. This
combined structural-functional approach provided physiologic and morphological
information on the kidneys of cats.
PMID- 21879990
TI - Characteristics of synaptic connections between rodent primary somatosensory and
motor cortices.
AB - The reciprocal connections between primary motor (M1) and primary somatosensory
cortices (S1) are hypothesized to play a crucial role in the ability to update
motor plans in response to changes in the sensory periphery. These interactions
provide M1 with information about the sensory environment that in turn signals S1
with anticipatory knowledge of ongoing motor plans. In order to examine the
synaptic basis of sensorimotor feedforward (S1-M1) and feedback (M1-S1)
connections directly, we utilized whole-cell recordings in slices that preserve
these reciprocal sensorimotor connections. Our findings indicate that these
regions are connected via direct monosynaptic connections in both directions.
Larger magnitude responses were observed in the feedforward direction (S1-M1),
while the feedback (M1-S1) responses occurred at shorter latencies. The
morphology as well as the intrinsic firing properties of the neurons in these
pathways indicates that both excitatory and inhibitory neurons are targeted.
Differences in synaptic physiology suggest that there exist specializations
within the sensorimotor pathway that may allow for the rapid updating of sensory
motor processing within the cortex in response to changes in the sensory
periphery.
PMID- 21879991
TI - Using the Rose Angina Questionnaire cross-culturally: the importance of
consulting lay people when translating epidemiological questionnaires.
AB - OBJECTIVES: The Rose Angina Questionnaire (RAQ) is an important measure of
coronary heart disease prevalence. It has been shown to perform inconsistently
across some ethnic groups in Britain. This study investigates whether the best
available versions of the RAQ in Punjabi and Cantonese were linguistically
equivalent to the English version. DESIGN: Interviews were carried out with lay
people from the Pakistani, Chinese and European-origin communities in Scotland to
assess the versions of the RAQ used in the Newcastle Heart Project (the best
available versions). For each questionnaire item, participants were asked to
elaborate on their understanding of the question and the meaning of keywords or
phrases. RESULTS: Problems were discovered with the Punjabi and Cantonese
translations of the RAQ. For example, the translation for 'chest' was interpreted
by some Pakistani and Chinese women to mean 'breasts'. 'Walking uphill' was
translated in Chinese as 'walking the hill', without stipulation of the
direction, so that some Cantonese speakers interpreted the question as pertaining
to walking downhill. Many Chinese interpreted RAQ items to be referring to
breathlessness rather than chest pain due to ambiguous wording. CONCLUSION:
Existing versions of the RAQ are unlikely to be yielding data that are cross
culturally valid or comparable. For robust health survey research in languages
other than that in which the questionnaire was developed, lay assessment of
questionnaires prior to and after translation is a necessity rather than a
luxury.
PMID- 21879992
TI - Characterization and classification of psittacine atherosclerotic lesions by
histopathology, digital image analysis, transmission and scanning electron
microscopy.
AB - Atherosclerosis is a degenerative and inflammatory vascular disease characterized
in mammals and birds by the accumulation of inflammatory cells, lipids, calcium,
and formation of large fibrofatty lesions within the intima of arteries resulting
in the disorganization of the arterial wall and stenosis of the lumen. Despite
the high incidence of atherosclerosis in parrots and the high number of case
reports, there are few pathologic investigations and the ultrastructural study of
the lesions has not been documented. Sixty-three major arteries were collected
from 24 psittacine birds of 11 species during routine post-mortem examinations.
Samples from the major arteries were fixed in 2% paraformaldehyde and 1.25%
glutaraldehyde, and processed for transmission electron microscopy (TEM) and
scanning electron microscopy (SEM). Additional samples were fixed in 10% formalin
and embedded in paraffin for histological examination. Additional histochemical
stains for calcium, elastic fibres, and lipid were performed. Toluidine blue
stained 0.5 um-thick resin sections were also obtained. Digital image analysis
was performed to provide objective quantitative information on the different
lesions. The histopathology and ultrastructure of psittacine atherosclerosis were
found to be similar to other avian and mammalian species. Seven lesion types
could be described, which were similar to the human classification system.
Digital image analysis, TEM, and SEM helped to further describe the lesions and
refine the classification system. TEM findings were similar to other avian and
mammalian species with the notable presence of macrophage-derived and smooth
muscle cell-derived foam cells and extracellular lipid. SEM revealed various
stages of endothelial surface defects and, occasionally, adherent blood cells.
PMID- 21879993
TI - Brain stimulation improves associative memory in an individual with amnestic mild
cognitive impairment.
AB - In patients with cognitive deficits, brain stimulation has been shown to restore
cognition ( Miniussi et al., 2008 , Brain Stimulation, 1, 326). The aim of this
study was to assess whether repetitive Transcranial Magnetic Stimulation (rTMS)
could improve memory performance in an individual with amnestic Mild Cognitive
Impairment (aMCI). Stimulation of the left parietal cortex increased accuracy in
an association memory task, and this improvement was still significant 24 weeks
after stimulation began. These findings indicate that rTMS to the left parietal
cortex improved memory performance in aMCI.
PMID- 21879994
TI - Structural and functional neuroimaging methods in the diagnosis of dementias: a
retrospective chart and brain imaging review.
AB - OBJECTIVE: Assess the contribution of structural and functional neuroimaging
methods to the diagnosis of dementia. METHODS: This was a retrospective chart and
imaging review. Participants were 24 inpatient dementia cases from a general
hospital-based, university medical psychiatry unit. Data from clinical charts and
imaging results were reviewed. RESULTS: Most common initial diagnoses were
dementia NOS and vascular dementia (VD); most common discharge diagnoses were VD,
Alzheimer's, Dementia NOS and dementia with Lewy bodies. Most diagnostic changes
occurred following family meetings or SPECT/PET, with fewer changes after CT/MRI.
CONCLUSIONS: Diagnostic steps that contributed the most to the final diagnosis
were the family meeting and the functional neuroimaging evaluation.
PMID- 21879995
TI - Grammar disruption in a patient with Neuro-Sweet syndrome.
AB - This paper for the first time reports detailed neurolinguistic findings in a
patient with Neuro-Sweet syndrome. In this patient the presenting symptoms of
central nervous system (CNS) involvement primarily consisted of a selective
grammar deficit restricted to spontaneous speech. On MRI a left prefrontal
ischemic stroke (superior part BA 6) and two small subcortical left parietal
infarctions were found. Neurolinguistic analyses, however, did not reveal a
profile consistent with any observations of agrammatism caused by structural
damage to the language areas critically involved in grammatical processing. It is
hypothesized that selectively distorted grammar might reflect disruption of the
frontosubcortical network involved in language processing. Prefrontal
neurobehavioral abnormalities associated with functional disruption of the
inferior medial frontal regions as demonstrated by SPECT, additionally suggest
that agrammatic symptoms may be linked to a higher-level cognitive disorder
following encephalopathic CNS involvement.
PMID- 21879998
TI - Is it time for permissive hypoxaemia in the intensive care unit?
PMID- 21879999
TI - Permissive hypercapnia in acute respiratory distress syndrome - is now the time
to get strict?
PMID- 21879996
TI - A case of frontal neuropsychological and neuroimaging signs following multiple
primary-blast exposure.
AB - Blast-related traumatic brain injury (TBI) from the Afghanistan and Iraq wars
represents a significant medical concern for troops and veterans. To better
understand the consequences of primary-blast injury in humans, we present a case
of a Marine exposed to multiple primary blasts during his 14-year military
career. The neuropsychological profile of this formerly high-functioning veteran
suggested primarily executive dysfunction. Diffusion-tensor imaging revealed
white-matter pathology in long fiber tracks compared with a composite fractional
anisotropy template derived from a veteran reference control group without TBI.
This study supports the existence of primary blast-induced neurotrauma in humans
and introduces a neuroimaging technique with potential to discriminate multiple
blast TBI.
PMID- 21880000
TI - The predictive ability of a weighted systemic inflammatory response syndrome
score for microbiologically confirmed infection in hospitalised patients with
suspected sepsis.
AB - BACKGROUND: The systemic inflammatory response syndrome (SIRS) concept lacks
sensitivity and specificity for guiding clinical practice and sepsis research.
OBJECTIVE: To assess the performance of a weighted SIRS score, with emphasis on
white cell count and temperature criteria in predicting microbiologically
confirmed infection. DESIGN AND SETTING: Prospective cohort study at Princess
Alexandra Hospital, a tertiary teaching hospital in Queensland, Australia.
PARTICIPANTS: Patients aged 18 years or older who were hospitalised with
suspected infection and started on antimicrobial therapy. MAIN OUTCOME MEASURES:
The utility of each SIRS criterion, the 1992 consensus conference recommendation
(<= 2 SIRS criteria) and a weighted SIRS score in predicting microbiologically
confirmed infection were compared. RESULTS: 2085 patients were included in the
analysis. All criteria performed poorly, with low sensitivities (27.3%-70.6%),
low specificities (37.5%-77.5%), low positive predictive values (61.5%-65.3%),
low negative predictive values (39.8%-45.1%), and likelihood ratios close to 1.0.
Both SIRS and weighted SIRS scores did not perform better than clinicians'
suspicion for infection. CONCLUSIONS: Both SIRS and weighted SIRS score had low
predictive ability for microbiologically confirmed infection. A more robust
conceptual framework incorporating clinical, biochemical and immunological
markers must be formulated and validated to better guide clinical practice and
research. Clinicians' suspicions may be as good as any scoring system at
identifying patients with infection and sepsis.
PMID- 21880001
TI - Comparison of central venous pressure and venous oxygen saturation from venous
catheters placed in the superior vena cava or via a femoral vein: the numbers are
not interchangeable.
AB - OBJECTIVE: To compare venous pressure and haemoglobin oxygen saturation measured
from a catheter in the superior vena cava (SVC) with a catheter inserted via the
femoral vein, and to assess the agreement of these measurements. To assess the
effect of intra-abdominal pressure and intrathoracic pressures on these
measurements. DESIGN, SETTING AND PARTICIPANTS: Prospective study of patients in
an adult intensive care unit, Alfred Hospital, Melbourne, Australia. MAIN OUTCOME
MEASURES: Central venous pressure (CVP), femoral venous pressure (FVP), venous
haemoglobin oxygen saturation in the SVC (SO2C) and via the femoral vein (SO2F),
agreement between these measures using the Bland-Altman method, and the effect of
intra-abdominal pressure and intrathoracic pressure. RESULTS: 43 patients were
included; the mean bias for FVP -CVP was 1.05 mmHg (95% CI, 0.30-1.79 mmHg), with
limits of agreement of -3.79 to 5.89 mmHg (95% CI, -5.08 to 7.18 mmHg). The bias
for SO2F -SO2C was -3.21 (95% CI, -6.33 to -0.10), with limits of agreement of
22.43 to 16.01 (95% CI, -27.81 to 21.39). Intra-abdominal pressure had a
significant (P < 0.01) effect on both the FVP and on the difference (FVP -CVP).
CONCLUSIONS: This study demonstrates poor agreement between CVP and FVP and
between SO2C and SO2F and that the measurements taken from these two sites are
not interchangeable clinically.
PMID- 21880002
TI - A pilot study of the epidemiology and associations of pulse pressure variation
among non-cardiac surgery critically ill patients.
AB - BACKGROUND: A pulse pressure variation (PPV) >= 13% of mean arterial pressure
(MAP) is an accepted marker of a fluid-responsive state. However, there is no
study of its epidemiology and associations among non-cardiac critically ill
patients. OBJECTIVES: To conduct a pilot study of the epidemiology and
associations of a PPV >= 13% among non-cardiac critically ill patients. DESIGN:
Prospective observational study. SETTING: Intensive care unit of a university
hospital. PATIENTS: Cohort of 37 sedated critically ill patients undergoing
mandatory ventilation. MAIN OUTCOME MEASURES: PPV values, tidal volume and peak
airway pressure, MAP, heart rate (HR) and central venous pressure (CVP) collected
every 15 minutes; fluid balance collected hourly; correlation between PPV and
these variables. RESULTS: 450 PPV measurements were collated. The PPV value was
>= 13% in 86 (19%) measurements and was observed in two consecutive measurements
in 68 (15%) of cases. On multivariable analysis, mean PPV was significantly
correlated with CVP (P=0.04), HR (P<0.001) and peak airway pressure (P=0.001),
but not fluid balance (P=0.3). CONCLUSIONS: Among non-cardiac surgery
mechanically ventilated patients, a PPV in the fluid-responsive range was present
in one-fifth of measurements and showed logical correlations with relevant
haemodynamic and mechanical ventilation-related variables. Our results provide a
rationale for a more comprehensive evaluation of PPV measurement in suitable
critically ill patients.
PMID- 21880003
TI - Antecedents to cardiac arrests in a hospital equipped with a medical emergency
team.
AB - BACKGROUND: Studies conducted before the conception of medical emergency teams
(METs) revealed that cardiac arrests were often preceded by deranged vital signs.
METs have been implemented in hospitals to review ward patients whose conditions
are deteriorating in order to prevent adverse events, including cardiac arrest.
Antecedents to cardiac arrests in a MET-equipped hospital have not been assessed.
OBJECTIVES: To determine what proportion of patients who had cardiac arrests had
documented MET criteria before the arrest, and what proportion had a premorbid
status suggesting they were unsuitable resuscitation candidates. DESIGN AND
SETTING: Prospective observational study of cardiac arrests at the Austin
Hospital, Melbourne, Australia, 1 April - 30 September 2010. Data were obtained
from the patients' records and electronic "respond blue" database. MAIN OUTCOME
MEASURES: Patients' premorbid medical condition and functional status; prior "not
for-resuscitation" (NFR) order; presence or absence of a MET call before cardiac
arrest; time and rhythm of cardiac arrest; and in hospital mortality. RESULTS: 27
patients had a cardiac arrest during the study period, 22 of whom had no prior
documented NFR order. Among these 22 patients, 18 (82%) had an initial rhythm of
asystole or pulseless electrical activity, and 16 (73%) died in hospital. Fifty
per cent of arrests were detected between midnight and 08:00. All six patients
classified as unsuitable resuscitation candidates died in hospital, and there
were trends for increased age and poorer functional status when compared with
suitable candidates. A further six patients had documented MET criteria in the 6
hours before the arrest, but did not receive MET review. CONCLUSIONS: In this 6
month audit, about half the patients with cardiac arrest may have been unsuitable
for resuscitation, or had objective warning signs that were not acted on. Further
improvements in advanced care planning and optimisation of MET activation may
further reduce cardiac arrest calls at our hospital.
PMID- 21880004
TI - A retrospective cohort study of the effect of medical emergency teams on
documentation of advance care directives.
AB - OBJECTIVE: To describe the longitudinal changes in documentation of advance care
directives (ACDs), including limitation of medical therapy (LMT) and not-for
resuscitation (NFR) directives among patients reviewed by a medical emergency
team (MET). DESIGN AND SETTING: Single-centre, retrospective cohort study at a
tertiary teaching hospital in Wellington, New Zealand, from 1 October 2009 to 30
September 2010. PARTICIPANTS: Adult surgical and medical inpatients attended by
the hospital's MET, which attends medical emergency calls and cardiac arrest
calls. MAIN OUTCOME MEASURES: Chronology of LMT and NFR documentation rates in
relation to hospital admission and MET attendance. Medical compliance with
hospital NFR documentation policy. Differences in characteristics and outcomes of
patients with and without documented ACDs. RESULTS: Documentation of LMT and NFR
directives at admission was low (18%) in the 71 patient files included in the
study. The LMT and NFR directive documentation rate before MET review (32%)
doubled after MET involvement (62%). Universal NFR directive documentation was
not achieved (66% NFR rate). Presence of pre-MET ACDs were associated with
increased age, but this group had similar comorbidities and mortality rates to
the group without directives. Presence of ACD documentation after MET review was
associated with increased age, comorbidity burden and in hospital mortality.
CONCLUSIONS: Compliance with hospital policy of universal documentation was low
despite MET involvement. There was a strong association between ACDs and death,
suggesting an opt-out culture. Further investigation is needed into the
interaction between hospital systems, medical culture, human factors, and patient
centred clinical decision making.
PMID- 21880005
TI - Medical reviews before cardiac arrest, medical emergency call or unanticipated
intensive care unit admission: their nature and impact on patient outcome.
AB - OBJECTIVE: To measure and describe the extent and consequences of documented
medical patient reviews in the 24 hours before a cardiac arrest, medical
emergency team (MET) call or an unanticipated intensive care unit admission
("event"), and the use of such reviews as a rapid response system performance
measure. DESIGN: Retrospective case-note and database review. SETTING: Tertiary
referral hospital, April-September, 2008. PARTICIPANTS: Adult inpatients who had
an event and a preceding hospital length of stay > 24 hours. MAIN OUTCOME
MEASURES: Hospital discharge status, ICU length of stay, not-for-resuscitation
order. RESULTS: 443 patients had 575 events (6.1% cardiac arrests, 68.7% MET
calls, 25.2% ICU admissions) in the study period. A documented medical review
preceded 561 (97.6%) events. Patients whose review was a home team review (HTR;
ie, from a general ward) only were older than those with a critical care review
(CCR) (70.2 v 63.6 years; P < 0.01). A critical care discharge (CCD) or CCR
preceded 39.5% and HTR only, 57.9% of events. A CCD preceded 25.7% of cardiac
arrests, 32.4% of MET calls, and 29.0% unanticipated ICU admissions. Patients
with a CCR or CCD had lower hospital mortality than those with an HTR only (27.3%
v 41.7%; P < 0.01), and shorter median ICU length of stay (2 [interquartile
range, 1-3] v 2 [interquartile range, 1-6] days; P = 0.04). CONCLUSIONS: Medical
reviews in the 24 hours before an adverse event are common. The type of medical
review may influence patient outcome and thus may be a useful measure of rapid
response systems and critical care performance.
PMID- 21880006
TI - Paracetamol therapy for septic critically ill patients: a retrospective
observational study.
AB - BACKGROUND: There is little information on the use of paracetamol for septic
critically ill patients. We hypothesised that paracetamol use is common in such
patients, but its administration is not predictably related to body temperature.
OBJECTIVE: To study the epidemiology and associations of paracetamol use in a
cohort of septic critically ill patients. DESIGN: Retrospective observational
study. PATIENTS AND SETTING: Cohort of 106 patients admitted with a sepsis
related diagnostic code to the intensive care unit of a tertiary hospital, 14
December 2009 - 8 August 2010. METHODS: Using the ICU database, we identified all
patients admitted with sepsis during the study period. We audited their
electronic medical records to identify paracetamol administration and body
temperature. The paracetamol administered and tympanic temperature at 00:00,
06:00, 12:00 and 18:00 hours for the first 7 days of admission were recorded. The
reason for paracetamol administration was not documented. RESULTS: 73/106 (69%)
patients received paracetamol at least once; 10% of all patients and 23% of
postoperative patients had paracetamol for every temperature measurement. The
median length of stay was 3 days and the mean total ICU paracetamol dose per
patient was 6.4 g. Overall, 44% of patients received paracetamol for their peak
temperature (56% in the fever group v 37% in the non-fever group; P = 0.07). Only
36/106 patients had a fever and 88% in the fever group had paracetamol at least
once in the first 7 days, compared with 60% in the non-fever group (P=0.004).
After adjustment for key variables, patients with fever were more likely to
receive paracetamol (odds ratio, 6.8 [95% CI, 1.9- 24.7]; P=0.004). Patients with
fever were more likely to die in ICU than patients without fever (P<0.001),
although those who died in ICU did not receive more paracetamol. CONCLUSIONS:
Paracetamol administration is common among septic critically ill patients with or
without fever, and more likely to occur when fever is present. However,
paracetamol is not predictably given for the highest temperature in febrile
patients. Future investigations are needed to understand under what circumstances
and why paracetamol is given or not given to febrile or afebrile septic ICU
patients.
PMID- 21880007
TI - Candida sake candidaemia in non-neutropenic critically ill patients: a case
series.
AB - Candida sake infections are rare, but have been shown to cause severe infections
including fungal endocarditis, peritonitis and bloodstream infection. As the
reported incidence of C. sake candidaemia is very low, there is a dearth of data
regarding the associated risk factors, antifungal agent-susceptibility patterns,
optimal treatment policies, clinical course and outcomes of patients with such
infections. We report a series of seven non-neutropenic intensive care unit
patients with C. sake candidaemia. Most of the patients were men (6/7), were over
65 years of age (5/7) and had a history of recent hospitalisation (4/7) and
comorbidities (4/7). However, all seven patients had a previous history of
antibiotic uptake for more than 5 days and had a central venous catheter in situ
at the time of taking specimens for culture. In four patients, infection was
azole-resistant. Four patients required vasopressor support, three required
mechanical ventilation and two required renal replacement therapy. Three of the
seven patients died. This case series emphasises the importance of performing
species identification and antifungal susceptibility testing in ICU patients with
candidaemia, especially those with advanced age, underlying chronic diseases,
indwelling vascular catheters, or a history of previous antibiotics or recent
hospitalisations, as these patients may be at an increased risk of developing
rare Candida infections like C. sake. Moreover, these rare Candida species may be
more frequently resistant to azole antifungal agents, and may be associated with
significant mortality.
PMID- 21880008
TI - Cardiac arrest complicating neostigmine use for bowel opening in a critically ill
patient.
AB - Absence of bowel opening is common among critically ill patients. Neostigmine can
be used to achieve stool passage after other treatments have been ineffective.
Here, we report a case of cardiac arrest complicating neostigmine use in a 16
year-old woman with cerebral palsy who was being treated in the intensive care
unit after orthopaedic surgery. Bradycardia is a recognised complication of
neostigmine administration; however, cardiac arrest has not been reported
previously.
PMID- 21880009
TI - A systematic review of the accuracy of peripheral thermometry in estimating core
temperatures among febrile critically ill patients.
AB - BACKGROUND: There is uncertainty about the accuracy of peripheral thermometers in
measuring temperatures within the febrile physiological range. OBJECTIVE: To
determine the accuracy of peripheral thermometers in detecting febrile core
temperatures among critically ill patients, and, if required, to determine a
standard conversion equation to improve accuracy. METHODS: A systematic search of
MEDLINE, Embase, the Cochrane Central Register of Controlled Trials and PubMed
was undertaken to identify clinical trials comparing peripheral thermometry in
critically ill adult patients with core temperatures > 37.5 degrees C. Our
prespecified plan was to perform a meta-analysis of the clinical accuracy of mean
peripheral thermometer temperature difference from core temperature and
calculation of limits of agreement. RESULTS: Systematic review identified three
studies that compared infrared tympanic, rectal or oral thermometer readings with
pulmonary artery catheter core temperature readings among critically ill adults
with fever. Studies were heterogeneous and all failed to report appropriate
measurements of variation for the estimates of clinical accuracy, which prevented
meta-analysis and limited interpretation of the results. Mean differences were
within +/- 0.2 degrees C in five of seven tympanic thermometer/mode/ temperature
combinations and in the one oral thermometer studied. All of three rectal
thermometer/temperature combinations studied reported mean differences outside
this range. CONCLUSION: The identified studies suggest that in critically ill
patients, tympanic and oral thermometry provide, on average, accurate measures of
core temperatures within the febrile range and can be recommended for this
purpose. Further studies with appropriate statistical methods are required to
assess the accuracy of peripheral thermometers among critically ill patients with
fever.
PMID- 21880010
TI - Subclinical acute kidney injury: a novel biomarker-defined syndrome.
PMID- 21880011
TI - Echocardiography training at the University of Queensland.
PMID- 21880012
TI - Characterization of a novel peripheral pro-lipolytic mechanism in mice: role of
VGF-derived peptide TLQP-21.
AB - The peptides encoded by the VGF gene are gaining biomedical interest and are
increasingly being scrutinized as biomarkers for human disease. An
endocrine/neuromodulatory role for VGF peptides has been suggested but never
demonstrated. Furthermore, no study has demonstrated so far the existence of a
receptor-mediated mechanism for any VGF peptide. In the present study, we provide
a comprehensive in vitro, ex vivo and in vivo identification of a novel pro
lipolytic pathway mediated by the TLQP-21 peptide. We show for the first time
that VGF-immunoreactivity is present within sympathetic fibres in the WAT (white
adipose tissue) but not in the adipocytes. Furthermore, we identified a saturable
receptor-binding activity for the TLQP-21 peptide. The maximum binding capacity
for TLQP-21 was higher in the WAT as compared with other tissues, and selectively
up-regulated in the adipose tissue of obese mice. TLQP-21 increases lipolysis in
murine adipocytes via a mechanism encompassing the activation of
noradrenaline/beta-adrenergic receptors pathways and dose-dependently decreases
adipocytes diameters in two models of obesity. In conclusion, we demonstrated a
novel and previously uncharacterized peripheral lipolytic pathway encompassing
the VGF peptide TLQP-21. Targeting the sympathetic nerve-adipocytes interaction
might prove to be a novel approach for the treatment of obesity-associated
metabolic complications.
PMID- 21880013
TI - Protein metabolism and gene expression in skeletal muscle of critically ill
patients with sepsis.
AB - Muscle wasting negatively affects morbidity and mortality in critically ill
patients. This progressive wasting is accompanied by, in general, a normal muscle
PS (protein synthesis) rate. In the present study, we investigated whether muscle
protein degradation is increased in critically ill patients with sepsis and which
proteolytic enzyme systems are involved in this degradation. Eight patients and
seven healthy volunteers were studied. In vivo muscle protein kinetics was
measured using arteriovenous balance techniques with stable isotope tracers. The
activities of the major proteolytic enzyme systems were analysed in combination
with mRNA expression of genes related to these proteolytic systems. Results show
that critically ill patients with sepsis have a variable but normal muscle PS
rate, whereas protein degradation rates are dramatically increased (up to 160%).
Of the major proteolytic enzyme systems both the proteasome and the lysosomal
systems had higher activities in the patients, whereas calpain and caspase
activities were not changed. Gene expression of several genes related to the
proteasome system was increased in the patients. mRNA levels of the two main
lysosomal enzymes (cathepsin B and L) were not changed but, conversely, genes
related to calpain and caspase had a higher expression in the muscles of the
patients. In conclusion, the dramatic muscle wasting seen in critically ill
patients with sepsis is due to increased protein degradation. This is facilitated
by increased activities of both the proteasome and lysosomal proteolytic systems.
PMID- 21880014
TI - Stemness characteristics and osteogenic potential of sheep amniotic epithelial
cells.
AB - We set out to characterize stemness properties and osteogenic potential of sheep
AEC (amniotic epithelial cells). AEC were isolated from 3-month-old fetuses and
expanded in vitro for 12 passages. The morphology, surface markers, stemness
markers and osteogenic differentiation were inspected after 1, 6 and 12 passages
of expansion, with an average doubling time of 24 h. AEC clearly expressed the
stemness markers Oct-3/4 (octamer-binding protein-3/4), Nanog, Sox2 and TERT
(telomerase reverse transcriptase) and displayed low levels of global DNA
methylation. Culture had moderate effects on cell conditions; some adhesion
molecules progressively disappeared from the cell surface, and the expression of
Sox2 and TERT was slightly reduced while Nanog increased. No changes occurred in
the levels of DNA methylation. Cells organized in 3D spheroids were used for IVD
(in vitro differentiation). Within these structures the cells developed a complex
intercellular organization that involved extensive intercellular coupling despite
continuous cell migration. Marked deposition of calcein in the ECM (extracellular
matrix), increased ALP (alkaline phosphatase) activity, expression of bone
related genes (osteocalcin) and the matrix mineralization shown by Alizarin Red
staining demonstrate that AEC can undergo rapid and extensive osteogenic
differentiation. AEC introduced in experimental bone lesions survived in the site
of implantation for 45 days and supported consistent bone neoformation, thus
showing promising potential applications in osteogenic regenerative medicine.
PMID- 21880015
TI - LRPPRC mutation suppresses cytochrome oxidase activity by altering mitochondrial
RNA transcript stability in a mouse model.
AB - LRPPRC (leucine-rich pentatricopeptide repeat-containing) has been shown to be
essential for the maturation of COX (cytochrome c oxidase), possibly by
stabilizing RNA transcripts of COXI, COXII and COXIII genes encoded in mtDNA
(mitochondrial DNA). We established a mouse 'gene-trap' model using ES cells
(embryonic stem cells) in which the C-terminus of LRPPRC has been replaced with a
beta-geo construct. Mice homozygous for this modification were found to be
subject to embryonic lethality, with death before 12.5 dpc (days post-coitum).
Biochemical analysis of MEFs (mouse embryonic fibroblasts) isolated from
homozygous mutants showed a major decrease in COX activity, with slight
reductions in other respiratory chain complexes with mtDNA encoded components.
Constructs of LRPPRC containing different numbers of PPRs (pentatricopeptide
repeats) were expressed as recombinant proteins and tested for their ability to
bind to the COXI mRNA transcript. Full binding required the first 19 PPR motifs.
A specific segment of COXI mRNA was identified as the binding target for LRPPRC,
encoded by mouse mtDNA nucleotides 5961-6020. These data strongly suggest that
LRPPRC is involved in the maturation of COX, and is involved in stabilizing of
mitochondrial mRNAs encoding COX transcripts.
PMID- 21880016
TI - Aldehyde stress and up-regulation of Nrf2-mediated antioxidant systems accompany
functional adaptations in cardiac mitochondria from mice fed n-3 polyunsaturated
fatty acids.
AB - Diets replete with n-3 PUFAs (polyunsaturated fatty acids) are known to have
therapeutic potential for the heart, although a specifically defined duration of
the n-3 PUFA diet required to achieve these effects remains unknown, as does
their mechanism of action. The present study was undertaken to establish whether
adaptations in mitochondrial function and stress tolerance in the heart is
evident following short- (3 weeks) and long- (14 weeks) term dietary intervention
of n-3 PUFAs, and to identify novel mechanisms by which these adaptations occur.
Mitochondrial respiration [mO2 (mitochondrial O2)], H2O2 emission [mH2O2
(mitochondrial H2O2)] and Ca2+-retention capacity [mCa2+ (mitochondrial Ca2+)]
were assessed in mouse hearts following dietary intervention. Mice fed n-3 PUFAs
for 14 weeks showed significantly lower mH2O2 and greater mCa2+ compared with all
other groups. However, no significant differences were observed after 3 weeks of
the n-3 PUFA diet, or in mice fed on an HFC (high-fat control) diet enriched with
vegetable shortening, containing almost no n-3 PUFAs, for 14 weeks.
Interestingly, expression and activity of key enzymes involved in antioxidant and
phase II detoxification pathways, all mediated by Nrf2 (nuclear factor E2-related
factor 2), were elevated in hearts from mice fed the n-3 PUFA diet, but not
hearts from mice fed the HFC diet, even at 3 weeks. This increase in antioxidant
systems in hearts from mice fed the n-3 PUFA diet was paralleled by increased
levels of 4-hydroxyhexenal protein adducts, an aldehyde formed from peroxidation
of n-3 PUFAs. The findings of the present study demonstrate distinct time
dependent effects of n-3 PUFAs on mitochondrial function and antioxidant response
systems in the heart. In addition, they are the first to provide direct evidence
that non-enzymatic oxidation products of n-3 PUFAs may be driving mitochondrial
and redox-mediated adaptations, thereby revealing a novel mechanism for n-3 PUFA
action in the heart.
PMID- 21880017
TI - Hypoxia and reoxygenation modulate the arrhythmogenic activity of the pulmonary
vein and atrium.
AB - Ischaemia and reperfusion contribute to the genesis of AF (atrial fibrillation).
PVs (pulmonary veins) and the atria are important foci for AF initiation and
maintenance. However, the effect of ischaemia and reperfusion on PVs and the
atria has not yet been fully elucidated. In the present study, conventional
microelectrodes were used to record the APs (action potentials) in isolated
rabbit PV, LA (left atrium) and RA (right atrium) specimens during hypoxia and
reoxygenation, and pharmacological interventions. Hypoxia reduced the PV beating
rates from 1.8+/-0.1 to 1.3+/-0.2 and 0.8+/-0.1 Hz at 30 and 60 min respectively
(n=8, P<0.005), and induced EAD (early after depolarization) in three (37.5%) of
the PVs and DAD (delayed after depolarization) in one (12.5%) of the PVs.
Reoxygenation increased the PV spontaneous rate to 1.4+/-0.2 Hz (P<0.05) and
induced PV burst firings (3.5+/-0.1 Hz, P<0.001) in six (75%) of the PVs. Hypoxia
shortened the AP duration in the LA and PVs, but not in the RA. Pretreatment with
glibenclamide attenuated hypoxia-induced decreases in the PV spontaneous activity
and the shortening of the LA and PV AP duration. Similar to those in hypoxia, the
K(ATP) (ATP-sensitive potassium) channel opener pinacidil (30 MUM) decreased PV
spontaneous activity and shortened the AP duration. Pretreatment with 5 mM N-MPG
[N-(mercaptopropionyl)glycine; a hydroxyl (*OH) free-radical scavenger] or 300
MUM chloramphenicol [a cytochrome P450 inhibitor that reduces ROS (reactive
oxygen species)] attenuated the rate changes induced by hypoxia and
reoxygenation, and also decreased the burst firing incidence. In conclusion,
hypoxia and reoxygenation significantly increased PV arrhythmogenesis and induced
different electrophysiological responses in the RA and LA, which may play a role
in the pathophysiology of AF.
PMID- 21880019
TI - Dissecting structure-function-stability relationships of a thermostable GH5-CBM3
cellulase from Bacillus subtilis 168.
AB - Cellulases participate in a number of biological events, such as plant cell wall
remodelling, nematode parasitism and microbial carbon uptake. Their ability to
depolymerize crystalline cellulose is of great biotechnological interest for
environmentally compatible production of fuels from lignocellulosic biomass.
However, industrial use of cellulases is somewhat limited by both their low
catalytic efficiency and stability. In the present study, we conducted a detailed
functional and structural characterization of the thermostable BsCel5A (Bacillus
subtilis cellulase 5A), which consists of a GH5 (glycoside hydrolase 5) catalytic
domain fused to a CBM3 (family 3 carbohydrate-binding module). NMR structural
analysis revealed that the Bacillus CBM3 represents a new subfamily, which lacks
the classical calcium-binding motif, and variations in NMR frequencies in the
presence of cellopentaose showed the importance of polar residues in the
carbohydrate interaction. Together with the catalytic domain, the CBM3 forms a
large planar surface for cellulose recognition, which conducts the substrate in a
proper conformation to the active site and increases enzymatic efficiency.
Notably, the manganese ion was demonstrated to have a hyper-stabilizing effect on
BsCel5A, and by using deletion constructs and X-ray crystallography we determined
that this effect maps to a negatively charged motif located at the opposite face
of the catalytic site.
PMID- 21880018
TI - Reduction in BACE1 decreases body weight, protects against diet-induced obesity
and enhances insulin sensitivity in mice.
AB - Insulin resistance and impaired glucose homoeostasis are important indicators of
Type 2 diabetes and are early risk factors of AD (Alzheimer's disease). An
essential feature of AD pathology is the presence of BACE1 (beta-site amyloid
precursor protein-cleaving enzyme 1), which regulates production of toxic amyloid
peptides. However, whether BACE1 also plays a role in glucose homoeostasis is
presently unknown. We have used transgenic mice to analyse the effects of loss of
BACE1 on body weight, and lipid and glucose homoeostasis. BACE1-/- mice are lean,
with decreased adiposity, higher energy expenditure, and improved glucose
disposal and peripheral insulin sensitivity than wild-type littermates. BACE1-/-
mice are also protected from diet-induced obesity. BACE1-deficient skeletal
muscle and liver exhibit improved insulin sensitivity. In a skeletal muscle cell
line, BACE1 inhibition increased glucose uptake and enhanced insulin sensitivity.
The loss of BACE1 is associated with increased levels of UCP1 (uncoupling protein
1) in BAT (brown adipose tissue) and UCP2 and UCP3 mRNA in skeletal muscle,
indicative of increased uncoupled respiration and metabolic inefficiency. Thus
BACE1 levels may play a critical role in glucose and lipid homoeostasis in
conditions of chronic nutrient excess. Therefore strategies that ameliorate BACE1
activity may be important novel approaches for the treatment of diabetes.
PMID- 21880020
TI - Full-length sequence of a novel null allele HLA-A*23:38N identified in an
individual from Guadeloupe.
AB - The new HLA-A*23:38N allele shows a single-base deletion in exon 2, resulting in
a frame shift and a premature stop codon.
PMID- 21880021
TI - Incidence of cervical cancer and age-specific survival of small cell cervical
carcinoma in Taiwan.
AB - OBJECTIVE: To determine the incidence of cervical cancer and the age-specific
survival from small cell cervical carcinoma in Taiwan. DESIGN: Retrospective
study. Setting. Taiwan. POPULATION: Women diagnosed with cervical cancer from
1991 to 2005. METHODS: Analysis of data from the National Cancer Registration
System and National Death Certification System. MAIN OUTCOME MEASURES: Incidence
and age at diagnosis of cervical carcinoma and age-specific and overall survival
from small cell cervical carcinoma. RESULTS: During the study period, 36 122
women were diagnosed with cervical cancer, and 81.8% had squamous cell carcinoma
(SCC). For the periods 1991-1995, 1996-2000 and 2001-2005, the mean age at
diagnosis increased from 53.9 +/- 13.3 to 55.0 +/- 14.9 and then to 56.7 +/- 14.7
years, respectively. The incidence of SCC decreased from 1991 to 2005. During the
same period, non-significant increases of adenocarcinoma and small cell carcinoma
were noted. For SCC, occurrence peaked in 1991-1995 in patients 50-59 years of
age. From 1996 to 2005, it peaked in patients 40-49 years of age. For cervical
adenocarcinoma, occurrence peaked in patients 40-49 years of age, with a steady
increase in this age group from 1991 to 2005. Occurrence of small cell cervical
carcinoma peaked in the period 1991-1995 in patients 30-39 years of age. During
the 15 years of the study, the overall mortality rate of the 198 patients with
small cell cervical carcinoma was 65.7%. CONCLUSIONS: In Taiwan, the incidence of
small cell cervical carcinoma and adenocarcinoma tended to increase, but the
incidence of squamous cell cervical carcinoma significantly decreased during the
period 1991-2005.
PMID- 21880022
TI - Acute digital ischemia complicating gemcitabine and carboplatin combination
chemotherapy for ovarian cancer.
PMID- 21880023
TI - Does exercise during pregnancy prevent postnatal depression? A randomized
controlled trial.
AB - OBJECTIVE: To study whether exercise during pregnancy reduces the risk of
postnatal depression. DESIGN: Randomized controlled trial. SETTING: Trondheim
and Stavanger University Hospitals, Norway. POPULATION AND SAMPLE: Eight
hundred and fifty-five pregnant women were randomized to intervention or control
groups. METHODS: The intervention was a 12 week exercise program, including
aerobic and strengthening exercises, conducted between week 20 and 36 of
pregnancy. One weekly group session was led by physiotherapists, and home
exercises were encouraged twice a week. Control women received regular antenatal
care. MAIN OUTCOME MEASURES: Edinburgh Postnatal Depression Scale (EPDS)
completed three months after birth. Scores of 10 or more and 13 or more suggested
probable minor and major depression, respectively. RESULTS: Fourteen of 379
(3.7%) women in the intervention group and 17 of 340 (5.0%) in the control group
had an EPDS score of >=10 (p=0.46), and four of 379 (1.2%) women in the
intervention group and eight of 340 (2.4%) in the control group had an EPDS score
of >=13 (p=0.25). Among women who did not exercise prior to pregnancy, two of 100
(2.0%) women in the intervention group and nine of 95 (9.5%) in the control group
had an EPDS score of >=10 (p=0.03). CONCLUSIONS: We did not find a lower
prevalence of high EPDS scores among women randomized to regular exercise during
pregnancy compared with the control group. However, a subgroup of women in the
intervention group who did not exercise regularly prior to pregnancy had a
reduced risk of postnatal depression.
PMID- 21880024
TI - Labor progress among women attempting a trial of labor after cesarean. Do they
have their own rules?
AB - OBJECTIVE: To examine the pattern of labor progression among second parous women
who had a vaginal birth after a cesarean (VBAC) compared with primiparous and
multiparous women who delivered vaginally. DESIGN: Case-control study. SETTING:
University hospital in Israel, August 2005 through November 2008. POPULATION: The
cases were all 137 second parous women who had a VBAC during the study period.
The control groups were: (1) 136 primiparous women; and (2) 137 women who had a
second repeated vaginal delivery. METHODS: Data were extracted from the
electronic medical records at admission, labor charts and medical records at
discharge. Controls were frequency-matched to the study group for maternal age,
gestational age and the work shift during which the delivery occurred. MAIN
OUTCOME MEASURES: Length of the active phase of labor. Secondary outcomes were
length of the second stage and incidence of vacuum extraction deliveries.
Results. The length of the active phase was 176 +/- 116, 207 +/- 121 and 110 +/-
66 minutes in the study, first and second control groups, respectively. The
active phase and stage 2 of the study group were significantly shorter than in
the first control group (p=0.02 and p=0.007, respectively) and longer than in the
second control group (p=0.001 in both stages). The incidence of vacuum deliveries
was higher (p=0.001) in the study group (13.9%) compared with the second control
group (1.5%). CONCLUSIONS: The results suggest that the pattern of labor
progression among second parous women undergoing a trial of labor after cesarean
differs from second parous women undergoing a repeated vaginal delivery.
Recognizing this dissimilarity may help in avoiding unnecessary, occasionally
deleterious, interventions.
PMID- 21880025
TI - Intimate partner violence and its association with pregnancy loss and pregnancy
planning.
AB - OBJECTIVE: To examine the effect of physical partner violence on pregnancy loss
and unplanned pregnancy. DESIGN: Cross-sectional, self-reported questionnaire
survey. SETTING: A maternity ward of a university hospital in Munich, Germany.
SAMPLE: Women who gave birth within the previous seven days. METHODS: The
effects of physical partner violence on pregnancy loss and unplanned pregnancy
were estimated using descriptive statistics based on chi(2) tests, bivariate
logistic regression and multivariate logistic regression. MAIN OUTCOME MEASURES:
Physical partner violence was assessed using the Abuse Assessment Screen,
information on pregnancy loss was derived from women's medical files and the
assessment of pregnancy planning was based on women's self-reports. RESULTS: The
survey had a response rate of 73%; 29% of the women experienced pregnancy loss,
13% reported that their last pregnancy was unplanned and 4% revealed physical
violence by a current or previous partner. Physical partner violence was
significantly associated with pregnancy loss (odds ratio 8.33, 95% confidence
interval 2.01-34.59) and unplanned last pregnancy (odds ratio 5.03, 95%
confidence interval 1.21-21.26), even after adjusting for other commonly known
explanatory factors, such as number of children, women's age and women's and
their partners' education level and employment, marital status, financial
situation and support during pregnancy. CONCLUSIONS: Physical partner violence
is an important factor in understanding pregnancy loss and unplanned pregnancy.
Inquiring about the existence of intimate partner violence among these women
might help to identify women in need of domestic violence services.
PMID- 21880026
TI - Hepatocellular carcinoma and vitamin D: a review.
AB - The non-classical actions of vitamin D, namely antiproliferation, pro
differentiation, pro-apoptosis, anti-inflammation, and immune regulation, have
received great attention during the past decade. Increasing evidence from
epidemiological studies showing the inverse association between vitamin D status
and incidence of many forms of cancer as well as biochemical studies has
suggested that vitamin D deficiency may play a role in the cause and progression
of these types of cancer. Recently, vitamin D and its analogs have been deemed as
potential regimen to treat a variety of cancers alone or in combination with
other drugs. Although, the epidemiologic evidence regarding the association of
vitamin D and hepatocellular carcinoma (HCC) is still inconclusive, biochemical
evidence clearly indicates that HCC cells are responsive to the inhibitory effect
of vitamin D and its analogs. In this review, we discuss the current status of
HCC and its treatment, the source, metabolism, functions, and the mechanism of
actions of vitamin D, and the biochemical studies of vitamin D analogs and their
implications in the prevention and treatment of HCC.
PMID- 21880027
TI - An Al-inducible MATE gene is involved in external detoxification of Al in rice.
AB - A number of plant species, including rice, secretes citrate from roots in
response to Al stress. Here we characterized the functions of a gene, OsFRDL4
(Os01g0919100) that belongs to the multidrug and toxic compound extrusion (MATE)
family in rice (Oryza sativa). Heterologous expression in Xenopus oocyte showed
that the OsFRDL4 protein was able to transport citrate and was activated by Al.
The expression level of the OsFRDL4 gene in roots was very low in the absence of
Al, but was greatly enhanced by Al after short exposure. Furthermore, the OsFRDL4
expression was regulated by ART1, a C2H2-type zinc finger transcription factor
for Al tolerance. Transient expression of OsFRDL4 in onion epidermal cells showed
that it localized to the plasma membrane. Immunostaining showed that OsFRDL4 was
localized in all cells in the root tip. These expression patterns and cell
specificity of localization of OsFRDL4 are different from other MATE members
identified previously. Knockout of OsFRDL4 resulted in decreased Al tolerance and
decreased citrate secretion compared with the wild-type rice, but did not affect
citrate concentration in the xylem sap. Furthermore, there is a positive
correlation between OsFRDL4 expression level and the amount of citrate secretion
in rice cultivars that are differing in Al tolerance. Taken together, our results
show that OsFRDL4 is an Al-induced citrate transporter localized at the plasma
membrane of rice root cells and is one of the components of high Al tolerance in
rice.
PMID- 21880028
TI - Early assessment of visual acuity after cataract surgery in rural Indonesia.
AB - BACKGROUND: The accuracy and impact on service uptake of early examination after
cataract surgery is not known. DESIGN: Prospective cohort study. PARTICIPANTS:
Cataract patients in rural Indonesia. METHODS: Visual acuity was measured
preoperatively, 1day, 1-3, 4-6 and >12weeks after surgery, and 6-8months
postoperatively at an outreach examination. Acceptance of second-eye surgery and
spectacles was evaluated. MAIN OUTCOME MEASURE: Presenting visual acuity in the
operated eye. RESULTS: Among 241 subjects (extracapsular surgery 84%),
examinations at 1day, 1-3, 4-6 and >12weeks and 6-8months were completed for 100%
(241), 90.9% (219), 67.6% (163), 22.0% (53) and 80.0% (193), respectively. Among
subjects at the final examination (mean age 65.8+/-10.6years, 51.8% male), 73.6%
had bilateral preoperative presenting visual acuity<=6/60. By 4-6weeks, the
proportion with good (>=6/18) or poor (<=6/60) visual acuity did not differ
significantly from the final examination. Among 49 persons accepting free second
eye surgery, 69.4% (34) and 16.3% (8) returned to clinic at 4-6 and >12weeks,
respectively. Among 131 patients (67.9%) paying US$7 for glasses, 94 (71.8%) and
30 (22.9%) attended 4- to 6- and >12-week examinations, respectively. CONCLUSION:
Even with large-incision surgery, early assessment of postoperative vision is
representative of final vision, and may help deliver postoperative services to
more of those needing them.
PMID- 21880029
TI - Use of an antispasmodic (rociverine) to shorten the length of labor: a
randomized, placebo-controlled trial.
AB - OBJECTIVE: To determine the effectiveness of rociverine, an antispasmodic drug,
for reducing the duration of labor among nulliparous women managed according to a
standard intrapartum protocol. DESIGN: Randomized controlled trial. SETTING: An
academic tertiary care hospital. POPULATION: Nulliparae in spontaneous active
labor, with cervical dilatation between 3 and 5 cm. METHODS: Participants were
randomly assigned to receive either an intramuscular injection of 20mg rociverine
(n=55) or the same volume of saline (n=56). MAIN OUTCOME MEASURE: Cervical
dilatation rate (from administration of study drug to full dilatation). RESULTS:
Laboring women who received rociverine had faster cervical dilatation than those
assigned to placebo (2.43 +/- 1.84 vs. 1.85 +/- 1.38 cm/hour, p=0.03). The time
interval from treatment administration to full cervical dilatation was shorter in
the rociverine group than in the placebo group (220 +/- 125 vs. 278 +/- 129 min,
p=0.04). No difference was found in any other obstetric outcome. No adverse
effects have been recorded from rociverine administration. CONCLUSIONS:
Intrapartum administration of rociverine to nulliparous women may help to reduce
the duration of the first stage of labor in a context of uniform labor
management.
PMID- 21880030
TI - The relation of psychological distress to salivary and serum cortisol levels in
pregnant women shortly after the diagnosis of a structural fetal anomaly.
AB - OBJECTIVE: To examine the association between psychological distress and levels
of salivary cortisol (SalC) and the ratio of serum cortisol to cortisol-binding
globulin (SC/CBG) in pregnant women shortly after the diagnosis of a structural
fetal anomaly. DESIGN. A prospective, observational study. SETTING: Tertiary
referral center for fetal medicine. POPULATION: Pregnant women with (study
group, n=126) and without a fetal structural anomaly (comparison group, n=106)
were included. Gestational age (GA) was >12weeks. METHODS: Psychological
distress was assessed by Impact of Event Scale (IES-22), General Health
Questionnaire (GHQ-28) and Edinburgh Postnatal Depression Scale (EPDS). Salivary
cortisol was measured in the evening and SC/CBG in the morning. MAIN OUTCOME
MEASURES. Levels of SalC (in nanomoles per liter) and SC/CBG. RESULTS: Median
(range) GA at assessment was 19 (12-38) and 19 (13-22)weeks in the study and the
comparison group, respectively. The study group had significantly higher
psychological distress levels (p<=0.001) than the comparison group in all outcome
measures. Salivary cortisol correlated with GA in both groups (p<0.004). In
subanalyses including only women with GA 18-22 weeks, and excluding smokers or
women with chronic diseases or medication which might interfere with cortisol
levels, there were no relations of SalC or SC/CBG with the psychometric variables
in the study group. CONCLUSIONS. Women with detected fetal malformation had high
psychological distress scores. The lack of association between psychological
distress and SalC or SC/CBG suggests a blunted response of the hypothalamic
pituitary-adrenal axis following recent psychological stress activation in the
second trimester of pregnancy.
PMID- 21880031
TI - The air-Q((r)) intubating laryngeal airway vs the LMA-ProSeal(TM) : a
prospective, randomised trial of airway seal pressure.
AB - We performed a prospective, open-label, randomised controlled trial comparing the
air-Q((r)) against the LMA-ProSealTM in adults undergoing general anaesthesia.
One hundred subjects (American Society of Anesthesiologists physical status 1-3)
presenting for elective, outpatient surgery were randomly assigned to 52 air
Q((r)) and 48 ProSeal devices. The primary study endpoint was airway seal
pressure. Oropharyngolaryngeal morbidity was assessed secondarily. Mean (SD)
airway seal pressures for the air-Q((r)) and ProSeal were 30 (7) cmH (2) O and 30
(6) cmH(2) O, respectively (p = 0.47). Postoperative sore throat was more common
with the air-Q((r)) (46% vs 38%, p = 0.03) as was pain on swallowing (30% vs 5%,
p = 0.01). In conclusion, the air-Q((r)) performs well as a primary airway during
the maintenance of general anaesthesia with an airway seal pressure similar to
that of the ProSeal, but with a higher incidence of postoperative
oropharyngolaryngeal complaints.
PMID- 21880032
TI - Voriconazole versus itraconazole for antifungal prophylaxis following allogeneic
haematopoietic stem-cell transplantation.
AB - Antifungal prophylaxis for allogeneic haematopoietic stem-cell transplant
(alloHCT) recipients should prevent invasive mould and yeast infections (IFIs)
and be well tolerated. This prospective, randomized, open-label, multicentre
study compared the efficacy and safety of voriconazole (234 patients) versus
itraconazole (255 patients) in alloHCT recipients. The primary composite
endpoint, success of prophylaxis, incorporated ability to tolerate study drug for
>= 100 d (with <= 14 d interruption) with survival to day 180 without
proven/probable IFI. Success of prophylaxis was significantly higher with
voriconazole than itraconazole (48.7% vs. 33.2%, P < 0.01); more voriconazole
patients tolerated prophylaxis for 100 d (53.6% vs. 39.0%, P < 0.01; median total
duration 96 vs. 68 d). The most common (>10%) treatment-related adverse events
were vomiting (16.6%), nausea (15.8%) and diarrhoea (10.4%) for itraconazole, and
hepatotoxicity/liver function abnormality (12.9%) for voriconazole. More
itraconazole patients received other systemic antifungals (41.9% vs. 29.9%, P <
0.01). There was no difference in incidence of proven/probable IFI (1.3% vs.
2.1%) or survival to day 180 (81.9% vs. 80.9%) for voriconazole and itraconazole
respectively. Voriconazole was superior to itraconazole as antifungal prophylaxis
after alloHCT, based on differences in the primary composite endpoint.
Voriconazole could be given for significantly longer durations, with less need
for other systemic antifungals.
PMID- 21880033
TI - 5-HT receptors as novel targets for optimizing pigmentary responses in dorsal
skin melanophores of frog, Hoplobatrachus tigerinus.
AB - BACKGROUND AND PURPOSE: Biochemical identification of 5-HT has revealed similar
projection patterns across vertebrates. In CNS, 5-HT regulates major
physiological functions but its peripheral functions are still emerging. The
pharmacology of 5-HT is mediated by a diverse range of receptors that trigger
different responses. Interestingly, 5-HT receptors have been detected in pigment
cells indicating their role in skin pigmentation. Hence, we investigated the role
of this monoaminergic system in amphibian pigment cells, melanophores, to further
our understanding of its role in pigmentation biology together with its
evolutionary significance. EXPERIMENTAL APPROACH: Pharmacological profiling of 5
HT receptors was achieved using potent/selective agonists and antagonists. In
vitro responses of melanophores were examined by Mean Melanophores Size Index
assay. The melanophores of lower vertebrates are highly sensitive to external
stimuli. The immediate cellular responses to drugs were defined in terms of
pigment translocation within the cells. KEY RESULTS: 5-HT exerted strong
concentration-dependent pigment dispersion at threshold dose of 1 * 10(-6) g.mL(
1). Specific 5-HT(1) and 5-HT(2) receptor agonists, sumatriptan and myristicin.
also induced dose-dependent dispersion. Yohimbine and metergoline synergistically
antagonized sumatriptan-mediated dispersion, whereas trazodone partially blocked
myristicin-induced dispersion. Conversely, 5-HT(3) and 5-HT(4) receptor agonists,
1 (3 chlorophenyl) biguanide (1,3 CPB) and 5-methoxytryptamine (5-MT), caused a
dose-dependent pigment aggregation. The aggregatory effect of 1,3 CPB was
completely blocked by ondansetron, whereas L-lysine partially blocked the effect
of 5-MT. CONCLUSIONS AND IMPLICATIONS: The results suggest that 5-HT-induced
physiological effects are mediated via distinct classes of receptors, which
possibly participate in the modulation of pigmentary responses in amphibian.
PMID- 21880035
TI - A novel fluorescent histamine H(1) receptor antagonist demonstrates the
advantage of using fluorescence correlation spectroscopy to study the binding of
lipophilic ligands.
AB - BACKGROUND AND PURPOSE: Fluorescent ligands facilitate the study of ligand
receptor interactions at the level of single cells and individual receptors.
Here, we describe a novel fluorescent histamine H(1) receptor antagonist
(mepyramine-BODIPY630-650) and use it to monitor the membrane diffusion of the
histamine H(1) receptor. EXPERIMENTAL APPROACH: The human histamine H(1) receptor
fused to yellow fluorescent protein (YFP) was transiently expressed in CHO-K1
cells. The time course of binding of mepyramine-BODIPY630-650 to the H(1)
receptor was determined by confocal microscopy. Additionally, fluorescence
correlation spectroscopy (FCS) was used to characterize the diffusion coefficient
of the H(1) receptor in cell membranes both directly (YFP fluorescence) and in
its antagonist-bound state (with mepyramine-BODIPY630-650). KEY RESULTS:
Mepyramine-BODIPY630-650 was a high-affinity antagonist at the histamine H(1)
receptor. Specific membrane binding, in addition to significant intracellular
uptake of the fluorescent ligand, was detected by confocal microscopy. However,
FCS was able to quantify the receptor-specific binding in the membrane, as well
as the diffusion coefficient of the antagonist-H(1) receptor-YFP complexes, which
was significantly slower than when determined directly using YFP. FCS also
detected specific binding of mepyramine-BODIPY630-650 to the endogenous H(1)
receptor in HeLa cells. CONCLUSIONS AND IMPLICATIONS: Mepyramine-BODIPY630-650 is
a useful tool for localizing the H(1) receptor using confocal microscopy.
However, its use in conjunction with FCS allows quantification of ligand binding
at the membrane, as well as determining receptor diffusion in the absence of
significant bleaching effects. Finally, these methods can be successfully
extended to endogenously expressed untagged receptors in HeLa cells.
PMID- 21880034
TI - Clozapine, but not haloperidol, enhances glial D-serine and L-glutamate release
in rat frontal cortex and primary cultured astrocytes.
AB - BACKGROUND AND PURPOSE: Deficient transmission at the glutamate NMDA receptor is
considered a key component of the pathophysiology of schizophrenia. However, the
effects of antipsychotic drugs on the release of the endogenous NMDA receptor
partial agonist, D-serine, remain to be clarified. EXPERIMENTAL APPROACH: We
determined the interaction between antipsychotic drugs (clozapine and
haloperidol) and transmission-modulating toxins (tetanus toxin, fluorocitrate,
tetrodotoxin) on the release of L-glutamate and D-serine in the medial prefrontal
cortex (mPFC) of freely moving rats, using microdialysis, and primary cultures of
astrocytes using extreme high-pressure liquid chromatography. KEY RESULTS:
Release of L-glutamate and D-serine in the mPFC and in cultured astrocytes was
inhibited by tetanus toxin (a synaptobrevin inhibitor) and fluorocitrate (a glial
toxin), whereas tetrodotoxin (a voltage-sensitive Na(+) blocker) inhibited
depolarization-induced L-glutamate release in the mPFC without affecting that of
D-serine. Clozapine (1 and 5 mg.kg(-1)), but not haloperidol (0.5 and 1 mg.kg(
1)), dose-dependently increased L-glutamate and D-serine release from both
astrocytes and mPFC. Clozapine-induced release of L-glutamate and D-serine was
also reduced by tetanus toxin and fluorocitrate. Tetrodotoxin reduced clozapine
induced mPFC L-glutamate release but not that of D-serine. Clozapine-induced L
glutamate release preceded clozapine-induced D-serine release. MK-801 (a NMDA
receptor antagonist) inhibited the delayed clozapine-induced L-glutamate release
without affecting that of D-serine. CONCLUSIONS AND IMPLICATIONS: Clozapine
predominantly activated glial exocytosis of D-serine, and this clozapine-induced
D-serine release subsequently enhances neuronal L-glutamate release via NMDA
receptor activation. The enhanced D-serine associated glial transmission seems a
novel mechanism of action of clozapine but not haloperidol.
PMID- 21880036
TI - Pharmacokinetics and in vivo potency of soluble epoxide hydrolase inhibitors in
cynomolgus monkeys.
AB - BACKGROUND AND PURPOSE: Soluble epoxide hydrolase inhibitors (sEHIs) possess anti
inflammatory, antiatherosclerotic, antihypertensive and analgesic properties. The
pharmacokinetics (PK) and pharmacodynamics in terms of inhibitory potency of
sEHIs were assessed in non-human primates (NHPs). Development of a sEHI for use
in NHPs will facilitate investigations on the role of sEH in numerous chronic
inflammatory conditions. EXPERIMENTAL APPROACH: PK parameters of 11 sEHIs in
cynomolgus monkeys were determined after oral dosing with 0.3 mg.kg(-1). Their
physical properties and inhibitory potency in hepatic cytosol of cynomolgus
monkeys were examined. Dose-dependent effects of the two inhibitors 1
trifluoromethoxyphenyl-3-(1-propionylpiperidin-4-yl) urea (TPPU) and the related
acetyl piperidine derivative, 1-trifluoromethoxyphenyl-3-(1-acetylpiperidin-4-yl)
urea (TPAU), on natural blood eicosanoids, were determined. KEY RESULTS: Among
the inhibitors tested, TPPU and two 4-(cyclohexyloxy) benzoic acid urea sEHIs
displayed high plasma concentrations (>10 * IC(50)), when dosed orally at 0.3
mg.kg(-1). Although the 4-(cyclohexyloxy) benzoic acid ureas were more potent
against monkey sEH than piperidyl ureas (TPAU and TPPU), the latter compounds
showed higher plasma concentrations and more drug-like properties. The C(max)
increased with dose from 0.3 to 3 mg.kg(-1) for TPPU and from 0.1 to 3 mg.kg(-1)
for TPAU, although it was not linear over this range of doses. As an indication
of target engagement, ratios of linoleate epoxides to diols increased with TPPU
administration. CONCLUSION AND IMPLICATIONS: Our data indicate that TPPU is
suitable for investigating sEH biology and the role of epoxide-containing lipids
in modulating inflammatory diseases in NHPs.
PMID- 21880037
TI - Short-term infusion of a fish oil-based lipid emulsion modulates fatty acid
status, but not immune function or (anti)oxidant balance: a randomized cross-over
study.
AB - BACKGROUND AND AIMS: Studies suggest clinical benefits of parenteral fish oil
(FO), rich in n-3 polyunsaturated fatty acids (PUFAs), over soyabean oil (SO),
rich in n-6 PUFAs, in patients with pro-inflammatory conditions such as sepsis
and trauma. Because the mechanisms behind these observations remain unclear, the
present study explored the effects of intravenous infusion of FO and SO on fatty
acid incorporation, immune functions and (anti)oxidant balance in healthy human
volunteers. METHODS: Saline, a SO emulsion and a FO emulsion were administered
for one hour on three consecutive days at a rate of 0.2 g/kg BW/h to eight
subjects in a randomized cross-over design with a 3-week interval between
treatments. Plasma phospholipid and peripheral blood mononuclear cell (PBMC)
fatty acid compositions, and leucocyte counts and functions were assessed prior
to the first infusion (T = 0, baseline) and 1 day (T = 4, early effects) and 8
days (T = 11, late effects) after the third infusion. RESULTS: Fish oil infusion
significantly increased n-3 PUFA proportions and decreased n-6 PUFA proportions
in plasma phospholipids and PBMCs. There were no differences in immune functions
or (anti)oxidant balance between treatments at any time. CONCLUSIONS: The present
lipid infusion protocol appears to be safe and well tolerated and provides
significant incorporation of n-3 PUFAs into plasma phospholipids and PBMCs. In
the absence of overt inflammation, no direct effects of FO were observed on
immune function or (anti)oxidant balance. This model may be useful to evaluate
effects of parenteral lipids in other settings, for example in individuals
displaying an inflammatory state.
PMID- 21880038
TI - Elevated E-selectin and diastolic blood pressure in diabetic children.
AB - BACKGROUND: Cardiovascular risk markers are related to micro-angiopathy in
children with type 1 diabetes (T1DM), but there is no information about their
relationship with blood pressure (BP) and endothelial function. MATERIALS AND
METHODS: This was a case-control study including 29 children with T1DM (mean age
10.5 +/- 2.7 years, disease duration: 3.8 +/- 2.2 years) and 39 healthy controls
(mean age: 9.8 +/- 2.7 years). We assessed 24-h ambulatory BP, vascular function
and serum level of lipids, vascular cell adhesion molecule-1 (VCAM-1; ICAM) and
selectins (E-selectin; P-selectin). RESULTS: The subject groups had similar
physical characteristics and lipids level, except body mass index (BMI) which was
higher in T1DM than in healthy children (18.6 +/- 2.6 vs. 16.7 +/- 2.5 kg/m(2), P
= 0.003). Children with T1DM had increased 24 h diastolic BP z-score (0.62 +/-
0.9 vs. -0.65 +/- 0.8, P < 0.001), even after adjustment for BMI, as well as
higher VCAM-1 concentration (492 +/- 346 vs. 340 +/- 225 ng/mL, P = 0.039)
compared to healthy subjects. Diastolic BP z-scores were associated with disease
duration, E-selectin and triglyceride levels in the T1DM group (P < 0.05). E
selectin was also related to triglycerides, otherwise there were no relationships
between vascular function, markers and BP. CONCLUSION: E-selectin, an early
atherosclerosis biomarker, is positively associated with diastolic BP values in
children with T1DM, despite relatively short disease duration.
PMID- 21880039
TI - Claims for improved survival from systemic corticosteroids in diverse conditions:
an umbrella review.
AB - BACKGROUND: Systemic corticosteroids have been proposed for numerous indications
and there are many claims that corticosteroids can reduce mortality in diverse
conditions. METHODS: We performed an umbrella, agenda-wide review of the evidence
on systemic corticosteroids and mortality, focusing primarily on large trials
(defined as those with > 100 deaths) and meta-analyses. Searches were performed
in PubMed and Cochrane Central Register of Controlled Trials (last update
February 2011). We also examined whether spurious subset analyses may be
responsible for claims of survival benefits in indications where only small
trials had been available. RESULTS: Among 257 identified randomized trials with
mortality data in their abstract, we found 14 large trials pertaining to 10
different indications. Although 10 of these 14 trials have reported statistically
significant survival differences in subset analyses, none shows a nominally
statistically significant (P < 0.05) decrease in death risk for any of the tested
conditions when all deaths on all randomized patients are analysed. Meta-analyses
for these conditions show statistically significant reductions in mortality only
with antenatal corticosteroids for preterm labour (relative risk 0.77, 95% CI,
0.67-0.89) and in tuberculous meningitis (relative risk 0.78, 95% CI, 0.67-0.91).
For conditions without any large trials, statistically significant reductions in
mortality in meta-analyses were noted for Pneumocystis pneumonia (relative risk
0.54, 95% CI, 0.38-0.79) and alcoholic hepatitis (relative risk 0.63, 95% CI,
0.50-0.80). Many small trials that claim significant benefits, even those for
classic indications such as typhoid fever and tetanus, have shown these benefits
only in subset analyses. CONCLUSIONS: Corticosteroids have been documented to
decrease mortality in some indications, in particular, antenatal use for preterm
labour, tuberculous meningitis, Pneumocystis pneumonia, and alcoholic hepatitis.
Many postulated benefits of corticosteroids on mortality may reflect 'vibration
of treatment effects' leading to false-positive claims from spurious subset
analyses and even for standard indications, such biases may have inflated the
treatment effect estimates. More large trials are needed for serious, common
conditions where use of corticosteroids is proposed.
PMID- 21880040
TI - Topo IIalpha gene alterations correlated with survival in patients with diffuse
large B-cell lymphoma.
AB - BACKGROUND: Topoisomerase IIalpha (topo IIalpha) protein expression has
prognostic significance in many cancers. However, it is still unclear whether
topo IIalpha protein expression and gene alterations play roles as prognostic
factors in diffuse large B-cell lymphoma (DLBCL). MATERIALS AND METHODS: We
selected 102 patients with DLBCL who were homogeneously treated with CHOP
chemotherapy and followed up. Using tissue microarray technology, all of the
cases, consisting of 25 germinal centre B-cell-like (GCB) and 77 nongerminal
centre B-cell-like (non-GCB) types, were studied. Topo IIalpha protein expression
was detected by immunohistochemistry. Gene copy number of topo IIalpha was
analysed by chromogenic in situ hybridization. Cox regression, chi-square test
and Kaplan-Meier statistics were performed using SPSS 15.0. RESULTS: Topo IIalpha
protein overexpression was found in 91 (91/102, 89.2%) cases, while topo IIalpha
gene amplification was absent in all cases. Chromosome 17 deletion was identified
in 3 (3/102, 2.9%) cases, diploid in 66 (66/102, 64.7%) cases and aneuploidy in
33 (33/102, 32.4%) cases. By multivariate analysis, no significant differences in
progression-free survival (PFS) and overall survival (OS) were observed in
patients with topo IIalpha protein overexpression (P > 0.05), while chromosome 17
aneuploidy predicted worse PFS and OS (P < 0.001). CONCLUSIONS: These results
suggested that chromosome 17 aneuploidy, but not topo IIalpha protein expression,
could predict worse survival in patients with DLBCL.
PMID- 21880041
TI - Evaluation of the automated collection and extended storage of apheresis
platelets in additive solution.
AB - BACKGROUND: Collecting apheresis platelets (PLTs) into additive solution has many
potential benefits. The new Trima software (Version 6.0, CaridianBCT) allows
automated addition of PLT additive solution (PAS) after collection, compared to
Trima Version 5.1, which only collects PLTs into plasma. The aim of this study
was to compare PLT quality during extended storage, after collection with the
different Trima systems. STUDY DESIGN AND METHODS: Apheresis PLTs were collected
using both Trima Accel apheresis systems. The test PLT units (n = 12) were
collected using the new Trima Version 6.0 into PLT AS (PAS-IIIM), while the
control units (n = 8) were collected into autologous plasma using Trima Version
5.1. All units were stored for 9 days, and in vitro cell quality variables were
evaluated during this time. RESULTS: PLTs collected in PAS-IIIM maintained a
stable pH between 7.2 and 7.4, whereas plasma-stored apheresis units exhibited
significantly increased acidity during storage, due to lactate accumulation and
bicarbonate exhaustion. Plasma-stored PLTs also demonstrated a more rapid
consumption of glucose. However, there was little difference in PLT activation or
cytokine secretion between PAS-IIIM and control PLTs. CONCLUSION: These data
indicate that apheresis PLT concentrates collected in PAS-IIIM, using Trima
Version 6.0 software, maintained acceptable PLT metabolic and cellular
characteristics until Day 9 of storage.
PMID- 21880042
TI - Allogeneic single-donor cryoseal produced from fresh-frozen quarantine apheresis
plasma as alternative for multidonor or autologous fibrin sealants.
AB - BACKGROUND: Fibrin sealant is a human blood product consisting of two components:
cryoprecipitate and thrombin. Commercial fibrin sealants are produced from
multidonors, increasing the viral risk, and contain fibrinolytic inhibitors such
as tranexamic acid or bovine aprotinin. Autologous fibrin sealants reduce the
viral risk and are mostly produced during a surgical procedure or well in
advance. Alternatively, the allogeneic single-donor fibrin sealant cryoseal can
be used. In this study cryoseal was characterized and the manufacturing
consistency of the production process was investigated. STUDY DESIGN AND METHODS:
Cryoseal was produced from plasma collected on apheresis machines using a
commercial device. In a research setting the protein composition and recovery
were determined. Also, the manufacturing consistency of the production process
was tested in a research setting as well as in a routine setting. RESULTS: In the
research setting all produced cryoseal met the quality control requirements of a
clotting time of less than 10 seconds and the presence of Factor (F)XIII
(qualitative). In the routine setting, one procedure per year did not meet these
requirements. The protein composition showed the following mean +/- standard
deviation (%recovery) results: thrombin 25.7 +/- 11.1 IU/mL, fibrinogen 19.9 +/-
4.6 (15%) mg/mL, FVIII 15.6 +/- 5.4 (44%) IU/mL, FXIII 2.7 +/- 0.7 (6%) IU/mL,
and plasminogen 1.8 +/- 0.2 (4%) U/mL. In both research and routine settings the
production process resulted in a consistent product. CONCLUSION: The cryoseal
manufacturing process resulted in a consistent product, which meets the
predetermined specifications. The single-donor origin and the absence of
fibrinolytic inhibitors make cryoseal a good alternative for multidonor and
autologous fibrin sealants.
PMID- 21880043
TI - Proteomic analyses of human plasma: Venus versus Mars.
AB - BACKGROUND: Plasma is vital for the resuscitation of injured patients and to
restore necessary procoagulants, especially Factors (F)II, FV, FVII, FX, and
FXIII; however, female plasma has been implicated in the majority of transfusion
related acute lung injury (TRALI) cases and male-only plasma transfusion regimens
have significantly decreased the incidence of TRALI. Little is known about the
human plasma proteome, and no comparisons have been made between male and female
plasma; therefore, we hypothesize that there are significant differences between
plasma from male and female donors. STUDY DESIGN AND METHODS: Five units of fresh
frozen plasma each were collected from nulliparous female donors and male donors,
and the proteome was analyzed by depleting the 14 most common proteins by
immunoaffinity columns followed by protein separation by one dimension gel
electrophoresis, tryptic digestion of the proteins, analysis of the peptides by
liquid chromatography-tandem mass spectrometry, and identification employing
human protein sequence databases. RESULTS: Female plasma versus male plasma
contained pregnancy zone protein (419- to 580-fold), FV (twofold), alpha(1)
antitrypsin (twofold), beta(2) -microglobulin (twofold), and Complement Factors H
and C4B (1.5- to 2-fold) at significantly higher concentrations than males and
males contained significant increases in Fc-binding protein (twofold), protein Z
dependent protease inhibitor (twofold), phosphatidylinositol glycan-specific
phospholipase (fourfold), protein S-100 (threefold), and transgelin-2 (14-fold)
versus females (p < 0.005). The increases in FV, alpha(1)-antitrypsin, and
beta(2)-microglobulin were confirmed by an activity assay or immunoblots.
CONCLUSION: We conclude that there are proteomic differences between male and
female plasma, which could be exploited to improve clinical outcomes in
transfused patients.
PMID- 21880044
TI - Storage of thawed plasma for a liquid plasma bank: impact of temperature and
methylene blue pathogen inactivation.
AB - BACKGROUND: Rapid transfusion of fresh-frozen plasma (FFP) is desired for
treating coagulopathies, but thawing and issuing of FFP takes more than 40
minutes. Liquid storage of plasma is a potential solution but uncertainties exist
regarding clotting factor stability. We assessed different storage conditions of
thawed FFP and plasma treated by methylene blue plus light (MB/light) for
pathogen inactivation. STUDY DESIGN AND METHODS: Fifty thawed apheresis plasma
samples (approx. 750 mL) were divided into three subunits and either stored for 7
days at 4 degrees C, at room temperature (RT), and at 4 degrees C after MB/light
treatment. Clotting factor activities (Factor [F] II, FV, FVII through FXIII,
fibrinogen, antithrombin, von Willebrand factor antigen, Protein C and S) were
assessed after thawing and on Days 3, 5, and 7. Changes were classified as
"minor" (activities within the reference range) and "major" (activities outside
the reference range). RESULTS: FFP storage at 4 degrees C revealed major changes
for FVIII (median [range], 56% [33%-114%]) and Protein S (51% [20%-88%]). Changes
were more pronounced when plasma was stored at RT (FVIII, 59% [37%-123%]; FVII,
69% [42%-125%]; Protein S, 20% [10%-35%]). MB/light treatment of thawed FFP
resulted in minor changes. However, further storage for 7 days at 4 degrees C
revealed major decreases for FVIII (47% [12%-91%]) and Protein S (49% [18%-95%])
and increases for FVII (150% [48%-285%]) and FX (126% [62%-206%]). CONCLUSION:
Storage of liquid plasma at 4 degrees C for 7 days is feasible for FFP as is
MB/light treatment of thawed plasma. In contrast, storage of thawed plasma for 7
days at RT or after MB/light treatment at 4 degrees C affects clotting factor
stability substantially and is not recommended.
PMID- 21880045
TI - Anti-U-like as an alloantibody in S-s-U- and S-s-U+(var) black people.
AB - BACKGROUND: S, s, and U antigens belong to the MNS system. They are carried by
glycophorin B (GPB), encoded by GYPB. Black people with the low-prevalence S-s-
phenotype, either U- or U+(var), can make a clinically significant anti-U. Anti-U
like, a cold immunoglobulin G autoantibody quite commonly observed in S-s+U+
black persons, was previously described to be nonreactive with ficin-, alpha
chymotrypsin-, and pronase-treated red blood cells (RBCs); nonreactive or weakly
reactive with papain-treated RBCs; and reactive with trypsin-treated RBCs. Here
we describe, in S-s- people from different molecular backgrounds, an alloantibody
to a high-prevalence GPB antigen, which presents the same pattern of reactivity
with proteases as autoanti-U-like. STUDY DESIGN AND METHODS: Four S-s- patients
with an alloantibody to a high-prevalence GPB antigen were investigated by
serologic and molecular methods. RESULTS: An alloantibody was observed in two S-s
U-/Del GYPB, one S-s-U+(var)/GYPB(P2), and one S-s-U+(var)/GYPB(NY) patients. As
this alloantibody showed the same pattern of reactivity with proteases as
autoanti-U-like, we decided to name it "anti-U-like." Anti-U-like made by the two
S-s-U- patients was reactive with the S-s-U+(var) RBCs of the two other patients.
CONCLUSION: S-s-U-/Del GYPB, S-s-U+(var)/GYPB(P2), and S-s-U+(var)/GYPB(NY)
patients can make an alloanti-U-like. Anti-U-like made by S-s-U- people appears
reactive with GYPB(P2) and GYPB(NY) RBCs, which both express a weak and partial U
like reactivity. We recommend transfusing S-s-U- RBCs in S-s-U- patients showing
alloanti-U-like. Our study contributes to a better understanding of
alloimmunization to GPB in black people and confirms importance of genotyping in
S-s- patients, especially those with sickle cell disease to be frequently
transfused.
PMID- 21880046
TI - Cost-effectiveness of additional blood screening tests in the Netherlands.
AB - BACKGROUND: During the past decade, blood screening tests such as triplex nucleic
acid amplification testing (NAT) and human T-cell lymphotropic virus type I or I
(HTLV-I/II) antibody testing were added to existing serologic testing for
hepatitis B virus (HBV), human immunodeficiency virus (HIV), and hepatitis C
virus (HCV). In some low-prevalence regions these additional tests yielded
disputable benefits that can be valuated by cost-effectiveness analyses (CEAs).
CEAs are used to support decision making on implementation of medical technology.
We present CEAs of selected additional screening tests that are not uniformly
implemented in the EU. STUDY DESIGN AND METHODS: Cost-effectiveness was analyzed
of: 1) HBV, HCV, and HIV triplex NAT in addition to serologic testing; 2) HTLV
I/II antibody test for all donors, for first-time donors only, and for pediatric
recipients only; and 3) hepatitis A virus (HAV) for all donations. Disease
progression of the studied viral infections was described in five Markov models.
RESULTS: In the Netherlands, the incremental cost-effectiveness ratio (ICER) of
triplex NAT is ?5.20 million per quality-adjusted life-year (QALY) for testing
minipools of six donation samples and ?4.65 million/QALY for individual donation
testing. The ICER for anti-HTLV-I/II is ?45.2 million/QALY if testing all
donations, ?2.23 million/QALY if testing new donors only, and ?27.0 million/QALY
if testing blood products for pediatric patients only. The ICER of HAV NAT is
?18.6 million/QALY. CONCLUSION: The resulting ICERs are very high, especially
when compared to other health care interventions. Nevertheless, these screening
tests are implemented in the Netherlands and elsewhere. Policy makers should
reflect more explicit on the acceptability of costs and effects whenever
additional blood screening tests are implemented.
PMID- 21880047
TI - Comparison of the in vitro storage properties of Amicus apheresis platelets
collected using single- and double-needle procedures from the same donors.
AB - BACKGROUND: Amicus apheresis platelets (PLTs) can be collected using either a
single- (SN) or a double-needle (DN) procedure. To investigate whether the method
of PLT collection using the same instrument influences PLT quality, the in vitro
storage properties of Amicus PLTs were evaluated in the same donors collected by
SN and DN procedures. STUDY DESIGN AND METHODS: Single apheresis PLT collections
with concurrent plasma were performed on donors using the Amicus with a target
yield of 4 * 10(11). A PLT unit was collected from a donor assigned to either a
SN or a DN procedure; a subsequent donation from the same individual was
collected by the other procedure (n = 10). Units were stored at 20 to 24 degrees
C with continuous agitation, assayed for 19 PLT storage variables, and analyzed
by paired t test, with differences between values obtained with SN and DN
collections considered significant with p values of less than 0.001. RESULTS: PLT
units collected by SN procedure had contents and concentrations similar to those
collected by DN procedures (4.1 * 10(11) +/- 0.3 * 10(11) vs. 4.0 * 10(11) +/-
0.3 * 10(11) and 1396 * 10(9) +/- 131 * 10(9) vs. 1367 * 10(9) +/- 110 * 10(9)
PLTs/L). On Day 7, SN and DN PLTs had comparable pH values (7.07 +/- 0.09 vs.
6.99 +/- 0.17), morphology (52.4 +/- 18.7% vs. 56.0 +/- 13.3% discoid),
aggregation (87.1 +/- 11.5% vs. 91.3 +/- 5.4%), and activation (45. +/- 11.9% vs.
48.2 +/- 8.7% CD62P), as well as all other variables (p > 0.05; Day 7 CO(2) , p =
0.0304). CONCLUSION: The in vitro storage properties of apheresis PLTs collected
from the same donors using a SN and DN procedure with the Amicus instrument were
maintained through 7 days of storage and yielded comparable results.
PMID- 21880048
TI - Serologic characteristics of ceftriaxone antibodies in 25 patients with drug
induced immune hemolytic anemia.
AB - BACKGROUND: Ceftriaxone, a third-generation cephalosporin, is commonly used to
prevent and treat infections. Since 1987, it has been the second most common
cause of drug-induced immune hemolytic anemia (DIIHA) investigated in our
laboratory. STUDY DESIGN AND METHODS: Samples from 79 patients (1987-2010),
suspected of having DIIHA caused by ceftriaxone, were studied for the presence of
ceftriaxone antibodies. Direct antiglobulin tests (DATs) and tests with
ceftriaxone-treated red blood cells (RBCs) or untreated and enzyme-treated RBCs
in the presence of ceftriaxone were performed. RESULTS: Twenty-five (32%) of the
79 patients had antibodies to ceftriaxone detected. Seventeen (68%) of the 25
patients were children; reactions in children were usually dramatic and severe.
Nine (36%) of the 25 patients had fatal DIIHA. Nineteen of the 25 samples had
DATs performed by our laboratory; 100% of samples were reactive with anti-C3 and
47% were reactive with anti-IgG. All 25 sera had ceftriaxone antibodies detected
when testing untreated or ficin-treated RBCs in the presence of ceftriaxone
(resulting in agglutination, hemolysis or sensitization of test RBCs). These
antibodies were primarily IgM and reactivity was enhanced by testing ficin
treated RBCs. Sixteen (64%) of the 25 sera reacted with test RBCs when no
ceftriaxone was added in vitro; this was most likely due to the transient
presence of drug or drug-immune complexes in the patient's circulation at the
time that the blood samples were drawn. CONCLUSION: Ceftriaxone antibodies can
cause severe intravascular hemolysis. Complement can usually be detected on the
patient's RBCs and IgM antibodies are usually detected in the patient's serum.
PMID- 21880049
TI - Trypanosoma cruzi: seroprevalence detected in the blood bank of the Instituto
Nacional de Pediatria, Mexico City, in the period 2004 through 2009.
AB - BACKGROUND: The second most common mode of Trypanosoma cruzi or Chagas disease
transmission is via therapeutic blood transfusion. In Mexico, control of T. cruzi
is still in its initial phase; in fact, there are only 14 studies published
covering 10 states on T. cruzi seroprevalence in donated blood in Mexico. Here we
present the results of 5 years of trypanosomiasis screening in the blood bank of
the Instituto Nacional de Pediatria. STUDY DESIGN AND METHODS: Samples from all
blood donated in the period from 2004 to 2009 were analyzed. We screened for T.
cruzi using an enzyme-linked immunosorbent assay technique. Seropositive samples
were then processed using the polymerase chain reaction (PCR) to detect a nuclear
gene segment. RESULTS: A total of 37,333 samples were analyzed and a 0.17% (64
samples) T. cruzi seroprevalence was found. Donors were mostly from Mexico State
and Mexico City, which is considered nonendemic for T. cruzi area. Of 64
seropositive samples, only two tested positive by PCR (3.12%), which amplified a
189-bp product from nuclear gene from the parasite. CONCLUSION: Although the
seroprevalence of T. cruzi infection was low, this surveillance program prevented
the infection of more than 100 children because each unit of blood provides 2.6
to 3.5 blood products. The majority of the donors were from Mexico State and
Mexico City, which is a nonendemic area. The serodetection of T. cruzi in this
region is evidence that is necessary to increase our understanding of its
distribution in the Mexico City and surrounding places.
PMID- 21880050
TI - Enumeration of micro-organisms in processed soy products with an automated most
probable number method compared with standard plate method.
AB - AIM: The automated TEMPO system (bioMerieux) is based on the most probable number
(MPN) method for the enumeration of micro-organisms in foods. In this study, we
evaluated the performance of the TEMPO system as a diagnostic tool in comparison
with the standard method in processed soy products. METHODS AND RESULTS: A
verification study was conducted using artificially contaminated soy product
samples such as soy protein isolate, water-soluble soy polysaccharides, soy milk
and processed soy food. Five types of micro-organisms were analysed using the
automated MPN method (total aerobic bacteria, total coliforms,
Enterobacteriaceae, yeast and mould and Staphylococcus aureus) vs the standard
plate method. The results from each of the methods were highly correlated (r >
0.95). Naturally contaminated processed soy products on the market were also
studied. There were no discrepancies observed between the respective methods.
CONCLUSIONS: TEMPO methods were equivalent to the corresponding standard plate
methods with very good rates of agreement. SIGNIFICANCE AND IMPACT OF THE STUDY:
The automated MPN method is more practical and reliable for in-house
microbiological testing in processed soy products.
PMID- 21880051
TI - Assessment and modification of degenerate qPCR primers that amplify functional
genes from etheneotrophs and vinyl chloride-assimilators.
AB - AIMS: Degenerate qPCR primer sets that target the functional genes etnC and etnE
in etheneotrophs and vinyl chloride-assimilating bacteria were assessed and
modified in an effort to improve performance. METHODS AND RESULTS: Functional
gene abundance in four pure cultures was estimated by qPCR using novel (MRTC and
MRTE) and existing (RTC and RTE) degenerate primer sets and compared to
abundances estimated with nondegenerate gene-specific primers (GSPs). Functional
gene abundance in groundwater DNA extracted from several contaminated sites was
also estimated with MRTC and MRTE primers. CONCLUSIONS: MRTC primers displayed
significantly improved etnC quantification in both pure cultures and
environmental samples. SIGNIFICANCE AND IMPACT OF THE STUDY: Application of MRTC
and MRTE primer sets will enhance microbial ecology studies involving
etheneotrophs and qPCR analyses that support vinyl chloride bioremediation
strategies.
PMID- 21880052
TI - Watch what you lick!
PMID- 21880053
TI - Myxoma of the ear lobe in a 23-month-old girl with Carney complex.
AB - Myxomas of the ear are extremely uncommon, especially in young children. A 23
month-old girl born to a family with known Carney complex, a condition that
predisposes to multiple myxomas, presented with a large, cauliflower-like mass
located on the back of her right ear. Histopathologically, the lesion was
composed of scattered spindle-shaped or stellate cells with abundant associated
mucin and a very sparse inflammatory infiltrate containing lymphocytes and
neutrophils. The patient was a carrier of a protein kinase A regulatory subunit
1A (PRKAR1A) mutation; PRKAR1A mutations cause Carney complex in most patients
with this rare disorder. This is the earliest presentation of an ear lobe myxoma
reported in the literature.
PMID- 21880055
TI - Getting the fundamentals of movement: a meta-analysis of the effectiveness of
motor skill interventions in children.
AB - The development of fundamental movement skills (FMS) is associated with positive
health-related outcomes. Children do not develop FMS naturally through
maturational processes. These skills need to be learned, practised and
reinforced. The objective was to determine the effectiveness of motor skill
interventions in children. The following databases were searched for relevant
articles: Academic Search Premier, PsycArticles, PsycInfo, SportDiscus and ERIC.
No date range was specified and each search was conducted to include all possible
years of publication specific to each database. Key terms for the search included
motor, skill, movement, intervention, programme or children. Searches were
conducted using single and combined terms. Pertinent journals and article
reference lists were also manually searched. INCLUSION CRITERIA: (1)
implementation of any type of motor skill intervention; (2) pre- and post
qualitative assessment of FMS; and (3) availability of means and standard
deviations of motor performance. A significant positive effect of motor skill
interventions on the improvement of FMS in children was found (d= 0.39, P <
0.001). Results indicate that object control (d= 0.41, P < 0.001) and locomotor
skills (d= 0.45, P < 0.001) improved similarly from pre- to post-intervention.
The overall effect size for control groups (i.e. free play) was not significant
(d= 0.06, P= 0.33). A Pearson correlation indicated a non-significant (P= 0.296),
negative correlation (r=-0.18) between effect size of pre- to post-improvement of
FMS and the duration of the intervention (in minutes). Motor skill interventions
are effective in improving FMS in children. Early childhood education centres
should implement 'planned' movement programmes as a strategy to promote motor
skill development in children.
PMID- 21880054
TI - The financial and psychological impacts on mothers of children with chronic
fatigue syndrome (CFS/ME).
AB - BACKGROUND: Paediatric chronic fatigue syndrome or myalgic encephalopathy
(CFS/ME) is relatively common and children can be severely affected attending
little or no school for extended periods. There are no studies quantifying the
financial impact of having a child with CFS/ME and there is little information of
the impact on parental mood. METHODS: Forty mothers of children with CFS/ME from
a regional specialist CFS/ME service completed inventories to assess their
psychological well-being (Hospital Anxiety and Depression Scale, General Health
Questionnaire-12) loss of earnings and increased expenditure. In addition, eight
mothers took part in a semi-structured qualitative interview. RESULTS: Most
parents of children with CFS/ME experience loss of monthly income (mean = L247)
and increase in monthly expenditure (mean = L206). Twenty-eight (72%) mothers
were above the cut-off for the General Health Questionnaire-12 compared with 20%
in the healthy population (95% CI 55, 85, P < 0.001) suggesting they probably
have a mental health problem. This may be explained by the qualitative interviews
where mothers described five areas contributing to poor parental health: lack of
understanding from others; marital tension; concern about their child's distress;
concern about the impact on siblings and emotional distress causing physical
symptoms. CONCLUSIONS: The majority of families of children with CFS/ME
experience decreased income and increased expenditure with a marked impact on
maternal psychological health. Clinicians need to be aware of this to provide
appropriate support to families who care for children with CFS/ME.
PMID- 21880056
TI - Strategies for improving disability awareness and social inclusion of children
and young people with cerebral palsy.
AB - BACKGROUND: Children and youth with disabilities are at a higher risk of being
socially excluded or bullied while at school compared with their typically
developing peers. This study explored disabled children's suggestions for
improving social inclusion. METHODS: Fifteen children with cerebral palsy were
interviewed or took part in a group discussion about social inclusion and
bullying. All interviews and focus groups were audio-recorded and transcribed
verbatim. RESULTS: The children and youth described several strategies to help
improve social inclusion at school including: (1) disclosure of condition and
creating awareness of disability; (2) awareness of bullying; (3) developing a
peer support network and building self-confidence; and (4) suggestions on what
teachers can do. CONCLUSIONS: It is recommended that children's suggestions be
considered within the classroom context to enhance the social inclusion and
participation of children with disabilities.
PMID- 21880057
TI - Handling of radical prostatectomy specimens: total embedding with whole mounts,
with special reference to the Ancona experience.
PMID- 21880058
TI - Systematic ethnography of school-age children with bleeding disorders and other
chronic illnesses: exploring children's perceptions of partnership roles in
family-centred care of their chronic illness.
AB - PURPOSE: In this qualitative study, school-age children between 7 and 11 years,
living with a bleeding disorder or other chronic illness, defined how they
understand their partnership role in family-centred care (FCC), and recommended
FCC strategies. METHODS: This systematic ethnography had three phases:
unstructured interviews explored how children understood FCC partnership roles
(phase I); document review provided FCC institutional context (phase II); and
validation interviews generated FCC partnership recommendations (phase III). This
study took place within the area served by a Western Canadian children's
hospital. Interviews were held at the hospital or children's home. In phase I,
purposive sampling was used to recruit children receiving outpatient care for a
bleeding disorder (n = 4) or another chronic illness (n = 4). In phase II,
policies and legislation were compared with how children understand their FCC
partnership role. In phase III, validation interviews were conducted with
children (n = 3) to confirm domains and generate FCC supporting strategies. Data
collection and analysis were based on domain analysis and qualitative
ethnographic content analysis. RESULTS: Phase I outcomes included seven domains
regarding how children understood their role as partners in FCC: my best
interests, virtues, talking and listening, being involved, knowing, making
decisions and being connected. Phase II outcomes revealed how these domains were
represented in institutional contexts. Phase III outcomes confirmed domains and
generated key strategies to support children's role as FCC partners through
graphic representation of FCC as a treasure map, interactive workshop and online
game. CONCLUSIONS: School-age children, living with a bleeding disorder or other
chronic illness, similarly want to learn how to be FCC partners by developing
competence as healthcare team members, identifying their own best interests,
learning how to communicate with 'grown-ups', clarifying roles and goals, and
guided opportunities for decision making. Facilitating school-age children's FCC
partnership roles is worthy to explore in youth transition programmes.
PMID- 21880059
TI - Prognostic tissue markers in melanoma.
AB - Prognostic tissue markers in melanoma Prognosis for patients diagnosed with
cutaneous melanoma is currently based upon histopathological features alone,
although tumours which are morphologically similar can behave differently.
Numerous putative biomarkers have been identified in an attempt to aid
prognostication for primary melanoma, using methods which include
immunhistochemistry, polymerase chain reaction (PCR), array comparative genomic
hybridization (CGH) and gene expression arrays. Despite this wide body of
research, no biomarkers for prognosis in melanoma have been translated or are
close to translation into clinical practice. In this review selected prognostic
biomarkers are evaluated and the factors influencing successful biomarker
translation, including phases of biomarker development and study design, are
explored in an attempt to highlight the current gap between prognostic melanoma
biomarker research and clinical translation.
PMID- 21880060
TI - Effects of breast health education conducted by trained breast cancer survivors.
AB - AIMS: This article is a report on a study of the effectiveness of breast health
education provided by trained breast cancer survivors on knowledge, skills,
performance and self-efficacy. BACKGROUND: Numerous studies have been performed
to assess the effects of breast health education provided by health
professionals, but few studies have examined the effects of education provided by
lay persons, such as breast cancer survivors. This study focused on the role of
breast cancer survivors as breast health educators. METHODS: A pretest and post
test quasi-experimental design was used. Trained breast cancer survivors
conducted a 60-minute breast health class that was guided by self-efficacy theory
to young healthy women. The data were collected in 2008 from 22 young healthy
women who participated in the class. Self-report questionnaires were used to
measure the breast cancer and breast self-examinations knowledge, skills,
performance and self-efficacy at pre-education, and at 1 month and 3 months post
education. RESULTS: The scores on knowledge, skills, performance and self
efficacy before breast health education were significantly increased after 1
month and 3 months post-education. CONCLUSION: The results of this study
demonstrate that a one-time breast health class provided by trained breast cancer
survivors can be useful in motivating young women to adopt behaviours that help
to prevent breast cancer morbidity and mortality.
PMID- 21880061
TI - Skeleton pattern and joint formation in chorioallantoic grafts containing the
distal parts of the chick wing bud.
AB - Skeleton pattern formation was examined in chick wing bud grafts using the
chorioallantoic grafting method. The distal parts of the wing bud were excised
from the donor wing and transplanted onto the chorioallantoic membrane (the
experimental groups). Transplants with intact limb bud material served as the
control group. The skeleton pattern formation in the grafts depended on the
amount of transplanted material and donor's limb bud stage. The younger the
donor's stage and the bigger the piece of the transplanted material the more
proximal parts grafts had, more retarded growth and abnormal skeleton in the
zeugopod and autopod was. The percentage of the signs of insufficient blood
supply in the experimental groups was less than that in the control group. As the
amount of the transplanted limb bud material decreased and donor's limb bud aged,
post-axial polydactyly changed to the pre-axial one.
PMID- 21880062
TI - Close, impinging and overriding spinous processes in the thoracolumbar spine: the
relationship between radiological and scintigraphic findings and clinical signs.
AB - REASONS FOR PERFORMING STUDY: There has been no objective study comparing
radiological features of spinous processes (SPs) in the thoracolumbar region
and/or scintigraphic findings with clinical signs. OBJECTIVES: To investigate the
relationship between the presence or absence of clinical signs of back pain and:
1) radiological findings of close, impinging or overriding SPs; 2) increased
radiopharmaceutical uptake (IRU) in the SPs; and 3) the combination of
radiological findings and IRU. Also to determine the prevalence of concurrent
osseous pathology. METHODS: Five-hundred and eighty-two horses, presented for
perceived back pain and poor performance, underwent comprehensive clinical
investigation including diagnostic analgesia of the forelimbs, hindlimbs, back
and sacroiliac joints, and radiographic and scintigraphic evaluation of the
thoracolumbar spine. Radiological and scintigraphic grades were determined
subjectively. Statistical analysis was performed to determine the relationships
between clinical signs of back pain, radiological and scintigraphic features,
age, breed, gender, discipline, height and weight. RESULTS: Thoroughbreds (TBs)
were over-represented with thoracolumbar pain compared with Warmbloods and TB
cross breeds. There was a significant association between maximum and total
radiological grades of the SPs and thoracolumbar pain, between maximum and total
grades of IRU and thoracolumbar pain, and between a combination of radiological
and scintigraphic abnormalities and thoracolumbar pain. Horses with
osteoarthritis (OA) of the synovial intervertebral articulations (SIAs) were more
likely to have thoracolumbar pain than horses with lesions of the SPs alone, but
the presence of OA of the SIAs and lesions of the SPs was associated with the
highest likelihood of thoracolumbar pain. CONCLUSIONS AND POTENTIAL RELEVANCE:
Fore- or hindlimb lameness and/or pain associated with the sacroiliac joints
could mimic primary thoracolumbar pain. A combination of radiology and
scintigraphy gives the most accurate prediction of thoracolumbar pain, but
diagnostic analgesia is crucial for accurate diagnosis.
PMID- 21880063
TI - A systematic review of the efficacy of interventions for dynamic intermittent
dorsal displacement of the soft palate.
AB - There are numerous treatments for correction of dorsal displacement of the soft
palate (DDSP). However, the efficacy of these treatments is controversial and
there is little consensus on how best to treat this condition. The aims of this
study were to systematically review the literature and to assess the evidence on
the clinical effects of interventions for dynamic intermittent DDSP. A secondary
objective was to assess whether factors relating to study quality affected
reported success rates. Twenty-three studies were included, covering a wide
number of interventions but also differing widely is terms of study design,
sample size, method of diagnosis, outcome measure and the number lost to follow
up. The assessment of adverse effects was severely limited because of lack of
reporting. The way in which success is measured appears to have a great effect on
the reported results. Research synthesis has been severely limited because of the
heterogeneity in the included studies. The low level of evidence makes it
difficult to draw firm conclusions as to the efficacy of procedures for DDSP.
Hence it is currently not possible to determine which procedure is the most
appropriate. This systematic review highlights the difficulties of studying
palatal dysfunction and suggests areas where improvements can be made in future
studies.
PMID- 21880064
TI - Is a single force plate adequate for stabilographic analysis in horses?
AB - REASONS FOR PERFORMING STUDY: Postural balance can be quantified using
stabilographic variables derived from force plate data that describe movements of
the horse's centre of pressure (COP) in the horizontal plane. Most force plates
are not large enough to accommodate all 4 limbs of a standing horse, so the study
was designed to assess whether representative stabilographic data could be
collected from the forelimbs or hindlimbs. OBJECTIVE: To determine whether
stabilographic data from either the forelimbs or the hindlimbs were
representative of data for the total body. METHODS: Stabilographic data (960 Hz)
were collected from 17 sound horses standing with the forelimbs and the hindlimbs
on separate force plates. To increase variability in the data, horses were of
different sizes, the recording duration was varied (15-60 s) and data were
collected under sighted and blindfolded conditions. RESULTS: Correlation matrices
indicated that total body stabilographic variables were highly significantly
correlated with both forelimb and hindlimb data but correlation coefficients were
higher for forelimb data. Forward stepwise regression selected forelimb data for
inclusion in the model for 15/16 variables, the exception being mean absolute
mediolateral velocity. CONCLUSIONS: Ground reaction force data from a pair of
limbs, preferably the forelimbs, can be used to measure variables that represent
total body postural balance in sound standing horses. POTENTIAL RELEVANCE:
Stabilographic data from either the forelimbs or hindlimbs may be useful for
detecting and quantifying deficiencies in postural balance in ataxic horses.
PMID- 21880065
TI - Possible role of carpal hyperextension in superficial digital flexor
tendinopathy.
AB - REASONS FOR PERFORMING STUDY: The specific biomechanical circumstances that
induce excessive superficial digital flexor tendon (SDFT) strain in horses are
unknown. HYPOTHESIS: Carpal joint hyperextension during axial limb loading during
the middle of stance disproportionately enhances SDFT strains compared to
suspensory ligament (SL) strains. METHODS: Superficial digital flexor tendon and
SL strains were measured in 7 cadaver limbs during in vitro loading that
maintained carpal extension or allowed carpal hyperextension by constraining, or
allowing rotation of, the radius during loading conditions that simulated the
middle of stance at the walk. The effect of carpal hyperextension on SDFT and SL
strains and joint angles was assessed using repeated measures ANOVA. RESULTS:
Limb loading generally resulted in higher SL strains than SDFT strains for both
carpal extension and hyperextension loading conditions. Compared to carpal
extension, carpal hyperextension resulted in increased strains in both the SDFT
and the SL; however, a greater increase in strain was seen in the SDFT. On
average, carpal hyperextension caused approximately 3 degrees greater carpal
extension, 1 degrees greater metacarpophalangeal joint hyperextension, 1 degrees
greater proximal interphalangeal joint flexion and <1 degrees greater distal
interphalangeal joint flexion than did carpal extension. CONCLUSIONS AND CLINICAL
RELEVANCE: Carpal joint hyperextension is likely to induce disproportionately
higher strain in the SDFT than in the SL. Factors that affect carpal stability
are likely to affect the risk for superficial digital flexor tendinopathy.
PMID- 21880066
TI - Influence of surface treatments on the flexural strength of denture base repair.
AB - OBJECTIVE: The purpose of this study was to evaluate the flexural strength of
repairs made with autopolymerising acrylic resin after different treatments of
joint surfaces. MATERIAL AND METHODS: Fifty rectangular specimens were made with
heat-polymerised acrylic resin and 40 were repaired with autopolymerising acrylic
resin following joint surface treatments: group 1 (intact specimens), group 2
(chemical treatment: wetting with methyl-methacrylate for 180 s), group 3
(abraded with silicon carbide paper), group 4 (abraded and wetting with methyl
methacrylate for 180 s) and group 5 (without surface treatment). The flexural
strength was measured by a three-point bending test using a universal testing
machine with a 100 Kgf load cell in the centre of repair at 5 mm/min cross-head
speed. All data were analysed using one-way anova and Tukey HSD test for multiple
comparisons (p < 0.05). RESULTS: Among repaired specimens, groups 2 and 4 had
66.53 +/- 3.4 and 69.38 +/- 1.8 MPa mean values and were similar. These groups
had superior flexural strength than groups 3 and 5 that were similar and had
54.11 +/- 3.4 and 51.24 +/- 2.8 MPa mean values, respectively. Group 1 had a mean
value of 108.30 +/- 2.8 MPa being the highest result. CONCLUSION: It can be
concluded that the treatment of the joint surfaces with methyl-methacrylate
increases the flexural strength of denture base repairs, although the strength is
still lower than that observed for the intact denture base resin. Abrasion with
sandpaper was not able to influence the flexural strength of repaired denture
bases.
PMID- 21880067
TI - Pharmacogenetics and pharmacogenomics of thienopyridines: clinically relevant?
AB - Pharmacogenetics have been touted as the future of personalized medicine where
genetic biomarkers will guide therapeutic approach. The currently approved
thienopyridines, prasugrel and clopidogrel, are prodrugs requiring conversion to
active metabolite through the cytochrome P450 system. Genetic variation has been
associated with the pharmacokinetic, pharmacodynamic, and clinical response to
clopidogrel, but not to prasugrel. This review aims to summarize the recent
pharmacogenetic findings associated with the response to thienopyridine
treatment. Additionally, considerations for the incorporation of genetic
biomarkers into clinical practice will be discussed in the context of
thienopyridines.
PMID- 21880068
TI - Comparison between oscillometric and invasive blood pressure measurements in
critically ill premature infants.
AB - AIM: Blood pressure (BP) measurement techniques in neonates generally involve
noninvasive measurements with a cuff (oscillometric) or invasive measurements
through an arterial catheter. The aim of this study was to determine the
reliability of the noninvasive oscillometric method in critically ill preterm
infants when results were compared with the invasive technique. METHOD: Twenty
seven premature infants with a mean birth weight of 1138 +/- 552 g were enrolled
in the prospective study. Invasive and noninvasive mean arterial pressure (MAP)
levels were recorded simultaneously at each measurement in all patients. Low or
lower range mean invasive MAP values (MAP <=30) were evaluated separately as we
aimed to assess the value of noninvasive measurements in hypotensive sick
premature infants. RESULTS: Totally, 431 paired BP measurements were taken during
the first week of life. There was no statistically significant difference between
invasive and noninvasive readings. However, noninvasive measurements were found
significantly higher compared with invasive measurements in the presence of
hypotension (p < 0.05). CONCLUSION: This study showed good agreement between
oscillometric and invasive readings in critically ill premature infants, and
further, comparable mean MAP values were found with the two methods. However, the
accuracy of the oscillometric BP measurement technique fails in preterm infants
with BP within the lower limits.
PMID- 21880069
TI - Effect of oral hepatocyte growth factor gene mediated by attenuated salmonella on
2-, 4-, 6-trinitro-benzene-sulfonic-acid-induced ulcerative colitis in rat.
AB - BACKGROUND AND AIM: In order to explore a new therapeutic method, we investigated
the effects of exogenously expressed hepatocyte growth factor mediated by
attenuated salmonella (TPH) on rats with ulcerative colitis (UC) induced by 2-, 4
, 6-trinitro-benzene-sulfonic acid. METHODS: The UC rats were treated with TPH,
attenuated salmonella with a eukaryotic expression vector (TP) or sodium
bicarbonate (model control [MC]) every other day. Cluster of differentiation
(CD)4(+) and CD8(+) T cells and immunoglobulins in the blood were analyzed by
flow cytometry. The HGF expression was determined by immunohistochemistry. A
macroscopic-scale observation of the colon and a histological assessment were
also carried out. RESULTS: The CD4(+) T counts and the CD4(+) /CD8(+) ratio in
the TPH group were significantly lower than that in the MC group. The
immunoglobulin M and immunoglobulin G(1) levels in the TPH group were
significantly lower than that in the MC group and TP group. After treatment with
TPH, the symptoms of the ulcerative rats were significantly alleviated. The
colonic lesion grades in the TPH group were lower than that in the TP group and
MC group. Significant improvement occurred after the TPH treatment, as evidenced
by alleviated mucosal inflammation. At 7 days post-treatment, the HGF expression
in the colonic tissues that were treated with TPH was stronger than that in the
samples treated with TP. CONCLUSIONS: TPH inhibits the proliferation of T
lymphocytes and the antibody production of B lymphocytes. Furthermore, it
ameliorates mucosal inflammation and promotes the regeneration of mucosa and the
healing of the colonic ulceration.
PMID- 21880070
TI - Retrograde arterial flush of the liver graft in living donor liver
transplantation may ameliorate post-transplantational cholestasis--prospective
randomized study.
AB - Retrograde arterial flush (RGAF) of liver grafts, which prevents arterial intima
injuries in living donor liver transplantation (LDLT), seemed to reduce post
transplantational cholestasis by our preliminary study. The aim of this study was
to further confirm this effect of RGAF of grafts in LDLT by a prospective
randomized study. The enrolled cases were randomly divided into two groups, RGAF
(portal flush plus RGAF) and non-RGAF (portal flush only). The outcome measures
included intra-operative hemodynamic changes, one-month post-transplantational
liver function tests and acute cellular rejection, vascular and biliary
complications, the length of postoperative hospital stay, and graft and patient
survivals. The significant findings of results were lower postoperative serum
bilirubin in the RGAF group until three wk after transplantation (days 1, 3, 7,
14, and 21 serum total bilirubin, mg/dL: 5.82+/-5.46, 3.95+/-4.28, 4.87+/-5.01,
3.62+/-5.32, and 3.63+/-6.91 vs. 8.41+/-5.49, 7.47+/-5.61, 10.0+/-10.1, 9.06+/
11.6, and 6.02+/-9.84, respectively, in RGAF and non-RGAF, p=0.017, 0.002, 0.014,
0.011, 0.109) and shorter postoperative hospital stay in the RGAF group (31.1+/
17.6 vs. 44.8+/-32.3 d, respectively, in RGAF and non-RGAF, p=0.035). In
conclusion, the RGAF of liver grafts on a back table may ameliorate postoperative
functional cholestasis in LDLT, which possibly reduces postoperative hospital
stay.
PMID- 21880071
TI - In vivo effect of bone marrow-derived mesenchymal stem cells in a rat kidney
transplantation model with prolonged cold ischemia.
AB - Brain death and prolonged cold ischemia are major contributors to the poorer long
term outcome of transplants from deceased donor kidney transplants, with an even
higher impact if expanded criteria donors ('marginal organs') are used. Targeting
ischemia-reperfusion injury-related intragraft inflammation is an attractive
concept to improve the outcome of those grafts. As mesenchymal stem cells (MSCs)
express both immunomodulatory and tissue repair properties, we evaluated their
therapeutic efficacy in a rat kidney transplant model of prolonged cold ischemia.
The in vitro immunomodulatory capacity of bone marrow-derived rat MSCs was tested
in co-cultures with rat lymph node cells. For in vivo studies, Dark Agouti rat
kidneys were cold preserved and transplanted into Lewis rats. Syngeneic Lewis
MSCs were administered intravenously. Transplants were harvested on day 3, and
inflammation was examined by quantitative RT-PCR and histology. Similarly to MSCs
from other species, rat MSCs in vitro also showed a dose-dependent
immunomodulatory capacity. Most importantly, in vivo administration of MSCs
reduced the intragraft gene expression of different pro-inflammatory cytokines,
chemokines, and intercellular adhesion molecule-1. In addition, fewer antigen
presenting cells were recruited into the renal allograft. In conclusion, rat MSCs
ameliorate inflammation induced by prolonged cold ischemia in kidney
transplantation.
PMID- 21880072
TI - Elimination of norovirus in a chronic carrier under immunosuppression after heart
transplantation--effect of everolimus.
PMID- 21880073
TI - A nationwide cohort study of the risk of chronic obstructive pulmonary disease in
coeliac disease.
AB - OBJECTIVE: Chronic obstructive pulmonary disease (COPD) continues to be an
important cause of morbidity, mortality and healthcare costs in the western
world. Although smoking is an important trigger of COPD, other factors such as
chronic inflammation and malnutrition are known to influence its development.
Because coeliac disease (CD) is characterized both by dysregulated inflammation
and malnutrition, the possibility of an association between CD and COPD was
investigated. METHODS: Through biopsy data from all Swedish pathology
departments, we identified 10 990 individuals with CD who were biopsied between
1987 and 2008 (Marsh 3: villous atrophy). As controls, 54 129 reference
individuals matched for age, sex, county and calendar year of first biopsy were
selected. Cox regression analysis was then performed to estimate hazard ratios
(HRs) for having a diagnosis of COPD according to the Swedish Patient Register.
RESULTS: During follow-up, 380 individuals with CD (3.5%) and 1391 (2.6%)
controls had an incident diagnosis of COPD, which corresponds to an HR of 1.24
(95% CI: 1.10-1.38) and an excess risk of COPD of 79/100 000 person-years in CD.
The risk increase remained 5 years after biopsy (HR = 1.17; 95% CI: 1.00-1.37).
Risk estimates did not change with adjustment for type 1 diabetes, thyroid
disease, rheumatoid arthritis, country of birth or level of education. Men with
CD were at a higher risk of COPD (HR = 1.39; 95% CI: 1.18-1.62) than women with
CD (HR = 1.11; 95% CI: 0.94-1.30). Of note, CD was also associated with COPD
before CD diagnosis (odds ratio = 1.22; 95% CI: 1.02-1.46). Conclusion. Patients
with CD seem to be at a moderately increased risk of COPD both before and after
CD diagnosis.
PMID- 21880074
TI - Genetic polymorphisms in oestrogen receptor-binding sites affect clinical
outcomes in patients with prostate cancer receiving androgen-deprivation therapy.
AB - BACKGROUND: Accumulating evidence indicates that oestrogens have significant
direct effects on normal prostate development and carcinogenesis. The majority of
the biological activities of oestrogens are mediated through the oestrogen
receptor (ER), which functions as a hormone-inducible transcription factor to
regulate target gene expression by binding to oestrogen response elements (EREs)
in the regulatory regions of target genes. Sequence variants in EREs might affect
the ER-ERE interaction and subsequent physiological activities. Therefore, we
tested whether common single-nucleotide polymorphisms (SNPs) inside EREs are
related to the clinical outcomes of androgen-deprivation therapy (ADT) in men
with prostate cancer. METHODS: We systematically evaluated 49 ERE SNPs predicted
using a genome-wide database in a cohort of 601 men with advanced prostate cancer
treated with ADT. The prognostic significance of these SNPs on disease
progression, prostate cancer-specific mortality (PCSM) and all-cause mortality
(ACM) after ADT was assessed using Kaplan-Meier analysis and a Cox regression
model. RESULTS: Based on multiple hypothesis testing, BNC2 rs16934641 was found
to be associated with disease progression; in addition, TACC2 rs3763763 was
associated with PCSM, and ALPK1 rs2051778 and TACC2 rs3763763 were associated
with ACM. These SNPs remained significant in multivariate analyses that included
known clinicopathological predictors. Moreover, a combined genotype effect on ACM
was observed when ALPK1 rs2051778 and TACC2 rs3763763 were analysed in
combination. Patients with a greater number of unfavourable genotypes had a
shorter time to ACM during ADT (P for trend <0.001). CONCLUSION: The
incorporation of ERE SNPs into models with known predictors might improve outcome
prediction in patients with prostate cancer receiving ADT.
PMID- 21880075
TI - Dynamic evolution of herbivore-induced sesquiterpene biosynthesis in sorghum and
related grass crops.
AB - Sorghum (Sorghum bicolor) plants damaged by insects emit a blend of volatiles,
predominantly sesquiterpenes, that are implicated in attracting natural enemies
of the attacking insects. To characterize sesquiterpene biosynthesis in sorghum,
seven terpene synthase (TPS) genes, SbTPS1 through SbTPS7, were identified based
on their evolutionary relatedness to known sesquiterpene synthase genes from
maize and rice. While SbTPS6 and SbTPS7 encode truncated proteins, all other TPS
genes were determined to encode functional sesquiterpene synthases. Both SbTPS1
and SbTPS2 produced the major products zingiberene, beta-bisabolene and beta
sesquiphellandrene, but with opposite ratios of zingiberene to beta
sesquiphellandrene. SbTPS3 produced (E)-alpha-bergamotene and (E)-beta-farnesene.
SbTPS4 formed (E)-beta-caryophyllene as the major product. SbTPS5 produced mostly
(E)-alpha-bergamotene and (Z)-gamma-bisabolene. Based on the genome sequences of
sorghum, maize and rice and the sesquiterpene synthase genes they contain,
collinearity analysis identified the orthologs of sorghum sesquiterpene synthase
genes, except for SbTPS4, in maize and rice. Phylogenetic analysis implied that
SbTPS1, SbTPS2 and SbTPS3, which exist as tandem repeats, evolved as a
consequence of local gene duplication in a lineage-specific manner. Structural
modeling and site-directed mutagenesis experiments revealed that three amino
acids in the active site play critical roles in defining product specificity of
SbTPS1, SbTPS2, SbTPS3 and their orthologs in maize and rice. The naturally
occurring functional variations of sesquiterpene synthases within and between
species suggest that multiple mechanisms, including lineage-specific gene
duplication, subfunctionalization, neofunctionalization and pseudogenization of
duplicated genes, have all played a role in the dynamic evolution of insect
induced sesquiterpene biosynthesis in grasses.
PMID- 21880076
TI - The rice transcription factor IDEF1 directly binds to iron and other divalent
metals for sensing cellular iron status.
AB - Iron is essential for most living organisms and its availability often determines
survival and proliferation. The Oryza sativa (rice) transcription factor IDEF1
plays a crucial role in regulating iron deficiency-induced genes involved in iron
homeostasis. In the present report, we found characteristic histidine-asparagine
repeat and proline-rich regions in IDEF1 and its homolog in Hordeum vulgare
(barley), HvIDEF1. An immobilized metal ion affinity chromatography assay
revealed that IDEF1 and HvIDEF1 bind to various divalent metals, including Fe(2+)
and Ni(2+) . Recombinant IDEF1 protein expressed in Escherichia coli contained
mainly Fe and Zn. This metal-binding activity of IDEF1 was almost abolished by
deletion of the histidine-asparagine and proline-rich regions, but DNA-binding
and trans-activation functions were not impaired by the deletion. Transgenic rice
plants constitutively overexpressing IDEF1 without these metal-binding domains
failed to cause pleiotropic effects conferred by overexpression of full-length
IDEF1, including a low germination rate, impaired seedling growth, tolerance to
iron deficiency in hydroponic culture, and enhanced expression of various iron
deficiency-inducible genes. Impairment of the transcriptional regulation of IDEF1
by deletion of the metal-binding domains occurred primarily at an early stage of
iron deficiency. These results suggest that the histidine-asparagine and proline
rich regions in rice IDEF1 directly bind to divalent metals and sense the
cellular metal ion balance caused by changes in iron availability.
PMID- 21880078
TI - Cucumber mosaic virus suppressor 2b binds to AGO4-related small RNAs and impairs
AGO4 activities.
AB - Cucumber mosaic virus suppressor 2b (CMV2b) is a nuclear viral suppressor that
interferes with local and systemic silencing and inhibits AGO1 slicer activity.
CMV2b-mediated transgene hypomethylation and its localization in Cajal bodies
suggests a role of CMV2b in RNA-directed DNA methylation (RdDM). However, its
direct involvement in RdDM, or its binding with small RNAs (sRNAs) in vivo is not
yet established. Here, we show that CMV2b binds both microRNAs (miRNAs) and small
interfering RNAs (siRNAs) in vivo. sRNA sequencing data from the CMV2b
immunocomplex revealed its preferential binding with 24-nt repeat-associated
siRNAs. We provide evidence that CMV2b also has direct interaction with the AGO4
protein by recognizing its PAZ and PIWI domains. Subsequent analysis of AGO4
functions revealed that CMV2b reduced AGO4 slicer activity and the methylation of
several loci, accompanied by the augmented accumulation of 24-nt siRNAs in
Arabidopsis inflorescences. Intriguingly, CMV2b also regulated an AGO4-related
epiallele independently of its catalytic potential, which further reinforces the
repressive effects of CMV2b on AGO4 activity. Collectively, our results
demonstrate that CMV2b can counteract AGO4-related functions. We propose that by
adopting novel counter-host defense strategies against AGO1 and AGO4 proteins,
CMV creates a favorable cellular niche for its proliferation.
PMID- 21880079
TI - A mixed-methods evaluation of complementary therapy services in palliative care:
yoga and dance therapy.
AB - To inform service provision and future research, we evaluated two complementary
therapy services: yoga classes and dance therapy [The Lebed Method (TLM)]. Both
were run as 6-week group courses. Patients completed the Measure Yourself
Concerns and Wellbeing questionnaire pre- and post-course. Mean change over time
was calculated for patient-nominated concern and well-being scores. Qualitative
data regarding factors affecting health other than the therapy and benefits of
the service were analysed using content analysis. Eighteen patients participated
(mean age 63.8 years; 16 female; 14 cancer diagnoses); 10 were doing yoga, five
TLM, and three both yoga and TLM; 14 completed more than one assessed course.
Patients' most prevalent concerns were: mobility/fitness (n= 20), breathing
problems (n= 20), arm, shoulder and neck problems (n= 18), difficulty relaxing
(n= 8), back/postural problems (n= 8), fear/anxiety (n= 5). Factors affecting
patients' health other than the therapy were prevalent and predominantly negative
(e.g. treatment side effects). Patients reported psycho-spiritual, physical and
social benefits. Concern scores improved significantly (P < 0.001) for both
therapies; improved well-being was clinically significant for yoga. Evaluations
of group complementary therapy services are feasible, can be conducted
effectively and have implications for future research. Yoga and TLM may be of
benefit in this population.
PMID- 21880077
TI - A tomato LysM receptor-like kinase promotes immunity and its kinase activity is
inhibited by AvrPtoB.
AB - Resistance in tomato (Solanum lycopersicum) to infection by Pseudomonas syringae
involves both detection of pathogen-associated molecular patterns (PAMPs) and
recognition by the host Pto kinase of pathogen effector AvrPtoB which is
translocated into the host cell and interferes with PAMP-triggered immunity
(PTI). The N-terminal portion of AvrPtoB is sufficient for its virulence activity
and for recognition by Pto. An amino acid substitution in AvrPtoB, F173A,
abolishes these activities. To investigate the mechanisms of AvrPtoB virulence,
we screened for tomato proteins that interact with AvrPtoB and identified Bti9, a
LysM receptor-like kinase. Bti9 has the highest amino acid similarity to
Arabidopsis CERK1 among the tomato LysM receptor-like kinases (RLKs) and belongs
to a clade containing three other tomato proteins, SlLyk11, SlLyk12, and SlLyk13,
all of which interact with AvrPtoB. The F173A substitution disrupts the
interaction of AvrPtoB with Bti9 and SlLyk13, suggesting that these LysM-RLKs are
its virulence targets. Two independent tomato lines with RNAi-mediated reduced
expression of Bti9 and SlLyk13 were more susceptible to P. syringae. Bti9 kinase
activity was inhibited in vitro by the N-terminal domain of AvrPtoB in an F173
dependent manner. These results indicate Bti9 and/or SlLyk13 play a role in plant
immunity and the N-terminal domain of AvrPtoB may have evolved to interfere with
their kinase activity. Finally, we found that Bti9 and Pto interact with AvrPtoB
in a structurally similar although not identical fashion, suggesting that Pto may
have evolved as a molecular mimic of LysM-RLK kinase domains.
PMID- 21880080
TI - Laxative management in ambulatory cancer patients on opioid therapy: a
prospective, open-label investigation of polyethylene glycol, sodium picosulphate
and lactulose.
AB - Constipation and the laxatives polyethylene glycol (PEG), sodium picosulphate
(SPS) and lactulose (L) were investigated in outpatients with cancer and on
opioid therapy. Randomly selected patients were enrolled in a prospective,
controlled, open-label trial. Endpoints were number of patients taking laxatives
>28 days, number of patients with a stool-free interval >72 h (sfi72), dosage,
numerical rating scale (NRS) for constipation, and European Organization for
Research and Treatment of Cancer (EORTC) Quality of Life (QoL) questionnaire
scores. The 348 patients had comparable demographic and medical data. In this
ambulatory population, mobility scores remained unaffected. Constipation
incidence was 5.7%, with sfi72 42, mean NRS 2.3557 and mean QoL 2.1. A total of
53.2% discontinued their laxative medication. Laxative use correlated with higher
opioid usage (morphine-equivalent mg/day: no laxative 98.2, SPS 128.2, PEG 139.9,
L 154.5). PEG was the most frequently prescribed laxative (PEG 27.3%, SPS 10.3%,
L 9.2%). PEG (sfi72 12.6%, NRS 2.2, QoL 2.1) and SPS (sfi72 11.1%, NRS 2.7, QoL
2.2) proved more effective than L (sfi72 15.5%, NRS 3.8, QoL 2.5). In spite of
opioid therapy, the incidence of constipation was low in these ambulatory cancer
pain patients at an early disease stage. For prevention of constipation, PEG or
SPS is recommended instead of L.
PMID- 21880081
TI - Candidate mechanisms underlying effects of contractile activity on muscle
morphology and energetics in cancer cachexia.
AB - Skeletal muscle wasting is a prominent pathophysiological feature of cancer
cachexia. Recent evidence suggests the manifestation of mitochondrial dysfunction
along with a diminished oxidative capacity. These abnormalities have been
concurrently observed with impaired muscle force production and the accelerated
onset of fatigue in both tumour-bearing animals and cancer patients exhibiting
wasting. To address the burden imposed by cachexia, nutritional and
pharmacological interventions have been investigated extensively; in contrast,
contractile activity-based countermeasures (i.e. exercise training) have been
less frequently explored. Although limited, several preclinical studies that
implemented contractile activity have reported favourable outcomes such as the
retention of muscle mass and the restoration of energetic homeostasis. Even fewer
investigations have examined the mechanisms accounting for these protective
effects. An experimental approach addressing contractile activity-dependent
expression of muscle mass and energy metabolism regulators may yield information
that provides mechanistic support for exercise countermeasures. In this review,
we present several candidate mechanisms underlying the protective effects of
contractile activity as support for exercise countermeasure strategies. Given the
limited quantity of data in this area, insights will be derived from studies on
contractile activity-dependent modulation of common cellular and molecular events
regulating muscle morphology and energetics during other muscle wasting
conditions (e.g. sarcopenia).
PMID- 21880082
TI - Biogeography of avian blood parasites (Leucocytozoon spp.) in two resident hosts
across Europe: phylogeographic structuring or the abundance-occupancy
relationship?
AB - Relationships between hosts and parasites represent complex co-evolving systems
that can vary both temporally and spatially. This variation may result in
different phylogeographic outcomes, ranging from highly geographically structured
parasite populations comprised of specialist lineages that are locally abundant
but have restricted global occupancy to geographically unstructured parasite
populations consisting of widespread parasites. Here, we present results from a
large biogeographic study of the Leucocytozoon blood parasites of two nonmigrant
bird species, conducted at nine sites across Europe. The aim was to determine
whether the parasite lineages of the two hosts were phylogeographically
structured across Europe. Employing molecular methods, we found a large diversity
of parasites, and although overall prevalence varied greatly, the parasites were
not genetically structured. Several measures of local parasite abundance were
associated with the number of sites that the lineage occurred in, which is
consistent with the macroecological phenomenon of the abundance-occupancy
relationship. Taken together, our results show that parasite dispersal is
somewhat uncoupled to that of the host in this system: we suggest that broad host
and/or vector preference may play an important role in determining the
distribution of these parasites and in affecting host-parasite coevolution in
this system.
PMID- 21880083
TI - Genetic diversity of the imperilled bath sponge Spongia officinalis Linnaeus,
1759 across the Mediterranean Sea: patterns of population differentiation and
implications for taxonomy and conservation.
AB - The Mediterranean bath sponge Spongia officinalis is an iconic species with high
socio-economic value and precarious future owing to unregulated harvesting,
mortality incidents and lack of established knowledge regarding its ecology. This
study aims to assess genetic diversity and population structure of the species at
different geographical scales throughout its distribution. For this purpose, 11
locations in the Eastern Mediterranean (Aegean Sea), Western Mediterranean
(Provence coast) and the Strait of Gibraltar were sampled; specimens were
analysed using partial mitochondrial cytochrome oxidase subunit I (COI)
sequences, along with a set of eight microsatellite loci. According to our
results (i) no genetic differentiation exists among the acknowledged
Mediterranean morphotypes, and hence, S. officinalis can be viewed as a single,
morphologically variable species; (ii) a notable divergence was recorded in the
Gibraltar region, indicating the possible existence of a cryptic species; (iii)
restriction to gene flow was evidenced between the Aegean Sea and Provence giving
two well-defined regional clusters, thus suggesting the existence of a
phylogeographic break between the two systems; (iv) low levels of genetic
structure, not correlated to geographical distance, were observed inside
geographical sectors, implying mechanisms (natural or anthropogenic) that enhance
dispersal and gene flow have promoted population connectivity; (v) the genetic
diversity of S. officinalis is maintained high in most studied locations despite
pressure from harvesting and the influence of devastating epidemics. These
findings provide a basis towards the effective conservation and management of the
species.
PMID- 21880085
TI - Combining chloroplast and nuclear microsatellites to investigate origin and
dispersal of New World sweet potato landraces.
AB - We analysed a representative collection of New World sweet potato landraces (329
accessions from Mexico to Peru) with both chloroplast and nuclear microsatellite
markers. Both kinds of markers supported the existence of two geographically
restricted genepools, corresponding to accessions from the north-western part of
South America and accessions from the Caribbean and Central America region. Our
conservative cpSSRs markers revealed that the divergence between the two
haplotype groups is associated with numerous mutation events concerning various
markers, supporting the idea that this divergence may be ancient, predating
domestication. For both kinds of markers, we found no significant difference in
diversity between the two genepools and detected region-specific alleles in both
groups. Previous studies have favoured the hypothesis of a single domestication
of this crop. Our analysis suggests at least two independent domestications, in
Central/Caribbean America and in the north-western part of South America. Sweet
potato was then dispersed from these centres throughout tropical America.
Comparison of nuclear and chloroplast data suggests that exchanges of clones and
sexual reproduction were both important processes in landrace diversification in
this clonally propagated crop. Our analysis provides useful tools for
rationalizing the conservation and use of sweet potato germplasm collections.
PMID- 21880084
TI - Genome-wide association analysis of clinical vs. nonclinical origin provides
insights into Saccharomyces cerevisiae pathogenesis.
AB - Because domesticated Saccharomyces cerevisiae strains have been used to produce
fermented food and beverages for centuries without apparent health implications,
S. cerevisiae has always been considered a Generally Recognized As Safe (GRAS)
microorganism. However, the number of reported mucosal and systemic S. cerevisiae
infections in the human population has increased and fatal infections have
occurred even in relatively healthy individuals. In order to gain insight into
the pathogenesis of S. cerevisiae and improve our understanding of the emergence
of fungal pathogens, we performed a population-based genome-wide environmental
association analysis of clinical vs. nonclinical origin in S. cerevisiae. Using
tiling array-based, high-density genotypes of 44 clinical and 44 nonclinical S.
cerevisiae strains from diverse geographical origins and source substrates, we
identified several genetic loci associated with clinical background in S.
cerevisiae. Associated polymorphisms within the coding sequences of VRP1, KIC1,
SBE22 and PDR5, and the 5' upstream region of YGR146C indicate the importance of
pseudohyphal formation, robust cell wall maintenance and cellular detoxification
for S. cerevisiae pathogenesis, and constitute good candidates for follow-up
verification of virulence and virulence-related factors underlying the
pathogenicity of S. cerevisiae.
PMID- 21880086
TI - Elephant behaviour and conservation: social relationships, the effects of
poaching, and genetic tools for management.
AB - Genetic tools are increasingly valuable for understanding the behaviour,
evolution, and conservation of social species. In African elephants, for
instance, genetic data provide basic information on the population genetic causes
and consequences of social behaviour, and how human activities alter elephants'
social and genetic structures. As such, African elephants provide a useful case
study to understand the relationships between social behaviour and population
genetic structure in a conservation framework. Here, we review three areas where
genetic methods have made important contributions to elephant behavioural ecology
and conservation: (1) understanding kin-based relationships in females and the
effects of poaching on the adaptive value of elephant relationships, (2)
understanding patterns of paternity in elephants and how poaching can alter these
patterns, and (3) conservation genetic tools to census elusive populations, track
ivory, and understand the behavioural ecology of crop-raiding. By comparing
studies from populations that have experienced a range of poaching intensities,
we find that human activities have a large effect on elephant behaviour and
genetic structure. Poaching disrupts kin-based association patterns, decreases
the quality of elephant social relationships, and increases male reproductive
skew, with important consequences for population health and the maintenance of
genetic diversity. In addition, we find that genetic tools to census populations
or gather forensic information are almost always more accurate than non-genetic
alternatives. These results contribute to a growing understanding of poaching on
animal behaviour, and how genetic tools can be used to understand and conserve
social species.
PMID- 21880087
TI - The evolution of social discounting in hierarchically clustered populations.
AB - The expression of a social behaviour may affect the fitness of actors and
recipients living in the present and in the future of the population. When there
is a risk that a future reward will not be experienced in such a context, the
value of that reward should be discounted; but by how much? Here, we evaluate
social discount rates for delayed fitness rewards to group of recipients living
at different positions in both space and time than the actor in a hierarchically
clustered population. This is a population where individuals are grouped into
families, families into villages, villages into clans, and so on, possibly ad
infinitum. The group-wide fitness effects are assumed to either increase or
decrease the fecundity or the survival of recipients and can be arbitrarily
extended in space and time. We find that actions changing the survival of
individuals living in the future are generally more strongly discounted than
fecundity-changing actions for all future times and that the value of future
rewards increases as individuals live longer. We also find that delayed fitness
effects may not only be discounted by a constant factor per unit delay
(exponential discounting), but that, as soon as there is localized dispersal in a
population, discounting per unit delay is likely to fall rapidly for small delays
and then slowly for longer delays (hyperbolic discounting). As dispersal tends to
be localized in natural populations, our results suggest that evolution is likely
to favour individuals that express present-biased behaviours and that may be time
inconsistent with respect to their group-wide effects.
PMID- 21880088
TI - Society, demography and genetic structure in the spotted hyena.
AB - Spotted hyenas (Crocuta crocuta) are large mammalian carnivores, but their
societies, called 'clans', resemble those of such cercopithecine primates as
baboons and macaques with respect to their size, hierarchical structure, and
frequency of social interaction among both kin and unrelated group-mates.
However, in contrast to cercopithecine primates, spotted hyenas regularly hunt
antelope and compete with group-mates for access to kills, which are extremely
rich food sources, but also rare and ephemeral. This unique occurrence of baboon
like sociality among top-level predators has favoured the evolution of many
unusual traits in this species. We briefly review the relevant socio-ecology of
spotted hyenas, document great demographic variation but little variation in
social structure across the species' range, and describe the long-term fitness
consequences of rank-related variation in resource access among clan-mates. We
then summarize patterns of genetic relatedness within and between clans,
including some from a population that had recently gone through a population
bottleneck, and consider the roles of sexually dimorphic dispersal and female
mate choice in the generation of these patterns. Finally, we apply social network
theory under varying regimes of resource availability to analyse the effects of
kinship on the stability of social relationships among members of one large hyena
clan in Kenya. Although social bonds among both kin and non-kin are weakest when
resource competition is most intense, hyenas sustain strong social relationships
with kin year-round, despite constraints imposed by resource limitation. Our
analyses suggest that selection might act on both individuals and matrilineal kin
groups within clans containing multiple matrilines.
PMID- 21880089
TI - Spatial but not temporal co-divergence of a virus and its mammalian host.
AB - Co-divergence between host and parasites suggests that evolutionary processes act
across similar spatial and temporal scales. Although there has been considerable
work on the extent and correlates of co-divergence of RNA viruses and their
mammalian hosts, relatively little is known about the extent to which virus
evolution is determined by the phylogeographic history of host species. To test
hypotheses related to co-divergence across a variety of spatial and temporal
scales, we explored phylogenetic signatures in Andes virus (ANDV) sampled from
Chile and its host rodent, Oligoryzomys longicaudatus. ANDV showed strong spatial
subdivision, a phylogeographic pattern also recovered in the host using both
spatial and genealogical approaches, and despite incomplete lineage sorting.
Lineage structure in the virus seemed to be a response to current population
dynamics in the host at the spatial scale of ecoregions. However, finer scale
analyses revealed contrasting patterns of genetic structure across a latitudinal
gradient. As predicted by their higher substitution rates, ANDV showed greater
genealogical resolution than the rodent, with topological congruence influenced
by the degree of lineage sorting within the host. However, despite these major
differences in evolutionary dynamics, the geographic structure of host and virus
converged across large spatial scales.
PMID- 21880090
TI - Patterns of interventions and the effect of coalitions and sociality on male
fitness.
AB - In group living animals, especially among primates, there is consistent evidence
that high-ranking males gain a higher reproductive output than low-ranking males.
Primate studies have shown that male coalitions and sociality can impact male
fitness; however, it remains unclear whether males could potentially increase
their fitness by preferentially supporting and socializing with females. Here we
investigate patterns of male interventions and the effect of coalitions and
sociality on male fitness in rhesus macaques (Macaca mulatta) with particular
focus on male-female interactions. We combined behavioural observations collected
on Cayo Santiago with genetic data analysed for male reproductive output and
relatedness. Our results revealed that the ten top-ranking males provided the
majority of all male support observed. In contrast to other primates, male rhesus
macaques mainly formed all-down coalitions suggesting that coalitions are less
likely used to enhance male dominance. Males supporting females during and before
their likely conception were not more likely to fertilize those females. We also
found no evidence that males preferably support their offspring or other close
kin. Interestingly, the most important predictor of male support was sociality,
since opponents sharing a higher sociality index with a given male were more
likely to be supported. Furthermore, a high sociality index of a given male
female dyad resulted in a higher probability of paternity. Overall, our results
strengthen the evidence that sociality affects fitness in male primates, but also
suggest that in species in which males queue for dominance, it is less likely
that males derive fitness benefits from coalitions.
PMID- 21880091
TI - Female reproductive competition in Eulemur rufifrons: eviction and reproductive
restraint in a plurally breeding Malagasy primate.
AB - In mammals with female philopatry, co-resident females inevitably compete with
each other for resources or reproductive opportunities, thereby reducing the kin
selected benefits of altruism towards relatives. These counteracting forces of
cooperation and competition among kin should be particularly pronounced in
plurally breeding species with limited alternative breeding opportunities outside
the natal group. However, little is still known about the costs of reproductive
competition on females' fitness and the victims' potential counter-strategies.
Here we summarize long-term behavioural, demographic and genetic data collected
on a plurally breeding primate from Madagascar to illuminate mechanisms and
effects of female reproductive competition, focusing on forcible eviction and
potential reproductive restraint. The main results of our study indicate that
females in groups of redfronted lemurs (Eulemur rufifrons) above a critical size
suffer from competition from their close relatives: females in larger groups face
an increased probability of not giving birth as well as a higher probability of
being evicted, especially during the annual mating and birth seasons. Eviction is
not predicted by the number of adult females, the number of close female
relatives, female age or inter-annual variation in rainfall but only by total
group size. Thus, eviction in this species is clearly linked with reproductive
competition, it cannot be forestalled by reproductive restraint or having many
relatives in the group, and it occurs in the absence of a clear dominance
hierarchy. Our study therefore also underscores the notion that potential
inclusive fitness benefits from living with relatives may have been generally
over-rated and should not be taken for granted.
PMID- 21880092
TI - A multi-gene approach reveals a complex evolutionary history in the Cyanistes
species group.
AB - Quaternary climatic oscillations have been considered decisive in shaping much of
the phylogeographic structure around the Mediterranean Basin. Within this
paradigm, peripheral islands are usually considered as the endpoints of the
colonization processes. Here, we use nuclear and mitochondrial markers to
investigate the phylogeography of the blue tit complex (blue tit Cyanistes
caeruleus, Canary blue tit C. teneriffae and azure tit C. cyanus), and assess the
role of the Canary Islands for the geographic structuring of genetic variation.
The Canary blue tit exhibits strong genetic differentiation within the Canary
Islands and, in combination with other related continental species, provides an
ideal model in which to examine recent differentiation within a closely related
group of continental and oceanic island avian species. We analysed DNA sequences
from 51 breeding populations and more than 400 individuals in the blue tit
complex. Discrepancies in the nuclear and mitochondrial gene trees provided
evidence of a complex evolutionary process around the Mediterranean Basin.
Coalescent analyses revealed gene flow between C. caeruleus and C. teneriffae
suggesting a dynamic process with multiple phases of colonization and geographic
overlapping ranges. Microsatellite data indicated strong genetic differentiation
among the Canary Islands and between the Canary archipelago and the close
continental areas, indicating limited contemporary gene flow. Diversification of
the blue tit complex is estimated to have started during the early Pliocene (~ 5
Ma), coincident with the end of Messinian salinity crisis. Phylogenetic analyses
indicated that the North African blue tit is derived from the Canary blue tits, a
pattern is avian 'back colonization' that contrasts with more traditionally held
views of islands being sinks rather than sources.
PMID- 21880093
TI - Shear bond strength of resin cement bonded to alumina ceramic after treatment by
aluminum oxide sandblasting or silica coating.
AB - PURPOSE: To evaluate the shear bond strength and bond durability between a dual
cured resin cement (RC) and a high alumina ceramic (In-Ceram Alumina), subjected
to two surface treatments. MATERIALS AND METHODS: Forty disc-shaped specimens
(sp) (4-mm diameter, 5-mm thick) were fabricated from In-Ceram Alumina and
divided into two groups (n = 20) in accordance with surface treatment: (1)
sandblasting by aluminum oxide particles (50 MUm Al(2) O(3) ) (SB) and (2) silica
coating (30 MUm SiO(x) ) using the CoJet system (SC). After the 40 sp were bonded
to the dual-cured RC, they were stored in distilled water at 37 degrees C for 24
hours. After this period, the sp from each group were divided into two conditions
of storage (n = 10): (a) 24 h-shear bond test 24 hours after cementation; (b)
Aging-thermocycling (TC) (12,000 times, 5 to 55 degrees C) and water storage (150
days). The shear test was performed in a universal test machine (1 mm/min).
RESULTS: ANOVA and Tukey (5%) tests noted no statistically significant difference
in the bond strength values between the two surface treatments (p = 0.7897). The
bond strengths (MPa) for both surface treatments reduced significantly after
aging (SB-24: 8.2 +/- 4.6; SB-Aging: 3.7 +/- 2.5; SC-24: 8.6 +/- 2.2; SC-Aging:
3.5 +/- 3.1). CONCLUSION: Surface conditioning using airborne particle abrasion
with either 50 MUm alumina or 30 MUm silica particles exhibited similar bond
strength values and decreased after long-term TC and water storage for both
methods.
PMID- 21880094
TI - Effect of chemical disinfection and accelerated aging on color stability of
maxillofacial silicone with opacifiers.
AB - PURPOSE: The purpose of this study was to evaluate the color stability of MDX4
4210 maxillofacial elastomer with opacifier addition submitted to chemical
disinfection and accelerated aging. MATERIALS AND METHODS: Ninety specimens were
obtained from Silastic MDX4-4210 silicone. The specimens were divided into three
groups (n = 30): Group I: colorless, Group II: barium sulfate opacifier, Group
III: titanium dioxide opacifier. Specimens of each group (n = 10) were
disinfected with effervescent tablets, neutral soap, or 4% chlorhexidine
gluconate. Disinfection was conducted three times a week for 2 months. Afterward,
the specimens were submitted to different periods of accelerated aging. Color
evaluation was carried out after 60 days (disinfection period) and after 252,
504, and 1008 hours of accelerated aging, using a reflection spectrophotometer.
Color alterations were calculated by the CIE L*a*b* system. Data were analyzed by
three-way ANOVA and Tukey test (alpha= 0.05). RESULTS: Group II exhibited the
lowest color change, whereas Group III the highest (p < 0.05), regardless of the
chemical disinfection and accelerated aging periods. CONCLUSION: Opacifier
addition, chemical disinfection, and accelerated aging procedures affected the
color stability of the maxillofacial silicone.
PMID- 21880095
TI - In vitro analysis of post-fatigue reverse-torque values at the dental
abutment/implant interface for a unitarian abutment design.
AB - PURPOSE: This study analyzed baseline and post-fatigue reverse-torque values
(RTVs) for a specific brand control abutment relative to a third party compatible
abutment. The purpose of this study was to compare the abutments' fatigue
resistance to simulated function, using RTVs as an indication of residual preload
at the implant/abutment interface. MATERIALS AND METHODS: Forty Straumann tissue
level implants were mounted in resin and divided into four groups (n = 10). Forty
abutments were seated, 20 control and 20 third-party abutments, according to
manufacturer guidelines. Ten abutments from each manufacturer were evaluated for
RTV without fatigue loading, using a calibrated digital torque gauge to provide a
baseline RTVs. Fatigue loading was carried out on the remaining ten specimens
from each manufacturer according to ISO 14801 guidelines. A moving-magnet linear
motor was used to load one specimen per sequence, alternating from 10 to 200 N at
15 Hz for 5*10(6) cycles. RTV was recorded post-fatigue loading. The results were
subjected to two-sample t-testing and two-way ANOVA. Scanning electron
microphotography was carried out on three specimens from both manufacturers at
baseline and post-fatigue cycling to visualize thread geometry and the
abutment/implant interface. RESULTS: The data indicated that mean post-fatigue
RTV observed for the control group was significantly higher than the third-party
group (RTV 42.65 +/- 6.70 N vs. 36.25 +/- 2.63 N, p= 0.0161). Visual differences
at the macro/microscopic level were also apparent for thread geometry, with third
party abutments demonstrating considerably greater variation in geometrical
architecture than control specimens. CONCLUSIONS: Within the limitations of this
in vitro model, the effect of component manufacturer resulted in a significantly
higher RTV in the control group (two-way ANOVA, p= 0.0032) indicating greater
residual preload; however, there was no significant decrease in post-fatigue RTV
for either manufacturer compared to baseline.
PMID- 21880096
TI - A 10-year survey of US deans: trends, challenges, and mentoring in
prosthodontics. Part 2.
AB - PURPOSE: Part 2 of this survey reports on the 2009 survey findings distributed to
the deans of US dental schools. A national, electronic survey of 58 dental school
deans was distributed by e-mail to evaluate an interest in specialty training, an
interest in specialty training in prosthodontics, faculty shortage issues,
predoctoral curriculum in prosthodontics, ideology regarding dental specialties,
and the administrative position of prosthodontics within the schools. MATERIALS
AND METHODS: The survey data were transferred to an online spreadsheet program
for statistical analysis (Key Survey, Inc. http://www.keysurvey.com, Braintree,
MA). The opinions of dental school deans were viewed as legitimate indicators of
change within predoctoral and postdoctoral prosthodontic education. Statistical
analysis was carried out using Statistica Version 9.1 (Statsoft, Tulsa, OK).
RESULTS: Of the 58 deans, 42 deans responded, for a 72.4% response rate. Twenty
three deans reported an increase in the number of students seeking specialty
training after dental school. Only three deans reported a decrease in those
seeking specialty training. In the 2009 survey, 45% the deans responded that
there was an increased interest in prosthodontics. One or more open faculty
positions in prosthodontics existed at 24 (59%) of the dental schools, and 30
(71%) offered at least one incentive or a variety of incentives to recruit
faculty. The 2009 respondents to the deans' survey revealed predoctoral student
exposure to prosthodontists was high, and exposure to advanced education in
prosthodontics students was low. A survey of internal school programs that might
have an impact on an increased interest in prosthodontics revealed the presence
of a predoctoral mentoring program for prosthodontics in 36 (88%) of the
institutions. The clinical curriculum included treatment of a variety of cases
including complex cases as defined by a diagnostic classification system. The
2009 survey respondents reported an increase in the number of schools where
prosthodontics is a separate entity or department. CONCLUSION: Deans reported an
increased interest in prosthodontics in the 2009 survey. Open faculty positions
in prosthodontics existed in the majority of dental schools, and most schools
offered incentives to recruit faculty. The survey of deans found a very high
level of exposure of dental students to full-time prosthodontists and a very low
exposure level to students enrolled in advanced education in prosthodontics. The
establishment of mentoring programs in prosthodontics was reported by most deans,
and the predoctoral curriculum included treating complex cases. Most deans stated
that dual-specialty training in prosthodontics and periodontics would be
beneficial. The 2009 survey reported an increase in the number of departments of
prosthodontics in US schools.
PMID- 21880097
TI - Intrathecal infusion of bupivacaine and clonidine provides effective analgesia in
a terminally ill child.
AB - The intrathecal infusion of drugs to provide analgesia for terminally ill
children with refractory pain is a rarely utilized but very effective technique.
A number of pharmacological agents, most commonly opioids and local anesthetics,
have been administered intrathecally for this purpose. However, tachyphylaxis and
neuraxial opioid-related side effects can limit their utility. The alpha-2
agonist clonidine is commonly used to augment local anesthetic techniques for
postsurgical pain in children and for the management of refractory cancer pain in
adults, but there is only a single report of the use of clonidine intrathecally
in a terminally ill child. We present the case of the youngest reported child to
have received intrathecal analgesia for terminal care: a 3-year-old boy with
advanced pelvic rhabdomyosarcoma, whose refractory pain was managed effectively
with an intrathecal infusion of bupivacaine and preservative-free clonidine.
PMID- 21880098
TI - Active surveillance of antibiotic resistance prevalence in urinary tract and skin
infections in the outpatient setting.
AB - The aim of the study was to evaluate the need for active surveillance of
antibiotic resistance in ambulatory infections. We measured the prevalence of
antibiotic resistance in urinary tract infections (UTIs) (n = 1018) and skin
infections (n = 213) diagnosed in outpatients between September 2008 and February
2009 in the Canton of Bern, Switzerland. Samples were stratified into 'solicited'
(diagnostic work-up for study purpose only) and 'routine' (diagnostic work-up as
part of standard care). Susceptibility patterns were compared for 463 Escherichia
coli isolates from UTIs (231 solicited; 232 routine) and 87 Staphylococcus aureus
isolates from skin infections (35 solicited; 52 routine). Overall, E. coli showed
higher susceptibility to ampicillin, amoxicillin-clavulanic acid and norfloxacin
in solicited than in routine samples. Among 15-45-year-old patients,
susceptibility rates were comparable between solicited and routine samples for
all antibiotics except for amoxicillin-clavulanic acid. However, among patients
>45 years old, isolates from routine samples showed lower susceptibility to all
beta-lactams tested and quinolones than those from solicited samples. Extended
spectrum beta-lactamase (ESBL)-producing E. coli isolates were rare (solicited,
0.4%; routine, 1.7%; p 0.4). Susceptibility patterns of S. aureus were comparable
between solicited and routine samples. Therefore, in the outpatient setting,
susceptibility rates for E. coli isolates differ by indication for urinary
culture and age. Surveillance based on samples taken during standard care may
underestimate susceptibility rates for uncomplicated infections, especially among
the elderly. Reports of resistance data should include age stratification.
PMID- 21880099
TI - Non-neuroinvasive West Nile virus infections during the outbreak in Greece.
AB - A major outbreak of West Nile virus (WNV) infections took place in 2010 in
Greece. Apart from the neuroinvasive cases, many additional cases without
involvement of the nervous system were observed, characterized by high fever,
myalgia, rash, leukopenia, and long-lasting recovery. West Nile non-neuroinvasive
disease is a distinct clinical syndrome, and is not always mild.
PMID- 21880100
TI - Inner nuclear membrane protein Ima1 is dispensable for intranuclear positioning
of centromeres.
AB - Inner nuclear membrane (INM) proteins play a role in spatial organization of
chromosomes within the nucleus. In the fission yeast Schizosaccharomyces pombe,
Sad1, an INM protein of the conserved SUN-domain family, plays an active role in
moving chromosomes along the nuclear membranes during meiotic prophase. Ima1 is
another conserved INM protein recently identified. A previous study claimed that
Ima1 is essential for mitotic cell growth, linking centromeric heterochromatin to
the spindle-pole body. However, we obtained results contradictory to the
previously proposed role for Ima1: Ima1 was dispensable for mitotic cell growth
or centromere positioning. This discrepancy was attributed to incorrect ima1
deletion mutants used in the previous study. Our results show that Ima1
collaborates with two other conserved INM proteins of the LEM-domain family that
are homologous to human Man1 and Lem2. Loss of any one of three INM proteins has
no effect on mitotic cell growth; however, loss of all these proteins causes
severe defects in mitotic cell growth and nuclear membrane morphology.
Considering that all three INM proteins interact with Sad1, these results suggest
that Ima1, Lem2 and Man1 play at least partially redundant roles for nuclear
membrane organization.
PMID- 21880102
TI - Co-metabolic conversion of toluene in anaerobic n-alkane-degrading bacteria.
AB - Diverse microorganisms have been described to degrade petroleum hydrocarbons
anaerobically. Strains able to utilize n-alkanes do not grow with aromatic
hydrocarbons, whereas strains able to utilize aromatic hydrocarbons do not grow
with n-alkanes. To investigate this specificity in more detail, three anaerobic n
alkane degraders (two denitrifying, one sulfate-reducing) and eight anaerobic
alkylbenzene degraders (five denitrifying, three sulfate-reducing) were incubated
with mixtures of n-alkanes and toluene. Whereas the toluene degradationers formed
only the characteristic toluene-derived benzylsuccinate and benzoate, but no n
alkane-derived metabolites, the n-alkane degraders formed toluene-derived
benzylsuccinate, 4-phenylbutanoate, phenylacetate and benzoate besides the
regular n-alkane-derived (1-methylalkyl)succinates and methyl-branched
alkanoates. The co-metabolic conversion of toluene by anaerobic n-alkane
degraders to the level of benzoate obviously follows the anaerobic n-alkane
degradation pathway with C-skeleton rearrangement and decarboxylation rather than
the beta-oxidation pathway of anaerobic toluene metabolism. Hence, petroleum
derived aromatic metabolites detectable in anoxic environments may not be
exclusively formed by genuine alkylbenzene degraders. In addition, the hitherto
largely unexplored fate of fumarate hydrogen during the activation reactions was
examined with (2,3-(2) H(2) )fumarate as co-substrate. Deuterium was completely
exchanged with hydrogen at the substituted carbon atom (C-2) of the succinate
adducts of n-alkanes, whereas it is retained in toluene-derived benzylsuccinate,
regardless of the type of enzyme catalysing the fumarate addition reaction.
PMID- 21880103
TI - Single-stage segmental urethral replacement using combined ventral onlay
fasciocutaneous flap with dorsal onlay buccal grafting for long segment
strictures.
AB - Study Type--Therapy (case series). Level of Evidence 4. What's known on the
subject? And what does the study add? Single-stage urethral segment replacement
has historically poor outcomes and two-stage repairs are now more common. We
present a novel approach to the single-stage repair with initial outcomes similar
to two-stage repairs. OBJECTIVE: * To present our experience with repairing long
segment urethral strictures in a single-stage using a combined tissue-transfer
technique. PATIENTS AND METHODS: * In all, 14 men underwent urethroplasty where a
segment of urethra was completely replaced using a dorsal onlay buccal mucosa
graft and a ventral onlay fasciocutaneous flap in a single stage. * Primary
success was defined as an open urethra at >6 months follow-up with no need for
additional surgical intervention. * Secondary success was defined as the need for
a single postoperative endoscopic procedure before stricture stabilization. *
Failure was the need for multiple endoscopic procedures, repeat urethroplasty,
urinary diversion or intermittent dilatation. RESULTS: * The mean (SD) stricture
length was 9.75 (4.6) cm. The mean (SD) neourethral length was 5.4 (2.7) cm.
Stricture location was penile/bulbar in 12 men, and bulbar alone in two. Primary
success was achieved in nine of the 14 men at a median (range) follow-up of 2.5
(0.5-9.43) years. * The mean (SD) time to recurrence in the five initial failures
was 340 (376) days. * Secondary success was achieved in two men after a single
endoscopic procedure for an overall success in 11 of 14 men. * Patients that
recurred had longer strictures (12.8 vs 8.7 cm, P= 0.04) than initial successes,
but neourethral lengths were similar (6.2 vs 5.1 cm, P= 0.5). * In all, three of
the 14 men failed, two of whom required a repeat urethroplasty. CONCLUSIONS: *
Our initial outcomes were favourable using the combined tissue-transfer technique
for segmental urethral replacement with initial and secondary success rates
similar to those reported for two-stage repairs. * This technique is not suitable
for all patients as it requires healthy penile skin, but appears to be effective
when a single-stage repair is desirable.
PMID- 21880104
TI - Evolution of the clinical presentation of men undergoing radical prostatectomy
for high-risk prostate cancer.
AB - OBJECTIVE: To investigate the outcomes and potential effect of improved
longitudinal screening in men presenting with high-risk (advanced clinical stage
[>T2b], Gleason score 8-10 or prostate-specific antigen [PSA] level >20 ng/mL)
prostate cancer (PC). PATIENTS AND METHODS: The Institutional Review Board
approved, Institutional Radical Prostatectomy Database (1992-2010) was queried
for men with high-risk PC based on D'Amico criteria. Year of surgery was divided
into two cohorts: the Early PSA Era (EPE, 1992-2000) and the Contemporary PSA Era
(CPE, 2001-2010). PC features and outcomes were evaluated using appropriate
comparative tests. RESULTS: In total, 667 men had high-risk PC in the EPE and 764
in the CPE. In the EPE, 598 (89.7%) men presented with one high-risk feature; 173
(29.0%) men had a Gleason score of 8-10 on biopsy. In the CPE, 717 (93.9%) men
presented with one high-risk feature (P = 0.004) and 494 (68.9%) men had a
Gleason score of 8-10. At 10 years, biochemical-free survival (BFS) was 44.1% and
36.4% in the EPE and CPE, respectively (P = 0.04); metastases-free survival (MFS)
was 77.1% and 85.1% (P = 0.6); and PC-specific survival (CSS) was 83.3% and 96.2%
(P = 0.5). BFS, MFS and CSS were worse for men with more than one high-risk
feature in both eras. CONCLUSIONS: Over the PSA era, an increasing percentage of
men with high-risk PC were categorized by a biopsy Gleason score of 8-10. The
accumulation of multiple high-risk features increases the risk of biochemical
recurrence, the development of metastases and death from PC. BFS, MFS and CSS are
stable over the PSA era for these men. The balance between a greater proportion
of men having high Gleason disease and a greater proportion with small, less
advanced tumours may explain the stability in MFS and CSS over time.
PMID- 21880105
TI - A population-based assessment of the National Comprehensive Cancer Network
practice guideline indications for pelvic lymph node dissection at radical
prostatectomy.
AB - OBJECTIVES: To examine the ability of the threshold recommended by the National
Comprehensive Cancer Network (NCCN) in correctly predicting histologically
confirmed lymph node invasion (LNI). The 2010 NCCN practice guidelines for
prostate cancer recommend a pelvic lymph node dissection (PLND) at radical
prostatectomy in all individuals with a nomogram predicted LNI risk of >=2%.
PATIENTS AND METHODS: We assessed 20,877 patients who were treated with radical
prostatectomy and PLND between 2004 and 2006, within the Surveillance,
Epidemiology and End Results database. The 2% nomogram threshold, as well as
other threshold values (range 1-10%) were tested. Finally, we externally
validated the NCCN guideline nomogram. RESULTS: Overall, 2.5% of patients had
LNI. The use of the 2% threshold would allow the avoidance of 23% of PLNDs, at
the cost of missing 1.7% of patients with LNI. Conversely, the use of a 3%
threshold would allow the avoidance of 58% of PLNDs, at the cost of missing 15%
of patients with LNI vs 72% and 26%, respectively, for the 4% threshold. Overall,
the accuracy of the NCCN guideline nomogram quantified according to the receiver
operator characteristics-derived area under the curve was 82%. CONCLUSIONS: In a
population-based sample, the NCCN guideline nomogram is highly accurate. However,
the 2% threshold will permit the avoidance of only 23% of PLNDs, instead of the
48% intended by the NCCN guidelines. The use of a 3% threshold may allow a lower
rate of PLND overtreatment, although it will miss more patients with LNI.
PMID- 21880106
TI - Species' identification and microarray-based comparative genome analysis of
Streptomyces species isolated from potato scab lesions in Norway.
AB - Streptomyces strains were isolated from scab lesions on potatoes collected from
different parts of Norway. Twenty-eight plant-pathogenic strains, as tested on
seedlings of radish and on potato, were identified on the basis of physiological
and molecular criteria. Polymerase chain reaction (PCR) analysis, using species
specific primers, and sequencing of the 16S rRNA gene identified 14 nonmelanin
producing strains to S. turgidiscabies. Fourteen melanin-producing strains were
detected with primers specific to S. scabies, but whole-genome microarray
analysis, based on 12 766 probes designed for 8848 predicted open reading frames
(ORFs) of S. scabies, showed that the 14 strains were different from S. scabies.
They were subsequently identified to be S. europaeiscabiei based on the internal
transcribed spacer (ITS) sequences of the rRNA genes. This is the first report of
the occurrence of S. turgidiscabies and S. europaeiscabiei in Norway. The
putative 762 genes exhibiting the highest sequence differences between strains of
S. europaeiscabiei and S. scabies according to microarray analysis were
concentrated in relatively few gene ontology (GO) categories, including
'symbiosis and mutualism through parasitism', 'cell death' and 'responses to
biotic stimulus', whereas genes related to primary metabolism appeared to be more
conserved. Microarray data and 16S rRNA gene phylogeny showed, consistently, that
there were two genetically distinguishable groups of S. europaeiscabiei on the
basis of differences in 131 genes. The results provide novel information about
the genetic variability of S. europaeiscabiei and the gene-specific variability
between the genomes of S. europaeiscabiei and S. scabies. The usefulness of a
custom-designed, whole-genome oligonucleotide microarray in a survey of bacterial
plant pathogens was demonstrated.
PMID- 21880107
TI - A combined biochemical screen and TILLING approach identifies mutations in
Sorghum bicolor L. Moench resulting in acyanogenic forage production.
AB - Cyanogenic glucosides are present in several crop plants and can pose a
significant problem for human and animal consumption, because of their ability to
release toxic hydrogen cyanide. Sorghum bicolor L. contains the cyanogenic
glucoside dhurrin. A qualitative biochemical screen of the M2 population derived
from EMS treatment of sorghum seeds, followed by the reverse genetic technique of
Targeted Induced Local Lesions in Genomes (TILLING), was employed to identify
mutants with altered hydrogen cyanide potential (HCNp). Characterization of these
plants identified mutations affecting the function or expression of dhurrin
biosynthesis enzymes, and the ability of plants to catabolise dhurrin. The main
focus in this study is on acyanogenic or low cyanide releasing lines that contain
mutations in CYP79A1, the cytochrome P450 enzyme catalysing the first committed
step in dhurrin synthesis. Molecular modelling supports the measured effects on
CYP79A1 activity in the mutant lines. Plants harbouring a P414L mutation in
CYP79A1 are acyanogenic when homozygous for this mutation and are phenotypically
normal, except for slightly slower growth at early seedling stage. Detailed
biochemical analyses demonstrate that the enzyme is present in wild-type amounts
but is catalytically inactive. Additional mutants capable of producing dhurrin at
normal levels in young seedlings but with negligible leaf dhurrin levels in
mature plants were also identified. No mutations were detected in the coding
sequence of dhurrin biosynthetic genes in this second group of mutants, which are
as tall or taller, and leafier than nonmutated lines. These sorghum mutants with
reduced or negligible dhurrin content may be ideally suited for forage
production.
PMID- 21880108
TI - Impact of laparoscopic adjustable gastric banding on type 2 diabetes.
AB - Bariatric surgery is becoming an accepted option for obese people with type 2
diabetes. Our aim was to assess the impact of laparoscopic adjustable gastric
banding (LAGB) through a systematic review of the literature. Data was sourced
from Scopus, MEDLINE and EMBASE published from 2000 through May 2011, and five
unpublished studies that were performed by industry for regulatory approval were
also included. Studies were selected on the basis that they provide some detail
of diabetes status before and after LAGB. There were 35 studies meeting the
inclusion criteria. There was considerable heterogeneity in study design, sample
size, length of follow-up, attrition rates and classification of diabetes status.
Weight loss was progressive over the first 2 years with a weighted average of 47%
excess weight loss at 2 years. Remission or improvement in diabetes varied from
53% to 70% over different time periods. Results were broadly consistent,
demonstrating clinically relevant improvements in diabetes outcomes with
sustained weight loss in obese people with type 2 diabetes following LAGB
surgery. However, there were significant shortcomings in the reviewed literature
with few high-quality studies, inconsistent reporting of diabetes outcomes and
high attrition rates. Long-term studies that address these limitations are
needed.
PMID- 21880109
TI - The effect of smoking cessation counselling in pregnant women: a meta-analysis of
randomised controlled trials.
AB - BACKGROUND: Pregnant smokers are often prescribed counselling as part of
multicomponent cessation interventions. However, the isolated effect of
counselling in this population remains unclear, and individual randomised
controlled trials (RCTs) are inconclusive. OBJECTIVE: To conduct a meta-analysis
of RCTs examining counselling in pregnant smokers. SEARCH STRATEGY: We searched
the CDC Tobacco Information and Prevention, Cochrane Library, EMBASE, Medline and
PsycINFO databases for RCTs evaluating smoking cessation counselling. SELECTION
CRITERIA: We included RCTs conducted in pregnant women in which the effect of
counselling could be isolated and those that reported biochemically validated
abstinence at 6 or 12 months after the target quit date. DATA COLLECTION AND
ANALYSIS: Overall estimates were derived using random effects meta-analysis
models. MAIN RESULTS: Our search identified eight RCTs (n = 3290 women), all of
which examined abstinence at 6 months. The proportion of women that remained
abstinent at the end of follow up was modest, ranging from 4 to 24% among those
randomised to counselling and from 2 to 21% among control women. The absolute
difference in abstinence reached a maximum of only 4%. Summary estimates are
inconclusive because of wide confidence intervals, albeit with little evidence to
suggest that counselling is efficacious at promoting abstinence (odds ratio 1.08,
95% confidence interval 0.84-1.40). There was no evidence to suggest that
efficacy differed by counselling type. CONCLUSIONS: Available data from RCTs
examining the isolated effect of smoking cessation counselling in pregnant women
are limited but sufficient to rule out large treatment effects. Future RCTs
should examine pharmacological therapies in this population.
PMID- 21880110
TI - A novel cause for abnormal liver function tests in pregnancy and the puerperium:
non-alcoholic fatty liver disease.
AB - Non-alcoholic fatty liver disease (NAFLD) is the commonest liver disease in the
western world, but has never been reported in pregnancy before. We suggest that
NAFLD should also be considered as a cause for abnormal liver function tests
during pregnancy. As NAFLD is driven by insulin resistance, it is biologically
plausible that pregnancy may reveal previously subclinical disease. Obstetricians
have a vital role in optimising maternal health during and after pregnancy and
therefore we need to include NAFLD in the differential diagnosis for abnormal
liver function tests and recommend lifestyle modifications that may prevent
progression to cirrhosis and hepatocellular carcinoma.
PMID- 21880111
TI - A systematic review of ketamine as an analgesic agent in adult burn injuries.
AB - OBJECTIVE: To assess the current literature regarding the effectiveness and side
effect profile of intravenous ketamine as a means of pain relief when compared
with placebo or as an adjunct to opioid analgesia in patients exposed to burn
injury. DESIGN: Electronic searches of MEDLINE, CINAHL, Embase, and The Cochrane
Library databases from 1966 onward were used to identify clinical trials
comparing ketamine with placebo in the adult burn population. OUTCOMES MEASURED:
Effectiveness and side-effect profile of ketamine as an analgesic agent in burn
injuries. RESULTS: Four experimental trials involving 67 patients were
identified. Due to heterogeneity of studies, pooling of the results and meta
analysis were not possible. Intravenous ketamine showed some efficacy as an
analgesic for burn injuries, with a reduction in secondary hyperalgesia when
compared with opioid analgesia alone. Combination therapy of ketamine and
morphine resulted in the abolishment of windup pain phenomena. The side-effect
profile did not result in the withdrawal of any participants included in the
studies' results. CONCLUSIONS: Further well-designed randomized controlled trials
conducted in burn-specific populations are warranted, thus enabling the
development of a relevant evidence base to support its clinical use.
PMID- 21880112
TI - Best evidence on B-domain deletion and the immunogenicity of recombinant factor
VIII.
PMID- 21880113
TI - Angiostatic kinase inhibitors to sustain photodynamic angio-occlusion.
AB - Targeted angiostatic therapy receives major attention for the treatment of cancer
and exudative age-related macular degeneration (AMD). Photodynamic therapy (PDT)
has been used as an effective clinical approach for these diseases. As PDT can
cause an angiogenic response in the treated tissue, combination of PDT with anti
angiogenic compounds should lead to improved therapy. This study was undertaken
to test the clinically used small molecule kinase inhibitors Nexavar(r)
(sorafenib), Tarceva(r) (erlotinib) and Sutent(r) (sunitinib) for this purpose,
and to compare the results to the combination of Visudyne(r)-PDT with Avastin(r)
(bevacizumab) treatment. When topically applied to the chicken chorioallantoic
membrane at embryo development day (EDD) 7, a clear inhibition of blood vessel
development was observed, with sorafenib being most efficient. To investigate the
combination with phototherapy, Visudyne(r)-PDT was first applied on EDD11 to
close all <100 MUm vessels. Application of angiostatics after PDT resulted in a
significant decrease in vessel regrowth in terms of reduced vessel density and
number of branching points/mm(2) . As the 50% effective dose (ED50) for all
compounds was approximately 10-fold lower, Sorafenib outperformed the other
compounds. In vitro, all kinase inhibitors decreased the viability of human
umbilical vein endothelial cells. Sunitinib convincingly inhibited the in vitro
migration of endothelial cells. These results suggest the therapeutic potential
of these compounds for application in combination with PDT in anti-cancer
approaches, and possibly also in the treatment of other diseases where
angiogenesis plays an important role.
PMID- 21880114
TI - SNARE complex-mediated degranulation in mast cells.
AB - Mast cell function and dysregulation is important in the development and
progression of allergic and autoimmune disease. Identifying novel proteins
involved in mast cell function and disease progression is the first step in the
design of new therapeutic strategies. Soluble N-ethylmaleimide-sensitive factor
attachment protein receptors (SNAREs) are a family of proteins demonstrated to
mediate the transport and fusion of secretory vesicles to the membrane in mast
cells, leading to the subsequent release of the vesicle cargo through an
exocytotic mechanism. The functional role[s] of specific SNARE family member
complexes in mast cell degranulation has not been fully elucidated. Here, we
review recent and historical data on the expression, formation and localization
of various SNARE proteins and their complexes in murine and human mast cells. We
summarize the functional data identifying the key SNARE family members that
appear to participate in mast cell degranulation. Furthermore, we discuss the
utilization of RNA interference (RNAi) methods to validate SNARE function and the
use of siRNA as a therapeutic approach to the treatment of inflammatory disease.
These studies provide an overview of the specific SNARE proteins and complexes
that serve as novel targets for the development of new therapies to treat
allergic and autoimmune disease.
PMID- 21880115
TI - Selenodiglutathione uptake by the Saccharomyces cerevisiae vacuolar ATP-binding
cassette transporter Ycf1p.
AB - The Saccharomyces cerevisiae vacuolar ATP-binding cassette transporter Ycf1p is
involved in heavy metal detoxification by mediating the ATP-dependent transport
of glutathione-metal conjugates to the vacuole. In the case of selenite toxicity,
deletion of YCF1 was shown to confer increased resistance, rather than
sensitivity, to selenite exposure [Pinson B, Sagot I & Daignan-Fornier B (2000)
Mol Microbiol36, 679-687]. Here, we show that when Ycf1p is expressed from a
multicopy plasmid, the toxicity of selenite is exacerbated. Using secretory
vesicles isolated from a sec6-4 mutant transformed either with the plasmid
harbouring YCF1 or the control plasmid, we establish that the glutathione
conjugate selenodigluthatione is a high-affinity substrate of this ATP-binding
cassette transporter and that oxidized glutathione is also efficiently
transported. Finally, we show that the presence of Ycf1p impairs the
glutathione/oxidized glutathione ratio of cells subjected to a selenite stress.
Possible mechanisms by which Ycf1p-mediated vacuolar uptake of
selenodiglutathione and oxidized glutathione enhances selenite toxicity are
discussed.
PMID- 21880116
TI - Structure and function of a complex sensory synapse.
AB - Vision is the most important of the senses for humans, and the retina is the
first stage in the processing of light signals in the visual system. In the
retina, highly specialized light-sensing neurons, the rod and cone
photoreceptors, convert light into neural signals. These signals are extensively
processed and filtered in the subsequent retinal network before transmitted to
the higher visual centres in the brain, where the perception of viewed objects
and scenes is finally constructed. A key feature of signal processing in the
mammalian retina is parallel processing. Visual information is segregated in
parallel pathways already at the rod and cone photoreceptor terminals, which
provide multiple output synapses for the faithful encoding and transfer of the
visual signals to the post-receptoral retinal network. This review aims at
highlighting the current knowledge about the structural and functional pre- and
post-synaptic specializations of rod and cone photoreceptor ribbon synapses,
which belong to the most complex chemical synapses in the central nervous system.
PMID- 21880117
TI - Vaccines for the future: learning from human immunology.
AB - Conventional vaccines have been extremely successful in preventing infections by
pathogens expressing relatively conserved antigens through antibody-mediated
effector mechanisms. Thanks to vaccination some diseases have been eradicated and
mortality due to infectious diseases has been significantly reduced. However,
there are still many infections that are not preventable with vaccination, which
represent a major cause of mortality worldwide. Some of these infections are
caused by pathogens with a high degree of antigen variability that cannot be
controlled only by antibodies, but require a mix of humoral and cellular immune
responses. Novel technologies for antigen discovery, expression and formulation
allow now for the development of vaccines that can better cope with pathogen
diversity and trigger multifunctional immune responses. In addition, the
application of new genomic assays and systems biology approaches in human
immunology can help to better identify vaccine correlates of protection. The
availability of novel vaccine technologies, together with the knowledge of the
distinct human immune responses that are required to prevent different types of
infection, should help to rationally design effective vaccines where conventional
approaches have failed.
PMID- 21880119
TI - Long-term quality-of-life outcomes in children undergoing adenotonsillectomy for
obstructive sleep apnoea: a longitudinal study.
AB - OBJECTIVES: To assess a cohort of patients who underwent adenotonsillectomy for
obstructive sleep apnoea, 4 years after surgery for evidence of continued and
long-term improvement in quality of life. We also sought to compare our results
to Child Health Questionnaire scores obtained from our previous study. We also
compared our data with a healthy UK children population from normative data
available. DESIGN: Longitudinal study. SETTINGS: University Hospital Tertiary
Referral Centre. PARTICIPANTS: A 4-year follow-up study of 37 children who
underwent adenotonsillectomy for obstructive sleep apnoea confirmed on
polysomnography. There were 19 boys and 18 girls from our initial cohort. The
primary caregiver completed the validated Child Health Questionnaire Parental
Form version-28, 4 years after initial surgery. Our control group consist of 221
healthy children aged 6-18 that were included as 'normal' controls in a study
looking at children with juvenile arthritis. The children were defined as healthy
by a physician and/or after declaration by the parent. MAIN OUTCOME MEASURE:
Child Health Questionnaire Parental Form version-28 scores. RESULTS: A total of
33 patients (89%) from our initial cohort were contacted. The mean age was 10.6
(median, 11; range, 5-16). When compared with results obtained 3 months
postoperatively, the mean scores were higher in five domains and were
statistically significant in three subscales (Role Limitations P < 0.00001;
Bodily Pain P < 0.002; and Global Health P < 0.02). There was a significant
deterioration in Behaviour subscale (P < 0.0007) in spite of surgery. Compared
with controls, 4-year follow-up scores were higher in five domains with the
Global Health domain (P < 0.0004) being statistically significant. When the 4
year follow-up scores were compared with preoperative values, these were higher
in all 13 domains with statistically significant improvements in nine domains,
indicating that improvements had persisted 4 years after surgery. At 4 years,
however, the means scores in many domains remain lower when compared with
controls. CONCLUSION: Quality-of-life data are an important measure when deciding
on a specific clinical intervention. In the short term, quality-of-life measures
have been shown to improve after adenotonsillectomy for obstructive sleep apnoea.
Our study demonstrates that the benefits of surgery are still persistent and the
children continue to improve in the long term.
PMID- 21880118
TI - Vaccination in the elderly.
AB - There is a general consensus that the elderly do not respond as well to
vaccination as the young, but robust studies are few and far between. Most refer
to influenza vaccination, but even here, adequate immunological and clinical data
are surprisingly thin on the ground. The meta-analysis by Goodwin et al. from
2006 is still the most comprehensive that we have. They reviewed 31 antibody
response studies comparing influenza vaccination efficacy in groups of elderly
and younger adults. They reported that the adjusted odds ratio (OR) of responses
in elderly versus young adults ranged from 0.24 to 0.59 for the three influenza
antigens used in the vaccines. They concluded that rather than the estimated 70
90% clinical vaccine efficacy in younger adults, this figure was only 17-53% in
the elderly, depending on which viruses were prevalent that year. They stated
that 'this highlights the need for more immunogenic vaccine formulations for the
elderly'. How to achieve this? There are three areas where we may consider
alterations to increase vaccine efficacy: (i) make the vaccine more potent; (ii)
use adjuvants to enhance immunity; and (iii) apply immune modulators or other
interventions to alter host immunity generally. We will consider these three
options, focusing on influenza vaccination, in this mini-review.
PMID- 21880120
TI - Pancreatectomy for metastasis to the pancreas from colorectal cancer and
reconstruction of superior mesenteric vein: a case report.
AB - INTRODUCTION: Tumors of the pancreatic head can infiltrate the superior
mesenteric vein. In such cases, the deep veins of the lower limbs can serve as
suitable autologous conduits for superior mesenteric vein reconstruction after
its resection. Few data exist, however, describing the technique and the
immediate patency of such reconstruction. CASE REPORT: We present the case of a
70-year-old Caucasian man with a metachronous metastasis of colon cancer and
infiltration of the uncinate pancreatic process, on the anterior surface of which
the tumor was located. En bloc resection of the tumor was performed with
resection of the superior mesenteric vein and reconstruction. A 10 cm segment of
the superficial femoral vein was harvested for the reconstruction. The
superficial femoral vein segment was inter-positioned in an end-to-end fashion.
The post-operative conduit patency was documented ultrasonographically
immediately post-operatively and after a six-month period. The vein donor limb
presented subtle signs of post-operative venous hypertension with edema, which
was managed with compression stockings and led to significant improvement after
six months. CONCLUSION: In cases of exploratory laparotomies with high clinical
suspicion of pancreatic involvement, the potential need for vascular
reconstruction dictates the preparation for leg vein harvest in advance. The
superficial femoral vein provides a suitable conduit for the reconstruction of
the superior mesenteric vein. This report supports the uncomplicated nature of
this technique, since few data exist about this type of reconstruction.
PMID- 21880121
TI - Vital capacity evolution in patients treated with the CMCR brace: statistical
analysis of 90 scoliotic patients treated with the CMCR brace.
AB - SUMMARY: OBJECTIVE: To study the evolution of pulmonary capacity during
orthopaedic treatment of scoliosis with the CMCR brace. BACKGROUND: Investigating
the impact of moderate scoliosis on respiratory capacity and its evolution during
CMCR brace treatment with mobile pads. CONTEXT: Several studies demonstrate the
impact of scoliosis on respiratory capacity but few of them focus on the impact
of bracing treatment. We studied the evolution of the pulmonary capacity of a
cohort of 90 scoliotic patients. METHODS: This retrospective study included 90
scoliotic patients treated since 1999 with a brace with mobile pads called CMCR
(n = 90; mean age: 13 years; 10-16). These patients were diagnosed with an
idiopathic scoliosis (mean angulation 20.6 degrees ). All patients underwent a
radiographic and respiratory evaluation at the beginning, the middle and the end
of treatment. RESULTS: Mean age at treatment start was 13. Before treatment, our
patients did not have a normal pulmonary capacity: Forced Vital Capacity (FVC)
was only 75% of the theoretical value. All curvature types (thoracic, thoraco
lumbar and combined scoliosis) involved this reduced pulmonary capacity, with
moderate-angulated scoliosis having a negative impact. At the beginning of brace
treatment, the loss of real vital capacity with brace (0.3 litres) was 10% lower
than without brace.At CMCR removal, the FVC had increased by 0.4 litre (21% +/-
4.2% compared to the initial value). The theoretical value had increased by 3%.
This positive evolution was most important in girls at a low Risser stage
(0,1,2), and before 11 years of age. CONCLUSION: These results supported our
approach of orthesis conception for adolescent idiopathic scoliosis which uses
braces with mobile pads to preserve thorax and spine mobility.
PMID- 21880122
TI - A pilot study of the use of near-patient C-Reactive Protein testing in the
treatment of adult respiratory tract infections in one Irish general practice.
AB - BACKGROUND: New approaches are being sought to safely reduce community antibiotic
prescribing. A recent study demonstrated that CRP testing resulted in decreased
antibiotic prescribing for lower respiratory tract infection in primary care.
There is little other published primary care data available evaluating CRP in the
treatment of lower respiratory tract infections in routine clinical practice.
This pilot study aims to describe the performance of near-patient CRP testing, in
a mixed payments health system. Specific areas to be reviewed included the
integrity of the study protocol, testing of data collection forma and
acceptability of the intervention. PATIENTS: Patients over the age of 18 years,
with acute cough and/or sore throat with a duration of one month or less, in
routine clinical practice. METHOD: DESIGN: A pilot with a cross-sectional
design. The first 60 recruited patients were treated with routine clinical
management, and GP's had no access to a CRP test. For the subsequent 60 patients,
access to CRP testing was available. PARTICIPANTS: 3 GP's in one Irish primary
care practice recruited 120 patients, fulfilling the above criteria over five
months, from January 1 to May 31, 2010. MAIN OUTCOME MEASURES: The primary
outcome was antibiotic prescription at the index consultation. Secondary outcomes
were the numbers of delayed prescriptions issued, patient satisfaction
immediately after consultation and re-consultations and antibiotic prescriptions
during 28 days follow-up. RESULTS: The protocol and data collection forms worked
well and the intervention of CRP testing appeared acceptable. Thirty-five (58%)
patients in the no-test group received antibiotic prescriptions compared to 27
(45%) in the test group. Both groups demonstrated similarly high level of patient
satisfaction (85%). Fourteen (23%) patients in the CRP test group re-attended
within 28 days compared to 9 (15%) in the no-CRP test group. CONCLUSION: This
pilot study confirms the potential feasibility of a full trial in Irish general
practice. Further consideration of possible increased re-attendance rates in a
mixed payments health system is appropriate. We intend to pursue a larger trial
in a newly established regional primary care research network.
PMID- 21880123
TI - Long-term results after Boston brace treatment in late-onset juvenile and
adolescent idiopathic scoliosis.
AB - BACKGROUND: It is recommended that research in patients with idiopathic scoliosis
should focus on short- and long-term patient-centred outcome. The aim of the
present study was to evaluate outcome in patients with late-onset juvenile or
adolescent idiopathic scoliosis 16 years or more after Boston brace treatment.
METHODS: 272 (78%) of 360 patients, 251 (92%) women, responded to follow-up
examination at a mean of 24.7 (range 16 - 32) years after Boston brace treatment.
Fifty-eight (21%) patients had late-onset juvenile and 214 had adolescent
idiopathic scoliosis. All patients had clinical and radiological examination and
answered a standardised questionnaire including work status, demographics,
General Function Score (GFS) (100 - worst possible) and Oswestry Disability Index
(ODI) (100 - worst possible), EuroQol (EQ-5D) (1 - best possible), EQ-VAS (100 -
best possible), and Scoliosis Research Society - 22 (SRS - 22) (5 - best
possible). RESULTS: The mean age at follow-up was 40.4 (31-48) years. The
prebrace major curve was in average 33.2 (20 - 57) degrees . At weaning and at
the last follow-up the corresponding values were 28.3 (1 - 58) degrees and 32.5
(7 - 80) degrees , respectively. Curve development was similar in patients with
late-onset juvenile and adolescent start. The prebrace curve increased > 5
degrees in 31% and decreased > 5 degrees in 26%. Twenty-five patients had
surgery. Those who did not attend follow-up (n = 88) had a lower mean curve at
weaning: 25.4 (6-53) degrees . Work status was 76% full-time and 10% part-time.
Eighty-seven percent had delivered a baby, 50% had pain in pregnancy. The mean
(SD) GFS was 7.4 (10.8), ODI 9.3 (11.0), EQ-5D 0.82 (0.2), EQ-VAS 77.6 (17.8),
SRS-22: pain 4.1 (0.8), mental health 4.1 (0.6), self-image 3.7 (0.7), function
4.0 (0.6), satisfaction with treatment 3.7 (1.0). Surgical patients had
significantly reduced scores for SRS-physical function and self-image, and
patients with curves >= 45 degrees had reduced self-image. CONCLUSION: Long-term
results were satisfactory in most braced patients and similar in late-onset
juvenile and idiopathic adolescent scoliosis.
PMID- 21880124
TI - Iron restriction induces preferential down-regulation of H(2)-consuming over H(2)
evolving reactions during fermentative growth of Escherichia coli.
AB - BACKGROUND: Escherichia coli synthesizes three anaerobically inducible [NiFe]
hydrogenases (Hyd). All three enzymes have a [NiFe]-cofactor in the large subunit
and each enzyme also has an iron-sulfur-containing small subunit that is required
for electron transfer. In order to synthesize functionally active Hyd enzymes
iron must be supplied to the maturation pathways for both the large and small
subunits. The focus of this study was the analysis of the iron uptake systems
required for synthesis of active Hyd-1, Hyd-2 and Hyd-3 during fermentative
growth. RESULTS: A transposon-insertion mutant impaired in hydrogenase enzyme
activity was isolated. The mutation was in the feoB gene encoding the ferrous
iron transport system. The levels of both hydrogen-oxidizing enzymes Hyd-1 and
Hyd-2 as determined by specific in-gel activity staining were reduced at least 10
fold in the mutant after anaerobic fermentative growth in minimal medium, while
the hydrogen-evolving Hyd-3 activity was less severely affected. Supplementation
of the growth medium with ferric iron, which is taken up by e.g. the siderophore
enterobactin, resulted in phenotypic complementation of the feoB mutant. Growth
in rich medium demonstrated that a mutant lacking both the ferrous iron transport
system and enterobactin biosynthesis (entC) was devoid of Hyd-1 and Hyd-2
activity but retained some hydrogen-evolving Hyd-3 activity. Analysis of crude
extracts derived from the feoB entC double null mutant revealed that the large
subunits of the hydrogen-oxidizing enzymes Hyd-1 and Hyd-2 were absent. Analysis
of lacZ fusions demonstrated, however, that expression of the hya, hyb and hyc
operons was reduced only by maximally 50% in the mutants compared with the wild
type. CONCLUSIONS: Our findings demonstrate that the ferrous iron transport
system is the principal route of iron uptake for anaerobic hydrogenase
biosynthesis, with a contribution from the ferric-enterobactin system. Hydrogen
oxidizing enzyme function was abolished in a feoB entC double mutant and this
appears to be due to post-translational effects. The retention of residual
hydrogen-evolving activity, even in the feoB entC double null mutant suggests
that sufficient iron can be scavenged to synthesize this key fermentative enzyme
complex in preference to the hydrogen-uptake enzymes.
PMID- 21880125
TI - Severe influenza cases in paediatric intensive care units in Germany during the
pre-pandemic seasons 2005 to 2008.
AB - BACKGROUND: Data on complications in children with seasonal influenza virus
infection are limited. We initiated a nation-wide three-year surveillance of
children who were admitted to a paediatric intensive care unit (PICU) with severe
seasonal influenza. METHODS: From October 2005 to July 2008, active surveillance
was performed using an established reporting system for rare diseases (ESPED)
including all paediatric hospitals in Germany. Cases to be reported were
hospitalized children < 17 years of age with laboratory-confirmed influenza
treated in a PICU or dying in hospital. RESULTS: Twenty severe influenza
associated cases were reported from 14 PICUs during three pre-pandemic influenza
seasons (2005-2008). The median age of the patients (12 males/8 females) was 7.5
years (range 0.1-15 years). None had received vaccination against influenza. In
14 (70%) patients, the infection had been caused by influenza A and in five (25%)
by influenza B; in one child (5%) the influenza type was not reported. Patients
spent a median of 19 (IQR 12-38) days in the hospital and a median of 11 days
(IQR 6-18 days) in the PICU; 10 (50%) needed mechanical ventilation. Most
frequent diagnoses were influenza-associated pneumonia (60%),
bronchitis/bronchiolitis (30%), encephalitis/encephalopathy (25%), secondary
bacterial pneumonia (25%), and ARDS (25%). Eleven (55%) children had chronic
underlying medical conditions, including 8 (40%) with chronic pulmonary diseases.
Two influenza A- associated deaths were reported: i) an 8-year old boy with
pneumococcal encephalopathy following influenza infection died from cerebral
edema, ii) a 14-year-old boy with asthma bronchiale, cardiac malformation and
Addison's disease died from cardiac and respiratory failure. For nine (45%)
patients, possibly permanent sequelae were reported (3 neurological, 3 pulmonary,
3 other sequelae). CONCLUSIONS: Influenza-associated pneumonia and secondary
bacterial infections are relevant complications of seasonal influenza in Germany.
The incidence of severe influenza cases in PICUs was relatively low. This may be
either due to the weak to moderate seasonal influenza activity during the years
2005 to 2008 or due to under-diagnosis of influenza by physicians. Fifty% of the
observed severe cases might have been prevented by following the recommendations
for vaccination of risk groups in Germany.
PMID- 21880126
TI - At last, a Pennsylvanian stem-stonefly (Plecoptera) discovered.
AB - BACKGROUND: Stem-relatives of many winged insect orders have been identified
among Pennsylvanian fossils (Carboniferous Period). Owing to their presumed
'basal' position in insect phylogeny, stoneflies were expected to occur at this
period. However, no relative has ever been designated convincingly. RESULTS: In
this paper, we report specimens belonging to a new fossil insect species
collected from the Tupo Formation (Pennsylvanian; China). The wing venation of
Gulou carpenteri gen. et sp. nov. exhibits character states diagnostic of the
order Plecoptera, but lack character states shared by unequivocal representatives
of the order. Derived from this identification, the delimitation of the fossil
species is ascertained based on comparison of several extant stonefly species.
This comparative analysis allowed a trait present in G. carpenteri gen. et sp.
nov., but rarely occurring in extant species, to be documented and highlighted as
atavistic. Affinities of taxa formerly proposed as putative stem-stoneflies are
reconsidered in the light of the new discovery. CONCLUSIONS: Gulou carpenteri
gen. et sp. nov. is considered the only genuine Plecoptera reported from the
Pennsylvanian. Continuing efforts on the systematics of Pennsylvanian winged
insects indicate a fauna more diverse than previously appreciated. It suggests
that insects already had a long, yet undocumented, history by this time.
PMID- 21880127
TI - Prevalence and characteristics of depressive disorders in early adolescents in
central Norway.
AB - BACKGROUND: Prevalence of depressive disorders among adolescents has varied
across studies. The present study aims to assess current and lifetime prevalence
and characteristics of adolescent Major Depressive Disorder (MDD), Dysthymia and
Depression NOS among adolescents in Central Norway in addition to socio
demographics and use of mental health care. METHOD: In the Youth and Mental
Health Study a representative sample of 2432 junior high school students (mean
age 14.9 years, SD = 0.6) from two counties in Central Norway were screened with
the Mood and Feelings Questionnaire (MFQ). A subset of 345 of these adolescents
(72.5% girls), 220 high scorers (MFQ = > 26), 74 middle scorers (MFQ 7-25), and
50 low scorers (MFQ < 7), 1 unknown score, were drawn and interviewed with the
Kiddie SADS-PL (Present-Life Version). In all, 79% had parental interviews as
well. All estimates of prevalence rates and population shares were weighted back
using a sandwich estimator to yield true population estimates. RESULTS: Almost
one in four subjects (23%) had life-time depression. Prevalences of current Major
Depressive Disorder (MDD), Dysthymia and "Double depression" were 2.6%, 1.0% and
0.6%, respectively, and for Depression NOS 6.3%.All depressive disorders were
characterized by long duration of episodes with large variations, and for any
depressive disorder onset before 12 years of age. In multivariate analyses MDD
and Dysthymia were most strongly associated with gender and not living with both
biological parents. There was no gender difference for Depression NOS. Although a
considerable number of depressed subjects had received mental health care, the
reason for contact with services was seldom due to affective symptoms. Less than
20% had been in contact with specialist mental health services. CONCLUSION: High
rates of Depression NOS, early onset of depressive episodes, long duration, and
low use of specialized services point to the need for improved diagnostic
assessment and treatment for young individuals.
PMID- 21880128
TI - Prevalence and psychopathological characteristics of depression in consecutive
otorhinolaryngologic inpatients.
AB - BACKGROUND: High prevalence of depression has been reported in
otorhinolaryngologic patients (ORL). However, studies using a semi-structured
interview to determine the prevalence of depression in ORL are lacking. Therefore
the present study sought to determine the depression prevalence in ORL applying a
semi-structured diagnostic interview and to further characterize the
pathopsychological and demographic characteristics of depression in these
patients. METHODS: One-hundred inpatients of the otorhinolaryngologic department
of a German university hospital participated voluntarily (age M = 38.8 years, SD
= 13.9; 38.0% female). Depression was assessed using a clinical interview in
which the International Diagnostic Checklist for depression (IDCL) was applied.
Patients completed the Brief Symptom Inventory (BSI) which constitutes three
composite scores and nine symptom scales and the Beck Depression Inventory (BDI).
Multivariate analyses of variance, correlations and effect sizes were conducted.
RESULTS: A prevalence of depression of 21.0% was determined, 38.0% of the
depressed patients were female. Depressed patients showed higher scores on the
BSI-scales "interpersonal sensitivity", "depression", "anxiety", "phobic anxiety"
and "psychoticism" with medium effect sizes. CONCLUSIONS: High prevalence of
depression was found which is in accordance with results of prior studies.
Depressed patients showed higher psychological distress as compared to non
depressed patients. The results call for carrying on in engaging in depression
research and routine depression screening in ORL.
PMID- 21880129
TI - A novel reporter of notch signalling indicates regulated and random Notch
activation during vertebrate neurogenesis.
AB - BACKGROUND: Building the complex vertebrate nervous system involves the regulated
production of neurons and glia while maintaining a progenitor cell population.
Neurogenesis starts asynchronously in different regions of the embryo and occurs
over a long period of time, allowing progenitor cells to be exposed to multiple
extrinsic signals that regulate the production of different cell types. Notch
mediated cell-cell signalling is one of the mechanisms that maintain the
progenitor pool, however, little is known about how the timing of Notch
activation is related to the cell cycle and the distinct modes of cell division
that generate neurons. An essential tool with which to investigate the role of
Notch signalling on cell by cell basis is the development a faithful reporter of
Notch activity. RESULTS: Here we present a novel reporter for Notch activity
based on the promoter of the well characterised Notch target chick Hes5-1,
coupled with multiple elements that confer instability, including a destabilized
nuclear Venus fluorescent protein and the 3' untranslated region (UTR) of Hes5-1.
We demonstrate that this reporter faithfully recapitulates the endogenous
expression of Hes5-1 and that it robustly responds to Notch activation in the
chick neural tube. Analysis of the patterns of Notch activity revealed by this
reporter indicates that although Notch is most frequently activated prior to
mitosis it can be activated at any time within the cell cycle. Notch active
progenitors undergoing mitosis generate two daughters that both continue to
experience Notch signalling. However, cells lacking Notch activity before and
during mitosis generate daughters with dissimilar Notch activity profiles.
CONCLUSIONS: A novel Notch reporter with multiple destabilisation elements
provides a faithful read-out of endogenous Notch activity on a cell-by-cell
basis, as neural progenitors progress through the cell cycle in the chick neural
tube. Notch activity patterns in this cell population provide evidence for
distinct Notch signalling dynamics underlying different cell division modes and
for the involvement of random initiation of Notch signalling within the
neuroepithelium. These findings highlight the importance of single-cell analysis
in the study of the complexity of Notch activity and provide new insights into
the mechanisms underlying cell fate decisions in neural progenitors.
PMID- 21880130
TI - Influence of the oxide layer for growth of self-assisted InAs nanowires on
Si(111).
AB - The growth of self-assisted InAs nanowires (NWs) by molecular beam epitaxy (MBE)
on Si(111) is studied for different growth parameters and substrate preparations.
The thickness of the oxide layer present on the Si(111) surface is observed to
play a dominant role. Systematic use of different pre-treatment methods provides
information on the influence of the oxide on the NW morphology and growth rates,
which can be used for optimizing the growth conditions. We show that it is
possible to obtain 100% growth of vertical NWs and no parasitic bulk structures
between the NWs by optimizing the oxide thickness. For a growth temperature of
460 degrees C and a V/III ratio of 320 an optimum oxide thickness of 9 +/- 3 A is
found.
PMID- 21880131
TI - Direct association between pharyngeal viral secretion and host cytokine response
in severe pandemic influenza.
AB - BACKGROUND: Severe disease caused by 2009 pandemic influenza A/H1N1virus is
characterized by the presence of hypercytokinemia. The origin of the exacerbated
cytokine response is unclear. As observed previously, uncontrolled influenza
virus replication could strongly influence cytokine production. The objective of
the present study was to evaluate the relationship between host cytokine
responses and viral levels in pandemic influenza critically ill patients.
METHODS: Twenty three patients admitted to the ICU with primary viral pneumonia
were included in this study. A quantitative PCR based method targeting the M1
influenza gene was developed to quantify pharyngeal viral load. In addition, by
using a multiplex based assay, we systematically evaluated host cytokine
responses to the viral infection at admission to the ICU. Correlation studies
between cytokine levels and viral load were done by calculating the Spearman
correlation coefficient. RESULTS: Fifteen patients needed of intubation and
ventilation, while eight did not need of mechanical ventilation during ICU
hospitalization. Viral load in pharyngeal swabs was 300 fold higher in the group
of patients with the worst respiratory condition at admission to the ICU.
Pharyngeal viral load directly correlated with plasma levels of the pro
inflammatory cytokines IL-6, IL-12p70, IFN-gamma, the chemotactic factors MIP
1beta, GM-CSF, the angiogenic mediator VEGF and also of the immuno-modulatory
cytokine IL-1ra (p < 0.05). Correlation studies demonstrated also the existence
of a significant positive association between the levels of these mediators,
evidencing that they are simultaneously regulated in response to the virus.
CONCLUSIONS: Severe respiratory disease caused by the 2009 pandemic influenza
virus is characterized by the existence of a direct association between viral
replication and host cytokine response, revealing a potential pathogenic link
with the severe disease caused by other influenza subtypes such as H5N1.
PMID- 21880132
TI - Neoadjuvant capecitabine, radiotherapy, and bevacizumab (CRAB) in locally
advanced rectal cancer: results of an open-label phase II study.
AB - BACKGROUND: Preoperative capecitabine-based chemoradiation is a standard
treatment for locally advanced rectal cancer (LARC). Here, we explored the safety
and efficacy of the addition of bevacizumab to capecitabine and concurrent
radiotherapy for LARC. METHODS: Patients with MRI-confirmed stage II/III rectal
cancer received bevacizumab 5 mg/kg i.v. 2 weeks prior to neoadjuvant
chemoradiotherapy followed by bevacizumab 5 mg/kg on Days 1, 15 and 29,
capecitabine 825 mg/m2 twice daily on Days 1-38, and concurrent radiotherapy 50.4
Gy (1.8 Gy/day, 5 days/week for 5 weeks + three 1.8 Gy/day), starting on Day 1.
Total mesorectal excision was scheduled 6-8 weeks after completion of
chemoradiotherapy. Tumour regression grades (TRG) were evaluated on surgical
specimens according to Dworak. The primary endpoint was pathological complete
response (pCR). RESULTS: 61 patients were enrolled (median age 60 years [range 31
80], 64% male). Twelve patients (19.7%) had T3N0 tumours, 1 patient T2N1, 19
patients (31.1%) T3N1, 2 patients (3.3%) T2N2, 22 patients (36.1%) T3N2 and 5
patients (8.2%) T4N2. Median tumour distance from the anal verge was 6 cm (range
0-11). Grade 3 adverse events included dermatitis (n = 6, 9.8%), proteinuria (n =
4, 6.5%) and leucocytopenia (n = 3, 4.9%). Radical resection was achieved in 57
patients (95%), and 42 patients (70%) underwent sphincter-preserving surgery. TRG
4 (pCR) was recorded in 8 patients (13.3%) and TRG 3 in 9 patients (15.0%). T-, N
and overall downstaging rates were 45.2%, 73.8%, and 73.8%, respectively.
CONCLUSIONS: This study demonstrates the feasibility of preoperative
chemoradiotherapy with bevacizumab and capecitabine. The observed adverse events
of neoadjuvant treatment are comparable with those previously reported, but the
pCR rate was lower.
PMID- 21880133
TI - The frequency of microscopic and focal active colitis in patients with irritable
bowel syndrome.
AB - BACKGROUND: Irritable bowel syndrome (IBS) is a chronic functional bowel
disorder. The frequency of microscopic colitis and focal active colitis in the
colonic mucosa has been investigated in IBS patients. METHODS: Between June 2007
and September 2010, 378 patients (between 16 and 84 years) were recruited
prospectively. Of these 378 patients, 226 patients were diagnosed with IBS using
the Rome III criteria. 152 control patients were also enrolled who were
undergoing colonoscopy for colorectal cancer screening or investigation of
anemia. Histopathological abnormalities identified during colonoscopy were
compared between the IBS and control groups. RESULTS: The average age of the IBS
group was 46.13 +/- 14.16 years and and the average age of the control group was
57.01 +/- 13.07 years. The prevalence of microscopic colitis (MC) in the diarrhea
predominant and the mixed subgroup of IBS patients was 4.32% (7/162) whereas in
all IBS patients, the prevalence was 3.09% (7/226). MC was not found in the 152
control cases, (p = 0.045). Lymphocytic colitis was seen in 7 IBS patients, with
1 case in the mixed group and 6 cases in the diarrhea group and there was a
significant difference in the frequency of lymphocytic colitis between the IBS
subgroups (p < 0.01). Focal active colitis was found in 6.6% (15/226) of the IBS
patients and in none of the controls (p < 0.01), and there was no differences
between IBS subtypes. CONCLUSION: Microscopic colitis was more often found in the
diarrhea predominant/mixed subgroups of IBS patients and in patients who were
older women. In patients who are older woman with non-constipated IBS, it may be
reasonable to perform a biopsy to screen for microscopic colitis. Focal active
colitis was significantly increased in patients with IBS compared to controls.
PMID- 21880134
TI - Constitutive expression of cathepsin K in the human intervertebral disc: new
insight into disc extracellular matrix remodeling via cathepsin K and receptor
activator of nuclear factor-kappaB ligand.
AB - INTRODUCTION: Cathepsin K is a recently discovered cysteine protease which
cleaves the triple helical domains of type I to II collagen. It has been shown to
be up-regulated in synovial tissue from osteoarthritic and rheumatoid patients,
and is a component in normal and nonarthritic cartilage, where it increases with
aging. Studies on heart valve development have recently shown that receptor
activator of nuclear factor-kappaB ligand (RANKL) acts during valve remodeling to
promote cathepsin K expression. Since extracellular matrix remodeling is a
critical component of disc structure and biomechanical function, we hypothesized
that cathepsin K and RANKL may be present in the human intervertebral disc.
METHODS: Studies were performed following approval of the authors' Human Subjects
Institutional Review Board. Six annulus specimens from healthier Thompson grade I
to II discs, and 12 specimens from more degenerate grade III to IV discs were
utilized in microarray analysis of RANKL and cathepsin K gene expression.
Immunohistochemistry was also performed on 15 additional disc specimens to assess
the presence of RANKL and cathepsin K. RESULTS: Cathepsin K gene expression was
significantly greater in more degenerated grade III to IV discs compared to
healthier grade I to II discs (P = 0.001). RANKL was also identified with
immunohistochemistry and molecular analyses. RANKL gene expression was also
significantly greater in more degenerated discs compared to healthier ones (P =
0.0001). A significant linear positive correlation was identified between
expression of cathepsin K and RANKL (r(2) = 92.2; P < 0.0001). CONCLUSIONS:
Extracellular matrix remodeling is a key element of disc biology. Our use of an
appropriate antibody and gene expression studies showed that cathepsin K is
indeed present in the human intervertebral disc. Immunolocalization and molecular
analyses also confirmed that RANKL is present in the human disc. Expression of
RANKL was found to be significantly greater in more degenerated compared to
healthier discs (P = 0.0001). Cathepsin K gene expression levels showed a
positive, significant correlation with RANKL expression. Based on these data, we
propose that cathepsin K plays a significant role in disc matrix remodeling and
in matrix degradation in the proinflammatory cytokine-rich microenvironment of
the degenerating disc.
PMID- 21880135
TI - Repression of GW/P body components and the RNAi microprocessor impacts primary
ciliogenesis in human astrocytes.
AB - BACKGROUND: In most cells, the centriolar component of the centrosome can
function as a basal body supporting the formation of a primary cilium, a non
motile sensory organelle that monitors information from the extracellular matrix
and relays stimuli into the cell via associated signaling pathways. Defects in
the formation and function of primary cilia underlie multiple human diseases and
are hallmarks of malignancy. The RNA silencing pathway is involved in the post
transcriptional silencing of > 50% of mRNA that occurs within GW/P bodies. GW/P
bodies are found throughout the cytoplasm and previously published live cell
imaging data suggested that in a malignant cell type (U2OS), two GW/P bodies
reside at the centrosome during interphase. This led us to investigate if a
similar relationship exists in primary cells and if the inhibition of the miRNA
pathway impairs primary cilium formation. RESULTS: Two GW/P bodies as marked by
GW182 and hAgo2 colocalized to the basal body of primary human astrocytes as well
as human synoviocytes during interphase and specifically with the distal end of
the basal body in the pericentriolar region. Since it is technically challenging
to examine the two centrosomal GW/P bodies in isolation, we investigated the
potential relationship between the global population of GW/P bodies and primary
ciliogenesis. Astrocytes were transfected with siRNA directed to GW182 and hAgo2
and unlike control astrocytes, a primary cilium was no longer associated with the
centrosome as detected in indirect immunofluorescence assays. Ultrastructural
analysis of siRNA transfected astrocytes revealed that knock down of GW182,
hAgo2, Drosha and DGCR8 mRNA did not affect the appearance of the earliest stage
of ciliogenesis but did prevent the formation and elongation of the ciliary
axoneme. CONCLUSIONS: This study confirms and extends a previously published
report that GW/P bodies reside at the centrosome in U2OS cells and documents that
GW/P bodies are resident at the centrosome in diverse non-malignant cells.
Further, our study demonstrates that repression of key effector proteins in the
post-transcriptional miRNA pathway impairs primary cilium formation.
PMID- 21880136
TI - The equity dimension in evaluations of the quality and outcomes framework: a
systematic review.
AB - BACKGROUND: Pay-for-performance systems raise concerns regarding inequity in
health care because providers might select patients for whom targets can easily
be reached. This paper aims to describe the evolution of pre-existing (in)equity
in health care in the period after the introduction of the Quality and Outcomes
Framework (QOF) in the UK and to describe (in)equities in exception reporting. In
this evaluation, a theory-based framework conceptualising equity in terms of
equal access, equal treatment and equal treatment outcomes for people in equal
need is used to guide the work. METHODS: A systematic MEDLINE and Econlit search
identified 317 studies. Of these, 290 were excluded because they were not related
to the evaluation of QOF, they lacked an equity dimension in the evaluation,
their qualitative research focused on experiences or on the nature of the
consultation, or unsuitable methodology was used to pronounce upon equity after
the introduction of QOF. RESULTS: None of the publications (n = 27) assessed
equity in access to health care. Concerning equity in treatment and
(intermediate) treatment outcomes, overall quality scores generally improved. For
the majority of the observed indicators, all citizens benefit from this
improvement, yet the extent to which different patient groups benefit tends to
vary and to be highly dependent on the type and complexity of the indicator(s)
under study, the observed patient group(s) and the characteristics of the study.
In general, the introduction of QOF was favourable for the aged and for males.
Total QOF scores did not seem to vary according to ethnicity. For deprivation,
small but significant residual differences were observed after the introduction
of QOF favouring less deprived groups. These differences are mainly due to
differences at the practice level. The variance in exception reporting according
to gender and socio-economic position is low. CONCLUSIONS: Although QOF seems not
to be socially selective at first glance, this does not mean QOF does not
contribute to the inverse care law. Introducing different targets for specific
patient groups and including appropriate, non-disease specific and patient
centred indicators that grasp the complexity of primary care might refine the
equity dimension of the evaluation of QOF. Also, information on the actual uptake
of care, information at the patient level and monitoring of individuals' health
care utilisation tracks could make large contributions to an in-depth evaluation.
Finally, evaluating pay-for-quality initiatives in a broader health systems
impact assessment strategy with equity as a full assessment criterion is of
utmost importance.
PMID- 21880137
TI - Epithelial-Mesenchymal Transition in tumor microenvironment.
AB - The epithelial to mesenchymal transition (EMT) plays crucial roles in the
formation of the body plan and also in the tumor invasion process. In addition,
EMT also causes disruption of cell-cell adherence, loss of apico-basal polarity,
matrix remodeling, increased motility and invasiveness in promoting tumor
metastasis. The tumor microenvironment plays an important role in facilitating
cancer metastasis and may induce the occurrence of EMT in tumor cells. A large
number of inflammatory cells infiltrating the tumor site, as well as hypoxia
existing in a large area of tumor, in addition many stem cells present in tumor
microenvironment, such as cancer stem cells (CSCs), mesenchymal stem cells
(MSCs), all of these may be the inducers of EMT in tumor cells. The signaling
pathways involved in EMT are various, including TGF-beta, NF-kappaB, Wnt, Notch,
and others. In this review, we discuss the current knowledge about the role of
the tumor microenvironment in EMT and the related signaling pathways as well as
the interaction between them.
PMID- 21880138
TI - A review of mixed malaria species infections in anopheline mosquitoes.
AB - BACKGROUND: In patients with malaria mixed species infections are common and
under reported. In PCR studies conducted in Asia mixed infection rates often
exceed 20%. In South-East Asia, approximately one third of patients treated for
falciparum malaria experience a subsequent Plasmodium vivax infection with a time
interval suggesting relapse. It is uncertain whether the two infections are
acquired simultaneously or separately. To determine whether mixed species
infections in humans are derived from mainly from simultaneous or separate
mosquito inoculations the literature on malaria species infection in wild
captured anopheline mosquitoes was reviewed. METHODS: The biomedical literature
was searched for studies of malaria infection and species identification in
trapped wild mosquitoes and artificially infected mosquitoes. The study location
and year, collection methods, mosquito species, number of specimens, parasite
stage examined (oocysts or sporozoites), and the methods of parasite detection
and speciation were tabulated. The entomological results in South East Asia were
compared with mixed infection rates documented in patients in clinical studies.
RESULTS: In total 63 studies were identified. Individual anopheline mosquitoes
were examined for different malaria species in 28 of these. There were 14 studies
from Africa; four with species evaluations in individual captured mosquitoes
(SEICM). One study, from Ghana, identified a single mixed infection. No mixed
infections were identified in Central and South America (seven studies, two
SEICM). 42 studies were conducted in Asia and Oceania (11 from Thailand; 27
SEICM). The proportion of anophelines infected with Plasmodium falciparum
parasites only was 0.51% (95% CI: 0.44 to 0.57%), for P. vivax only was 0.26%
(95% CI: 0.21 to 0.30%), and for mixed P. falciparum and P. vivax infections was
0.036% (95% CI: 0.016 to 0.056%). The proportion of mixed infections in
mosquitoes was significantly higher than expected by chance (P < 0.001), but was
one fifth of that sufficient to explain the high rates of clinical mixed
infections by simultaneous inoculation. CONCLUSIONS: There are relatively few
data on mixed infection rates in mosquitoes from Africa. Mixed species malaria
infections may be acquired by simultaneous inoculation of sporozoites from
multiply infected anopheline mosquitoes but this is relatively unusual. In South
East Asia, where P. vivax infection follows P. falciparum malaria in one third of
cases, the available entomological information suggests that the majority of
these mixed species malaria infections are acquired from separate inoculations.
PMID- 21880140
TI - Correlation between antibutyrylcholinesterasic and antioxidant activities of
three aqueous extracts from Tunisian Rhus pentaphyllum.
AB - For centuries, plants have been used in traditional medicines and there has been
recent interest in the chemopreventive properties of compounds derived from
plants. In the present study, we investigated the antibutyrylcholinestrasic (anti
BuChE) and antioxidant (against some free radicals) activities of extracts from
Rhus pentaphyllum. Aqueous extracts were prepared from powdered R. pentaphyllum
roots, leaves and seeds and characterized for the presence of tannins, flavonoids
and coumarins. Seeds aqueous extract contained the highest quantities of both
flavonoids and tannins (21.12% and 17.45% respectively). In the same way, seeds
extracts displayed remarkable inhibition against BuChE over 95%, at 100 MUg/ml
and with IC50 0.74 MUg/ml. In addition, compared to leaves and roots extracts,
seeds aqueous extract revealed relatively strong antiradical activity towards the
ABTS.+ (IC50 = 0.25 MUg/ml) and DPPH (IC50 = 2.71 MUg/ml) free radicals and
decreased significantly the reactive oxygen species such O2.- (IC50 = 2.9 MUg/ml)
formation evaluated by the non-enzymatic generating O2.- system (Nitroblue
tetrazolium/riboflavine). These data suggest that the anti-BuChE activities
mechanism of these extracts occurs through a free radical scavenging
capacities.The present study indicates that extracts of Rhus pentaphyllum leaves,
seeds and roots are a significant source of compounds, such as tannins,
flavonoids and coumarins, with anti-BuChE and antioxidant activities, and thus
may be useful for chemoprevention.
PMID- 21880139
TI - Evidence for maintenance of sex determinants but not of sexual stages in red
yeasts, a group of early diverged basidiomycetes.
AB - BACKGROUND: The red yeasts are an early diverged group of basidiomycetes
comprising sexual and asexual species. Sexuality is based on two compatible
mating types and sexual identity is determined by MAT loci that encode
homeodomain transcription factors, peptide pheromones and their receptors. The
objective of the present study was to investigate the presence and integrity of
MAT genes throughout the phylogenetic diversity of red yeasts belonging to the
order Sporidiobolales. RESULTS: We surveyed 18 sexual heterothallic and self
fertile species and 16 asexual species. Functional pheromone receptor homologues
(STE3.A1 and STE3.A2) were found in multiple isolates of most of the sexual and
asexual species. For each of the two mating types, sequence comparisons with
whole-genome data indicated that synteny tended to be conserved along the
pheromone receptor region. For the homeodomain transcription factor, likelihood
methods suggested that diversifying selection acting on the self/non-self
recognition region promotes diversity in sexual species, while rapid evolution
seems to be due to relaxed selection in asexual strains. CONCLUSIONS: The
majority of both sexual and asexual species of red yeasts have functional
pheromone receptors and homeodomain homologues. This and the frequent existence
of asexual strains within sexual species, makes the separation between sexual and
asexual species imprecise. Events of loss of sexuality seem to be recent and
frequent, but not uniformly distributed within the Sporidiobolales. Loss of sex
could promote speciation by fostering the emergence of asexual lineages from an
ancestral sexual stock, but does not seem to contribute to the generation of
exclusively asexual lineages that persist for a long time.
PMID- 21880141
TI - How is the New Public Management applied in the occupational health care system?
decision-makers' and OH personnel's views in Finland.
AB - BACKGROUND: In many countries occupational health care system is in change.
Occupational health studies are mainly focused on occupational health substance
and content. This study offers new perspectives on municipal OHS and its
operations from management perspective. AIM: The aim of this study is to analyse
how New Public Management (NPM) doctrines are applied in the Finnish occupational
health care system (OHS). The main focus is to describe and compare the views of
decision-makers' and OH workers within the framework of NPM. METHODS: The data
were collected by semi-structured interviews from 17 municipal decision-makers'
and 26 municipal OH workers. Data was analyzed by examining coded data in a
theory-driven way according to Hood's doctrine of NPM. RESULTS: The doctrines
were not as compatible with the OH personnel view as with the decision-makers'
view. Decision-makers and OH personnel highlighted the strict criteria required
for operation evaluation. Moreover, decision-makers strongly accentuated
professional management in the public sector and the reorganization of public
sector units. These were not equally relevant in OH personnel views. In OH
personnel views, other doctrines (more attention to performance and
accomplishments, emphasizing and augmentation of the competition and better
control of public expense and means test) were not similarly in evidence, only
weak evidence was observed when their importance viewed as medium by decision
makers. Neither of the respondents group kept the doctrine of management models
of the private sector relevant. CONCLUSIONS: The NPM and Hoods doctrine fitted
well with OH research. The doctrine brought out view differences and similarities
between decision-makers and OH personnel. For example, policymakers highlighted
more strongly the structural change by emphasizing professional management
compared to OH personnel. The need for reorganization of municipal OH, regardless
of different operational preconditions, was obvious for both decision-makers and
OH personnel. The adaptation of more clarify management to a municipal context is
not trouble-free. The municipality systemic structure, complex operational
environment, and reconciliation of political and officer authority set challenges
to management of municipalities.
PMID- 21880142
TI - G-patch domain and KOW motifs-containing protein, GPKOW; a nuclear RNA-binding
protein regulated by protein kinase A.
AB - BACKGROUND: Post-transcriptional processing of pre-mRNA takes place in several
steps and requires involvement of a number of RNA-binding proteins. How pre-mRNA
processing is regulated is in large enigmatic. The catalytic (C) subunit of
protein kinase A (PKA) is a serine/threonine kinase, which regulates numerous
cellular processes including pre-mRNA splicing. Despite that a significant
fraction of the C subunit is found in splicing factor compartments in the
nucleus, there are no indications of a direct interaction between RNA and PKA.
Based on this we speculate if the specificity of the C subunit in regulating pre
mRNA splicing may be mediated indirectly through other nuclear proteins. RESULTS:
Using yeast two-hybrid screening with the PKA C subunit Cbeta2 as bait, we
identified the G-patch domain and KOW motifs-containing protein (GPKOW), also
known as the T54 protein or MOS2 homolog, as an interaction partner for Cbeta2.
We demonstrate that GPKOW, which contains one G-patch domain and two KOW motifs,
is a nuclear RNA-binding protein conserved between species. GPKOW contains two
sites that are phosphorylated by PKA in vitro. By RNA immunoprecipitation and
site directed mutagenesis of the PKA phosphorylation sites we revealed that GPKOW
binds RNA in vivo in a PKA sensitive fashion. CONCLUSION: GPKOW is a RNA-binding
protein that binds RNA in a PKA regulated fashion. Together with our previous
results demonstrating that PKA regulates pre-mRNA splicing, our results suggest
that PKA phosphorylation is involved in regulating RNA processing at several
steps.
PMID- 21880143
TI - Efficacy of long-lasting insecticidal nets in use in Macha, Zambia, against the
local Anopheles arabiensis population.
AB - BACKGROUND: The mosquito Anopheles arabiensis is the primary vector of Plasmodium
falciparum in Macha, Zambia. A major portion of Zambia's current malaria control
programme relies on long-lasting insecticide-treated nets (LLINs) and indoor
residual spraying (IRS) with insecticides. Currently, the efficacy of these
measures against An. arabiensis in Macha is unknown, and previous data has shown
that An. arabiensis has continued to feed on human hosts, despite high ITN
coverage. It is possible that this could be due to either decreased efficacy of
ITNs in used in Macha, or pyrethroid resistance in the vector. METHODS: F1
offspring of field-collected adult An. arabiensis were tested for insecticide
resistance, using CDC bottle bioassays and deltamethrin ITN susceptibility
assays. The mosquitoes were characterized for the knock-down resistance (kdr)
allele by PCR. LLINs that had been in use for two years in nearby villages were
collected and tested for residual deltamethrin concentration and net quality, and
were used in bioassays against susceptible colonized Anopheles gambiae s.s.
Keele. Additionally, a survey on ITN use and care was conducted among LLIN
owners. RESULTS: In the F1 An. arabiensis field population, low levels of
resistance to DDT and deltamethrin-treated net material were detected by
bioassay, although the knock-down resistance (kdr) allele not present in the
population. ITN evaluations revealed high variability in residual deltamethrin
concentration, quality of the nets, and mosquito mortality in bioassays.
Mortality against An. gambiae s.s. in bioassays was correlated with residual
deltamethrin concentration, which was dependent upon the number of washes each
net had received. CONCLUSIONS: Proper LLIN care was a strong determinant of LLIN
efficacy, indicating that education on the importance of LLIN use and care is key
when distributing nets. As there is little insecticide resistance in the local
vector population, degradation of LLINs most likely allowed for continued human
feeding by An. arabiensis. Continued monitoring and assessment of both the vector
population and the efficacy of LLINs in use is necessary in order to
appropriately modify vector control operations and prevent the development of
pyrethroid resistance.
PMID- 21880144
TI - Lysis-deficient phages as novel therapeutic agents for controlling bacterial
infection.
AB - BACKGROUND: Interest in phage therapy has grown over the past decade due to the
rapid emergence of antibiotic resistance in bacterial pathogens. However, the use
of bacteriophages for therapeutic purposes has raised concerns over the potential
for immune response, rapid toxin release by the lytic action of phages, and
difficulty in dose determination in clinical situations. A phage that kills the
target cell but is incapable of host cell lysis would alleviate these concerns
without compromising efficacy. RESULTS: We developed a recombinant lysis
deficient Staphylococcus aureus phage P954, in which the endolysin gene was
rendered nonfunctional by insertional inactivation. P954, a temperate phage, was
lysogenized in S. aureus strain RN4220. The native endolysin gene on the prophage
was replaced with an endolysin gene disrupted by the chloramphenicol acetyl
transferase (cat) gene through homologous recombination using a plasmid
construct. Lysogens carrying the recombinant phage were detected by growth in
presence of chloramphenicol. Induction of the recombinant prophage did not result
in host cell lysis, and the phage progeny were released by cell lysis with glass
beads. The recombinant phage retained the endolysin-deficient genotype and formed
plaques only when endolysin was supplemented. The host range of the recombinant
phage was the same as that of the parent phage. To test the in vivo efficacy of
the recombinant endolysin-deficient phage, immunocompromised mice were challenged
with pathogenic S. aureus at a dose that results in 80% mortality (LD80).
Treatment with the endolysin-deficient phage rescued mice from the fatal S.
aureus infection. CONCLUSIONS: A recombinant endolysin-deficient staphylococcal
phage has been developed that is lethal to methicillin-resistant S. aureus
without causing bacterial cell lysis. The phage was able to multiply in lytic
mode utilizing a heterologous endolysin expressed from a plasmid in the
propagation host. The recombinant phage effectively rescued mice from fatal S.
aureus infection. To our knowledge this is the first report of a lysis-deficient
staphylococcal phage.
PMID- 21880145
TI - Predictors of surgical site infections among patients undergoing major surgery at
Bugando Medical Centre in Northwestern Tanzania.
AB - BACKGROUND: Surgical site infection (SSI) continues to be a major source of
morbidity and mortality in developing countries despite recent advances in
aseptic techniques. There is no baseline information regarding SSI in our setting
therefore it was necessary to conduct this study to establish the prevalence,
pattern and predictors of surgical site infection at Bugando Medical Centre
Mwanza (BMC), Tanzania. METHODS: This was a cross-sectional prospective study
involving all patients who underwent major surgery in surgical wards between July
2009 and March 2010. After informed written consent for the study and HIV
testing, all patients who met inclusion criteria were consecutively enrolled into
the study. Pre-operative, intra-operative and post operative data were collected
using standardized data collection form. Wound specimens were collected and
processed as per standard operative procedures; and susceptibility testing was
done using disc diffusion technique. Data were analyzed using SPSS software
version 15 and STATA. RESULTS: Surgical site infection (SSI) was detected in 65
(26.0%) patients, of whom 56 (86.2%) and 9 (13.8%) had superficial and deep SSI
respectively. Among 65 patients with clinical SSI, 56(86.2%) had positive aerobic
culture. Staphylococcus aureus was the predominant organism 16/56 (28.6%); of
which 3/16 (18.8%) were MRSA. This was followed by Escherichia coli 14/56 (25%)
and Klebsiella pneumoniae 10/56 (17.9%). Among the Escherichia coli and
Klebsiella pneumoniae isolates 9(64.3%) and 8(80%) were ESBL producers
respectively. A total of 37/250 (14.8%) patients were HIV positive with a mean
CD4 count of 296 cells/ml. Using multivariate logistic regression analysis,
presence of pre-morbid illness (OR = 6.1), use of drain (OR = 15.3), use of
iodine alone in skin preparation (OR = 17.6), duration of operation >= 3 hours
(OR = 3.2) and cigarette smoking (OR = 9.6) significantly predicted surgical site
infection (SSI) CONCLUSION: SSI is common among patients admitted in surgical
wards at BMC and pre-morbid illness, use of drain, iodine alone in skin
preparation, prolonged duration of the operation and cigarette smoking were found
to predict SSI. Prevention strategies focusing on factors associated with SSI is
necessary in order to reduce the rate of SSI in our setting.
PMID- 21880146
TI - Mesothelin confers pancreatic cancer cell resistance to TNF-alpha-induced
apoptosis through Akt/PI3K/NF-kappaB activation and IL-6/Mcl-1 overexpression.
AB - BACKGROUND: Previous studies showed that mesothelin (MSLN) plays important roles
in survival of pancreatic cancer (PC) cells under anchorage dependent/independent
conditions as well as resistance to chemotherapy. The recent success of
intratumorally-injected adeno-encoded, chemo/radiation-inducible-promoter driven
hTNF-alpha, (TNFerade) + gemcitabine in pre-clinical models of PC have renewed
interest in use of TNF-alpha as a therapeutic component. To help find additional
factors which might affect the therapy, we examined the resistance of MSLN
overexpressing pancreatic cancer cell lines to TNF-alpha-induced growth
inhibition/apoptosis. METHODS: Stable MSLN overexpressing MIA PaCa-2 cells (MIA
MSLN), stable MSLN-silenced AsPC-1 cells (AsPC-shMSLN) and other pancreatic cells
(MIA-PaCa2, Panc 28, Capan-1, BxPC3, PL 45, Hs 766T, AsPC-1, Capan-2, Panc 48)
were used. NF-kappaB activation was examined by western blots and luciferase
reporter assay. TNF-alpha induced growth inhibition/apoptosis was measured by
MTT, TUNEL assay and caspase activation. IL-6 was measured using luminex based
assay. RESULTS: Compared to low endogenous MSLN-expressing MIA PaCa-2 and Panc 28
cells, high endogenous MSLN-expressing Capan-1, BxPC3, PL 45, Hs 766T, AsPC-1,
Capan-2, Panc 48 cells were resistant to TNF-alpha induced growth inhibition.
Stable MSLN overexpressing MIA-PaCa2 cells (MIA-MSLN) were resistant to TNF-alpha
induced apoptosis while stable MSLN-silenced AsPC1 cells (AsPC-shMSLN) were
sensitive. Interestingly, TNF-alpha-treated MIA-MSLN cells showed increased cell
cycle progression and cyclin A induction, both of which were reversed by caspase
inhibition. We further found that MIA-MSLN cells showed increased expression of
anti-apoptotic Bcl-XL and Mcl-1; deactivated (p-Ser75) BAD, and activated (p
Ser70) Bcl-2. Constitutively activated NF-kappaB and Akt were evident in MIA-MSLN
cells that could be suppressed by MSLN siRNA with a resultant increase in
sensitivity of TNF-alpha induced apoptosis. Blocking NF-kappaB using IKK
inhibitor wedelolactone also increased sensitivity to TNF-alpha-mediated
cytotoxicity with concomitant decrease in Mcl-1. Blocking Akt using PI3K
inhibitor also had a likewise effect presumably affecting cell cycle. MIA-MSLN
cells produced increased IL-6 and were increased furthermore by TNF-alpha
treatment. SiRNA-silencing of IL-6 increased TNF-alpha sensitivity of MIA-MSLN
cells. CONCLUSIONS: Our study delineates a MSLN-Akt-NF-kappaB-IL-6-Mcl-1 survival
axis that may be operative in PC cells, and might help cancer cells' survival in
the highly inflammatory milieu evident in PC. Further, for the success of
TNFerade + gemcitabine to be successful, we feel the simultaneous inhibition of
components of this axis is also essential.
PMID- 21880147
TI - An integrative approach to ortholog prediction for disease-focused and other
functional studies.
AB - BACKGROUND: Mapping of orthologous genes among species serves an important role
in functional genomics by allowing researchers to develop hypotheses about gene
function in one species based on what is known about the functions of orthologs
in other species. Several tools for predicting orthologous gene relationships are
available. However, these tools can give different results and identification of
predicted orthologs is not always straightforward. RESULTS: We report a simple
but effective tool, the Drosophila RNAi Screening Center Integrative Ortholog
Prediction Tool (DIOPT; http://www.flyrnai.org/diopt), for rapid identification
of orthologs. DIOPT integrates existing approaches, facilitating rapid
identification of orthologs among human, mouse, zebrafish, C. elegans,
Drosophila, and S. cerevisiae. As compared to individual tools, DIOPT shows
increased sensitivity with only a modest decrease in specificity. Moreover, the
flexibility built into the DIOPT graphical user interface allows researchers with
different goals to appropriately 'cast a wide net' or limit results to highest
confidence predictions. DIOPT also displays protein and domain alignments,
including percent amino acid identity, for predicted ortholog pairs. This helps
users identify the most appropriate matches among multiple possible orthologs. To
facilitate using model organisms for functional analysis of human disease
associated genes, we used DIOPT to predict high-confidence orthologs of disease
genes in Online Mendelian Inheritance in Man (OMIM) and genes in genome-wide
association study (GWAS) data sets. The results are accessible through the DIOPT
diseases and traits query tool (DIOPT-DIST; http://www.flyrnai.org/diopt-dist).
CONCLUSIONS: DIOPT and DIOPT-DIST are useful resources for researchers working
with model organisms, especially those who are interested in exploiting model
organisms such as Drosophila to study the functions of human disease genes.
PMID- 21880148
TI - Integrating transcriptomics and metabonomics to unravel modes-of-action of
2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD) in HepG2 cells.
AB - BACKGROUND: The integration of different 'omics' technologies has already been
shown in several in vivo studies to offer a complementary insight into cellular
responses to toxic challenges. Being interested in developing in vitro cellular
models as alternative to animal-based toxicity assays, we hypothesize that
combining transcriptomics and metabonomics data improves the understanding of
molecular mechanisms underlying the effects caused by a toxic compound also in
vitro in human cells. To test this hypothesis, and with the focus on non
genotoxic carcinogenesis as an endpoint of toxicity, in the present study, the
human hepatocarcinoma cell line HepG2 was exposed to the well-known environmental
carcinogen 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD). RESULTS: Transcriptomics
as well as metabonomics analyses demonstrated changes in TCDD-exposed HepG2 in
common metabolic processes, e.g. amino acid metabolism, of which some of the
changes only being confirmed if both 'omics' were integrated. In particular, this
integrated analysis identified unique pathway maps involved in receptor-mediated
mechanisms, such as the G-protein coupled receptor protein (GPCR) signaling
pathway maps, in which the significantly up-regulated gene son of sevenless 1
(SOS1) seems to play an important role. SOS1 is an activator of several members
of the RAS superfamily, a group of small GTPases known for their role in
carcinogenesis. CONCLUSIONS: The results presented here were not only comparable
with other in vitro studies but also with in vivo studies. Moreover, new insights
on the molecular responses caused by TCDD exposure were gained by the cross-omics
analysis.
PMID- 21880150
TI - HYPEST study: profile of hypertensive patients in Estonia.
AB - BACKGROUND: More than one third of adult population in Estonia has problems with
elevated blood pressure (BP). The Hypertension in Estonia (HYPEST) study
represents the country's first hypertension-targeted sample collection aiming to
examine the epidemiological and genetic determinants for hypertension (HTN) and
related cardiovascular diseases (CVD) in Estonian population. The HYPEST subjects
(n = 1,966) were recruited across Estonia between 2004-2007 including clinically
diagnosed HTN cases and population-based controls. The present report is focused
on the clinical and epidemiological profile of HYPEST cases, and gender-specific
effects on the pathophysiology of hypertension. METHODS: Current analysis was
performed on 1,007 clinically diagnosed HTN patients (617 women and 390 men) aged
18-85 years. The hypertensives were recruited to the study by BP specialists at
the North Estonia Medical Center, Centre of Cardiology, Tallinn or at the
Cardiology Clinic, Tartu University Hospital, Estonia. Longitudinal BP data was
extracted retrospectively from clinical records. Current and retrospective data
of patient's medical history, medication intake and lifestyle habits were derived
from self-administrated questionnaire and each variable was examined separately
for men and women. Eleven biochemical parameters were measured from fasting serum
samples of 756 patients. RESULTS: The distribution of recruited men and women was
39% and 61% respectively. Majority of Estonian HTN patients (85%) were overweight
(BMI >= 25 kg/m2) and a total of 79% of patients had additional complications
with cardiovascular system. In men, the hypertension started almost 5 years
earlier than in women (40.5 +/- 14.5 vs 46.1 +/- 12.7 years), which led to
earlier age of first myocardial infarction (MI) and overall higher incidence rate
of MI among male patients (men 21.2%, women 8.9%, P < 0.0001). Heart arrhythmia,
thyroid diseases, renal tubulo-intestinal diseases and hyperlipidemia were more
prevalent in hypertensive women compared to men (P < 0.0001). An earlier age of
HTN onset was significantly associated with smoking (P = 0.00007), obesity (BMI
>= 30 kg/m2; P = 0.0003), increased stress (P = 0.0003) and alcohol consumption
(P = 0.004). CONCLUSION: Understanding the clinical profile of HTN patients
contributes to CVD management. Estonian hypertension patients exhibited different
disease and risk profiles of male and female patients. This well-characterized
sample set provides a good resource for studying hypertension and other
cardiovascular phenotypes.
PMID- 21880149
TI - Arx and Nkx2.2 compound deficiency redirects pancreatic alpha- and beta-cell
differentiation to a somatostatin/ghrelin co-expressing cell lineage.
AB - BACKGROUND: Nkx2.2 and Arx represent key transcription factors implicated in the
specification of islet cell subtypes during pancreas development. Mice deficient
for Arx do not develop any alpha-cells whereas beta- and delta-cells are found in
considerably higher numbers. In Nkx2.2 mutant animals, alpha- and beta-cell
development is severely impaired whereas a ghrelin-expressing cell population is
found augmented.Notably, Arx transcription is clearly enhanced in Nkx2.2
deficient pancreata. Hence in order to precise the functional link between both
factors we performed a comparative analysis of Nkx2.2/Arx single- and double
mutants but also of Pax6-deficient animals. RESULTS: We show that most of the
ghrelin+ cells emerging in pancreata of Nkx2.2- and Pax6-deficient mice, express
the alpha-cell specifier Arx, but also additional beta-cell related genes. In
Nkx2.2-deficient mice, Arx directly co-localizes with iAPP, PC1/3 and Pdx1
suggesting an Nkx2.2-dependent control of Arx in committed beta-cells. The
combined loss of Nkx2.2 and Arx likewise results in the formation of a
hyperplastic ghrelin+ cell population at the expense of mature alpha- and beta
cells. Surprisingly, such Nkx2.2-/-Arx- ghrelin+ cells also express the
somatostatin hormone. CONCLUSIONS: Our data indicate that Nkx2.2 acts by
reinforcing the transcriptional networks initiated by Pax4 and Arx in early
committed beta- and alpha-cell, respectively. Our analysis also suggests that one
of the coupled functions of Nkx2.2 and Pax4 is to counteract Arx gene activity in
early committed beta-cells.
PMID- 21880151
TI - Stability and change in health behaviours as predictors for disability pension: a
prospective cohort study of Swedish twins.
AB - BACKGROUND: Stability or changes of health behaviours have not been studied in
association with incidence of disability pension (DP). The aims were to (1)
investigate if stability or changes in health behaviours predict DP due to
musculoskeletal diagnosis (MSD), (2) to evaluate if an association exists for DP
in general, and (3) after taking familial confounding into account. METHODS: The
study sample was 16,713 like-sexed twin individuals born in Sweden between 1935
1958 (6195 complete twin pairs) who had participated in two surveys 25 years
apart, were alive, and not pensioned at the time of the latest survey. Cox
proportional hazards analysis was used to assess the associations (hazard ratios
(HR) with 95% confidence intervals (CI)) between stability and change in health
behaviours (physical activity, tobacco and alcohol use, body mass index (BMI)),
and number of pain locations collected at two time points 25 years apart and the
incidence of DP until 2008. RESULTS: During the follow-up, 1843 (11%) individuals
were granted DP with 747 of these due to MSD. A higher proportion of women were
granted DP than men. Increase in BMI and stable use of tobacco products were
predictors for DP due to MSD (HR 1.21-1.48) and DP in general (HR 1.10-1.41). The
stability in the frequency of physical activity and increased frequency of
physical activity were protective factors for DP due to MSD only when accounting
for familial confounding. However, the number of pain locations (stability,
increase, or decrease) was the strongest predictor for future DP due to MSD (HR
3.69, CI 2.99-4.56) and DP in general (HR 2.15, CI 1.92-2.42). In discordant pair
analysis, the HRs for pain were lower, indicating potential familial confounding.
CONCLUSIONS: Health behaviours in adulthood, including an increase in pain
locations were associated with the incidence of DP. The association between
physical activity and DP was especially related to adulthood choices or habits,
i.e., the individual decision about frequency of exercising. Thus, it is
important to e.g. increase public awareness of the potential beneficial effects
of exercise throughout life to avoid permanent exclusion from the labour market
for medical reasons.
PMID- 21880152
TI - A eukaryotic-type signalling system of Pseudomonas aeruginosa contributes to
oxidative stress resistance, intracellular survival and virulence.
AB - BACKGROUND: The genome of Pseudomonas aeruginosa contains at least three genes
encoding eukaryotic-type Ser/Thr protein kinases, one of which, ppkA, has been
implicated in P. aeruginosa virulence. Together with the adjacent pppA
phosphatase gene, they belong to the type VI secretion system (H1-T6SS) locus,
which is important for bacterial pathogenesis. To determine the biological
function of this protein pair, we prepared a pppA-ppkA double mutant and
characterised its phenotype and transcriptomic profiles. RESULTS: Phenotypic
studies revealed that the mutant grew slower than the wild-type strain in minimal
media and exhibited reduced secretion of pyoverdine. In addition, the mutant had
altered sensitivity to oxidative and hyperosmotic stress conditions.
Consequently, mutant cells had an impaired ability to survive in murine
macrophages and an attenuated virulence in the plant model of infection. Whole
genome transcriptome analysis revealed that pppA-ppkA deletion affects the
expression of oxidative stress-responsive genes, stationary phase sigma-factor
RpoS-regulated genes, and quorum-sensing regulons. The transcriptome of the pppA
ppkA mutant was also analysed under conditions of oxidative stress and showed an
impaired response to the stress, manifested by a weaker induction of stress
adaptation genes as well as the genes of the SOS regulon. In addition, expression
of either RpoS-regulated genes or quorum-sensing-dependent genes was also
affected. Complementation analysis confirmed that the transcription levels of the
differentially expressed genes were specifically restored when the pppA and ppkA
genes were expressed ectopically. CONCLUSIONS: Our results suggest that in
addition to its crucial role in controlling the activity of P. aeruginosa H1-T6SS
at the post-translational level, the PppA-PpkA pair also affects the
transcription of stress-responsive genes. Based on these data, it is likely that
the reduced virulence of the mutant strain results from an impaired ability to
survive in the host due to the limited response to stress conditions.
PMID- 21880153
TI - Plumbagin inhibits invasion and migration of breast and gastric cancer cells by
downregulating the expression of chemokine receptor CXCR4.
AB - BACKGROUND: Increasing evidence indicates that the interaction between the CXC
chemokine receptor-4 (CXCR4) and its ligand CXCL12 is critical in the process of
metastasis that accounts for more than 90% of cancer-related deaths. Thus, novel
agents that can downregulate the CXCR4/CXCL12 axis have therapeutic potential in
inhibiting cancer metastasis. METHODS: In this report, we investigated the
potential of an agent, plumbagin (5-hydroxy-2-methyl-1, 4-naphthoquinone), for
its ability to modulate CXCR4 expression and function in various tumor cells
using Western blot analysis, DNA binding assay, transient transfection, real time
PCR analysis, chromatin immunoprecipitation, and cellular migration and invasion
assays. RESULTS: We found that plumbagin downregulated the expression of CXCR4 in
breast cancer cells irrespective of their HER2 status. The decrease in CXCR4
expression induced by plumbagin was not cell type-specific as the inhibition also
occurred in gastric, lung, renal, oral, and hepatocellular tumor cell lines.
Neither proteasome inhibition nor lysosomal stabilization had any effect on
plumbagin-induced decrease in CXCR4 expression. Detailed study of the underlying
molecular mechanism(s) revealed that the regulation of the downregulation of
CXCR4 was at the transcriptional level, as indicated by downregulation of mRNA
expression, inhibition of NF-kappaB activation, and suppression of chromatin
immunoprecipitation activity. In addition, using a virtual, predictive,
functional proteomics-based tumor pathway platform, we tested the hypothesis that
NF-kappaB inhibition by plumbagin causes the decrease in CXCR4 and other
metastatic genes. Suppression of CXCR4 expression by plumbagin was found to
correlate with the inhibition of CXCL12-induced migration and invasion of both
breast and gastric cancer cells. CONCLUSIONS: Overall, our results indicate, for
the first time, that plumbagin is a novel blocker of CXCR4 expression and thus
has the potential to suppress metastasis of cancer.
PMID- 21880154
TI - Upregulation of microRNA-125b contributes to leukemogenesis and increases drug
resistance in pediatric acute promyelocytic leukemia.
AB - BACKGROUND: Although current chemotherapy regimens have remarkably improved the
cure rate of pediatric acute promyelocytic leukemia (APL) over the past decade,
more than 20% of patients still die of the disease, and the 5-year cumulative
incidence of relapse is 17%. The precise gene pathways that exert critical
control over the determination of cell lineage fate during the development of
pediatric APL remain unclear. METHODS: In this study, we analyzed miR-125b
expression in 169 pediatric acute myelogenous leukemia (AML) samples including 76
APL samples before therapy and 38 APL samples after therapy. The effects of
enforced expression of miR-125b were evaluated in leukemic cell and drug
resistant cell lines. RESULTS: miR-125b is highly expressed in pediatric APL
compared with other subtypes of AML and is correlated with treatment response, as
well as relapse of pediatric APL. Our results further demonstrated that miR-125b
could promote leukemic cell proliferation and inhibit cell apoptosis by
regulating the expression of tumor suppressor BCL2-antagonist/killer 1 (Bak1).
Remarkably, miR-125b was also found to be up-regulated in leukemic drug-resistant
cells, and transfection of a miR-125b duplex into AML cells can increase their
resistance to therapeutic drugs, CONCLUSIONS: These findings strongly indicate
that miR-125b plays an important role in the development of pediatric APL at
least partially mediated by repressing BAK1 protein expression and could be a
potential therapeutic target for treating pediatric APL failure.
PMID- 21880156
TI - Comparative decline in funding of European Commission malaria vaccine projects:
what next for the European scientists working in this field?
AB - Since 2000, under the Fifth and subsequent Framework Programmes, the European
Commission has funded research to spur the development of a malaria vaccine. This
funding has contributed to the promotion of an integrated infrastructure
consisting of European basic, applied and clinical scientists in academia and
small and medium enterprises, together with partners in Africa. Research has
added basic understanding of what is required of a malaria vaccine, allowing
selected candidates to be prioritized and some to be moved forward into clinical
trials. To end the health burden of malaria, and its economic and social impact
on development, the international community has now essentially committed itself
to the eventual eradication of malaria. Given the current tentative advances
towards elimination or eradication of malaria in many endemic areas, malaria
vaccines constitute an additional and almost certainly essential component of any
strategic plan to interrupt transmission of malaria. However, funding for malaria
vaccines has been substantially reduced in the Seventh Framework Programme
compared with earlier Framework Programmes, and without further support the gains
made by earlier European investment will be lost.
PMID- 21880155
TI - Tissue-specific gene expression templates for accurate molecular characterization
of the normal physiological states of multiple human tissues with implication in
development and cancer studies.
AB - BACKGROUND: To elucidate the molecular complications in many complex diseases, we
argue for the priority to construct a model representing the normal physiological
state of a cell/tissue. RESULTS: By analyzing three independent microarray
datasets on normal human tissues, we established a quantitative molecular model
GET, which consists of 24 tissue-specific Gene Expression Templates constructed
from a set of 56 genes, for predicting 24 distinct tissue types under disease
free condition. 99.2% correctness was reached when a large-scale validation was
performed on 61 new datasets to test the tissue-prediction power of GET. Network
analysis based on molecular interactions suggests a potential role of these 56
genes in tissue differentiation and carcinogenesis.Applying GET to transcriptomic
datasets produced from tissue development studies the results correlated well
with developmental stages. Cancerous tissues and cell lines yielded significantly
lower correlation with GET than the normal tissues. GET distinguished melanoma
from normal skin tissue or benign skin tumor with 96% sensitivity and 89%
specificity. CONCLUSIONS: These results strongly suggest that a normal tissue or
cell may uphold its normal functioning and morphology by maintaining specific
chemical stoichiometry among genes. The state of stoichiometry can be depicted by
a compact set of representative genes such as the 56 genes obtained here. A
significant deviation from normal stoichiometry may result in malfunction or
abnormal growth of the cells.
PMID- 21880157
TI - Development of a serotype colloidal gold strip using monoclonal antibody for
rapid detection type Asia1 foot-and-mouth disease.
AB - BACKGROUND: In this study, we developed a rapid, one step colloid gold strip
(CGS) capable of specifically detecting type Asia1 foot-and-mouth disease virus
(FMDV). We have produced two monoclonal antibodies (mAb) to type Asia1 FMD (named
1B8 and 5E2). On the test strip, the purified 1B8 labelled with the colloidal
gold was used as the detector, and the purified 5E2 and goat anti-mouse
antibodies were wrapped onto nitrocellulose (NC) membranes as the test and the
control line, respectively. The rapid colloidal gold stereotype diagnostic strip
was housed in a plastic case. RESULTS: In specificity and sensitivity assay,
there was no cross-reaction of the antigen with the other type of FMD and SVDV.
The detection sensitivity was found to be as high as 10(-5) dilution of
Asia1/JSL/05 (1 * 10(7.2)TCID(50)/50 MUL). There was excellent agreement between
the results obtained by CGS and reverse indirect hemagglutination assay (RIHA),
and the agreement can reach to 98.75%. CONCLUSION: We developed colloidal gold
strips that have good qualities and does not require specialized equipment or
technicians. This method provided a feasible, convenient, rapid, and effective
for detecting type Asia1 FMDV in the fields.
PMID- 21880159
TI - Is sex necessary?
AB - Fungal sexual reproductive modes have markedly high diversity and plasticity, and
asexual species have been hypothesized to arise frequently from sexual fungal
species. A recent study on the red yeasts provides further support for the notion
that sexual ancestors may give rise to shorter-lived asexual species. However,
presumed asexual species may also be cryptically sexual, as revealed by other
recent studies.
PMID- 21880158
TI - A cost comparison of electronic and hybrid data collection systems in Ontario
during pandemic and seasonal influenza vaccination campaigns.
AB - BACKGROUND: During the pandemic (H1N1) 2009 influenza vaccination campaign,
health regions in Canada collected client-level immunization data using fully
electronic or hybrid systems, with the latter comprising both electronic and
paper-based elements. The objective of our evaluation was to compare projected
five-year costs associated with implementing these systems in Ontario public
health units (PHUs) during pandemic and seasonal influenza vaccination campaigns.
METHODS: Six PHUs provided equipment and staffing costs during the pandemic
(H1N1) 2009 influenza vaccination campaign and staffing algorithms for seasonal
campaigns. We standardized resources to population sizes 100,000, 500,000 and
1,000,000, assuming equipment lifetime of five years and public health vaccine
administration rates of 18% and 2.5% for H1N1 and seasonal campaigns,
respectively. Two scenarios were considered: Year 1 pandemic and Year 1 seasonal
campaigns, each followed by four regular influenza seasons. Costs were discounted
at 5%. RESULTS: Assuming a Year 1 pandemic, the five-year costs per capita for
the electronic system decrease as PHU population size increases, becoming
increasingly less costly than hybrid systems ($4.33 vs. $4.34 [100,000], $4.17
vs. $4.34 [500,000], $4.12 vs. $4.34 [1,000, 000]). The same trend is observed
for the scenario reflecting five seasonal campaigns, with the electronic system
being less expensive per capita than the hybrid system for all population sizes
($1.93 vs. $1.95 [100,000], $1.91 vs. $1.94 [500,000], $1.87 vs. $1.94 [1,000,
000]). Sensitivity analyses identified factors related to nurse hours as
affecting the direction and magnitude of the results. CONCLUSIONS: Five-year cost
projections for electronic systems were comparable or less expensive than for
hybrid systems, at all PHU population sizes. An intangible benefit of the
electronic system is having data rapidly available for reporting.
PMID- 21880160
TI - Origins of cellular geometry.
AB - Cells are highly complex and orderly machines, with defined shapes and a
startling variety of internal organizations. Complex geometry is a feature of
both free-living unicellular organisms and cells inside multicellular animals.
Where does the geometry of a cell come from? Many of the same questions that
arise in developmental biology can also be asked of cells, but in most cases we
do not know the answers. How much of cellular organization is dictated by global
cell polarity cues as opposed to local interactions between cellular components?
Does cellular structure persist across cell generations? What is the relationship
between cell geometry and tissue organization? What ensures that intracellular
structures are scaled to the overall size of the cell? Cell biology is only now
beginning to come to grips with these questions.
PMID- 21880161
TI - Nucleic acid transfection and transgenesis in parasitic nematodes.
AB - Transgenesis is an essential tool for assessing gene function in any organism,
and it is especially crucial for parasitic nematodes given the dwindling
armamentarium of effective anthelmintics and the consequent need to validate
essential molecular targets for new drugs and vaccines. Two of the major routes
of gene delivery evaluated to date in parasitic nematodes, bombardment with DNA
coated microparticles and intragonadal microinjection of DNA constructs, draw
upon experience with the free-living nematode Caenorhabditis elegans. Bombardment
has been used to transiently transfect Ascaris suum, Brugia malayi and
Litomosoides sigmodontis with both RNA and DNA. Microinjection has been used to
achieve heritable transgenesis in Strongyloides stercoralis, S. ratti and
Parastrongyloides trichosuri and for additional transient expression studies in
B. malayi. A third route of gene delivery revisits a classic method involving DNA
transfer facilitated by calcium-mediated permeabilization of recipient cells in
developing B. malayi larvae and results in transgene inheritance through host and
vector passage. Assembly of microinjected transgenes into multi-copy episomal
arrays likely results in their transcriptional silencing in some parasitic
nematodes. Methods such as transposon-mediated transgenesis that favour low-copy
number chromosomal integration may remedy this impediment to establishing stable
transgenic lines. In the future, stable transgenesis in parasitic nematodes could
enable loss-of-function approaches by insertional mutagenesis, in situ expression
of inhibitory double-stranded RNA or boosting RNAi susceptibility through
heterologous expression of dsRNA processing and transport proteins.
PMID- 21880163
TI - Infant nutrition and allergy.
AB - Over the past several decades, the incidence of atopic diseases such as asthma,
atopic dermatitis and food allergies has increased dramatically. Although atopic
diseases have a clear genetic basis, environmental factors, including early
infant nutrition, may have an important influence on their development.
Therefore, attempts have been made to reduce the risk of the development of
allergy using dietary modifications, mainly focused on longer breast-feeding and
delayed introduction or elimination of foods identified as potentially most
allergenic. Recently, there is also an increasing interest in the active
prevention of atopy using specific dietary components. Many studies have shown
that breast-feeding may have the protective effect against future atopic
dermatitis and early childhood wheezing. Concerning complementary feeding, there
is evidence that the introduction of complementary foods before 4 months of age
may increase the risk for atopic dermatitis. However, there is no current
convincing evidence that delaying introduction of solids after 6 months of age
has a significant protective effect on the development of atopic disease
regardless of whether infants are fed cow's milk protein formula or human
subject's milk, and this includes delaying the introduction of foods that are
considered to be highly allergic, such as fish, eggs and foods containing peanut
protein. In conclusion, as early nutrition may have profound implications for
long-term health and atopy later in life, it presents an opportunity to prevent
or delay the onset of atopic diseases.
PMID- 21880162
TI - The consequences of obesity and excess weight gain in pregnancy.
AB - The prevalence of obesity in pregnancy is rising exponentially; about 15-20% of
pregnant women now enter pregnancy with a BMI which would define them as obese.
This paper provides a review of the strong links between obesity and adverse
pregnancy outcome which operate across a range of pregnancy complications. For
example, obesity is associated with an increased risk of maternal mortality,
gestational diabetes mellitus, thromboembolism, pre-eclampsia and postpartum
haemorrhage. Obesity also complicates operative delivery; it makes operative
delivery more difficult, increases complications and paradoxically increases the
need for operative delivery. The risk of the majority of these complications is
amplified by excess weight gain in pregnancy and increases in proportion to the
degree of obesity, for example, women with extreme obesity have OR of 7.89 for
gestational diabetes and 3.84 for postpartum haemorrhage compared to their lean
counterparts. The consequences of maternal obesity do not stop once the baby is
born. Maternal obesity programmes a variety of long-term adverse outcomes,
including obesity in the offspring at adulthood. Such an effect is mediated at
least in part via high birthweight; a recent study has suggested that the odds of
adult obesity are two-fold greater in babies weighing more than 4 kg at birth.
The mechanism by which obesity causes adverse pregnancy outcome is uncertain.
This paper reviews the emerging evidence that hyperglycaemia and insulin
resistance may both play a role: the links between hyperglycaemia in pregnancy
and both increased birthweight and insulin resistance have been demonstrated in
two large studies. Lastly, we discuss the nature and rationale for possible
intervention strategies in obese pregnant women.
PMID- 21880164
TI - Maternal and child obesity: some policy challenges.
AB - The recent rise in the prevalence of obesity in the UK population includes women
of reproductive age and children. For both groups there are specific health
concerns consequent on excess bodyweight, including obstetric complications,
fetal growth abnormalities and a range of obesity co-morbidities seen in children
that were rarely found in young people a generation earlier. This paper
identifies some of the issues which challenge policy-makers: guidelines for
gestational weight gain and for weight loss after pregnancy; inequalities and
interventions in pregnancy; interventions to prevent child obesity; and the role
of individuals, government and the commercial sector in implementing policies for
promoting healthy weight.
PMID- 21880165
TI - Insecure attachment and frequent attendance in primary care: a longitudinal
cohort study of medically unexplained symptom presentations in ten UK general
practices.
AB - BACKGROUND: In primary care frequent attenders with medically unexplained
symptoms (MUS) pose a clinical and health resource challenge. We sought to
understand these presentations in terms of the doctor-patient relationship,
specifically to test the hypothesis that such patients have insecure emotional
attachment. METHOD: We undertook a cohort follow-up study of 410 patients with
MUS. Baseline questionnaires assessed adult attachment style, psychological
distress, beliefs about the symptom, non-specific somatic symptoms, and physical
function. A telephone interview following consultation assessed health worry,
general practitioner (GP) management and satisfaction with consultation. The main
outcome was annual GP consultation rate. RESULTS: Of consecutive attenders, 18%
had an MUS. This group had a high mean consultation frequency of 5.24 [95%
confidence interval (CI) 4.79-5.69] over the follow-up year. The prevalence of
insecure attachment was 28 (95% CI 23-33) %. A significant association was found
between insecure attachment style and frequent attendance, even after adjustment
for sociodemographic characteristics, presence of chronic physical illness and
baseline physical function [odds ratio (OR) 1.96 (95% CI 1.05-3.67)]. The
association was particularly strong in those patients who believed that there was
a physical cause for their initial MUS [OR 9.52 (95% CI 2.67-33.93)]. A possible
model for the relationship between attachment style and frequent attendance is
presented. CONCLUSIONS: Patients with MUS who attend frequently have insecure
adult attachment styles, and their high consultation rate may therefore be
conceptualized as pathological care-seeking behaviour linked to their insecure
attachment. Understanding frequent attendance as pathological help seeking driven
by difficulties in relating to caregiving figures may help doctors to manage
their frequently attending patients in a different way.
PMID- 21880166
TI - Projections from cingulate cortex to the cat's thalamic reticular nucleus.
AB - The cingulate cortex (CG) and the adjacent region designated as the splenial
visual area (SVA) project to areas of the extrageniculate thalamic system that
are concerned with processing visual information. En route to the thalamus, they
pass through the thalamic reticular nucleus (TRN), an important source of
thalamic inhibition. We wished to determine whether SVA axon collaterals
projected to the previously defined visual sector of the TRN or a separate
projection zone and did this differ from the projection zone of CG. We
iontophoretically injected different neuroanatomical tracers into several
locations within CG/SVA and traced the labeled axons through the TRN. The CG and
SVA have a projection zone that only partially overlaps the dorsorostral regions
of the visuocortical projection zone; there was no evidence to suggest separate
SVA and CG zones or tiers of label within the TRN. The projection formed only a
weak topographic map in the TRN, which is largely defined in the rostrocaudal
axis and is similar to that of the area 7 projection; both projections have a
high degree of overlap in the dorsal TRN. We postulate that CG/SVA may be
involved in the initiation of orientation behaviors via stimulation of thalamic
nuclei and attentional mechanisms of the TRN.
PMID- 21880167
TI - The vulnerable child.
PMID- 21880168
TI - Prevalence of smear-positive tuberculosis in persons aged >= 15 years in
Bangladesh: results from a national survey, 2007-2009.
AB - The aim of this study was to determine the nationwide prevalence of smear
positive tuberculosis (TB) in Bangladesh. A multi-stage cluster survey of a
random sample of persons aged >= 15 years was included in 40 clusters (20 urban,
20 rural). Two sputum samples were collected from study participants and tested
initially by fluorescence microscopy and confirmed by the Ziehl-Neelsen method.
The crude and adjusted prevalence rates and 95% confidence intervals (CIs) were
calculated using standard methods. A total of 33 new smear-positive TB cases were
detected among 52 098 individuals who participated in the study. The average
participation rate was over 80%. The overall crude prevalence of new smear
positive TB in persons aged >= 15 years was estimated as 63.3/100 000 (95% CI
43.6-88.9) and the adjusted prevalence was 79.4/100 000 (95% CI 47.1-133.8). TB
prevalence was higher in males (n = 24) and in rural areas (n = 20). The
prevalence was highest in the 55-64 years age group (201/100 000) and lowest in
15-24 years age group (43.0/100 000). The prevalence was higher in persons with
no education (138.6/100 000, 95% CI 78.4-245.0). The overall prevalence of smear
positive TB was significantly lower than the prevalence estimate of the previous
nationwide survey in Bangladesh in 1987-1988 (870/100 000).
PMID- 21880169
TI - The influence of depression on processing speed and executive function in
nondemented subjects aged 75.
AB - Neuropsychological deficits are commonly found to be part of depression in old
age and might simultaneously represent early symptoms of dementia. We
investigated the influence of depression on processing speed and executive
function in subjects who did not develop dementia during the following 5 years to
examine whether these neuropsychological dysfunctions are due to depression or
are influenced by other causes (e.g., education, cerebral comorbidity). A total
of 287 subjects aged 75 (mean: 75.76) were available for analyses. Processing
speed was measured by the Trail Making Test-A, Executive Function by the Trail
Making Test-B and Verbal Fluency. DSM-IV-criteria were used for diagnosing
depression. Cerebral comorbidity (e.g., stroke, Parkinson's disease), sex,
education, antidepressant, and/or benzodiazepine medication, and a history of
depression were taken into account as covariates. Univariate analyses and
multiple regression analyses were calculated. Higher education was strongly
related to better performance in all three psychometric tests. Cerebral
comorbidity significantly slowed TMT-A performance and reduced Verbal Fluency
scores. In multiple regression analysis depression showed only a minor, slowing
influence on TMT-A and TMT-B performance. Depression only had a minor influence
on processing speed and executive function in this sample of nondemented
subjects. By comparison, the influence of education and cerebral comorbidity was
seen to be stronger.
PMID- 21880170
TI - Performance-based everyday functioning after stroke: relationship with IADL
questionnaire and neurocognitive performance.
AB - Neuropsychologists frequently are asked to comment on everyday functioning, but
the research relies mostly on questionnaire-based assessment of daily
functioning. While performance-based assessment of everyday functioning has many
advantages over commonly used questionnaires, there are few empirically validated
comprehensive performance-based measures. We present data here on a performance
based battery of daily living skills, the Functional Impact Assessment (FIA) in
47 unilateral stroke patients and 37 matched healthy controls. The FIA was
validated by comparing it to performance on the self- and informant-report
version of the Functional Activities Questionnaire (FAQ). We also examined the
relationship between the FIA and cognitive functioning using the
Neuropsychological Assessment Battery (NAB). The stroke group's performance on
the FIA, FAQ (self and informant), and NAB (total and domain scores) was
significantly (d's >= .80) lower than the control group. The NAB total score and
all domain scores were highly correlated with the FIA in the stroke group (r's >
.7), and only one NAB domain score (visuospatial) was a unique predictor. This
may be due to the fact that most of the NAB domains have a statistical problem of
multicollinearity, which may explain why only the spatial domain was a unique
predictor. While the informant FAQ was significantly correlated with FIA total
score (r = .48, p < .01), the NAB total score was a significantly better
predictor (r = .83, p < .001) than the informant FAQ. NAB total scaled score of
less than 86 predicted impairment on the FIA with 92% sensitivity and 84%
specificity. Our findings argue that the FIA is sensitive to deficits associated
with stroke and is highly associated with all neuropsychological domains
(attention, executive functions, language and spatial skills, and memory).
PMID- 21880171
TI - Verbal serial list learning in mild cognitive impairment: a profile analysis of
interference, forgetting, and errors.
AB - Using cluster analysis Libon et al. (2010) found three verbal serial list
learning profiles involving delay memory test performance in patients with mild
cognitive impairment (MCI). Amnesic MCI (aMCI) patients presented with low scores
on delay free recall and recognition tests; mixed MCI (mxMCI) patients scored
higher on recognition compared to delay free recall tests; and dysexecutive MCI
(dMCI) patients generated relatively intact scores on both delay test conditions.
The aim of the current research was to further characterize memory impairment in
MCI by examining forgetting/savings, interference from a competing word list,
intrusion errors/perseverations, intrusion word frequency, and recognition foils
in these three statistically determined MCI groups compared to normal control
(NC) participants. The aMCI patients exhibited little savings, generated more
highly prototypic intrusion errors, and displayed indiscriminate responding to
delayed recognition foils. The mxMCI patients exhibited higher saving scores,
fewer and less prototypic intrusion errors, and selectively endorsed recognition
foils from the interference list. dMCI patients also selectively endorsed
recognition foils from the interference list but performed similarly compared to
NC participants. These data suggest the existence of distinct memory impairments
in MCI and caution against the routine use of a single memory test score to
operationally define MCI.
PMID- 21880172
TI - Functional magnetic resonance imaging of working memory reveals frontal
hypoactivation in middle-aged adults with cognitive complaints.
AB - Older adults with cardiovascular disease (CVD) often complain about cognitive
difficulties including reduced processing speed and attention. On cross-sectional
examination, such reports relate more closely to mood than to cognitive
performance; yet, in longitudinal studies, these complaints have foreshadowed
cognitive decline over time. To test the hypothesis that self-reported cognitive
difficulties reflect early changes in brain function, we examined cognitive
complaints and depression in relation to blood oxygen level dependent (BOLD)
response to a cognitive task in middle-aged adults at risk for CVD. Forty-nine
adults (ages 40 to 60 years) completed a measure of perceived cognitive
dysfunction (Cognitive Difficulties Scale), medical history questionnaire,
neuropsychological assessment and functional magnetic resonance imaging (fMRI)
during a working memory task. Increased report of cognitive difficulties was
significantly associated with weaker task-related activation in the right
superior frontal/ middle frontal gyrus (F(4,44) = 3.26; p = .020, CDS beta =
0.39; p = .009) and the right inferior frontal gyrus (F(4,44) = 3.14; p = .024,
CDS beta = -0.45; p = .003), independent of age, education, and self-reported
depressive symptoms. Lower activation intensity in the right superior frontal
gyrus was related to trends toward poorer task performance. Thus, self-reported
cognitive difficulties among cognitively normal middle-aged adults may provide
important clinical information about early brain vulnerability that should be
carefully monitored.
PMID- 21880173
TI - Effect of literacy and education on the visuoconstructional ability of non
demented elderly individuals.
AB - Learning to read and to write influences not only verbal skills but also global
cognitive performance. Our study aimed to compare the visuoconstructional
abilities of elderly illiterates with those of elderly literates. A total of 125
healthy subjects over 65 years old were recruited. Korean version of Mini-Mental
State Examination (K-MMSE) and the Alzheimer's Disease Assessment Scale-cognitive
subscale (ADAS-cog) constructional praxis examination were used. We divided
subjects into three groups (educated literate n = 53, uneducated literate n = 36
and uneducated illiterate n = 36). Interlocking pentagons drawing, a part of the
K-MMSE, was scored using the 6-point hierarchical scale. The uneducated
illiterate group obtained significantly lower scores than did the other two
groups. Scores on the ADAS-cog constructional praxis test were highest in the
educated-literate group and those in the uneducated-illiterate group obtained the
lowest scores. We demonstrated that illiteracy influences not only language
performance but also visuoconstructional functioning.
PMID- 21880174
TI - Somatic cytochrome c (CYCS) gene expression and promoter-specific DNA methylation
in a porcine model of prenatal exposure to maternal dietary protein excess and
restriction.
AB - There is growing evidence that maternal nutrition during gestation has an
important effect on offspring development as well as on their gene expression
with long-term effects on the metabolic state. A potential mechanism forming long
lasting gene expression patterns is DNA methylation of cytosine in CpG
dinucleotides within the promoter region of distinct genes. There has been
special focus on mitochondrial dysfunction by prenatal malnourishment over the
recent years. To this end, we investigated the gene expression of somatic
cytochrome c (CYCS), an important member of the respiratory chain, in a porcine
model of gestational protein over- and undersupply at 94 d post-conception and 1,
28 and 188 d of age, and analysed the association with the DNA methylation status
within the CYCS promoter. Gene expression on day 1 post natum showed a
significant increase in the low protein (LP) group (P = 0.0005) and a slight
increase in the high protein (HP) group (P = 0.079) compared with the control
(CO) group in the liver. The mean of the methylation level over forty-seven CpG
sites from nucleotide (nt) - 417 to - 10 was significantly decreased in the LP (P
= 0.007) and HP (P = 0.009) groups compared with that in the CO group. Excess and
restricted protein supply during pregnancy led to hypomethylation of a number of
CpG sites in the CYCS promoter, including those representing putative
transcription factor-binding sites, associated with elevated expression levels.
However, the impact of the low-protein gestation diet is more pronounced,
indicating that the offspring could better adapt to excess rather than restricted
protein supply.
PMID- 21880176
TI - Self-care management and risk factors for depressive symptoms among elderly
outpatients in Taiwan.
AB - BACKGROUND: Early detection and appropriate treatment interventions for
depressive symptoms in the elderly are important issues for healthcare systems.
However, few studies to date have focused on understanding self-care strategies
to manage depressive symptoms among elderly people worldwide. The purpose of this
study was to explore self-care management strategies and risk factors for
depressive symptoms among elderly outpatients in Taiwan. METHODS: A convenience
sample of elderly persons (>=65 years old; N = 1054) was recruited from
outpatient clinics of two hospitals in northern Taiwan. RESULTS: In our sample,
the prevalence of depressive tendency was 16.3%. The majority of participants
(70.1%) managed depressive symptoms with self-care strategies. The strategy most
often used to relieve depressive symptoms was "take a walk." The main information
source for self-care strategies was self-learning. Depressive tendency in this
sample was shown by logistic regression analysis to be significantly predicted by
gender, marital status, perceived income adequacy, perceived health condition,
stroke, and cancer. CONCLUSION: Elderly people need to be made more aware of
strategies to self-manage depressive symptoms. Healthcare providers can
decrease/prevent the first risk factor for depressive symptoms (poor perceived
health status) by improving elders' perceived health and promoting their actual
health. The second risk factor (poor perceived income adequacy) can be
decreased/prevented by carefully assessing patients' financial situation during
clinic visits and providing suitable referral for further assistance.
PMID- 21880175
TI - Identifying target groups for the prevention of depression among caregivers of
dementia patients.
AB - BACKGROUND: Depression in informal caregivers of persons with dementia is a
major, costly and growing problem. However, it is not yet clear which caregivers
are at increased risk of developing depression. With this knowledge preventive
strategies could focus on these groups to maximize health gain and minimize
effort. METHODS: The onset of clinically relevant depression was measured with
the Center for Epidemiologic Studies - Depression Scale in 725 caregivers who
were not depressed at baseline and who were providing care for a relative with
dementia. Caregivers were followed over 18 months. The indices calculated to
identify the most important risk indicators were: odds ratio, attributable
fraction, exposure rate and number needing to be treated. RESULTS: The following
significant indicators of depression onset were identified: increased initial
depressive symptoms, poor self-rated health status and white or Hispanic
race/ethnicity. The incidence of depression would decrease by 72.3% (attributive
fraction) if these risk indicators together are targeted by a completely
effective intervention. Race/ethnicity was not a significant predictor if
caregivers of patients who died or were institutionalized were left out of the
analyses. CONCLUSION: Detection of only a few characteristics makes it possible
to identify high-risk groups in an efficient way. Focusing on these easy-to
assess characteristics might contribute to a cost-effective prevention of
depression in caregivers.
PMID- 21880177
TI - Delivering safeguarding children services in primary care: responding to national
child protection policy.
AB - AIM: This study set out to examine how Primary Care Organisations (PCOs) in
England manage, organise and deliver their safeguarding children
responsibilities. BACKGROUND: In the light of changing organisational
configurations across primary care, a wealth of policy directives and a climate
of extensive media attention around child protection, this paper focuses on how
PCOs respond to national policy and deliver safeguarding children services.
METHOD: This study, based in England, United Kingdom (UK), used a telephone
survey method incorporating semi-structured qualitative interviews with
Designated Child Protection Nurses. A maximum variation sampling strategy was
used to identify two to three PCOs within each of the original 28 Strategic
Health Authority sites. From the 64 PCOs approached, 60 Designated Nurses or
their representatives agreed to participate in the research, with a response rate
of 94%. Data analysis was informed primarily by Lincoln and Guba's (1985) three
stages of a) unitising, b) categorising and c) pattern search. FINDINGS: The
findings outline how and to what extent PCOs respond to the national policy and
organise and deliver their child protection services. The paper highlights some
of the key challenges facing PCOs, in particular, safeguarding moving off the
primary care agenda, child protection staff recruitment difficulties, a
proliferation and overload of policy, resource implications for additional staff
training, challenges to collaborative working, high referral thresholds to social
care services and cutbacks in public health nursing services. This paper
concludes by offering some suggestions about how child protection services could
be improved as primary care faces another major reorganisation with the demise of
Primary Care Trusts in April 2013.
PMID- 21880178
TI - Platelet-derived growth factor signaling in human malignancies.
AB - Platelet-derived growth factors (PDGFs) and their receptors were identified and
purified decades ago. PDGFs are important during normal development and in human
cancers. In particular, autocrine PDGF signaling has been implicated in various
types of malignancies such as gliomas and leukemia. In contrast, paracrine
signaling was found in cancers that originate from epithelial cells, where it may
be involved in stromal cell recruitment, metastasis, and epithelial-mesenchymal
transition. This editorial briefly discusses autocrine and paracrine PDGF
signaling and their roles in human cancers, and introduces a series of review
articles in this issue that address the possible roles of PDGFs in various
processes involved in different types of cancers.
PMID- 21880179
TI - Serglycin in human cancers.
AB - Serglycin belongs to a family of small proteoglycans with Ser-Gly dipeptide
repeats, and it is modified with different types of glycosaminoglycan side
chains. Intracellular serglycin affects the retention and secretion of proteases,
chemokines, or other cytokines by physically binding to these factors in
secretory granules. Extracellular serglycin has been found to be released by
several types of human cancer cells, and it is able to promote the metastasis of
nasopharyngeal carcinoma cells. Serglycin can bind to CD44, which is another
glycoprotein located in cellular membrane. Serglycin's function of promoting
cancer cell metastasis depends on glycosylation of its core protein, which can be
achieved by autocrine as well as paracrine secretion mechanisms. Further
investigations are warranted to elucidate serglycin signaling mechanisms with the
goal of targeting them to prevent cancer cell metastasis.
PMID- 21880181
TI - Epithelial-mesenchymal transition in breast cancer progression and metastasis.
AB - Breast cancer is the most common cancer in women, and approximately 90% of breast
cancer deaths are caused by local invasion and distant metastasis of tumor cells.
Epithelial-mesenchymal transition (EMT) is a vital process for large-scale cell
movement during morphogenesis at the time of embryonic development. Tumor cells
usurp this developmental program to execute the multi-step process of
tumorigenesis and metastasis. Several transcription factors and signals are
involved in these events. In this review, we summarize recent advances in breast
cancer researches that have provided new insights in the molecular mechanisms
underlying EMT regulation during breast cancer progression and metastasis. We
especially focus on the molecular pathways that control EMT.
PMID- 21880182
TI - Implication of platelet-derived growth factor receptor alpha in prostate cancer
skeletal metastasis.
AB - Metastasis represents by far the most feared complication of prostate carcinoma
and is the main cause of death for patients. The skeleton is frequently targeted
by disseminated cancer cells and represents the sole site of spread in more than
80% of prostate cancer cases. Compatibility between select malignant phenotypes
and the microenvironment of colonized tissues is broadly recognized as the
culprit for the organ-tropism of cancer cells. Here, we review our recent studies
showing that the expression of platelet-derived growth factor receptor alpha
(PDGFRalpha) supports the survival and growth of prostate cancer cells in the
skeleton and that the soluble fraction of bone marrow activates PDGFRalpha in a
ligand-independent fashion. Finally, we offer pre-clinical evidence that this
receptor is a viable target for therapy.
PMID- 21880180
TI - Platelet-derived growth factor receptor alpha in glioma: a bad seed.
AB - Recent collaborative, large-scale genomic profiling of the most common and
aggressive brain tumor glioblastoma multiforme(GBM) has significantly advanced
our understanding of this disease. The gene encoding platelet-derived growth
factor receptor alpha(PDGFRalpha) was identified as the third of the top 11
amplified genes in clinical GBM specimens. The important roles of PDGFRalpha
signaling during normal brain development also implicate the possible pathologic
consequences of PDGFRalpha over-activation in glioma. Although the initial
clinical trials using PDGFR kinase inhibitors have been predominantly
disappointing, diagnostic and treatment modalities involving genomic profiling
and personalized medicine are expected to improve the therapy targeting
PDGFRalpha signaling. In this review, we discuss the roles of PDGFRalphasignaling
during development of the normal central nervous system(CNS) and in pathologic
conditions such as malignant glioma. We further compare various animal models of
PDGF-induced gliomagenesis and their potential as a novel platform of pre
clinical drug testing. We then summarize our recent publication and how these
findings will likely impact treatments for gliomas driven by PDGFRalpha
overexpression. A better understanding of PDGFRalpha signaling in glioma and
their microenvironment, through the use of human or mouse models, is necessary to
design a more effective therapeutic strategy against gliomas harboring the
aberrant PDGFRalpha signaling.
PMID- 21880183
TI - A single nucleotide polymorphism in the matrix metalloproteinase 2 promoter is
closely associated with high risk of nasopharyngeal carcinoma in Cantonese from
southern China.
AB - Matrix metalloproteinase 2 (MMP2) has been shown to play an important role in
several steps of cancer development. The -1306C/T polymorphism of the MMP2 gene
displays a strikingly lower promoter activity than the T allele, and the CC
genotype in the MMP2 promoter has been reported to associate with the development
of several cancers. To assess the contribution of the MMP2 -1306C/T polymorphism
to the risk of nasopharyngeal carcinoma (NPC), we conducted a case-control study
and analyzed MMP2 genotypes in 370 patients with NPC and 390 frequency-matched
controls using real-time PCR-based TaqMan allele analysis. We found that subjects
with the CC genotype had an increased risk (OR = 1.55, 95% CI = 1.05-2.27) of
developing NPC compared to those with the CT or TT genotypes. Furthermore, we
found that the risk of NPC was markedly increased in subjects who were smokers
(OR = 15.04, 95% CI = 6.65-33.99), heavy smokers who smoked >= 20 pack-years (OR
= 18.66, 95% CI = 7.67-45.38), or young (<60 years) at diagnosis (OR = 1.52, 95%
CI = 1.01-2.29). Our results provide molecular epidemiological evidence that the
MMP2 -1306C/T promoter polymorphism is associated with NPC risk, and this
association is especially noteworthy in heavy smokers.
PMID- 21880184
TI - Overexpression of centromere protein H is significantly associated with breast
cancer progression and overall patient survival.
AB - Breast cancer is one of the leading causes of cancer death worldwide. This study
aimed to analyze the expression of centromere protein H (CENP-H) in breast cancer
and to correlate it with clinicopathologic data, including patient survival.
Using reverse transcription-polymerase chain reaction and Western blotting to
detect the expression of CENP-H in normal mammary epithelial cells, immortalized
mammary epithelial cell lines, and breast cancer cell lines, we observed that the
mRNA and protein levels of CENP-H were higher in breast cancer cell lines and in
immortalized mammary epithelial cells than in normal mammary epithelial cells. We
next examined CENP-H expression in 307 paraffin-embedded archived samples of
clinicopathologically characterized breast cancer using immunohistochemistry, and
detected high CENP-H expression in 134 (43.6%) samples. Statistical analysis
showed that CENP-H expression was related with clinical stage (P = 0.001), T
classification (P = 0.032), N classification (P = 0.018), and Ki-67 (P < 0.001).
Patients with high CENP-H expression had short overall survival. Multivariate
analysis showed that CENP-H expression was an independent prognostic indicator
for patient survival. Our results suggest that CENP-H protein is a valuable
marker of breast cancer progression and prognosis.
PMID- 21880185
TI - Ubc9 expression predicts chemoresistance in breast cancer.
AB - Ubiquitin-conjugating enzyme 9 (Ubc9), the sole conjugating enzyme for
sumoylation, regulates protein function and plays an important role in
tumorigenesis. Whether Ubc9 is involved in the chemoresistance of breast cancer
remains unknown. In this study, we aimed to evaluate the contribution of Ubc9 in
the chemoresistance of breast cancer. Immunohistochemistry (IHC) was used to
examine the expression level of Ubc9. Chi-square test, Wilcoxon test, and one-way
ANOVA were applied to analyze the relationship between Ubc9 expression,
clinicopathologic features, and clinical response to neoadjuvant chemotherapy.
The significance of variables for survival was analyzed by the Cox proportional
hazards model in a multivariate analysis. Kaplan-Meier survival curves were
plotted and log-rank test was performed. The proportion of Ubc9-positive cells
was higher in invasive ductal carcinoma than in normal breast tissues [(48.48 +/-
17.94)% vs. (5.82 +/- 2.80)%, P < 0.001]. High Ubc9 expression was associated
with poor differentiation (Chi2 = 6.538, P = 0.038), larger tumor size (Chi2 =
4.701, P = 0.030), advanced clinical stage (Chi2 = 4.651, P = 0.031), lymph node
metastasis (Chi2 = 9.913, P = 0.010), basal-like phenotype (Chi2 = 8.660, P =
0.034), and poor clinical response to neoadjuvant chemotherapy (Chi2 = 11.09, P =
0.001). The expected 6-year cumulative disease-free survival rate was 87.32% in
patients with low Ubc9 expression compared to 68.78% in those with high Ubc9
expression (Chi2 = 4.289, P = 0.038). These data indicate that high Ubc9
expression correlates with poor response to chemotherapy and poor clinical
prognosis.
PMID- 21880186
TI - Neoadjuvant chemotherapy for locally advanced cervical cancer reduces surgical
risks and lymph-vascular space involvement.
AB - Neoadjuvant chemotherapy (NACT), which can reduce the size and therefore increase
the resectability of tumors, has recently evolved as a treatment for locally
advanced cervical cancer. NACT has been reported to decrease the risk of
pathologic factors related to prognosis of cervical cancer. To further assess the
effects of NACT on surgery and the pathologic characteristics of cervical cancer,
we reviewed 110 cases of locally advanced cervical cancer treated with radical
hysterectomy with or without NACT at the People's Hospital of Peking University
between January 2006 and December 2010. Of 110 patients, 68 underwent platinum
based NACT prior to surgery (NACT group) and 42 underwent primary surgery
treatment (PST group). Our results showed 48 of 68 (70.6%) patients achieved a
complete response or partial response to NACT. Estimated blood loss, operation
time, and number of removed lymph nodes during surgery, as well as complication
rates during and after surgery were not significantly different between the NACT
group and the PST group. The rates of deep stromal invasion, positive parametria,
positive surgical vaginal margins, and lymph node metastasis were not
significantly different between the two groups. However, the rate of lymph
vascular space involvement (LVSI) was significantly lower in the NACT group than
in the PST group (P = 0.021). In addition, the response rate of NACT was
significantly higher in the patients with chemotherapeutic drugs administrated
via artery than via vein. Our results suggest that NACT is a safe and effective
treatment for locally advanced cervical cancer and significantly decreases the
rate of LVSI.
PMID- 21880187
TI - Rotator cuff lesions with shoulder stiffness: updated pathomechanisms and
management.
AB - Few previous studies have investigated the pathomechanism and managements of
rotator cuff lesions with shoulder stiffness. Based on observations by Codman in
1934, frozen shoulder presumably relates to rotator cuff tendenitis. In the past
six decades, tended to discriminate primary frozen shoulder from secondary
shoulder stiffness due to shoulder disorders such as rotator cuff lesions or
trauma. Intrinsic degeneration and outlet acromial spur impingement are reported
as pathogenic causes of rotator cuff lesion. Although patients with rotator cuff
lesions with shoulder stiffness or adhesive capsulitis (frozen shoulder) may have
similar complaints about pain and motion limitation, the pathological reactions
in these disorders remain unclear. In our investigation of clinical vignettes of
shoulder stiffness, inflammation-mediated adhesions in the subacromial bursa in
rotator cuff lesions, and changes in inflammatory cytokine levels have been
linked to myofibroblast recruitment in the subacromial bursa. Our study provides
the first indication that increased interleukin -1beta expression and
myofibroblast recruitment in the subacromial bursa are correlated with rotator
cuff lesions with shoulder stiffness. Increased inflammatory cytokine
concentrations in the lesions also provide new molecular insight into the
pathological role of the subacromial bursa in the development of shoulder
stiffness in rotator cuff lesions. Although rotator cuff repair is a shoulder
tightening procedure and is not recommended until resolution of the shoulder
stiffness in rotator cuff lesions with stiff shoulder, our clinical evidence
based survey suggests that a combined procedure of manipulation, lysis of
adhesions, acromioplasty, and rotator cuff repair is a useful procedure if the
symptoms do not improve 3 months of aggressive rehabilitation.
PMID- 21880188
TI - Facial asymmetry: etiology, evaluation, and management.
AB - Facial asymmetry is common in humans. Significant facial asymmetry causes both
functional as well as esthetic problems. When patients complain of facial
asymmetry, the underlying cause should be investigated. The etiology includes
congenital disorders, acquired diseases, and traumatic and developmental
deformities. The causes of many cases of developmental facial asymmetry are
indistinct. Assessment of facial asymmetry consists of a patient history,
physical examination, and medical imaging. Medical imaging is helpful for
objective diagnosis and measurement of the asymmetry, as well as for treatment
planning. Components of soft tissue, dental and skeletal differences contributing
to facial asymmetry are evaluated. Frequently dental malocclusion, canting of the
occlusal level and midline shift are found. Management of facial asymmetry first
aims at correcting the underlying disorder. Orthognathic surgery is performed for
the treatment of facial asymmetry combined with dental occlusal problems. A
symmetrical facial midline, harmonious facial profile and dental occlusion are
obtained from treatment. Additional surgical procedures may be required to
increase or reduce the volume of skeletal and soft tissue components on both
sides to achieve better symmetry.
PMID- 21880189
TI - Current status of vertebroplasty for osteoporotic compression fracture.
AB - Vertebral compression fracture is the most common complication of osteoporosis.
It may result in persistent severe pain and limited mobility, and significantly
impact the quality of life. Conservative therapy using external bracing, bed rest
and analgesics is necessary for pain control in these patients. However, some
patients may experience protracted or ongoing pain even with these measures.
Surgical treatment is indicated when conservative treatment fails, or in patients
with spinal instability or neurologic deficit. Elderly patients often have
comorbilities, and because of osteoporosis, high risk of postoperative
complications such as implant loosening, and further adjacent fractures.
Vertebroplasty involves a percutaneous injection of bone cement into the
collapsed vertebrae under fluroscopic imaging guidance. It was first reported in
1987 for the management of a painful, aggressive hemangioma of a vertebral body.
Since then, vertebroplasty has been widely accepted for the treatment of
vertebral osteoporotic compression fractures without neurological damage. This
article summarizes the advances in vertebroplasty, and discusses the indications,
technique, alternative methods, results and complications. The contents include a
review of the supporting evidence to provide a comparison of the safety and
efficacy of vertebroplasty and kyphoplasty.
PMID- 21880190
TI - Survivin expression in cardiac myxoma.
AB - BACKGROUND: Cardiac myxoma, the most common primary tumor of the heart, has
variable clinical presentations and an immunohistochemical profile. Survivin, an
antiapoptosis protein, may play an important role in the causes of cardiac
myxoma. This investigation will report the expression pattern of survivin in
cardiac myxomas. METHODS: This study included 40 patients with cardiac myxoma,
who were treated with surgical excision of the lesion. Detailed clinical
parameters were reported and the expression of survivin was studied by
immunohistochemical staining. RESULTS: The patient population was comprised of 24
(60%) women and 16 (40%) men. The mean age of the patients was 42 years, with an
age range of 30 to 63 years. All study cases were sporadic myxomas rather than
familial myxoma. Patients were asymptomatic (20%), or had dyspnea (40%), stroke
(15%), chest pain (12%), and fever (12%) on presentation. All lesions were
located in the left atrium. The location of the myxoma and clinical events did
not differ in terms of pathological changes, such as vascular proliferation,
inflammation, cellularity, hyaline, calcification and thrombosis. Cardiac myxoma
was characterized by a survivin dependent pathway with 100% immunohistochemical
staining in the cytoplasm and the distribution in scoring system of survivin
expression were 1 case (2.5%) in score 1; 12 cases (30%) in score 2; 12 cases
(30%) in score 3 and 15 (37.5%) in score 4. CONCLUSION: Cardiac myxomas
demonstrate strong expression of survivin in the cytoplasm. This implies survivin
may play an important role in the apoptosis pathway in cardiac myxomas.
PMID- 21880191
TI - Shockwaves enhance the osteogenetic gene expression in marrow stromal cells from
hips with osteonecrosis.
AB - BACKGROUND: This in vitro study investigated the angiogenesis and osteogenesis
effects of shockwaves on bone marrow stromal cells (BMSCs) from hips with
osteonecrosis. METHODS: BMSCs were harvested from the bone marrow cavity of the
proximal femur in six patients with osteonecrosis of the femoral head. The
specimens were divided into four groups, the control, shockwave, shockwave plus
nomega-nitro- L-arginine methyl ester (L-NAME) and a nitric oxide (NO) donor
(NOC18) groups. The control group received no shockwaves and was used as the
baseline. The shockwave group received 250 shockwave impulses at 14 Kv
(equivalent to 0.18 mJ/mm2 energy flux density). The shockwave plus LNAME group
was pre-treated with L-NAME before receiving shockwaves. The NOC18 group received
NOC18 after cell culture for 48 hours. The evaluations included cell
proliferation (MTT) assay, alkaline phosphatase, real time reverse transcriptase
polymerase chain reaction analysis of vessel endothelial growth factor (VEGF),
bone morphogenic protein (BMP)-2, RUNX2 and osteocalcin mRNA expression and von
Kossa stain for mineralized nodules. RESULTS: The shockwave group showed
significant increases in MTT, VEGF, alkaline phosphatase, BMP2, RUNX2 and
osteocalcin mRNA expression and more mature mineralized nodules compared with the
control. Pre-treatment with L-NAME significantly reduced the angiogenic and
osteogenic effects of extracorporeal shockwave therapy (ESWT) and the results
were comparable with the control. Administration of NOC18 significantly enhanced
the angiogenesis and osteogenesis effects compared with the control and the
results were comparable with the shockwave group. CONCLUSION: ESWT significantly
enhanced the angiogenic and osteogenic effects of BMSCs mediated through the NO
pathway in hips with osteonecrosis. These innovative findings, at least in part,
explain some of the mechanism of shockwaves in osteonecrosis of the hip.
PMID- 21880192
TI - Increased interleukin-6 level in Taiwanese schizophrenic patients.
AB - BACKGROUND: Schizophrenia is accompanied by an activation of the
immune/inflammatory system. In the present study, the relationships between serum
interleukin (IL)-6, tumor necrosis factor (TNF)-alpha, and transforming growth
factor (TGF)-beta1 levels and schizophrenia were explored in a group of Taiwanese
inpatients. Furthermore, the serum IL-6, TNF-alpha, and TGF-beta1 levels of
patients with schizophrenia were compared before and after 1 month of
antipsychotic treatment. METHODS: The serum IL-6, TNF-alpha, and TGF-beta1 levels
of 34 acute stage schizophrenic patients and 30 healthy control subjects were
collected. These levels were again collected in the 34 patients after 1 month of
antipsychotic treatment. An analysis of covariance (ANCOVA) adjusted for gender
was performed to examine the differences in cytokine levels between the
schizophrenic patients and the control group. Repeated measures ANCOVA adjusted
for gender was performed to examine the differences in cytokine levels of the
schizophrenic patients before and after 1 month of treatment. RESULTS: Using
ANCOVA adjusted for gender, significantly increased IL-6 levels were found in
schizophrenic patients compared with the control group (p = 0.02), but there were
no significant differences in TNF-alpha and TGF-beta1 levels (p = 0.06 and 0.91,
respectively). After 1 month of medical treatment, there were no significant
differences in IL-6 (p = 0.64), TNF-alpha (p = 0.48), and TGF-beta1 (p = 0.23)
levels in the schizophrenic patients, although IL-6 appeared to be normalizing.
CONCLUSION: An increase in the IL-6 level may play a role in the pathophysiology
of schizophrenia. A larger sample size and a longer period of follow-up are
needed to confirm this finding.
PMID- 21880193
TI - Efficacy and tolerability of salmeterol/fluticasone propionate versus fluticasone
propionate in asthma patients: a randomized, double-blind study.
AB - BACKGROUND: A combination of salmeterol and fluticasone propionate (SAL/FP) has
been shown to be effective in the treatment of asthma. We compared the efficacy
and tolerability of SAL/FP (50/250 MUg) with fluticasone propionate (FP) 250 MUg
administrated twice daily for 2 weeks in treating patients with mild to moderate
asthma. METHODS: This was a randomized, double-blind study in adult patients with
symptomatic asthma that was not controlled by 1000 MUg/d inhaled corticosteroids
(ICS) alone. 48 asthmatics were randomized to receive 2 inhalations of SAL/FP
50/250 MUg bis in die (BID) or 2 inhalations of FP 250 MUg BID, both delivered
via Accuhaler device, for 2 weeks. The primary objective was the mean change from
baseline in the mean morning peak expiratory flow (PEF) over the two week period.
Other parameters included lung function, daily asthma symptom scores, evening
PEF, percentage of days free of rescue medication use and daily rescue medication
use. Tolerability was assessed by adverse events spontaneously elicited at clinic
visits. RESULTS: 46 patients provided evaluable efficacy for analysis. The
morning PEF improved significantly throughout the two weeks of treatment compared
with baseline in the SAL/FP group. Mean morning PEF was 23.0 L/min higher in
SAL/FP group than in FP group (p = 0.013). The change of forced expiratory volume
in one second (FEV1) from baseline was greater in SAL/FP group compared to FP
group (p = 0.048). There were similar effects on day-time and night-time symptom
scores, percentage symptom free days and nights and usage of salbutamol. 70.8% of
the patients receiving SAL/FP were satisfied with the treatment, while only 26.1%
of patients receiving FP alone were (p = 0.020). No death or acute exacerbation
occurred. CONCLUSION: SAL/FP 50/250 MUg was safe and effective, and had a high
level of patient satisfaction resulting in significantly greater increases in
morning PEF and FEV1 compared to the use of FP 250 MUg alone.
PMID- 21880194
TI - Effects of lactoferrin-containing formula in the prevention of enterovirus and
rotavirus infection and impact on serum cytokine levels: a randomized trial.
AB - BACKGROUND: Lactoferrin has been shown to exhibit anti-enterovirus 71 (EV71) and
antirotavirus properties. This trial was conducted to determine whether a formula
containing bovine lactoferrin (bLF) exerts a protective effect against EV71 or
rotavirus infection among children from 2 to 6 years old. METHODS: A prospective,
randomized, single blind clinical trial of an oral supplement containing bLF
(daily dose approximately 70 to 85 mg) was carried out with healthy children in a
day care center from March 2002 to June 2003. The incidence of enterovirus or
rotavirus infection and the serum level of interferon- gamma (IFN-gamma) and
interleukin-10 (IL-10) were compared between children receiving and not receiving
bLF. RESULTS: A total of 172 children, 96 in group A, which received bLF, and 76
in group B which did not receive bLF, completed the trial. During the study
period, no EV71 was isolated and seroconversion of EV71 antibodies was noted in
only one child. Fourteen episodes of presumptive enterovirus infection and 12
episodes of presumptive viral enteritis were detected. No significant differences
were observed between groups in the incidence of presumptive enterovirus
infection or viral enteritis or the number of laboratory confirmed enterovirus or
rotavirus infections. No significant differences were observed in the serum
levels of IFN-gamma and IL-10 between groups either prior to or following the
trial. In both groups, IFN-gamma levels increased, but IL-10 was unchanged
following the trial. CONCLUSION: An oral supplement of bLF at a dose of 70 mg/day
did not show any benefits in the prevention of EV71 or rotavirus infection, or
any impact on IFN-gamma or IL-10 serum levels in healthy children in this trial.
PMID- 21880195
TI - Woodward procedure improves shoulder function in Sprengel deformity.
AB - BACKGROUND: Sprengel deformity is a congenital failure of descent of the scapula.
Limited shoulder abduction and cosmetic appearance are the major concerns.
Although the Woodward procedure reportedly affords satisfactory correction, the
long-term functional outcome postoperatively has not been addressed. METHODS:
Eight patients (9 shoulders) who underwent the Woodward procedure for Sprengel
deformity were evaluated. The cosmetic appearance and functional results of the
shoulders were evaluated using the Cavendish grading system and functional
Constant scoring. Grading of the cosmetic appearance, range of motion of the
shoulder, and radiographs were obtained for interpretation. RESULTS: After a mean
follow-up of 113 +/- 29 months, the cosmetic appearance had improved. The
Cavendish cosmetic grade improved significantly (p = 0.000). The superior
displacement ratio decreased from 0.5 +/- 0.1 to 0.2 +/- 0.1 (p = 0.004). The
height-to-width ratio of the affected scapula increased from 1.3 +/- 0.2 (range
1.1 to 1.7) to 1.6 +/- 0.2 (range 1.4 to 2.0) (p = 0.001). The abduction of the
shoulder improved from 122 +/- 14 (range 100 to 140) degrees to 157 +/- 20 (range
125 to 180) degrees (p = 0.008). The average abduction power of the involved
shoulders was 21.8 +/- 3.2 lbs, which was not significantly different from the
uninvolved shoulders 23.1 +/- 2.5 lb (p = 0.525). The average Constant score for
the operated shoulders was 91.7 +/- 4.2 (range 82 to 96) points. CONCLUSION: The
Woodward procedure offers substantial improvement of shoulder function and
cosmetic appearance for patients.
PMID- 21880196
TI - Autonomic activity difference during continuous positive airway pressure
titration in patients with obstructive sleep apnea/hypopnea syndrome with or
without hypertension.
AB - BACKGROUND: Current evidence suggests that obstructive sleep apnea-hypopnea
syndrome (OSAHS) is an independent risk factor for systemic hypertension. The
mechanisms linking OSAHS to hypertension remain unclear. However, recent studies
have indicated that abnormal autonomic control may be an important factor. Our
study aims to evaulate differences in autonomic activity between hypertensive and
normotensive OSAHS patients before and during continuous positive airway pressure
(CPAP) therapy. METHODS: Fifty-three OSAHS patients were analyzed in this study.
Patients were divided into 2 groups, one group comprising patients with
hypertension and the other of patients without hypertension. Heart rate
variability (HRV) was assessed by polysomnography, before patients received CPAP
titration and during CPAP titration. Then, HRV was compared between the
hypertensive and normotensive groups. Multivarate analyses were used to evaluate
the influence of clinical variables on autonomic activity. RESULTS: Although HRV
before CPAP titration was not statistically different between the 2 groups, low
frequency variability was significantly lower in hypertensive subjects who
received CPAP titration compared with normotensive subjects. Multivariate
analysis revealed that hypertension is a determinant factor of autonomic change
during CPAP use. CONCLUSIONS: Our findings demonstrate that CPAP therapy results
in a greater and immediate change in autonomic activity in hypertensive OSAHS
patients compared with normotensive OSAHS patients. This suggests that CPAP
lowers blood pressure by decreasing the patient's autonomic activity.
PMID- 21880197
TI - Higher serum potassium level associated with late stage chronic kidney disease.
AB - BACKGROUND: The serum potassium (K+) level is kept in a narrow range to sustain
normal physiology within the human body by the kidneys. The serum K+ level in
different stages of chronic kidney disease (CKD) remains undefined. METHODS: We
conducted a cross-sectional study to observe the serum K+ level in patients
without clinical manifestations of hyperkalemia in the late stages of CKD (stages
3-5). A total of 531 patients with late stage CKD were included and followed up
for at least 1 year, from March 2006 to May 2007. The patients were sub-grouped
by stages of CKD, which were determined by a "Modification of Diet in Renal
Disease" equation estimating the glomerular filtration rate (eGFR). The serum
creatinine, eGFR and K+ levels were recorded at least twice during the study. We
analyzed the average K+ level in these late-stage CKD patients. RESULTS: The
average K+ level increased along with renal function deterioration in the late
stages of CKD (stage 3: 4.36 +/- 0.49; stage 4: 4.50 +/- 0.55; stage 5: 4.69 +/-
0.73 mEq/L, p < 0.05). Men and patients with diabetes mellitus, a low eGFR, and a
low hemoglobin might have higher levels of serum K+. We also noticed that there
was a linear increase in the standard deviation of the serum K+ level as renal
function deteriorated. The use of angiotensin-converting enzyme inhibitors and
angiotensin II receptor blockers was not associated with hyperkalemia in our
patients. CONCLUSION: Our results reflected that the serum K+ level increased in
correlation with the decline in the eGFR in the late stages of CKD. Also, male
gender, diabetes mellitus, and anemia might be risk factors for higher K+ levels
in CKD patients. The variation in the serum K+ level became wider as renal
failure progressed.
PMID- 21880198
TI - Clinical analysis and strategy for liver transplantation in patients with pre
existing portal vein thrombosis.
AB - BACKGROUND: Liver transplantation (LT) in patients with portal vein thrombosis
(PVT) remains a challenge for transplant surgeons. In this study, we included a
group of patients with PVT who underwent LT, and analyzed patient outcomes.
METHODS: A total of 356 patients who underwent LT consisting of 167 cases of
deceased donor LT and 189 cases of live donor LT at Chang Gung Memorial Hospital
Linkou Medical Center between September 1996 and June 2009 were retrospectively
reviewed; 24 (6.7%) of these patients had PVT at transplantation. Their clinical
features, surgical management, and outcomes were analyzed. RESULTS: Surgical
management of patients with PVT included a thrombectomy followed by direct
anastomosis between the recipient's and the liver graft portal vein (PV) (n =
13), interposition vein graft between the recipient's coronary vein (CV) and the
liver graft PV (n = 3), direct anastomosis of the recipient's CV and the liver
graft PV (n = 1), interposition jump graft from the recipient's superior
mesenteric vein to the liver graft PV (n = 4), and transection of the thrombotic
PV followed by interposition of a venous graft between the recipient's PV and the
liver graft PV (n = 3). There were 7 hospital mortalities. The mean follow-up for
the 17 surviving patients was 36.3 months (range, 3.4-105.1 months), and 14
patients were still alive at the end of the study. Four patients (16.7%) had
rethrombosis of portal inflow after LT. Patients with PVT undergoing LT had a
significantly higher mortality rate (p = 0.033) than patients without PVT
undergoing LT. However, there was no significant difference in the cumulative
survival rates (p = 0.0696). Further analysis of patient survival according to
PVT grade, venous graft application, and reconstructed portal flow routes also
exhibited no significant differences. CONCLUSIONS: LT for patients with PVT is
clinically feasible and should not be considered a contraindication. However, a
favorable outcome is achievable only with ideal surgical management to overcome
PVT during LT.
PMID- 21880199
TI - Cervical posterior triangle castleman's disease in a child - case report &
literature review.
AB - The most prominent sites of Castleman's disease (CD) are the thorax (mediastinum
or lung hilum) and abdomen. It rarely occurs in the cervical area and only one
case has been reported in the posterior triangle of the neck. We report a new
case of cervical posterior triangle CD in a child. A 9-year-old boy presented
with an indolent mass in the posterior triangle (level V) on the left side of his
neck for more than six months. Complete excision was undertaken and the
histopathological diagnosis was CD of the hyaline-vascular type. At the 3-year
follow up, there were no signs of recurrence. The etiologies of persistent
cervical lymphadenopathy in children vary. Though it is very rare, we should keep
in mind that CD is possible. In addition, CD can be either localized (unicentric)
or diffuse (multicentric). The treatment and prognosis of these two are quite
different. Therefore, for any case of CD, systemic evaluation is recommended for
a precise diagnosis and proper management.
PMID- 21880200
TI - The treatment of fetishism in an adolescent with attention deficit hyperactivity
disorder.
AB - Fetishism is characterized by recurrent, intense sexual fantasy or behavior
involving the use of nonliving objects, such as women's undergarments, over a
period of at least six months. This disorder occurs mostly in males and usually
begins in adolescence. The neurobiological etiologies of fetishism remain
unclear, and studies on treatment were limited. We present a 14- year-old boy
with attention deficit hyperactivity disorder with fetishistic behavior who was
treated successfully with 36 mg extended-release methylphenidate daily and 4
months of cognitive-rational emotive psychotherapy.
PMID- 21880201
TI - Serum IL-9 levels and sublingual immunotherapy: preliminary report.
AB - Th9 is a new T cell subset characterized by IL-9 production. It has been reported
that serum IL-9 levels are related with symptom severity in patients with
allergic rhinitis (AR). This study is aimed at investigating whether serum IL-9
may be modulated by sublingual immunotherapy (SLIT) in patients with persistent
AR due to Parietaria allergy. Twenty-one AR patients (9 males, median age 41
years) successfully treated with SLIT and 52 AR patients (25 males, median age 34
years) treated only with drugs were evaluated during the pollen season. Serum IL
9 was dosed in all patients. SLIT-treated patients showed significantly lower
serum IL-9 levels than untreated AR patients (p <0.0001). In conclusion, this
preliminary study shows that a single pre-seasonal SLIT course might modulate
serum IL-9.
PMID- 21880202
TI - Present status and new perspectives in laser welding of vascular tissues.
AB - The laser welding of biological tissues is a particular use of lasers in surgery.
The technique has been proposed since the 1970s for surgical applications, such
as repairing blood vessels, nerves, tendons, bronchial fistulae, skin and ocular
tissues. In vascular surgery, two procedures have been tested and optimized in
animal models, both ex vivo and in vivo, in order to design different approaches
for blood vessels anastomoses and for the repair of vascular lesions: the laser
assisted vascular anastomosis (LAVA) and the laser-assisted vessel repair (LAVR).
Sealing tissues by laser may overcome the problems related to the use of
conventional closuring methods that are generally associated with various degrees
of vascular wall damage that can ultimately predispose to vessel thrombosis and
occlusion. In fact, the use of a laser welding technique provides several
advantages such as simplification of the surgical procedure, reduction of the
operative time, suppression of bleeding, and may guarantee an optimal healing
process of vascular structures, very similar to restitutio ad integrum. Despite
the numerous preclinical studies performed by several research groups, the
clinical applications of laser-assisted anastomosis or vessel repair are still
far off. Substantial breakthrough in the laser welding of biological tissues may
come from the advent of nanotechnologies. Herein we describe the present status
and the future perspectives in laser welding of vascular structures.
PMID- 21880203
TI - Skewed T-cell receptor repertoire: more than a marker of malignancy, a tool to
dissect the immunopathology of inflammatory diseases.
AB - The highly diverse heterodimeric surface T cell receptor (TCR) gives the T
lymphocyte its specificity for MHC-bound peptides needed to initiate antigen
recognition. In normal peripheral blood, spleen and lymph nodes, the TCR
repertoire of the T lymphocytes is usually polyclonal. However, in malignancies
such as leukemias, as well as in lymphoproliferative diseases of mature T cells,
the TCR is a reflection of the clonality of the malignant cells and is therefore
monoclonal. Several clinical conditions (mainly solid tumors and autoimmune
diseases) have been described where the TCR repertoire is restricted. The ability
to demonstrate clonal TCR usage provides a useful tool to dissect the
immunopathology of inflammatory diseases. In this review we discuss these
findings and propose to sub-divide diseases with restricted TCR repertoire into a
group of conditions in which there is a known TCR ligand, as opposed to diseases
in which the restricted TCR repertoire is the result of impaired T-cell
development. This classification sheds light on the pathogenesis of several
inflammatory diseases.
PMID- 21880204
TI - Psychological stress and vitamins.
AB - Conditions of stress and anxiety have complex interactions with insufficient
vitamin intake and malnutrition. This study, based on literature research in
Medline, analyzes the inter-relationship between vitamins and stress. This report
concerns a number of vitamins that have been receiving much attention in earlier
reviews of the literature, for their potential to protect against stress-related
events, and focus is placed upon recent findings.
PMID- 21880205
TI - GABA representation in hypoxia sensing: a ventilatory study in the rat.
AB - Phenibut, a nonspecific GABA derivative, is clinically used as an anxiolytic and
tranquilizer in psychosomatic conditions. A GABA-ergic inhibitory pathway is
engaged in respiratory control at both central and peripheral levels. However,
the potential of phenibut to affect the O2-related chemoreflexes has not yet been
studied. In this study we seek to determine the ventilatory responses to changes
in inspired O2 content in anesthetized, spontaneously-breathing rats. Steady
state 5-min responses to 10% O2 in N2 and 100% O2 were taken in each animal
before and 1 h after phenibut administration in a dose 450 mg/kg, i.p. Minute
ventilation and its frequency and tidal components were obtained from the
respiratory flow signal. We found that after a period of irregular extension of
the respiratory cycle, phenibut stabilized resting ventilation at a lower level
[20.0+/-3.3 (SD) vs 31.1+/-5.2 ml/min before phenibut; P<0.01]. The ventilatory
depressant effect of phenibut was not reflected in the hypoxic response. In
relative terms, this response was actually accentuated after phenibut; the peak
hypoxic ventilation increased by 164% from baseline vs the 100% increase before
phenibut. Regarding hyperoxia, its inhibitory effect on breathing was more
expressed after phenibut. In conclusion, the GABA-mimetic phenibut did not
curtail hypoxic ventilatory responsiveness, despite the presence of GABA-ergic
pathways in both central and peripheral, carotid body mechanisms mediating the
hypoxic chemoreflex. Thus, GABA-mediated synaptic inhibition may be elaborated in
a way to sustain the primarily defensive ventilatory chemoreflex.
PMID- 21880206
TI - Changes of immunomodulatory cytokines associated with omalizumab therapy for
severe persistent asthma.
AB - Omalizumab is an anti-IgE monoclonal antibody that was proven effective for the
treatment of severe asthma. IgE plays a central role in allergic asthma, and an
anti-allergic effect of omalizumab has been confirmed in terms of its impact on
Th2 cytokines. The objective of the present study is to determine the influence
of omalizumab on clinical parameters and circulating immuoregulatory cytokines.
Patients with severe allergic asthma were enrolled and given four months of
omalizumab therapy. Changes of symptoms and other parameters were assessed,
including the asthma control test (ACT) score, morning peak expiratory flow
(PEF), peripheral eosinophil count, total serum IgE, and pulmonary function
tests. The use of corticosteroids and short-acting bronchodilators, as well as
the number of unscheduled hospital visits, were monitored. Circulating levels of
cytokines were analyzed with a multiplex cytokine immunoassay in patients with or
without omalizumab therapy. Asthma symptoms (evaluated by the ACT score and
morning PEF) improved with omalizumab treatment, while total IgE was elevated.
Use of corticosteroids and short-acting bronchodilators and the number of
unscheduled hospital visits for exacerbation of asthma were all reduced by
omalizumab treatment. The level of macrophage inflammatory protein 1-delta (MIP1
delta) was significantly reduced after omalizumab therapy and was high in
patients without omalizumab. IL-16 also tended to decrease with omalizumab
therapy. Both MIP1-delta and IL-16 decreased as asthma improved over the 4-month
period of omalizumab therapy. These findings suggest that omalizumab may act via
IgE-mediated immunoregulation of MIP1-delta and IL-16.
PMID- 21880207
TI - Beneficial nutraceutical modulation of cerebral erythropoietin expression and
oxidative stress: an experimental study.
AB - The main object of this study is to examine the effect of Klamin(r), a
nutraceutical containing phenylethylamine, phycocyanins, mycosporine-like
aminoacids and aphanizomenon flos aquae-phytochrome on the learning and memory
ability, the oxidative status and cerebral erythropoietin and its receptor
EPO/EPOR system in prematurely senescent (PS) mice. A total of 28 PS mice,
selected according to a prior T-maze test, and 26 non-prematurely senescent mice
(NPS) mice were chosen. PS animals were divided into 3 groups and followed for 4
weeks: A) normal chow diet; B) added with Klamin(r) at 20 mg/kg/day (low dose);
C) added with Klamin(r) at 100mg/kg/day (high dose). A further group of NPS mice
given either normal food (group D) or high dose Klamin(r) (group E) was also
considered. The behavioral procedures of spatial learning ability (Morris test)
showed that PS mice had significantly longer learning time as compared to their
NPS counterpart (p<0.01), but this effect was prevented especially in mice
supplemented with high-dose Klamin(r) (p<0.05) which improved performances in NPS
mice (p<0.05). High-dose Klamin(r) supplementation restored the depleted total
thiol concentration in the brain observed in PS mice while normalizing their
increased malonildialdehyde level (p<0.05). Moreover, the high-dosage only caused
a significant upregulation of EPO/EPOR system both in PS and in NPS animals
(p<0.05). Taken together, these data suggest that this specific alga Klamath
extract has considerable antioxidant and adaptogenic properties, also through a
stimulatory effect of cerebral EPO/EPO system.
PMID- 21880208
TI - Immune responses to porphyromonas gingivalis infection suppress systemic
inflammatory response in experimental murine model.
AB - Periodontitis is a localized infectious disease caused by periodontopathic
bacteria such as Porphyromonas gingivalis (P. gingivalis), and the severity
correlates to significance of immune responses. Recently, it has been reported
that periodontitis is associated with the development of systemic disease such as
diabetes and atherosclerosis because of increasing invasion of oral pathogens to
the circulation. However, the association between local and systemic infectious
responses is still unclear. In the present study, we examined the differences of
biological responses in animals with or without bacterial infection. After Balb/c
mice were infected subcutaneously with live P. gingivalis W83, serum, skin and
liver were collected according to experimental protocol. The skin and liver
tissues were observed pathologically by haematoxylin-eosin staining, and serum IL
6 levels were measured using ELISA method. Throughout the experimental period,
conditions of the mice were observed continuously. As expected, severe
infiltration of leukocytes were observed at inflamed skin corresponding to the
number of bacterial challenges. Although no inflammatory appearance of skin was
observed, serum IL-6 levels were increased dramatically (P <0.01, Student's t
test) and liver tissues were injured in the mice without bacterial challenge.
Interestingly, although severe inflammatory appearance of the skin was observed,
serum IL-6 levels were not increased and no inflammatory responses were observed
in the liver of the 3-times bacterially challenged group. Importantly,
immunoglobulin G against P. gingivalis W83 was detected in the blood of mice with
3-times bacterial challenge corresponding to improvement of weight loss and
survival. In conclusion, although multiple infections develop severe localized
inflammation, the immune system should be sufficient to protect the systemic
inflammatory responses.
PMID- 21880209
TI - The effect of the plasticizer diethylhexyl phthalate on transport activity and
expression of P-glycoprotein in parental and doxo-resistant human sarcoma cell
lines.
AB - Multidrug resistance (MDR) to cancer therapy is frequently associated with the
over-expression of the multidrug transporter MDR1 gene product P-glycoprotein
(Pgp) in several types of human tumours. Various chemosensitizers have been used
to inhibit Pgp activity but toxicity limits their clinical application. Di(2
ethylhexyl)phthalate (DEHP) is a plasticizer that is released from polyvinyl
chloride (PVC) medical devices. Therefore, cancer patients undertaking
chemotherapy are exposed to a clinically important amount of DEHP through blood
and blood component transfusions, apheresis products, intravenous chemotherapy,
parenteral nutrition and other medical treatments. The present study was designed
to investigate the effects of DEHP on transport activity and expression of Pgp in
order to evaluate its potential use as a chemosensitizer in cancer therapy. Human
doxorubicin (doxo) resistant sarcoma cells (MES-SA/Dx5) that over-express Pgp
were treated with different doses of doxo (2, 4 and 8 MUM) in the presence or
absence of various concentrations of DEHP (3, 6 and 12 MUM) that were clinically
achievable in vivo. Our results show that co-treatment with 2, 4 and 8 MUM doxo
in the presence of the lowest concentration of DEHP (3 MUM) enhanced
significantly doxo accumulation in MES-SA/Dx5 cells and, consistently increased
the sensitivity to doxo, when compared to controls receiving only doxo. In
contrast, higher DEHP concentrations (6 and 12 MUM) induced MES-SA/Dx5 to extrude
doxo decreasing doxo cytotoxicity toward resistant cells below control values.
These results are consistent with the increase in Pgp expression levels in
parental MES-SA cells treated with 3, 6 and 12 MUM DEHP for 24 h and compared to
untreated controls. All in all, these findings suggest a potential clinical
application of DEHP as a chemosensitizer to improve effectiveness of the
antineoplastic drugs in MDR human tumours.
PMID- 21880210
TI - High prevalence of latent tuberculosis infection in autoimmune disorders such as
psoriasis and in chronic respiratory diseases, including lung cancer.
AB - The early diagnosis and treatment of individuals harboring M. tuberculosis is key
to ensuring the effectiveness of health programs aimed at the elimination of
tuberculosis (TB). Monitoring for TB also has other important health care
implications for the related immune pathology caused by the chronic inflammatory
response to M. tuberculosis. Moreover, the recent introduction of biologic
therapies for the treatment of several immune-mediated inflammatory diseases has
shown unexpected high frequencies of reactivation of latent TB. The present cross
sectional study is aimed at estimating the prevalence of latent tuberculosis
infection (LTBI) in different groups of subjects, either undergoing a routine
program of screening for TB or a clinical monitoring of autoimmune or lung
disorders, by analyzing their immune response in vitro to a pool of different M.
tuberculosis antigens through an IFN-gamma-release assay (IGRA). We consecutively
tested 1,644 subjects including health care workers (931), healthy immigrants
from different countries (93), patients with a diagnosis of psoriasis (405),
patients with lung inflammatory disease (60) or lung neoplasia (32) and a group
of HIV-1 infected Italian subjects (120). The prevalence of IGRAs positive
responses among health care workers was 8.9 percent. In comparison, significantly
higher frequencies were found in healthy immigrant subjects (33.3%), similar to
those found in inflammatory broncho-pneumopathies (34.5%) or lung cancer (29.6%).
Interestingly, an unexpected high prevalence was also found in patients affected
by psoriasis (18.0%), while HIV-infected subjects had values comparable to those
of health care workers (10.8%). An age cut-off was determined and applied for
each group by receiver operating characteristic (ROC) curves in order to perform
the statistical analysis among age-comparable groups. Multivariate analysis
showed that the age and clinical conditions such as having a diagnosis of
psoriasis or a lung inflammatory disease were independent risk factors for
developing an IGRA positive response. This study highlights an unprecedented high
prevalence of IGRA positive responses among patients affected by psoriasis and
emphasizes the need for a preliminary assessment of LTBI before the
administration of any biologic therapy based on cytokine antagonists such as anti
TNF-alpha. Moreover, screening for LTBI should be routinely performed in the
presence of a chronic pulmonary disease.
PMID- 21880211
TI - Redox balance signalling in occupational stress: modification by nutraceutical
intervention.
AB - There is increasing evidence that psychosocial stress can be viewed as a system
wide derangement of cellular homeostasis, with heightened oxidative stress and
triggered proinflammatory mechanisms. The aim of this study is twofold: a) to
replicate findings that psychological stress increases oxidative damage and b) to
determine whether a fermented papaya preparation known to exert significant
protective antioxidant properties could buffer such increases in nuclear DNA
damage while also inducing epigenetic protective mechanisms. Twenty-eight
sedentary men and women (age range: 28-52), who reported living a stressful
lifestyle but with an overall positive attitude, were recruited for this study.
Chronic diseases as well as severe burnout and use of drugs for anxiety
constituted exclusion criteria. Subjects were supplemented for 1 month with 9
g/day (4.5 g twice a day) of a certified fermented papaya preparation. All
subjects were given a stress and sleep quality questionnaire together with a diet
and life style assessment. Blood was collected at 2 and 4 week, erythrocyte and
leukocyte were separated to assess redox balance and heme oxygenase-1 (HO-1) gene
expression while bilirubin oxidized metabolites (BOMs) were tested in the urine.
Stressed individuals showed a significant abnormality of redox status with
increased MDA of erythrocyte and increased level of 8-0HdG in leukocyte and BOMs
excretion (p<0.05). Nutraceutical supplementation brought about a normalization
of such values already at the 2 week observation (p<0.05) together with a
significant upregulation of HO-1 (p<0.01). Taken together, the results of this
study confirm that stressful occupational life per se, without any overt
psychiatric illness, may be associated to increased oxidative stress.
Supplementation with functional food affecting redox regulation may be part of
the therapeutic armamentarium to be considered in this clinical setting.
PMID- 21880212
TI - A method to evaluate dynamics and periodicity of hormone secretion.
AB - Spontaneous hormone secretory dynamics include tonic and pulsatile components and
a number of periodic processes. Circadian variations are usually found for
melatonin, TSH and GH, with peak secretions at night, and in cortisol secretion,
which peaks in the morning. Free thyroxine (FT4) and insulin-like growth factor
(IGF)1 levels do not always change with circadian rhythmicity or show only minor
fluctuations. Fractional variations explore the dynamics of secretion related to
time intervals, and the rate of change in serum levels represents a signal for
the receptorial system and the target organ. We evaluated time-related variations
and change dynamics for melatonin, cortisol, TSH, FT4, GH and IGF1 levels in
blood samples obtained every 4 h for 24 h from eleven healthy males, ages 35-53
years (mean ? SE 43.6 +/- 1.7). Nyctohemeral (i.e., day-night) patterns of
hormone secretion levels and the fractional rate of variation between consecutive
4-hourly time-qualified hormone serum levels (calculated as percent change from
time 1 to time 2) were evaluated for circadian periodicity using a 24 and 12-h
cosine model. A circadian rhythm was validated for serum level changes in
cortisol with peaks of the 24-h cosine model at 07:48 h, and melatonin, TSH and
GH, with phases at 01:35 h, 23:32 h, and 00:00 h, respectively. A weak, but
significant, 12-h periodicity was found for FT4 serum levels, with minor peaks in
the morning (10:00 h) and evening (22:00 h), and for IGF1, with minor peaks in
the morning (07:40 h) and evening (19:40 h). Circadian rhythmicity was found in
the 4-hourly fractional variations with phases of increase or surge at 02:00 h
for cortisol, 22:29 h for melatonin, 05:14 h for FT4, and 21:19 h for GH. A
significant 12-h periodicity was found for the 4-hourly fractional variations of
TSH with two peaks in the morning (decrease or drop at 04:42 h) and afternoon
(surge at 16:28 h), whereas IGF1 fractional variation changes did not show a
significant rhythmic pattern. In conclusion, the calculation of the time
qualified fractional rate of variation allows evaluation of the dynamics of
secretion and the specification of the timepoint(s) of maximal change of
secretion, not only for hormones whose secretion is characterized by a circadian
pattern of variation, but also for hormones that show no circadian or only weak
ultradian (12 h) variations (i.e., FT4).
PMID- 21880213
TI - Molecular characterization of novel melanoma cell lines.
AB - We isolated two novel cell lines from different types of sporadic human malignant
melanoma: the hmel1 line was obtained from a melanoma skin metastasis and the
hmel9 cell line from a primary superficial spreading melanoma. The karyotype and
pigmentation parameters were assessed in these cell lines. Cytogenetic analysis
in early stages of culture revealed that both cell lines had chromosome
instability and simultaneous growth of heteroploid subpopulations. The molecular
analysis of some genes involved in melanoma showed that both cell lines harbor
BRAF mutations. The unpigmented hmel1 and the pigmented hmel9 lines were found to
express the tyrosinase gene. The tyrosine hydroxylase activity was detectable
only in hmel9 cells and practically absent in the hmel1 cell line. This activity
was found to be correlated with the relative tyrosinase protein amount in both
melanoma cell lines. The biological behaviour in the two melanoma cell lines,
derived from two different types of melanoma lesions displaying distinct clinical
and histopathological features, confirms the heterogeneous characteristics of
sporadic melanoma. Similarities and/or differences between cell lines extracted
from different melanoma cases could be useful in the future for diagnostic,
prognostic and therapeutic purposes.
PMID- 21880214
TI - Neuro-endocrine correlations of hypothalamic-pituitary-thyroid axis in healthy
humans.
AB - Neuro-endocrine hormone secretion is characterized by circadian rhythmicity.
Melatonin, GRH and GH are secreted during the night, CRH and ACTH secretion peak
in the morning, determining the circadian rhythm of cortisol secretion, TRH and
TSH show circadian variations with higher levels at night. Thyroxine levels do
not change with clear circadian rhythmicity. In this paper we have considered a
possible influence of cortisol and melatonin on hypothalamic-pituitary-thyroid
axis function in humans. Melatonin, cortisol, TRH, TSH and FT4 serum levels were
determined in blood samples obtained every four hours for 24 hours from ten
healthy males, aged 36-51 years. We correlated hormone serum levels at each
sampling time and evaluated the presence of circadian rhythmicity of hormone
secretion. In the activity phase (06:00 h-10:00 h-14:00 h) cortisol correlated
negatively with FT4, TSH correlated positively with TRH, TRH correlated
positively with FT4 and melatonin correlated positively with TSH. In the resting
phase (18:00 h-22:00 h-02:00 h) TRH correlated positively with FT4, melatonin
correlated negatively with FT4, TSH correlated negatively with FT4, cortisol
correlated positively with FT4 and TSH correlated positively with TRH. A clear
circadian rhythm was validated for the time-qualified changes of melatonin and
TSH secretion (with acrophase during the night), for cortisol serum levels (with
acrophase in the morning), but not for TRH and FT4 serum level changes. In
conclusion, the hypothalamic-pituitary-thyroid axis function may be modulated by
cortisol and melatonin serum levels and by their circadian rhythmicity of
variation.
PMID- 21880215
TI - Endothelial activation and injury by cigarette smoke exposure.
AB - Endothelial activation/injury following exposure to cigarette smoke may explain
incidence of atherosclerosis and cardiovascular disease in smokers. We
investigated cigarette smoke extract (CSE) effects relative to activation,
injury, and survival of human umbilical vein endothelial cells (HUVEC) and
compared circulating levels of specific endothelial activation markers between
smokers and healthy non-smokers before and after smoking cessation. Viability and
toxicity of HUVEC were tested by MTT and LDH assay. Release (by endothelial
cells) and circulating levels (in smokers) of von Willebrand Factor (vWF),
thrombomodulin (TM), was evaluated by ELISA. Incubation with increasing
concentrations of CSE reduced the percentage of viable cells, being 33.9%, 23.9%
after CSE 4%, 6% respectively. Dose- and time-dependent release of LDH was
observed after incubation with CSE. vWF, TM release were assayed after CSE 2%
HUVEC stimulation. Significant 42%, 61%, 76% increase in vWF concentration was
detected respectively at 30', 60', 120'. Reduction in circulating levels of vWF,
from a median value of 144.0% to 123.7%, was observed in the quitters group after
smoking cessation. Exposure to cigarette smoke is cytotoxic and induces
activation/injury of endothelium in vitro and in vivo. These findings may provide
pathogenetic basis by which smoking can predispose to development of
atherothrombosis and cardiovascular disease.
PMID- 21880216
TI - A systems biology approach: new insights into fetal growth restriction using
Bayesian Networks.
AB - IL-6, IGF-II and IGFBP-2 concentrations in placental lysates were previously
shown to be associated with foetal growth. This study aimed to apply a Bayesian
Network (BN) model in order to investigate complex dependencies among biochemical
and clinical factors and fetal growth outcome. Twenty-one Intra-Uterine Growth
Restricted (IUGR) and 25 Appropriate for Gestational Age (AGA) pregnancies were
followed throughout pregnancy. Information was collected on maternal and
gestational age, neonatal gender, previous gynaecological history. Total protein
content, IGF-II, IGFBP-1, IGFBP-2, IL-6, and TNF-alpha concentrations in
placental lysates were measured, and IGF-I, IGF-II, IGFBP-1, IGFBP-2 and IL-6
relative gene expression in placenta assessed. A BN and a hybrid forecasting
system were implemented: BN revealed a key role of maternal age and TNF-alpha on
IUGR and confirmed a close relationship among IGF-II, IL-6 and foetal growth. A
relationship between duration of gestation, appropriateness for gestational age,
and placental IL-6 concentration was also confirmed. Compared with other
techniques, BN showed a better accuracy. Findings confirmed a major role of
maternal age in addition to IGF-II, IL-6 and TNF-alpha in IUGR. A direct role of
IGFBP-2 was not shown. BN confirmed to be useful in understanding the system's
biology and graphically representing variable relationships and hierarchy,
particularly where, as in IUGR, many interactions among predictors exist.
PMID- 21880217
TI - Statistical analysis of differential gene expression in colorectal cancer using
CLEAR-test.
AB - CLEAR test provides a novel method of analysis by combining inference for
differential expression and variability. Frozen tumor specimens from 14 (3 coded
Stage I, 5 Stage II, 2 Stage III and 4 Stage IV) colon cancer patients were
obtained. Archived primary tumor samples were collected at the time of surgery
and normal colon mucosae (controls specimens) were also collected. The studied
transcriptomes were clustered using hierarchical agglomeration with Ward's method
and Tchebychev distance. The separable groups of transcriptomes were classified
as high clinical stage of adenocarcinoma (HCS; stages II-IV), low clinical stage
of adenocarcinoma (LCS; stages I and 3 controls), and two normal colon mucosae
(controls N1 and N2). The results of the CLEAR-test algorithm in normal colon
specimens and adenocarcinoma specimens with low and high clinical stage showed 50
most and 50 least significant genes. The list of differential genes (p<0.01) in
normal colon specimens and adenocarcinoma specimens with low and high clinical
stage presented 58 genes.
PMID- 21880218
TI - Anti-tumor necrosis factor treatment in occult hepatitis B virus infection: a
retrospective analysis of 62 patients with psoriatic disease.
AB - One of the problems possibly related to the use of biological agents targeting
tumor necrosis factor (TNF)-alpha is the increased risk of infections, including
the activation of hepatitis B virus (HBV). HBV activation can occur in carriers
of hepatitis B surface antigen (HBsAg), but the risk may also involve the HBsAg
negative (anti-HBc +/- anti-HBs) occult carriers. Precise data on the safety of
anti-TNF and/or other immunosuppressive drugs in HBV occult carriers are not
available. We performed a retrospective analysis of 62 psoriatic patients with
occult HBV infection treated with anti-TNF biological agents over a period of
approximately 4 years: 44 subjects were treated with etanercept, 8 with
infliximab and 10 with adalimumab. During the observational treatment period, no
signs of HBV activation were observed. Only in one patient the reappearance of
HBsAg, without detectable HBV-DNA, was noted before retreatment with etanercept
and after 10 months from discontinuation of the previous course. In this patient
etanercept was re-administered in association with lamivudine without any adverse
event. Our results suggest the overall safety of treatment with anti-TNF drugs in
HBV occult carriers, although a careful and constant monitoring of virological
markers is required in such patients during treatment with anti-TNF drugs in
order to have an early recognition of viral reactivation.
PMID- 21880219
TI - A pilot study on the transcriptional response of androgen- and insulin-related
genes in peripheral blood mononuclear cells induced by testosterone
administration in hypogonadal men.
AB - The aim of the present study is to determine whether testosterone (T)
administration changes the expression profile of androgen- and insulin-related
genes in peripheral blood mononuclear cells (PBMC). To this end, we evaluated the
gene expression profile of 19 genes (AKT2, CCND1, GSK3ALPHA, IGF1, GSK3BETA,
FOXO3, IL6, IGFBP2, UGT2B17, ARA55, CREBBP, CYP11A, HSD17B1, HSD17B7, UGT2B7,
SELADIN 1, CLU, PGC1, AKR1C1) selected according their function in the androgen
pathways, in a series of 11 hypogonadal men pharmacologically treated with T. We
noted that 7 genes were differentially expressed, five of them were up-regulated
(AKT2 FC=2.39, CREBBP FC=11.2, GSK3beta FC=5.6, UGT2B7 FC=4.49, UGT2B17 FC=2.88)
and two were down-regulated (ARA55 FC= -2.0, CYP11A FC= -2.47). This experience
suggests that androgen- and insulin-related genes can be considered useful blood
genomic biomarkers for specific steroid drugs.
PMID- 21880220
TI - May nasal hyperreactivity be a sequela of recurrent common cold?
AB - Respiratory viral infections may worsen bronchial hyperreactivity. However, there
is no data on the possible role of recurrent infectious rhinitis in nose
hyperreactivity. This study was therefore designed to investigate whether
subjects suffering from recurrent common cold have nasal hyperreactivity,
assessed by histamine nasal challenge. This study included a group of 40 patients
(19 males, mean age 34.1 years) with history of at least five episodes of common
cold in the previous year, but without documented allergy, and twenty healthy
subjects (8 males, mean age 32.3 years) were enrolled as control group, all of
whom were non-allergic. Nasal provocation test with histamine was performed in
all subjects. Nasal provocation test with histamine induced a 200% increase in
nasal resistance after provocation in 24 (60%) patients suffering from recurrent
viral rhinitis. No normal subject had an increase >180% in nasal resistance.
There was a significant difference between the patient group and the control
group (p<0.05). In conclusion, this study shows that nasal hyperreactivity might
be a sequela of recurrent common cold. Further studies should be conducted to
confirm this preliminary finding.
PMID- 21880221
TI - Using insulin in type 2 diabetes: in need of a renaissance?
PMID- 21880223
TI - Analysis of the environmental impact of insulin infusion sets based on loss of
resources with waste.
AB - Insulin pump therapy [continuous subcutaneous insulin infusion (CSII)] requires
regular change of infusion sets every 2-3 days in order to minimize the risk of
skin irritations or other adverse events. This has been discussed to be a
potential burden to the environment. The purpose of this analysis was to perform
an environmental assessment of insulin pump infusion sets based on loss of
resources occurring during incineration of the discarded products and by means of
a lifecycle concept used to weight a material in relation to its rareness on
earth and its consumption. In addition to five infusion sets (Inset30, InsetII,
Comfort, Quick-set, and Cleo), a patch pump (Omnipod) was also included in this
analysis. The annual loss in waste of the so called "person reserve" of 3 days of
catheter use was compared with daily consumption of a cup of coffee in a
disposable paper cup and to a soft drink in an aluminum can. The weight-based
loss in resources through waste for the infusion sets (except for Cleo)
corresponded to 70-200% of the loss of resources for a coffee cup (Cleo, 320%;
Omnipod, 1,821,600%) and to 1-3% of the loss from an aluminum soft drink can
(Cleo, 5%; Omnipod, 31,200%). The loss or resources by use of infusion sets used
in insulin pump therapy appears to be low and is similar to the burden induced by
the uptake of one cup of coffee per day. The loss or resources with regular CSII
is considerably lower than the loss or resources induced by patch pumps.
PMID- 21880222
TI - Geoenvironmental diabetology.
AB - Many reports have documented the negative health consequences that environmental
stressors can have on patients with diabetes. Studies examining the interaction
between the environment and a patient with diabetes can be unified under a single
discipline termed "geoenvironmental diabetology." Geoenvironmental diabetology is
defined more specifically as the study of how geophysical phenomena impact a
patient with diabetes, to include effects on metabolic control, ancillary
equipment (e.g., glucometers and insulin pumps), medications, supplies, access to
care, and influences on the adaptive strategies employed by patients to care for
their diabetes under extreme circumstances. Geological events such as natural
disasters (e.g., earthquakes) or extreme weather (e.g., heat waves) are examples
of stressors that can affect patients with diabetes and that can be included
under the heading of geoenvironmental diabetology. As proposed here,
geoenvironmental diabetology refers to how events in the physical world affect
those with diagnosed diabetes, rather than how environmental factors might
trigger development of disease. As the global prevalence of diabetes continues to
increase, including in parts of the world that are especially vulnerable to
disasters and climate change, further discussion is warranted on how to best
prepare for management of diabetes under conditions of extreme geological and
weather events and a changing climate. An overview is presented of various
studies that have detailed how geoenvironmental phenomena can adversely affect
patients with diabetes and concludes with a discussion of requirements for
developing strategies for geoenvironmental diabetes management.
PMID- 21880224
TI - Analysis: the impact of needle, syringe, and lancet disposal on the community.
AB - There are over 7.5 billion needles and syringes used outside of the health care
system each year by individuals with diabetes, migraines, allergies, infertility,
arthritis, HIV, hepatitis, multiple sclerosis, osteoporosis, psoriasis, or other
conditions. Disposal of needles, syringes, lancets, and other medical products
are not regulated in the home, while these same products used in health care
facilities are strictly regulated. Home-generated medical waste is routinely
placed into curbside trash, placing sanitation workers and custodial personnel at
personal risk of a needle-stick injury. The Coalition for Safe Community Needle
Disposal is working to establish a solution that is satisfactory to all
stakeholders, sharing the burden of these programs with the pharmaceutical
industry, medical device industry, waste management industry, recycling
companies, and local and state governments.
PMID- 21880225
TI - Waste disposal in the 21st century and diabetes technology: a little coffee (cup)
or beer (can) with that insulin infusion (set).
AB - The advent of single-use disposable syringes along with myriad similar products
for the health care industry has raised interest in the impact of these devices
on the environment. Interest does not stop at impact of the device, but also
includes associated pharmaceutical agents. Across the spectrum of health care,
providers as well as end users of products are assessing the impact of product
design and contents upon land, air, and water. In this issue of Journal of
Diabetes Science and Technology, Pfutzner and colleagues tackle the issue by
focusing on a product for the diabetes patient. As environmental sustainability
has become part of the evaluation process of many products, their assessment
sheds some interesting light on the impact of a group of devices when compared
and contrasted against the ever-popular disposable coffee cup or beer/soda
aluminum can. Regional variations in waste disposal practices need to be
understood when conducting these types of assessments.
PMID- 21880226
TI - Evaluation of a novel continuous glucose measurement device in patients with
diabetes mellitus across the glycemic range.
AB - BACKGROUND: This glucose clamp study assessed the performance of an
electrochemical continuous glucose monitoring (CGM) system for monitoring levels
of interstitial glucose. This novel system does not require use of a trocar or
needle for sensor insertion. METHOD: Continuous glucose monitoring sensors were
inserted subcutaneously into the abdominal tissue of 14 adults with type 1 or
type 2 diabetes. Subjects underwent an automated glucose clamp procedure with
four consecutive post-steady-state glucose plateau periods (40 min each): (a)
hypoglycemic (50 mg/dl), (b) hyperglycemic (250 mg/dl), (c) second hypoglycemic
(50 mg/dl), and (d) euglycemic (90 mg/dl). Plasma glucose results obtained with
YSI glucose analyzers were used for sensor calibration. Accuracy was assessed
retrospectively for plateau periods and transition states, when glucose levels
were changing rapidly (approximately 2 mg/dl/min). RESULTS: Mean absolute percent
difference (APD) was lowest during hypoglycemic plateaus (11.68%, 14.15%) and the
euglycemic-to-hypoglycemic transition (14.21%). Mean APD during the hyperglycemic
plateau was 17.11%; mean APDs were 18.12% and 19.25% during the hypoglycemic-to
hyperglycemic and hyperglycemic-to-hypoglycemic transitions, respectively. Parkes
(consensus) error grid analysis (EGA) and rate EGA of the plateaus and transition
periods, respectively, yielded 86.8% and 68.6% accurate results (zone A) and
12.1% and 20.0% benign errors (zone B). Continuous EGA yielded 88.5%, 75.4%, and
79.3% accurate results and 8.3%, 14.3%, and 2.4% benign errors for the
euglycemic, hyperglycemic, and hypoglycemic transition periods, respectively.
Adverse events were mild and unlikely to be device related. CONCLUSION: This
novel CGM system was safe and accurate across the clinically relevant glucose
range.
PMID- 21880227
TI - Real-life utilization of real-time continuous glucose monitoring: the complete
picture.
AB - BACKGROUND: Very few studies to date have analyzed the reasons why some people do
not use real-time continuous glucose monitoring (RT-CGM) continuously, especially
given its positive glycemic outcomes, or choose not to wear it at all, even after
learning about its benefits. METHODS: A questionnaire was designed to assess real
life use of and issues surrounding RT-CGM. Hemoglobin A1c (HbA1c) and duration of
sensor use were also obtained from the patients' charts. RESULTS: Fifty-eight
subjects with type 1 diabetes (T1DM), average age 15.0 +/- 4.8 years, T1DM
duration 5.7 +/- 3.8 years, HbA1c 8.8 +/- 2.1%, 50% with RT-CGM, were included in
the analysis. Hemoglobin A1c was lower with increased RT-CGM use. Real-time
continuous glucose monitoring was ordered to improve control. Users liked the
continuous data. The most disliked part was pain and discomfort. Occasional users
described RT-CGM as annoying, a hassle, and interfering with their lives. Reasons
for discontinuing RT-CGM included problematic equipment and inaccuracy (64%),
intrusion in life (36%), and insurance issues (29%). Twenty-one percent of
nonusers reported RT-CGM to be inconvenient or a hassle or just did not want it.
Fifty-two percent of subjects continue to use RT-CGM despite reported problems.
CONCLUSION: Real-time continuous glucose monitoring is a beneficial tool for
improving glycemic control, and many use it despite reported problems and hassles
with current devices. However, this technology has not been wholeheartedly
embraced by many individuals with T1DM, especially in youngsters, because of
issues mentioned here. Based on the findings of this study, it is hoped that
improvements will be made to RT-CGM technology so that more people with diabetes
will embrace this beneficial tool.
PMID- 21880228
TI - Characterizing blood glucose variability using new metrics with continuous
glucose monitoring data.
AB - OBJECTIVE: Glycemic variability contributes to oxidative stress, which has been
linked to the pathogenesis of the long-term complications of diabetes. Currently,
the best metric for assessing glycemic variability is mean amplitude of glycemic
excursion (MAGE); however, MAGE is not in routine clinical use. A glycemic
variability metric in routine clinical use could potentially be an important
measure of overall glucose control and a predictor of diabetes complication risk
not detected by glycosylated hemoglobin (A1C) levels. This study aimed to develop
and evaluate new automated metrics of glycemic variability that could be
routinely applied to continuous glucose monitoring (CGM) data to assess and
enhance glucose control. METHOD: Individual 24 h CGM tracings from our clinical
diabetes research database were scored for MAGE and two additional metrics
designed to compensate for aspects of variability not captured by MAGE: (1)
number of daily glucose fluctuations >75 mg/dl that leave the normal range (70
175 mg/dl), or excursion frequency, and (2) total daily fluctuation, or distance
traveled. These scores were used to train machine learning algorithms to
recognize excessive variability based on physician ratings of daily CGM charts,
producing a third metric of glycemic variability: perceived variability. Finger
stick A1C (average) and serum 1,5-anhydroglucitol (postprandial) levels were used
as clinical markers of overall glucose control for comparison. RESULTS: Mean
amplitude of glycemic excursion, excursion frequency, and distance traveled did
not adequately quantify the glycemic variability visualized by physicians who
evaluated the daily CGM plots. A naive Bayes classifier was developed that
characterizes CGM tracings based on physician interpretations of tracings.
Preliminary results suggest that the number of excessively variable days, as
determined by this naive Bayes classifier, may be an effective way to
automatically assess glycemic variability of CGM data. This metric more closely
reflects 90-day changes in serum 1,5-anhydroglucitol levels than does MAGE.
CONCLUSION: We have developed a new automated metric to assess overall glycemic
variability in people with diabetes using CGM, which could easily be incorporated
into commercially available CGM software. Additional work to validate and refine
this metric is underway. Future studies are planned to correlate the metric with
both urinary 8-iso-prostaglandin F2 alpha excretion and serum 1,5-anhydroglucitol
levels to see how well it identifies patients with high glycemic variability and
increased markers of oxidative stress to assess risk for long-term complications
of diabetes.
PMID- 21880229
TI - Creation of the Web-based University of Chicago Monogenic Diabetes Registry:
using technology to facilitate longitudinal study of rare subtypes of diabetes.
AB - BACKGROUND: Monogenic diabetes is a group of disorders caused by mutations in any
one of a number of genes. Although a monogenic diagnosis--estimated to represent
as much as 2% of all diabetes patients--can have a transformational impact on
treatment, the majority of monogenic cases remain unidentified and little is
known about their natural history. We thus created the first United States
Monogenic Diabetes Registry (http://www.kovlerdiabetescenter.org/registry/) for
individuals with either neonatal diabetes diagnosed before 1 year of age or with
a phenotype suggestive of maturity-onset diabetes of the young. METHODS:
Inclusion criteria and consent documents are viewable on our Web site, which
allows secure collection of contact information to facilitate telephone consent
and enrollment. Relevant medical, family, and historical data are collected
longitudinally from a variety of sources and stored in our Web-accessible secure
database. RESULTS: We have enrolled well over 700 subjects in the registry so
far, with steady recruitment of those diagnosed under 1 year of age and
increasing enrollment of those diagnosed later in life. Initially, participants
were mostly self-referred but are increasingly being referred by their
physicians. Comprehensive survey and medical records data are collected at
enrollment, with ongoing collection of longitudinal data. Associated private
Facebook and email discussion groups that we established have already fostered
active participation. CONCLUSIONS: Our early success with the Monogenic Diabetes
Registry demonstrates the effectiveness of low-cost Web-based tools, including
surveys, the Research Electronic Data Capture database program, and discussion
groups, for efficient enrollment and support of rare patients, and collection and
maintenance of their data.
PMID- 21880230
TI - A 16-week open-label, multicenter pilot study assessing insulin pump therapy in
patients with type 2 diabetes suboptimally controlled with multiple daily
injections.
AB - BACKGROUND: We assessed the efficacy, safety, and patient-reported outcomes
(PROs) of insulin pump therapy in patients with type 2 diabetes mellitus (T2DM)
who were suboptimally controlled with a multiple daily injection (MDI) regimen.
METHODS: In this subanalysis of a 16-week multicenter study, 21 insulin-pump
naive patients [age 57 +/- 13 years, hemoglobin A1c (A1C) 8.4 +/- 1.0%, body
weight 98 +/- 20 kg, total daily insulin dose 99 +/- 65 U, mean +/- standard
deviation] treated at baseline with MDI therapy with or without oral antidiabetic
agents discontinued all diabetes medications except metformin and initiated
insulin pump therapy. Insulin was titrated to achieve the best possible glycemic
control with the simplest possible dosing regimen. Outcome measures included A1C,
fasting and postprandial glucose, body weight, incidence of hypoglycemia, and
PROs. RESULTS: Glycemic control improved significantly after 16 weeks: A1C 7.3 +/
1.0% (-1.1 +/- 1.2%, p < .001), fasting glucose 133 +/- 33 mg/dl (-32 +/- 74
mg/dl, p < .005), and postprandial glucose 153 +/- 35 mg/dl (-38 +/- 46 mg/dl, p
< .001). At week 16, the mean daily basal, bolus, and total insulin doses were 66
+/- 36, 56 +/- 40, and 122 +/- 72 U (1.2 U/kg), respectively, and 90% of patients
were treated with two or fewer daily basal rates. Body weight increased by 2.8 +/
2.6 kg (p < .001). Mild hypoglycemia was experienced by 81% of patients at least
once during the course of the study with no episodes of severe hypoglycemia.
There were significant improvements in PRO measures. CONCLUSIONS: Insulin pump
therapy using a relatively simple dosing regimen safely improved glucose control
and PROs in patients with T2DM who were unable to achieve glycemic targets with
MDI therapy. Controlled trials are needed to further assess the clinical benefits
and cost-effectiveness of insulin pumps in this patient population.
PMID- 21880231
TI - Hypoglycemia impairs quality of blood glucose simulation in a clinical decision
support system.
AB - BACKGROUND: Clinical decision support systems allow for decisions based on blood
glucose simulations. The DiasNet simulation tool is based on accepted principles
of physiology and simulates blood glucose concentrations accurately in type 1
diabetes mellitus (T1DM) patients during periods without hypoglycemia, but
deviations appear after hypoglycemia, possibly because of the long-term glucose
counter-regulation to hypoglycemia. The purpose of this study was to evaluate the
impact of hypoglycemia on blood glucose simulations. METHOD: Continuous glucose
monitoring (CGM) data and diary data (meals, insulin, self-monitored blood
glucose) were collected for 2 to 5 days from 17 T1DM patients with poor glycemic
control. Hypoglycemic episodes [CGM glucose <63 mg/dl (3.5 mmol/liter) for >=20
min] were identified in valid (well-calibrated) CGM data. For 24 hours after each
hypoglycemic episode, a simulated (DiasNet) glucose profile was compared to the
CGM glucose. RESULTS: A total of 52 episodes of hypoglycemia were identified in
valid data. All subjects had at least one hypoglycemic episode. Ten episodes of
hypoglycemia from nine subjects were eligible for analysis. The CGM glucose was
significantly (p < .05) higher than simulated blood glucose for a period of 13 h,
beginning 8 h after hypoglycemia onset. CONCLUSIONS: The present data show that
hypoglycemia introduces substantial and systematic simulation errors for up to 24
h after hypoglycemia. This underlines the need for further evaluation of
mechanisms behind this putative long-term glucose counter-regulation to
hypoglycemia. When using blood glucose simulations in decision support systems,
the results indicate that simulations for several hours following a hypoglycemic
event may underestimate glucose levels by 100 mg/dl (5.6 mmol/liter) or more.
PMID- 21880232
TI - A stepwise approach toward closed-loop blood glucose control for intensive care
unit patients: results from a feasibility study in type 1 diabetic subjects using
vascular microdialysis with infrared spectrometry and a model predictive control
algorithm.
AB - BACKGROUND: Glycemic control can reduce the mortality and morbidity of intensive
care patients. The CLINICIP (closed-loop insulin infusion for critically ill
patients) project aimed to develop a closed-loop control system for this patient
group. Following a stepwise approach, we combined three independently tested
subparts to form a semiautomatic closed-loop system and evaluated it with respect
to safety and performance aspects by testing it in subjects with type 1 diabetes
mellitus (T1DM) in a first feasibility trial. METHODS: Vascular microdialysis, a
multianalyte infrared spectroscopic glucose sensor, and a standard insulin
infusion pump controlled by an adaptive model predictive control (MPC) algorithm
were combined to form a closed-loop device, which was evaluated in four T1DM
subjects during 30-hour feasibility studies. The aim was to maintain blood
glucose concentration in the target range between 80 and 110 mg/dl. RESULTS: Mean
plasma glucose concentration was 110.5 +/- 29.7 mg/dl. The MPC managed to
establish normoglycemia within 105 +/- 78 minutes after trial start and managed
to maintain glucose concentration within the target range for 47% of the time.
The hyperglycemic index averaged to 11.9 +/- 5.3 mg/dl. CONCLUSION: Data of the
feasibility trial illustrate the device being effective in controlling glycemia
in T1DM subjects. However, the monitoring part of the loop must be improved with
respect to accuracy and precision before testing the system in the target
population.
PMID- 21880233
TI - The Indian Council of Medical Research-India Diabetes (ICMR-INDIAB) study:
methodological details.
AB - BACKGROUND: Currently available estimates of diabetes prevalence in India are
based on published data derived from very few studies. The Indian Council of
Medical Research-India Diabetes (ICMR-INDIAB) study is a community-based survey
conceived with the aim of obtaining the prevalence rates of diabetes in India as
a whole, covering all 28 states, the National Capital Territory of Delhi, and two
of the union territories in the mainland of India, with a total sample size of
124,000 individuals. METHODS: A stratified multistage sampling design has been
used. In all study subjects, a structured questionnaire was administered and
anthropometric parameters and blood pressure were measured. Fasting capillary
blood glucose was first determined using a glucose meter. An oral glucose load
was then administered to all subjects except those with self-reported diabetes,
and the 2 h post-load capillary blood glucose was estimated. In every fifth
subject, a fasting venous sample was collected for measurement of lipids and
creatinine, a resting 12-lead electrocardiogram was performed, and dietary
assessment questionnaire was administered. In all diabetic subjects, an
additional diabetes questionnaire was used and a fasting venous sample drawn for
glycated hemoglobin. RESULTS: All biological samples collected were analyzed in a
central laboratory. All data collected were stored electronically. Quality
control was achieved through multiple tiers of checks. CONCLUSIONS: The ICMR
INDIAB study is the first of its kind attempting to provide accurate and
comprehensive state- and national-level data on diabetes prevalence in India.
PMID- 21880234
TI - Analysis of the Indian Council of Medical Research-India Diabetes (ICMR-INDIAB)
study.
AB - The Indian Council of Medical Research-India Diabetes (ICMR-INDIAB) study is the
first nationally representative survey of diabetes in India. It aims to provide
national and regional counts of diabetes and prediabetes and also of
cardiovascular risk factors. This ambitious and complex survey uses robust
sampling techniques, standardized methods, appropriate quality assurance, and a
three-phase data collection. However, the survey should be completed within a
reasonable time span to avoid a differential effect of secular trends on regional
estimates. A high response rate and low missing values must also be ensured.
Reliance on capillary whole blood glucose (CBG) for the diagnosis of
hyperglycemic states is a limitation of the survey. However, this is a reasonable
compromise given the practical challenges of such a large study. Despite a good
correlation between CBG and venous plasma glucose (VPG), the use of CBG may
misclassify glycemic status. A better characterization of the CBG-VPG
relationship, and the performance of CBG for detecting hyperglycemia, using a
much larger sample, seems therefore advisable. This should be possible given that
venous blood has been collected on a sizeable subset of participants. The Indian
Council of Medical Research and the Madras Diabetes Research Foundation deserve
praise for this massive undertaking, which will highlight areas for policy action
and establish a national framework for noncommunicable disease (NCD)
surveillance. The ICMR-INDIAB survey lays the foundation for effective NCD
prevention and control and for applied public health research.
PMID- 21880235
TI - Convergence of prevalence rates of diabetes and cardiometabolic risk factors in
middle and low income groups in urban India: 10-year follow-up of the Chennai
Urban Population Study.
AB - AIM: The aim of this study was to look for temporal changes in the prevalence of
diabetes and cardiometabolic risk factors in two residential colonies in Chennai.
METHODS: Chennai Urban Population Study (CUPS) was carried out between 1996-1998
in Chennai in two residential colonies representing the middle income group (MIG)
and lower income group (LIG), respectively. The MIG had twice the prevalence rate
of diabetes as the LIG and higher prevalence rates of hypertension, obesity, and
dyslipidemia. They were motivated to increase their physical activity, which led
to the building of a park. The LIG was given standard lifestyle advice. Follow-up
surveys of both colonies were performed after a period of 10 years. RESULTS: In
the MIG, the prevalence of diabetes increased from 12.4 to 15.4% (24% increase),
while in the LIG, it increased from 6.5 to 15.3% (135% increase, p < .001). In
the LIG, the prevalence rates of central obesity (baseline vs follow-up, male:
30.8 vs 50.9%, p < .001; female: 16.9 vs 49.8%, p < .001), hypertension (8.4 vs
20.1%, p < .001), hypercholesterolemia (14.2 vs. 20.4%, p < .05), and
hypertriglyceridemia (8.0 vs 23.5%, p < .001) significantly increased and became
similar to that seen in the MIG. CONCLUSION: There is a rapid reversal of
socioeconomic gradient for diabetes and cardiometabolic risk factors in urban
India with a convergence of prevalence rates among people in the MIG and LIG.
This could have a serious economic impact on poor people in developing countries
such as India.
PMID- 21880236
TI - Diabetes and Technology for Increased Activity (DaTA) study: results of a remote
monitoring intervention for prevention of metabolic syndrome.
AB - OBJECTIVE: An increasingly aged, overweight, and sedentary population has
resulted in elevated risk of cardiovascular disease (CVD). The escalating
incidence of diabetes and other chronic illnesses, deficits in health care
budgets, and physician shortages, especially in rural communities, have prompted
investigations of feasible solutions. The Diabetes and Technology for Increased
Activity (DaTA) study was designed to test the effectiveness of a lifestyle
intervention driven by self-monitoring of blood glucose (BG), blood pressure
(BP), physical activity (PA), and weight to positively impact CVD risk factors in
a medically underserviced rural population with a high incidence of metabolic
syndrome (MS). RESEARCH DESIGN AND METHODS: Conducted in a community-based
research setting, this single-center open feasibility study used smart phones to
transmit BP, BG, pedometer, weight, heart rate, and activity measurements to a
database. Technology allowed participants to interface with the clinical team and
self-monitor their personal health indicators. RESULTS: Twenty-four participants
aged 30 to 71 years completed the 8-week intervention. Participants had
significant improvement in clinic (p = .046) and self-monitored diastolic BP (p =
.001), body mass index (p = .002), and total cholesterol (p = .009), and steps
per day. Daily PA increased as well as participants' interest in and willingness
to make lifestyle changes that impact health outcomes. CONCLUSIONS: The DaTA
study demonstrated that self-monitoring of the risk factors for MS and increased
PA improved the participant's CVD risk profile. Considering the 8-week time
period of this intervention, results are encouraging. This lifestyle
intervention, which uses education and technology as tools, confirms the utility
of remote health monitoring.
PMID- 21880237
TI - Remote monitoring technologies for the prevention of metabolic syndrome: the
Diabetes and Technology for Increased Activity (DaTA) study.
AB - OBJECTIVES: Remote monitoring technologies are ideally suited for rural
communities with limited access to health care. In an 8-week pilot study, we
examined the feasibility of implementing and conducting a technology-intensive
intervention in an underserviced rural setting. Our goal was to test the utility
of self-monitoring technologies, physical activity, and education as tools to
manage health indicators for the development of the cardiovascular complications
(CVCs) of type 2 diabetes. RESEARCH DESIGN AND METHODS: The Diabetes and
Technology for Increased Activity study was an open single-center study conducted
in a community-based research setting. All 24 participants were provided with a
BlackberryTM Smartphone, blood pressure monitor, glucometer, and pedometer.
Smartphones transmitted measurements and survey results to the database,
interfaced participants with the clinical team, and allowed for self-monitoring.
RESULTS: Outcomes were improved body composition, improved markers of CVC risk
factors, increased daily exercise, and interest in or awareness of lifestyle
changes that impact health outcomes. Participants had excellent compliance for
measurements, as self-monitoring provided a sense of security that improved from
week 4 to week 8. CONCLUSIONS: Our team gained substantial insight into the
operational requirements of technology-facilitated health care, including
redefined hours of service; data reporting, management, and access protocols; and
the utility of real-time clinical measures by remote monitoring. We developed an
understanding of knowledge translation strategies as well as successful
motivational and educational tools. Importantly, remote monitoring technology was
found to be feasible and accepted in a rural setting.
PMID- 21880238
TI - The use of optical coherence tomography to determine the effect of
thiazolidinediones on retinal thickness in patients with type 2 diabetes.
AB - OBJECTIVE: Thiazolidinediones (TZDs) are insulin-sensitizing agents that are
associated with peripheral edema and have been reported to be associated with
diabetic macular edema (DME). We hypothesized that TZDs produce subclinical
increases in retinal thickness that may be detected by optical coherence
tomography (OCT) but are not seen on routine dilated funduscopic examination.
RESEARCH DESIGN AND METHODS: We used OCT to screen for subclinical DME in a cross
sectional study of patients with type 2 diabetes; 29 patients were taking TZDs
and 58 were not taking TZDs. We analyzed data using multiple linear regression
analysis to investigate associations of retinal thickness with clinical
characteristics. RESULTS: There was no significant difference between the central
subfield retinal thickness in the non-TZD group (206.4 +/- 28.0 microns; n = 59)
and TZD group (204.1 +/- 26.1 microns; n = 29) (p = .72) nor were there
significant differences in any other retinal subfield. There was no significant
correlation of retinal thickness with laboratory results studies--peripheral
edema, gender, age, duration of diabetes, individual, or combinations of
medications. Retinal thickness differences between regions displayed normal
anatomical variation. However, ethnic differences were found in which African
Americans had thinner retinas in all regions than Caucasians regardless of
whether or not they used TZDs. CONCLUSIONS: These data suggest that TZDs do not
cause subclinical DME in a demographically diverse patient population with
diabetes. The established normal ranges for macular thickness may require
adjustment based on ethnicity.
PMID- 21880239
TI - Efficacy of continuous glucose monitoring in improving glycemic control and
reducing hypoglycemia: a systematic review and meta-analysis of randomized
trials.
AB - OBJECTIVE: We conducted a systematic review and meta-analysis to assess the
efficacy of continuous glucose monitoring (CGM) in improving glycemic control and
reducing hypoglycemia compared to self-monitored blood glucose (SMBG). METHODS:
We searched MEDLINE, EMBASE, Cochrane Central, Web of Science, and Scopus for
randomized trials of adults and children with type 1 or type 2 diabetes mellitus
(T1DM or T2DM). Pairs of reviewers independently selected studies, assessed
methodological quality, and extracted data. Meta-analytic estimates of treatment
effects were generated using a random-effects model. RESULTS: Nineteen trials
were eligible and provided data for meta-analysis. Overall, CGM was associated
with a significant reduction in mean hemoglobin A1c [HbA1c; weighted mean
difference (WMD) of -0.27% (95% confidence interval [CI] -0.44 to -0.10)]. This
was true for adults with T1DM as well as T2DM [WMD -0.50% (95% CI -0.69 to -0.30)
and -0.70 (95% CI, -1.14 to -0.27), respectively]. No significant effect was
noted in children and adolescents. There was no significant difference in HbA1c
reduction between studies of real-time versus non-realtime devices (WMD -0.22%,
95% CI, -0.59 to 0.15 versus -0.30%, 95% CI, -0.49 to -0.10; p for interaction
0.71). The quality of evidence was moderate due to imprecision, suggesting
increased risk for bias. Data for the incidence of severe or nocturnal
hypoglycemia were sparse and imprecise. In studies that reported patient
satisfaction, users felt confident about the device and gave positive reviews.
CONCLUSION: Continuous glucose monitoring seems to help improve glycemic control
in adults with T1DM and T2DM. The effect on hypoglycemia incidence is imprecise
and unclear. Larger trials with longer follow-up are needed to assess the
efficacy of CGM in reducing patient-important complications without significantly
increasing the burden of care for patients with diabetes.
PMID- 21880240
TI - Lancing: quo vadis?
AB - Today, lancing fingertips or alternative sites for obtaining a blood sample for
self-monitoring of blood glucose (SMBG) is a standard procedure for most patients
with diabetes. The need for frequent lancing and associated discomfort and pain
can be seen as a key hurdle for patients to comply with SMBG regimens. This
article provides an overview of the status quo and future of lancing, focusing on
key areas for future developments driven by customer and market needs. We also
review technical issues and provide a background for possible improvements. The
act of puncturing the skin with a lancet to obtain a blood sample seems to remain
the standard procedure for the foreseeable future, because alternate ways of
providing a blood sample have not demonstrated overall superiority (e.g., with
laser technology). Other methods, which avoid lancing entirely, have also not
gained broad market acceptance (e.g., minimally invasive continuous glucose
monitoring) or not shown technical viability (e.g., noninvasive glucose
monitoring). In relation to blood glucose (BG) meters and test strips, lancing
has been a "stepchild" with regards to commercial attention and development
efforts. Nevertheless, significant technological improvements have been made in
this field to address key customer needs, including better performance (regarding
pain, wound healing, and long-term sensitivity), reduced cost, and higher
integration with other components of BG monitoring (e.g., integration of the
lancing device with the glucose monitor). From a technical perspective, it is
apparent that highly comfortable lancing can be accomplished; however, this still
requires fairly advanced and complex devices. New developments are necessary to
achieve this level of sophistication and performance with less intricate and
costly system designs. Manufacturers' motivation to pursue these developments is
compromised by the fact that they might not recoup their development cost on
commercial advanced lancing systems through direct profits, but only through its
positive influence on adherence and increased more profitable sensor utilization.
We believe that two main driving forces will continue to push the evolution of
lancing and sampling technology: (1) the need for maximum lancing comfort and (2)
the advent of fully integrated systems, realizing a device in which all steps for
SMBG are incorporated, thus providing a "one-step" experience. Rendering lancing
a "nonissue" will eliminate a key barrier to adherence with appropriate SMBG
regimens. Providing sophisticated lancing devices that allow the highest level of
comfort and/or seamless blood sampling is key to improving user acceptance. This
may have a greater impact on metabolic control than many of the new and expensive
antidiabetic drugs.
PMID- 21880241
TI - High sensitivity C-reactive protein, tumor necrosis factor-alpha, interleukin-6,
and vascular cell adhesion molecule-1 levels in Asian Indians with metabolic
syndrome and insulin resistance (CURES-105).
AB - AIM: The aim of this study was to assess levels of high-sensitivity C-reactive
protein (hs-CRP), tumor necrosis factor-alpha (TNF-alpha), interleukin-6 (IL-6),
and vascular cell adhesion molecule-1 (VCAM-1) in South Indian subjects with and
without MS and among MS subjects with and without insulin resistance (IR).
METHODOLOGY: From the population-based Chennai Urban Rural Epidemiology Study,
334 subjects with MS and 342 subjects without MS were selected. Metabolic
syndrome was diagnosed based on modified National Cholesterol Education Program
criteria. High-sensitivity C-reactive protein, TNF-alpha, IL-6, and VCAM-1 were
measured by enzyme-linked immunosorbent assay. Insulin resistance was calculated
using the homeostasis model assessment (HOMA-IR) using the following formula:
fasting insulin (uIU/ml) * fasting glucose (mmol/liter)/22.5. RESULTS: Subjects
with MS had significantly higher levels of all four inflammatory markers compared
to those without MS: hs-CRP (2.57 vs 2.19 mg/liter) (p < .05), TNF-alpha (4.47 vs
3.89 pg/ml) (p < .05), IL-6 (16.22 vs 10.96 pg/ml) (p < .05), and VCAM-1 (13.8 vs
7.94 pg/ml) (p < .05). In the total study subjects, hs-CRP (r = 0.089, p = .047),
TNF-alpha (r = 0.113, p = .040), IL-6 (r = 0.176, p = .042), and VCAM-1 (r =
0.230, p = .06) were significantly correlated with MS. With increasing quartiles
of IR, mean levels of hs-CRP (p for trend <.001) and TNF-alpha (p for trend <.05)
increased linearly. MS subjects with IR had higher levels of hs-CRP (p < .001)
and TNF-alpha (p < .05) compared to MS subjects without IR. CONCLUSION: In Asian
Indians, inflammatory cytokines hs-CRP, TNF-alpha, IL-6, and VCAM-1 are elevated
in subjects with MS while hs-CRP and TNF-alpha are further elevated in those with
MS and IR.
PMID- 21880242
TI - Effect of pioglitazone and ramipril on biomarkers of low-grade inflammation and
vascular function in nondiabetic patients with increased cardiovascular risk and
an activated inflammation: results from the PIOace study.
AB - AIMS: This study investigated the effects of pioglitazone (PIO), ramipril (RAM),
or their combination (PIRA) on low-grade inflammation in nondiabetic hypertensive
patients with increased cardiovascular risk. METHODS AND RESULTS: Patients
enrolled in this placebo-controlled, double-blind, randomized, parallel trial (72
male, 77 female, aged 60 +/- 9 years, body mass index 30.4 +/- 4.7 kg/m(2),
duration of hypertension 9 +/- 8 years) were treated with either 30/45 mg PIO
(dose titration), 2.5/5 mg RAM, or their combination for 12 weeks. A reduction in
high-sensitivity C-reactive protein was observed with PIO (-0.89 +/- 1.98
mg/liter; -25%) and PIRA (-0.49 +/- 2.11 mg/liter; -16%), while an increase was
seen with RAM (0.58 +/- 2.13 mg/liter; +20%, p < .05 vs PIO and PIRA). The 24
hour blood pressure profile showed a small increase with both monotherapies but a
decrease with PIRA (p < .05 vs PIO). Improvements in biomarkers of chronic
systemic inflammation and insulin resistance (IR) were observed in the PIO and
PIRA arms only [PIO/RAM/PIRA: homeostasis model of assessment of IR: -0.78 +/-
1.39 (-29%)/0.15 +/- 1.03 (+5%)/ -1.44 +/- 2.83 (-40%); adiponectin: 8.51 +/-
5.91 (+104%)/ 0.09 +/- 2.63 (+1%)/ 8.86 +/- 6.37 mg/liter (+107%); matrix metallo
proteinase-9: -48 +/- 127 (-12%)/-1 +/- 224 (0%)/-60 +/- 210 ng/ml (-13%), p <
.05 for RAM vs PIO or PIRA in all cases]. CONCLUSIONS: Our 3-month study in
nondiabetic hypertensive patients showed a decrease in biomarkers of IR and
chronic systemic inflammation with the PIO monotherapy and the PIRA combination
only, which may help to explain some findings in other cardiovascular outcome
trials.
PMID- 21880243
TI - Outcome measures for outpatient hypoglycemia prevention studies.
AB - Systems are being developed that utilize algorithms to predict impending
hypoglycemia using commercially available continuous glucose monitoring (CGM)
devices and to discontinue insulin delivery if hypoglycemia is predicted. In
outpatient studies designed to test such systems, CGM-measured glycemic indices
will not only be important outcome measures of efficacy but, in certain cases,
will be the only good outcome. This is especially true in short-term studies
designed to reduce hypoglycemia since the event rate for severe hypoglycemic
events is too low for it to be a good outcome, and milder hypoglycemia often will
be variably detected. Continuous glucose monitoring inaccuracy can be accounted
for in the study design by increasing sample size and/or study duration.
PMID- 21880244
TI - Nanotechnology in elevation of the worldwide impact of obesity and obesity
related diseases: potential roles in human health and disease.
AB - Current worldwide data show epidemics of obesity and type 2 diabetes with no real
solutions apart from continuous calls to changing lifestyle and food habits.
Despite health messages that are communicated by health authorities, the epidemic
is growing. More people are affected with health consequences that are usually
frightening as more resources are wasted, especially in areas where health care
and resources are lacking. Nanotechnology applications in food industry present
practical approaches that help produce more tasty food with little calories,
functional foods, and nutritional supplements and alter the fats and sugar
contents of our foods with potential for many more applications. Consequently,
this opens more windows to better control of many nutritional deficiencies as
well as obesity and type 2 diabetes, especially among children and young adults
who are addicted to fast food. With such potential, food producers, policy
makers, health authorities, food scientists, and governments need to collaborate
and make all possible efforts to fund and support research in different areas of
food produced using nanotechnology. So far, consumers are not prepared to accept
food produced using nanotechnology, mainly because information on the safety of
such products are not enough. This issue needs to be addressed and researched
well using suitable risk assessment methodologies. Consumers need to be assured,
and involved as well, to avoid the "refusal state" that still exists against many
safe products such as genetically modified organisms and irradiated food. There
is the possibility that consumers could perceive that they will bear the
potential risks posed by nanotechnology applications while the benefits will
accrue mainly to others, such as food processors or farmers.
PMID- 21880245
TI - Accuracy of the CONTOUR(r) blood glucose monitoring system.
AB - OBJECTIVE: The aim of the study was to assess the accuracy of the CONTOUR(r)
blood glucose monitoring system (BGMS) according to the International
Organization for Standardization's International Standard 15197 (ISO 15197:2003)
guidelines and to more stringent criteria. METHOD: Finger stick blood samples
from 105 subjects with diabetes (25 with type 1, 77 with type 2, and 3 with type
unknown) were tested using the CONTOUR BGMS and YSI glucose analyzer. RESULTS:
99.3% of results were within ISO 15197:2003 criteria (+/-15 mg/dl of YSI results
at glucose concentrations <75 mg/dl and +/-20% at glucose concentrations >=75
mg/dl). Additionally, 96.7% of results were accurate according to more stringent
criteria (+/-15 mg/dl of YSI results for glucose concentrations <100 mg/dl and +/
15% for glucose concentrations >=100 mg/dl). Error grid analysis showed that
99.3% and 0.7% of results were within zones A and B, respectively. CONCLUSION:
The CONTOUR BGMS exceeded both the minimum acceptable accuracy based on ISO
15197:2003 and the more stringent accuracy criteria.
PMID- 21880246
TI - Preanalytic and analytic accuracy: toward more realistic and meaningful self
monitoring of blood glucose submissions for regulatory approval.
AB - Dr. Cembrowski provides an analysis of an article by Harrison and colleagues in
this issue of Journal of Diabetes Science and Technology in which the authors
describe the evaluation of a new device for self-monitoring of blood glucose, the
Bayer CONTOUR(r) blood glucose monitoring system.
PMID- 21880247
TI - Use of U-500 regular insulin via continuous subcutaneous insulin infusion:
clinical practice experience.
PMID- 21880249
TI - [Cell cannibalism by entosis: a new pathway leading to aneuploidy in cancer].
PMID- 21880248
TI - [Olfaction : the cortex reshuffles the maps].
PMID- 21880250
TI - [Homozygous deletion of DPY19L2 is responsible for most cases of
globozoospermia].
PMID- 21880251
TI - [A modular and non pathogenic type A botulinum toxin].
PMID- 21880252
TI - [TIF1gamma: a tumor suppressor gene in chronic myelomonocytic leukemia].
PMID- 21880253
TI - [TIF1gamma is a chief conductor of the hematopoietic system].
PMID- 21880254
TI - [Follow-up of the children born by ICSI].
PMID- 21880255
TI - [Chemotaxis of spermatozoa is regulated by progesterone binding on calcium
channel CATSPER].
PMID- 21880256
TI - [miR-122, a microRNA gatekeeper of iron homeostasis].
PMID- 21880257
TI - [Genome: does a paucity of initiation events lead to fragility?].
PMID- 21880258
TI - [3D revolution of stem cells: building a retina in vitro].
PMID- 21880259
TI - [Microglia arise from extra-embryonic yolk sac primitive progenitors].
AB - Microglia are the resident macrophage population of the central nervous system
(CNS). Adequate microglia function is crucial for the homeostasis of the CNS in
health and disease, as they represent the first line of defence against
pathogens, contributing to immune responses, but are also involved in tissue
repair and remodeling. It is therefore crucial to better understand microglia
origin and homeostasis. Much controversy remains regarding the nature of
microglial progenitors, as the exact contribution and persistence of embryonic
and post-natal hematopoietic progenitors to the adult microglial pool in the
steady state remained unclear. In this study, we show that post-natal
hematopoietic progenitors do not significantly contribute to microglia
homeostasis in the adult brain in mice. In vivo lineage tracing studies
established that adult microglia derives from primitive hematopoietic progenitors
that arise before embryonic day 8. These results identify microglia as an
ontogenically distinct population in the mononuclear phagocyte system and have
implications for the use of embryonically-derived microglial progenitors for the
treatment of various brain disorders.
PMID- 21880261
TI - [Alzheimer's disease, amyloid peptide and synaptic dysfunction].
AB - Alzheimer's disease (AD) is the first cause of dementia that leads to insidious
and progressive loss of memory and cognitive functions. In the early stages of
AD, there is a strong correlation between memory impairment and cortical levels
of soluble amyloid-beta peptide oligomers (Abeta). It has become clear that Abeta
disrupt glutamatergic synaptic function, which in turn may lead to the
characteristic cognitive deficits. Conversely, experiments in rodents have
conforted the notion that Abetao impairs synaptic transmission and plasticity,
and that mouse models with increased production of these oligomers display
cognitive impairment. Many studies have attempted to determine the mechanisms by
which Abetao disrupt synaptic plasticity and mediate their detrimental effect,
but the actual pathways are still poorly understood. Here we review this thriving
area of research which aims at understanding the mechanisms of synaptic
dysfunction in the early phase of AD, and its consequences on the activity of
neural circuits.
PMID- 21880260
TI - [Histone methyltransferases: a new class of therapeutic targets in cancer
treatment?].
AB - Epigenetic gene regulation contributes, together with genetic alterations, to
cancer development and progression. In contrast to genetic disorders, the
possibility of reversing epigenetic alterations has provided original targets for
therapeutic application. In the last years, work has been focused on the
pharmacological restoration of epigenetic regulation balance using epidrugs which
yield hopes for novel strategy in cancer therapy. Histone acetylation and DNA
methylation are epigenetic modifications which have been closely linked to the
pathology of human cancers, and inhibitors of both enzyme classes for clinical
use are at hands. Novel findings accumulated during the last years both in
chemistry and biomedical applications give rise to new targeted treatments
against cancer. Since their links with pathogenesis and progression of cancer
were recognized, histone methyltransferases emerge as promising therapeutic
targets in cancer treatment.
PMID- 21880262
TI - [Immunodeficiencies and pathologies associated with mutations in STIM/ORAI, a
membrane complex in the heart of calcium signalling].
AB - Six years ago, STIM1 (stromal interaction molecule 1) was identified as an
essential component of store-operated calcium channels and in less than one year
teamed up with its first partner ORAI1 in immune cells to reconstitute CRAC
(calcium-release activated current) channel function. Since then, STIM1 and ORAI1
have developed an ever increasing social network and to date are now linked to
nine families of proteins involved in calcium signalling. As a result of this,
STIM1 and ORAI1 are now involved in three separate calcium entry pathways, Icrac,
Iarc (arachidonic regulated calcium current) and voltage-dependent channels.
Physiopathological roles of STIM1 and ORAI1 were first described in the
immunological system but, as main actors at the central node in the calcium
signalling network, there are now clear evidences that mutations in genes coding
STIM1 or ORAI1 interfere with several other diseases.
PMID- 21880263
TI - [A uNick protein].
AB - Nck is an adaptor protein composed of three N-terminal Src Homology (SH) 3
domains followed by a unique C-terminal SH2 domain. Like other SH2/SH3 domains
containing adaptor proteins, Nck mediates signal transduction from activated cell
surface receptors by directing the flow of information to elicit properly
orchestrated cell responses. In this way, Nck appears to be unique in its
contribution to a wide variety of cellular processes. Moreover, in addition to
the typical signal/pY-SH2/SH3-effectors mode of signaling, Nck also transduces
signals through an inverse mode of -signaling (signal-SH3/SH2-pY/effectors) and
from various cell compartments. Since Nck contributes to important morphogenic
and mitogenic processes, deregulated expression of Nck could be detrimental to
cellular homeostasis. In agreement, Nck expression has been found upregulated in
numerous types of cancer. In this paper we delineate the main molecular
signaling -complexes associated with Nck, focusing on those involved in cancer
progression.
PMID- 21880264
TI - [Light-sheet based fluorescence microscopy: the dark side of the sample finally
revealed].
AB - Light-sheet based fluorescence microscopy (LSM) is an optical technique that
becomes more and more popular for multi-view imaging of in vivo sample in its
physiological environment. LSM combines the advantages of the direct optical
sectioning to the ones of optical tomography by angular scanning. In fact, a thin
light-sheet illuminates laterally a section of the sample, thus limiting the
effects of photobleaching and phototoxicity only to the plane of interest. The
spatial resolution can be improved by combining multiple views obtained along
different angle into a single data, leading to a 3D isotropic rendering of the
sample. Such an approach provides several advantages in comparison to
conventional 3D microscopic techniques: confocal and multiphoton microscopies. It
makes LSM an optical tool suited for imaging specimens with a subcellular
resolution even inside an embryo and with temporal resolution adapted for real
time monitoring of biological processes.
PMID- 21880265
TI - [Neuroimaging and neuroscience of emotional processes].
AB - In neurosciences, there is increasing interest in studying affective, emotional
and social processes in humans and animals. What are the conceptual framework
identified for these studies and the technical approaches undertaken? What
information has already emerged from these studies? Which results have already
been obtained and what are the researchers' goals? Can these neuroimaging
analyses provide novel insights to improve our understanding of psychiatric
disorders? These are some of the questions addressed in this concise overview of
our knowledge in this domain.
PMID- 21880266
TI - [Uncertainty of long term preservation of digital documents and how to cope with
it].
AB - The development of digital technologies in all activities sectors of our society
leads to a growing number of digital documents. A significant part of these
documents needs to be durably preserved. This long term preservation has to face
the short life expectancy of the technologies and the digital storage media.
Large national organizations have already take this problem into account and set
up teams, skills and means to face this challenge. At the opposite, the small
structures, doctor's offices, individuals, students, etc. are not generally aware
of the problem or are stripped to face there. A certain number of simple actions,
not requiring specific skills in data processing can nevertheless be undertaken.
Without important expenditure, they increase to a significant degree, the
security level of the documents over the long term.
PMID- 21880267
TI - [Images in the dialogue between science and society].
AB - In France, over 45 millions people watch TV every day for more than 3 hours.
Science and image get well together since most TV watchers trust this media and
rely on it (more than on any other source) for their scientific information. This
emphasizes the power of images, which do not always deliver information, but can
be naively regarded as creating communication. Image is necessary and an event
which does not generate images is a non-event. Images are more than just a
support for scientific messages: technologies have produced an enormous amount of
images which allow us to uncover the mysteries of the world and Universe, their
beauty and delicacy. We can be fascinated by the discovery of the invisible world
which surrounds us, and science has truly generated artistic masterpieces even
though we should remember that its primary goal is to understand the world rather
than to create images.
PMID- 21880268
TI - [The bewildering complexity of cancer].
PMID- 21880269
TI - Thermodynamic stability of bacteriorhodopsin mutants measured relative to the
bacterioopsin unfolded state.
AB - The stability of bacteriorhodopsin (bR) has often been assessed using SDS
unfolding assays that monitor the transition of folded bR (bR(f)) to unfolded
(bR(u)). While many criteria suggest that the unfolding curves reflect
thermodynamic stability, slow retinal (RET) hydrolysis during refolding makes it
impossible to perform the most rigorous test for equilibrium, i.e.,
superimposable unfolding and refolding curves. Here we made a new equilibrium
test by asking whether the refolding rate in the transition zone is faster than
RET hydrolysis. We find that under conditions we have used previously, refolding
is in fact slower than hydrolysis, strongly suggesting that equilibrium is not
achieved. Instead, the apparent free energy values reported previously are
dominated by unfolding rates. To assess how different the true equilibrium values
are, we employed an alternative method by measuring the transition of bR(f) to
unfolded bacterioopsin (bO(u)), the RET-free form of unfolded protein. The bR(f)
to-bO(u) transition is fully reversible, particular when we add excess RET. We
compared the difference in unfolding free energies for 13 bR mutants measured by
both assays. For 12 of the 13 mutants with a wide range of stabilities, the
results are essentially the same within experimental error. The congruence of the
results is fortuitous and suggests the energetic effects of most mutations may be
focused on the folded state. The bR(f)-to-bO(u) reaction is inconvenient because
many days are required to reach equilibrium, but it is the preferable measure of
thermodynamic stability. This article is part of a Special Issue entitled:
Protein Folding in Membranes.
PMID- 21880270
TI - Taxing "Sin drinks": from economy to sugar control.
PMID- 21880271
TI - Development of a genetic system for Marinobacter adhaerens HP15 involved in
marine aggregate formation by interacting with diatom cells.
AB - Diatom aggregation is substantial for organic carbon flux from the photic zone to
deeper waters. Many heterotrophic bacteria ubiquitously found in diverse marine
environments interact with marine algae and thus impact organic matter and energy
cycling in the ocean. In particular, Marinobacter adhaerens HP15 induces
aggregate formation while interacting with the diatom, Thalassiosira weissflogii.
To study this effect at the molecular level, a genetic tool system was developed
for strain HP15. The antibiotic susceptibility spectrum of this organism was
determined and electroporation and conjugation protocols were established. Among
various plasmids of different incompatibility groups, only two were shown to
replicate in M. adhaerens. 1.4*10(-3) transconjugants per recipient were obtained
for a broad-host-range vector. Electroporation efficiency corresponded to
1.1*10(5)CFU per MUg of DNA. Transposon and gene-specific mutageneses were
conducted for flagellum biosynthetic genes. Mutant phenotypes were confirmed by
swimming assay and microscopy. Successful expression of two reporter genes in
strain HP15 revealed useful tools for gene expression analyses, which will allow
studying diverse bacteria-algae interactions at the molecular level and hence to
gain a mechanistic understanding of micro-scale processes underlying ocean basin
scale processes. This study is the first report for the genetic manipulation of a
Marinobacter species which specifically interacts with marine diatoms and serves
as model to additionally analyze various previously reported Marinobacter-algae
interactions in depth.
PMID- 21880272
TI - It's time to pay attention to the endometrium.
AB - The physiologic importance of the endometrium in assisted reproductive technology
is now receiving much research attention. The historical importance of ultrasound
for determination of endometrial pattern, thickness and contractility is reviewed
and speculation provided for a possible mechanism of the adverse effect of thin
endometrium on implantation.
PMID- 21880273
TI - Assessment of endometrial receptivity.
AB - OBJECTIVE: To provide a focused review of the scientific literature pertaining to
endometrial receptivity. DESIGN: Review of the literature and appraisal of
relevant articles. SETTING: Academic teaching hospital. PATIENT(S): Women with
infertility. INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): Critical review of
the literature. RESULT(S): Although a consensus has been achieved regarding the
existence of a temporally defined period during which embryo attachment and
invasion can occur (called the "window of implantation"), reliable methods to
assess "receptivity" have not been established or adequately validated. In women
with certain gynecologic disorders, including endometriosis, tubal disease, and
polycystic ovary syndrome, endometrial receptivity seems to be compromised,
leading to infertility and pregnancy loss. The establishment of reliable
biomarkers for the detection of defects in endometrial receptivity has been a
long-sought goal that remains an elusive target. The validation of endometrial
biomarkers will require properly designed and implemented studies based on the
recognition that endometrial receptivity defects are not equally distributed in
women with endometriosis or these other conditions. CONCLUSION(S): Rapidly
advancing technologies are bringing new biomarkers to the clinical arena that
promise to further reveal the complexities of the implantation process.
PMID- 21880274
TI - Hormonal induction of endometrial receptivity.
AB - OBJECTIVE: To review and synthesize information from the scientific literature
pertaining to the hormonal induction of endometrial receptivity before ET.
DESIGN: Critical review of selected scientific literature, synthesis and
formulation of opinion. SETTING: Not applicable. PATIENT(S): Prospective
recipients of oocyte donation or candidates for frozen embryo transfer.
INTERVENTION(S): Hormonal treatment for the purpose of induction of endometrial
receptivity. MAIN OUTCOME MEASURE(S): Successful induction of endometrial
receptivity, as substantiated by live birth rates, pregnancy rates, implantation
rates or by measuring putative markers of endometrial receptivity. RESULT(S): The
practice of assisted reproductive technology, particularly third-party parenting,
in which the source of oocytes is separated from the endometrium, has allowed a
separate assessment of embryo and endometrial development. Endometrial
receptivity can be induced by exogenously administered E(2) and P in a variety of
regimens. The degree of synchrony between embryo and endometrium influences the
probability of embryo implantation and may be controlled by initiating P
stimulation at different times relative to the stage of embryo development. Many
substances have been investigated as adjuncts to E(2) and P in the induction of
endometrial receptivity, but at the present time, their value is unproven.
CONCLUSION(S): Estrogen and P are the only hormones necessary to prepare the
endometrium for implantation.
PMID- 21880275
TI - Clinical outcomes and development of children born after intracytoplasmic sperm
injection (ICSI) using extracted testicular sperm or ejaculated extreme severe
oligo-astheno-teratozoospermia sperm: a comparative study.
AB - OBJECTIVE: To evaluate the clinical outcomes and development of children born
after intracytoplasmic sperm injection (ICSI) with extracted testicular sperm or
ejaculated extreme severe oligo-astheno-teratozoospermia (OAT) sperm. DESIGN:
Retrospective study. SETTING: Infertility clinic at Chang Gung Memorial Hospital.
PATIENT(S): A total of 126 ICSI cycles were performed using extracted testicular
sperm from men with azoospermia and 65 ICSI cycles using fresh ejaculated sperm
from men with extreme severe OAT. INTERVENTION(S): Retrospective analysis of
clinical outcomes and development of children born after ICSI with extracted
testicular sperm or ejaculated extreme severe OAT sperm. MAIN OUTCOME MEASURE(S):
Fertilization rates, number of grade 1 zygotes and number of embryos produced,
implantation rate, clinical pregnancy rate, abortion and live birth rate per
transfer, perinatal outcomes, and birth defects. RESULT(S): The demographic and
clinical factors, including age, E(2) level on hCG day, number of oocytes
retrieved, normal fertilization rate, zygote grade 1 score distribution, number
of top-quality embryos transferred, clinical pregnancy rate per transfer,
chemical pregnancy rate per transfer, implantation rate, live birth rate per
transfer, and abortion rate per transfer, were similar between the groups. Sixty
live births resulted from 48 extracted testicular sperm cycles and 21 live births
from 19 extreme severe OAT. The obstetric and perinatal outcomes were similar
between the groups, and children conceived by using ICSI were healthy and without
major psychomotor or intellectual development retardation. One case of tetralogy
of Fallot occurred in each group. CONCLUSION(S): There is no evidence of
differences in the clinical outcomes and development of children result after
ICSI with extracted testicular sperm or ejaculated extreme severe OAT sperm.
PMID- 21880276
TI - Antral follicle counts are strongly associated with live-birth rates after
assisted reproduction, with superior treatment outcome in women with polycystic
ovaries.
AB - OBJECTIVE: To evaluate the association of antral follicle count (AFC) with in
vitro fertilization/intracytoplasmic sperm injection (IVF-ICSI) outcome in a
large unselected cohort of patients covering the entire range of AFC. DESIGN:
Prospective observational study. SETTING: University-affiliated private
infertility center. PATIENT(S): 2,092 women undergoing 4,308 IVF-ICSI cycles.
INTERVENTION(S): AFC analyzed for associations with treatment outcome and
statistically adjusted for repeated treatments and age. MAIN OUTCOME MEASURE(S):
Pregnancy rate, live-birth rate, and stimulation outcome parameters. RESULT(S):
The AFC was log-normally distributed. Pregnancy rates and live-birth rates were
positively associated with AFC in a log-linear way, leveling out above AFC ~30.
Treatment outcome was superior among women with polycystic ovaries, independent
from ovulatory status. The findings were significant also after adjustment for
age and number of oocytes retrieved. CONCLUSION(S): Pregnancy and live-birth
rates are log-linearly related to AFC. Polycystic ovaries, most often excluded
from studies on ovarian reserve, fit as one extreme in the spectrum of AFC; a low
count constitutes the other extreme, with the lowest ovarian reserve and poor
treatment outcome. The findings remained statistically significant also after
adjustment for the number of oocytes retrieved, suggesting this measure of
ovarian reserve comprises information on oocyte quality and not only quantity.
PMID- 21880277
TI - Impact of endogenous luteinizing hormone serum levels on progesterone elevation
on the day of human chorionic gonadotropin administration.
AB - OBJECTIVE: To assess the relationship between endogenous LH serum levels after
GnRH analogue administration and serum P elevation on the day of hCG
administration (P hCG). DESIGN: Retrospective study. SETTING: Reproductive
medicine center in a university hospital. PATIENT(S): A total of 708 patients
undergoing a GnRH agonist or antagonist protocol for IVF intracytoplasmic sperm
injection. INTERVENTION(S): Controlled ovarian stimulation. MAIN OUTCOME
MEASURE(S): Serum P values according to GnRH analogue; correlation between serum
LH measurements and P hCG values. RESULT(S): Serum P hCG values were
significantly lower following the GnRH antagonist than agonist protocol. A
positive correlation between serum P hCG and LH area under the curve or day 6 LH
values was found in the GnRH agonist group and between P hCG and LH hCG levels in
both GnRH analogue regimens. With multivariate analysis, P hCG values were
positively correlated with serum E(2) levels on hCG administration day and with
the total FSH dose in both GnRH analogue-treated groups. Additionally, the
correlation between serum P hCG and LH hCG values was positive in patients
treated with the GnRH agonist protocol. CONCLUSION(S): The lower serum P levels
on hCG administration day following the GnRH antagonist protocol are mainly
explained by lower granulosa cell steroidogenic activity. The correlation with
serum LH hCG values was positive in the GnRH agonist-treated group.
PMID- 21880278
TI - A randomized controlled trial of NuvaRing versus combined oral contraceptive
pills for pretreatment in in vitro fertilization cycles.
AB - OBJECTIVE: To determine whether use of the NuvaRing (Merck) for pretreatment in
IVF cycles would result in better cycle control and patient satisfaction versus a
30-MUg oral contraceptive (OC) pill. DESIGN: A prospective randomized, controlled
study. SETTING: An academic, hospital-based fertility clinic in Toronto, Canada.
PATIENT(S): Patients 18-37 years old, undergoing their first IVF or
IVF/intracytoplasmic sperm injection (ICSI) cycle. INTERVENTION(S): OC versus
NuvaRing for IVF pre-treatment. MAIN OUTCOME MEASURE(S): Patient satisfaction,
ovarian suppression, and IVF cycle outcomes. RESULT(S): Demographic data were
similar in both groups. There were no significant differences in side effects
between the NuvaRing and OC pill group with the exception of more breast
discomfort in the OC pill group. There were no differences in the protocols, days
of stimulation, and number of oocytes between the groups. Patients in the OC pill
group had more embryos on day 3 and more patients had excess embryos for
freezing. The number of embryos transferred and clinical pregnancy rates (PR)
were similar between the two groups, although more patients in the NuvaRing group
had cycles cancelled for poor stimulation. CONCLUSION(S): There was no
significant benefit in patient tolerability or side effects with the NuvaRing
versus the OC pill for IVF pretreatment; however, side effects overall were low
in both groups. Clinical PRs were similar; however, the NuvaRing group had more
cancelled cycles and fewer excess embryos for freezing. CLINICAL TRIAL
REGISTRATION: #NCT01298128.
PMID- 21880280
TI - Lymphatic spread of endometriosis to pelvic sentinel lymph nodes: a prospective
clinical study.
AB - OBJECTIVE: To establish the prevalence of endometriosis metastatic to pelvic
sentinel lymph nodes (PSLN) in women with ovarian and/or peritoneal
endometriosis. DESIGN: Prospective clinical study. SETTING: Academic research
institution. PATIENT(S): Women with a laparoscopic diagnosis of ovarian and/or
peritoneal endometriosis verified by intraoperative frozen section analysis.
INTERVENTION(S): Resection of endometriotic lesions and PSLN after cervical blue
dye injection. MAIN OUTCOME MEASURE(S): Histologic analysis of PSLN for the
presence of endometriosis and immunohistochemical analysis of estrogen receptor
(ER), progesterone receptor (PR), cytokeratin (CK), and CD-10 expression.
RESULT(S): The study enrolled 26 women with suspected endometriosis;
endometriosis was confirmed in 23 women, and a PSLN was identified in 19 women. A
total of 37 (right side: 20; left side: 17) lymph nodes were removed. The
prevalence of endometriotic lesions in PSLN was 11% (2 of 19). Both lesions were
positive for ER, PR, CK, and CD-10. Isolated endometriotic-like cells (IELCs)
staining positive for ER and PR were identified in the peripheral sinus of 16
(80%) of 20 and 14 (70%) of 20 PSLN, respectively. All IELCs lacked CK staining,
whereas CD-10 staining was present in 16 (80%) of 20 cases, indicating a stromal
origin of IELCs. Intraoperative and/or postoperative complications were observed
in 1 (5%) of 19 women. CONCLUSION(S): Spread of IELCs to PSLN is common in
ovarian and/or peritoneal endometriosis. Metastatic lesions in PSLN are present
in 11% of women. Further studies to evaluate the prognostic and predictive value
of endometriotic spread to PSLN are warranted.
PMID- 21880279
TI - Low-dose mifepristone increases uterine natural killer cell cytotoxicity and
perforin expression during the receptive phase.
AB - OBJECTIVE: To investigate the immunologic mechanism by which low-dose
mifepristone serves as an anti-implantation contraceptive drug. DESIGN: In vitro
study. SETTING: University hospital and research laboratory. PATIENT(S): Fifteen
normally cycling patients at the "window of implantation." INTERVENTION(S): A
human endometrial explant system was used to study the effects of low-dose
mifepristone (65 and 200 nmol/L) on uterine natural killer (uNK) cells.
Endometrial samples were treated with different concentrations of mifepristone.
MAIN OUTCOME MEASURE(S): The cytotoxicity of uNK cells to K562 target cells and
the expression of perforin (PFN) by uNK cells were examined using a methyl
thiazolyl tetrazolium (MTT) assay and double immunohistochemistry, respectively.
RESULT(S): Both uNK cell cytotoxicity and expression of PFN were increased after
treatment with 65 or 200 nmol/L mifepristone, and these effects were dose
dependent. CONCLUSION(S): Mifepristone may negatively regulate implantation by
increasing the cytotoxicity of uNK cells, and this increased cytotoxicity may
result from increased PFN expression. These findings provide further evidence to
support the potential contraceptive activity of low-dose mifepristone.
PMID- 21880281
TI - Prevalence of uterine leiomyomas in lymphangioleiomyomatosis.
AB - OBJECTIVE: To determine the frequency of uterine leiomyomas and hysterectomy in
patients with lymphangioleiomyomatosis (LAM), a disease characterized by
proliferation of abnormal-appearing smooth muscle-like cells. DESIGN:
Retrospective study. SETTING: Natural history study at the National Institutes of
Health. PATIENT(S): 456 patients with sporadic LAM and LAM associated with
tuberous sclerosis complex (LAM/TSC). INTERVENTION(S): Review of records and
pelvic computed axial tomography scans. MAIN OUTCOME MEASURE(S): Prevalence of
uterine leiomyomas and hysterectomy. RESULT(S): A total of 174 women had uterine
leiomyomas (38%). One hundred eighteen were diagnosed by computed tomographic
scan and 56 were diagnosed by hysterectomy. Among 323 patients who did not have
hysterectomy, 105 of 270 patients (39%) with sporadic LAM and 13 of 53 (25%) with
LAM/TSC had uterine leiomyomas. Hysterectomy was performed in 108 of 378 subjects
with sporadic LAM and 25 of 78 with LAM/TSC. Fifty-six patients were found to
have uterine fibroids on hysterectomy. The most common indications for
hysterectomy were uterine leiomyoma, LAM, and endometriosis. CONCLUSION(S):
Uterine leiomyomas are not more common in LAM than in the general population.
However, in LAM, the frequency of hysterectomy is higher because of it having
been recommended for treatment of LAM.
PMID- 21880283
TI - Retraction. Submucous myomas and their implications in the pregnancy rates of
patients with otherwise unexplained primary infertility undergoing hysteroscopic
myomectomy: a randomized matched control study.
AB - This article has been retracted at the request of the editors of Fertility and
Sterility as it duplicates parts of a paper that had already appeared in Hum
Reprod 2005;20:1632-5, doi:10.1093/humrep/deh822.
PMID- 21880282
TI - Effects of 1,25(OH)2 vitamin D3 on cytokine production by endometrial cells of
women with recurrent spontaneous abortion.
AB - OBJECTIVE: To investigate immunomodulatory effect of 1,25(OH)2 vitamin D3
(1,25(OH)2D3) on cytokine production by endometrial cells of women with
unexplained recurrent spontaneous abortion (URSA). DESIGN: In vitro study.
SETTING: Academic research center. PATIENT(S): Patients with URSA and healthy
controls. INTERVENTION(S): Treatment with 1,25(OH)2D3. MAIN OUTCOME MEASURE(S):
Production of interferon gamma (IFN-gamma), interleukin-10 (IL-10), transforming
growth factor beta (TGF-beta), IL-17, IL-6, and IL-8 by whole endometrial cells
(WECs) and endometrial stromal cells in the presence and absence of 1,25(OH)2D3
and 1alpha-hydroxylase activity of these cell populations were measured in
patients with URSA and healthy controls. RESULT(S): 1,25(OH)2D3 interfered with
production of cytokines by WECs of the control and URSA groups, except IL-8 which
was increased in URSA group. In endometrial stromal cells, 1,25(OH)2D3 down
regulated cytokine production as well with stimulatory effect on the production
of TGF-beta in patients with URSA. Cytokine profile of WECs from patients with
URSA skewed toward TH2 phenotype after treatment with 1,25(OH)2D3. Endometrial
cells of both groups had comparable capacity to produce 1,25(OH)2D3.
CONCLUSION(S): Considering the complex network of immunoregulation at the
fetomaternal interface, potential beneficial effects of vitamin D3 in patients
with URSA need to be investigated in clinical practice. Comparable levels of
1,25(OH)2D3 production and similar trend of cytokine expression by WECs of URSA
and control groups after vitamin D3 treatment reflect the same local metabolic
machinery of this hormone.
PMID- 21880284
TI - Can 15-month-old infants understand pretence? An investigation using the
'violation-of-expectation' paradigm.
AB - Productive tests are unsuitable for measuring infants' earliest understanding of
pretence, because performance demands may render infants unable to produce the
actions required to pass the test. Recently, Onishi, Baillargeon and Leslie
(2007) used the violation-of-expectation (VOE) paradigm as a measure of infants'
understanding of others' pretence that is free from such performance demands.
They found that 15-month-old infants looked longer at an Unexpected event in
which an actor pretended to pour into one cup but pretended to drink from another
cup, compared to pretending to pour and drink with the same cup. On this basis,
they argued that 15-month-old infants expect others' pretence to be consistent,
demonstrating their understanding of others' pretence. However, infants may have
responded to expectations they had about familiar action sequences, rather than
to pretence per se. To test this hypothesis, the current study firstly replicated
Onishi et al.'s results in a sample of 28 typically developing 15-month-old
infants, and then added a condition using closely matched real versions of their
pretend VOE tasks. It was found that infants looked longer at unexpected events,
whether or not the events were real or pretend. It was concluded that 15-month
old infants may look longer at pretend events due to violations of expectations
they have about familiar action sequences, rather than because they understand
others' pretence behaviour.
PMID- 21880285
TI - Dynamic aspects of small bubble and hydrophilic solid encounters.
AB - The capture of solid particles suspended in aqueous solution by rising gas
bubbles involves hydrodynamic and physicochemical processes that are central to
colloid science. Of the collision, attachment and aggregate stability aspects to
the bubble-particle interaction, the crucial attachment process is least
understood. This is especially true of hydrophilic solids. We review the current
literature regarding each component of the bubble-particle attachment process,
from the free-rise of a small, clean single bubble, to the collision, film
drainage and interactions which dominate the attachment rate. There is a
particular focus on recent studies which employ single, very small bubbles as
analysis probes, enabling the dynamic bubble-hydrophilic particle interaction to
be investigated, avoiding complications which arise from fluid inertia,
deformation of the liquid-vapour interface and the possibility of surfactant
contamination.
PMID- 21880286
TI - Effect of bariatric surgery on cardiovascular risk profile.
AB - Obesity is associated with increased risk for cardiovascular (CV) disease (CVD)
and CV mortality. Bariatric surgery has been shown to resolve or improve CVD risk
factors, to varying degrees. The objective of this systematic review was to
determine the impact of bariatric surgery on CV risk factors and mortality. A
systematic review of the published research was performed to evaluate evidence
regarding CV outcomes in morbidly obese bariatric patients. Two major databases
(PubMed and the Cochrane Library) were searched. The review included all original
reports reporting outcomes after bariatric surgery, published in English, from
January 1950 to July 2010. In total, 637 studies were identified from the initial
screen. After applying inclusion and exclusion criteria, 52 studies involving
16,867 patients were included (mean age 42 years, 78% women). The baseline
prevalence of hypertension, diabetes, and dyslipidemia was 49%, 28%, and 46%,
respectively. Mean follow-up was 34 months (range 3 to 155), and the average
excess weight loss was 52% (range 16% to 87%). Most studies reported significant
decreases postoperatively in the prevalence of CV risk factors, including
hypertension, diabetes, and dyslipidemia. Mean systolic pressure reduced from to
139 to 124 mm Hg and diastolic pressure from 87 to 77 mm Hg. C-reactive protein
decreased, endothelial function improved, and a 40% relative risk reduction for
10-year coronary heart disease risk was observed, as determined by the Framingham
risk score. In conclusion, this review highlights the benefits of bariatric
surgery in reducing or eliminating risk factors for CVD. It provides further
evidence to support surgical treatment of obesity to achieve CVD risk reduction.
PMID- 21880287
TI - Comparison of coronary microcirculation in female nurses after day-time versus
night-time shifts.
AB - Nightshift work, which is known to cause mental stress and disrupt normal
biological diurnal rhythms, leads to endothelial dysfunction resulting in
increased risk for cardiovascular disease. This study aimed to investigate the
acute effect of night-shift work on coronary microcirculation through assessment
of coronary flow reserve (CFR) by transthoracic Doppler echocardiography. This
study consisted of 36 women nurses who underwent transthoracic Doppler
echocardiographic examinations after working a nightshift and on a regular day
without previous nightshift work. Flow velocity in the distal portion of the left
anterior descending coronary artery was measured at baseline and during adenosine
infusion. CFR was calculated as the ratio of hyperemic to basal mean diastolic
flow velocity. CFR after night work was lower than that on a regular workday (3.8
+/- 0.6 vs 4.1 +/- 0.6, p <0.001). Degree of decreases in CFR after night work
was correlated to Framingham risk score (r = 0.35, p = 0.036). In conclusion,
this study demonstrated that coronary microcirculation was impaired after
nightshift work in women nurses.
PMID- 21880288
TI - Training present and future cardiologists.
AB - The future of cardiology rests in the hands and minds of cardiovascular trainees
and fellowship programs. Education and training is rapidly changing, and the
paradigm of "see one, do one, teach one" has now been replaced by formal
assessments of competency, the incorporation of practice improvement and systems
based practice, and a focus on duty hours. To keep up with the expanding
knowledge and science in cardiovascular medicine, the cardiology community needs
to understand new educational initiatives and formulate pathways to teach,
mentor, and educate trainees to become competent cardiovascular specialists. The
author highlights some of the present and future issues facing cardiovascular
training.
PMID- 21880289
TI - Clinical outcomes of high on-treatment platelet reactivity in Koreans receiving
elective percutaneous coronary intervention (from results of the CROSS VERIFY
study).
AB - Platelet reactivity after clopidogrel therapy varies among patients. Whether
clopidogrel response variability can predict clinical outcomes has not been
verified in Asians. A prospective cohort was analyzed to evaluate clinical impact
of clopidogrel response variability in patients who underwent elective
percutaneous coronary intervention (PCI). A total of 809 consecutive patients
receiving clopidogrel after elective PCI were followed for 1 year. On-treatment
platelet reactivity (OPR) after clopidogrel therapy was measured with a point-of
care test, the VerifyNow P2Y12 assay. The primary end point was the composite of
cardiac death and nonfatal myocardial infarction (MI) at 1 year. In this
exclusively Korean cohort, the median OPR was 236 P2Y12 reactivity units. Using
the definition of OPR >=235 P2Y12 reactivity units as high OPR (HOPR), 50.3% of
the cohort showed HOPR. The group with HOPR had significantly higher rates of
cardiac death and spontaneous MI (2.5% vs 0.5%, p = 0.022) than the group without
HOPR. Multivariate-adjusted analysis showed that HOPR was an independent
predictor of the composite of cardiac death and nonfatal MI. The difference in
major adverse cardiac events between the groups with and without HOPR was more
profound in those without major cardiovascular disease, such as hypertension,
diabetes mellitus, or dyslipidemia. In conclusion, HOPR to clopidogrel was
significantly associated with cardiac death and spontaneous MI after elective
PCI, suggesting that clopidogrel response variability may be a significant risk
factor of hard end points in Koreans.
PMID- 21880290
TI - Predictors and course of high-degree atrioventricular block after transcatheter
aortic valve implantation using the CoreValve Revalving System.
AB - Transcatheter aortic valve implantation (TAVI) is a novel treatment for high risk
or inoperable patients with symptomatic severe aortic stenosis. However,
significant atrioventricular (AV) conduction system abnormalities requiring
permanent pacemaker (PPM) implantation might complicate this procedure. We used
best subsets logistic regression analysis to identify the independent predictors
for the development of high-degree AV block (HDAVB) among 70 patients who
underwent TAVI at 3 referral centers in Israel from 2008 to 2010. The mean age of
the study patients was 83 +/- 4.6 years. Of the 70 patients, 28 (40%) developed
AV conduction abnormalities requiring PPM implantation within 14 days (median 2)
of the procedure. The indications for PPM implantation were HDAVB (n = 25), new
onset left bundle branch block with PR prolongation (n = 2), and slow atrial
fibrillation (n = 1). Best subsets logistic regression analysis showed that,
among the 15 prespecified clinical, electrocardiographic, and echocardiographic
candidate risk factors, only right bundle branch block at baseline (odds ratio
43; p = 0.002) and deep valve implantation (<6 mm from the lower edge of the
noncoronary cusp to the ventricular end of the prosthesis, odds ratio 22; p
<0.001) were independently associated with the development of periprocedural
HDAVB. At 3 months of follow-up, HDAVB was still present in 40% of the patients
who received PPM implantation for this indication. In conclusion, 40% of the
patients who undergo CoreValve TAVI require PPM implantation after the procedure,
with most cases (36%) associated with the development of postprocedural HDAVB.
Baseline conduction abnormalities (right bundle branch block) and deep valve
implantation (>6 mm) independently predicted the development of HDAVB and the
need for PPM implantation after CoreValve TAVI.
PMID- 21880291
TI - Threshold for the upper normal limit of indexed epicardial fat volume: derivation
in a healthy population and validation in an outcome-based study.
AB - Epicardial fat volume (EFV) quantified on noncontrast cardiac computed tomography
relates to cardiovascular prognosis. We sought to define the upper normal limit
of body surface area (BSA)-indexed EFV (EFVi) in a healthy population and to
validate it as a predictor of major adverse cardiovascular events (MACE). We
analyzed noncontrast cardiac computed tomography scans of 226 healthy subjects
with a low Framingham Risk Score (FRS; <=6%) performed for coronary calcium
scoring (CCS). EFV was quantified using validated software and indexed to BSA. We
defined the 95th percentile as the upper normal limit. Subsequently, we
reanalyzed a separate cohort of 232 participants from a previously published case
control study with 4-year follow-up and 58 cases of MACE to test the additive
value of an abnormally high EFVi for predicting MACE. Of the 226 healthy
participants 51% were men (mean age 52 +/- 9 years). EFV correlated to BSA (r =
0.373, p <0.0001). Median, range, and 25th and 75th percentiles of the non
normally distributed EFVi were 33.3, 10.8 to 96.6, and 24.5 and 45.5 cm(3)/m(2).
The 95th percentile definition of the upper normal limit of EFVi was 68.1
cm(3)/m(2). For prediction of MACE, EFVi values higher than the newly defined
threshold emerged as a significant and independent predictor after controlling
for confounders (odds ratio 2.8, 95% confidence interval 1.3 to 6.4, p = 0.012)
and trended in its additive value to the combination of CCS >=400 and FRS (area
under the receiver operating characteristic curve 0.714 vs 0.675, p = 0.1277). In
conclusion, in a healthy population we determined 68.1 cm(3)/m(2) as the 95th
percentile threshold for abnormally high EFVi. EFVi exceeding this value
independently predicted MACE and trended to add to CCS and FRS in this
prediction.
PMID- 21880292
TI - Comparison of characteristics, management practices, and outcomes of patients
between the global registry and the gulf registry of acute coronary events.
AB - The Arab Middle East is a unique region of the developing world where little is
known about the outcomes of patients hospitalized with an acute coronary syndrome
(ACS), despite playing an important role in the global burden of cardiovascular
disease. The primary objectives of this observational study were to compare
patients with ACS hospitalized in the Arab Middle East to patients enrolled in a
multinational non-Arabian ACS registry. The study cohort consisted of patients
hospitalized in 2007 with an ACS including 4,445 from the Global Registry of
Acute Coronary Events (GRACE) and 6,706 from the Gulf Registry of Acute Coronary
Events (Gulf RACE). Average age of patients in Gulf RACE was nearly a decade
younger than that in GRACE (56 vs 66 years). Patients in Gulf RACE were more
likely to be men, diabetic, and smoke and less likely to be hypertensive compared
to patients in GRACE. Patients in Gulf RACE had higher odds of receiving aspirin
and a lower likelihood of receiving angiotensin-converting enzyme
inhibitors/angiotensin receptor blockers, beta blockers, and clopidogrel during
their index hospitalization. Although most eligible patients with ST-elevation
myocardial infarction in Gulf RACE received thrombolytics, most of their
counterparts in GRACE underwent a primary percutaneous coronary intervention.
Multivariable adjusted in-hospital case-fatality rates were not significantly
different between patients in Gulf RACE and those in GRACE. In conclusion,
despite differences in patient characteristics and treatment practices, short
term mortality rates were comparable in patients with ACS enrolled in these 2
registries. Future studies should explore the effects of these differences on
long-term prognosis and other pertinent patient outcomes.
PMID- 21880294
TI - Electrohysterographic propagation velocity for preterm delivery prediction.
PMID- 21880293
TI - Relation of left ventricular ejection fraction to cognitive aging (from the
Framingham Heart Study).
AB - Heart failure is a risk factor for Alzheimer's disease and cerebrovascular
disease. In the absence of heart failure, it was hypothesized that left
ventricular ejection fraction (LVEF), an indicator of cardiac dysfunction, would
be associated with preclinical brain magnetic resonance imaging (MRI) and
neuropsychological markers of ischemia and Alzheimer disease in the community.
Brain MRI, cardiac MRI, neuropsychological, and laboratory data were collected
from 1,114 Framingham Heart Study Offspring Cohort participants free from
clinical stroke or dementia (aged 40 to 89 years, mean age 67 +/- 9 years, 54%
women). Neuropsychological and neuroimaging markers of brain aging were related
to cardiac MRI-assessed LVEF. In multivariable-adjusted linear regressions, LVEF
was not associated with any brain aging variable (p values >0.15). However, LVEF
quintile analyses yielded several U-shaped associations. Compared to the referent
(quintile 2 to 4), the lowest quintile (quintile 1) LVEF was associated with
lower mean cognitive performance, including Visual Reproduction Delayed Recall
(beta = -0.27, p <0.001) and Hooper Visual Organization Test (beta = -0.27, p
<0.001). Compared to the referent, the highest quintile (quintile 5) LVEF values
also were associated with lower mean cognitive performance, including Logical
Memory Delayed Recall (beta = -0.18, p = 0.03), Visual Reproduction Delayed
Recall (beta = -0.17, p = 0.03), Trail Making Test Part B - Part A (beta = -0.22,
p = 0.02), and Hooper Visual Organization Test (beta = -0.20, p = 0.02). Findings
were similar when analyses were repeated excluding prevalent cardiovascular
disease. In conclusion, although these observational cross-sectional data cannot
establish causality, they suggest a nonlinear association between LVEF and
measures of accelerated cognitive aging.
PMID- 21880295
TI - Significant correlation between visual acuity and recovery of foveal cone
microstructures after macular hole surgery.
AB - PURPOSE: To determine whether a recovery of the microstructures of the foveal
photoreceptors after macular hole closure is correlated with best-corrected
visual acuity (BCVA). DESIGN: Retrospective, consecutive, observational case
series. METHODS: SETTING: Single-center academic practice. STUDY POPULATION:
Forty-one eyes of 41 patients with surgically closed macular holes. OBSERVATIONAL
PROCEDURES: The presence and intactness of the cone outer segment tips (COST)
line were determined by spectral-domain optical coherence tomography and compared
with the presence of the inner segment/outer segment (IS/OS) junction and the
external limiting membrane (ELM) at 1, 3, 6, 9, and 12 months after the macular
hole surgery. MAIN OUTCOME MEASURE: The correlation between the integrity of the
foveal photoreceptor microstructures and the BCVA. RESULTS: A distinct COST line
was first seen at 6 months after the surgery. A distinct or irregular COST line
was observed only in eyes with an intact IS/OS junction and ELM. Eyes with a
distinct or irregular COST line had significantly better BCVA than those with a
disrupted COST line in eyes with an intact IS/OS junction and ELM at 12 months (P
= .030). The BCVA was >=20/25 at 12 months in 91% of the eyes with a distinct or
irregular COST line but in only 44% of the eyes without a COST line (P = .015).
CONCLUSIONS: The significant correlation between the BCVA and a distinct or
irregular COST line after successful macular hole surgery indicates that the
recovery of foveal cone microstructure is associated with good postoperative
BCVA.
PMID- 21880296
TI - Response to: Do pregnant women have improved outcomes after traumatic brain
injury?
PMID- 21880297
TI - Unnecessary perioperative antibiotic prophylaxis in the placement of totally
implanted central venous access ports should be avoided.
PMID- 21880298
TI - Transcatheter aortic valve implantation or surgical aortic valve replacement as
redo procedure after prior coronary artery bypass grafting.
AB - BACKGROUND: The perioperative risk for redo surgical aortic valve replacement (S
AVR) in patients with severe aortic stenosis and prior coronary artery bypass
grafting (CABG) is increased. Transcatheter aortic valve implantation (TAVI)
represents an alternative. We assessed the perioperative and mid-term clinical
outcome of patients undergoing S-AVR or TAVI. METHODS: In a retrospective
observational, comparative study, 40 consecutive patients underwent redo
operation with S-AVR or TAVI between April 2005 and April 2010. Median sternotomy
and extracorporeal circulation were used for S-AVR; TAVI access was transfemoral
(n = 27; 67.5%), transapical (n = 11; 27.5%), or transsubclavian (n = 2; 5.0%).
Clinical and echocardiographic follow-up was at 30 days and 6 months. RESULTS:
TAVI patients were older (78.5 +/- 6 vs 70.6 +/- 8 years, p < 0.001) and
presented higher logistic (33.5 +/- 17 vs 20.2 +/- 14, p < 0.001) European System
for Cardiac Operative Risk Evaluation scores. All-cause mortality was 2.5% in
both groups and major adverse cardiac and cerebrovascular event rates were
comparable (7.5% TAVI vs 17.5% S-AVR, p = 0.311) after 30 days. TAVI was
associated with a higher rate of permanent pacemaker implantation (30% vs 0%, p <
0.001) and grade II residual aortic regurgitation in 14%. Incidence of
cerebrovascular events was 7.5% in S-AVR vs 2.5% in TAVI (p = 0.61). CONCLUSIONS:
In elderly, high-risk patients after prior CABG, conventional aortic valve
replacement and TAVI are comparable treatment options with favorable clinical
outcome. A redo operation itself does not sufficiently justify a TAVI approach.
PMID- 21880299
TI - A medical hand tool physical interaction evaluation approach for prototype
testing using patient care simulators.
AB - The purpose of this study was to develop and test a physical ergonomics
assessment approach for medical device handles. The method assesses wrist posture
and force of exertion simultaneously by task element. Electrogoniometers and EMG
sensors were connected through a data acquisition module for synchronization with
video recordings of trials. Task analysis of video recordings were performed
offline with Observer XT software. Average posture and force data across several
repetitions of individual task elements were calculated and presented in a format
suitable for informing product designers of specific issues during a test trial.
A handle comfort questionnaire is proposed to survey subjective responses. The
evaluation approach was applied to an endoscope needle in sampling a biopsy from
the stomach wall using a gastrointestinal track simulator with ten physician
surrogates. The results showed that for all task elements the wrist was in
extension (33( degrees )-45( degrees )). Peak muscle forces ranged from 28% to
68% MVC across the three muscles studied. Muscle peak forces were above ACGIH HAL
maximum threshold limits for four of the seven task elements, and above the
action limit for all seven task elements for two muscles. The handle comfort
questionnaire data also supported the high muscle force findings, and also on
force distribution on the handle due to contact stresses. This combined approach
could be used to collect and report detailed early stage ergonomics data from
user trials on patient care simulators. The approach is proposed for use by
medical device designers at the design stage of new products using prototypes,
but it could also be used on existing products with real patients.
PMID- 21880300
TI - Retention of motor changes in chronic stroke survivors who were administered
mental practice.
AB - OBJECTIVE: To determine retention of motor changes 3 months after participation
in a regimen consisting of mental practice (MP) combined with repetitive task
specific (RTP) practice. DESIGN: Prospective, blinded, cohort, pre-post study.
SETTING: Outpatient rehabilitation hospital. PARTICIPANTS: Individuals (N=21) in
the chronic stage of stroke (mean age +/- SD, 66.1 +/- 8.1y; age range, 56-76y;
mean time since stroke at study enrollment, 58.7mo; range, 13-129mo) exhibiting
mild to moderate impairments of hand function. INTERVENTIONS: All individuals had
been randomly assigned to receive a 10-week regimen consisting of MP emphasizing
paretic upper extremity (UE) use during valued activities. Directly after each of
these sessions, subjects were administered audiotaped MP. We assessed this
group's paretic UE motor levels before, after, and 3 months after intervention.
MAIN OUTCOME MEASURES: The UE section of the Fugl-Meyer Assessment of
Sensorimotor Impairment (FM), the Action Research Arm Test (ARAT), the Arm Motor
Ability Test (AMAT), and the Box and Block Test (BB). RESULTS: None of the scores
significantly changed from the period directly after intervention to the 3-month
posttesting period (FM: t=.817; ARAT: t=.923; AMAT: t=.898, t=.818, and t=.967
for the Functional Ability, Quality of Movement, and Time scales, respectively;
BB: t=.892). CONCLUSIONS: Changes in paretic UE movement realized through MP
combined with RTP (MP + RTP) participation are retained 3 months after the
intervention has concluded. This is the first study examining retention of motor
changes after MP + RTP participation, and one of only a few studies examining
long retention of motor changes after any intervention targeting stroke-induced
hemiparesis.
PMID- 21880301
TI - Nerve injury-induced changes in GABA(A) and GABA(B) sub-unit expression in area
3b and cuneate nucleus of adult squirrel monkeys: further evidence of
developmental recapitulation.
AB - The primate somatosensory system provides an excellent model system with which to
investigate adult neural plasticity. Here, we report immunohistochemical staining
data for the GABA(A) alpha1, GABA(B)R1a, and GABA(B)R1b receptor subunits in
somatosensory area 3b, and cuneate nucleus one week after median nerve
compression in adult squirrel monkeys. We find a significant decrease in GABA(A)
alpha1 subunit staining across all cortical layers and within both soma and
neuropil of the deprived cortical and brainstem regions. The GABA(B) staining
showed an opposing shift in deprived regions, with a significant increase in
presynaptic GABA(B)R1a staining, and a significant decrease in postsynaptic
GABA(B)R1b staining in deprived regions of the cortex and brainstem. These
changes in receptor subunit expression generate patterns that are very similar to
those reported in the neonate. Furthermore, the similarities between brainstem
and cortical expression suggest conserved forms of adult plasticity in these two
regions. Taken together these results, along with the results from our previous
paper investigating AMPA subunit expression in these same animals, support the
hypothesis that deprived neurons enter a previously hidden state of developmental
recapitulation that serves to prime the brain for NMDA receptor mediated
receptive field reorganization.
PMID- 21880303
TI - Understanding of spoken language under challenging listening conditions in
younger and older listeners: a combined behavioral and electrophysiological
study.
AB - Numerous studies suggested an age-related decline in speech perception under
difficult listening conditions. Here, spoken language understanding of two age
groups of listeners was investigated in a naturalistic "stock price monitoring"
task. Stock prices of listed companies were simultaneously recited by three
speakers at different positions in space and presented via headphones to 14
younger and 14 older listeners (age ranges 19-25 and 54-64 years, respectively).
The listeners had to respond when prices of target companies exceeded a specific
value, but to ignore all other prices as well as beep sounds randomly
interspersed within the stock prices. Older listeners did not produce more
missing responses, or longer response times than younger listeners. However,
differences in event-related potentials indicated a reduced parietal P3b of
older, relative to younger, listeners. Separate analyses for those listeners who
performed relatively high or low in the behavioral task revealed a right-frontal
P3a that was pronounced especially in the group of high-performing older
listeners. Correlational analyses indicated a direct relationship between P3a
amplitude and spoken language comprehension in older, but not younger, listeners.
Furthermore, younger (especially, low-performing) listeners showed a more
pronounced P2 on irrelevant beep sounds than older listeners. These subtle
differences in cortical processing between age groups suggest that high
performance of older middle-aged listeners in demanding listening situations is
associated with increased engagement of frontal brain areas, and thus the
allocation of mental resources for compensation of potential declines in spoken
language understanding.
PMID- 21880302
TI - Environmentally induced antinociception and hyperalgesia in rats and mice.
AB - Stress can enhance and inhibit nociception depending on the situation. Thus,
simply shifting the context from the elevated plus maze (EPM) which has been
shown to produce stress-induced antinociception to a different environment could
produce drastic and rapid changes in nociception. The present experiment tested
this hypothesis by assessing nociception in rats and mice during and immediately
after removal from the maze. Experiment 1 found hyperalgesia in female and male
rats tested on the hot plate immediately after exposure to the elevated plus
maze. This hyperalgesia occurred with or without the added stress of a hind paw
formalin injection and regardless of whether rats were exposed to an EPM with
open (oEPM) or enclosed (eEPM) arms despite a clear antinociceptive effect while
on the oEPM. Experiment 2 showed a similar shift from antinociception to
nociception on the formalin test in mice immediately after removing them from the
EPM. These data demonstrate that a mild stressor such as the EPM can produce both
antinociception and hyperalgesia depending on the context. This shift from
antinociception to hyperalgesia occurs rapidly and is evident in mice, male and
female rats, and with the hot plate and formalin tests.
PMID- 21880304
TI - Regulation of cerebral blood flow in the hippocampus by neuronal activation
through the perforant path: relationship between hippocampal blood flow and
neuronal plasticity.
AB - Although changes in regional cerebral blood flow (rCBF) have been used as an
index of neuronal activity, the effects of long-term potentiation (LTP) in the
hippocampus, widely assumed to be an electrophysiological basis of learning and
memory, on the changes in rCBF by neuronal activity remain unclear. Hence, to
elucidate whether the effects of LTP in the hippocampus reflect in the
correlation between neuronal activity and co-occurring changes in rCBF, we
investigated the effects of LTP on the responses of hippocampal blood flow (HBF)
to the electrical stimulation of the perforant path in vivo. We continuously
measured HBF using Laser-Doppler flowmetry, and systemic blood pressure and heart
rate were measured from the femoral artery during electrical stimulations in
halothane-anesthetized rats. The results showed that the reactivity of HBF to
neuronal activation was potentiated by a tetanic stimulation that induces LTP,
although the tetanic stimulation did not affect baseline of HBF values. These
results suggest that the presence of the plasticity between neuronal activity and
the rCBF in the perforant path-dentate pathway, and the neuronal plasticity can
be reflected in the transient changes in rCBF when the brain region is activated
but not in the steady state.
PMID- 21880306
TI - A comparative study of antioxidative activities of cell-wall polysaccharides.
AB - Oxidative burst in plants is elicited by biotic and abiotic stressors.
Analogously to some monosaccharides which act as intracellular antioxidants, cell
wall polysaccharides may be in charge of buffering free-radical production in the
extracellular compartment under pronounced prooxidative settings. Although a wide
range of plant polysaccharides have been examined for their antioxidative
properties, this usually has not been done in a coherent and comparative manner
and against biologically relevant reactive species. Here we show that different
cell-wall polysaccharides, cellulose, pectin, D-galacto-D-mannan,
arabinogalactan, and xylan, exhibit distinctive antioxidative activities against
the hydroxyl radical (.OH)-generating Fenton reaction and superoxide. We found,
using an EPR spin-trapping method, that the main carriers of 'anti-Fenton'
activity in the plant cell wall are pectin and xylan. They most likely act by
binding metal ions in such a manner to allow the Fenton reaction, after which
they scavenge .OH. Such a mode of action is preferred by cells resulting in a
safe degradation of H(2)O(2). On the other hand, the polysaccharides examined
showed similar superoxide scavenging capacities. We propose that plants may
employ different antioxidative characteristics of polysaccharides to regulate
their redox status by modifying the composition of the cell wall.
PMID- 21880305
TI - The brain-derived neurotrophic factor is associated with alcohol dependence
related depression and antidepressant response.
AB - Brain-derived neurotrophic factor (BDNF) plays an essential role in neuronal
survival, proliferation, and synaptic remodeling and modulates the function of
many other neurotransmitters. Additionally, it likely underlies neurodegenerative
and psychiatric disorders, including alcohol dependence-related depression (AD
D). Here, we investigated the possible association between three single
nucleotide polymorphisms (SNPs) of the BDNF gene (rs13306221, rs6265, rs16917204)
and AD-D. Of 548 patients with alcohol dependence (AD), 166 had AD-D and 312
healthy controls. Response to 8-week sertraline treatment was also assessed. The
frequency of the A allele of rs6265 (Val66Met) was significantly higher in AD-D
patients than in the healthy controls (p=0.009 after Bonferroni correction). The
analysis revealed a strong association between the rs6265 genotype distribution
and AD-D (p=0.005 after Bonferroni correction), and the A allele of rs6265 was
significantly overrepresented in AD-D patients compared to AD without depression
(AD-nD) patients (p=0.001 after Bonferroni correction). Additionally, carriers of
the A allele of rs6265 responded better to sertraline treatment (p=0.001). Our
results suggested a novel association between BDNF rs6265 and AD-D. These
findings might lead to earlier detection of AD-D, perhaps providing better tools
for clinical care of these patients in the future.
PMID- 21880307
TI - [Delayed right hepatic artery haemorrhage after iatrogenic gallbladder by
laparoscopic cholecystectomy that required a liver transplant due to acute liver
failure: clinical case and review of the literature].
AB - INTRODUCTION: Right hepatic artery (RHA) injury after laparoscopic
cholecystectomy (LC) may go unnoticed clinically, but can sometimes cause
necrosis of the right lobe. Exceptionally, when the necrosis spreads to segment
IV, fulminant liver failure (FLF) may occur, and an urgent liver transplantation
(LT) may be required. PATIENTS AND METHOD: We provide a review of the literature
on patients with indication for an LT due to vascular damage caused by bile duct
injury following LC. The case reported herein is the fourth described in the
specialized literature of LT due to RHA injury after LC and the second of FLF
after RHA injury. RESULTS: LT due to RHA injury was performed in 3 of 13 patients
reported in the literature: one LT was performed at 3 months due to FLF, after an
extended right hepatectomy was performed, and the remaining two were performed
due to secondary biliary cirrhosis. Our patient was transplanted due to FLF 15
days after the injury. CONCLUSIONS: RHA injury after LC may require LT due to
FLF. Although exceptional, this possibility should be considered when there are
RHA complications that may require occlusion.
PMID- 21880308
TI - [Safety of a multiperforated catheter implanted in the surgical wound for the
continuous infusion of local anaesthetics in post-operative analgesia].
AB - OBJECTIVE: To evaluate the incidence of infection at the surgical site in
patients who have a multiperforated catheter implant for continuous infusion of a
local anaesthetic as a local analgesic. PATIENTS AND METHOD: An observational,
descriptive and prospective study, of one month duration. It included 50 patients
subjected to selective laparotomy in whom a multiperforated pre-peritoneal
catheter was implanted for analgesia purposes (Painfusor(r). Baxter). Patients
with a surgical incision of less than 15 cm and/or ASA>III, were excluded from
the study. RESULTS: The catheter was removed from all patients at 48 hours. An
infection at the surgical site was present in 6% of the patients who had the
catheter implanted, which was similar to the incidence in clean-contaminated
surgery (5.5%; 95% CI: 3.4-8.7%). Colonisation of the catheter was observed in
two patients, causing only one infection of the surgical site. CONCLUSIONS: The
use of an in-situ pre-peritoneal catheter for post-surgical anaesthesia does not
increase the risk of surgical site infection.
PMID- 21880309
TI - Cellular oncomiR orthologue in EBV oncogenesis.
AB - MicroRNAs are small non-coding RNAs that regulate gene expression at multiple
levels. The discovery of virally encoded miRNAs attracted immense attention
towards their role in viral replication and pathogenesis. Kaposi's-sarcoma
associated herpes virus encodes miRNA that functions as an orthologue of human
cellular miRNA, i.e., hsa-miR-155. Keeping the same view we extended the miRNA
homology search between the miRNAs of humans and Epstein-Barr virus. The In
silico analyses shows that EBV encoded miR-BART-5 has a significant 'seed'
sequence homology to hsa-miR-18 of humans. Further, the mRNA transcripts of the
human genes involved in cellular growth could potentially be targeted by both
viral as well as human miRNAs. The known etiological role of hsa-miR-18 as an
oncomiR suggests that miR-BART-5 may function as viral oncomiR as observed in EBV
positive gastric carcinoma patients.
PMID- 21880310
TI - Improving protein secondary structure prediction using a multi-modal BP method.
AB - Methods for predicting protein secondary structures provide information that is
useful both in ab initio structure prediction and as additional restraints for
fold recognition algorithms. Secondary structure predictions may also be used to
guide the design of site directed mutagenesis studies, and to locate potential
functionally important residues. In this article, we propose a multi-modal back
propagation neural network (MMBP) method for predicting protein secondary
structures. Using a Knowledge Discovery Theory based on Inner Cognitive Mechanism
(KDTICM) method, we have constructed a compound pyramid model (CPM), which is
composed of three layers of intelligent interface that integrate multi-modal back
propagation neural network (MMBP), mixed-modal SVM (MMS), modified Knowledge
Discovery in Databases (KDD(*)) process and so on. The CPM method is both an
integrated web server and a standalone application that exploits recent
advancements in knowledge discovery and machine learning to perform very accurate
protein secondary structure predictions. Using a non-redundant test dataset of
256 proteins from RCASP256, the CPM method achieves an average Q(3) score of
86.13% (SOV99=84.66%). Extensive testing indicates that this is significantly
better than any other method currently available. Assessments using RS126 and
CB513 datasets indicate that the CPM method can achieve average Q(3) score
approaching 83.99% (SOV99=80.25%) and 85.58% (SOV99=81.15%). By using both
sequence and structure databases and by exploiting the latest techniques in
machine learning it is possible to routinely predict protein secondary structure
with an accuracy well above 80%. A program and web server, called CPM, which
performs these secondary structure predictions, is accessible at
http://kdd.ustb.edu.cn/protein_Web/.
PMID- 21880311
TI - Differences in prevalence of sexual dysfunction between primary and secondary
infertile women.
AB - OBJECTIVE: To examine the impact of type of infertility on female sexual
function. DESIGN: Comparison of female sexual function index and prevalence in
primary infertile women and secondary infertile women. SETTING: Hospital.
PATIENT(S): One hundred twenty-two primary infertile and 51 secondary infertile
women. INTERVENTION(S): Questionnaires (Female Sexual Function Index [FSFI] and
Beck Depression Inventory). MAIN OUTCOME MEASURE(S): Prevalence of dysfunction in
primary and secondary infertile women. RESULT(S): The prevalence of female sexual
dysfunction was 64.8% (n = 79) and 76.5% (n = 39) in primary infertile and
secondary infertile women, respectively. In analyses of mean overall and subgroup
scores of FSFI, there were significant differences between primary and secondary
infertile women in the mean scores of orgasm, satisfaction, and total FSFI.
Backward logistic regression identified a model with four significant predictors
of sexual dysfunction (group, age, income level, and educational level).
Secondary infertile women had a 9.5-fold higher risk of sexual dysfunction than
primary infertile women after adjustment for confounding factors. CONCLUSION(S):
There was a higher prevalence of sexual dysfunction in secondary infertile women.
Secondary infertile women have decreased sexual desire, orgasm, and satisfaction
compared with primary infertile women.
PMID- 21880312
TI - Obstetric acute renal failure in an intensive care unit in Morocco.
PMID- 21880313
TI - Developing a model of competence in the operating theatre: psychometric
validation of the perceived perioperative competence scale-revised.
AB - AIM: This paper describes the development and validation of the Revised
Perioperative Competence Scale (PPCS-R). BACKGROUND: There is a lack of a
psychometrically tested sound self-assessment tools to measure nurses' perceived
competence in the operating room. METHODS: Content validity was established by a
panel of international experts and the original 98-item scale was pilot tested
with 345 nurses in Queensland, Australia. Following the removal of several items,
a national sample that included all 3209 nurses who were members of the
Australian College of Operating Room Nurses was surveyed using the 94-item
version. Psychometric testing assessed content validity using exploratory factor
analysis, internal consistency using Cronbach's alpha, and construct validity
using the "known groups" technique. During item reduction, several preliminary
factor analyses were performed on two random halves of the sample (n=550).
RESULTS: Usable data for psychometric assessment were obtained from 1122 nurses.
The original 94-item scale was reduced to 40 items. The final factor analysis
using the entire sample resulted in a 40 item six-factor solution. Cronbach's
alpha for the 40-item scale was .96. Construct validation demonstrated
significant differences (p<.0001) in perceived competence scores relative to
years of operating room experience and receipt of specialty education.
CONCLUSIONS: On the basis of these results, the psychometric properties of the
PPCS-R were considered encouraging. Further testing of the tool in different
samples of operating room nurses is necessary to enable cross-cultural
comparisons.
PMID- 21880314
TI - Rust in the pipes: the importance of oxidative stress in the pathophysiology of
coronary artery disease and the valuable contribution of translational research.
PMID- 21880315
TI - Myeloperoxidase, but not oxidized LDL, is associated with leisure-time physical
activity: results from the MONICA/KORA Augsburg Studies 1984-1995.
AB - OBJECTIVE: Oxidative stress-induced cell damage contributes to several chronic
conditions such as cardiovascular disease, but only very few population-based
studies have examined the influence of regular physical activity (PA) on
oxidative stress. METHODS: 1820 men and women aged 35-74 years were randomly
drawn from three population-based MONICA/KORA Augsburg Studies conducted between
1984 and 1995. Geometric means of the oxidative stress markers myeloperoxidase
(MPO) and oxidized LDL (ox-LDL) were calculated and multiple linear regression
was performed to assess their associations with three self-reported PA domains,
namely work, leisure-time or walking. RESULTS: Mean MPO concentrations were lower
for participants engaged in high leisure-time PA (124.2 MUg/ml; 95%-CI, 116.8
132.0) compared to the inactive reference group (133.5 MUg/ml; 95%-CI, 127.6
139.6) (P(trend) across PA levels: 0.007). No significant association between ox
LDL and PA domains was observed (P(trend) between 0.162 and 0.803). CONCLUSION:
These data indicate that regular leisure-time PA may reduce MPO concentrations.
PMID- 21880316
TI - Increased asymmetric dimethylarginine and enhanced inflammation are associated
with impaired vascular reactivity in women with endometriosis.
AB - OBJECTIVE: Enhanced inflammatory responses which may inhibit vascular reactivity,
are associated with endometriosis development. Asymmetric dimethylarginine
(ADMA), an inhibitor of endogenous nitric oxide synthase, is also implicated in
endothelial dysfunction. We aimed to determine whether plasma ADMA and systemic
inflammation are associated with endothelial function in women with
endometriosis. METHODS: We evaluated 41 women with and 28 women without
endometriosis. Plasma levels of lipids and inflammatory markers such as high
sensitive-C reactive protein (hs-CRP), serum amyloid protein A (SAA), and
interleukin-6 (IL-6) were measured in the two groups. We also measured levels of
ADMA and symmetric dimethylarginine (SDMA). High-resolution ultrasonography
measured flow-mediated vasodilation (FMD) to assess vasodilatory responses.
RESULTS: FMD was significantly lower in women with endometriosis compared to
those without endometriosis (8.39 +/- 0.43% vs 10.79 +/- 0.54%, P = 0.001). While
plasma lipid levels did not differ significantly between groups, levels of AMDA,
but not SDMA, were significantly higher in women with endometriosis (409.7 +/-
10.1 pmol/L vs 383.0 +/- 48.3 pmol/L, P = 0.04). Inflammatory markers were also
significantly higher in these women (hs-CRP: 1053.3 +/- 252.0 ng/mL vs 272.0 +/-
83.3 ng/mL, P = 0.02; SAA: 8.00 +/- 1.53 MUg/mL vs 3.82 +/- 0.42 MUg/mL, P =
0.04; IL-6: 2.73 +/- 0.75 pg/mL vs 1.05 +/- 0.60 pg/mL, P = 0.04). FMD was
negatively correlated with plasma levels of ADMA (r = -0.37, P=0.01) and log hs
CRP (r = -0.34, P = 0.01). CONCLUSION: Increased plasma ADMA levels and enhanced
inflammation are associated with inhibited endothelial function in women with
endometriosis.
PMID- 21880319
TI - Vortex-assisted surfactant-enhanced-emulsification liquid-liquid microextraction.
AB - A novel sample pre-treatment technique, based on vortex-assisted surfactant
enhanced-emulsification liquid-liquid microextraction (VSLLME), followed by gas
chromatography-flame photometric detection (GC-FPD) has been developed for the
determination of seven organophosphorus pesticides (OPPs) in wine and honey
samples. In the VSLLME method, the extraction solvent was dispersed into the
aqueous samples by the assistance of vortex agitator. Meanwhile, the addition of
a surfactant, which was used as an emulsifier, could enhance the speed of the
mass-transfer from aqueous samples to the extraction solvent. The main parameters
relevant to this method were investigated and the optimum conditions were
established: 15 MUL chlorobenzene was used as extraction solvent, 0.2 mmol L(-1)
Triton X-114 was selected as the surfactant, the extraction time was fixed at
30s, 3% sodium chloride was added and the extraction process was performed under
the room temperature. Under the optimum conditions, limits of detections (LODs)
were varied between 0.01 and 0.05 MUg L(-1). The relative standard deviation
(RSD, n=6) ranged from 2.3% and 8.9%. The linearity was obtained by five points
in the concentration range of 0.1-50.0 MUg L(-1). Correlation coefficients (r)
varied from 0.9969 to 0.9991. The enrichment factors (EFs) were in a range of 282
309. Finally, the proposed method has been successfully applied to the
determination of target analytes in real samples. The recoveries of the target
analytes in wine and honey samples were between 81.2% and 108.0%.
PMID- 21880317
TI - Age-related differences in the morphology of microdamage propagation in
trabecular bone.
AB - Microdamage density has been shown to increase with age in trabecular bone and is
associated with decreased fracture toughness. Numerous studies of crack
propagation in cortical bone have been conducted, but data in trabecular bone is
lacking. In this study, propagation of severe, linear, and diffuse damage was
examined in trabecular bone cores from the femoral head of younger (61.3+/-3.1
years) and older (75.0+/-3.9 years) men and women. Using a two-step mechanical
testing protocol, damage was first initiated with static uniaxial compression to
0.8% strain then propagated at a normalized stress level of 0.005 to a strain
endpoint of 0.8%. Coupling mechanical testing with a dual-fluorescent staining
technique, the number and length/area of propagating cracks were quantified. It
was found that the number of cycles to the test endpoint was substantially
decreased in older compared to younger samples (younger: 77,372+/-15,984 cycles;
older: 34,944+/-11,964 cycles, p=0.06). This corresponded with a greater number
of severely damaged trabeculae expanding in area during the fatigue test in the
older group. In the younger group, diffusely damaged trabeculae had a greater
damage area, which illustrates an efficient energy dissipation mechanism. These
results suggest that age-related differences in fatigue life of human trabecular
bone may be due to differences in propagated microdamage morphology.
PMID- 21880318
TI - The retention behaviour of polar compounds on zirconia based stationary phases
under hydrophilic interaction liquid chromatography conditions.
AB - The most separations in HILIC mode are performed on silica-based supports.
Nevertheless, recently published results have indicated that the metal oxides
stationary phases also possess the ability to interact with hydrophilic compounds
under HILIC conditions. This paper primarily describes the retention behaviour of
model hydrophilic analytes (4-aminobenzene sulfonic acid, 4-aminobenzoic acid, 4
hydroxybenzoic acid, 3,4-diaminobenzoic acid, 3-aminophenol and 3-nitrophenol) on
the polybutadine modified zirconia in HILIC. The results were simultaneously
compared with a bare zirconia and a silica-based HILIC phase. The mobile phase
strength, pH and the column temperature were systematically modified to assess
their impact on the retention of model compounds. It was found that the retention
of our model hydrophilic analytes on both zirconia phases was mainly governed by
adsorption while on the silica-based HILIC phase partitioning was primarily
involved. The ability of ligand-exchange interactions of zirconia surface with a
carboxylic moiety influenced substantially the response of carboxylic acids on
the elevated temperature as well as to the change of the mobile phase pH in
contrast to the silica phase. However, no or negligible ligand-exchange
interactions were observed for sulfanilic acid. The results of this study clearly
demonstrated the ability of modified zirconia phase to retain polar acidic
compounds under HILIC conditions, which might substantially enlarge the
application area of the zirconia-based stationary phases.
PMID- 21880320
TI - Development of high temperature comprehensive two-dimensional liquid
chromatography hyphenated with infrared and light scattering detectors for
characterization of chemical composition and molecular weight heterogeneities in
polyolefin copolymers.
AB - The application of high temperature comprehensive two-dimensional (2D) liquid
chromatography for quantitative characterization of chemical composition and
molecular weight (MW) heterogeneities in polyolefins is demonstrated in this
study by separating a physical blend of isotactic-polypropylene, ethylene-random
propylene copolymer, and high density polyethylene. The first dimension
separation is based on adsorption liquid chromatography that fractionates the
blend from low to high ethylene content. The second dimension is size-exclusion
chromatography connected with light scattering (LS) and infrared (IR) detectors.
The IR detector shows desired sensitivity and linearity for monitoring analyte
concentrations in the eluent after 2D separations. In addition, the compositions
of the analytes are also determined from the ratio of two IR absorbances at the
specified wavelength regions, an absorbance for measuring the level of methyl
groups in polyolefins and another absorbance for measuring concentration. The LS
detector is used to determine absolute molecular weight of the analytes from the
ratio of the light scattering signal to the IR concentration signal. The ability
to obtain concentration, chemical composition, and MW of polyolefins after 2D
separation provides new opportunities to discover structure-property
relationships for polyolefins with complex structures/architectures.
PMID- 21880321
TI - Resolving co-eluting chromatographic patterns by means of dissimilarity analysis
in iterative target transformation factor analysis.
AB - The initialization of concentration vector for iterative target transformation
factor analysis (ITTFA) and identification of pure or key variables are the
important issue in MCR. In this study, dissimilarity analysis and evolving factor
analysis (EFA) are combined to find the selective or key variables and
subsequently obtain initial estimates of the concentration vectors for resolution
of gas chromatography/mass spectrometry (GC/MS) data by ITTFA. For systems
containing components with highly similar mass spectra, a new constraint setting
the elements out of elution window to 0 is used to improve convergence rate and
accuracy of results. Tested by standard mixture of two wax esters and real GC/MS
data of gasoline 97#, dissimilarity based ITTFA could obtain accurate results
(average Dot product of concentration vectors, average deviation of peak area
ratio and average similarity of mass spectra are 0.9929, 0.0228 and 981.0,
respectively).
PMID- 21880322
TI - Transcription factor proteomics: identification by a novel gel mobility shift
three-dimensional electrophoresis method coupled with southwestern blot and high
performance liquid chromatography-electrospray-mass spectrometry analysis.
AB - Transcription factor (TF) purification and identification is an important step in
elucidating gene regulatory mechanisms. In this study, we present two new
electrophoretic mobility shift assay (EMSA)-based multi-dimensional
electrophoresis approaches to isolate and characterize TFs, using detection with
either southwestern or western blotting and HPLC-nanoESI-MS/MS analysis for
identification. These new techniques involve several major steps. First, EMSA is
performed with agents that diminish non-specific DNA-binding and the DNA-protein
complex is separated by native PAGE gel. The gel is then electrotransferred to
PVDF membrane and visualized by autoradiography. Next, the DNA-protein complex,
which has been transferred onto the blot, is extracted using a detergent
containing elution buffer. Following detergent removal, concentrated extract is
separated by SDS-PAGE (EMSA-2DE), followed by in-gel trypsin digestion and HPLC
nanoESI-MS/MS analysis, or the concentrated extract is separated by two
dimensional gel electrophoresis (EMSA-3DE), followed by southwestern or western
blot analysis to localize DNA binding proteins on blot which are further
identified by on-blot trypsin digestion and HPLC-nanoESI-MS/MS analysis. Finally,
the identified DNA binding proteins are further validated by EMSA-immunoblotting
or EMSA antibody supershift assay. This approach is used to purify and identify
GFP-C/EBP fusion protein from bacterial crude extract, as well as purifying AP1
and CEBP DNA binding proteins from a human embryonic kidney cell line (HEK293)
nuclear extract. AP1 components, c-Jun, Jun-D, c-Fos, CREB, ATF1 and ATF2 were
successfully identified from 1.5 mg of nuclear extract (equivalent to 3*10(7)
HEK293 cells) with AP1 binding activity of 750 fmol. In conclusion, this new
strategy of combining EMSA with additional dimensions of electrophoresis and
using southwestern blotting for detection proves to be a valuable approach in the
identification of transcriptional complexes by proteomic methods.
PMID- 21880323
TI - Study of the bioeffects of CdTe quantum dots on Escherichia coli cells.
AB - Quantum dots (QDs) hold great potential for applications in nanomedicine,
however, only a few studies investigate their toxic- and bio-effects. Using
Escherichia coli (E. coli) cells as model, we found that CdTe QDs exhibited a
dose-dependent inhibitory effect on cell growth by microcalorimetric technique
and optical density (OD(600)). The growth rate constants (k) were determined,
which showed that they were related to the concentration of QDs. The mechanism of
cytotoxicity of QDs was also studied through the attenuated total reflection
fourier transform infrared (ATR-FTIR) spectra, fluorescence (FL) polarization,
and scanning electron microscopy (SEM). It was clear that the cell out membrane
was changed or damaged by the addition of QDs. Taken together, the results
indicated that CdTe QDs have cytotoxic effects on E. coli cells, and this effects
might attribute to the damaged structure of the cell out membrane, thus QDs and
by-products (free radicals, reactive oxygen species (ROS), and free Cd(2+)) which
might enter the cells.
PMID- 21880324
TI - Equilibrium clusters in concentrated lysozyme protein solutions.
AB - We have studied the structure of salt-free lysozyme at 293 K and pH 7.8 using
molecular simulations and experimental SAXS effective potentials between proteins
at three volume fractions, phi=0.012, 0.033, and 0.12. We found that the
structure of lysozyme near physiological conditions strongly depends on the
volume fraction of proteins. The studied lysozyme solutions are dominated by
monomers only for phi<=0.012; for the strong dilution 70% of proteins are in a
form of monomers. For phi=0.033 only 20% of proteins do not belong to a cluster.
The clusters are mainly elongated. For phi=0.12 almost no individual particles
exits, and branched, irregular clusters of large extent appear. Our simulation
study provides new insight into the formation of equilibrium clusters in charged
protein solutions near physiological conditions.
PMID- 21880325
TI - Rheological behaviour and spectroscopic investigations of cerium-modified AlO(OH)
colloidal suspensions.
AB - The rheological behaviour of aqueous suspensions of boehmite (AlO(OH)) modified
with different Ce-salts (Ce(NO(3))(3), CeCl(3), Ce(CH(3)COO)(3) and
Ce(2)(SO(4))(3)) was investigated at a fixed Ce/Al molar ratio (0.05). Freshly
prepared boehmite suspensions were near-Newtonian and time-independent. A shear
sensitive thixotropic network developed when Ce-salts with monovalent anions were
introduced in the nanoparticle sols. The extent of particle aggregation
dramatically increased with ageing for Ce(NO(3))(3) and CeCl(3) whereas an
equilibrium value was reached with Ce(CH(3)COO)(3). The addition of
Ce(2)(SO(4))(3) with divalent anions involved no thixotropy but rather a sudden
phase separation. The combined data set of IRTF and DRIFT spectra indicated that
free NO(3)(-) anions of peptized boehmite adsorb on the nanoparticle surface by H
bond. The introduction of Ce-salts in the boehmite sol led to the coordination
between Ce(3+) ions and NO(3)(-) anions adsorbed on boehmite i.e. to
[Ce(NO(3))(4)(H(2)O)(x)](-) complex. Such coordination led to a thixotropic
behaviour which was lower with Ce(NO(3))(3) compared to CeCl(3) and
Ce(CH(3)COO)(3). In contrast, Ce(2)(SO(4))(3) formed insoluble complexes with
dissolved aluminium species. The formation of H-bonded surface nitrate complexes
was found to play a decisive role on the particle-particle interactions and
consequently on the rheological behaviour of the sols.
PMID- 21880326
TI - Comparing voice-therapy and vocal-hygiene treatments in dysphonia using a limited
multidimensional evaluation protocol.
AB - PURPOSE: This study evaluates the effectiveness of two different programs of
voice-treatment on a heterogeneous group of dysphonic speakers and the stability
of therapeutic progress for longterm follow-up post-treatment period, using a
limited multidimensional protocol of evaluation. METHOD: Forty-two participants
with voice disorders were randomly assigned to one of two groups. Participants in
group 1 received voice-therapy and participants in group 2 received a vocal
hygiene program. Vocal function was assessed before and after treatment. RESULTS:
MANOVA analysis Pillai's trace test shows significant pre-post immediate
differences between treatments in favor of direct-intervention. Repeated-measures
ANOVAs display significant within subjects main effect for follow-up period in
the 8 measures considered. Interaction effects of group*time are also found in
five out of the eight continuous variables analyzed (3 aerodynamics-acoustic and
2 self-rating), indicating differences between both treatments. Qualitative
dimensions (perceptual, laryngoscopic and spectrographic assessments) also
support voice-therapy superiority. CONCLUSIONS: Results of this study suggest
superiority of a voice-therapy (direct treatment) approach over a vocal-hygiene
program (indirect treatment). This advantage is on the majority of the 8
continuous variables analyzed (aerodynamics, acoustic, and self-rating),
including qualitative perceptual, laryngoscopic and spectrographic voice
dimensions. The stability of changes is extended during a post-treatment follow
up period. LEARNING OUTCOMES: (1) The reader should distinguish the advantage
using one type of treatment or another in clinical contexts. (2) The reader must
know the most important direct techniques used in clinical treatment of voice
disorders.
PMID- 21880327
TI - A computational approach to quantifiers as an explanation for some language
impairments in schizophrenia.
AB - We compared the processing of natural language quantifiers in a group of patients
with schizophrenia and a healthy control group. In both groups, the difficulty of
the quantifiers was consistent with computational predictions, and patients with
schizophrenia took more time to solve the problems. However, they were
significantly less accurate only with proportional quantifiers, like more than
half. This can be explained by noting that, according to the complexity
perspective, only proportional quantifiers require working memory engagement.
LEARNING OUTCOMES: (1) Working memory deficits can be a source of language
disorders in schizophrenia. (2) Processing of proportional quantifiers, like more
than half or less than half involves working memory. (3) Patients with
schizophrenia are less accurate only with proportional quantifiers, like more
than half. (4) This result support the computational model of quantifiers
processing.
PMID- 21880328
TI - Motor coordination dynamics underlying graphic motion in 7- to 11-year-old
children.
AB - Using concepts and tools of a dynamical system approach in order to understand
motor coordination underlying graphomotor skills, the aim of the current study
was to establish whether the basic coordination dynamics found in adults is
already established in children at elementary school, when handwriting is trained
and eventually acquired. In the study, 45 children and 9 adults volunteered to
copy two series of 13 ellipsoid shapes. These shapes were generated by
manipulating the relative phase between 0 degrees and 180 degrees of two
orthogonal oscillators in two orientations. Findings showed that although
children from an early age onward and adults reproduced straight lines precisely
(i.e., 0 degrees and 180 degrees ), the former drew ellipsoid shapes in a less
eccentric fashion than the latter (i.e., ~90 degrees in all children rather than
~60 degrees and 120 degrees in adults). This tendency to write in a rounder
fashion persists until 11 years of age, suggesting that the coordination dynamics
underlying graphomotor skills and tentatively shaping the coordinated activity
involved in adult handwriting appears only later, probably due to increasing
constraints on speed.
PMID- 21880329
TI - Positive Nikolsky sign due to Staphylococcal scaled skin syndrome.
PMID- 21880330
TI - Is premedication for intubation of preterm infants the right choice?
PMID- 21880331
TI - Central nervous system depression of neonates breastfed by mothers receiving
oxycodone for postpartum analgesia.
AB - OBJECTIVE: To quantify the incidence of central nervous system (CNS) depression
in neonates breastfed by mothers medicated with oxycodone as compared with
neonates whose breastfeeding mothers used codeine or acetaminophen only. STUDY
DESIGN: We retrospectively compared 3 cohorts in 533 breastfeeding mother-infant
pairs exposed to oxycodone (n = 139), codeine (n = 210), or acetaminophen only (n
= 184). Standardized questionnaires were administered to mothers during the
postpartum period to identify maternal and neonatal health outcomes temporally
related to analgesia exposure. RESULTS: Maternal exposure to oxycodone during
breastfeeding was associated with a 20.1% rate of infant CNS depression (28/139)
compared with 0.5% in the acetaminophen group (1/184; P < .0001; OR, 46.16; 95%
CI, 6.2-344.2) and 16.7% in the codeine group (35/210; P > .05; OR, 0.79; 95% CI,
0.46-1.38). Mothers of neonates with symptoms in the oxycodone and codeine
cohorts took significantly higher doses of medication compared with mothers of
infants with no symptoms in the same cohorts (P = .0005 oxycodone; median, 0.4
mg/kg/day; range, 0.03-4.06 mg/kg/day versus median, 0.15 mg/kg/day; range, 0.02
2.25 mg/kg/day; codeine P < .001; median, 1.4 mg/kg/day; range, 0.7-10.5
mg/kg/day versus 0.9 mg/kg/day; range, 0.18-5.8 mg/kg/day). Mothers were
significantly more likely to experience sedative adverse effects from oxycodone
as compared with codeine (P < .0001; OR, 17.62; 95% CI, 9.95-31.21). CONCLUSION:
Oxycodone is not a safer alternative to codeine in breastfed infants.
PMID- 21880332
TI - Analysis of slow- and fast-alpha band asymmetry during performance of a saccadic
eye movement task: dissociation between memory- and attention-driven systems.
AB - This study aimed at analyzing the relationship between slow- and fast-alpha
asymmetry within frontal cortex and the planning, execution and voluntary control
of saccadic eye movements (SEM), and quantitative electroencephalography (qEEG)
was recorded using a 20-channel EEG system in 12 healthy participants performing
a fixed (i.e., memory-driven) and a random SEM (i.e., stimulus-driven) condition.
We find main effects for SEM condition in slow- and fast-alpha asymmetry at
electrodes F3-F4, which are located over premotor cortex, specifically a negative
asymmetry between conditions. When analyzing electrodes F7-F8, which are located
over prefrontal cortex, we found a main effect for condition in slow-alpha
asymmetry, particularly a positive asymmetry between conditions. In conclusion,
the present approach supports the association of slow- and fast-alpha bands with
the planning and preparation of SEM, and the specific role of these sub-bands for
both, the attention network and the coordination and integration of sensory
information with a (oculo)-motor response.
PMID- 21880333
TI - Electrophysiological evaluation of spinocerebellar ataxias 1, 2 and 3.
AB - INTRODUCTION: Subclinical neuropathy is an important feature of spinocerebellar
ataxias (SCA) but the true prevalence and electrophysiological characteristics in
genetically proven patients of SCA 1, 2 and 3 are largely unknown. METHODS: We
prospectively compared the electrophysiological characteristics of neuropathy in
61 genetically confirmed cases of SCA (SCA1=28, SCA2=16 and SCA3=17). Nerve
conduction studies were performed in at least one sensory and one motor nerve, in
right upper and lower limb using standard methods. RESULTS: The mean age of
patients and duration of illness were comparable among SCA groups (mean age
(years): SCA1-34.1+/-12.7, SCA2-35.2+/-13.9 and SCA3-38.1+/-11.3; mean duration
(years): SCA1-5.4, SCA2-6.1, and SCA3-4.4). Electrophysiological evidence of
neuropathy was highest in SCA1 (96.4%), followed by SCA3 (94.1% and SCA2 (87.5%).
A mixed sensorimotor neuropathy was commonly observed in all the subgroups (SCA1
78.6%, SCA2-50%, and SCA3-41.2%). Pure sensory neuropathy was most common in SCA3
(55.9%), followed by 31.3% in SCA2 and 17.9% in SCA1. Pure motor neuropathy was
uncommon (6.3% in SCA2 and none in SCA1 and SCA3). CONCLUSIONS:
Electrophysiological evidence of mixed sensorimotor and pure sensory neuropathy
is seen in all the three subtypes of SCAs, while pure motor neuropathy is
distinctly uncommon.
PMID- 21880334
TI - Sleep-wake changes in the premotor stage of Parkinson disease.
AB - Longitudinal studies in Parkinson disease (PD) have shown that the prevalence of
sleep disorders increases with advanced disease. However, two sleep disorders,
namely excessive daytime sleepiness (EDS) and REM sleep behavior disorder (RBD)
have been described to antedate the development of the classical motor signs and
symptoms of PD. One epidemiological study from the Honolulu-Asia Aging Study
showed that aging men who reported "being sleepy most of the daily" had a
threefold excess risk for developing PD after a seven-year follow-up. The origin
and nature of EDS were not investigated. This study needs to be replicated. More
robust data exist regarding RBD as the first manifestation of PD. RBD subjects
commonly develop parkinsonism and cognitive impairment with time. Patients with
the idiopathic form of RBD with decreased striatal dopamine transporters imaging,
substantia nigra hyperechogenicity and hyposmia have an increased short-term risk
for developing the classical motor, dysautonomic and cognitive symptoms of a
synucleinopathy. Patients with idiopathic RBD, particularly those with abnormal
subclinical features seen in the synucleinopathies such as decreased striatal
dopamine transporters uptake, are the ideal population to be tested with disease
modifying agents in order to stop or slow down neurodegeneration in the brain.
PMID- 21880335
TI - Initial neuropsychological impairments in patients with the E46K mutation of the
alpha-synuclein gene (PARK 1).
AB - INTRODUCTION: In 2004 we described the mutation E46K of the alpha-Synuclein
(SNCA). These patients show Parkinson's disease with early cognitive impairment,
sleep disorders and autonomic dysfunction. OBJECTIVE: The main objective is to
identify early neuropsychological impairments in patients with the E46K mutation.
METHODS: This is a longitudinal neuropsychological study of 4 of the 5 surviving
patients with E46K mutation by semi-structured interviews and the following
scales: Mattis Dementia Rating Scale (MDRS), semantic and phonemic verbal fluency
tests (VFT), Benton Visual Retention Test (BVRT), Stroop Test (STROOP), Clock
drawing test (CLOCK), WAIS III Letter and Number sequencing (WAIS III LN), Rey
Auditory Verbal Learning Test (RAVLT) and Benton Judgement of Line Orientation
Test (BJLOT). Motor status was assessed by UPDRS III. RESULTS: Motor status:
Patients 1, 2 and 3 present mild to moderate Parkinson disease of 7, 8 and 3years
of evolution respectively, patient 4 is asymptomatic. Cognitive status: Patient 2
and 3 both refer cognitive decline while patient 1 presents no cognitive
complaints, however they all show a progressive cognitive decline across various
tasks. Tests of frontal function showed the first alterations in all patients but
fluctuate. The first cognitive complaints coincide with deterioration of tasks of
posterior cortical basis. Patient 4 presents a normal performance on all tests.
Patient 1, 2 and 3 have all presented visual hallucinations. CONCLUSIONS: A
fluctuating frontal impairment is observed at early stages. Prominent
visuospatial alterations and visual hallucinations suggest that posterior
cortical dysfunction might be a distinct early feature of the cognitive
impairment observed in patients with this mutation.
PMID- 21880336
TI - Efficacy and safety of subcutaneous interferon beta-1a in relapsing-remitting
multiple sclerosis: further outcomes from the IMPROVE study.
AB - BACKGROUND: The IMPROVE study demonstrated that the fetal bovine serum (FBS)- and
human serum albumin (HSA)-free formulation of subcutaneous (sc) interferon (IFN)
beta-1a had beneficial effects on the numbers of combined unique active magnetic
resonance imaging (MRI) lesions in relapsing-remitting multiple sclerosis (RRMS).
Here we report additional MRI endpoints (including post hoc analyses), and
clinical efficacy, safety, and immunogenicity outcomes. METHODS: Patients with
active RRMS were randomized (2:1) to IFN beta-1a, 44 mcg sc three times weekly
(tiw) (n=120), or placebo (n=60), for 16 weeks (double-blind phase). All patients
then received IFN beta-1a, 44 mcg sc tiw, for 24 weeks (rater-blind phase).
Patients underwent MRI brain scans every 4 weeks. RESULTS: Compared with placebo,
there was a 68% reduction in the mean cumulative number of new gadolinium
enhancing lesions with IFN beta-1a as early as week 4 (p<0.001), and a 53%
reduction in the mean cumulative number of new T2 lesions as early as week 8
(p=0.025; post hoc analyses). During the 16-week double-blind phase, the relapse
rate was 0.14 (95% confidence interval [CI] 0.09-0.23) with IFN beta-1a and 0.33
(95% CI 0.22-0.52) with placebo (p=0.010). Safety outcomes were consistent with
those expected with IFN-beta treatment. CONCLUSIONS: The FBS/HSA-free formulation
of sc IFN beta-1a has a beneficial impact on MRI and efficacy outcomes as early
as 4 weeks after treatment initiation in patients with RRMS and has a safety
profile consistent with previous trials of sc IFN beta-1a.
PMID- 21880337
TI - Conjugates of abscisic acid, brassinosteroids, ethylene, gibberellins, and
jasmonates.
AB - Phytohormones, including auxins, abscisic acid, brassinosteroids, cytokinins,
ethylene, gibberellins, and jasmonates, are involved in all aspects of plant
growth, and developmental processes as well as environmental responses. However,
our understanding of hormonal homeostasis is far from complete. Phytohormone
conjugation is considered as a part of the mechanism to control cellular levels
of these compounds. Active phytohormones are changed into multiple forms by
acylation, esterification or glycosylation, for example. It seems that conjugated
compounds could serve as pool of inactive phytohormones that can be converted to
active forms by de-conjugation reactions. Some conjugates are thought to be
temporary storage forms, from which free active hormones can be released after
hydrolysis. It is also believed that conjugation serves functions, such as
irreversible inactivation, transport, compartmentalization, and protection
against degradation. The nature of abscisic acid, brassinosteroid, ethylene,
gibberellin, and jasmonate conjugates is discussed.
PMID- 21880338
TI - Beta-D-(1->4)-galactan-containing side chains in RG-I regions of pectic
polysaccharides from Biophytum petersianum Klotzsch. contribute to expression of
immunomodulating activity against intestinal Peyer's patch cells and macrophages.
AB - The aerial parts of the medicinal plant Biophytum petersianum have a long
tradition for being used in Mali and other West-African countries against various
ailments such as wound healing and malaria. Previous studies on polysaccharides
from water extracts of the aerial parts showed the presence of pectic like
polymers with an effect on the human complement system as well as the ability to
activate macrophages and dendritic cells. The present study shows that pectic
polysaccharide fragments (BPII.1 and BPII.2) as well as the original pectic
polysaccharide (BPII) expressed immunomodulating activity against Peyer's patch
immunocompetent cells. Exo-beta-D-(1->3)-galactanase digestion succeeded to
decrease IL-6 production enhancing activity against Peyer's patch cells of
BPII.2, but the activity of BPII.1 did not decrease. Endo-beta-D-(1->4)
galactanase digestion reduced the activities of both BPII.1 and BPII.2. BPII.1
and BPII.2 also stimulated IL-6 production enhancing activity against
macrophages, and the activities of both pectic fragments were significantly
decreased by either enzymic digestion with exo-beta-D-(1->3)-galactanase or endo
beta-D-(1->4)-galactanase. Trimming of terminal GlcA by exo-beta-D-glucuronidase
digestion did not affect IL-6 production enhancing activity against macrophages
of both pectic fragments. Methylation analyses of endo-beta-D-(1->4)-galactanase
digestion products showed the characteristic decrement of 4-linked Gal residues
in the pectic fragments. These results suggest that beta-D-(1->4)-galactan
containing side chains in BPII.1 and BPII.2 play an important role for expression
of immunomodulating activity against both Peyer's patch immunocompetent cells and
macrophages in addition to beta-D-(1->3,6)-galactan chains.
PMID- 21880339
TI - Escherichia coli O115 forms fewer attaching and effacing lesions in the ovine
colon in the presence of E. coli O157:H7.
AB - Escherichia coli O115 has been isolated from healthy sheep and was shown to be
associated with attaching-effacing (AE) lesions in the large intestine. Following
previous observations of interactions between E. coli O157 and O26, the aim of
the present study was to assess what influence an O115 AE E. coli (AEEC) would
have on E. coli O157 colonisation in vitro and in vivo. We report that E. coli
O115- and O157-associated AE lesions were observed on HEp-2 cells and on the
mucosa of ligated ovine spiral colon. In single strain inoculum, E. coli O115
associated intimately with HEp-2 cells and the spiral colon in greater numbers
than E. coli O157:H7. However, in mixed inoculum studies, the number of E. coli
O115 AE lesions was significantly reduced suggesting negative interference by E.
coli O157. Use of the ligated colon model in the present work has allowed in
vitro observations to be extended and confirmed whilst using a minimum of
experimental animals. The findings support a hypothesis that some AEEC can
inhibit adhesion of other AEEC in vivo. The mechanisms involved may prove to be
of utility in the control of AE pathovars.
PMID- 21880340
TI - Analysis of nucleotides 13-96 of the human parainfluenza virus type 3 antigenomic
promoter reveals positive- and negative-acting replication elements.
AB - During replication of human parainfluenza virus type 3 (HPIV3), the 96-nucleotide
antigenomic promoter (AGP) of HPIV3 directs the synthesis of genomic RNA.
Previous work showed that nucleotides 1-12 were critical in promoting replication
of an HPIV3 minireplicon, but nucleotides 13-96 were not investigated. In this
study, the role of nucleotides 13-96 in AGP function was analyzed by creating and
assaying mutations in an HPIV3 minireplicon. A replication promoting element
known as promoter element II (nt 79-96) was confirmed in the HPIV3 AGP.
Additionally, nucleotides 13-39 were found to constitute an additional positive
acting cis-element. However, detailed analysis of the 13-39 element revealed a
complicated control element with both stimulatory and repressing elements.
Specifically, nucleotides 21-28 were shown to repress RNA replication, while
flanking sequences had a stimulatory effect.
PMID- 21880341
TI - Fluid shear influences on the performance of hydraulic flocculation systems.
AB - Gravity driven hydraulic flocculators that operate in the absence of reliable
electric power are better suited to meet the water treatment needs of green
communities, resource-poor communities, and developing countries than
conventional mechanical flocculators. However, current understanding regarding
the proper design and operation of hydraulic flocculation systems is
insufficient. Of particular interest is the optimal fluid shear level needed to
produce low turbidity water. A hydraulic tube flocculator was used to study how
fluid shear levels affect the settling properties of a flocculated alum-kaolin
suspension. A Flocculation Residual Turbidity Analyzer (FReTA) was used to
quantitatively compare the sedimentation velocity distributions and the post
sedimentation residual turbidities of the flocculated suspensions to see how they
were affected by varying fluid shear, G, and hydraulic residence time, theta,
while holding collision potential, Gtheta, constant. Results show that floc
breakup occurred at all velocity gradients evaluated. High floc settling
velocities were correlated with low residual turbidities, both of which were
optimized at low fluid shear levels and long fluid residence times. This study
shows that, for hydraulic flocculation systems under the conditions described in
this paper, low turbidity water is produced when fluid shear is kept at a
minimum. Use of the product Gtheta for design of laminar flow tube flocculators
is insufficient if residual turbidity is used as the metric for performance. At
any Gtheta within the range tested in this study, best performance is obtained
when G is small and theta is long.
PMID- 21880342
TI - Nitrification and potential control mechanisms in simulated premises plumbing.
AB - Indigenous drinking water organisms were used to establish nitrification in glass
reactors containing copper or polyvinyl chloride (PVC) surfaces. The reactors
were fed soil-derived humics as the organic carbon source and ammonium sulfate as
the nitrogen source in biologically treated tap water. Water in the reactors was
stagnant for 8 h and then flowed for 5 min to simulate conditions in household
plumbing. Following the establishment of complete nitrification (conversion of
ammonia to nitrate) in both reactor types, various inhibitors of nitrification
were tested followed by a period where recovery of nitrification was observed. In
one PVC reactor, copper was gradually introduced up to 1.3 ppm. To ensure that
most of the copper was in the ionic form, the pH of the influent was then
gradually lowered to 6.6. No significant change in nitrification was observed in
the presence of copper. Chlorite was introduced into copper and PVC reactors at
doses increasing from 0.2 ppm to 20 ppm. There was limited effect on the PVC
system and inhibition in the copper reactor only at 20 ppm. Chloramine was tested
at chlorine to ammonia ratios ranging from 0.5:1 to 5:1. Nitrification activity
was impacted significantly at a 5:1 ratio and ultimately stopped, with the
fastest response being in the copper system. Whenever a control mechanism was
tested, there was increased release of copper from the reactors with copper
coupons. In all cases, nitrification recovered when inhibitors were removed but
the rates of recovery differed depending on the treatment method and coupon
surface.
PMID- 21880343
TI - Nitrate removal, communities of denitrifiers and adverse effects in different
carbon substrates for use in denitrification beds.
AB - Denitrification beds are containers filled with wood by-products that serve as a
carbon and energy source to denitrifiers, which reduce nitrate (NO(3)(-)) from
point source discharges into non-reactive dinitrogen (N(2)) gas. This study
investigates a range of alternative carbon sources and determines rates,
mechanisms and factors controlling NO(3)(-) removal, denitrifying bacterial
community, and the adverse effects of these substrates. Experimental barrels (0.2
m(3)) filled with either maize cobs, wheat straw, green waste, sawdust, pine
woodchips or eucalyptus woodchips were incubated at 16.8 degrees C or 27.1
degrees C (outlet temperature), and received NO(3)(-) enriched water (14.38 mg N
L(-1) and 17.15 mg N L(-1)). After 2.5 years of incubation measurements were made
of NO(3)(-)-N removal rates, in vitro denitrification rates (DR), factors
limiting denitrification (carbon and nitrate availability, dissolved oxygen,
temperature, pH, and concentrations of NO(3)(-), nitrite and ammonia), copy
number of nitrite reductase (nirS and nirK) and nitrous oxide reductase (nosZ)
genes, and greenhouse gas production (dissolved nitrous oxide (N(2)O) and
methane), and carbon (TOC) loss. Microbial denitrification was the main mechanism
for NO(3)(-)-N removal. Nitrate-N removal rates ranged from 1.3 (pine woodchips)
to 6.2 g N m(-3) d(-1) (maize cobs), and were predominantly limited by C
availability and temperature (Q(10) = 1.2) when NO(3)(-)-N outlet concentrations
remained above 1 mg L(-1). The NO(3)(-)-N removal rate did not depend directly on
substrate type, but on the quantity of microbially available carbon, which
differed between carbon sources. The abundance of denitrifying genes (nirS, nirK
and nosZ) was similar in replicate barrels under cold incubation, but varied
substantially under warm incubation, and between substrates. Warm incubation
enhanced growth of nirS containing bacteria and bacteria that lacked the nosZ
gene, potentially explaining the greater N(2)O emission in warmer environments.
Maize cob substrate had the highest NO(3)(-)-N removal rate, but adverse effects
include TOC release, dissolved N(2)O release and substantial carbon consumption
by non-denitrifiers. Woodchips removed less than half of NO(3)(-) removed by
maize cobs, but provided ideal conditions for denitrifying bacteria, and adverse
effects were not observed. Therefore we recommend the combination of maize cobs
and woodchips to enhance NO(3)(-) removal while minimizing adverse effects in
denitrification beds.
PMID- 21880344
TI - Mechanism for sulfur acquisition by the alkanesulfonate monooxygenase system.
AB - The bacterial alkanesulfonate monooxygenase system is involved in the acquisition
of sulfur from organosulfonated compounds during limiting sulfur conditions. The
reaction relies on an FMN reductase to supply reduced flavin to the monooxygenase
enzyme. The reaction catalyzed by the alkanesulfonate monooxygenase enzyme
involves the carbon-sulfur bond cleavage of a wide range of organosulfonated
compounds. A C4a-(hydro)peroxyflavin is the oxygenating intermediate in the
mechanism of desulfonation by the alkanesulfonate monooxygenase. This review
discusses the physiological importance of this system, and the individual kinetic
parameters and mechanistic properties of this enzyme system.
PMID- 21880345
TI - Occurrence and distribution of pharmaceuticals in surface water, suspended solids
and sediments of the Ebro river basin, Spain.
AB - The occurrence of 43 pharmaceuticals belonging to predominant therapeutic classes
and their distribution in surface water, suspended solids and sediments has been
investigated in the Ebro river basin in the Northeast of Spain. WWTP effluents
were found to be a main source of contamination and the spatial distribution was
affected by the river flow at the sampling point and corresponding dilution
factor, resulting in higher concentrations and higher loads in small tributary
rivers than in the Ebro river. The study showed that some compounds are
preferentially found bound to suspended solids and not detected in river water.
Generally, compounds with basic characteristics (pKa > 7) showed higher tendency
to bind to suspended solids. The sediment samples generally presented lower
concentrations than suspended solids.
PMID- 21880346
TI - Development of an analytical strategy based on liquid chromatography-high
resolution mass spectrometry for measuring perfluorinated compounds in human
breast milk: application to the generation of preliminary data regarding
perinatal exposure in France.
AB - Perfluorinated compounds (PFCs) are man-made chemicals for which endocrine
disrupting properties and related possible side effects on human health have been
reported, particularly in the case of an exposure during the early stages of
development, (notably the perinatal period). Existing analytical methods
dedicated to PFCs monitoring in food and/or human fluids are currently based on
liquid chromatography coupled to tandem mass spectrometry, and were recently
demonstrated to present some limitations in terms of sensitivity and/or
specificity. An alternative strategy dedicated to the analysis of fourteen PFCs
in human breast milk was proposed, based on an effective sample preparation
followed by a liquid chromatography coupled to high resolution mass spectrometry
measurement (LC-HRMS). This methodology confirmed the high interest for HRMS
after negative ionization for such halogenated substances, and finally permitted
to reach detection limits around the pg mL(-1) range with an outstanding signal
specificity compared to LC-MS/MS. The proposed method was applied to a first set
of 30 breast milk samples from French women. The main PFCs detected in all these
samples were PFOS and PFOA with respective median values of 74 (range from 24 to
171) and 57 (range from 18 to 102) pg mL(-1), respectively. These exposure data
appeared in the same range as other reported values for European countries.
PMID- 21880347
TI - Formation and mitigation of PCDD/Fs in iron ore sintering.
AB - The sintering of iron ore is presently a significant industrial source of
polychlorinated dibenzo-p-dioxins and polychlorinated dibenzofurans (PCDD/Fs)
worldwide owing to the fundamental requirement of the operation of a high
temperature process to pre-treat fines and to recycle plant by-products arising
from the integrated iron and steelworks. The process is a noteworthy contributor
of PCDD/F indirectly due to decreasing PCDD/F releases from municipal solid waste
incineration. Commonly PCDD/F formation from the process is associated with the
addition of oily mill scales although raw material containing a combination of C,
Cl and specific metal catalyst has been shown to drastically increase PCDD/F
formation in the process. The degenerate graphitic structure of carbon present in
coke fuel and soot formed and the chemistry of catalytic metals and Cl are
important factors. A review on PCDD/F emission in this process has been carried
out, including examination of its formation mechanism, congener distribution,
contributing factors and mitigation strategies, with emphasis on the use of
inhibiting compound to achieve suppression. A detailed analysis of the de novo
and precursor theories of formation and the contributing factor is given since
the subject of PCDD/F formation is still controversial. The de novo formation
pathway identified in sinter plants and controlled studies performed in the
laboratory as well as at pilot-scale are discussed; where appropriate, a
comparison is drawn between sintering and other thermal processes emitting
PCDD/Fs. Summary of the latest developments in PCDD/F downstream abatement
strategies presently employed in full scale industrial plants is provided.
Potential inhibiting compounds are discussed in terms of their mode of action and
merits under sintering conditions.
PMID- 21880348
TI - Modeling batch leaching behavior of arsenic and selenium from bituminous coal fly
ashes.
AB - Correctly predicting the leaching potential of arsenic (As) and selenium (Se) is
critical for assessing the environmental impact of coal fly ash. This study
investigated the impacts of several key environmental factors, including pH,
leaching time, and ash washing on the batch leaching behavior of As and Se from
bituminous coal fly ashes. The experimental results demonstrated that As and Se
leaching from fly ash increased beyond the minimal leaching pH ranges. Increasing
leaching time increased As leaching but decreased Se leaching in the alkaline pH
condition. A speciation-based adsorption model was used to quantify the batch
leaching data, and determine the intrinsic leaching parameters including the
total batch leachable mass and the adsorption constant of As or Se. The modeling
approach was validated by correctly predicting the independent batch leaching
data in a broad pH range and a different L/S condition. Experimental and modeling
results also demonstrated that ash washing and ash aging (longer leaching time)
did not change the adsorption constants of As and Se on the ash surface. However,
ash washing could increase the availability of As and Se for leaching.
PMID- 21880349
TI - Concentrations, spatial distributions and congener profiles of polychlorinated
biphenyls in soils from a coastal city--Tianjin, China.
AB - A total of 82 surface soil samples collected from central urban sites,
surrounding rural sites, coastal sites and background sites in Tianjin were
analyzed for 84 PCB congeners. The mean values of total PCBs concentrations for
surrounding rural sites, central urban sites, coastal sites, background sites and
the whole Tianjin region were 4.45, 3.20, 12.65, 1.96 and 4.02 ng g(-1),
respectively. No "urban fractionation effect" was found in Tianjin, which
reflected the influence of local emission sources for PCBs such as industries and
township enterprises in surrounding rural sites. In contrast, a "primary
fractionation effect" was found in Tianjin region. The PCBs concentrations for
whole Tianjin region showed a strong east-west gradient and the percentages of
lighter molecular weight PCBs homologs (sum of di- to tetra-PCBs) to the total
PCBs concentrations increased from east to west. The seven indicator PCBs
concentrations were well correlated with the total PCBs concentrations with the
correlation coefficients as 0.76 for Tianjin region and 0.74 for central urban
sites, respectively. Predominant PCB homolog groups were penta- and tri-PCBs for
Tianjin region. 10 dioxin-like PCBs concentrations were well correlated with
total PCBs concentrations for all the sampling sites (R=0.79, P<0.0001). The TEQ
concentrations for 10 dioxin-like PCBs were 5.3424 ng kg(-1) for Tianjin region
and showed a strong east to west gradient. The spatial distribution of PCBs
levels, homolog composition patterns and TEQ concentrations were all obviously
influenced by local emission sources for PCBs in the east part of Tianjin region.
PMID- 21880350
TI - Intensification of volatile organic compounds mass transfer in a compact scrubber
using the O3/H2O2 advanced oxidation process: kinetic study and hydroxyl radical
tracking.
AB - This study assesses the potential of ozonation and advanced oxidation process
O(3)/H(2)O(2) to enhance the dimethyldisulfide (DMDS) mass transfer in a compact
chemical scrubber developed for air treatment applications. Theoretical
calculations, through Hatta number and enhancement factor evaluations for two
parallel irreversible reactions, were compared to experimental data and enabled
the description of the mass transfer mechanisms. These calculations required the
determination of the kinetic constant of the DMDS oxidation by molecular ozone (
[Formula: see text] ) and the measurement of the hydroxyl radical concentration
within the scrubber. The competitive kinetic method using the 1,2
dihydroxybenzene (resorcinol) enabled to determine a value of the kinetic
constant [Formula: see text] of 1.1*10(6)M(-1)s(-1) at 293K. Then, experiments
using para-chlorobenzoic acid in solution allowed measuring the average hydroxyl
concentration in the scrubber between the inlet and the outlet depending on the
chemical conditions (pH and inlet O(3) and H(2)O(2) concentrations). High
hydroxyl radical concentrations (10(-8)M) and ratio of the HO degrees -to-O(3)
exposure (R(ct)~10(-4)) were put in evidence.
PMID- 21880351
TI - Climate change - An uncertainty factor in risk analysis of contaminated land.
AB - Metals frequently occur at contaminated sites, where their potential toxicity and
persistence require risk assessments that consider possible long-term changes.
Changes in climate are likely to affect the speciation, mobility, and risks
associated with metals. This paper provides an example of how the climate effect
can be inserted in a commonly used exposure model, and how the exposure then
changes compared to present conditions. The comparison was made for cadmium (Cd)
exposure to 4-year-old children at a highly contaminated iron and steel works
site in southeastern Sweden. Both deterministic and probabilistic approaches
(through probability bounds analysis, PBA) were used in the exposure assessment.
Potential climate-sensitive variables were determined by a literature review.
Although only six of the total 39 model variables were assumed to be sensitive to
a change in climate (groundwater infiltration, hydraulic conductivity, soil
moisture, soil:water distribution, and two bioconcentration factors), the total
exposure was clearly affected. For example, by altering the climate-sensitive
variables in the order of 15% to 20%, the deterministic estimate of exposure
increased by 27%. Similarly, the PBA estimate of the reasonable maximum exposure
(RME, defined as the upper bound of the 95th percentile) increased by almost 20%.
This means that sites where the exposure in present conditions is determined to
be slightly below guideline values may in the future exceed these guidelines, and
risk management decisions could thus be affected. The PBA, however, showed that
there is also a possibility of lower exposure levels, which means that the
changes assumed for the climate-sensitive variables increase the total
uncertainty in the probabilistic calculations. This highlights the importance of
considering climate as a factor in the characterization of input data to exposure
assessments at contaminated sites. The variable with the strongest influence on
the result was the soil:water distribution coefficient (Kd).
PMID- 21880352
TI - Effectiveness and safety of thromboprophylaxis with enoxaparin in medical
inpatients.
AB - BACKGROUND: Venous thromboembolism (VTE) includes deep vein thrombosis and
pulmonary embolism. Although effective prophylaxis exists for medical patients,
there is little information outside of clinical trials. We will analyze our
experience in the prophylaxis of VTE with enoxaparin in hospitalized medical
patients. MATERIAL AND METHODS: We studied all of the patients >=15 years
admitted for emergency care to all of the medical departments of the hospital,
except for the Hematology Department, between 1/April/1999 and 31/December/2005.
The patients' age, sex, Charlson comorbidity index (CCI), whether they received
prophylaxis with enoxaparin or not, dose, VTE, bleeding, thrombocytopenia, and
mortality were analyzed. RESULTS: 40,349 patients were included, of which 55.87%
were male, with an average age of 67.56, and an average CCI of 4.99. There were
19,834 patients who did not receive prophylaxis for which the rate of incidence
of VTE was 0.61%, mortality 8.75%, bleeding 1.38%, and thrombocytopenia 0.04%.
Prophylactic enoxaparin was administered to 20,515 patients, for which the rate
of incidence of VTE was 0.44%, mortality 10.71%, bleeding 1.1%, and
thrombocytopenia 0.04%. The adjusted Odds Ratio (OR) for VTE was 0.65 (95%
confidence interval [95% CI] 0.49 to 0.87). The adjusted OR for mortality was
0.84 (95% CI 0.78 to 0.9). With the adjusted data, the number needed to treat
(NNT) for VTE was 470.3 (95% CI 278.4 to 1413.3), and the NNT for mortality was
77.2 (95% CI 54.6 to 130.3). CONCLUSION: Thromboprophylaxis with enoxaparin in
hospitalized medical patients is associated with a lower incidence of VTE and
mortality, and is safe.
PMID- 21880353
TI - Comparative evaluation of Tissue factor and Thrombomodulin activity changes
during normal and idiopathic early and late foetal loss: the cause of
hypercoagulability?
AB - Various components of the coagulation and fibrinolytic pathways are involved in
normal embryonic implantation, trophoblast invasion, placentation, and recurrent
miscarriages are characterized by defective placentation and microthrombi in the
placental vasculature. Although recurrent miscarriage is a heterogeneous
condition the relationship between abnormalities in the haemostatic pathways and
pregnancy outcome is increasingly recognized. The challenge we face is how to
discriminate between women who are destined to miscarry from those whose
pregnancy will be successful. Considering the crucial role of thrombomodulin and
tissue factor in coagulation and in embryonic development, we have performed a
study using specific assays for thrombomodulin, tissue factor activity and
procoagulant phospholipids in association with other parameters in 30 early
(under 12weeks) and 32 late (over 22weeks) pregnancy loss women and compared them
with 62 normal pregnancy women and 35 non-pregnant women. Plasma levels of tissue
factor activity, thrombomodulin activity, and procoagulant phospholipids were
significantly higher in patients than in control subjects. In addition the tissue
factor activity/free tissue factor pathway inhibitor ratio was higher in patients
than in controls. Interestingly, patients with late pregnancy loss had higher
tissue factor activity/free tissue factor pathway inhibitor ratios than patients
with early pregnancy loss. The combinations of these different parameters reveal
an increase in procoagulant activity which could be secondary to endothelial
damage or coagulation activation and then are involved in the pathogenesis of
pregnancy loss. Their simultaneous measurement of these activities might provide
a new tool to assess the prognosis of pregnancy loss.
PMID- 21880354
TI - Subepithelial collagen deposition, profibrogenic cytokine gene expression, and
changes after prolonged fluticasone propionate treatment in adult eosinophilic
esophagitis: a prospective study.
AB - BACKGROUND: Recent research shows that both pediatric and adult patients with
eosinophilic esophagitis (EoE) experience esophageal remodeling marked by
increased collagen deposition in which TGF-beta plays an important role. However,
limited data are available on the intensity and reversibility of fibrous
remodeling in adults with EoE. OBJECTIVE: We sought to analyze differences in
collagen deposition in the lamina propria (LP) and profibrogenic cytokine gene
expression along with other changes induced by prolonged treatment with
fluticasone propionate in adults with EoE. METHODS: Ten adults given consecutive
diagnoses of EoE were studied prospectively. Deep esophageal biopsy specimens
were obtained before and after 1 year of treatment with fluticasone propionate.
Collagen deposition in the LP was assessed in tissue sections with the aid of the
Masson trichrome technique. IL5, TGFB1, fibroblast growth factor 9 (FGF9), and
CCL18 gene expression was quantified through real-time PCR. EoE results were
compared among samples from 10 adult patients with gastroesophageal reflux
disease and 10 control subjects with healthy esophagi. RESULTS: Patients with EoE
showed a significant increase in subepithelial collagen deposition; this
correlated positively with eosinophil density in the LP and the patient's age.
Prolonged steroid treatment induced a nonsignificant reduction in subepithelial
fibrosis, which remained significantly higher than in control subjects.
Profibrogenic cytokine gene expression also increased in patients with EoE, with
IL5 (P < .001), FGF9 (P = .005), and CCL18 (P = .008) all significantly
upregulated. After 1 year of treatment, a reduction was observed in gene
expression; for CCL18 expression, this decrease was statistically significant (P
< .001). CONCLUSIONS: Esophageal remodeling is associated with upregulated gene
expression of profibrogenic cytokines in adults with EoE. Prolonged treatment
with fluticasone propionate leads to a nonsignificant reduction in subepithelial
collagen deposition accompanied by downregulation of profibrogenic cytokine gene
expression, with that of CCL18 being especially significant.
PMID- 21880355
TI - Real time neutron diffraction and NMR of the Empress II glass-ceramic system.
AB - OBJECTIVE: This study reports real time neutron diffraction on the Empress II
glass-ceramic system. METHODS: The commercial glass-ceramics was characterized by
real time neutron diffraction, 31P and 29Si solid-state MAS-NMR, DSC and XRD.
RESULTS: On heating, the as-received glass ceramic contained lithium disilicate
(Li2Si2O5), which melted with increasing temperature. This was revealed by
neutron diffraction which showed the Bragg peaks for this phase had disappeared
by 958 degrees C in agreement with thermal analysis. On cooling lithium
metasilicate (Li2SiO3) started to form at around 916 degrees C and a minor phase
of cristobalite at around 852 degrees C. The unit cell volume of both Li-silicate
phases increased linearly with temperature at a rate of +17*10-3 A3. degrees C-1.
Room temperature powder X-ray diffraction (XRD) of the material after cooling
confirms presence of the lithium metasilicate and cristobalite as the main phases
and shows, in addition, small amount of lithium disilicate and orthophosphate.
31P MAS-NMR reveals presence of the lithiorthophosphate (Li3PO4) before and after
heat treatment. The melting of lithium disilicate on heating and crystallisation
of lithium metasilicate on cooling agree with endothermic and exotermic features
respectively observed by DSC. 29Si MAS-NMR shows presence of lithium disilicate
phase in the as-received glass-ceramic, though not in the major proportion, and
lithium metasilicate in the material after heat treatment. Both phases have
significantly long T1 relaxation time, especially the lithium metasilicate,
therefore, a quantitative analysis of the 29Si MAS-NMR spectra was not attempted.
Significance. The findings of the present work demonstrate importance of the
commercially designed processing parameters in order to preserve desired
characteristics of the material. Processing the Empress II at a rate slower than
recommended 60 degrees C min-1 or long isothermal hold at the maximal processing
temperature 920 degrees C can cause crystallization of lithium metasilicate and
cristobalite instead of lithium disilicate as major phase.
PMID- 21880356
TI - Acne vulgaris.
AB - Acne is a chronic inflammatory disease of the pilosebaceous unit resulting from
androgen-induced increased sebum production, altered keratinisation,
inflammation, and bacterial colonisation of hair follicles on the face, neck,
chest, and back by Propionibacterium acnes. Although early colonisation with P
acnes and family history might have important roles in the disease, exactly what
triggers acne and how treatment affects the course of the disease remain unclear.
Other factors such as diet have been implicated, but not proven. Facial scarring
due to acne affects up to 20% of teenagers. Acne can persist into adulthood, with
detrimental effects on self-esteem. There is no ideal treatment for acne,
although a suitable regimen for reducing lesions can be found for most patients.
Good quality evidence on comparative effectiveness of common topical and systemic
acne therapies is scarce. Topical therapies including benzoyl peroxide,
retinoids, and antibiotics when used in combination usually improve control of
mild to moderate acne. Treatment with combined oral contraceptives can help women
with acne. Patients with more severe inflammatory acne usually need oral
antibiotics combined with topical benzoyl peroxide to decrease antibiotic
resistant organisms. Oral isotretinoin is the most effective therapy and is used
early in severe disease, although its use is limited by teratogenicity and other
side-effects. Availability, adverse effects, and cost, limit the use of
photodynamic therapy. New research is needed into the therapeutic comparative
effectiveness and safety of the many products available, and to better understand
the natural history, subtypes, and triggers of acne.
PMID- 21880357
TI - Disturbance of benthic macrofauna in relation to hypoxia and organic enrichment
in a eutrophic coastal bay.
AB - This study demonstrated the spatiotemporal patterns of the environmental
conditions and benthic macrofauna in Tokyo Bay, Japan, and investigated the
factors causing disturbances in the assemblage structure. In the north-central
areas, the density and species diversity of the macrobenthos was low. Although
hypoxia appeared in July, defaunation occurred in August. The delayed defaunation
and recolonization soon after the abatement of hypoxia were attributed to several
polychaete and bivalve species that were tolerant to the hypoxic environment. In
the southeastern areas, however, the density and species diversity of the
macrobenthos was high throughout the year, and no defaunation was recorded.
Multivariate analyses showed that the disturbance in the macrofauna correlated
with organic enrichment in the sediment and bottom-water hypoxia. There is a
concern about further impairment of the macrofauna in the bay due to the
expansion of sediment with high levels of organic matter towards the southern
regions that could cause hypoxia and subsequent defaunation.
PMID- 21880358
TI - Trophic status of earthen ponds used for semi-intensive shrimp (Litopenaeus
stylirostris, Stimpson, 1874) farming in New Caledonia (Pacific Ocean).
AB - We have investigated temporal variability in the quantity and biochemical
composition of sediment organic matter along with variables proxies of water
eutrophication (e.g., inorganic nutrient and chlorophyll-a) at two shrimp farms
located in the Southern coast of New Caledonia and characterised by clear
differences in shrimp feeding practices and levels of initial trophic conditions.
The results of our study reveal that the trophic status of the water column
increased during the rearing cycle at both sites, determining a general, though
moderated, eutrophication. However, the water column trophic descriptors did not
allow to discriminate differences in the trophic status among the investigated
sites or between sites in the same farming plant, even if they were subjected to
different feeding practices and largely different initial characteristics of the
sediment. Temporal variations in biopolymeric C and phytopigment sedimentary
contents (used as proxies of benthic eutrophication) varied inconsistently among
sites. The multivariate analyses did not identify significant temporal patterns
in the benthic trophic status, but allowed discriminating the four investigated
sites. The semi-intensive shrimp farming significantly contributed to changing
the water column and sediments trophic status of the earthen ponds, but the
extent of those changes was not consistently observed in all ponds. In any of the
investigated ponds the trophic status exceeded concerning thresholds over which
hypoxia or anoxia could occur. We conclude that the established semi-intensive
practices adopted so far for shrimp farming activities in the earthen ponds of
New Caledonia are able to maintain the status of the ponds below the
eutrophication levels over which dystrophic crises could sharply abate most of
the reared biomass.
PMID- 21880359
TI - Enzymatic activities in spermatozoa and butyltin concentrations in Baltic turbot
(Scophthalmus maximus).
AB - Spermatozoal enzymes of fish (NAD+- and NADP-dependent dehydrogenases and
creatine kinase (CK)) were previously determined to be sensitive to tributyltin
(TBT) in laboratory experiments and were thus indicated for use as biomarkers for
TBT exposure. However, the potential ability of spermatozoal enzymes as
biomarkers of TBT exposure has never been recapitulated in a field study. For
this purpose, the kinetic activities of spermatozoal enzymes of the natural
turbot Scophthalmus maximus population from the Gulf of Gdansk (GDA) and the
Pomeranian Bay (POM) in the southern Baltic Sea were measured. Gas
chromatography/high-resolution mass spectrometry was used to determine the
concentrations of TBT and its breakdown products, dibutyltin (DBT) and
monobutyltin (MBT), in the muscle, liver and testes of the male turbot. Males
from GDA had significantly higher enzymatic activities and butyltin (BT) content
in tissues than those from POM. A general linear model (GLM) showed that lactate
dehydrogenase (LDH), malate dehydrogenase (MDH), glucose-6-phosphate
dehydrogenase (G6PDH) and CK activities increased significantly with BT
concentration in the testes and liver. We indicate the potential effects of TBT
pollution on the spermatozoal enzymes of Baltic turbot.
PMID- 21880360
TI - Long-term impacts of coral bleaching events on the world's warmest reefs.
AB - The southern Arabian Gulf houses some of the most thermally tolerant corals on
earth, but severe bleaching in the late 1990s caused widespread mortality. More
than a decade later, corals still dominated benthos (mean: 40 +/- 3% cover on 10
sites spanning > 350 km; range: 11.0-65.6%), but coral communities varied
spatially. Sites to the west generally had low species richness and coral cover
(mean: 3.2 species per transect, 31% cover), with Porites dominated communities
(88% of coral) that are distinct from more diverse and higher cover eastern sites
(mean: 10.3 species per transect, 62% cover). These patterns reflect both the
more extreme bleaching to the west in the late 1990s as well as the higher faviid
dominated recruitment to the east in subsequent years. There has been limited
recovery of the formerly dominant Acropora, which now represents <1% of the
benthos, likely as a result of recruitment failure. Results indicate that severe
bleaching can have substantial long-term impacts on coral communities, even in
areas with corals tolerant to environmental extremes.
PMID- 21880361
TI - Splitting and self-assembling of far-red fluorescent protein with an engineered
beta strand peptide: application for alpha-synuclein imaging in mammalian cells.
AB - We introduce the strategic development of self-assembling peptide/protein
fragments based on the far-red fluorescent protein mPlum. The first beta strand
(mPlum 1, 18 amino acids) of mPlum was engineered to spontaneously bind with the
rest of the protein (mPlum 2-11, next 10 beta strands) and to form a native
chromophore. The target beta strand mPlum 1 was separated from mPlum 2-11 and
linked via a flexible peptide linker, resulting in fluorescently inactive
circularly permuted mPlum protein (CpmPlum). In vitro evolution of this CpmPlum
to a fluorescently active form and the subsequent splitting of the engineered
mPlum 1 peptide afforded self-assembling mPlum fragments. Recombinantly expressed
and synthetically prepared beta strand peptides were successfully assembled with
the remaining mPlum protein in vitro and in cells. This developed pair of
peptide/protein fragments was effectively used for peptide tag detection of alpha
synuclein in mammalian cells. Sequential expression of self-assembling mPlum
fragments offered an entirely genetically encoded sensing system of naturally
unfolded alpha-synuclein.
PMID- 21880362
TI - The development of collagen-GAG scaffold-membrane composites for tendon tissue
engineering.
AB - Current tissue engineering approaches for tendon defects require improved
biomaterials to balance microstructural and mechanical design criteria. Collagen
glycosaminoglycan (CG) scaffolds have shown considerable success as in vivo
regenerative templates and in vitro constructs to study cell behavior. While
these scaffolds possess many advantageous qualities, their mechanical properties
are typically orders of magnitude lower than orthopedic tissues such as tendon.
Taking inspiration from mechanically efficient core-shell composites in nature
such as plant stems and porcupine quills, we have created core-shell CG
composites that display high bioactivity and improved mechanical integrity. These
composites feature integration of a low density, anisotropic CG scaffold core
with a high density, CG membrane shell. CG membranes were fabricated via an
evaporative process that allowed separate tuning of membrane thickness and
elastic moduli and were found to be isotropic in-plane. The membranes were then
integrated with an anisotropic CG scaffold core via freeze-drying and subsequent
crosslinking. Increasing the relative thickness of the CG membrane shell was
shown to increase composite tensile elastic modulus by as much as a factor of 36
in a manner consistent with predictions from layered composites theory. CG
scaffold-membrane composites were found to support tendon cell viability,
proliferation, and metabolic activity in vitro, suggesting they maintain
sufficient permeability while demonstrating improved mechanical strength. This
work suggests an effective, biomimetic approach for balancing strength and
bioactivity requirements of porous scaffolds for tissue engineering.
PMID- 21880363
TI - Identification of a peptide that interacts with Nestin protein expressed in brain
cancer stem cells.
AB - Glioma stem cells (GSCs) are presumably major culprits for brain tumor
initiation, progression, and recurrence after conventional therapies. Thus,
selective targeting and eradication of GSCs may provide a promising and effective
therapeutic approach. Here, we isolated a GSC-targeting (GSCT) peptide that
demonstrated selective binding affinity for many undifferentiated GSCs using in
vitro phage display technology. This GSCT peptide binds to isotypes of Nestin
proteins specifically expressed in GSCs, enabling it to target Nestin-positive
cells in human glioblastoma tissues. In human glioblastoma tissue specimens, the
fluorescence-conjugated GSCT peptide could visualize putative GSC populations,
showing its possible use as a diagnostic agent. GSCT peptide is also internalized
into undifferentiated GSCs specifically in vitro, and moreover, intravenously
injected GSCT peptide effectively penetrated into tissues, specifically
accumulated in gliomas that arise from subcutaneous and orthotopic implantation,
and predominantly targeted Nestin-positive cells in these tumors. Thus, our GSCT
peptide may be useful for the development of more promising therapeutic and
diagnostic modalities that target GSCs in brain tumors.
PMID- 21880364
TI - Polymer encapsulated upconversion nanoparticle/iron oxide nanocomposites for
multimodal imaging and magnetic targeted drug delivery.
AB - Multimodal imaging and imaging-guided therapies have become a new trend in the
current development of cancer theranostics. In this work, we encapsulate
hydrophobic upconversion nanoparticles (UCNPs) together with iron oxide
nanoparticles (IONPs) by using an amphiphilic block copolymer, poly (styrene
block-allyl alcohol) (PS(16)-b-PAA(10)), via a microemulsion method, obtaining an
UC-IO@Polymer multi-functional nanocomposite system. Fluorescent dye and anti
cancer drug molecules can be further loaded inside the UC-IO@Polymer
nanocomposite for additional functionalities. Utilizing the Squaraine (SQ) dye
loaded nanocomposite (UC-IO@Polymer-SQ), triple-modal upconversion luminescence
(UCL)/down-conversion fluorescence (FL)/magnetic resonance (MR) imaging is
demonstrated in vitro and in vivo, and also applied for in vivo cancer cell
tracking in mice. On the other hand, a chemotherapy drug, doxorubicin, is also
loaded into the nanocomposite, forming an UC-IO@Polymer-DOX complex, which
enables novel imaging-guided and magnetic targeted drug delivery. Our work
provides a method to fabricate a nanocomposite system with highly integrated
functionalities for multimodal biomedical imaging and cancer therapy.
PMID- 21880365
TI - Bioimaging and toxicity assessments of near-infrared upconversion luminescent
NaYF4:Yb,Tm nanocrystals.
AB - In vitro or in vivo bioimaging utilizing the upconversion (UC) luminescence of
rare earth fluoride nanocrystals (NCs) has attracted much attention, especially
for Yb(3+)/Tm(3+) doped NCs with a near-infrared (NIR) UC emission at 800 nm.
Herein, water-soluble NaYF(4):Yb,Tm NCs with strong NIR UC emission were
synthesized with a solvothermal method. In vitro and in vivo bioimaging and
toxicity assessments were carried out with HeLa cell and Caenorhabditis elegans
(C. elegans) cases, respectively. NaYF(4):Yb,Tm NCs afforded an efficient NIR
image of the HeLa cells with an incubation concentration of 10 MUg mL(-1), and
CCK-8 assay revealed a low cytotoxicity. Fed with Escherichia coli (E. coli) and
NCs together, the C. elegans showed a NIR image in the gut from the pharynx to
the anus. Further, these NCs could be excreted out when those worms were then fed
with only E. coli. Toxicity studies were further addressed with protein
expression, life span, egg production, egg viability, and growth rate of the
worms in comparison with those of the intact ones. The feeding of rare earth
fluoride NCs with a dose of 100 MUg does not arise obvious toxicity effect from
the growth to procreation. The in vitro and in vivo studies confirm that
NaYF(4):Yb,Tm NCs could be served as an excellent NIR emission bioprobe with low
toxicity.
PMID- 21880366
TI - Galanin receptor-expressing dorsal horn neurons: role in nociception.
AB - Galanin, along with enkephalins and neuropeptide Y, has been hypothesized to
negatively modulate nociception in the superficial dorsal horn of the spinal
cord. In the present study, we sought to determine the role of presumably
excitatory dorsal horn galanin receptor-expressing neurons in nociception by
selectively destroying GalR1-expressing superficial dorsal horn interneurons
using lumbar intrathecal injections of the targeted cytotoxin, galanin-saporin
(Gal-sap). Lumbar intrathecal injection of Gal-sap (500 ng) reduced
immunoperoxidase staining for GalR1 in the superficial dorsal horn without
affecting primary afferent neurons in lumbar dorsal root ganglia. Lumbar
intrathecal Gal-sap also: 1--reduced nocifensive reflex responding on the thermal
plate at 0.3 degrees C, 44 degrees C, and 47 degrees C; 2--increased hot side
occupancy in a thermal preference task (15 degrees C vs 45 degrees C); and, 3-
decreased escape from 44 degrees C and 47 degrees C, but not 20 degrees C.
Thus, similar to lesions of mu opiate receptor-expressing dorsal horn
interneurons, selective destruction of GalR1-expressing superficial dorsal horn
neurons produces heat hypo-algesia, likely due to loss of GalR1-expressing
excitatory interneurons leading to reduced activation of nociceptive projection
neurons in response to aversive heat. These results are different than those seen
with intrathecal neuropeptide Y-saporin and suggest the potential value of
selectively targeting GalR1-expressing dorsal horn neurons to control pain.
PMID- 21880367
TI - Validity of criteria-based content analysis (CBCA) at trial in free-narrative
interviews.
AB - OBJECTIVE: The reliability of child witness testimony in sexual abuse cases is
often controversial, and few tools are available. Criteria-Based Content Analysis
(CBCA) is a widely used instrument for evaluating psychological credibility in
cases of suspected child sexual abuse. Only few studies have evaluated CBCA
scores in children suspected of being sexually abused. We designed this study to
investigate the reliability of CBCA in discriminating allegations of child sexual
abuse during court hearings, by comparing CBCA results with the court's final,
unappealable sentence. We then investigated whether CBCA scores correlated with
age, and whether some criteria were better than others in distinguishing cases of
confirmed and unconfirmed abuse. METHODS: From a pool of 487 child sexual abuse
cases, confirmed and unconfirmed cases were selected using various criteria
including child IQ>=70, agreement between the final trial outcome and the opinion
of 3 experts, presence of at least 1 independent validating informative component
in cases of confirmed abuse, and absence of suggestive questions during the
child's testimonies. This screening yielded a study sample of 60 confirmed and 49
unconfirmed cases. The 14 item version of CBCA was applied to child witness
testimony by 2 expert raters. RESULTS: Of the 14 criteria tested, 12 achieved
satisfactory inter-rater agreement (Maxwell's Random Error). Analyses of
covariance, with case group (confirmed vs. unconfirmed) and gender as independent
variables and age as a covariate, showed no main effect of gender. Analyses of
the interaction showed that the simple effects of abuse were significant in both
sex. Nine CBCA criteria were satisfied more often among confirmed than
unconfirmed cases; seven criteria increased with age. CONCLUSION: CBCA scores
distinguish between confirmed and unconfirmed cases. The criteria that
distinguish best between the 2 groups are Quantity of Details, Interactions, and
Subjective Experience. CBCA scores correlate positively with age, and
independently from abuse; all the criteria test except 2 (Unusual Details and
Misunderstood Details) increase with age. The agreement rate could be increased
by merging criteria Unusual and Superfluous details that achieve a low inter
rater agreement when investigated separately. PRACTICE IMPLICATION: Given its
ability to distinguish between confirmed and unconfirmed cases of suspected child
abuse, the CBCA could be a useful tool for expert opinion. Because our strict
selection criteria make it difficult to generalize our results, further studies
should investigate whether the CBCA is equally useful in the cases we excluded
from our study (for example mental retardation).
PMID- 21880368
TI - Genotoxicity and oxidative stress biomarkers in Carassius gibelio as endpoints
for toxicity testing of Ukrainian polluted river waters.
AB - This study aimed to assess oxidative stress and genotoxicity biomarkers in
Prussian carp Carassius gibelio laboratory-exposed to water from polluted
Ukrainian rivers in order to evaluate their usefulness as endpoints in a short
term bioassay for toxicity testing of freshwaters. The micronucleus (MN) test and
the frequency of cells with double nuclei (DN) in erythrocytes and gill cells
were used as indicators of chromosome aberrations and abnormalities in cell
divisions, respectively. Cellular antioxidant defenses i.e. antioxidant enzyme
activities (catalase, Se-dependent glutathione peroxidase, total glutathione
peroxidase and glutathione-S-transferase) and oxidative damage, i.e. lipid
peroxidation (measured as thiobarbituric acid reactive substances) in the fish
liver were used as biomarkers of oxidative stress. Exposure to the polluted river
water samples for 96 h resulted in significantly increased MN and DN frequencies,
limited increases in antioxidant enzyme activities and no changes in lipid
peroxidation. Results suggest that MN and DN frequencies in C gibelio are useful
endpoints in a short-term bioassay for genotoxicity testing of environmental
water samples in contrast to the oxidative stress biomarkers applied that showed
low potential for assessing sublethal effects after a 96 h exposure.
PMID- 21880369
TI - An estrogen-responsive plasma protein expression signature in Atlantic cod (Gadus
morhua) revealed by SELDI-TOF MS.
AB - Compound-specific protein expression signatures (PESs) can be revealed by
proteomic techniques. The SELDI-TOF MS approach is advantageous due to its
simplicity and high-throughput capacity, however, there are concerns regarding
the reproducibility of this method. The aim of this study was to define an
estrogen-responsive PES in plasma of Atlantic cod (Gadus morhua) using the SELDI
TOF MS technique. Protein expression analysis of male cod exposed to 17beta
estradiol (E2) showed that 27 plasma peaks were differentially expressed
following exposure. The reproducibility of this result was evaluated by
reanalyzing the samples six months later, and a significant change in expression
was confirmed for 13 of the 27 peaks detected in the first analysis. The
performance of the reproducible E2-responsive PES, constituting these 13 peaks,
was then tested on samples from juvenile cod exposed to 4-nonylphenol, North Sea
oil, or North Sea oil spiked with alkylphenols. Principal component analysis
revealed that nonylphenol-exposed cod could be separated from unexposed cod based
on the E2-responsive PES, indicating that the PES can be used to assess
estrogenic exposure of both juvenile and adult specimens of cod. A targeted
antibody-assisted SELDI-TOF MS approach was carried out in an attempt to identify
the E2-responsive peaks. Results indicated that 2 peaks were fragments of the
well-known biomarkers VTG and/or ZRP. In this study, the SELDI-TOF MS technology
has shown its potential for defining compound-specific PESs in fish.
Nevertheless, thorough validation of reproducibility, specificity and sensitivity
of a PES is required before it can be applied in environmental monitoring.
PMID- 21880370
TI - Heroes for the past and present: a century of remembering Amundsen and Scott.
AB - In 1911-1912 Roald Amundsen and Robert Falcon Scott led rival parties in a race
to the geographic South Pole. While both parties reached the Pole--Amundsen first
-Scott's men died on the return journey. Amundsen became a Norwegian icon through
his record-setting travels; Scott became a symbol of courage and devotion to
science. The memory of each was invoked at various points during the twentieth
century in the context of contemporary Antarctic events. Scott's status as a
scientific figure was central to the Scott Polar Research Institute, while
Amundsen's lack of scientific legacy became a way for British polar explorers to
differentiate themselves from Norwegian contemporaries during the interwar years.
After 1945 Scott and Amundsen were again invoked as exemplars of national polar
achievement, even as the rise of large-scale science on the continent
overshadowed past British and Norwegian achievements. In the present Amundsen and
Scott remain wedded to particular values, focused respectively on national
achievement and sacrifice in the name of science, while their race has become
secondary.
PMID- 21880371
TI - Molecular cytogenetic interphase analysis of Phosphoinositide-specific
Phospholipase C beta1 gene in paraffin-embedded brain samples of major depression
patients.
AB - Mood disorders represent a major medical need, as their chronic treatments are
not effective in all patients. Literature data suggested that phosphoinositides
(PI) signal transduction pathway and related molecules such as the
Phosphoinositide-specific Phospholipase C (PI-PLC) enzymes, might be involved in
the pathophysiology of mood disorders, including major depression. By using
interphase fluorescent in situ hybridization methodology, we analyzed PLCB1 gene,
which codifies for the PI-PLC beta1 enzyme, in paraffin embedded samples of
orbito-frontal cortex of 15 patients affected with major depression and in 15
normal controls. No deletions of PLCB1 were identified with the methodology used,
which allows to exclude wide gene deletions. The results, the technical aspects
of the FISH methodology, and its limitations are discussed.
PMID- 21880373
TI - The load of short telomeres is increased and associated with lifetime number of
depressive episodes in bipolar II disorder.
AB - BACKGROUND: It has recently been hypothesized that bipolar disorders are
associated with accelerated aging. Telomere dysfunction, a biomarker of aging, is
determined by the load of short telomeres, rather than by the mean telomere
length. To our knowledge, the load of short telomeres has not been reported in
any psychiatric disorder. The aims of the study were to examine the load of short
telomeres and the mean telomere length and their relationships with illness
duration and lifetime number of depressive episodes in bipolar II disorder (BD
II). METHODS: Twenty-eight patients (mean age=34.8 +/- 7.7) with a DSM-IV
diagnosis of BD-II and 28 healthy control subjects (mean age=34.8 +/- 9.2)
matched for age, sex, and education participated. The load of short telomeres
(percentage of telomeres <3 kilobases) and mean telomere length in peripheral
blood mononuclear cells were measured using high-throughput quantitative
fluorescence in situ hybridization. RESULTS: The load of short telomeres was
significantly increased in patients with BD-II relative to healthy controls and
may represent 13 years of accelerated aging. The load of short telomeres and the
mean telomere length were associated with lifetime number of depressive episodes,
but not with illness duration. LIMITATIONS: Modest sample size and cross
sectional design. CONCLUSIONS: Our results suggest that BD-II is associated with
an increased load of short telomeres. Depressive episode-related stress may
accelerate telomere shortening and aging. However, longitudinal studies are
needed to fully clarify telomere shortening and its relationship with clinical
variables in BD-II.
PMID- 21880372
TI - The prevalence and clinical presentation of antenatal depression in rural South
Africa.
AB - BACKGROUND: Although the prevalence of depression is similar in pregnant,
postpartum and non-pregnant women, the onset of new depression is higher during
the perinatal period. Women of low-income, and those living in low and middle
income countries, are known to be at particularly high risk. Early identification
and treatment of antenatal depression may improve pregnancy outcomes and could
serve as an early indicator of postnatal depression. Culturally sensitive and
accurate diagnostic tools are urgently needed. METHODS: A consecutive series of
109 pregnant women were recruited in the third trimester at a primary health
clinic, in a rural part of South Africa, with a high HIV prevalence. A cross
sectional assessment of depression was completed using a structured clinical
interview method and DSM-IV diagnostic criteria. Qualitative data on women's
descriptions of depressive symptoms was also collected. The aim was to examine
the prevalence of depression and to better understand the presentation of
depressive symptomatology in this population. RESULTS: Prevalence of depression
was high, 51/109 (47%), with over half of the depressed women 34/51(67%)
reporting episode duration greater than two months. 8/51 reported a prior history
of depression. Women used psychological language to describe symptoms and, as a
result, standardised diagnostic tools were culturally sensitive. Somatic
pregnancy symptoms were frequently reported, but did not overestimate depression.
Both HIV positive (27/51) and HIV negative (24/51) women were at risk of being
depressed. LIMITATIONS: The study is limited by the small sample size and
possible attrition biases. CONCLUSION: Antenatal depression is high and clinical
presentation is similar to high income countries. Standardised diagnostic tools
are culturally sensitive and adequate for early detection.
PMID- 21880374
TI - Factors associated with presenteeism among employed Australian adults reporting
lifetime major depression with 12-month symptoms.
AB - BACKGROUND: Employees experiencing depression can take a sickness absence or
continue working ('presenteeism'). However, little is known about the factors
associated with these behaviors within this population. This study aimed to
determine the relative importance of socio-demographic, financial, work and
health-related factors associated with presenteeism. METHODS: The 2007 Australian
National Survey of Mental Health and Wellbeing provided data from employed
individuals reporting lifetime major depression with 12-month symptoms (N=320).
Survey adjusted multivariable logistic regression assessed classification of 12
month, depression-related presenteeism on the basis of socio-demographic,
financial, work and health factors. RESULTS: Acceptable classification of cases
was 70% or greater. Classification of cases based on socio-demographic factors,
age, sex and marital status, was reasonable (62%). Adding work factors (work
hours and occupation type) produced a 1% increase in successfully classified
cases (63%). Health factors further increased correctly classified cases (67%).
Marital status, housing tenure and co-morbid mental disorders were important
indicators of presenteeism behavior. LIMITATIONS: Work-related variables were
restricted to available measures. Potentially important psychosocial work
environment factors were unavailable. Cross-sectional data precluded causal
inference. CONCLUSIONS: Using available factors, model discrimination did not
reach an acceptable level i.e. 70% of presenteeism cases successfully classified.
This highlighted the contribution of unmeasured factors to presenteeism behavior.
Future research should explore the relative importance of psychosocial work
environment and personality factors such as work demands, effort/reward imbalance
and conscientiousness. The identified associations between socio-demographic,
financial and health factors on work attendance behaviors could inform disease
management guidelines for employers via recognition of employees at risk of
presenteeism.
PMID- 21880375
TI - Low and dysregulated production of follistatin in immune cells of relapsing
remitting multiple sclerosis patients.
AB - One of the mechanisms known to play a key role in neuronal and oligodendroglial
fate specification of neural stem cells (NSCs) is restriction of bone morphogenic
proteins (BMP) signaling by BMP antagonists. Here, we demonstrate that
follistatin mRNA and protein secreted levels in peripheral blood mononuclear
cells (PBMCs) of relapsing-remitting multiple sclerosis (RR-MS) patients are
significantly reduced compared to healthy controls (HC). We also observed a
different profile of regulation mechanisms. Follistatin was similarly expressed
and secreted by T lymphocytes and monocytes among the PBMCs of HC, and
follistatin upregulation of HC was subjected to stimulation with both LPS and TNF
alpha. Among PBMCs of RR-MS patients, however, follistatin was found to be
downregulated in their monocytes and unresponsive to stimulation with either LPS
or TNF-alpha. Our results may shed some light on the mechanisms involved in
remyelination failure in MS, which may be related to the inability of RR-MS
patients' immune cells to provide a sufficient pro-neurogenic and
oligodendrogenic niche, by expressing and secreting follistatin, in addition to
the previously described noggin reduced expression. Our results indicate that the
low expression of follistatin in immune cells of patients with RR-MS is a result
of the altered immunoregulation of monocytes in these patients.
PMID- 21880376
TI - Foreign body reaction after cochlear implantation.
AB - Cochlear implantation is a widely accepted, safe procedure for patients with
severe to profound sensorineuronal hearing loss. While complications are rare,
revision surgeries are required for complications like device failure, misplaced
electrode, flap necrosis, and wound infection. Foreign body reaction is a rare
complication following cochlear implantation. We experienced a case of foreign
body reaction after cochlear implantation treated by device removal. Foreign body
reaction has to be considered as one of several causes in cochlear implantation
cases that show symptoms mimicking recurrent wound infection or delayed
extrusion. We report a case of foreign body reaction with a literature review.
PMID- 21880377
TI - Evolving treatments in the management of laryngotracheal hemangiomas: will
propranolol supplant steroids and surgery?
AB - There has been a dramatic evolution in the treatment of laryngotracheal
hemangiomas during the past decade and recent accounts and case reports of
propranolol treatment have been encouraging. The purpose of the study is to
determine the clinical course and outcomes of treating laryngotracheal
hemangiomas at The Children's Hospital of Philadelphia in the last 8 years with
the various modalities. We review with contemporary surgical techniques,
including propranolol, and determine the results, limitations and complications.
The study was a retrospective review of all patients referred to the
Otolaryngology service at The Children's Hospital of Philadelphia with
symptomatic laryngotracheal hemangiomas between January 2002 and December 2010.
The study consisted of 30 infants, ranging in age from 1 to 18 months at time of
diagnosis. Surgical interventions included open surgical excision, laser surgery,
microdebrider excision and/or propranolol therapy. The main outcome measures
include improvement in symptoms, decannulation, number of required treatments and
airway size. All but two patients underwent an initial trial of steroids.
Thirteen patients underwent open surgical excision, 9 requiring cartilage grafts
and 12 were done in a single stage. Twelve surgical patients remained
asymptomatic. One patient with diffuse mediastinal disease experiencing
postoperative airway symptoms despite a normal appearing airway improved on
propranolol. Two patients underwent at least 2 laser ablations, 4 responded to
systemic steroids alone, and 1 had microdebrider resection. In the last 14
months, 12 patients have had propranolol therapy at a dose of 2mg/kg divided
every 8h. Eight patients improved clinically within 1 week of initiating
treatment. Four patients failed to respond to propranolol therapy; 1 patient
subsequently underwent open excision and the other continued with a tracheostomy
for 18 months and finally was decannulated. A third patient had a partial
response, but remains relatively asymptomatic. A fourth patient has had no
response at all. There were no major complications from propranolol; minor
complications included diarrhea and decreased appetite. This study gives an
overview of the evolution of hemangioma treatment at our institution over the
last 8 years. Surgical excision remains an effective treatment for subglottic
hemangiomas. Carefully administered, propranolol may demonstrate efficacy as a
first-line agent in most cases avoiding surgery, tracheostomy, prolonged
steroids, or as treatment of diffuse and unresectable disease. However, some
lesions may be resistant to propranolol and require surgery or long-term
steroids.
PMID- 21880379
TI - Updating hippocampal representations: CA2 joins the circuit.
AB - The hippocampus integrates the encoding, storage and recall of memories, binding
the spatio-temporal and sensory information that constitutes experience and
keeping episodes in their correct context. The rapid and accurate processing of
such daunting volumes of continuously changing data relies on dynamically
assigning different aspects of mnemonic processing to specialized, interconnected
networks corresponding to the anatomical subfields of dentate gyrus (DG), CA3 and
CA1. However, differentially processed information ultimately has to be
reintegrated into conjunctive representations, and this is unlikely to be
achieved by unidirectional, sequential steps through a DG-CA3-CA1 loop. In this
Review, we highlight recently discovered anatomical and physiological features
that are likely to necessitate updates to the hippocampal circuit diagram,
particularly by incorporating the oft-neglected CA2 region.
PMID- 21880380
TI - Sudden death related to tuberculous coronary arteritis.
PMID- 21880378
TI - The renin-angiotensin-aldosterone system and glucose homeostasis.
AB - The renin-angiotensin-aldosterone system (RAAS) is inappropriately activated in
obesity. In individuals at risk for diabetes, RAAS inhibition protects against
kidney and heart disease, and also reduces the incidence of diabetes in large
clinical trials. At a cellular level, angiotensin II (Ang II) and aldosterone
induce insulin resistance by increasing oxidative stress and altering insulin
signaling, leading to decreased glucose transport. Ang II also contributes to
oxidative stress, inflammation, and apoptosis in pancreatic beta cells.
Aldosterone diminishes glucose-stimulated insulin secretion in vivo and in vitro
from isolated pancreatic islets and cultured beta cells through a
mineralocorticoid receptor (MR)-independent mechanism. We review these findings
in the context of pharmacological strategies interrupting the RAAS to highlight
the potential application of these strategies to the prevention of diabetes
progression.
PMID- 21880381
TI - Implantable loop recorders in myotonic dystrophy 1.
PMID- 21880382
TI - Determinants of urban-rural differences in cardiovascular risk factors in middle
aged women in India: a cross-sectional study.
AB - OBJECTIVES: Cardiovascular diseases (CVD) are the most important cause of death
amongst middle-aged Indian women. To determine prevalence of CVD risk factors and
their determinants we performed a nationwide study. METHODS: Population based
studies amongst women 35-70 years were performed in four urban and five rural
locations in India. Location based stratified sampling was performed and we
enrolled 4624 (rural 2616, urban 2008) of eligible 8000 women (58%). Demographic
details, medical history, diet, physical activity and anthropometry were recorded
using standardised techniques. Blood haemoglobin, glucose and total cholesterol
were determined. Risk factors were diagnosed using current guidelines.
Descriptive statistics are reported. Stepwise multivariate logistic regression
was performed to identify determinants of urban-rural differences. RESULTS: In
urban women mean body mass index (BMI), waist circumference, waist-hip ratio
(WHR), systolic BP, haemoglobin, fasting glucose and cholesterol were
significantly greater (p<0.01). Age-adjusted prevalence of risk factors (%) in
urban vs rural was of obesity BMI >= 25 kg/m(2) (45.6 vs 22.5), truncal obesity
WHR>0.9 (44.3 vs 13.0), hypertension (37.5 vs 29.3), hypercholesterolemia >= 200
mg/dl (27.7 vs 13.5), and diabetes (15.1 vs 4.3) greater whilst any tobacco use
(19.6 vs 41.6) or smoking lower. Significant determinants of urban-rural
differences were greater income and literacy, dietary fats, low physical
activity, obesity and truncal obesity (p<0.01). CONCLUSIONS: Greater prevalence
of CVD risk factors in urban middle-aged women is explained by greater income and
literacy, dietary fat, low physical activity and obesity.
PMID- 21880383
TI - Effects of the Ala379Val polymorphism of lipoprotein-associated phospholipase A2
on thrombosis and inflammation in hypertensive patients.
PMID- 21880384
TI - Short-term deceleration capacity reveals higher reproducibility than spectral
heart rate variability indices during self-monitoring at home.
PMID- 21880385
TI - Treatment of recurrent vein graft "stent-in-stent" re-stenosis guided by optical
coherence tomography.
PMID- 21880386
TI - Impacts of a freedom farrowing pen design on sow behaviours and performance.
AB - The limited space in farrowing crate imposes many challenges, such as prolonged
farrowing duration and high piglet stillbirth rate. Although the features of
farrowing pens compensate for the drawbacks of farrowing crates, they are
associated with high piglet crushing mortality caused by the greater space
afforded to sows and their rolling-over behaviour. Therefore, a freedom farrowing
pen was designed to overcome the drawbacks of both farrowing crates and farrowing
pens. The main features of the freedom farrowing pen are its left anti-crushing
bar and detachable right anti-crushing bar on the sides of the sow lying area. It
also has a 10 cm-high anti-crushing bar in the non-lying area. Eighteen healthy,
multiparous Yorkshire sows (3-7 parity) were averaged and randomly assigned to
farrowing crates, farrowing pens, and freedom farrowing pens to compare the
effects of the farrowing systems on sow behaviour and performance. Results showed
that the farrowing duration and the mean piglet birth intervals were longer for
the sows in farrowing crates than for those in farrowing pens and freedom
farrowing pens (P<0.05), but there was no difference between the sows in
farrowing pens and those in freedom farrowing pens (P>0.05). The piglet
stillbirth rate was higher for the sows in farrowing crates than for those in
farrowing pens and freedom farrowing pens (P<0.001). Crushing mortality was
higher among piglets in farrowing pens (P<0.001), but there was no difference
between piglets in freedom farrowing pens and those in farrowing crates (P>0.05).
The freedom farrowing pen and the farrowing pen allowed sows to turn around and
move freely, but because of the different structures of their anti-crushing bars,
the increase in sow movement did not cause higher piglet crushing mortality
(P>0.05). Sows in freedom farrowing pens were found to be more protective of
their piglets.
PMID- 21880387
TI - Reirradiation of brain metastases with radiosurgery.
AB - PURPOSE: To assess the outcome of reirradiation with stereotactic radiosurgery
(SRS) of brain metastases (BM) recurring after whole brain radiotherapy (WBRT).
METHODS AND MATERIALS: Between September 2001 and October 2008, 69 patients who
recurred after WBRT were re-irradiated with SRS using a linear accelerator. The
dose prescription was generally chosen according to maximum diameter of the tumor
as suggested by Radiation Therapy Oncology Group (RTOG) 90-05 protocol. Patients
were stratified by Karnofsky Performance Status (KPS), Neurologic Functional
Score (NFS), RTOG Recursive Partitioning Analysis (RPA), Score Index for
Radiosurgery (SIR), primary disease, dimension and number of BM, and time to
first brain recurrence after WBRT. Response, survival, and toxicity were
analyzed. RESULTS: At time of this retrospective analysis all patients had died.
The 69 patients reirradiated with SRS had 150 metastases. Median interval between
prior WBRT and SRS was 11 months and median SRS prescribed dose was 20 Gy.
Response was obtained in 91% of lesions with 1-year local control rate of 74+/
4%. Significantly longer duration of response was associated with higher doses
(>=23 Gy) and response achieved after SRS (complete and partial response better
than stable disease). Cause of death was brain failure only in 36 (52%) patients.
Median overall survival after reirradiation was 10 months. Variables which
significantly conditioned survival were KPS and NFS. Four (6%) patients had
asymptomatic radionecrosis that developed prevalently when lesion diameters were
larger and cumulative doses exceeded the values recommended by RTOG 90-05
protocol. About three-fourth of the patients had a good KPS and NFS after
reirradiation. CONCLUSIONS: Reirradiation of BM with SRS resulted feasible and
effective. A correct patient selection and an accurate evaluation of the
cumulative irradiation dose were suggested.
PMID- 21880388
TI - Defining treatment conditions for pulsed electric field pasteurization of apple
juice.
AB - The influence of temperature and the presence of N(alpha)-lauroyl ethylester
(ethyl lauroyl arginate, LAE) on the inactivation caused by continuous pulsed
electric field treatments (PEF) in Escherichia coli O157:H7 suspended in apple
juice have been investigated to define treatment conditions applicable at
industrial scale that promote an equivalent safety level when compared with
thermal processing. In the range of experimental conditions investigated (outlet
temperature: 20-40 degrees C, electric field strength: 20-30 kV, treatment time:
5-125 MUs) at outlet temperatures equal or lower than 55+/-1 degrees C, the
inactivation of E. coli O157:H7 treated in apple juice ranged from 0.4 to 3.6
Log10 cycles reduction and treated in apple juice supplemented with LAE (50 ppm)
ranged from 0.9 to 6.7 Log10 cycles reduction. An empirical mathematical model
was developed to estimate the treatment time and total specific energy input to
obtain 5 Log10 cycles reduction in the population of E. coli O157:H7 suspended in
apple juice supplemented with 50 ppm of LAE at different electric field strengths
and inlet temperatures. Treatment conditions established for E. coli O157:H7 were
validated with other PEF resistant Gram-positive (Listeria monocytogenes, and
Staphylococcus aureus) and Gram-negative (Salmonella enterica serovar
Typhimurium) strains. When the treatment was applied to the apple juice, a
treatment of 25 kV/cm for 63 MUs corresponding with an outlet temperature of 65
degrees C and input energy of 125 kJ/kg was required to achieve more than 5 Log10
cycles in the four strains investigated. The addition of LAE reduced the
treatment time required to obtain an equivalent inactivation (>5 Log10 cycles) in
the four microorganisms to 38.4 MUs, the outlet temperature to 55 degrees C, and
the input energy to 83.2 kJ/kg.
PMID- 21880389
TI - Effect of diagnosis-time and initial treatment on the onset of type 2 diabetes
mellitus complications: a population-based representative cross-sectional study
in Hungary.
AB - We estimated the risk-increasing role of late-diagnosis on the onset of
complications among 1168 patients representative of above 50 Hungarian diabetic
population. Higher occurrence of retinopathy has been found in the late-diagnosis
group (OR=1.62, 95% CI: 1.06-2.49). Clinically significant benefit of early
diagnosis was not observable in case of other complications.
PMID- 21880390
TI - A global overview of health insurance administrative costs: what are the reasons
for variations found?
AB - OBJECTIVES: Administrative costs are an important spending category in total
health insurance expenditure. Yet, they have rarely been a topic outside the US
and there is no cross-country comparison available. This paper provides a global
overview and analysis of administrative costs for social security schemes (SSS)
and private health insurance schemes (PHI). METHODS: The analysis is based on
data of the World Health Organization (WHO) National Health Accounts (NHA) and
the Organisation for Economic Cooperation and Development (OECD) System of Health
Accounts (SHA). These are the only worldwide databases on health expenditure
data. Further data was retrieved from a literature search. Administrative costs
are presented as a share of total health insurance costs. RESULTS: Data is
available for 58 countries. In high-income OECD countries, the average SSS
administrative costs are 4.2%. Average PHI administrative costs are about three
times higher. The shares are much higher for low- and middle-income countries.
However, considerable variations across and within countries over time are
revealed. DISCUSSION AND CONCLUSION: Seven explanatory factors are explored to
explain the variations: health financing system aspects, administrative
activities undertaken, insurance design aspects, context factors, reporting
format, accounting methods, and management and administrative efficiency
measures. More detailed reporting of administrative costs would enhance
comparability and provide benchmarks. Improved administrative efficiency could
free resources to expand coverage.
PMID- 21880391
TI - Geometrical methods for level set based abdominal aortic aneurysm thrombus and
outer wall 2D image segmentation.
AB - Abdominal aortic aneurysm (AAA) is a localized dilatation of the aortic wall.
Accurate measurements of its geometric characteristics are critical for a
reliable estimate of AAA rupture risk. However, current imaging modalities do not
provide sufficient contrast to distinguish thrombus from surrounding tissue thus
making the task of segmentation quite challenging. The main objective of this
paper is to address this problem and accurately extract the thrombus and outer
wall boundaries from cross sections of a 3D AAA image data set (CTA). This is
achieved by new geometrical methods applied to the boundary curves obtained by a
Level Set Method (LSM). Such methods address the problem of leakage of a moving
front into sectors of similar intensity and that of the presence of
calcifications. The versatility of the methods is tested by creating artificial
images which simulate the real cases. Segmentation quality is quantified by
comparing the results with a manual segmentation of the slices of ten patient
data sets. Sensitivity to the parameter settings and reproducibility are
analyzed. This is the first work to our knowledge that utilizes the level set
framework to extract both the thrombus and external AAA wall boundaries.
PMID- 21880392
TI - Biased sampling: no 'Homer Simpson Effect' among high achievers.
PMID- 21880393
TI - Intraguild mutualism.
AB - Although studies of species linked by a common resource (i.e. ecological guilds)
have so far mainly focused on competition and predation, guilds are also good
places to find mutualism. In this review we consider some three- and four-species
community modules to illustrate examples of wide relevance. Mutualism arises from
various direct and indirect trophic and non-trophic interactions between species-
and within modules both with and without intraguild predation. Species removal
and augmentation experiments, other manipulations, direct measurements, and path
analytic methods can determine the presence and intensity of mutualism within
guilds. Such studies, particularly when associated with existing theory and new
theoretical development, can help advance an interaction-based approach to
community analysis that recognizes linkages among mutualism, predation and
competition in natural systems.
PMID- 21880394
TI - Adaptive monitoring in the real world: proof of concept.
AB - We recently proposed the adaptive monitoring approach for improving ecological
monitoring, but to date no explicit examples exist. In this review, we
demonstrate adaptive monitoring using two new case studies where pre-existing
monitoring programs were redesigned to address new policy and scientific
questions without breaching the integrity of past and ongoing time-series data.
Lessons underpinning successful adaptive monitoring are: better recognition of
the potential inter-relationships between adaptive monitoring and adaptive
management to improve adoption of both; an understanding of what constitutes
adaptive monitoring so that it is readily differentiated from ad hoc and reactive
monitoring; and the forging of partnerships between researchers, policy-makers
and resource managers to accommodate differences between policy-relevant and
research-relevant questions and differences in conceptual models of ecosystem
function, structure and management.
PMID- 21880395
TI - Photosynthesis, N(2) fixation and taproot reserves during the cutting regrowth
cycle of alfalfa under elevated CO(2) and temperature.
AB - Future climatic conditions, including rising atmospheric CO(2) and temperature
may increase photosynthesis and, consequently, plant production. A larger
knowledge of legume performance under the predicted growth conditions will be
crucial for safeguarding crop management and extending the area under cultivation
with these plants in the near future. N(2) fixation is a key process conditioning
plant responsiveness to varying growth conditions. Moreover, it is likely to
increase under future environments, due to the higher photosynthate availability,
as a consequence of the higher growth rate under elevated CO(2). However, as
described in the literature, photosynthesis performance is frequently down
regulated (acclimated) under long-term exposure to CO(2), especially when
affected by stressful temperature and water availability conditions. As growth
responses to elevated CO(2) are dependent on sink-source status, it is generally
accepted that down-regulation occurs in situations with insufficient plant C sink
capacity. Alfalfa management involves the cutting of shoots, which alters the
source-sink relationship and thus the photosynthetic behaviour. As the growth
rate decreases at the end of the pre-cut vegetative growth period, nodulated
alfalfa plants show photosynthetic down-regulation, but during regrowth following
defoliation, acclimation to elevated CO(2) disappears. The shoot harvest also
leads to a drop in mineral N uptake and C translocation to the roots, resulting
in a reduction in N(2) fixation due to the dependence on photosynthate supply to
support nodule function. Therefore, the production of new shoots during the first
days following cutting requires the utilization of reduced C and N compounds that
have been stored previously in reserve organs. The stored reserves are mediated
by phytohormones such as methyl jasmonate and abscisic acid and in situations
where water stress reduces shoot production this potentially enables the
enhancement of taproot protein levels in nodulated alfalfa, which may lead to
these plants being in better condition in the following cut/regrowth cycle.
Furthering our knowledge of legume performance under predicted climate change
conditions will be crucial for the development of varieties with better
adaptation that will achieve greater and more efficient production values.
Furthermore, for this purpose it will be necessary to improve existing
methodologies and create new ones for phenotype characterization. Such knowledge
will provide key information for future plant breeding programs.
PMID- 21880396
TI - Hourly variability of cerebrospinal fluid biomarkers in Alzheimer's disease
subjects and healthy older volunteers.
AB - Large hour-to-hour variability has previously been demonstrated in the
cerebrospinal fluid (CSF) concentrations of Alzheimer's disease (AD) biomarkers
amyloid beta(42) (Abeta(42)) and Abeta(40) in healthy younger subjects. We
investigated the within-subject variability over 36 hours in CSF Abeta and tau
proteins, in older subjects and AD patients. Six patients with mild stage AD (59
85 years, Mini Mental State Examination (MMSE) 16-26) and 6 healthy older
volunteers (64-77 years) received an intrathecal catheter from which, during 36
hours, each hour 6 mL of CSF was drawn. Concentrations of Abeta(42), Abeta(40),
total tau, and phosphorylated tau were determined and the variability was
analyzed. Within-subject variability within 3-hour periods was assessed as the
coefficient of variation, which was comparable for these 4 biomarkers in controls
(4.2%-4.6%) and AD (3.1%-5.8%). Variability over 12 hour periods was 5.3% to
9.5%. These findings suggest that CSF biomarker variability is relatively low in
healthy older controls and AD patients. Furthermore, continuous sampling of CSF
proved to be a useful and robust method, which may also be used to investigate AD
pathogenesis and to evaluate pharmacotherapeutic interventions.
PMID- 21880397
TI - Amyloid neuropathology in the single Arctic APP transgenic model affects
interconnected brain regions.
AB - The Arctic APP mutation (E693G) within the amyloid beta (Abeta) domain of amyloid
precursor protein (APP) leads to dementia with clinical features similar to
Alzheimer's disease (AD), which is believed to be mediated via increased
formation of protofibrils. We have generated a transgenic mouse model, TgAPParc,
with neuron-specific expression of human amyloid precursor protein with the
Arctic mutation (hAPParc), showing mild amyloid pathology with a relatively late
onset. Here we performed a detailed analysis of the spatiotemporal progression of
neuropathology in homozygous TgAPParc, focusing on intracellular Abeta and
diffuse Abeta aggregates rather than amyloid plaques. We show that the
neuropathology in homozygous TgAPParc mice starts with intracellular Abeta
aggregates, which is followed by diffuse extracellular Abeta deposits in
subiculum that later expands to brain regions receiving neuronal projections from
regions already affected. Together this suggests that the pathology in TgAPParc
mice affects interconnected brain regions and may represent a valuable tool to
study the spread and progression of neuropathology in Alzheimer's disease.
PMID- 21880398
TI - Synthesis and in vitro activity of novel N-3 acylated TSAO-T compounds against
HIV-1 and HCV.
AB - Preparation of a small library of derivatives of the potent HIV-1 Reverse
Transcriptase inhibitor TSAO-T bearing mono or di-carbonyl substituents (designed
after docking analysis) at position N-3 is reported. A one-pot synthetic
methodology has been developed that involves: (i) mono-reaction of TSAO-T with
glutaryl dichloride under phase transfer conditions and (ii) in situ acyclic
substitution of the remaining chloro atom by oxygen or nitrogen nucleophiles. The
method is compatible with the polyfunctionality of the TSAO-T molecule, proceeds
with high conversion yields and allows introducing molecular diversity. The anti
HIV-1 and -HCV activity was studied in cell culture. The new N-3 acylated TSAO-T
derivatives are active against HIV-1 (nanomolar range). Anti-HCV activity was
observed in the micromolar range, that is at compound concentrations that were
found cytostatic against human T-lymphocytes.
PMID- 21880399
TI - Biophysical and physicochemical methods differentiate highly ligand-efficient
human D-amino acid oxidase inhibitors.
AB - Many early drug research efforts are too reductionist thereby not delivering key
parameters such as kinetics and thermodynamics of target-ligand binding. A set of
human D-Amino Acid Oxidase (DAAO) inhibitors 1-6 was applied to demonstrate the
impact of key biophysical techniques and physicochemical methods in the
differentiation of chemical entities that cannot be adequately distinguished on
the basis of their normalized potency (ligand efficiency) values. The resulting
biophysical and physicochemical data were related to relevant pharmacodynamic and
pharmacokinetic properties. Surface Plasmon Resonance data indicated prolonged
target-ligand residence times for 5 and 6 as compared to 1-4, based on the
observed k(off) values. The Isothermal Titration Calorimetry-derived
thermodynamic binding profiles of 1-6 to the DAAO enzyme revealed favorable
contributions of both DeltaH and DeltaS to their DeltaG values. Surprisingly, the
thermodynamic binding profile of 3 elicited a substantially higher favorable
contribution of DeltaH to DeltaG in comparison with the structurally closely
related fused bicyclic acid 4. Molecular dynamics simulations and free energy
calculations of 1, 3, and 4 led to novel insights into the thermodynamic
properties of the binding process at an atomic level and in the different
thermodynamic signatures of 3 and 4. The presented holistic approach is
anticipated to facilitate the identification of compounds with best-in-class
properties at an early research stage.
PMID- 21880400
TI - Design, synthesis and docking studies of quinoline-oxazolidinone hybrid molecules
and their antitubercular properties.
AB - New series of quinoline-oxazolidinone hybrid molecules were synthesized based on
the preliminary docking studies. All the newly synthesized compounds were
characterized by spectral analyses. The newly synthesized compounds were screened
for their antimycobacterial properties based on the promising preliminary
antibacterial screening results. Amongst tested compounds, compounds 8a, 8j and
13a were active at 0.65 MUg/mL against Mycobacterium tuberculosis H(37)Rv strain.
The mode of action of these active compounds was carried out by docking of
receptor enoyl-ACP reductase with newly synthesized candidate ligands 8a, 8j and
13a. These compounds exhibited well established bonds with one or more amino
acids in the receptor active pocket. From the docking studies, compound 8j was
considered to be the best inhibitor.
PMID- 21880401
TI - Estimating the costs associated with malnutrition in Dutch nursing homes.
AB - BACKGROUNDS & AIMS: Malnutrition in western health care involves a tremendous
burden of illness. In this study the economic implications of malnutrition in
Dutch nursing homes are investigated as part of the Health and Economic Impact of
Malnutrition in Europe Study from the European Nutrition for Health Alliance.
METHODS: A questionnaire was developed, focussing on the additional time and
resources spent to execute all relevant nutritional activities in nursing home
patients with at risk of malnutrition or malnourished. Results were extrapolated
on national level, based on the prevalence rates gathered within the national
Prevalence Measurement of Care Problems 2009. RESULTS: The normal nutritional
costs are 319 million Euro per year. The total additional costs of managing the
problem of malnutrition in Dutch nursing homes involve 279 million Euro per year
and are related to extra efforts in nutritional screening, monitoring and
treatment. The extra costs for managing nursing home residents at risk of
malnutrition are 8000 euro per patient and 10000 euro for malnourished patients.
CONCLUSIONS: The extra costs related to malnutrition are a considerable burden
for the nursing home sector and urge for preventive measures.
PMID- 21880402
TI - Customisation of the decision support system MOIRA-PLUS for applications to the
marine environment.
AB - The present short communication describes a technique to customise the decision
system MOIRA-PLUS for applications to the marine environment. MOIRA-PLUS was
originally designed to predict the behaviour of 137Cs and 90Sr in fresh water
ecosystems and to evaluate the environmental, social and economic impacts of
selected countermeasures aimed at restoring the polluted environment and at
reducing the doses to man. An example of application for predicting the
concentration of radiocaesium of Chernobyl origin in the Mediterranean Sea is
described and discussed. The technique allows the user to easily integrate
existing state-of-the-art box models of sea water circulation into the MOIRA-PLUS
decision system.
PMID- 21880403
TI - Airborne radionuclides in mosses collected at different latitudes.
AB - Terrestrial mosses are a promising medium for investigation and monitoring of
airborne radionuclide depositions due to their widespread occurrence, ease of
sampling, and the possibility of high-resolution gamma spectrometry measurements
without preparatory chemical treatment of samples. The overall objective of the
present study was to compare (7)Be, (210)Pb and (137)Cs activity concentrations
(in Bq/kg) in moss samples collected at two different climate zones: the south of
Thailand (7 degrees N) and in Serbia (~45 degrees N) in order to examine
deposition of airborne radionuclide in these distant areas. Significant
difference of the (210)Pb content (almost a factor of 2) in mosses was observed.
The mean value of (7)Be activity in samples from Serbia was almost 40% higher
than activity of those collected in Thailand. Level of (137)Cs in Thailand mosses
was below the detection limit. It was shown that air transport of water droplets
in the area of waterfalls and strong turbulence can deposit U and Th daughter
nuclei.
PMID- 21880404
TI - Qualitative comparison of curricula in oral and maxillofacial surgery training.
Part 1: dental foundation training.
AB - Dental foundation training (DFT) is a two-year programme being introduced for new
dental graduates. It is not currently compulsory but there are plans to make it
so. Those studying oral and maxillofacial surgery (OMFS) must complete both
medical and dental degrees, and training, and if DFT becomes a requirement for
dental registration, the process could be lengthened. We aimed to examine the
overlap between DFT and medical foundation and core surgical training, to
highlight areas of potential duplication for those who completed their surgical
training before graduating from dental school. Relevant curricula for OMFS
trainees were identified and compared with the DFT curriculum, and a qualitative
assessment tool was developed to measure overlap between non-analogous curricula.
Depending on previous experience, an OMFS trainee who completed core training in
surgery before studying dentistry may already have covered 76% of the DFT
curriculum. Areas with the least duplication in clinical skills (53%) were
notably those related to restorative dentistry, prosthodontics, and
periodontology, but there was considerable overlap in non-clinical areas such as
communication skills (100%) and professionalism (90%). A method of standardised
assessment of previous experience may allow for DFT to be shortened for OMFS
trainees.
PMID- 21880405
TI - Tumour recurrence after surgical removal of parotid pleomorphic salivary adenoma
using a retrograde facial nerve dissection technique.
AB - Recurrence after surgical removal of parotid pleomorphic salivary adenoma using
retrograde facial nerve dissection is not well researched. We adopted retrograde
nerve dissection for parotid surgery for benign disease as a standard procedure
in 1995. The objective of this study was to establish the rate of recurrence of
primary tumours associated with the technique after removal of parotid
pleomorphic salivary adenoma. We recruited 59 patients over a 16-year (1995-2011)
period and collected the data prospectively. Eight patients were excluded as they
had died or had been lost to follow up. Male:female ratio was 16:35 and age range
was 15-69 years. The mean tumour size as measured on magnetic resonance imaging
(MRI) was 27.4mm. Thirty-eight patients had superficial parotidectomy, 8 had
total parotidectomy, and 5 had partial superficial parotidectomy. Mean follow up
from the date of operation was 104 months (median 98, range 17-171). All patients
were reviewed and examined in 2011 to establish whether the tumour had recurred.
One patient had developed a solitary nodular recurrence 8 years after the initial
procedure. Recurrence was 2%. The rate of clinically apparent recurrence after
parotidectomy for pleomorphic salivary adenoma in this study is low and is
comparable with others reported.
PMID- 21880406
TI - Preservation of the temporalis muscle during cranioplasty.
PMID- 21880407
TI - The incidence of congenital anomalies associated with cleft palate/cleft lip and
palate in neonates in the Konya region, Turkey.
AB - Additional congenital anomalies have often been found in patients with orofacial
clefts. We wanted to find out the incidence and type of congenital malformations
that may accompany cleft palate (CP) and cleft lip and palate (CLP) in babies
born in the Konya region. A total of 121 newborn babies with CP or CLP were
prospectively included in the study, and all were assessed in detail for
congenital anomalies. Of 121 babies, 86 (71%) had CLP and 35 (29%) had CP. There
was at least one congenital malformation in 80 (66%) of the cases. Additional
congenital malformations were seen in 26 (74%) of the 35 with isolated CP, and 54
(63%) in the 86 patients with CLP (p<0.05). The most common congenital
malformation was congenital heart disease, followed by head and neck anomalies.
The most common congenital heart disease was atrial septal defect. A serious
chromosomal anomaly was found in 18/121 patients with CP or CLP (15%). Of the 80
babies in whom congenital malformations were found, 31 (39%) had dysmorphic
features. While 21 (68%) of dysmorphic cases had isolated CP, 10 (32%) had CLP
(p<0.05). The rates of premature delivery, intrauterine growth retardation, and
consanguinity between parents were higher in patients with CP or CLP. The
neonatal mortality was 20% (n=24). Our results indicate that at least one
congenital anomaly is also present in about two-thirds of newborn babies with CP
and CLP, and these anomalies significantly increase their morbidity and
mortality. All newborn babies with CP and CLP should be screened for additional
congenital anomalies, particularly of the cardiovascular system.
PMID- 21880408
TI - "Everything that I thought that they would be, they weren't:" family systems as
support and impediment to recovery.
AB - Family help provision for adults diagnosed with co-occurring severe mental
illness and substance dependence is understudied. This article draws on verbally
administered structured and semi-structured interviews with one group of 122
behavioral health care clients and one group of 54 client-nominated family
members. In New Mexico, USA, these were collected as part of a larger, long-term
study. We examine the latter's concerns and fears, relative desire to be involved
with treatment, and difficulties connecting with professionals, as well as forms
of assistance they gave to clients and intra-family communication. We found that
family members' actions and communications often support client recovery through
resource provision and other, intangible forms of help. However, their
misunderstandings of and lack of knowledge about client experiences can also
impede recovery. We also compare the two groups of interviewees' perspectives on
assistance given to clients by family members. We give examples of family
attempts to deliver help and their consequences. Last, we offer suggestions for
providers and policymakers to better help family members achieve their goal of
caring for clients in recovery.
PMID- 21880409
TI - Influence of Valentine's Day and Halloween on birth timing.
AB - It is known that cultural representations, in the form of stereotypes, can
influence functional health. We predicted that the influence of cultural
representations, in the form of salient holidays, would extend to birth timing.
On Valentine's Day, which conveys positive symbolism, there was a 3.6% increase
in spontaneous births and a 12.1% increase in cesarean births. Whereas, on
Halloween, which conveys negative symbolism, there was a 5.3% decrease in
spontaneous births and a 16.9% decrease in cesarean births. These effects reached
significance at p < .0001, after adjusting for year and day of the week. The
sample was based on birth-certificate information for all births in the United
States within one week on either side of each holiday across 11 years. The
Valentine's-Day window included 1,676,217 births and the Halloween window
included 1,809,304 births. Our findings raise the possibility that pregnant women
may be able to control the timing of spontaneous births, in contrast to the
traditional assumption, and that scheduled births are also influenced by the
cultural representations of the two holidays.
PMID- 21880410
TI - Captured by motion: dance, action understanding, and social cognition.
AB - In this review article, we summarize the main findings from empirical studies
that used dance-related forms of rhythmical full body movement as a research tool
for investigating action understanding and social cognition. This work has proven
to be informative about behavioral and brain mechanisms that mediate links
between perceptual and motor processes invoked during the observation and
execution of spatially-temporally coordinated action and interpersonal
interaction. The review focuses specifically on processes related to (a) motor
experience and expertise, (b) learning and memory, (c) action, intention, and
emotion understanding, and (d) audio-visual synchrony and timing. Consideration
is given to the relationship between research on dance and more general embodied
cognition accounts of action understanding and social cognition. Finally, open
questions and issues concerning experimental design are discussed with a view to
stimulating future research on social-cognitive aspects of dance.
PMID- 21880411
TI - Developmental, cellular and molecular biology of benign prostatic hyperplasia.
PMID- 21880412
TI - Open rivers: barrier removal planning and the restoration of free-flowing rivers.
AB - Restoration of unobstructed, free-flowing sections of river can provide
considerable environmental and ecological benefits. It removes impediments to
aquatic species dispersal and improves flow, sediment and nutrient transport.
This, in turn, can serve to improve environmental quality and abundance of native
species, not only within the river channel itself, but also within adjacent
riparian, floodplain and coastal areas. In support of this effort, a generic
optimization model is presented in this paper for prioritizing the removal of
problematic structures, which adversely affect aquatic species dispersal and
river hydrology. Its purpose is to maximize, subject to a budget, the size of the
single largest section of connected river unimpeded by artificial flow and
dispersal barriers. The model is designed to improve, in a holistic way, the
connectivity and environmental status of a river network. Furthermore, unlike
most previous prioritization methods, it is particularly well suited to meet the
needs of potamodromous fish species and other resident aquatic organisms, which
regularly disperse among different parts of a river network. After presenting an
initial mixed integer linear programming formulation of the model, more scalable
reformulation and solution techniques are investigated for solving large,
realistic-sized instances. Results from a case-study of the Pike River Watershed,
located in northeast Wisconsin, USA, demonstrate the computational efficiency of
the proposed model as well as highlight some general insights about systematic
barrier removal planning.
PMID- 21880413
TI - Isolated gait apraxia from an acute unilateral parasagittal lesion.
PMID- 21880414
TI - 5-aza-2'-deoxycytidine enhances susceptibility of renal cell carcinoma to
paclitaxel by decreasing LEF1/phospho-beta-catenin expression.
AB - We investigated the molecular mechanisms by which 5-aza-2'-deoxycytidine (DAC)
and paclitaxel (PTX) use lymphoid enhancer-binding factor 1 (LEF1) and the
Wnt/beta-catenin pathway to synergistically interact against renal cell carcinoma
(RCC). LEF1 expression was examined by real-time PCR and immunohistochemistry.
The regulation of LEF1/beta-catenin protein expression by DAC and/or PTX was
examined by Western blot and immunoprecipitation. To analyze the effect of LEF1
on the proliferative ability of RCC cells and the synergy of DAC and PTX against
RCC cells, an expression vector containing the full-length cDNA for LEF1 was
transfected into RCC cells, and LEF1 expression was also decreased using siRNA
technology. Our results confirmed that DAC and PTX synergistically decreased the
expression of LEF1 in vivo and in vitro. Moreover, treatment of RCC cell lines
with the combination of DAC and PTX caused a synergistic decrease in LEF1/phospho
beta-catenin. Our study also demonstrated a negative correlation between LEF1
expression and the proliferative ability of RCC cells. Although interfering with
LEF1 expression did not abolish the synergy between the two agents, RCC cells
expressing high levels of LEF1 displayed an increased synergistic effect compared
with RCC cells expressing low levels of LEF1. This study suggests that LEF1 can
enhance the proliferation of RCC cells and that the LEF1/beta-catenin complex
plays an important role in the synergy of DAC and PTX against RCC cells.
Moreover, the synergy between DAC and PTX may be more effective in RCC cells
expressing high levels of LEF1.
PMID- 21880415
TI - Reverse phase protein microarrays quantify and validate the bioenergetic
signature as biomarker in colorectal cancer.
AB - A reverse phase protein microarray approach has been applied to quantify proteins
of energy metabolism in normal and tumor biopsies of colorectal cancer (CRC)
patients. The metabolic proteome of CRC specimens revealed a profound shift
towards and enhanced glycolytic phenotype and concurrent mitochondrial
alteration. The metabolic signature discriminated CRC patients with highly
significant differences in overall and disease-free prognosis. The quantification
of the bioenergetic signature of the tumor offers a relevant biomarker of CRC
that could contribute in the handling of these patients.
PMID- 21880416
TI - Genotoxicity and biodegradation of quaternary ammonium salts in aquatic
environments.
AB - Biodegradation tests were conducted for three groups of quaternary ammonium salts
(QAS) that differed in hydrophobic chain length or in hydrophilic properties. The
degradation rate was influenced by the hydrocarbon chain length, the presence of
aromatic or cyclic rings, and the occurrence of sulphur and oxygen atoms in the
alkyl substituent. All tested QAS variants were biodegradable in an aquatic
environment. The half life of the different QAS under these conditions ranged
from 0.5 to 1.6 days and depended on the properties of the compound.
Biodegradation intermediate products were identified by nuclear magnetic
resonance spectrometry ((1)H NMR and (13)C NMR). Both the initial preparations
and their biodegradation products were not genotoxic.
PMID- 21880417
TI - Photocatalytic degradation of dimethoate using LbL fabricated TiO2/polymer hybrid
films.
AB - Degradation of dimethoate under UV irradiation using TiO(2)/polymer films
prepared by the layer-by-layer (LbL) method was investigated. The thin films were
fabricated on glass slides and the surface morphology and roughness of the thin
films were characterized using X-ray diffraction (XRD), scanning electron
microscopy (SEM) and atomic force microscopy (AFM). The effect of lamp intensity,
catalyst loading in the layers, number of bilayers, pH and initial dimethoate
concentration on the degradation of dimethoate was systematically studied. The
degradation was monitored using high performance liquid chromatography (HPLC)
analysis and total organic carbon (TOC) measurements as a function of irradiation
time, to see the change in concentration of dimethoate and mineralization,
respectively. Complete degradation of dimethoate was achieved under TiO(2)
optimum loading of 4 g/L at an UV irradiation time of 180 min. Increase in the
lamp intensity, catalyst loading and number of bilayers increased the rate of
degradation. At a pH of 4.62, complete degradation of dimethoate was observed.
The degradation efficiency decreased with increase in initial dimethoate
concentration. The degradation byproducts were analyzed and confirmed by gas
chromatography-mass spectra (GC-MS). Toxicity of the irradiated samples was
measured using the luminescence of bacteria Vibrio fischeri after 30 min of
incubation and the results showed more toxicity than the parent compound.
Catalyst reusability studies revealed that the fabricated thin films could be
repeatedly used for up to ten times without affecting the photocatalytic activity
of the films. The findings of the present study are very useful for the treatment
of wastewaters contaminated with pesticides.
PMID- 21880418
TI - Biodegradation and detoxification of melanoidin from distillery effluent using an
aerobic bacterial strain SAG5 of Alcaligenes faecalis.
AB - Distillery effluent retains very dark brown color even after anaerobic treatment
due to presence of various water soluble, recalcitrant and coloring compounds
mainly melanoidins. In laboratory conditions, melanoidin decolorizing bacteria
was isolated and optimized the cultural conditions at various incubation
temperatures, pH, carbon sources, nitrogen sources and combined effect of both
carbon and nitrogen sources. The optimum decolorization (72.6 +/- 0.56%) of
melanoidins was achieved at pH 7.5 and temperature 37 degrees C on 5th day of
cultivation. The toxicity evaluation with mung bean (Vigna radiata) revealed that
the raw distillery effluent was environmentally highly toxic as compared to
biologically treated distillery effluent, which indicated that the effluent after
bacterial treatment is environmentally safe. This proves to be novel biological
treatment technique for biodegradation and detoxification of melanoidin from
distillery effluent using the bacterial strain SAG(5).
PMID- 21880419
TI - Protective effect of Panax ginseng against serum biochemical changes and
apoptosis in liver of rats treated with carbon tetrachloride (CCl4).
AB - The purpose of this study was to investigate possible beneficial effects of Panax
ginseng (PG) on carbon tetrachloride (CCl(4))-induced acute hepatotoxicity in
rats. CCl(4) challenge elevated serum enzyme activities of liver and some
biochemical parameters, but these effects were prevented by the pretreatment of
rats with PG. Histologically, a great amount of mononuclear cells infiltration,
necrotic cells and few fibroblasts were observed in liver of CCl(4) group. Also,
CD68(+) and caspase-3 staining cells were diffused in both lobular and portal
areas. However, PG pretreatment had a little influence on the number of caspase-3
immunopositive staining cells in the liver, but CD68(+) staining areas were
significantly decreased in the PG+CCl(4) when compared to CCl(4) group. We
conclude that PG treatment may play a protective role by enhancing liver enzyme
activities and recovering biochemical parameters, and improving the changes in
histological structure against CCl(4)-induced liver damages in rats.
PMID- 21880420
TI - CFD simulation of pollutant dispersion around isolated buildings: on the role of
convective and turbulent mass fluxes in the prediction accuracy.
AB - Computational Fluid Dynamics (CFD) is increasingly used to predict wind flow and
pollutant dispersion around buildings. The two most frequently used approaches
are solving the Reynolds-averaged Navier-Stokes (RANS) equations and Large-Eddy
Simulation (LES). In the present study, we compare the convective and turbulent
mass fluxes predicted by these two approaches for two configurations of isolated
buildings with distinctive features. We use this analysis to clarify the role of
these two components of mass transport on the prediction accuracy of RANS and LES
in terms of mean concentration. It is shown that the proper simulation of the
convective fluxes is essential to predict an accurate concentration field. In
addition, appropriate parameterization of the turbulent fluxes is needed with
RANS models, while only the subgrid-scale effects are modeled with LES.
Therefore, when the source is located outside of recirculation regions (case 1),
both RANS and LES can provide accurate results. When the influence of the
building is higher (case 2), RANS models predict erroneous convective fluxes and
are largely outperformed by LES in terms of prediction accuracy of mean
concentration. These conclusions suggest that the choice of the appropriate
turbulence model depends on the configuration of the dispersion problem under
study. It is also shown that for both cases LES predicts a counter-gradient
mechanism of the streamwise turbulent mass transport, which is not reproduced by
the gradient-diffusion hypothesis that is generally used with RANS models.
PMID- 21880421
TI - Comments on the method of using maximum absorption wavelength to calculate Congo
Red solution concentration published in J. Hazard. Mater.
PMID- 21880422
TI - Alkali activated solidification/stabilisation of air pollution control residues
and co-fired pulverised fuel ash.
AB - This paper examines the potential treatment by solidification/stabilisation (S/S)
of air pollution control (APC) residues using only waste materials otherwise
bound for disposal, namely a pulverised fuel ash (PFA) from a co-fired power
station and a waste caustic solution. The use of waste materials to stabilise
hazardous wastes in order to meet waste acceptance criteria (WAC) would offer an
economical and efficient method for reducing the environmental impact of the
hazardous waste. The potential is examined against leach limits for chlorides,
sulphates and total dissolved solids, and compressive strength performance
described in the WAC for stable non-reactive (SNR) hazardous waste landfill cells
in England and Wales. The work demonstrates some potential for the treatment,
including suitable compressive strengths to meet regulatory limits. Monolithic
leach results showed good encapsulation compared to previous work using a more
traditional cement binder. However, consistent with previous work, SNR WAC for
chlorides was not met, suggesting the need for a washing stage. The potential
problems of using a non-EN450 PFA for S/S applications were also highlighted, as
well as experimental results which demonstrate the effect of ionic interactions
on the mobility of phases during regulatory leach testing.
PMID- 21880423
TI - Inhibitory effects of Cu (II) on fermentative methane production using bamboo
wastewater as substrate.
AB - The toxic effects of Cu (II) present in bamboo industry wastewater (BIWW) upon
its anaerobic biodegradability of organic content were investigated. The analysis
through the Modified Gompertz model indicated that the optimum chemical oxygen
demand (COD) concentration for digestion was 22,780 mg L(-1) with a maximum R(m)
(maximum CH(4) production rate) value of 2.8 mL h(-1), corresponding to a
specific methanogenic activity (SMA) of 2.38 mL CH(4) g VSS(-1)h(-1). The
inhibitory effects of Cu (II) on cumulative methane production depended on its
concentration and contact time. Low concentrations (5 mg L(-1)) of Cu (II) showed
a stimulating effect on methanogenesis. Methane was not detected when the Cu (II)
concentration was increased beyond 300 mg L(-1). The IC(50) value of Cu (II), the
Cu (II) concentration that causes a 50% reduction in the cumulative methane
production, was 18.32 mg L(-1) (15.9 mg Cu(II) gVSS(-1)).
PMID- 21880424
TI - Anaerobic degradation of benzene by enriched consortia with humic acids as
terminal electron acceptors.
AB - The anaerobic degradation of benzene coupled to the reduction of humic acids (HA)
was demonstrated in two enriched consortia. Both inocula were able to oxidize
benzene under strict anaerobic conditions when the humic model compound,
anthraquinone-2,6-disulfonate (AQDS), was supplied as terminal electron acceptor.
An enrichment culture originated from a contaminated soil was also able to
oxidize benzene linked to the reduction of highly purified soil humic acids
(HPSHA). In HPSHA-amended cultures, 9.3 MUM of benzene were degraded, which
corresponds to 279 +/- 27 micro-electron equivalents (MUEq)L(-1), linked to the
reduction of 619 +/- 81 MUEq L(-1) of HPSHA. Neither anaerobic benzene oxidation
nor reduction of HPSHA occurred in sterilized controls. Anaerobic benzene
oxidation did not occur in soil incubations lacking HPSHA. Furthermore,
negligible reduction of HPSHA occurred in the absence of benzene. The enrichment
culture derived from this soil was dominated by two gamma-Proteobacteria
phylotypes. A benzene-degrading AQDS-reducing enrichment originated from a
sediment sample showed the prevalence of different species from classes beta-,
delta- and gamma-Proteobacteria. The present study provides clear quantitative
demonstration of anaerobic degradation of benzene coupled to the reduction of HA.
PMID- 21880425
TI - Post-treatment of anaerobically degraded azo dye Acid Red 18 using aerobic moving
bed biofilm process: enhanced removal of aromatic amines.
AB - The application of aerobic moving bed biofilm process as post-treatment of
anaerobically degraded azo dye Acid Red 18 was investigated in this study. The
main objective of this work was to enhance removal of anaerobically formed the
dye aromatic metabolites. Three separate sequential treatment systems were
operated with different initial dye concentrations of 100, 500 and 1000 mg/L.
Each treatment system consisted of an anaerobic sequencing batch reactor (An-SBR)
followed by an aerobic moving bed sequencing batch biofilm reactor (MB-SBBR). Up
to 98% of the dye decolorization and more than 80% of the COD removal occurred
anaerobically. The obtained results suggested no significant difference in COD
removal as well as the dye decolorization efficiency using three An-SBRs
receiving different initial dye concentrations. Monitoring the dye metabolites
through HPLC suggested that more than 80% of anaerobically formed 1-naphthylamine
4-sulfonate was completely removed in the aerobic biofilm reactors. Based on COD
analysis results, at least 65-72% of the dye total metabolites were mineralized
during the applied treatment systems. According to the measured biofilm mass and
also based on respiration-inhibition test results, increasing the initial dye
concentration inhibited the growth and final mass of the attached-growth biofilm
in MB-SBBRs.
PMID- 21880426
TI - In situ neutralisation of uncarbonated bauxite residue mud by cross layer
leaching with carbonated bauxite residue mud.
AB - Unameliorated residue mud from the Bayer process generates highly alkaline
leachates (pH ca. 13) after deposition in storage areas. Pre-deposition treatment
of bauxite residue mud (BRM) with CO(2) gas (carbonation) lowers leachate pH to
ca. 10.5. Laboratory scale leaching columns were used to investigate the
potential for in situ pH reduction in existing uncarbonated BRM deposits through
exposure to carbonated mud leachate. Leachates from uncarbonated and carbonated
residues in single and dual-layer column configurations were analysed for pH,
electrical conductivity, carbonate and bicarbonate content, and element
concentrations. Air-dried solids were analysed by X-ray diffraction before and
after leaching. Cross layer leaching lowers leachate pH from uncarbonated BRM.
Leachate pH was significantly lower in dual layer and carbonated residue than in
uncarbonated residue between one and 400 pore volumes leached. Carbonated residue
porewater as well as dawsonite and calcite dissolution were identified as sources
of (bi-)carbonate. Leachate concentrations of As, Cr, Cu, Ga and La were
immediately reduced in dual layer treatments compared with uncarbonated residue.
No element analysed exhibited a significantly higher leachate concentration in
dual layer treatments than the highest observed concentration in single layer
treatments. The implementation of dual layer leaching in the field therefore
presents an opportunity to improve leachate quality from existing uncarbonated
residue deposits and justifies further testing at field scale.
PMID- 21880427
TI - Investigation of simultaneous adsorption of SO2 and NO on gamma-alumina at low
temperature using DRIFTS.
AB - The interaction mechanism between SO(2) and NO on gamma-Al(2)O(3) was explored by
diffuse reflectance infrared Fourier transform spectroscopy (DRIFTS) and outlet
response of the concentrations of NO, NO(2) and SO(2) under exposure of Al(2)O(3)
to SO(2) and/or NO in the absence or presence of oxygen at 150 degrees C. The
results showed that SO(2) promoted NO oxidation and NO transformed weakly
adsorbed SO(2) into strongly adsorbed species on gamma-Al(2)O(3), and the
presence of O(2) facilitated this transformation. An interaction mechanism
between SO(2) and NO on gamma-Al(2)O(3) was thus postulated. The exposure of
Al(2)O(3) to SO(2) and NO in the presence of O(2) resulted in the formation of at
least two types of intermediates. One type was [SO(3)NO], which decomposed to
form NO(2), and the other type was [SO(3)NO(2)], which decomposed to form SO(3).
The decomposition of both intermediates probably formed O vacancies replaceable
by gaseous O(2).
PMID- 21880428
TI - Science is not enough: the modern history of pediatric pain.
PMID- 21880429
TI - Axenic culture and identification of amastigotes from Sichuan human strain of
Chinese Leishmania isolates.
AB - This work describes a simple method to yield large amounts of the isolate
MHOM/CN/90/SC10H2 amastigotes-like forms in axenic cultures using promastigotes
as the starting population. The isolate MHOM/CN/90/SC10H2, used in this study,
belongs to an undescribed species of Leishmania endemic to hill foci in China.
The method describes induced extracellular amastigote transformation of this
isolate. The rounded parasite obtained in axenic culture was morphologically
similar, even at the ultrastructural level, to intracellular amastigotes.
Moreover, the axenic amastigotes remained viable as verified by the stage
specific genes (gp46 and p4 genes) with RT-PCR. A 70-80 kDa protein was
recognized by polyclonal antibody HRP-IgG only in axenic-derived amastigotes and
not in promastigotes.
PMID- 21880430
TI - Tactical treatment with copper oxide wire particles and symptomatic levamisole
treatment using the FAMACHA((c)) system in indigenous goats in South Africa.
AB - Haemonchosis is considered to be the most economically important gastrointestinal
disease of small ruminants in the tropics and subtropics. However, chemical
anthelmintics, which were the mainstay of control, have been compromised by a
high prevalence of resistance worldwide. Copper oxide wire particles (COWP) have
been shown to have anthelmintic effects, but few studies have examined their use
under field conditions. The use of COWP was therefore evaluated as a tactical
anthelmintic treatment in indigenous goats raised under communal farming
conditions in Bergville, KwaZulu-Natal Province, South Africa. At the beginning
of the summer rainfall season (October 2007), the faecal egg counts of 172 female
goats belonging to 15 farmers were determined and this sampling continued every
four weeks until the second week of January 2008. The goats within each of the 15
herds were ranked according to their faecal egg counts for this week. The goats
were sequentially paired off within each ranking starting with those goats with
the highest counts. One goat from each pair was randomly allocated to a treated
or control group. Two weeks later, a 4 g COWP bolus was randomly administered to
each goat in the treated group. Faecal egg counts were carried out on the goats
two weeks following treatment, and the sampling of the goats then proceeded every
four weeks until October 2008. Except for the six-week period prior to the
administration of the COWP, the goats were examined according to the FAMACHA((c))
system and symptomatically treated with 12 mg/kg levamisole when anaemic. The
percentage reduction in faecal egg count due to the COWP treatment was 89.0%.
Mean pre- and post-treatment faecal egg counts for the COWP-treated group (n=73)
were 2347 eggs per gram of faeces (epg) and 264 epg, respectively. The
corresponding values for the untreated controls (n=66) were 2652 epg and 2709
epg. The prevalence of Haemonchus spp. larvae in pre- and post-treatment faecal
cultures was 72% and 46%, respectively. Symptomatic anthelmintic treatments in
combination with mid-summer tactical treatments with COWP appear to be useful
strategies for the control of Haemonchus contortus in indigenous goats in this
farming system and this approach could have application in other similar agro
ecological zones.
PMID- 21880431
TI - Treatment use and barriers among adolescents with prescription opioid use
disorders.
AB - BACKGROUND: This study examined national trends, patterns, correlates, and
barriers to substance abuse treatment use by adolescents aged 12-17 years who met
at least one of the past-year criteria for prescription opioid abuse or
dependence (N=1788). METHODS: Data were from the 2005-2008 National Surveys of
Drug Use and Health (NSDUH). Past-year substance use disorders, major depression,
and treatment use were assessed by audio computer-assisted self-interviewing.
RESULTS: About 17% of adolescents with opioid dependence (n=434) and 16% of those
with opioid abuse (n=355) used any substance abuse treatment in the past year
compared with 9% of subthreshold users, i.e., adolescents who reported 1-2
prescription opioid dependence criteria but no abuse criteria (n=999). Only 4.2%
of adolescents with opioid dependence, 0.5% of those with abuse, and 0.6% of
subthreshold users reported a perceived need for treatment of nonmedical opioid
use. Self-help groups and outpatient rehabilitation were the most commonly used
sources of treatment. Few black adolescents used treatment (medical settings,
3.3%; self-help groups, 1.7%) or reported a need for treatment (1.8%). Talking to
parents/guardians about dangers of substance use increased the odds of treatment
use. Barriers to treatment use included "wasn't ready to stop substance use,"
"didn't want others to find out," and "could handle the problem without
treatment." CONCLUSIONS: Adolescents with prescription opioid use disorders
markedly underutilize treatment. Non-financial barriers are pervasive, including
stigma and a lack of perceived treatment need.
PMID- 21880432
TI - Pain response in heroin users: personality, abstinence, and modulation by
benzodiazepines.
AB - We compared cold-pain responses among male current opioid users with and without
concurrent benzodiazepine use, long-term ex-users, and healthy controls. Forty
eight current opioid users (14 concurrently using benzodiazepines), 34 ex-users
(abstinent for >=1 y) and 63 controls received cold-pressor tests. Pain threshold
(first reporting pain) and pain tolerance (total immersion time) were recorded.
Pain thresholds were similar in ex-users and current users; pain tolerance was
similar in ex-users and controls. Net pain tolerance (endurance) in ex-users was
intermediate between the other two groups. Current users showed higher pain
threshold and shorter pain tolerance than controls (p<0.05). Current users not co
using benzodiazepines showed the lowest pain tolerance and net pain tolerance,
and differed significantly from controls, ex-users, and current users co-using
benzodiazepines (p<0.05). Neuroticism was higher in current users than in the
other two groups (p<0.001), extraversion marginally lower (p<0.05); net pain
tolerance differences remained significant after controlling for these.
Benzodiazepine use modulates pain tolerance in opioid users. Pain responses
altered by opioid use may partially recover with abstinence.
PMID- 21880433
TI - Parental involvement protects against self-medication behaviors during the high
school transition.
AB - We examined how drinking patterns change as adolescents transition to high
school, particularly as a function of parental involvement. Stress associated
with the transition to high school may deplete psychological resources for coping
with negative daily emotions in an environment when opportunities to drink are
more common. A cohort of elevated-risk middle school students completed daily
negative affect (sadness, worry, anger, and stress) and alcohol use assessments
before and after the transition to high school, resulting in a measurement burst
design. Adolescents who reported less parental involvement were at higher risk
for drinking on any given day. After (but not before) the transition to high
school, daily within-person fluctuations of sadness predicted an increased
probability of same-day alcohol use for adolescents who reported that their
parents were minimally involved in their lives. The other negative affect
indicators were not predictive of use. Our results suggest that the transition to
high school may represent an important intervention leverage point, particularly
for adolescents who lack adequate parental support to help them cope with day-to
day changes in sadness.
PMID- 21880434
TI - Advanced glycation end products overload might explain intracellular cobalamin
deficiency in renal dysfunction, diabetes and aging.
AB - Advanced glycation end products (AGEs) contribute to aging. Cobalamin (Cbl) is
required for cell growth and functions, and its deficiency causes serious
complications. Diabetics and renal patients show high concentrations of Cbl, but
metabolic evidence of Cbl deficiency that is reversible after Cbl treatment. Cbl
might be sequestered in blood and cannot be delivered to the cell. Megalin
mediates the uptake of transcobalamin-Cbl complex into the proximal tubule cells.
Megalin is involved in the uptake and degradation of AGEs. In aging, diabetes or
renal dysfunction, AGEs might overload megalin thus lowering Cbl uptake.
Transcobalamin-Cbl might retain in blood. Shedding of megalin and transcobalamin
receptor under glycation conditions is also a possible mechanism of this
phenomenon.
PMID- 21880435
TI - Why not "double schizophrenia"?
AB - The current approach to schizophrenic psychoses comprises the concept of a course
characterized by a prodromal phase, an intermittent acute phase, and residual
formation. Similar to the concept of the so-called double depression, there are,
in addition to the subgroups of patients with primarily cognitive changes,
patients in whom neurotic-dissociative components dominate prior to the disease
outbreak itself. The question arises whether this process, considered thus far as
prodromal, may possibly be interpreted beyond this as a combined pattern of
progression in the sense of a "double schizophrenia" and thus differ
symptomatically in the further course from other forms of schizophrenia. If so,
in addition to the usual neuroleptic treatment, therapy should include an
additional focus with more psychotherapeutic attention.
PMID- 21880436
TI - Assessment of the angiotensin-I-converting enzyme (ACE-I) inhibitory and
antioxidant activities of hydrolysates of bovine brisket sarcoplasmic proteins
produced by papain and characterisation of associated bioactive peptidic
fractions.
AB - The main objective was to investigate the angiotensin-I-converting enzyme (ACE-I)
inhibitory and antioxidant activities of sarcoplasmic proteins isolated from the
brisket muscle (Pectoralis profundus) of 3 (Bos taurus) cattle and hydrolysed
with papain for 24 h at 37 degrees C. Sarcoplasmic protein hydrolysates were
ultra-filtered using molecular weight cut off (MWCO) membranes and 10-kDa and 3
kDa filtrates were obtained. The total sarcoplasmic protein extracts and the 3
kDa filtrates were tested for angiotensin I-converting enzyme inhibitory (ACE-I)
activities. The total hydrolysates, 10-kDa and 3-kDa filtrates were also tested
for their associated antioxidant activities using the 2,2-diphenyl-1
picrylhydrazyl (DPPH) radical scavenging activity assay, the ferric ion reducing
antioxidant power (FRAP) assay and the Fe(2+) metal chelating ability assay. The
peptidic content of the total hydrolysates, the 10-kDa and the 3-kDa filtrates
were analysed using an ORBITRAP mass spectrometer, and mass spectral data
obtained were analysed using TurboSEQUEST. Eleven peptides were characterised
from the total hydrolysates, fifteen from the 10-kDa filtrate fractions, whilst
nine peptides were characterised from the 3-kDa filtrate fractions. Similarities
between the amino acid sequences of the peptides identified in this study and
previously identified antioxidant and ACE-I inhibitory peptides detailed in the
BIOPEP database were outlined.
PMID- 21880437
TI - [Use of Monica AN24TM for fetal monitoring during labour induction].
PMID- 21880438
TI - [Prenatal management of a fetal pericardial teratoma with pericardio-amniotic
shunting: a case report].
AB - Fetal intrapericardial teratomas are uncommon and usually benign. Their histology
is the same as that of teratomas located elsewhere. They may cause death from non
immune hydrops fetalis and cardiac tamponade. We report a case that was
successfully managed prenatally by placement of a pericardial amniotic shunt.
PMID- 21880439
TI - [Fetal atrioventricular interval measurement: technical aspects].
AB - Atrioventricular (AV) interval measurement allows early diagnosis of isolated
congenital heart block linked to maternal antibodies anti-Ro/SSA and/or anti
La/SSB. Simple and reliable ultrasound techniques have been developed to assess
AV interval but most of them are imperfectly implemented by sonographers. In
threatening conditions fetus should be regularly screened between 16 to 30 weeks.
Increased AV interval defines the first degree AV block which should be treated
by maternal-fetal corticosteroid to prevent complete heart block occurrence. The
most recent ultrasound methods are based on pulsed Doppler rather than time
motion Doppler. We describe the most important technical aspects to improve the
evaluation of the fetal AV interval.
PMID- 21880440
TI - Expression of androgen receptor and estrogen receptor-alpha in the developing
pituitary gland of male sheep lamb.
AB - To explore the expression of androgen receptor (AR) and estrogen receptor alpha
(ERalpha) in the developing pituitary of male lamb, we detected AR and ERalpha
expression in the anterior pituitary of lambs aged 2-7 months old by
immunohistochemistry. The results showed that both AR immunoreactivity (AR-ir)
and ERalpha immunoreactivity (ERalpha-ir) were localized in the nuclei of
anterior pituitary cell. The percentage of the anterior pituitary cells
expressing ERalpha fluctuated from 8.79+/-0.02% to 11.80+/-0.04% during the
examined stages, but fell significantly to the lowest level at 6 months. While
the proportion of AR-ir showed significant changes, it was in 11.52+/-1.26% at 2
months, it firstly increased to 19.86+/-1.03% at 3 months, and then significantly
decreased to 8.18+/-1.17% at 6 months (P<0.05). The expression of both AR-ir and
ERalpha-ir were the lowest level at 6 months old. By staining for PCNA, we
observed that the changes in expression of AR and ERalpha at different lamb ages
did not result from cell proliferation of anterior pituitary cells. These results
indicate that both AR and ERalpha are important in regulation of secretary
function of anterior pituitary in sheep lamb, although the related mechanism
needs to be elucidated further.
PMID- 21880441
TI - Improved physical function and physical activity in older adults following a
community-based intervention: Relationships with a history of depression.
AB - The purpose of this study was to explore the relationship of a history of
depression with moderate physical activity and physical function before and after
a physical activity intervention of congregate meal participants in senior
centers from all 12 Georgia Area Agencies on Aging (AAA). Participants were a
convenience sample of older adults (n=376, mean age=76 years, 82% female, 64%
Caucasian, 36% African American, 22% a history of depression). The physical
activity intervention included educator-led chair exercises that incorporated
balls and bands. Pre- and post-tests assessed moderate physical activity and
physical function. At the pre-test, a history of depression was not related to
moderate physical activity or physical function. Following the intervention there
were significant increases in both moderate physical activity and physical
function, but a history of depression was a negative predictor of improvements in
physical activity when controlled for site, demographics, and health-related
conditions. These results provide an evidence base for the effectiveness of this
intervention in improving moderate physical activity and physical function in a
community setting, but additional efforts may be needed to improve the impact of
this type of intervention among older adults with a history of depression.
PMID- 21880442
TI - In vitro production of GHB in blood and serum samples under various storage
conditions.
AB - The in vitro production of GHB was observed in freshly collected, untreated whole
blood samples using glass BD-Vacutainers and polypropylene S-monovettes. GHB
concentrations were determined daily over a period of one week and after 3, 6 and
9 weeks again. Furthermore, the GHB concentration in 40 untreated random whole
blood samples stored at 4 degrees C for a longer period of time (10 samples 12
month, 10 samples 24 month and 20 samples 36 month) was also determined. For
comparison, the in vitro production of GHB in freshly collected and prepared
serum samples was observed. GHB serum concentrations were determined three times
over a period of one week and once again after six weeks. Sample preparation was
performed by means of methanolic extraction following the precipitation of whole
blood and serum samples. A methanolic standard calibration was done in a low
range of 0.005-0.1 MUg/mL (LOD: 0.004, LLOQ: 0.013). For quantification a spiked
blood bank serum with a determined GHB concentration of 0.09 MUg/mL was used.
Corrected calibrations in the range of 0.09-5.09 MUg/mL were used (LOD: 0.08
MUg/mL, LLOQ: 0.30 MUg/mL), recovery: 91.3% (high level: 4.09 MUg/mL) 50.5% (low
level: 0.19 MUg/mL). RESULTS: Relevant elevation of GHB was observed in all whole
blood samples stored in liquid form (4 degrees C or room temperature). In two of
the 40 whole blood samples stored over a longer period of time at 4 degrees C,
GHB concentrations in the range of 13 MUg/mL were even determined. These findings
constitute grounds for caution. Even a GHB cut-off level of 5 MUg/mL cannot be
considered as "absolutely positive" proof of a case of exogenous administration,
at least in untreated liquid blood samples in long time storage. However, no
significant elevations of GHB were otherwise observed in any of the serum samples
independently of storage temperature nor in the whole blood samples that were
frozen for storage. CONCLUSIONS: The results suggest that the cut-off for
exogenous GHB of 5 MUg/mL could be lowered significantly, with the consequence of
winning valuable time for the potential victim, but only if serum is collected
for GHB determination or if the whole blood sample is frozen immediately after
collection and the procedure well documented.
PMID- 21880443
TI - Evaluation of pediatric skull fracture imaging techniques.
AB - Radiologic imaging is crucial in the diagnosis of skull fracture, but there is
some doubt as to whether different imaging modalities can accurately identify
fractures present on a human skull. While studies have been performed to evaluate
the efficacy of radiologic imaging at other anatomical locations, there have been
no systematic studies comparing various CT techniques, including high resolution
imaging with and without 3D reconstructions to conventional radiologic imaging in
children, we investigated which imaging modalities: high-resolution CT scan with
3D projections, clinical-resolution CT scans or X-rays, best showed fracture
occurrence in a pediatric human cadaver skull by having an expert pediatric
radiologist examine radiologic images from fractured skulls. The skulls used were
taken from pediatric cadavers ranging in age from 5 months to 16 years. We
evaluated the sensitivity and specificity for the imaging modalities using
dissection findings as the gold standard. We found that high-resolution CT scans
with 3D projections and conventional CT provided the most accurate fracture
diagnosis (single-fracture sensitivity of 71%) followed by X-rays (single
fracture sensitivity of 63%). Linear fractures outsider the region of the sutures
were more identifiable than diastatic fractures, though the incidence of false
positives was greater for linear fractures. In the two cases where multiple
fractures were present on the same anatomical skull location, the radiologist was
less likely to identify the presence of additional fractures than a single
fracture. Overall, the high-resolution and clinical-resolution CT scans had the
similar accuracy for detecting skull fractures while the use of the X-ray was
both less accurate and had a lower specificity.
PMID- 21880444
TI - Child sexual abuse.
AB - OBJECTIVE: To evaluate the prevalence of sexual abuse in the pediatric population
of the Federal District and discuss the difficulties in interpreting the
examination of the genitalia in this age group, both by general practitioners as
medical expert forensic officers. METHODOLOGY: This is a retrospective,
transversal, and epidemiological study on children younger than 12 years, who
attended the Institute of Forensic Medicine of DF (IML-DF), Brasilia, Brazil,
with suspicion of sexual abuse between 2008 and 2009. RESULTS: During this
period, 3607 persons with suspected sexual abuse and 1762 (48.8%) children
younger than 12 years were treated in IML-DF. Of this total, 238 (13.5%) were
boys, and 1524 (86.5%) were girls. Among the boys, the average age was 6.5 years,
and 9.6% were found to have injuries consistent with sexual abuse. In 43.4% of
these cases, the perpetrator was known. The main lesions found were anal fissures
and lacerations, bruises, and anal dilatation. In 20 cases (8.4%), the time
interval between fact and examination precluded any conclusion. The girls (1524
cases) referred to the IML-DF were subjected to two types of tests: libidinous
acts (773 to 50.7%) and rape (751 to 49.3%). In tests of libidinous acts, 5.3%
had signs of sexual abuse perpetrated by acquaintances (68.2%), and 3.0% were
inconclusive because of the long time lag between the examination and fact. In
survey of rape cases, only 2.1% of subjects examined had lesions consistent with
abuse. In 57 of 1524 cases, specimens were sampled for sperm test, and five cases
(8.7%) showed positive results. The average age of girls being sexually abused
(10.7 years) was higher than that for boys (6.5 years). DISCUSSION: Our findings
confirm the discrepancy between the expectations of parents and the general
practitioner, and the reality of the findings in specialized centers.
Approximately 90% of child victims of abuse do not show evidence of physical
damage. These were found in less than 10% of abused children. Physical signs of
abuse often are difficult to recognize and should not be the only indicators.
PMID- 21880445
TI - Malignant paroxysmal positional vertigo.
AB - OBJECTIVE: An insidious percentage of paroxysmal positional vertigo appears to be
intractable with canalith repositioning maneuver and also is not self-limiting.
This type of positional vertigo is sustained by the action of intracranial tumors
that mimics the clinical aspects of benign paroxysmal positional vertigo.Aim of
this study is to clarify the features of these forms of positional vertigo, which
we indicate as malignant paroxysmal positional vertigo. METHODS: We
retrospectively reviewed the clinical records of all the patients who presented
with vertigo spells and were managed at our tertiary care referral centre over a
three years period. Two hundred and eleven patients with diagnosis of positional
paroxysmal vertigo were included in the final study. RESULTS: Seven patients were
affected by intracranial tumors causing a positional vertigo and were classified
as malignant paroxysmal positional vertigo patients after radiological and
histological diagnosis. These patients were affected by an internal auditory
canal mass alone or with extension in the cerebello pontine angle that mimicked a
benign positional vertigo. CONCLUSION: We can conclude that the clinician should
keep in mind the differentiation between benign positional vertigo and malignant
positional vertigo. When the patients with positional vertigo presents a strange
behaviour of symptoms, nystagmus or response to the canalith repositioning
maneuver a radiological investigation must be undertaken in every doubtful case.
PMID- 21880446
TI - Brown tumor mimicking maxillary sinus mucocele as the first manifestation of
primary hyperparathyroidism.
AB - We describe the first case of brown tumor mimicking a maxillary sinus mucocele as
the first manifestation of the patient's primary hyperparathyroidism. A 34-year
old woman presented with a 14 days history of elevation of the right orbit,
retrobulbar pain and cheek anesthesia. The CT and MR evaluation showed a mass,
initially described as mucocele of the right maxillary sinus. The laboratory
studies revealed hyperparathyroidism. The patient underwent acute surgery, and
the mass appeared clinically as mucocele. The histological examination of the
resected lesion revealed changes representing either giant cell granuloma or
brown tumor. The finding of hyperparathyroidism confirmed the diagnosis of brown
tumor. To our knowledge, this is the first report of cystic brown tumor mimicking
a mucocele of the maxillary sinus.
PMID- 21880447
TI - Oxidative stress markers and phosphorus magnetic resonance spectroscopy in a
patient with GLUT1 deficiency treated with modified Atkins diet.
AB - Glucose transporter type 1 deficiency syndrome is an inborn error of glucose
transport across blood-tissue barriers, and the modified Atkins diet is an
effective and well-tolerated treatment. To investigate the effects of the
modified Atkins diet, we examined the cerebrospinal fluid markers and performed
phosphorus magnetic resonance spectroscopy in a patient with glucose transporter
type 1 deficiency syndrome before and after the modified Atkins diet.
Cerebrospinal fluid levels of the oxidative stress markers, 8-hydroxy-2'
deoxyguanosine and hexanoyl-lysine adduct, were markedly increased above the
cutoff index and were normalized 18 months after the modified Atkins diet.
Phosphorus magnetic resonance spectroscopy measurements showed 18% increase of
PCr/gamma-ATP ratio after the modified Atkins diet. These results suggest that
the modified Atkins diet may reduce oxidative stress in the brain and improve
energy reserve capacity, which is important in sustaining electrophysiological
activities essential for performing brain functions.
PMID- 21880448
TI - Pontocerebellar hypoplasia type 3 with tetralogy of Fallot.
AB - We report a male infant with pontocerebellar hypoplasia type 3 and tetralogy of
Fallot. He showed optic nerve atrophy, progressive microcephaly, severe
psychomotor developmental delay, and vesicoureteral reflux. Magnetic resonance
imaging revealed severe hypoplasia of the cerebellar vermis and hemisphere, and
of the brainstem including the pons, and simplified gyral patterns in bilateral
frontal lobes. An unknown etiology differing from other cases of PCH type 3 might
have caused not only optic nerve atrophy and hypoplasia of the cerebellum and
brainstem, but also cerebral and visceral malformations. To the best of our
knowledge, this represents the first report of pontocerebellar hypoplasia with
congenital cardiac malformation.
PMID- 21880449
TI - Disorders of BH4 metabolism and the treatment of patients with 6-pyruvoyl
tetrahydropterin synthase deficiency in Taiwan.
AB - 6-Pyruvoyl-tetrahydropterin synthase (PTPS) deficiency is the most frequent form
of tetrahydrobiopterin (BH4) deficiency related to hyperphenylalaninemia (HPA).
PTPS deficiency may not only cause a typical phenylketonuric phenotype, but is
also accompanied by various neurological signs and symptoms due to impaired
synthesis of catecholamines and serotonin. The treatment of PTPS deficiency is
aimed at normalizing phenylalanine levels and brain neurotransmitters. The BH4
can be administered to normalize phenylalanine (PHE) levels easily, but, owing to
severe side effects, the neurotransmitters, L-DOPA and 5-hydroxytryptophan,
should be administered for these patients very carefully. However, optimal dosage
of the neurotransmitters for PTPS deficiency patients is difficult to be
determined. Several reports have described unsatisfied outcomes in a large
percentage of patients with PTPS deficiency, despite early detection and
treatment. Between 1988 and 2000, 12 newborns with PTPS deficiency identified by
newborn screening were referred and received early treatment at our hospital. The
mean IQ score of these 12 patients was 96.7 (+/-9.7; range: 86-119), which is
considerably higher than previous reports of other populations of PTPS-deficient
patients. In this report, we reviewed the disorders of BH4 briefly and then
described treatments of our PTPS-deficient patients.
PMID- 21880450
TI - Quantitative determination of methylnaltrexone in human serum using liquid
chromatography-tandem mass spectrometry.
AB - Methylnaltrexone (MNTX) is a novel peripherally acting MU-opioid antagonist that
prevents peripheral side effects of opioid drugs such as constipation without
affecting the analgesia. We developed a selective and sensitive assay to measure
MTNX concentrations in human serum. The drug was measured after protein
precipitation with perchloric acid using naltrexone as internal standard and
liquid chromatography-tandem mass spectrometry (LC-MS/MS) for detection. The
chromatography was performed isocratically on a RP18 column using 25 mM ammonium
acetate buffer (pH 4)/acetonitrile (90%/10%; flow rate 200 MUl/min) as mobile
phase. The MS/MS analysis was performed in positive ionization mode monitoring
the m/z transitions 356.4/284.2 for MNTX and 342.4/324.2 for naltrexone. The
method was validated according to selectivity, linearity, accuracy, precision,
recovery, matrix effects and stability. The validation range for MNTX in serum
was 0.5-250 ng/ml. The developed LC-MS/MS was shown to be valid and successfully
applied to measure serum-concentration-time curves of MNTX in a pilot study in
healthy volunteers.
PMID- 21880451
TI - Barcode lateral flow immunochromatographic strip for prostate acid phosphatase
determination.
AB - A barcode semiquantitative lateral flow immunochromatographic strip for prostate
acid phosphatase (PAP) was developed, in which the monoclonal antibody specific
for PAP was labeled to gold nanoparticle and another monoclonal antibody was
immobilized on nitrocellulose membrane in the barcode fashion respectively. Based
on the stepwise capture of analyte, the system expresses the concentration of PAP
in nanogram range as four distinct ladder bars in 30 min, therefore, which could
be detected directly by naked eye or image analyzer. Serum PAP from 65 patients
was detected with this method and compared with enzyme linked immunosorbent assay
(ELISA). There is a good agreement between the methods. Its easily readable
result, and also its simplicity and low cost offers an alternative for testing
PAP. By incorporating with different specific antibody, the assay can be further
extended to detect a variety of analytes with clinical importance.
PMID- 21880452
TI - Identification, characterization and quantification of new impurities by LC
ESI/MS/MS and LC-UV methods in rivastigmine tartrate active pharmaceutical
ingredient.
AB - Six impurities were detected at trace level in rivastigmine tartrate drug
substance by a newly developed high performance liquid chromatography method.
Three impurities were characterized rapidly and three impurities were found to be
unknown. The unknown impurities were enriched and identified with a combination
of semi-preparative HPLC and LC/MS/MS techniques. Proposed structures were
further confirmed by characterization using NMR, FT-IR, and EA techniques of
impurity standards. Based on the spectroscopic, spectrometric and elemental
analysis data unknown impurities were characterized as 3-[1
(dimethylamino)ethyl]phenyl N-ethyl-N-methyl carbamate N-oxide, ethyl-methyl
carbamic acid 4-(1-dimethylamino-ethyl)-phenyl ester and ethyl-methyl-carbamic
acid 2-(1-dimethylamino-ethyl)-phenyl ester. A plausible mechanism for the
formation of these impurities is also proposed. The method was validated
according to ICH guidelines for fourteen impurities to demonstrate specificity,
precision, linearity, accuracy and stability indicating nature of the method.
Regression analysis showed correlation coefficient value greater than 0.999 for
rivastigmine tartrate and its impurities. Accuracy of the method was established
based on the recovery obtained between 93.41 and 113.33% for all impurities.
PMID- 21880453
TI - Identification of the absorbed components and metabolites in rat plasma after
oral administration of Rhizoma Chuanxiong decoction by HPLC-ESI-MS/MS.
AB - An HPLC-ESI-MS/MS method was established to identify the absorbed components and
metabolites in rat plasma after oral administration of Rhizoma Chuanxiong
decoction (RCD), a well-known traditional Chinese medicine. By comparing the
extracted ion chromatograms (EICs) obtained from dosed rat plasma, blank rat
plasma and RCD, a total of 25 compounds were detected in dosed rat plasma. Among
them, 13 compounds were absorbed into rat plasma in prototype and identified as
ferulic acid, senkyunolide J, senkyunolide I, senkyunolide D or 4,7-dihydroxy-3
butylphthalide, senkyunolide F, senkyunolide M, senkyunolide Q, senkyunolide A, E
butylidenephthalide, E-ligustilide, neocnidilide, Z-ligustilide, levistolide A,
according to the retention times, UV, MS, MS/MS spectra. In addition, 12
conjugated metabolites including 6 senkyunolide I-related metabolites, 4
senkyunolide J-related metabolites and 2 butylidenephthalide-related metabolites
were also detected and identified by comparing their MS, MS/MS spectra with that
of corresponding original components. Conjugated with glutathione, cysteine,
glucuronic acid and sulphuric acid were the main metabolic reactions of
phthalides. Finally the in vivo metabolic pathways of chemical constituents of
Chuanxiong in rat plasma were proposed in this study.
PMID- 21880454
TI - Application of a liquid chromatography-tandem mass spectrometry (LC/MS/MS) method
to the pharmacokinetics of ON01910 in brain tumor-bearing mice.
AB - ON01910 is a small molecular weight benzyl styryl sulfone currently under
investigation as a novel anticancer agent. The purpose of the investigation was
to develop a sensitive and reproducible liquid chromatography-tandem mass
spectrometry (LC/MS/MS) method to quantitate levels of ON01910 in small amounts
of five biological matrices; mouse plasma, feces, urine, normal brain and brain
tumor. For all matrices, protein precipitation sample preparation was used that
led to linear calibration curves with coefficients of determination greater than
0.99. The lower limit of quantitation (LLOQ) for all matrices was 5 ng/ml except
that for mouse urine which was 10 ng/ml. The calibration standard curves were
reproducible for all matrices with inter- and intra-day variability in precision
and accuracy being less than 15% at all quality control concentrations except for
the LLOQ in mouse plasma for which the accuracy was within 17%. The assay was
successfully applied to characterize the systemic pharmacokinetics of ON01910 as
well as its disposition in brain and brain tumor in mice. ON01910 exhibited a
clearance of 3.61+/-0.85 l/h/kg and a half life of 8.66+/-3.30 h at 50 mg/kg dose
given I.V.
PMID- 21880455
TI - Rapid simultaneous analysis of cyclooxygenase, lipoxygenase and cytochrome P-450
metabolites of arachidonic and linoleic acids using high performance liquid
chromatography/mass spectrometry in tandem mode.
AB - Eicosanoids are oxidized arachidonate-derived lipid products generated by
cyclooxygenase, lipoxygenase and cytochrome P-450 pathways. They are involved in
diverse processes in health and disease and they are highly bioactive. Gas
chromatography and enzyme immunoassays were used to quantify these mediators in
the past. However, the recent availability of high-sensitivity liquid
chromatography-mass spectrometry has provided a new approach for quantification
that minimizes the sample size and the required preparation. This paper describes
a rapid and simple technique for the simultaneous quantitative analysis of
prostaglandin (PG) E(2) and PGJ(2); leukotrienes (LT) B(4) and D(4); 5-, 12-, 15-
and 20-hydroxyeicosatetraenoic acids (HETEs); 13-hydroxyoctadecadienoic acid (13
HODE); 5,6-, 8,9-, 11,12- and 14,15-epoxyeicosatrienoic acids (EETs); and 11,12-
and 14,15-dihydroxieicosatrienoic acids (DHETs) in cell culture supernatants and
urine. We simultaneously analyzed 14 arachidonic acid metabolites representative
from the three pathways, together with 13-HODE, a linoleic-derived product. Solid
phase extraction was used for the sample preparation. The recoveries obtained
ranged from 25% to 100%, depending on the metabolites. The LC/MS/MS method used
the gradient on a C(18) column and electrospray ionization in negative ion
detection mode. The method was optimized for sensitivity and for separation
within 20 min. The linear ranges of the calibration curves were 0.1-200 ng/ml for
PGE(2), PGJ(2), LTB(4), 5-HETE, 12-HETE, 15-HETE, 13-HODE, 11,12-EET, 11,12-DHET
and 14,15-DHET, and 1-200ng/ml for LTD(4), 20-HETE, 5,6-EET, 8,9-EET and 14,15
EET. The advantages of this method include minimal sample preparation, high
sensitivity and elimination of the problem associated with thermal instability in
gas chromatography analysis.
PMID- 21880456
TI - ACCF/AHA methodology for the development of quality measures for cardiovascular
technology: a report of the American College of Cardiology Foundation/American
Heart Association Task Force on Performance Measures.
AB - Consistent with the growing national focus on healthcare quality, the American
College of Cardiology Foundation (ACCF) and the American Heart Association (AHA)
have taken a leadership role over the past decade in developing measures of the
quality of cardiovascular care by convening a joint ACCF/AHA Task Force on
Performance Measures. The Task Force is charged with identifying the clinical
topics appropriate for the development of performance measures and with
assembling writing committees composed of clinical and methodological experts in
collaboration with appropriate subspecialty societies. The Task Force has also
created methodology documents that offer guidance in the development of process,
outcome, composite, and efficiency measures. Cardiovascular performance measures
using existing ACCF/AHA methodology are based on Class I or Class III guidelines
recommendations, usually with Level A evidence. These performance measures, based
on evidence-based ACCF/AHA guidelines, remain the most rigorous quality measures
for both internal quality improvement and public reporting. However, many of the
tools for diagnosis and treatment of cardiovascular disease involve advanced
technologies, such as cardiac imaging, for which there are often no underlying
guideline documents. Because these technologies affect the quality of
cardiovascular care and also have the potential to contribute to cardiovascular
health expenditures, there is a need for more critical assessment of the use of
technology, including the development of quality and performance measures in
areas in which guideline recommendations are absent. The evaluation of quality in
the use of cardiovascular technologies requires consideration of multiple
parameters that differ from other healthcare processes. The present document
describes methodology for development of 2 new classes of quality measures in
these situations, appropriate use measures and structure/safety measures.
Appropriate use measures are based on specific indications, processes, or
parameters of care for which high level of evidence data and Class I or Class III
guideline recommendations may be lacking but are addressed in ACCF appropriate
use criteria documents. Structure/safety measures represent measures developed to
address structural aspects of the use of healthcare technology (e.g., laboratory
accreditation, personnel training, and credentialing) or quality issues related
to patient safety when there are neither guidelines recommendations nor
appropriate use criteria. Although the strength of evidence for appropriate use
measures and structure/safety measures may not be as strong as that for formal
performance measures, they are quality measures that are otherwise rigorously
developed, reviewed, tested, and approved in the same manner as ACCF/AHA
performance measures. The ultimate goal of the present document is to provide
direction in defining and measuring the appropriate use-avoiding not only
underuse but also overuse and misuse-and proper application of cardiovascular
technology and to describe how such appropriate use measures and structure/safety
measures might be developed for the purposes of quality improvement and public
reporting. It is anticipated that this effort will help focus the national
dialogue on the use of cardiovascular technology and away from the current
concerns about volume and cost alone to a more holistic emphasis on value.
PMID- 21880457
TI - Trends in the national outcomes and costs for claudication and limb threatening
ischemia: angioplasty vs bypass graft.
AB - PURPOSE: Debate exists as to the benefit of angioplasty vs bypass graft in the
treatment of lower extremity peripheral vascular disease. The associated costs
are poorly defined in the literature. We sought to determine national estimates
for the costs, utilization, and outcomes of angioplasty and bypass graft for the
treatment of both claudication and limb threat. METHODS: We searched the
Nationwide Inpatient Sample (NIS) database (1999-2007), identifying patients who
had an identifiable International Classification of Disease (ICD)-9 diagnosis
code of atherosclerotic disease (claudication [440.21] or limb threat [440.22
440.24]). Of these, only patients who underwent intervention of angioplasty +/-
stent (percutaneous transluminal angioplasty [PTA; 39.50-39.90]), peripheral
bypass graft (BPG; 39.29) or aortofemoral bypass (ABF; 39.25) were included. We
compared demographics, costs, and comorbidities, as well as multivariable
adjusted outcomes of in-hospital mortality and major amputation. Additionally, we
used the New Jersey State Inpatient and Ambulatory databases in order to better
understand the influence of outpatient procedures on current volume and trends.
RESULTS: There were 563,143 patients identified (PTA: 38%, BPG: 50%, ABF: 6%;
5.1%: multiple procedure codes). Patients who had PTA and BPG were similar in age
(70.4 vs 69.5 years) but older than patients who had ABF (61.8 years, P < .01).
Patients who underwent PTA were more often women (PTA: 46%, BPG: 42%, ABF: 45.2%;
P < .01). Average costs for PTA increased over 60% for claudication between 2001
and 2007 ($8670 to $14,084) and limb threat ($13,903 to $23,196). For BPG,
average costs increased 36% for both claudication ($9322 to $12,681) and limb
threat ($16,795 to $22,910). In 2007, the average cost per procedure of PTA was
higher than BPG for both claudication ($13,903 vs $12,681; P = .02) and limb
threat ($23,196 vs $22,910; P = .04). The number of patients per year undergoing
PTA increased threefold (15,903 to 46,138) for claudication and limb threat (6752
to 19,468). For BPG, procedures per year decreased approximately 40% for both
claudication (13,625 to 9108) and limb threat (25,575 to 13,762). In-hospital
mortality was similar for PTA and BPG groups for claudication (0.1% vs 0.2%; P =
.04) and limb threat (2.1% vs 2.6%; P < .01). In-hospital amputation rates were
significantly higher for patients who had PTA (7%) than BPG (3.9%, odds ratio
[OR], 1.67 [1.49-1.85]; P < .01) or patients who underwent ABF (3.0%; OR, 2.32
[1.79, 3.03]; P < .01). CONCLUSION: PTA has altered the treatment paradigm for
lower limb ischemia with an increase in costs and procedures. It is unclear if
this represents an increase in patients or number of treatments per patient.
Although mortality is slightly lower with PTA for all indications, amputation
rates for limb-threat patients appear higher, as does the average cost.
Longitudinal studies are necessary to determine the appropriateness of PTA in
both claudication and limb-threat patients. The mortality benefit with PTA may be
ultimately lost, and average costs elevated, if multiple interventions are
performed on the same patients.
PMID- 21880458
TI - Total vs hemi-aortic arch transposition for hybrid aortic arch repair.
AB - OBJECTIVE: To compare the outcomes of total aortic arch transposition (TAAT) vs
hemi-aortic arch transposition (HAAT) for hybrid aortic arch repair. METHODS: A
systematic search was performed using PubMed between November 1998 and May 2010
by two independent observers. Studies included reporting on patients treated by
TAAT or HAAT and stent grafting in a proximal landing zone 0 or 1 by Ishimaru,
respectively. Further articles were identified by following MEDLINE links, by
cross-referencing from the reference lists, and by following citations for these
studies. Case reports and case series of less than five patients were excluded.
Primary technical and initial clinical success, perioperative, and late morbidity
and mortality were extracted per study and were meta-analyzed. RESULTS: Fourteen
studies were included in the statistical analysis. The number of reported
patients totaled 130 for TAAT/zone 0 and 131 for HAAT/zone 1. The primary
technical success rate was significantly higher in zone 0 than 1 (95% vs 83%;
odds ratio [OR], 4.0; 95% confidence interval [CI], 1.47-10.88; P = .0069), due
to significantly higher primary type I or III endoleak rates in zone 1 (15.48% vs
3.97%; P = .0050). Reintervention rates were significantly higher in zone 1
(25.81% vs 12.00%; P = .0321). Initial clinical success rates were comparable
between zone 0 and 1 (88% vs 85%; OR, 1.35; 95% CI, 0.61-3.02; P = .5354). In
hospital mortality was higher in zone 0 than 1 (8.46% vs 4.58%; P = .2212).
CONCLUSION: The more invasive TAAT allows a better landing zone at the cost of
higher perioperative mortality, therefore, patient selection is crucial.
PMID- 21880459
TI - Utility of new classification based on clinical and lesional factors after self
expandable nitinol stenting in the superficial femoral artery.
AB - BACKGROUND: The aim of this study was to investigate the predictive value of
clinical classification schemes that assess primary patency after self-expandable
nitinol stent in the superficial femoral artery (SFA). METHODS: This study was a
multicenter retrospective study of prospective databases. From April 2004 to
December 2009, 1001 limbs (807 patients) that underwent successful nitinol stent
implantation for de novo SFA lesions were identified and analyzed. Primary
patency was defined as treated vessel without restenosis (defined as >2.4 of peak
systolic velocity ratio by duplex) and repeat revascularization. Six items were
included in the classification: female, diabetes, dialysis, critical limb
ischemia (CLI), lesion length > 150 mm, and poor runoff; the FeDCLIP score. A
lesion length >150 mm was scored as 2 points. The others were assigned 1 point
each. The scores of 0 to 2, 3 to 4, and >=5 points were classified as low-,
moderate-, and high-risk patients, respectively. Outcome measures were primary
and secondary patency and all-cause mortality up to 6 years in each risk group.
RESULTS: The mean follow-up interval was 26.8 +/- 14.6 months. Primary patencies
were 85.7%, 77.3%, and 74.2% in the low-risk group; 71.5%, 54.7%, and 51.9% in
the moderate-risk group; and 53.0%, 24.3%, and 20.8% in the high-risk group at 1,
3, and 5 years, respectively. The secondary patencies were 94.6%, 92.3%, and
90.8% in the low-risk group; 89.5%, 83.1%, and 83.1% in the moderate-risk group;
and 82.7%, 73.1%, and 73.1% in the high-risk group at 1, 3, and 5 years,
respectively. There were significant differences in primary and secondary patency
among the three risk groups (P < .0001 and P < .0001, respectively). Overall
survival rates were 96.8%, 89.5%, and 81.8% in the low-risk group; 91.5%, 74.4%,
and 68.7% in the moderate-risk group; and 78.2%, 63.2%, and 48.7% in the high
risk group at 1, 3, and 5 years, respectively. There were also significant
differences in mortality (P < .0001). CONCLUSIONS: New classification schemes
based on FeDCLIP score were useful for risk stratification in vessel patency and
mortality after self-expandable nitinol stenting for SFA disease.
PMID- 21880460
TI - Magnetic resonance imaging-guided navigation with a thermoplastic shell for
breast-conserving surgery.
AB - BACKGROUND: The aim of this study was to evaluate the accuracy of a magnetic
resonance imaging (MRI) marking technique with a drape-type thermoplastic shell
for planning breast-conserving surgery (BCS). METHODS: A prospective review was
performed on 35 consecutive patients who underwent MRI in the supine position and
used the specified MRI marking technique. Eleven cases underwent pre-operative
chemotherapy and 24 cases did not. After immobilizing the breast mound with a
drape-type thermoplastic shell, patients underwent MRI, and the location of the
lesion was marked on the shell. Resection lines were dyed blue by indigo carmine,
which was pushed through the pores of the shell. Specimens obtained during BCS
were sliced into 5-mm contiguous sections, and the margin was assessed for each
specimen. Cancer foci less than 5 mm from the margin were classified as positive.
RESULTS: Of 35 patients, 33 were included in the analysis; 2 were excluded due to
a lack of effect of pre-operative chemotherapy. Of these 33 patients, 25 (75.8%)
had negative margins and 7 (21.2%) had positive margins. CONCLUSIONS: Our MRI
marking technique may be useful for evaluating the extent of tumors that were
determined by MRI alone. Long-term outcomes of this technique should be evaluated
further.
PMID- 21880461
TI - Liver uptake of biguanides in rats.
AB - Metformin is an oral antihyperglycaemic agent widely used in the management of
non-insulin-dependent diabetes mellitus. The liver is the primary target,
metformin being taken up into human and rat hepatocytes via an active transport
mechanism. The present study was designed to compare hepatic uptake of two
biguanides, metformin and phenformin, in vitro and in vivo. In in vitro
experiments, performed using rat cryopreserved hepatocytes, phenformin exhibited
a much higher affinity and transport than metformin, with marked differences in
kinetics. The K(m) values for metformin and phenformin were 404 and 5.17MUM,
respectively, with CLint (V(max)/K(m)) values 1.58MUl/min per 10(6) cells and
34.7MUl/min per 10(6) cells. In in vivo experiments, when (14)C-metformin and
(14)C-phenformin were given orally to male rats at a dose of 50mg/kg, the liver
concentrations of radioactivity at 0.5 hour after dosing were 21.5MUg eq./g with
metformin but 147.1MUg eq./g for phenformin, ratios of liver to plasma
concentrations being 4.2 and 61.3, respectively. In conclusion, the results
suggest that uptake of biguanides by rat hepatocytes is in line with the liver
distribution found in vivo, phenformin being more efficiently taken up by liver
than metformin after oral administration.
PMID- 21880462
TI - miR-98 regulates cisplatin-induced A549 cell death by inhibiting TP53 pathway.
AB - To explore the possible microRNAs (miRNAs) in the TP53 pathway and their roles in
A549 cell death induced by cisplatin, the miRNAs relative to 3'-untranslated
region (3'-UTR) of TP53 were predicted by microRNA analysis softwares, which
showed that TP53 expression might be targeted by miR-98, miR-453 and miR-485.
Then, GFP was used as a reporter gene to reflect whether the 3'-UTR of TP53 was
targeted by the predicted miRNAs. After pcDNA-GFP-UTR was constructed, the GFP
expression was estimated in A549 cells by the examination of fluorescence
microscopy and flow cytometry. The intensity of fluorescence in the miR-98 and
miR-453 groups decreased significantly compared with the control group. The
percentage of positive GFP cells in miR-98 and miR-453 groups were 30.24% and
32.58%, respectively, much lower than that of NC group (41.86%). The TP53
expression was inhibited after transfection with miR-98/miR-453 by western blot.
As the factors in TP53 pathway, Bcl-2 expression was found to be enhanced, and
the expression of miR-34a-c was decreased in A549 cells after miR-98/miR-453
treatment. Moreover, the expression of miR-98 and Bcl-2 was decreased, while miR
34a-c and TP53 was increased after A549 treated with cisplatin. Our study
demonstrated that miR-98 and miR-453 down-regulated TP53 expression by targeting
the 3'-UTR of TP53, and that cisplatin might inhibit A549 cell growth by miR-98
regulating TP53 pathway. Our results indicated that TP53 relevant miRNAs might be
the new targets for gene therapy or new drug design.
PMID- 21880463
TI - Drug resistance in Mycobacterium tuberculosis clinical isolates from Brazil:
phenotypic and genotypic methods.
AB - We determined the susceptibility profile of 80 Mycobacterium tuberculosis (MTB)
clinical isolates from Brazil against isoniazid (INH) and rifampicin (RIF) drugs
by two phenotypic methods (Resazurin Microtiter Assay - REMA and BACTECTM MGITTM
Mycobacterial Detection System). DNA polymorphisms were also determined by PCR
SSCP in isolates resistant to INH and RIF. BACTECTM MGITTM 960 detected 22
susceptible isolates to INH and RIF, 48 MDR isolates (resistant at least to INH
and RIF) and nine mono-resistant isolates (eight to INH and one to RIF). REMA
performance was determined by Receiver Operating Characteristic curve, whose
assay was validated utilizing as reference the BACTECTM MGITTM 960 system. ROC
curve showed cut-off values of 0.0625MUg/mL and 0.125MUg/mL, for INH and RIF,
respectively. REMA-INH demonstrated sensitivity and specificity of 100% while
REMA-RIF showed sensitivity of 97.2% and specificity of 100%. PCR-SSCP detected
DNA polymorphisms in 87.5% and 75.5% of isolates classified as INH-resistant and
RIF-resistant, respectively. One discordant sample found to RIF (resistant by
BACTECTM MGITTM 960 and susceptible by REMA) showed no mutation by PCR-SSCP. In
conclusion, our studies demonstrated that the combination of phenotypic method
REMA, which allowed rapid detection of MDR-MTB with higher levels of sensitivity
and specificity, with the genotypic method PCR-SSCP, which demonstrated high
accuracy in the search of polymorphisms in the resistance genes, proved to be a
useful strategy to study MDR-MTB clinical isolates from national reference center
located in Sao Paulo city.
PMID- 21880464
TI - Antigenic challenge in the etiology of autoimmune disease in women.
AB - Infection has long been implicated as a trigger for autoimmune disease. Other
antigenic challenges include receipt of allogeneic tissue or blood resulting in
immunomodulation. We investigated antigenic challenges as possible risk factors
for autoimmune disease in women using the Health and Retirement Study, a
nationally representative longitudinal study, linked to Medicare files, years
1991-2007. The prevalence of autoimmune disease (rheumatoid arthritis,
Hashimoto's disease, Graves' disease, systemic lupus erythematosus, celiac
disease, systemic sclerosis, Sjogren syndrome and multiple sclerosis) was 1.4% in
older women (95% CI: 1.3%, 1.5%) with significant variation across regions of the
United States. The risk of autoimmune disease increased by 41% (95% CI of
incidence rate ratio (IRR): 1.10, 1.81) with a prior infection-related medical
visit. The risk of autoimmune disease increased by 90% (95% CI of IRR: 1.36,
2.66) with a prior transfusion without infection. Parity was not associated with
autoimmune disease. Women less than 65 years of age and Jewish women had
significantly elevated risk of developing autoimmune disease, as did individuals
with a history of heart disease or end-stage renal disease. Antigenic challenges,
such as infection and allogeneic blood transfusion, are significant risk factors
for the development of autoimmune disease in older women.
PMID- 21880465
TI - Role of Le Fort type I osteotomy approach in juvenile nasopharyngeal
angiofibroma.
AB - Le Fort type I osteotomy is a fracture that extends from the pyriform aperture to
each of the pterygoid plates, resulting in the detachment of the upper jaw from
the cranial base. A retrospective study was conducted on 12 patients with
juvenile nasopharyngeal angiofibroma (JNA) who underwent the Le Fort type I
approach. Preoperatively, all cases were investigated with computed tomographic
scans with contrast and angiography with embolisation. This paper highlights the
surgical technique, results and treatment morbidity. The average age of the
patients was 21 years, average duration of surgery was 3.2h and average blood
loss was 550 ml. All cases had significant symptomatic improvement
postoperatively. At 1 year follow up, the authors encountered dental malocclusion
in one case and no recurrence of JNA. The Le Fort I osteotomy approach is an
excellent approach for the excision of JNA because it allows good surgical
exposure, better haemostasis, is cosmetically more acceptable and has a very low
morbidity.
PMID- 21880466
TI - Bilateral dystrophic calcification with bone formation in the face due to
maxillary antrostomy.
PMID- 21880467
TI - Purines and neuronal excitability: links to the ketogenic diet.
AB - ATP and adenosine are purines that play dual roles in cell metabolism and
neuronal signaling. Acting at the A(1) receptor (A(1)R) subtype, adenosine acts
directly on neurons to inhibit excitability and is a powerful endogenous
neuroprotective and anticonvulsant molecule. Previous research showed an increase
in ATP and other cell energy parameters when an animal is administered a
ketogenic diet, an established metabolic therapy to reduce epileptic seizures,
but the relationship among purines, neuronal excitability and the ketogenic diet
was unclear. Recent work in vivo and in vitro tested the specific hypothesis that
adenosine acting at A(1)Rs is a key mechanism underlying the success of ketogenic
diet therapy and yielded direct evidence linking A(1)Rs to the antiepileptic
effects of a ketogenic diet. Specifically, an in vitro mimic of a ketogenic diet
revealed an A(1)R-dependent metabolic autocrine hyperpolarization of hippocampal
neurons. In parallel, applying the ketogenic diet in vivo to transgenic mouse
models with spontaneous electrographic seizures revealed that intact A(1)Rs are
necessary for the seizure-suppressing effects of the diet. This is the first
direct in vivo evidence linking A(1)Rs to the antiepileptic effects of a
ketogenic diet. Other predictions of the relationship between purines and the
ketogenic diet are discussed. Taken together, recent research on the role of
purines may offer new opportunities for metabolic therapy and insight into its
underlying mechanisms.
PMID- 21880468
TI - Progressive, potassium-sensitive epileptiform activity in hippocampal area CA3 of
pilocarpine-treated rats with recurrent seizures.
AB - Rat hippocampal area CA3 pyramidal cells synchronously discharge in rhythmic
bursts of action potentials after acute disinhibition or convulsant treatment in
vitro. These burst discharges resemble epileptiform activity, and are of interest
because they may shed light on mechanisms underlying limbic seizures. However,
few studies have examined CA3 burst discharges in an animal model of epilepsy,
because a period of prolonged, severe seizures (status epilepticus) is often used
to induce the epileptic state, which can lead to extensive neuronal loss in CA3.
Therefore, the severity of pilocarpine-induced status epilepticus was decreased
with anticonvulsant treatment to reduce damage. Rhythmic burst discharges were
recorded in the majority of slices from these animals, between two weeks and nine
months after status epilepticus. The incidence and amplitude of bursts
progressively increased with time after status, even after spontaneous behavioral
seizures had begun. The results suggest that modifying the pilocarpine models of
temporal lobe epilepsy to reduce neuronal loss leads to robust network
synchronization in area CA3. The finding that these bursts increase long after
spontaneous behavioral seizures begin supports previous arguments that temporal
lobe epilepsy exhibits progressive pathophysiology.
PMID- 21880469
TI - Antimicrobial susceptibility of bacterial pathogens associated with community
acquired respiratory tract infections in Asia: report from the Community-Acquired
Respiratory Tract Infection Pathogen Surveillance (CARTIPS) study, 2009-2010.
AB - A multicentre resistance surveillance study [Community-Acquired Respiratory Tract
Infection Pathogen Surveillance (CARTIPS)] investigating the susceptibilities of
2963 clinical isolates of Streptococcus pneumoniae, Haemophilus influenzae,
Moraxella catarrhalis, Klebsiella pneumoniae, meticillin-susceptible
Staphylococcus aureus (MSSA) and Streptococcus spp. from Asia against 12
antimicrobial agents was undertaken from 2009 to 2010. Based on the breakpoints
for oral penicillin V recommended by the Clinical and Laboratory Standards
Institute, the prevalence of penicillin-non-susceptible S. pneumoniae (PNSSP)
ranged from 46% to 100%. Azithromycin and clarithromycin exhibited variable
resistance rates of 0-88% against S. pneumoniae, 0-57% against MSSA and 0-76.5%
against Streptococcus spp. isolates. The prevalence of extended-spectrum beta
lactamase-producing K. pneumoniae varied from 5.1% to 58.5%. beta-Lactamase
production rates amongst H. influenzae isolates ranged from 15% to 46.6% and
amongst M. catarrhalis isolates from 90% to 100%. Amongst M. catarrhalis
isolates, macrolide resistance and cefaclor resistance rates of 5.8% and 1.2%,
respectively, were found, mainly in Mainland China. Levofloxacin resistance rates
of 0-3.9% with a MIC(90) (minimum inhibitory concentration causing inhibition of
90% of isolates) of 1-2mg/L and moxifloxacin resistance rates of 0-1.7% with a
MIC(90) of 0.125-0.5mg/L were found amongst PNSSP isolates. Moxifloxacin was very
active against Streptococcus spp., H. influenzae and M. catarrhalis isolates,
with MIC(90) values of 0.125-0.25, 0.032-0.5 and 0.064-0.125mg/L, respectively.
These results from the CARTIPS study have confirmed some significant regional
differences in the antimicrobial susceptibilities of S. pneumoniae, MSSA, K.
pneumoniae, H. influenzae and Streptococcus spp. and emphasise the importance of
antimicrobial surveillance programmes for guiding empirical therapy and for
focusing interventional control of antimicrobial resistance in distinct
geographic areas.
PMID- 21880470
TI - Repetitive transcranial magnetic stimulation in anorexia nervosa: a pilot study.
AB - The search for new treatments to improve outcome in people with anorexia nervosa
continues. This pilot study investigated whether one session of high frequency
repetitive transcranial magnetic stimulation (rTMS) delivered to the left
dorsolateral prefrontal cortex reduces eating disorder related symptoms following
exposure to visual and real food stimuli. Safety and tolerability were also
assessed. Ten right-handed people with anorexia nervosa underwent one session of
rTMS. Subjective experiences related to the eating disorder (e.g. urge to
restrict, feeling full etc.) were assessed before and after rTMS. Non-parametric
repeated measures tests were used. rTMS was safe and well-tolerated, and resulted
in reduced levels of feeling full, feeling fat and feeling anxious. Thus, rTMS
may reduce core symptoms of anorexia nervosa. Future research should establish
the therapeutic potential of rTMS in anorexia nervosa.
PMID- 21880471
TI - Progress in correlation spectroscopy at ultra-fast magic-angle spinning: basic
building blocks and complex experiments for the study of protein structure and
dynamics.
AB - Recent progress in multi-dimensional solid-state NMR correlation spectroscopy at
high static magnetic fields and ultra-fast magic-angle spinning is discussed. A
focus of the review is on applications to protein resonance assignment and
structure determination as well as on the characterization of protein dynamics in
the solid state. First, the consequences of ultra-fast spinning on sensitivity
and sample heating are considered. Recoupling and decoupling techniques at ultra
fast MAS are then presented, as well as more complex experiments assembled from
these basic building blocks. Furthermore, we discuss new avenues in biomolecular
solid-state NMR spectroscopy that become feasible in the ultra-fast spinning
regime, such as sensitivity enhancement based on paramagnetic doping, and the
prospect of direct proton detection.
PMID- 21880472
TI - Pentraxin 3 as a potential biomarker of acetaminophen-induced liver injury.
AB - OBJECTIVE: Overdose of acetaminophen (APAP) can lead to severe liver injury in
humans and experimental animals. Pentraxin-3 (PTX-3) is produced and released by
several cell types. In this study, we aimed to evaluate whether PTX-3 is a
potential biomarker in the identification of APAP-induced liver injury. MATERIALS
AND METHODS: Thirty adult male Wistar rats were randomly divided into three
groups: control, APAP-1 and APAP-2 groups. APAP-1 (1 g/kg) and APAP-2 (2 g/kg)
group rats were given APAP by gastric tube. Liver tissues and blood samples were
obtained for biochemical and histopathological analysis. Biochemical parameters,
plasma and liver PTX-3 levels and degree of liver necrosis were measured in all
groups. RESULTS: APAP treatments caused necrosis in liver and accompanied by
elevated liver PTX-3 levels after 48 h. In APAP-1 and APAP-2 groups when compared
with control group (7.5+/-3.3 ng/mg protein), mean liver PTX-3 concentrations
were 14.1+/-3.0 (p=0.032) and 28.5+/-8.2 (p<0.001) ng/mg protein, respectively.
All rats (100%) in the APAP-2 group had the degree 3 liver necrosis. However 10%,
40% and 50% of rats had the degree 1, the degree 2 and the degree 3 liver
necrosis in the APAP-1 group, respectively. The degrees of liver necrosis of the
APAP-1 and APAP-2 groups were higher than the group of control (p<0.001 and
p<0.001, respectively). CONCLUSIONS: PTX-3 may have a role in the APAP-induced
liver injury in the rats. The elevated liver PTX-3 in the APAP-induced hepatic
necrosis might be a marker of acute histological liver damage. Further
prospective studies are necessary to clarify the prognostic value of liver PTX-3
for prediction of histological hepatic necrosis in the APAP-induced liver injury.
PMID- 21880473
TI - The role of trace elements on hepatitis virus infections: a review.
AB - The significance of the nutritional roles of trace metals (includes some heavy
metals) is widely recognized, since these elements are as constituent components
of many metal proteins and metalloenzymes serum trace metals levels, and their
ratios are frequently reported to be good marker for diagnosing various diseases.
Trace metals play an important role in liver disease particularly liver
degeneration. Influence of trace elements has been studied in a large number of
viruses belonging to different groups. This review reported the role of some
trace elements iron (Fe), copper (Cu), cobalt (Co), manganese (Mn) and zinc (Zn)
as well as toxic elements Pb on hepatitis virus infections.
PMID- 21880474
TI - Molybdate modulates mitogen and cyclosporin responses of human peripheral blood
lymphocytes.
AB - The trace element molybdenum (Mo) is an essential component of key physiological
systems in animals, plants and microorganisms. The molybdate oxoanion MoO(4)(2-)
has been demonstrated to cause diverse yet poorly understood biochemical and
pharmacological effects, such as non-specific inhibition of phosphatases and
stabilization of steroid receptors. This study aimed to investigate the effects
of molybdate on the activation of human peripheral blood lymphocytes (hPBLs) ex
vivo and its potential interaction with the widely used immunosuppressant drug
cyclosporin A (CsA). Lymphocyte activation was evaluated by performing multiple
experiments determining blastogenesis in cultured peripheral blood lymphocytes
obtained from 5 healthy volunteers, following stimulation induced by
phytohemagglutinin (PHA), in the absence or presence of 0.05-10 mM sodium
molybdate or/and 2.5-30 MUg/mL CsA. Blastogenesis was assessed by a morphometric
assay based on the relative proportions of unactivated lymphocytes, activated
lymphoblasts and cells with aberrant morphology after PHA-induced activation.
Molybdate concentrations up to 1 mM showed no effect on lymphocyte blastogenesis,
while higher concentrations exerted immunosuppressive actions on cultured hPBLs.
Co-administration of 0.1 mM sodium molybdate with CsA, at doses up to 20 MUg/mL,
induced no alteration in the response of cultured hPBLs to CsA. However,
molybdate potentiated the immunosuppressive action of higher CsA concentrations,
implying a likely dose-related synergistic interaction of the two agents in PHA
stimulated blood lymphocytes. These observations are indicative of the possible
biological importance of molybdate oxoanions in the modulation of hPBL activation
that may have pharmacological consequences during the therapeutic application of
immunomodulatory drugs.
PMID- 21880475
TI - alpha-Linolenate reduces the dietary requirement for linoleate in the growing
rat.
AB - BACKGROUND: We hypothesized that due to the absence of a dietary source of omega
3 fatty acids, the essential fatty acid (EFA) deficiency model leads to an
overestimate of linoleic acid (LA) requirements. METHODS: over 7wk, young rats
consumed an EFA diet containing either 0en% linoleate (0LA) and 0en% alpha
linolenate (0LNA) or a diet containing 0.5en% LNA plus one of seven levels of
added LA (0.12-4.0en%; n=6/group). RESULTS: Rats consuming the 0LA-0LNA diet had
the lowest final body weight, 34-68% lower LA and arachidonate in plasma and
liver, 87% lower LA in epididymal fat, and an 8-20 fold higher eicosatrienoate in
plasma, liver and muscle lipids. 0.5LNA completely prevented the lower growth and
partly prevented the rise in eicosatrienoate seen in the 0LA-0LNA group.
CONCLUSION: Providing dietary LNA at 0.5 en% reduces the rat's physiological
requirement for LA by an estimated factor of at least four (0.5en% instead of
2en%). Since LA requirements in humans are also based on the same flawed model of
EFA deficiency, it is plausible that they too have been overestimated and should
therefore be reinvestigated.
PMID- 21880476
TI - Dietary long-chain n-3 PUFA, gut microbiota and fat mass in early postnatal
piglet development--exploring a potential interplay.
AB - Dietary n-3PUFA and gut bacteria, particularly Bacteroidetes, have been suggested
to be related to adiposity. We investigated if n-3PUFA affected fat storage and
cecal bacteria in piglets. Twenty-four 4-day-old piglets were allocated to
formula rich in n-3PUFA (~3E%) from fish oil (FO) or n-6PUFA from sunflower oil
(SO) for 14 days. We assessed body weight, fat accumulation by dual-energy X-ray
absorptiometry and microbial molecular fingerprints. Dietary PUFA-composition was
reflected in higher erythrocyte n-3PUFA in the FO- than the SO-group (P<0.001).
Principal component analysis revealed group differences in the overall
microbiotic composition, which involved a larger Bacteroides community in the SO
group (P=0.02). There was no significant difference in body fat percentage and no
relationship between fat accumulation and gut Bacteroides. Hence, this study does
not support an impact of n-3PUFA or microbiota on fat accumulation during the
postnatal maturation period. The impact of dietary PUFA on the gut Bacteroides
warrants further investigation.
PMID- 21880477
TI - Regulation of rat brain polyunsaturated fatty acid (PUFA) metabolism during
graded dietary n-3 PUFA deprivation.
AB - Knowing threshold changes in brain lipids and lipid enzymes during dietary n-3
polyunsaturated fatty acid deprivation may elucidate dietary regulation of brain
lipid metabolism. To determine thresholds, rats were fed for 15 weeks DHA-free
diets having graded reductions of alpha-linolenic acid (alpha-LNA). Compared with
control diet (4.6% alpha-LNA), plasma DHA fell significantly at 1.7% dietary
alpha-LNA while brain DHA remained unchanged down to 0.8% alpha-LNA, when plasma
and brain docosapentaenoic acid (DPAn-6) were increased and DHA-selective iPLA(2)
and COX-1 activities were downregulated. Brain AA was unchanged by deprivation,
but AA selective-cPLA(2), sPLA(2) and COX-2 activities were increased at or below
0.8% dietary alpha-LNA, possibly in response to elevated brain DPAn-6. In
summary, homeostatic mechanisms appear to maintain a control brain DHA
concentration down to 0.8% dietary DHA despite reduced plasma DHA, when DPAn-6
replaces DHA. At extreme deprivation, decreased brain iPLA(2) and COX-1
activities may reduce brain DHA loss.
PMID- 21880478
TI - Signaling circuitries controlling stem cell fate: to be or not to be.
AB - The integration of extrinsic and intrinsic signals is required to preserve the
self-renewal and tissue regenerative capacity of adult stem cells, while
protecting them from malignant conversion or loss of proliferative potential by
death, differentiation or senescence. Here we review emerging signaling
circuitries regulating stem cell fate, with emphasis on epithelial stem cells.
Wnt, mTOR, GPCRs, Notch, Rho GTPases, YAP and DNA and histone methylases are some
of the mechanisms that allow stem cells to balance their regenerative potential
and the initiation of terminal differentiation programs, guaranteeing appropriate
tissue homeostasis. Understanding the signaling circuitries regulating stem cell
fate decisions might provide important insights into cancer initiation and
numerous human pathologies that involve the progressive loss of tissue-specific
adult stem cells.
PMID- 21880479
TI - Microbial nitrogen transformation potential in surface run-off leachate from a
tropical landfill.
AB - Ammonium is one of the major toxic compounds and a critical long-term pollutant
in landfill leachate. Leachate from the Jatibarang landfill in Semarang,
Indonesia, contains ammonium in concentrations ranging from 376 to 929mgNL(-1).
The objective of this study was to determine seasonal variation in the potential
for organic nitrogen ammonification, aerobic nitrification, anaerobic nitrate
reduction and anaerobic ammonium oxidation (anammox) at this landfilling site.
Seasonal samples from leachate collection treatment ponds were used as an
inoculum to feed synthetic media to determine potential rates of nitrogen
transformations. Aerobic ammonium oxidation potential (<0.06mgNL(-1)h(-1)) was
more than a hundred times lower than the anaerobic nitrogen transformation
processes and organic nitrogen ammonification, which were of the same order of
magnitude. Anaerobic nitrate oxidation did not proceed beyond nitrite; isolates
grown with nitrate as electron acceptor did not degrade nitrite further. Effects
of season were only observed for aerobic nitrification and anammox, and were
relatively minor: rates were up to three times higher in the dry season. To
completely remove the excess ammonium from the leachate, we propose a two-stage
treatment system to be implemented. Aeration in the first leachate pond would
strongly contribute to aerobic ammonium oxidation to nitrate by providing the
currently missing oxygen in the anaerobic leachate and allowing for the growth of
ammonium oxidisers. In the second pond the remaining ammonium and produced
nitrate can be converted by a combination of nitrate reduction to nitrite and
anammox. Such optimization of microbial nitrogen transformations can contribute
to alleviating the ammonium discharge to surface water draining the landfill.
PMID- 21880481
TI - Biological removal of inhibitors leads to the improved lipid production in the
lipid fermentation of corn stover hydrolysate by Trichosporon cutaneum.
AB - Corn stover (CS) hydrolysate was used as the fermentation feedstock of
Trichosporon cutaneum CX1 for production of microbial lipid as the potential raw
material of biodiesel. Two major technical barriers of the lipid fermentation
were investigated: one was the strong inhibition of lignocellulose degradation
compounds generated in the CS pretreatment; the other was the low carbon-to
nitrogen molar ratio (C/N ratio) of the CS hydrolysate. The newly established
biodetoxification method was applied to remove the inhibitors in the pretreated
CS. The enhancement of the pretreatment severity and the biodetoxification
intensity on the lipid fermentation was investigated. The results show that the
biodetoxification not only efficiently removed the inhibitor substances, but also
led to the reduction of nitrogen content and the increase of C/N ratio. The cell
lipid content of T. cutaneum CX1 using the biodetoxified CS hydrolysate reached
23.5%, which was doubled than that using the non-detoxified value.
PMID- 21880482
TI - Optimization of fumaric acid production by Rhizopus delemar based on the
morphology formation.
AB - The effects of temperature, agitation rate and medium composition, including
concentrations of glucose, soybean peptone, and inorganic ions, on pellet
formation and pellet diameter of Rhizopus delemar (Rhizopus oryzae) NRRL1526
during pre-culture were studied. Inorganic ions and soybean peptone had negative
and positive effects on pellet formation, respectively. The initial glucose and
soybean peptone concentrations directly affected pellet diameter. Within a
certain range, pellet diameter decreased with increased initial substrate
concentrations; however, above this range there was an opposite trend. Thus,
optimal concentrations of substrate during pre-culture were beneficial for
producing small pellets of R. delemar. Furthermore, dry cell mass and yield of
fumaric acid tended to increase with decreased pellet diameter. Based on the
pellet morphology optimization, the final fumaric acid concentration was improved
by 46.13% when fermented in a flask and 31.82% in stirred bioreactor tank
fermentation.
PMID- 21880480
TI - Mass spectrometry: come of age for structural and dynamical biology.
AB - Over the past two decades, mass spectrometry (MS) has emerged as a bone fide
approach for structural biology. MS can inform on all levels of protein
organization, and enables quantitative assessments of their intrinsic dynamics.
The key advantages of MS are that it is a sensitive, high-resolution separation
technique with wide applicability, and thereby allows the interrogation of
transient protein assemblies in the context of complex mixtures. Here we describe
how molecular-level information is derived from MS experiments, and how it can be
combined with spatial and dynamical restraints obtained from other structural
biology approaches to allow hybrid studies of protein architecture and movements.
PMID- 21880483
TI - Modeling of oleaginous fungal biofilm developed on semi-solid media.
AB - An oleaginous fungus, Mortierella isabellina, able to transform efficiently sugar
to storage lipid, was used as a model microorganism which develops a biofilm
structure during the semi-solid fermentation process for the production of
biodiesel from sweet sorghum. A mathematical model was developed to describe the
fungal oil production in M. isabellina biofilm. The model describes diffusion and
consumption of sugars and nitrogen of sweet sorghum and single cell oil
production in a biofilm, which grows according to the kinetics of double
substrate limitation (sugars and nitrogen) with sugar inhibition. Experimental
data from a previous experimental study were used to determine the kinetic
parameters of the model. Maximum biofilm thickness and the percentage of lipid
inside the biofilm were estimated using the model at 1892 MUm and 15%,
respectively. The proposed mathematical model could prove a useful tool for
designing semi-solid fermentation processes.
PMID- 21880484
TI - One-step enzymatic production of fatty acid ethyl ester from high-acidity waste
feedstocks in solvent-free media.
AB - This work aims to demonstrate the enzymatic production of fatty acid ethyl ester
biodiesel from highly acidic feedstock in a single-step reaction, without co
solvents and avoiding the inhibition of the enzyme by ethanol and glycerol.
Additionally, an empirical equation is proposed to predict the kinetics of the
production reaction as a function of the used feedstock and catalyst
concentration. Biodiesel production from highly acidic feedstock perform via
simultaneous esterification of free fatty acids and transesterification of
triacylglycerols. Enzymatic catalysis is one of the most promising alternative
technologies for the biodiesel production. Increasing of the enzymatic
bioactivity is crucial for the success of such process in industrial scale.
Currently, stepwise addition of the alcohol or the use of co-solvents have been
proposed to avoid enzyme inhibition, such strategies add downstream processes to
the production. These results can be applied to the development economical-viable
enzymatic production of biodiesel in industrial scale.
PMID- 21880485
TI - Selecting the right blood glucose monitor for the determination of glucose during
the enzymatic hydrolysis of corncob pretreated with different methods.
AB - In order to assess their accuracy for the determination of glucose during the
enzymatic hydrolysis of pretreated lignocellulosic biomass, four different blood
glucose monitors (BGMs), each utilizing a different enzymatic mechanism for the
determination of glucose, were utilized in an experimental setup, which compares
the efficiency of ionic liquid pretreatment with dilute acid and alkaline
pretreatments applied on corncob. Among the tested devices, Optium Xceed was
found to be the most accurate device for the determination of glucose where Accu
Chek Active was the least accurate BGM, yielding similar results to those
obtained with DNS method. Based on the HPLC results, the % error values for
Optium Xceed ranged between 3.9-10.5% for the determination of glucose
concentration. Upon enzymatic hydrolysis, ionic liquid and alkaline pretreatments
gave similar glucose yields, which were slightly higher than the dilute acid
pretreatment, which were 31.9%, 31.0% and 27.8%, respectively, based on untreated
corncob.
PMID- 21880486
TI - Discovery of inhibitors of plasminogen activator inhibitor-1: structure-activity
study of 5-nitro-2-phenoxybenzoic acid derivatives.
AB - Two novel series of 5-nitro-2-phenoxybenzoic acid derivatives are designed as
potent PAI-1 inhibitors using hybridization and conformational restriction
strategy in the tiplaxtinin and piperazine chemo types. The lead compounds 5a,
6c, and 6e exhibited potent PAI-1 inhibitory activity and favorable oral
bioavailability in the rodents.
PMID- 21880488
TI - Pancreatic anticancer activity of a novel geranylgeranylated coumarin derivative.
AB - A series of hydroxycoumarin derivatives has been synthesized and evaluated
against human pancreatic PANC-1 cancer cells under nutrient-deprived conditions.
Several compounds exhibited 100% preferential cytotoxicity at low micromolar
concentrations under nutrition starvation, and showed no cytotoxicity under
nutrient-rich conditions. In this study, a novel geranylgeranylated ether
coumarin derivative 9 was found to exhibit the highest cytotoxic activity of 6.25
MUM within 24h. The preferential anti-tumor activity exhibited by compound 9
against PANC-1 under low oxygen and nutrient environment illustrates its great
potential as a promising lead structure for the development of novel agents to
combat pancreatic cancer.
PMID- 21880487
TI - Conformationally constrained analogs of BAY 59-3074 as novel cannabinoid receptor
ligands.
AB - To obtain information on the pharmacophoric requirements of the CB1/CB2 partial
agonist BAY 59-3074 we have synthesized a series of new conformationally
constrained dibenzofuran (4a-d) and dibenzopyran analogs (5). All constrained
analogs exhibited reduced binding affinity at both cannabinoid receptor subtypes,
suggesting that planar conformations of these ligands are less favored by both
receptors. We also found that 4c, 4d, and 5 exhibited 3- to 12-fold selectivity
for hCB2 over rCB1 receptors and may serve as new chemotypes for the development
of CB2-selective cannabinergics.
PMID- 21880489
TI - Design and synthesis of long acting inhaled corticosteroids for the treatment of
asthma.
AB - In this Letter we present data for a novel series of ICS for the treatment of
asthma. 'Inhalation by design' principles have been applied to a series of highly
potent steroidal GR agonists, with a focus on optimising the potential
therapeutic index in human. Pharmacokinetic properties were tuned with high
intrinsic clearance and low oral bioavailability in mind, to minimise systemic
exposure and reduce systemically driven adverse events. High CYP mediated
clearance as well as glucuronidation were targeted to achieve high intrinsic
clearance coupled with multiple routes of clearance to minimise drug-drug
interactions. Furthermore, pharmaceutical properties such as stability,
crystallinity and solubility were considered to ensure compatibility with a dry
powder inhaler. This work culminated in the identification of the clinical
candidate 15, which demonstrates preclinically the desired efficacy and safety
profiles confirming its potential as an inhaled agent for the treatment of
asthma.
PMID- 21880490
TI - Synthesis and acrosin inhibitory activities of substituted ethyl 5-(4
aminophenyl)-1H-pyrazole-3-carboxylate derivatives.
AB - A series of novel ethyl 5-(4-aminophenyl)-1H-pyrazole-3-carboxylate derivatives
were designed and synthesized and their in vitro acrosin inhibitory activities
were evaluated. Most of the compounds exhibited acrosin inhibitory activities.
Among them, three compounds (5l, 5n, and 5v) were more potent than that of the
control TLCK. These provide a new structural type for the development of novel
contraceptive acrosin inhibitory agents.
PMID- 21880491
TI - Synthesis, structure-activity relationship and in vitro biological evaluation of
N-arylethyl isoquinoline derivatives as Coxsackievirus B3 inhibitors.
AB - Currently, there is no approved antiviral drug for the infection caused by
enteroviruses. A series of novel N-arylethyl isoquinoline derivatives defined
with substituents on the ring A and C were designed, synthesized and evaluated in
vitro for their activities against Coxsackievirus B3 (CVB3). The primary
structure-activity relationship revealed that substituents on the ring A were not
beneficial for the activity. Among these analogs synthesized, compound 7f bearing
a methylenedioxy at the R(4) and R(5) positions afforded an anti-CVB3 activity
and a reasonable selectivity index (SI=26.8); furthermore, 7f exhibited a
moderate activity against enterovirus 71 (EV71) with SI value of 9.0. Thus it has
been selected as an anti-enteroviral lead compound for further investigation.
PMID- 21880493
TI - An enhanced recovery programme for primary total knee arthroplasty in the United
Kingdom--follow up at one year.
AB - The concepts of Enhanced Recovery Programmes (ERP) are to reduce peri-operative
morbidity whilst accelerating patient's rehabilitation resulting in a shortened
hospital stay following primary joint arthroplasty. These programmes should
include all patients undergoing surgery and should not be selective. We report a
consecutive series of 1081 primary total knee arthroplasties undergoing an
enhanced recovery programme with a one year follow up period. A comparative
cohort of 735 patients from immediately prior to the enhanced recovery programme
implementation was also reviewed. The median day of discharge home was reduced
from post-operative day six to day four (p<0.001) for the ERP group. Post
operative urinary catheterisation (35% vs. 6.9%) and blood transfusion (3.7% vs.
0.6%) rates were significantly reduced (p<0.001). Within the ERP group median
pain scores (0 = no pain, 10 = maximal pain) on mobilisation were three
throughout hospital stay with 95% of patients ambulating within 24h. No
statistical difference was found in post-operative thrombolytic events (p=0.35
and 0.5), infection (p=0.86), mortality rates (p=0.8) and Oxford Knee Scores
(p=0.99) at follow up. This multidisciplinary approach provided satisfactory post
operative analgesia allowing early safe ambulation and expedited discharge to
home with no detriment to continuing rehabilitation, infection or complication
rates at one year.
PMID- 21880492
TI - Cryptococcus gattii: a resurgent fungal pathogen.
AB - Cryptococcus gattii and Cryptococcus neoformans are causal agents of
cryptococcosis, which manifests as pneumonia and meningitis. C. gattii has
recently received widespread attention owing to outbreaks in British Columbia,
Canada and the US Pacific Northwest. The biology of this tree-dwelling yeast is
relatively unexplored, and there are few clues about how it causes infections in
humans and animals. In this review, we summarize recent discoveries about C.
gattii genetics and its ecological niche and highlight areas ripe for future
exploration. Increased focus on epidemiology, ecological modeling and host
pathogen interactions is expected to yield a better understanding of this
enigmatic yeast, and ultimately lead to better measures for its control.
PMID- 21880494
TI - Cleavable linkers in chemical biology.
AB - Interest in cleavable linkers is growing due to the rapid development and
expansion of chemical biology. The chemical constrains imposed by the biological
conditions cause significant challenges for organic chemists. In this review we
will present an overview of the cleavable linkers used in chemical biology
classified according to their cleavage conditions by enzymes, nucleophilic/basic
reagents, reducing agents, photo-irradiation, electrophilic/acidic reagents,
organometallic and metal reagents, oxidizing reagents.
PMID- 21880495
TI - Chemical modifications of resveratrol for improved protein kinase C alpha
activity.
AB - Resveratrol (1) is a naturally occurring phytoalexin that affects a variety of
human disease models, including cardio- and neuroprotection, immune regulation,
and cancer chemoprevention. One of the possible mechanisms by which resveratrol
affects these disease states is by affecting the cellular signaling network
involving protein kinase C alpha (PKCalpha). PKCalpha is a member of the family
of serine/threonine kinases, whose activity is inhibited by resveratrol. To study
the structure-activity relationship, several monoalkoxy, dialkoxy and hydroxy
analogs of resveratrol have been synthesized, tested for their cytotoxic effects
on HEK293 cells, measured their effects on the membrane translocation properties
of PKCalpha in the presence and absence of the PKC activator TPA, and studied
their binding with the activator binding domain of PKCalpha. The analogs showed
less cytotoxic effects on HEK293 cells and caused higher membrane translocation
(activation) than that of resveratrol. Among all the analogs, 3, 16 and 25 showed
significantly higher activation than resveratrol. Resveratrol analogs, however,
inhibited phorbol ester-induced membrane translocation, and the inhibition was
less than that of resveratrol. Binding studies using steady state fluorescence
spectroscopy indicated that resveratrol and the analogs bind to the second
cysteine-rich domain of PKCalpha. The molecular docking studies indicated that
resveratrol and the analogs interact with the protein by forming hydrogen bonds
through its hydroxyl groups. These results signify that molecules developed on a
resveratrol scaffold can attenuate PKCalpha activity and this strategy can be
used to regulate various disease states involving PKCalpha.
PMID- 21880497
TI - Stopping power and mean free path for low-energy electrons in ten scintillators
over energy range of 20-20,000 eV.
AB - Systematic calculations of the stopping powers (SP) and inelastic mean free paths
(IMFP) for 20-20,000eV electrons in a group of 10 important scintillators have
been carried out. The calculations are based on the dielectric model including
the Born-Ochkur exchange correction and the optical energy loss functions (OELFs)
are empirically evaluated because of the lack of available experimental optical
data for the scintillators under consideration. The evaluated OELFs are examined
by both the f-sum rule and the calculation of mean ionization potential. The SP
and IMFP data presented here are the first results for the 10 scintillators over
the energy range of 20-20,000eV, and are of key importance for the investigation
of liquid scintillation counting.
PMID- 21880496
TI - Synthesis, structural, and biological evaluation of bis-heteroarylmaleimides and
bis-heterofused imides.
AB - Bis-2,3-heteroarylmaleimides and polyheterocondensed imides joined through
nitrogen atoms of the N,N'-bis(ethyl)-1,3-propanediamine linker were prepared
from substituted maleic anhydrides and symmetrical diamines in good to
satisfactory yields and short reaction times using microwave heating. The novel
molecules were shown to inhibit proliferation of human tumor cells (NCI-H460 lung
carcinoma) and rat aortic smooth muscle cells (SMCs) with variable potencies.
Compound 11a, the most potent one of the series, showed IC(50) values comparable
to those observed for the leading molecule elinafide in both cell lines, but with
a higher selectivity toward human tumor cells. Compound 11a affected G1/S phase
transition of the cell cycle, showed in vitro DNA intercalating activity and in
vivo antitumor activity. A thorough structural analysis of the 11a-DNA complex
was also made by mean of NMR and computational techniques.
PMID- 21880498
TI - Applicability of strain measurements on a contra angle handpiece for the
determination of alveolar bone quality during dental implant surgery.
AB - Alveolar bone quality is considered to be an important prognostic factor in
dental implant stability. Although numerous methods have been described, no
technique allows for reliable diagnostics. The purpose of this study was to
determine if strain measurements on the shaft of a contra angle handpiece during
implant bed preparation could be used for the determination of bone quality.
Experiments in polyurethane foam and human cadaver bone were conducted to
investigate whether strain measurements could be correlated with other diagnostic
parameters, such as the surgeon's tactile sensation during drilling, implant
insertion torque, implant stability, elastic modulus of bone and bone quality as
assessed radiographically. Tests were also performed to determine if strain
measurements could be used to distinguish various types of bone. As axial feed
and contact pressure during the drilling process could not be standardized under
simulated clinical conditions, substantial deviations in the time needed to
complete the drilling occurred. Under controlled circumstances using polyurethane
foam, this problem could be addressed by a normalization procedure, but great
variations occurred in human cadaver bone. As bone quality could not be reliably
determined, especially when a cortical layer was present, strain measurements on
a contra angle handpiece appears to be inappropriate for this purpose.
PMID- 21880499
TI - The hypothetical role of congenital hypotonia in the development of early
coronoid hyperplasia.
AB - BACKGROUND: Coronoid hyperplasia (CH) is an abnormal bony elongation of a
histologically normal coronoid process. Its definitive cause remains unknown.
OBJECTIVES: To analyze the possible implication of congenital hypotonia in the
pathogenesis of early coronoid overgrowth. PATIENTS AND METHODS: Two infants with
congenital hypotonia were evaluated for limited mouth aperture. Bilateral CH was
diagnosed. Transoral coronoidectomy was followed by an early dynamic
physiotherapy program. RESULTS: Significant improvement of maximum interincisal
opening was achieved. The review of the scientific literature proved the
diagnosis of CH in the infant age group is extremely unusual and the etiology of
the condition is still uncertain. CONCLUSIONS: Besides mouth opening restriction,
clinical features of coronoid hyperplasia in infants can include suction or
deglutition anomalies, failure to thrive and recurrent episodes of choking or
aspiration pneumonia. The authors hypothesize reduced fetal mandibular movements
and deglutition as a result of congenital hypotonia may lead to relative
hyperactivity of the temporalis muscle that is not counterbalanced by the infra
and suprahyoid muscles, thereby facilitating coronoid overgrowth.
PMID- 21880500
TI - Condylar position indicator and T-scan system II in clinical evaluation of
temporomandibular intracapsular disease.
AB - INTRODUCTION: The pathogenesis of temporomandibular joint intracapsular disease
(TMJI) is multifactorial and its diagnosis is not easy. In this work authors show
two types of clinical analysis: the Condylar Position Indicator (CPI) and T-Scan
2 system. MATERIAL AND METHODS: Twenty patients (mean age of 24.5 years) with
TMJI problem and 10 healthy matched subjects (mean age: 25.4 years) were
selected. Analysis of TMJI was performed on each patient by means of Condylar
Position Indicator (CPI) and T-Scan System II tests. RESULTS: Eight patients
presented vertical symmetrical condylar distraction greater than healthy subjects
(P-value<0.001). T-Scan showed a difference of Percentage of Force (POF) not
greater than 5%. Seven patients showed sagittal shift greater than healthy
subject (P-value<0.001). T-Scan records showed a difference of POF greater than
5%. Five non-healthy subjects presented sagittal, vertical, transverse shift
greater than healthy subjects (P-value<0.001). T-Scan records show a difference
of POF greater than 5%. CONCLUSION: In this work authors present a new method of
analysis. CPI indicates discrepancy of the condyle position in CO from CR and T
Scan allows the operator to study all teeth contacts and occlusal forces taking
place during dynamic jaw movement.
PMID- 21880502
TI - Evaluating the correlation between the lateral pterygoid muscle attachment type
and internal derangement of the temporomandibular joint with an emphasis on MR
imaging findings.
AB - OBJECTIVES: Disc displacement is accepted as one of major findings in
temporomandibular disorders. Correlation between lateral pterygoid muscle (LPM)
attachment type to the disc-condyle complex and TMJ dysfunction has rarely been
discussed and still not clarified. The purpose of this study was to assess the
prevalence LPM attachment type to the disc-condyle complex, and to investigate
whether these attachment types are linked to MR imaging findings of ID and TMJ
dysfunction in a Turkish population. STUDY DESIGN: Ninety-eight TMJs in 49
patients (32 males, 17 females, mean age=36 years) with one of either: TMJ
clicking, TMJ locking, restricted movement of the jaw, or pain in the TMJ region,
were included. According to the clinical findings and data obtained from MRI
examinations, TMJs dysfunctions were classified. LPM attachments to the condyle
disc complex were categorized into three different types. Correlation between TMJ
dysfunction and LPM attachments to the condyle-disc complex was evaluated.
RESULTS: Of 98 TMJs in 49 patients (32 males, 17 females, mean age=36 years), 47
TMJ's (%48) were evaluated as normal, 35 (%35.7) had a disc displacement with
reduction and 16 (%16.3) TMJ had a disc displacement without reduction. Arthritis
was seen in 49 TMJ's (%50). LPM attachments to the condyle-disc complex were as
follows: Type I (29.6%), Type II (40.8%), and Type III (29.6%). There was no
statistically significant difference between the type of muscle attachment and
the presence or absence of disc displacement (p=0.481), disc degeneration
(p=0.752), articular surface degeneration (p=0.117). CONCLUSIONS: There was no
statistically significant correlation between the LPM attachment types and TMJ
abnormalities.
PMID- 21880501
TI - Short-term periodontal and microbiological changes following orthognathic
surgery.
AB - OBJECTIVE: Aim of the present study was to evaluate the influence of orthognathic
surgery on the development of periodontal and microbiological changes. MATERIALS
AND METHODS: Fifteen consecutively treated patients with a mean age of 24.9+/-7.7
years receiving orthognathic surgery were included in the present study. Plaque
index (PI) and concentrations of 11 periodonto-pathogenic bacteria were recorded
one day prior to surgery (t(0)) and one week (t(1)) and six weeks (t(2)) post
surgery. In addition, a complete periodontal examination including pocket probing
depth (PPD), gingival recession (GR), clinical attachment level (CAL), bleeding
on probing (BOP) and width of keratinized gingiva (WKG) was conducted at t(0) and
t(2). For statistical analysis, general linear model and paired t-test were
applied. RESULTS: A significant increase of PI (t(0)-t(1), p=0.037) was followed
by a significant decrease (t(1)-t(2), p=0.017). Apart from Eikenella corrodens
(p=0.036), no significant microbiological changes were recorded. PPD
significantly increased on oral sites (p=0.045) and GR especially on buccal sites
(p=0.001). In the incision area the development of GR was significantly higher on
the test (buccal) than on the control sites (oral). Both gingival biotypes were
affected by GR. CONCLUSIONS: Orthognathic surgery causes statistically
significant changes of periodontal parameters, but these changes do not
necessarily impair the aesthetic appearance of the gingival margin.
PMID- 21880504
TI - Radioprotective efficacy of tocopherol succinate is mediated through granulocyte
colony stimulating factor.
AB - The purpose of this study was to elucidate the role of granulocyte colony
stimulating factor (G-CSF) induced by alpha-tocopherol succinate (TS) in
protecting mice from total-body irradiation. CD2F1 mice were injected with a
radioprotective dose of TS and the levels of cytokine in serum induced by TS were
determined by multiplex Luminex. Neutralization of G-CSF was accomplished by
administration of a G-CSF antibody and confirmed by cytokine analysis. The role
of G-CSF on gastrointestinal tissue protection afforded by TS after irradiation
(11 Gy, 0.6 Gy/min of 60Co gamma-radiation) was determined by analysis of jejunum
histopathology for crypt, villi, mitotic figures, apoptosis, and cell
proliferation. Our results demonstrate that TS protected mice against high doses
of radiation-induced gastrointestinal damage and TS also induced very high levels
of G-CSF and keratinocyte-derived chemokine (KC) production in peripheral blood
24 h after subcutaneous administration. When TS-injected mice were administered a
neutralizing antibody to G-CSF, there was complete neutralization of G-CSF in
circulating blood, and the protective effect of TS was significantly abrogated by
G-CSF antibody. Histopathology of jejunum from TS-injected and irradiated mice
demonstrated protection of gastrointestinal tissue, yet the protection was
abrogated by administration of a G-CSF antibody. In conclusion, our current study
suggests that induction of G-CSF resulting from TS administration is responsible
for protection from 60Co gamma-radiation injury.
PMID- 21880503
TI - Lower levels of interleukin-12 precede the development of tuberculosis among HIV
infected women.
AB - Tuberculosis (TB) is the worldwide leading cause of death among HIV-infected
individuals, accounting for more than half of AIDS-related deaths. A high risk of
tuberculosis (TB) has been shown in early stages of the HIV disease, even in the
presence of normal CD4(+) cell counts. Moreover, the factors that determine
protective immunity vs. susceptibility to Mycobacterium tuberculosis cannot be
fully explained by simple changes in IFNgamma levels or a shift from Th1 to Th2
cytokines. This work investigated the relationship between cytokine expression
profiles in peripheral blood mononuclear cells (PBMC) and susceptibility to M.
tuberculosis in 10 HIV+ women who went onto develop TB. RNA transcripts for IL-4,
IL-4delta2, IL-10, IL-12(p35), IL-13, IL-17A, IFNgamma and TNFalpha were measured
by real-time quantitative PCR in unstimulated or TB peptide antigen-stimulated
PBMCs from 10 HIV+ women with positive tuberculin skin tests (TST) and compared
with HIV-seropositive and seronegative women without previous TB and negative
TST. Stimulated PBMC cultures showed significantly lower expression of IL-12p35
(p=0.004) and IL-10 (p=0.026) in the HIV+TB+ group 6-12months before onset of TB
compared to HIV+TB- women. Unstimulated PBMC from HIV+TB+ women also had lower
expression of Th2 cytokines [IL-4 (p=0.056) and IL-13 (p=0.050)] compared to
HIV+TB- women. These results suggest that lower IL-12 production by PBMC in
response to TB antigens and lower levels of both Th1 and Th2 cytokines by PBMC
correlate with future development of TB in HIV-infected women and may be
responsible for their increased susceptibility.
PMID- 21880505
TI - Local and systemic cellular inflammation and cytokine release in chronic
obstructive pulmonary disease.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is a chronic airway
inflammatory disease caused by repeated exposure to noxious gases or particles.
It is now recognized that the disease also features systemic inflammation. The
purpose of our study was to compare airway and systemic inflammation in COPD to
that seen in healthy subjects and to relate the inflammation with the disease
severity. METHODS: Ninety-five COPD patients, encompassing the whole severity
spectrum of the disease, were recruited from our outpatient clinic and
rehabilitation center and compared to 33 healthy subjects. Induced sputum and
blood samples were obtained for measurement of inflammatory cell count.
Interleukin (IL)-4, IL-6, IL-10, TNF-alpha and IFN-gamma produced by 24h sputum
and blood cell cultures were measured. RESULTS: Compared to healthy subjects,
COPD exhibited a prominent airway neutrophilic inflammation associated with a
marked IL-10, IL-6 and TNF-alpha release deficiency that contrasted with a raised
IFN-gamma production. Neutrophilic inflammation was also prominent at blood level
together with raised production of IFN-gamma, IL-10 and TNF-alpha. Furthermore,
sputum neutrophilia correlated with disease severity assessed by GOLD stages.
Likewise the extent of TNF-alpha release from blood cells also positively
correlated with the disease severity but negatively with that of sputum cell
culture. Blood release of TNF-alpha and IL-6 negatively correlated with body mass
index. Altogether, our results showed a significant relationship between cellular
marker in blood and sputum but poor relationship between local and systemic
release of cytokines. CONCLUSIONS: COPD is characterized by prominent
neutrophilic inflammation and raised IFN-gamma production at both bronchial and
systemic level. Overproduction of TNF-alpha at systemic level correlates with
disease severity and inversely with body mass index.
PMID- 21880507
TI - Summary of the diverse situation of similar biotherapeutic products in the
selected countries (August 2010).
AB - The WHO guidelines on evaluating similar biotherapeutic products (SBPs) were
adopted by the Expert Committee on Biological Standardization in 2009. The
fundamental messages of the guidelines are that a) generic approach is not
suitable for licensing SBPs, b) only products that have been subjected to a
comparability exercise and show similarity to the reference biotherapeutic
product (RBP) in terms of their quality, safety and efficacy are defined as SBPs,
and c) the products that are not shown to be similar to the originator products
as indicated in the guidelines should neither be described as "similar" nor
called SBPs. In view of these, the products which have not been subjected to a
head to head comparison with the RBP should be referred to as another term, e.g.
'non-innovator' therapeutic products. In order to review the current situation in
each country, a survey was planned in line with the implementation workshop of
the guidelines in August 2010. The results show that the diversity of regulatory
framework for licensing SBPs and the ambiguous use of the terms, 'similar' or
'generic', present considerable challenges for the future use of SBPs.
PMID- 21880506
TI - Therapeutic potential of Tregs to treat rheumatoid arthritis.
AB - There is accumulating evidence for regulatory T cell defects in rheumatoid
arthritis and that some biologic interventions, in particular anti-TNF, can
target this population. Despite the challenges in defining regulatory T cells in
patients, there are a number of approaches currently being developed to utilise
their potent immunosuppressive properties. Through genetic manipulation Tregs can
be generated ex vivo or in vivo that target antigens present in the inflamed
joint. Here we discuss these approaches, their refinement to restore tolerance in
patients with rheumatoid arthritis, and strategies to prevent their conversion
towards a Th17 phenotype.
PMID- 21880508
TI - Intended use of reference products & WHO International Standards/Reference
Reagents in the development of similar biological products (biosimilars).
AB - Reference Products and WHO International Standards/Reference Reagents have roles
to play in the development and characterization of similar biological products
(SBPs). However, these roles are distinct and non-interchangeable. The uses of
these materials and their limitations are considered in this paper.
PMID- 21880509
TI - Examining associations of circulating endotoxin with nutritional status,
inflammation, and mortality in hemodialysis patients.
AB - OBJECTIVE: Lipopolysaccharide or endotoxin constitutes most part of the outer
portion of the cell wall in the gram-negative bacteria. Subclinical endotoxemia
could contribute to increased inflammation and mortality in hemodialysis (HD)
patients. Endotoxin level and clinical effect are determined by its soluble
receptor sCD14 and high-density lipoprotein. We examine the hypothesis that
endotoxin level correlates with mortality. METHODS: In this cohort study,
endotoxin levels were measured in 306 long-term HD patients who were then
followed up for a maximum of 42 months. Soluble CD14 and cytokines levels were
also measured. RESULTS: The mean (+/-SD) endotoxin level was 2.31 +/- 3.10 EU/mL
(minimum: 0.26 EU/mL, maximum: 22.94 EU/mL, interquartile range: 1.33 EU/mL,
median: 1.27 EU/mL). Endotoxin correlated with C-reactive protein (r = 0.11, P <
.04). On multivariate logistic regression analysis, high body mass index and low
high-density lipoprotein (HDL) cholesterol levels were associated with higher
endotoxemia (endotoxin below or above of median). In multivariate Cox regression
analysis adjusted for case-mix and nutritional/inflammatory confounders,
endotoxin levels in the third quartile versus first quartile were associated with
a trend toward increased hazard ratio for death (hazard ratio: 1.83, 95%
confidence interval: 0.93 to 3.6, P = .08). CONCLUSIONS: In this HD cohort, we
found associations between endotoxemia and C-reactive protein, body composition,
and HDL. Moderately high endotoxin levels tended to correlate with increased
mortality than the highest circulating endotoxin level. Additional studies are
required to assess the effect of endotoxemia on mortality in dialysis population.
PMID- 21880510
TI - A comparison of endotracheal bioimpedance cardiography and transpulmonary
thermodilution in cardiac surgery patients.
AB - OBJECTIVES: The authors hypothesized that bioimpedance cardiography measured by
the Endotracheal Cardiac Output Monitor (ECOM; ConMed, Utica, NY) is a convenient
and reliable method for both cardiac index (CI) assessment and prediction in
fluid responsiveness. DESIGN: A prospective observational study. SETTING: A
teaching university hospital. PARTICIPANTS: Twenty-five adult patients.
INTERVENTIONS: Admission to the intensive care unit after conventional cardiac
surgery and investigation before and after a fluid challenge. MEASUREMENTS AND
MAIN RESULTS: Simultaneous comparative CI data points were collected from
transpulmonary thermodilution (TD) and ECOM. Correlations were determined by
linear regression. Bland-Altman analysis was used to compare the bias, precision,
and limits of agreement. The percentage error was calculated. Pulse-pressure
variations (PPVs) and stroke-volume variations (SVVs) before fluid challenge were
collected to assess their discrimination in predicting fluid responsiveness. A
weak but statistically significant relationship was found between CI(TD) and
CI(ECOM) (r = 0.31, p = 0.03). Bias, precision, and limits of agreement between
CI(TD) and CI(ECOM) were 0.08 L/min/m(2) (95% confidence interval, -0.11 to
0.27), 0.68 L/min/m(2), and -1.26 to 1.42 L/min/m(2), respectively. The
percentage error was 51%. A nonsignificant positive relationship was found
between percent changes in CI(TD) and CI(ECOM) after fluid challenge (r = 0.37, p
= 0.06). Areas under the ROC curves for both PPV and SVV to predict fluid
responsiveness were 0.86 (95% confidence interval, 0.67-1.06) and 0.89 (95%
confidence interval, 0.74-1.04, respectively; p = 0.623). CONCLUSIONS: Continuous
measurements of CI under dynamic conditions are consistent and easy to obtain
with ECOM although not interchangeable with transpulmonary thermodilution. SVV
given by ECOM is a dynamic parameter that predicts fluid responsiveness with good
accuracy and discrimination.
PMID- 21880511
TI - The varieties of inner speech: links between quality of inner speech and
psychopathological variables in a sample of young adults.
AB - A resurgence of interest in inner speech as a core feature of human experience
has not yet coincided with methodological progress in the empirical study of the
phenomenon. The present article reports the development and psychometric
validation of a novel instrument, the Varieties of Inner Speech Questionnaire
(VISQ), designed to assess the phenomenological properties of inner speech along
dimensions of dialogicality, condensed/expanded quality, evaluative/motivational
nature, and the extent to which inner speech incorporates other people's voices.
In response to findings that some forms of psychopathology may relate to inner
speech, anxiety, depression, and proneness to auditory and visual hallucinations
were also assessed. Anxiety, but not depression, was found to be uniquely
positively related to both evaluative/motivational inner speech and the presence
of other voices in inner speech. Only dialogic inner speech predicted auditory
hallucination-proneness, with no inner speech variables predicting levels of
visual hallucinations/disturbances. Directions for future research are discussed.
PMID- 21880512
TI - The impact of incidental identification on the stage at presentation of lower
gastrointestinal carcinoids.
AB - BACKGROUND: Over the past 3 decades, there has been a significant increase in the
incidence of gastrointestinal carcinoid tumors in the United States. Incidentally
discovered carcinoids in the lower gastrointestinal tract have probably
contributed to this increase. In this study we aimed to compare the
clinicopathologic characteristics of incidentally discovered carcinoids of the
small and large bowel with those identified as a result of symptoms. STUDY
DESIGN: We performed a retrospective review of 58 consecutive patients with
nonappendiceal gastrointestinal carcinoids: 30 small bowel and 28 large bowel. We
compared asymptomatic patients with lower gastrointestinal tract carcinoids
identified by routine colonoscopy with those identified as a result of symptoms.
RESULTS: Twenty-eight (48.3%) incidentally identified carcinoids (15 small bowel
and 13 large bowel) were compared with 30 (51.7%) symptomatic carcinoids.
Incidental ileal carcinoids were similar in size (mean +/- SD, 1.3 +/- 0.61 vs
1.7 +/- 1.13, p = 0.45) and incidence of lymph node metastases (12 in 15 vs 9 in
15, p = 0.43) to symptomatic ileal carcinoids. However, incidental ileal
carcinoids had a lower incidence of distant metastases (1 in 15 vs 7 in 15, p =
0.035) compared with symptomatic ileal carcinoids. There was no difference in
tumor size, extent of lymph node metastases, or distant metastases between
incidental and symptomatic large bowel carcinoids. CONCLUSIONS: Ileal carcinoids
identified at screening colonoscopy are associated with a significantly decreased
incidence of distant metastases compared with those identified after development
of symptoms, despite similar size and extent of lymph node metastases. However,
incidental large bowel carcinoids appear to have similar staging to those
identified as a result of symptoms.
PMID- 21880513
TI - Ethical management of conflict of interest: proposed standards for academic
surgical societies.
AB - BACKGROUND: A significant increase in industry support of professional medical
associations coupled with data suggesting that gifts from industry have
significant clinical influence have prompted calls from the Institute of Medicine
and physician leaders to identify and manage conflicts of interest that stem from
financial support of professional medical associations by industry. STUDY DESIGN:
A joint task force of members appointed by the Association for Academic Surgery
and the Society of University Surgeons was convened in July 2009. Recommendations
were developed regarding management of all potential conflicts of interest that
can arise within the context of an academic surgical society, with specific focus
on relationships with industry. Task force members reached consensus around each
recommendation and the guidelines were subsequently adopted by the Executive
Councils of both societies. RESULTS: The committee identified 4 primary areas of
need for transparent and definitive management of conflict of interest: 1)
individual society activities, including general budget support, society
endorsements, and journal affiliation; 2) individual personnel conflicts such as
society leadership and standards for disclosure of conflict; 3) meeting
activities including budgetary support, program committee associations, and
abstract review process; and 4) foundation support and research and travel
awards. The resulting guidelines aim to protect the societies and their
membership from undue bias that may undermine the credibility and mission of
these associations. CONCLUSIONS: Policy guidelines to mitigate conflict of
interest are necessary to protect the integrity of the work of academic surgical
societies and their fiduciary duty to members and patients. Guidelines created
and adopted by the Association for Academic Surgery and Society of University
Surgeons form an effective model for academic surgical societies and their
members.
PMID- 21880514
TI - MicroRNA expression profiles in the progression of prostate cancer--from high
grade prostate intraepithelial neoplasia to metastasis.
AB - INTRODUCTION: Models of the multistep process related to cancer progression have
been designed for many cancers including prostate. The aim of this study is to
propose a new model including a possible role for recently described micro RNAs
in prostate cancer (CaP) progression. METHODS: Sixty-three patients underwent
radical prostatectomy to treat localized prostate carcinoma. The specimens of 15
patients were representative of high grade prostate intraepithelial neoplasia
(HGPIN). Fourteen specimens represented localized favorable CaP, and 34
unfavorable, mostly non-organ-confined disease. Representing the advanced disease
we studied 4 metastatic androgen-independent CaP and 2 cell lines. Micro RNAs
were isolated using the mirVana miRNA Isolation kit and cDNA was obtained using
the TaqMan miRNA Reverse Transcription kit to the miRNAs: hsa-miR-let7c, hsa-miR
15a, hsa-miR-16, hsa-miR-21, hsa-miR-25, hsa-miR-32, hsa-miR-100, hsa-miR-143,
hsa-miR-145, hsa-miR-146a, hsa-miR-191, hsa-miR-199a, hsa-miR-206, and hsa-miR
218. Quantitative RT-PCR was carried out using the ABI 7500 Fast Real-Time PCR
System and the TaqMan Universal PCR Master Mix. miRNA expression levels were
measured by relative quantification, and fold expression changes were determined
by the 2(-DeltaDeltaCT) method. The small nucleolar RNA RNU43 was used as an
endogenous control. RESULTS: Except for miR-21 and miR-206, the expression levels
of all miRNAs significantly changed during the progression of CaP. Interestingly,
there was a significant global loss of miRNA expression between HGPIN and
metastasis at 2 important steps. The first was related to the transition from
HGPIN to invasive adenocarcinoma, and the second was related to the transition
from localized to metastatic adenocarcinomas. CONCLUSION: Through the analysis of
14 miRNAs in 4 groups of prostate lesions, which reproduced the progression of
CaP, we showed that there is a global loss of miRNA expression at 2 distinct
steps. The first related to the transition between HGPIN and localized invasive
carcinoma, and the second associated with the transition from localized to
metastatic CaP. The importance of our study is in the identification of possible
miRNAs and miRNA-targeted genes involved in the progression of prostate
carcinogenesis that may help the development of potential diagnostic or
prognostic markers as well as the design of new target therapies.
PMID- 21880515
TI - A systematic review of mid-term outcomes of thoracic endovascular repair (TEVAR)
of chronic type B aortic dissection.
AB - OBJECTIVE AND DESIGN: The role of Thoracic Endovascular Repair (TEVAR) in chronic
type B aortic dissection remains controversial and its mid-term success as an
alternative to open repair or best medical therapy remains unknown. The aim of
the present study was to provide a systematic review of mid-term outcomes of
TEVAR for chronic type B aortic dissection. MATERIALS AND METHODS: Medline, trial
registries, conference proceedings and article reference lists from 1950 to
January 2011 were searched to identify case series reporting mid-term outcomes of
TEVAR in chronic type B dissection. Data were extracted for review. RESULTS: 17
studies of 567 patients were reviewed. The technical success rate was 89.9%
(range 77.6-100). Mid-term mortality was 9.2% (46/499) and survival ranged from
59.1 to 100% in studies with a median follow-up of 24 months. 8.1% of patients
(25/309) developed endoleak, predominantly type I. Re-intervention rates ranged
from 0 to 60% in studies with a median follow-up of 31 months. 7.8% of patients
(26/332) developed aneurysms of the distal aorta or continued false lumen
perfusion with aneurysmal dilatation. Rare complications included delayed
retrograde type A dissection (0.67%), aorto-oesophageal fistula (0.22%) and
neurological complications (paraplegia 2/447, 0.45%; stroke 7/475, 1.5%).
CONCLUSION: The absolute benefit of TEVAR over alternative treatments for chronic
B-AD remains uncertain. The lack of natural history data for medically treated
cases, significant heterogeneity in case selection and absence of consensus
reporting standards for intervention are significant obstructions to interpreting
the mid-term data. High-quality data from registries and clinical trials are
required to address these challenges.
PMID- 21880516
TI - The association between orthodontic treatment need and maxillary incisor trauma,
a retrospective clinical study.
AB - OBJECTIVES: Identifying risk factors for dental trauma in children is important.
The main aim of this retrospective study was to investigate the association
between maxillary incisor trauma (MIT) and variables such as gender, malocclusion
complexity, and orthodontic treatment need (OTN). STUDY DESIGN: ICON (Index of
Complexity, Outcome and Need) scores were calculated in 502 schoolchildren (253
girls and 249 boys, aged 11-14-years). Subjects were categorized into 5 ICON
complexity groups (easy to very difficult) and into 2 groups according to OTN
(ICON >43, ICON <44). Logistic regression was performed to test for any
differences in risk of MIT among subjects in different ICON complexity groups and
to estimate the predictive value of gender, OTN, and ICON scores for MIT.
RESULTS: Nine percent experienced incisor trauma (93.4% maxilla, 6.6% mandible).
Enamel fracture was the most common type (6.2%) of dental trauma. Boys had
greater odds of MIT compared with girls (odds ratio [OR] 2.16, 95% confidence
interval [CI] 1.11-4.21). Subjects with OTN showed greater odds of MIT compared
to those without (OR 2.37, 95% CI 1.21-4.64). Only subjects presenting with
difficult complexity grade (64 < ICON < 77) showed significantly higher odds of
experiencing MIT (OR 3.16, 95% CI 1.25-8.01) compared with the easy complexity
group (ICON <29). CONCLUSION: The higher risk of experiencing MIT in
malocclusions with difficult complexity warrants more vigilant screening of this
group before and during dental or orthodontic treatment.
PMID- 21880517
TI - Consideration of positioning errors in the assessment of distortion in the
mandibular third molar region on panoramic radiographs.
PMID- 21880518
TI - Uroporphyria in the Cyp1a2-/- mouse.
AB - Cytochrome P4501A2 (Cyp1a2) is important in the development of uroporphyria in
mice, a model of porphyria cutanea tarda in humans. Heretofore, mice homozygous
for the Cyp1a2-/- mutation do not develop uroporphyria with treatment regimens
that result in uroporphyria in wild-type mice. Here we report uroporphyria
development in Cyp1a2-/- mice additionally null for both alleles of the
hemochromatosis (Hfe) gene and heterozygous for deletion of the uroporphyrinogen
decarboxylase (Urod) gene (genotype: Cyp1a2-/-;Hfe-/-;Urod+/-), demonstrating
that upon adding porphyria-predisposing genetic manipulations, Cyp1a2 is not
essential. Cyp1a2-/-;Hfe-/-;Urod+/- mice were treated with various combinations
of an iron-enriched diet, parenteral iron-dextran, drinking water containing
delta-aminolevulinic acid and intraperitoneal Aroclor 1254 (a polychlorinated
biphenyl mixture) and analyzed for uroporphyrin accumulation. Animals fed an iron
enriched diet alone did not develop uroporphyria but uroporphyria developed with
all treatments that included iron supplementation and delta-aminolevulinic acid,
even with a regimen without Aroclor 1254. Hepatic porphyrin levels correlated
with low UROD activity and high levels of an inhibitor of UROD but marked
variability in the magnitude of the porphyric response was present in all
treatment groups. Gene expression profiling revealed no major differences between
genetically identical triple cross mice exhibiting high and low magnitude
porphyric responses from iron-enriched diet and iron-dextran supplementation, and
delta-aminolevulinic acid. Even though the variation in porphyric response did
not parallel the hepatic iron concentration, the results are compatible with the
presence of a Cyp1a2-independent, iron-dependent pathway for the generation of
uroporphomethene, the UROD inhibitor required for the expression of uroporphyria
in mice and PCT in humans.
PMID- 21880519
TI - Effect of particle size distribution and dietary crude fibre content on growth
performance and gastric mucosa integrity of growing-finishing pigs.
AB - This study was designed to examine the effect of crude fibre (CF) content and
particle size of the diet on growth performance, carcass yield and gastric mucosa
integrity. The experimental design was a 2*2 factorial trial with 192 pigs fed
from 24 to 110 kg bodyweight. Four diets were compared: (1) low fibre finely
ground; (2) low fibre coarsely ground; (3) high fibre finely ground; and (4) high
fibre coarsely ground. All ingredients were ground before mixing. The high fibre
coarsely ground diet resulted in the fewest lesions in the gastric pars
oesophagea (P<0.001). Coarse grinding also resulted in the lowest urease activity
in the stomach (P=0.006). The feed conversion ratio was worse on the coarsely
ground diet than on the finely ground diet (P=0.038), whereas carcass yield was
lower for pigs on the high fibre diet vs. the low fibre diet (P<0.001). Coarse
grinding feed ingredients in a growing pig diet that is high in CF may reduce
macroscopic lesions of the pars oesophagea but such a diet was accompanied in
this study by inferior carcass yield.
PMID- 21880520
TI - Dog obesity: keeping the weight off.
PMID- 21880523
TI - Dramatic effect of vitamin D supplementation and a gluten-free diet on bone
mineral density in a patient with celiac disease.
PMID- 21880522
TI - HBonanza: a computer algorithm for molecular-dynamics-trajectory hydrogen-bond
analysis.
AB - In the current work, we present a hydrogen-bond analysis of 2673 ligand-receptor
complexes that suggests the total number of hydrogen bonds formed between a
ligand and its receptor is a poor predictor of ligand potency; furthermore, even
that poor prediction does not suggest a statistically significant correlation
between hydrogen-bond formation and potency. While we are not the first to
suggest that hydrogen bonds on average do not generally contribute to ligand
binding affinities, this additional evidence is nevertheless interesting. The
primary role of hydrogen bonds may instead be to ensure specificity, to correctly
position the ligand within the active site, and to hold the protein active site
in a ligand-friendly conformation. We also present a new computer program called
HBonanza (hydrogen-bond analyzer) that aids the analysis and visualization of
hydrogen-bond networks. HBonanza, which can be used to analyze single structures
or the many structures of a molecular dynamics trajectory, is open source and
python implemented, making it easily editable, customizable, and platform
independent. Unlike many other freely available hydrogen-bond analysis tools,
HBonanza provides not only a text-based table describing the hydrogen-bond
network, but also a Tcl script to facilitate visualization in VMD, a popular
molecular visualization program. Visualization in other programs is also
possible. A copy of HBonanza can be obtained free of charge from
http://www.nbcr.net/hbonanza.
PMID- 21880524
TI - Little evidence of low bone mass in acute lymphoblastic leukemia survivors.
AB - Childhood acute lymphoblastic leukemia (ALL) survivors represent a specific group
at risk for many health problems, including skeletal complications and
osteoporosis. The objective of this study was to assess the risk of osteoporosis
associated with the prevalence of low bone mass (according to the guidelines of
the Pediatric Official Positions of the International Society for Clinical
Densitometry 2007) in survivors of childhood ALL. The cross-sectional study was
conducted in a cohort of 69 Caucasian children and adolescents (46 boys and 23
girls) aged 12.15 +/- 0.5yr diagnosed with ALL and screened up to 5 yr after
cessation of the treatment. Total body bone mineral content (TB BMC, g), total
body bone mineral density (TB BMD, g/cm(2)), and lumbar spine BMD (LS BMD,
g/cm(2)) were determined using dual-energy X-ray absorptiometry. Time interval
from the completion of the treatment to the beginning of this study (subgroup I<2
yr or subgroup II>2 yr after treatment), methotrexate (MTX) doses (subgroup I-MTX
ranging from 0.5 to 1.0g/m(2); subgroup II-MTX>2.0 g/m(2)), cranial irradiation
(subgroup I-without radiotherapy (RTX) and subgroup II receiving RTX of 12-18
Gy), cumulative steroid dose, and impaired endocrine function were considered as
potential factors affecting bone metabolism and included in the analysis. No
differences were found in bone traits (BMC, TB BMD, LS BMD) in relation to
examined risk factors. In multiple regression model that included therapeutical
factors, a risk group and central nervous system irradiation were of an important
influence on bone mass, and risk group predicted TB BMD in small degree. Risk
group and irradiation status lost their significance after the inclusion of
anthropometric, age-connected, and time-connected factors. This study suggests
that ALL survivors are not at increased risk for low bone mass. However, from the
clinical perspective all patients after childhood ALL should be screened for
clinical signs, fracture history, and lifestyle risk factors for low bone mass
and osteoporosis. They should be referred to bone density evaluation only as
often as may be necessary from the clinical evaluation.
PMID- 21880526
TI - Six novel mutations in the myophosphorylase gene in patients with McArdle disease
and a family with pseudo-dominant inheritance pattern.
AB - McArdle disease is an autosomal recessive glycogenosis due to deficiency of the
enzyme myophosphorylase. It results from homozygous or compound heterozygous
mutations in the gene for this enzyme, PYGM. We report six novel mutations in the
PYGM gene based upon sequencing data including three missense mutations (p.D51G,
p.P398L, and p.N648Y), one nonsense mutation (p.Y75X), one frame-shift mutation
(p.Y114SfsX181), and one amino acid deletion (p.Y53del) in six patients with
McArdle disease. We also report on a Caucasian family that appeared to transmit
McArdle disease in an autosomal dominant manner. In order to evaluate the
potential pathogenicity of the sequence variants, we performed in silico analysis
using PolyPhen-2 and SIFT BLink, along with species conservation analysis using
UCSC Genome Browser. The above mutations were all predicted to be disease
associated with high probability and with at least the same level of certainty as
several confirmed mutations. The current data add to the list of pathogenic
mutations in the PYGM gene associated with McArdle disease.
PMID- 21880525
TI - Clinical risk factors for fracture in diabetes: a matched cohort analysis.
AB - The objective was to determine which individuals with diabetes are at increased
risk for fracture. It is unknown whether traditional clinical risk factors (CRFs)
can be used in this population to identify individuals at higher risk of
fracture. Using the Manitoba Bone Density Program database, we identified 3054
diabetic women and 9151 matched nondiabetic controls. The independent association
of specific CRFs with incident osteoporotic fracture risk was assessed separately
in those with diabetes and in controls, with subsequent examination of the
interaction between diagnosed diabetes and each CRF. Prior major fractures were
more prevalent in the diabetic group compared with the nondiabetic group (16.2%
vs 14.3%, p<0.001). During mean 4 yr of observation, 259 (8.5%) of diabetic women
and 559 (6.5%) of nondiabetic women experienced an incident major osteoporotic
fracture (unadjusted hazard ratio [HR] for diabetes 1.49 [95% confidence interval
(CI): 1.28-1.72], p<0.001; adjusted HR 1.14 [95% CI: 1.10-1.18], p<0.001). There
were no significant differences between the 2 groups in the HRs for incident
fracture associated with any of the CRFs studied (all p-for-interaction >0.1).
Diabetes is a risk factor for major fracture. The ability of traditional CRFs to
predict osteoporotic fractures is not influenced by the diagnosis of diabetes.
PMID- 21880527
TI - Markers of feline leukaemia virus infection or exposure in cats from a region of
low seroprevalence.
AB - Molecular techniques have demonstrated that cats may harbour feline leukaemia
virus (FeLV) provirus in the absence of antigenaemia. Using quantitative real
time polymerase chain reaction (qPCR), p27 enzyme-linked immunosorbent assay
(ELISA), anti-feline oncornavirus-associated cell-membrane-antigen (FOCMA)
antibody testing and virus isolation (VI) we investigated three groups of cats.
Among cats with cytopenias or lymphoma, 2/75 were transiently positive for
provirus and anti-FOCMA antibodies were the only evidence of exposure in another.
In 169 young, healthy cats, all tests were negative. In contrast, 3/4 cats from a
closed household where FeLV was confirmed by isolation, had evidence of
infection. Our results support a role for factors other than FeLV in the
pathogenesis of cytopenias and lymphoma. There was no evidence of exposure in
young cats. In regions of low prevalence, where the positive predictive value of
antigen testing is low, qPCR may assist with diagnosis.
PMID- 21880528
TI - Development of an injection site sarcoma shortly after meloxicam injection in an
unvaccinated cat.
AB - A single dose of a rapidly-absorbed non-steroidal anti-inflammatory drug (NSAID)
was injected into the subcutaneous tissue of the interscapular region of a 12.5
year-old cat. A mild swelling was noticed at the injection site 6 weeks later.
This progressed into a 5 cm diameter mass which was removed 6 months after the
injection had been given. An injection site sarcoma (ISS) was diagnosed
histologically. As the cat had not been vaccinated for at least 12 years, the
previous NSAID injection was considered to be a possible cause of the ISS.
Inflammation is thought to be important in the development of ISS. If injection
of a rapidly-absorbed NSAID can stimulate sufficient inflammation to promote the
development of an ISS, other non-vaccine injections may also have the potential
to influence ISS development. This suggests that injection of both vaccines and
non-vaccine medications should be minimised to reduce the risk of ISS
development.
PMID- 21880529
TI - Locally invasive lymphangiosarcoma in a young domestic shorthair.
AB - A 2-year-old, female spayed, domestic shorthair cat presented to the University
of Missouri-Veterinary Medical Teaching Hospital (UMC-VMTH) with an approximately
11-month history of fluid-draining pockets along her ventral thorax and axillae.
The skin in these regions was erythematous, and multiple areas drained a serous
to serosanguinous fluid. Fluid-filled, nodules formed along the ventrum, but
these nodules disappeared as fluid drained spontaneously. Histologic assessment
of skin biopsies revealed areas of vascular proliferation extending along the
deep margin of the section and rare instances of invasion into the superficial
dermis. These vascular channels were devoid of cells, lined by variably
pleomorphic endothelial cells which had a low mitotic index. Based on the mild to
moderate pleomorphism, positive staining with prospero-related homeobox gene-1
(PROX-1), and the locally aggressive nature of the tumor, a final diagnosis of
lymphangiosarcoma was made.
PMID- 21880530
TI - Hematological abnormalities in HIV-infected patients.
AB - BACKGROUND: Anemia, neutropenia, and thrombocytopenia are commonly observed in
HIV-infected patients. This study was undertaken to evaluate the prevalence of
cytopenias and their association with CD4 count. Furthermore, the association of
hemoglobin concentration with mortality was also investigated. METHODS: We
reviewed the data of 701 HIV-infected patients followed at our institution. Blood
cell counts, hemoglobin concentration, CD4 count, and viral load were recorded.
We also recorded the mortality rate after 1 year in the groups with CD4 <200/MUl
and >= 200/MUl according to hemoglobin concentration. RESULTS: Of the total
patients, 37.5% had anemia; 61.1% (110/180) were in the low CD4 group and 29.4%
(153/521) were in the high CD4 group (p<0.01). Mean neutrophil counts were 2.610
* 10(9)/l and 3.204 * 10(9)/l in the low CD4 and high CD4 groups, respectively
(p<0.01); mean platelet counts were 218.639 * 10(9)/l and 234.807 * 10(9)/l for
the low CD4 and the high CD4 groups, respectively (p=0.03). Patients whose
hemoglobin concentration was below the median value had a higher death rate in
both the low CD4 (14 vs. 4 deaths, p=0.013) and high CD4 (8 vs. 1 death,
p=0.0158) groups. CONCLUSIONS: We found an association between CD4 count and
hemoglobin level, neutrophil count, and platelet count, and that anemia was
independently associated with a higher mortality.
PMID- 21880531
TI - Tropheryma whipplei aortic valve endocarditis without systemic Whipple's disease.
AB - Culture-negative endocarditis is most often the result of prior antimicrobial
therapy. Tropheryma whipplei is the etiologic agent of Whipple's disease, which
is typically characterized by diarrhea, weight loss, and intra-abdominal
lymphadenopathy. We present the case of a 48-year-old male with Whipple's
endocarditis of the aortic valve who did not develop signs of systemic Whipple's
disease. Our patient was treated with a regimen that included ceftriaxone for 6
weeks prior to his cardiac surgery, yet valve pathology demonstrated abundant T.
whipplei, suggesting that a prolonged antibiotic course is necessary for the
treatment of Whipple's endocarditis.
PMID- 21880532
TI - Management and outcome of high-risk peritonitis: a retrospective survey 2005
2009.
AB - OBJECTIVES: To describe the clinical and microbiological aspects of high-risk
peritonitis and to analyze their impact on its outcome. METHODS: This was a
retrospective review of all culture-positive peritonitis between October 1, 2005
and September 30, 2009. In accordance with recent Infectious Diseases Society of
America (IDSA) guidelines, a group of high-risk peritonitis patients was selected
based on age, severity of illness, underlying diseases, and acquisition of the
infection. RESULTS: Ninety-three patients with high-risk peritonitis were
studied; these patients were divided into subgroups of those with community
associated disease (14%) and those with healthcare-associated disease (86%). The
median age of patients was 66 (interquartile range (IQR) 22-95) years. The 30-day
mortality rate was 25%. Subgroups differed in age (p=0.011), degree of
comorbidity (p=0.023), severity of peritonitis (p=0.036), admission to the
intensive care unit (ICU) (p=0.002), length of ICU stay (p<0.001), length of
hospital stay (p<0.001), cure at day 30 (p=0.001), and adequate treatment
(p=0.042). The microbiological etiology and resistance profiles were similar
between the patient groups. Adequate empirical treatment was not related to a
better outcome. Severity of disease (p=0.005) and the presence of enterococci
(p=0.044) were independently associated with mortality. CONCLUSIONS: The mode of
acquisition influences severity and certain parameters of outcome in high-risk
peritonitis, but not its microbiological etiology. The outcome seems to depend
primarily on severity of peritonitis and much less on the adequacy of treatment.
PMID- 21880533
TI - [Target volume delineation for head and neck cancer intensity-modulated
radiotherapy].
AB - This article describes the determination and the delineation of the target
volumes for head-and-neck cancers treated with intensity-modulated radiotherapy
(IMRT). The delineation of the clinical target volumes (CTV) on the computerized
tomography scanner (CT scan) requires a rigorous methodology due to the
complexity of head-and-neck anatomy. The clinical examination with a sketch of
pretreatment tumour extension, the surgical and pathological reports and the
adequate images (CT scan, magnetic resonance imaging and fluorodeoxyglucose
positron emission tomography) are necessary for the delineation. The target
volumes depend on the overall strategy: sequential IMRT or simultaneous
integrated boost-IMRT (SIB-IMRT). The concept of selectivity of the potential
subclinical disease near the primary tumor and the selection of neck nodal
targets are described according to the recommendations and the litterature. The
planing target volume (PTV), mainly reflecting setup errors (random and
systematic), results from a uniform 4-5mm expansion around the CTV. We propose
the successive delineation of: (1) the gross volume tumour (GTV); (2) the "high
risk" CTV1 around the GTV or including the postoperative tumour bed in case of
positive margins or nodal extracapsular spread (65-70 Gy in 30-35 fractions); (3)
the CTV2 "intermediate risk" around the CTV1 for SIB-IMRT (59-63 Gy in 30-35
fractions); (4) the "low-risk" CTV3 (54-56 Gy in 30-35 fractions); (5) the PTVs.
PMID- 21880534
TI - [Locally advanced cervical cancer: Should intensity-modulated radiotherapy
replace brachytherapy?].
AB - Intensity-modulated conformal radiotherapy (IMRT) is booming as treatment of
locally advanced cervical cancer. This technique reduces the doses delivered to
organs at risk and, by analogy to the irradiation of prostate cancer, opens the
door to the possibility of dose escalation to levels close or similar to those
achieved by brachytherapy. To date, several studies comparing IMRT with
brachytherapy have been published, often methodologically flawed, concluding
sometimes that both techniques are comparable. These results should be taken with
extreme caution and should not overshadow the recent advances in brachytherapy
with the use of 3D imaging and optimization. Preliminary works also showed that
the combination of 3D optimized brachytherapy with IMRT could improve the
management of the local disease especially for lesions poorly covered by
intracavitary techniques.
PMID- 21880535
TI - [Interest of FDG-PET for lung cancer radiotherapy].
AB - The recent advances in medical imaging have profoundly altered the radiotherapy
of non-small cell lung cancers (NSCLC). A meta-analysis has confirmed the
superiority of FDG PET-CT over CT for initial staging. FDG PET-CT improves the
reproducibility of target volume delineation, especially close to the mediastinum
or in the presence of atelectasia. Although not formally validated by a
randomized trial, the reduction of the mediastinal target volume, by restricting
the irradiation to FDG-avid nodes, is widely accepted. The optimal method of
delineation still remains to be defined. The role of FDG PET-CT in monitoring
tumor response during radiotherapy is under investigation, potentially opening
the way to adapting the treatment modalities to tumor radiation sensitivity.
Other tracers, such as F-miso (hypoxia), are also under clinical investigation.
To avoid excessive delays, the integration of PET-CT in routine practice requires
quick access to the imaging equipment, technical support (fusion and image
processing) and multidisciplinary delineation of target volumes.
PMID- 21880536
TI - Tuning a lattice-Boltzmann model for applications in computational hemodynamics.
AB - The interest in lattice-Boltzmann models in the computational hemodynamics realm
has increased in recent years. In this context, the correct choice of numerical
parameters for the appropriate simulation of blood flows in major arteries is a
crucial aspect. For this reason, we present three parameter-tuning strategies
that allow us to reproduce correctly the pulsatile time-dependent flow of an
incompressible fluid under physiological regimes. These strategies are studied
for a model based on a single-relaxation-time approach in combination with second
order boundary conditions for both velocity and pressure, and proper equilibrium
distributions that take care of the incompressible behavior exhibited by the
fluid. The implementation is validated with the three-dimensional Womersley flow
benchmark. As well, the simulation of blood flows in a curved artery, in an
anastomosed vessel, in a patient specific vertebral artery and in an aneurysmal
region are presented in order to show how the method and the setting of the
numerical parameters are applied to different realistic hemodynamics problems.
PMID- 21880537
TI - Relationships between disability, quality of life and prevalence of nonmotor
symptoms in Parkinson's disease.
AB - Patients with Parkinson's disease suffer from a variety of motor and nonmotor
symptoms (NMS), report reduced quality of life and increased disability. Aims of
this study are to assess the impact of Parkinson's disease on disability and
quality of life, to evaluate the relationships between them and NMS prevalence.
In this cross-sectional study, adult patients were consecutively enrolled and
administered the World Health Organization Disability Assessment Schedule (WHO
DAS II), the 36-Item Short-Form Health Survey (SF-36) and the Non Motor Symptoms
Questionnaire (NMSQuest). One-sample t-test was used to compare WHO-DAS II and SF
36 scores with normative value. Pearson's correlation was performed between
NMSQuest, WHO-DAS II and SF-36 summary scales. Independent-sample t-test was used
to compare NMSQuest, WHO-DAS II and SF-36 scores in patients with Hoehn & Yahr
stage <3 and >= 3. In total, 96 patients were enrolled. SF-36 and WHO-DAS II
scores were significantly worse than the normative values. Correlation
coefficients between NMSQuest, WHO-DAS II and SF-36's mental score were moderate,
and were high between WHO-DAS II and and SF-36's physical score. Patients with
Hoehn & Yahr stage >= 3 reported reduced quality of life, higher disability and
more NMS. Parkinson's disease severity is strongly associated with reduced
quality of life, increased disability and NMS prevalence. Disability and quality
of life assessment tools measure psychosocial facets that are similar
specifically with regard to physical health component of health-related quality
of life, are sensitive enough to capture differences related to disease's
progression and increased prevalence of NMS.
PMID- 21880538
TI - Clinical failure of botulinum toxin A in movement disorders.
AB - OBJECTIVE: Botulinum toxin (BTX) injections have been used extensively in
medicine; however, little is known about the factors predicting the loss of
effectiveness of botulin toxin. METHODS: Using a clinical database, we identified
401 subjects who had been treated for movement disorders from 1998 through 2010
with onabotulinumtoxin A (BTX A) or who switched from BTX A to rimabotulinumtoxin
B (BTX B). We compared patients who switched from type A to type B with patients
using type A only with regard to number of visits, total number of injections,
number of initial and final sites, number of initial units used, and duration of
treatments. RESULTS: We observed that patients who switched from BTX A to B had a
significantly higher number of initial injection sites than patients with BTX A
only (BTX A to B median = 8.5; BTX A median = 6; p for difference = 0.006), had a
higher number of final sites (BTX A to B median = 9 BTX A median = 7; p = 0.01),
and were also more likely to have multiple reasons for injection (BTX A to B =
25.0%; botulin toxin A = 5.3%; p = 0.01). We did not find significant differences
between groups based on the other variables. CONCLUSIONS: Our findings suggest
that higher number of sites rather than higher number of units or years of
treatment are associated with the loss of effectiveness to BTX A. It is possible
that the loss of effectiveness to the BTX is more strongly elicited when the
injections are widely diffuse.
PMID- 21880539
TI - Analytical techniques: surface and interfacial characterisation.
PMID- 21880540
TI - Prognostic value of DNA ploidy status in patients with oral leukoplakia.
AB - Oral leukoplakia is a potentially malignant disorder that will develop into oral
cancer at an estimated rate of 1-2% per year. Aim of the present study is to
assess the possible predictive value of DNA ploidy for malignant progression of
oral leukoplakia. A cohort of 62 leukoplakia patients was studied and their
biopsy was examined with standard histopathology and DNA image cytometry. Cox
regression analysis was performed to establish the relationship between
progression-free survival and the DNA ploidy status. During the follow-up time
(median of 69 months) 13 patients developed an oral squamous cell carcinoma
(OSCC). DNA aneuploidy was observed in 27 (44%) patients and was significantly
associated with a shorter progression-free survival [Hazard ratio of 3.7, 95%
confidence intervals (CI) of 1.1 and 13.0 and a p-value of 0.04]. Sensitivity and
specificity scores were 54% and 60%, respectively. Aneuploidy was not correlated
with dysplasia grading (chi-square analysis). DNA aneuploidy in oral leukoplakia
is associated with an increased risk of progression to OSCC. However, for the
individual leukoplakia patient, DNA ploidy status as single biomarker has limited
value to predict progression to cancer.
PMID- 21880541
TI - Determination for Enterobacter cloacae based on a europium ternary complex
labeled DNA probe.
AB - The fast detection and accurate diagnosis of the prevalent pathogenic bacteria is
very important for the treatment of disease. Nowadays, fluorescence techniques
are important tools for diagnosis. A two-probe tandem DNA hybridization assay was
designed for the detection of Enterobacter cloacae based on time-resolved
fluorescence. In this work, the authors synthesized a novel europium ternary
complex Eu(TTA)(3)(5-NH(2)-phen) with intense luminescence, high fluorescence
quantum yield and long lifetime before. We developed a method based on this
europium complex for the specific detection of original extracted DNA from E.
cloacae. In the hybridization assay format, the reporter probe was labeled with
Eu(TTA)(3)(5-NH(2)-phen) on the 5'-terminus, and the capture probe capture probe
was covalent immobilized on the surface of the glutaraldehyde treated glass
slides. The original extracted DNA of samples was directly used without any DNA
purification and amplification. The detection was conducted by monitoring the
fluorescence intensity from the glass surface after DNA hybridization. The
detection limit of the DNA was 5*10(-10) mol L(-1). The results of the present
work proved that this new approach was easy to operate with high sensitivity and
specificity. It could be conducted as a powerful tool for the detection of
pathogen microorganisms in the environment.
PMID- 21880542
TI - Growth and characterization of 2-amino-4-picolinium toluene sulfonate single
crystal.
AB - 2-Amino-4-picolinium toluene sulfonate (2A4PTS), a new organic material, was
synthesized and grown as single crystals in room temperature by slow evaporation
solution growth technique using water as solvent. The crystal structure of 2A4PTS
has been determined using single crystal X-ray diffraction studies. 2A4PTS
belongs to monoclinic crystal system. The molecular arrangements in the crystal
were studied. The structural perfection of the grown crystals has been analysed
by high-resolution X-ray diffraction (HRXRD) rocking curve measurements. Fourier
transform infrared (FTIR) spectral studies have been performed to identify the
functional groups. The optical transmittance window and the lower cutoff
wavelength of the 2A4PTS have been identified by UV-Vis-NIR studies. The
nonlinear optical properties have been investigated by Z-scan method. The
nonlinear refractive index and linear absorption coefficient of the 2A4PTS are
found to be in the order of 10(-8) cm(2)/W and 10(-4) cm/W, respectively. The
laser induced surface damage threshold for the grown crystal was measured using
Nd:YAG laser. Thermal analysis carried out on the compound reveals that 2A4PTS is
stable up to 133 degrees C. The microhardness test was carried out and the load
dependent hardness was measured.
PMID- 21880543
TI - Disease burden of the most commonly detected respiratory viruses in hospitalized
patients calculated using the disability adjusted life year (DALY) model.
AB - BACKGROUND: The most common acute infections occur in the respiratory tract.
Recent discoveries of several novel viruses have markedly increased the
repertoire of agents understood to cause presentations of acute respiratory
disease. OBJECTIVES: Further understanding is needed of the relative importance
of newly discovered pathogens in the clinical setting to provide clinicians with
an indication of appropriate diagnostic and therapeutic targets. To address this,
quantification of the disease burden of respiratory viruses in hospitalized
patients was undertaken. STUDY DESIGN: Disease burden caused by respiratory
viruses in hospitalized patients was quantified using the World Health
Organization endorsed DALY model. Diagnostic testing results from samples
collected over three years for adenovirus (AdV), influenzas A and B,
parainfluenza viruses 1, 2 and 3 (PIV-1, -2 and -3), respiratory syncytial virus
(HRSV), and previously published retrospective screening for human
metapneumovirus, rhinoviruses, and four respiratory coronaviruses were applied to
the DALY model. Disability weights were calculated per 1000 hospitalized patients
in age banded groups. RESULTS: Strikingly different disease burden profiles were
observed in children and adults. Adenoviruses were among the leading cause of
respiratory presentations in children but not adults. HRSV and influenza A were
consistently one of the greatest causes of disease regardless of sampled
population. Rhinoviruses and PIV-3 were significant pathogens in all groups
except those aged 16-64 years. In immunocompromised patients rhinoviruses were
the leading viral cause of disease. CONCLUSIONS: These analyses provide a
framework which can be used to identify where finite resources should be directed
in respiratory therapeutics and vaccine development.
PMID- 21880544
TI - Low dosage promethazine and loratadine negatively affect neuromotor function.
AB - OBJECTIVES: Determine how the sedating antihistamine promethazine and non
sedating antihistamine loratadine at a dose of 10mg influence voluntary and
involuntary motor processes in the hours following ingestion and the morning
after ingestion. METHODS: Eight healthy young adults were recruited into a human
double-blind, placebo-controlled, three-way crossover study. Neuromotor function
was examined using a battery of controlled reaction time, postural tremor, and
heart rate variability measures. Neuromotor function was assessed 4 times for
each of the promethazine, loratadine and placebo interventions; pre-ingestion, 1h
post-ingestion, 2h post-ingestion, and the following day. RESULTS: Self-perceived
levels of drowsiness increased only after ingestion of promethazine. However,
both antihistamines had negative effects on simple reaction time, choice reaction
time, the RMS and peak power amplitude of postural tremor, and autonomic cardiac
regulation. CONCLUSIONS: The presence of selective neuromotor deficits following
ingestion of promethazine and loratadine suggest that sedating and non-sedating
antihistamines alter neuromotor function. It is possible that the H(1)
antagonists used in this study have antimuscarinic effects, which may impact on
the central dopaminergic system that plays a role in modulating several CNS
processes associated with movement. SIGNIFICANCE: Antihistamines are one of the
most commonly procured over-the-counter medications. The current study suggests
that taking non-sedating antihistamines to avoid the adverse drug reaction of
drowsiness may not avoid unwanted motor control side-effects.
PMID- 21880545
TI - A comparison of augmented low-Dye taping and ankle bracing on lower limb muscle
activity during walking in adults with flat-arched foot posture.
AB - OBJECTIVE: To compare the effect of taping and bracing on lower limb muscle
activity during gait. DESIGN: Cross-sectional laboratory study. METHODS: Twenty
seven asymptomatic adults with flat-arched foot posture were recruited to this
study. They walked over-ground under three randomly allocated conditions: (i)
barefoot; (ii) augmented low-Dye taping; (iii) replaceable ankle brace.
Electromyographic (EMG) activity from tibialis posterior, tibialis anterior,
peroneus longus and medial gastrocnemius was measured for each condition. Peak
EMG amplitude and time of peak EMG amplitude were assessed from stance phase
data. A series of one-way repeated measure analysis of variance followed by
Bonferroni post hoc tests were undertaken (alpha=0.05). RESULTS: Tibialis
posterior peak EMG amplitude decreased by 22% and 33% with bracing and taping
(respectively), compared to barefoot. Peak amplitude was also decreased for
peroneus longus by 34% and 30% and for tibialis anterior by 19% and 13% with
bracing and taping (respectively), compared to barefoot. Small significant
changes in time of peak EMG amplitude were found for tibialis posterior and
tibialis anterior with taping and bracing compared to barefoot. The effect of
taping and bracing was only different for tibialis posterior peak EMG amplitude,
with tape producing a 15% reduction compared to bracing. CONCLUSION: The
augmented low-Dye tape and replaceable ankle brace used in this study could be
useful in managing overuse and dysfunction of selected leg muscles, particularly
tibialis posterior, by reducing their level of activation during walking.
PMID- 21880546
TI - MtbSD--a comprehensive structural database for Mycobacterium tuberculosis.
AB - The Mycobacterium tuberculosis Structural Database (MtbSD)
(http://bmi.icmr.org.in/mtbsd/MtbSD.php) is a relational database for the study
of protein structures of M. tuberculosis. It currently holds information on
description, reaction catalyzed and domains involved, active sites, structural
homologues and similarities between bound and cognate ligands, for all the 857
protein structures that are available for M. tb proteins. The database will be a
valuable resource for TB researchers to select the appropriate protein-ligand
complex of a given protein for molecular modelling, docking, virtual screening
and structure-based drug designing.
PMID- 21880547
TI - Congenital bladder diverticulum presenting as bladder outlet obstruction in
infants and children.
AB - PURPOSE: Congenital primary bladder diverticulum is a rare cause of infra-vesical
obstruction. We present a series of 12 cases who presented with urinary retention
secondary to a large primary bladder diverticulum. The aim is to high light the
diagnostic difficulties and management issues of congenital bladder diverticulae.
METHODS: We reviewed the case sheets of 12 patients with congenital bladder
diverticulae who presented as lower tract obstruction with or without infection
at a tertiary care centre in the last 10 years. All patients had urine
examinations (complete microscopic with culture), serum creatinine,
ultrasonography, intravenous urogram, voiding cystourethrogram with or without
cystoscopy. The cases were managed by extravesical mobilization of diverticulae,
diverticulectomy, and ureteric re-implantation. All patients (aged 1-36 months,
mean 16.8 months) presented with retention of urine but 4 of them had symptoms of
fever, tachycardia, abdominal distension and vomiting. Serum creatinine was
normal in 10 out of 12 cases, but was high in two. Urine cultures grew
Escherichia coli in 5 cases. Ultrasonography showed moderate to severe
hydronephrosis on the left side in 5 cases, on the right side in 4 cases and
bilateral in 3 cases but diverticulae could be located in only 8 cases.
Intravenous urogram revealed hydrouretero-nephrosis in 9 cases, a poor
functioning kidney with hydrouretero-nephrosis in 3 cases and bilateral
hydrouretero-nephrosis in 3 cases. A Voiding Cystourethrogram confirmed the
diagnosis in all cases. Vesicoureteric reflux (Grade 4-5) into the ipsilateral
ureter was seen in 9 children of which it was bilateral in three cases. RESULTS:
All children underwent extravesical diverticulectomy with re-implantation of the
ipsilateral ureter in 9 cases, bilateral in 3 cases. All had an uneventful
recovery except for one who had a suprapubic leak which healed on conservative
treatment. Postoperative ultrasonograms showed mild hydronephrosis in 2 cases and
micturating cystourethrograms revealed a grade III V-U reflux in one case which
was managed conservatively. Patients are maintaining a sterile urine culture
after a follow up of 6-36 months. CONCLUSION: Primary bladder diverticulum should
be kept as a differential diagnosis in cases of bladder outlet obstruction in
infants and children. A carefully done voiding cystourethrogram is the hallmark
of diagnosis. Good results can be achieved by diverticulectomy and primary
definitive repair with ureteric re-implantation even in infants.
PMID- 21880548
TI - Ictal barking as a manifestation of temporal lobe epilepsy.
AB - Ictal nonspeech vocalizations have been described as manifestations of either
frontal or temporal epileptogenicity originating mainly from the dominant
hemisphere. Ictal barking, particularly, has been considered a manifestation of
mesial frontal epilepsy. A 42-year-old right-handed male with posttraumatic drug
resistant complex partial epilepsy manifested ictal barking near electrographic
onset. Extraoperative electrocorticography with subdural electrode coverage of
the right frontoparietal and temporal and left frontal surfaces provided
surveillance of ictal origin and propagation. Ictal origin was identified in the
right mesial temporal lobe with barking vocalization manifesting within 3s of
electrographic onset. No subsequent spread of activity was noted beyond the
temporal lobe. Resection of the mesial temporal structure resulted in seizure
freedom. Pathology identified hippocampal sclerosis. This case supports the
notion that an intrinsic, intralobar epileptogenic neural network in either
hemisphere can act as a conduit into the limbic and memory circuits without a
laterality bias to manifest as barking.
PMID- 21880549
TI - Risk of complications after bariatric surgery among individuals with and without
type 2 diabetes mellitus.
AB - BACKGROUND: Type 2 diabetes mellitus is highly prevalent in obese individuals.
Bariatric surgery, promoted for reducing the medical problems of morbid obesity,
has been increasingly recognized for its particular efficacy in treating
diabetes. However, before bariatric surgery can be recommended for the treatment
of diabetes, its safety in the diabetic population must be known. We assessed the
odds of complications after bariatric surgery in patients with and without
diabetes. METHODS: This was a retrospective cohort study. Using an administrative
database from 7 Blue Cross/Blue Shield plans, we identified 22,288 subjects who
had undergone bariatric surgery from 2002 to 2008. From this cohort, we selected
6754 pairs of surgical patients (1 with and 1 without diabetes) matched by age,
gender, health plan, and year of surgery. With conditional logistic regression
analysis, we determined the relative odds of postoperative complications for <=
12 months after surgery in the 2 groups. RESULTS: The mean age of the surgical
patients was 46 years, and 79% were women. Postoperative complications were rare
and comparable in those with and without diabetes. The most common complications
were nausea, vomiting, and abdominal pain (8.8%), the need for a gastric revision
procedure (5.0%), and upper endoscopy (2.3%). Select cardiac, infectious, and
renal complications occurred more frequently in the diabetic group. The incidence
of cardiac complications was greater in the 2-3-month and 4-6-month postoperative
periods (odds ratio [OR] 1.7, P < .001), the incidence of infectious
complications was greater in the 0-1-month (OR 1.3, P < .02) and 4-6-month (OR
1.8, P < .001) periods, and the incidence of renal complications was greater in
the 2-3-month postoperative period (OR 4.6, P = .01). CONCLUSIONS: Our findings
support the safety of bariatric surgery in obese individuals with diabetes,
although management strategies to avert postoperative cardiac, infectious, and
renal complications in this population might be warranted.
PMID- 21880550
TI - Band amiss in small bowel.
PMID- 21880551
TI - The value prescription: relative value theorem as a call to action.
AB - The Joint Commission of Pharmacy Practitioners Future Vision of Pharmacy Practice
2015 (2005) and Project Destiny (2008) clearly defined a vision for transforming
community practice pharmacy from a culture of dispensing drugs to the provision
of services. Several viable service offerings were identified. Pharmacy has not
yet fully capitalized on these opportunities. Pharmacy must demonstrate value in
providing these services to remain viable in the marketplace. Many pharmacists do
not understand how value is created and lack sufficient marketing skills to
position their practice for long-term success. The relative value theorem (RVT)
describes in simple terms the key elements that drive purchase decisions and thus
marketing decisions: (P+S)*PV=RV (P, price; S, service; PV, perceived value; RV,
relative value). A consumer compares the P, extra S, and PV of the purchase
against all potential uses of their scarce resources before deciding what to buy.
Evidence suggests that understanding and applying the principles of RVT is a
critical skill for pharmacy professionals in all practice settings to master if
they plan to remain viable players in the health care marketplace of the future.
PMID- 21880553
TI - Sol-gel immobilized biosensor for the detection of organophosphorous pesticides:
a voltammetric method.
AB - Organophosphorous compounds are important neuroactive molecules whose presence
exhibits significant analytical challenges. An acetylcholinesterase (AChE) based
amperometric biosensor was developed by silica sol-gel film immobilization of the
enzyme onto the carbon paste electrode. The mono enzyme biosensor was used for
the determination of two organophosphorous compounds such as methyl parathion
(MP) and acephate in 0.1M phosphate buffer (pH 7.0). The substrate used was
acetylthiocholine chloride (ASChCl) confirmed the formation of thiocholine and it
was electrochemically oxidized giving significant increase in anodic peak current
around at 0.60 V versus calomel electrode. The influence of pH, enzyme loading
and substrate concentration on the response of the biosensor was investigated.
The monoenzyme biosensor provided linearity to methyl parathion and acephate in
the concentration range of 0.1-0.5 ppb and 50-750 ppb with an incubation time of
20 min and 4 min. The detection limits under the optimum working conditions were
found to be 0.08 ppb for methyl parathion and 87 ppb for acephate. The sensor
shows good operational stability 89% of its original activity for 60 successive
measurements.
PMID- 21880554
TI - A novel impedimetric nanobiosensor for low level determination of hydrogen
peroxide based on biocatalysis of catalase.
AB - A robust and effective nanocomposite film-glassy carbon modified electrode based
on multi-walled carbon nanotubes and a room temperature ionic liquid 1-butyl-3
methylimidazolium hexafluorophosphate was prepared by a layer-by-layer self
assembly method. The fabricated modified electrode was used as a novel
impedimetric catalase nanobiosensor for the determination of H(2)O(2). Direct
electron transfer and electrocatalysis of catalase were fully investigated. The
results suggested that catalase could be firmly adsorbed at the modified
electrode. A pair of quasi-reversible redox peaks of catalase was observed in a
0.20 M degassed phosphate buffer solution of pH 7.0. The nanocomposite film
showed a pronounced increase in direct electron transfer between catalase and the
electrode. The immobilized catalase exhibited an excellent electrocatalytic
activity towards the reduction of H(2)O(2). The electrochemical impedance
spectroscopy measurements revealed that the charge transfer resistance decreases
significantly after enzymatic reaction with hydrogen peroxide, so that the
prepared modified electrode can be used for the detection of ultra traces of
H(2)O(2) (5-1700 nM).
PMID- 21880552
TI - Neostigmine-induced contraction and nitric oxide-induced relaxation of isolated
ileum from STZ diabetic guinea pigs.
AB - Both delayed gastrointestinal transit and autonomic neuropathy have been
documented in patients with diabetes mellitus. The mechanism of neostigmine, an
agent that mimics release of acetylcholine from autonomic neurons by prokinetic
agents, to contract smooth muscle, despite dysfunctional enteric neural pathways,
was determined using isolated ilea from STZ-treated and control guinea pigs. Both
bethanechol- and neostigmine-induced contractions were stronger in diabetic
ileum. Bethanechol-induced contractions of control but not diabetic ileum were
increased by low dose scopolamine suggesting reduced activation of presynaptic
muscarinic autoreceptors in diabetic ileum. The muscarinic receptor antagonist 4
DAMP strongly, but the nicotinic receptor antagonist hexamethonium only weakly,
reduced neostigmine-induced contractions of control and diabetic ilea. The amount
of acetylcholine, inferred from tissue choline content, was increased in diabetic
ileum. Nicotinic neural and noncholinergic postjunctional smooth muscle receptors
contributed more strongly to neostigmine-induced contractions in diabetic than
control ileum. Relaxation of diabetic ileum by exogenous nitric oxide generated
from sodium nitroprusside was comparable to control ileum, but smooth muscle
relaxation by l-arginine using neuronal nitric oxide synthase to generate nitric
oxide was weaker in diabetic ileum with evidence for a role for inducible nitric
oxide synthase. Despite autonomic neuropathy, neostigmine strongly contracted
ileum from diabetic animals but by a different mechanism including stronger
activation of postjunctional muscarinic receptors, greater synaptic
acetylcholine, stronger activation of noncholinergic excitatory pathways, and
weaker activation of inhibitory pathways. A selective medication targeting a
specific neural pathway may more effectively treat disordered gastrointestinal
transit in patients with diabetes mellitus.
PMID- 21880556
TI - Involvement of MRE11A and XPA gene polymorphisms in the modulation of DNA double
strand break repair activity: a genotype-phenotype correlation study.
AB - DNA double-strand breaks (DSB) are the most lethal form of ionizing radiation
induced DNA damage, and failure to repair them results in cell death. In order to
see if any associations exist between DNA repair gene polymorphisms and
phenotypic profiles of DSB repair (DSBR) we performed a genotype-phenotype
correlation study in 118 young healthy subjects (mean age 25.8+/-6.7years).
Subjects were genotyped for 768 single nucleotide polymorphisms (SNPs) with a
custom Illumina Golden Gate Assay, and an H2AX histone phosphorylation assay was
done to test DSBR capacity. We found that H2AX phosphorylation at 1h was
significantly lower in subjects heterozygous (no variant homozygotes were
observed) for the XPA gene SNP rs3176683 (p-value=0.005), while dephosphorylation
was significantly higher in subjects carrying the variant allele in three MRE11A
gene SNPs: rs1014666, rs476137 and rs2508784 (p-value=0.003, 0.003 and 0.008,
respectively). An additive effect of low-activity DNA repair alleles was
associated with altered DSBR activity, as demonstrated by both H2AX
phosphorylation at 1 h (p-trend <0.0001) and gammaH2AX dephosphorylation at 3h (p
trend <0.0001). Our study revealed that in addition to SNPs of genes that are
well-established players in DSBR, non-DSBR genes, such as the XPA gene that is
mainly involved in the nucleotide excision repair pathway, can also influence
DSBR in healthy subjects. This suggests that successful DSBR may require both
DSBR and non-DSBR mechanisms.
PMID- 21880555
TI - Processing of DNA structures via DNA unwinding and branch migration by the S.
cerevisiae Mph1 protein.
AB - The budding yeast Mph1 protein, the putative ortholog of human FANCM, possesses a
3' to 5' DNA helicase activity and is capable of disrupting the D-loop structure
to suppress chromosome arm crossovers in mitotic homologous recombination.
Similar to FANCM, genetic studies have implicated Mph1 in DNA replication fork
repair. Consistent with this genetic finding, we show here that Mph1 is able to
mediate replication fork reversal, and to process the Holliday junction via DNA
branch migration. Moreover, Mph1 unwinds 3' and 5' DNA Flap structures that bear
key features of the D-loop. These biochemical results not only provide validation
for a role of Mph1 in the repair of damaged replication forks, but they also
offer mechanistic insights as to its ability to efficiently disrupt the D-loop
intermediate.
PMID- 21880557
TI - Determining the limits and confounders for the 2-pentyl furan breath test by gas
chromatography/mass spectrometry.
AB - Aspergillus fumigatus produces 2-pentyl furan (2-PF), a volatile compound not
produced by many other pathogens or normal human metabolism. 2-Pentyl furan has
been detected in the breath of patients with invasive aspergillosis (IA) by SPME
pre-concentration coupled with CG/MS providing the possibility of an attractive
diagnostic test. The limit of detection (LOD) and quantification (LOQ) for peak
integration were assessed both statistically and empirically respectively. 2
Pentyl furan was detected from 10 of 45 food stuffs tested. Levels were highest
from soymilk (3 of 3 brands), lower from pumpkin, peanuts, rolled oats 2, Ensure
Plus, tinned asparagus, tinned beans and a vegetable exact (Marmite). No 2-PF was
detectable in anti-fungal medications used to treat IA or commonly used cosmetics
tested. There was no difference in 2-PF breath levels between morning and
afternoon or fasting and non fasting samples taken from healthy subjects eating a
diet without 2-PF rich foods. 2-Pentyl furan levels were present in breath
samples immediately after a mouth rinse with soy milk (P<0.001), and in some
subjects after ingesting soy milk and rinsing their mouth with water. The breath
test for 2-PF can be conducted without an overnight fast or at a specified time
provided the mouth has been rinsed 30 min or more from when 2-PF containing
products have been ingested.
PMID- 21880558
TI - Universality of design and its evolution: comment on "The constructal law and the
evolution of design in nature".
PMID- 21880559
TI - Knock-down of SOX11 induces autotaxin-dependent increase in proliferation in
vitro and more aggressive tumors in vivo.
AB - The transcription factor SOX11 is a novel diagnostic marker for mantle cell
lymphoma (MCL), distinguishing this aggressive tumor from potential simulators.
Recent data also show that the level of SOX11 correlates to in vitro growth
properties in MCL, as well as the clinical progression. We have previously shown
that MCL-associated pathways, such as Rb-E2F, are dysregulated leading to
decreased proliferation upon overexpression of SOX11, emphasizing the impact of
SOX11 on MCL-specific gene expression and growth control. However, it remains to
be determined which growth regulatory pathways that are induced upon SOX11 knock
down, leading to an increased cellular growth. Consequently, we established a
model cell line with constitutive down-regulation of SOX11. The highly
proliferative features of this cell line were investigated by gene expression
analysis, proliferation assay, cell cycle distribution and potential to induce
tumors in NOD-SCID mice. Our in vitro studies demonstrated a SOX11-dependent
regulation of MCL-specific gene expression. In addition, we identified autotaxin
(ATX) to be regulated by SOX11. Our results clearly showed a correlation between
SOX11 level and cellular growth rate, which was dependent on ATX, as well as a
direct relation between the level of SOX11 in tumorigenic cells and the growth
rate of these tumors in NOD-SCID mice.
PMID- 21880560
TI - Hepatitis E virus infection as a cause of acute hepatitis in Southern Italy.
AB - BACKGROUND: Hepatitis E virus (HEV) is a major cause of acute hepatitis in
developing countries, whereas it is not considered a major health problem in
Western World. AIMS: To investigate the spread of HEV and its possible role in
causing acute hepatitis in Southern Italy. METHODS: Four hundred and thirty
patients observed from April to December 2009 were studied and grouped as
follows: 55 individuals with acute hepatitis (AH), 33 of whom cryptogenic; 321
individuals with chronic liver diseases (CLD), (278 Italians and 43 immigrants);
54 individuals without liver disease (control-group). Serum samples from all
cases were tested for IgG anti-HEV antibodies and those positive to this test as
well as all AH cases were also tested both for IgM anti-HEV and HEV RNA. RESULTS:
Two of 33 (6%) cryptogenic AH cases were associated with HEV infection as shown
by positive IgM anti-HEV test. Both these patients had not travelled to areas at
high HEV endemicity. HEV RNA was not found in any sample tested. IgG anti-HEV
antibodies were detected in 5.7% of Italians with CLD and 3.7% of the control
group. No immigrant was found positive for any HEV marker. CONCLUSION:
Autochthonous HEV infection is present in Southern Italy where it may cause AH.
PMID- 21880561
TI - Coeliac disease characteristics, compliance to a gluten free diet and risk of
lymphoma by subtype.
AB - OBJECTIVE: Coeliac disease is associated with an increased risk of malignant
lymphomas. We investigated the importance of coeliac disease characteristics and
diet compliance for risk of lymphoma. METHODS: In a nested case-control design,
we identified 59 patients with lymphoma and 137 matched controls from a
population-based cohort of 11,650 inpatients with coeliac disease. We assessed
coeliac disease characteristics at diagnosis and dietary compliance collected
prospectively from medical records during follow-up. RESULTS: Poor compliance was
not significantly associated with risk of lymphoma overall (odds ratio 1.83, 95%
confidence interval 0.78-4.31) nor of lymphoma subtypes. Risk estimates differed
by subtype; risk of T-cell lymphoma (odds ratio 1.01, confidence interval 0.32
3.15) or intestinal lymphoma (odds ratio 0.66, confidence interval 0.17-2.56) was
unelevated, whereas there was an indication of a risk increase of B-cell lymphoma
(odds ratio 4.74, confidence interval 0.89-25.3) or extraintestinal lymphoma
(odds ratio 3.00, confidence interval 0.73-12.3) following poor compliance.
History of weight loss (odds ratio 2.89, confidence interval 1.00-8.29) at
coeliac disease diagnosis was associated with an increased risk of lymphoma when
excluding tumours occurring with short latency (<3 years). CONCLUSIONS:
Compliance to a gluten-free diet did not significantly alter lymphoma risk, but a
moderate effect cannot be excluded. Weight loss, a potential marker of coeliac
disease severity, may be associated with lymphoma risk.
PMID- 21880562
TI - The effect of viewing ultra-fit images on college women's body dissatisfaction.
AB - Modern ideals of female attractiveness include an extremely toned and fit
appearance in addition to extreme thinness. Although viewing thin models has a
negative effect on women's body image, research has not tested the effect of
exposure to the ultra-fit physique separate from the thin-ideal. This randomized,
posttest-only experiment tested the effects of the athletic aspect of the current
ideal by exposing 138 undergraduate women to thin and athletic models, normal
weight athletic models, or a control condition consisting of neutral objects. The
study also tested the moderating effects of thin-ideal and athletic-ideal
internalization. Exposure to thin ultra-fit models, but not normal weight ultra
fit models, produced an increase in body dissatisfaction and neither
internalization variable moderated this effect. Findings suggest that
interventions that focus on the benefits of fitness while challenging the
desirability of thinness may offer promising results.
PMID- 21880563
TI - Is Asian ethnicity an independent risk factor for severe perineal trauma in
childbirth? A systematic review of the literature.
AB - OBJECTIVE: To undertake a systematic review of the literature to determine
whether Asian ethnicity is an independent risk factor for severe perineal trauma
in childbirth. METHOD: Ovid Medline, CINAHL, and Cochrane databases published in
English were used to identify appropriate research articles from 2000 to 2010,
using relevant terms in a variety of combinations. All articles included in this
systematic review were assessed using the Critical Appraisal Skills Programme
(CASP) 'making sense of evidence' tools. FINDINGS: Asian ethnicity does not
appear to be a risk factor for severe perineal trauma for women living in Asia.
In contrast, studies conducted in some Western countries have identified Asian
ethnicity as a risk factor for severe perineal trauma. It is unknown why (in some
situations) Asian women are more vulnerable to this birth complication. The lack
of an international standard definition for the term Asian further undermines
clarification of this issue. Nevertheless, there is an urgent need to explore why
Asian women are reported to be significantly at risk for severe perineal trauma
in some Western countries. CONCLUSION: Current research on this topic is
confusing and conflicting. Further research is urgently required to explore why
Asian women are at risk for severe perineal trauma in some birth settings.
PMID- 21880564
TI - Botanical DNA evidence in criminal cases: Knotgrass (Polygonum aviculare L.) as a
model species.
AB - The possibilities and strategies for using DNA characteristics to link a
botanical sample to a specific source plant or location vary with its breeding
system. For inbreeding species, which often form small patches of identical
genotypes, knotgrass (Polygonum aviculare L.) is a suitable model species because
of its (1) occurrence in a wide range of natural environments, (2) abundant
presence in pieces of evidence, and (3) ease in molecular processing. The value
of knotgrass for forensic casework was demonstrated using data from a homicide
case. Using the DNA fingerprinting technique AFLP((r)) we were able to identify
the knotgrass population at the crime site as the most likely origin of the
botanical evidence. We expect that the development of tailored marker systems for
knotgrass and other frequently occurring (model) species will considerably
accelerate the use of botanical DNA evidence in criminal cases.
PMID- 21880565
TI - A statistical model for quantification and prediction of cardiac remodelling:
application to tetralogy of Fallot.
AB - Cardiac remodelling plays a crucial role in heart diseases. Analyzing how the
heart grows and remodels over time can provide precious insights into
pathological mechanisms, eventually resulting in quantitative metrics for disease
evaluation and therapy planning. This study aims to quantify the regional impacts
of valve regurgitation and heart growth upon the end-diastolic right ventricle
(RV) in patients with tetralogy of Fallot, a severe congenital heart defect. The
ultimate goal is to determine, among clinical variables, predictors for the RV
shape from which a statistical model that predicts RV remodelling is built. Our
approach relies on a forward model based on currents and a diffeomorphic surface
registration algorithm to estimate an unbiased template. Local effects of RV
regurgitation upon the RV shape were assessed with Principal Component Analysis
(PCA) and cross-sectional multivariate design. A generative 3-D model of RV
growth was then estimated using partial least squares (PLS) and canonical
correlation analysis (CCA). Applied on a retrospective population of 49 patients,
cross-effects between growth and pathology could be identified. Qualitatively,
the statistical findings were found realistic by cardiologists. 10-fold cross
validation demonstrated a promising generalization and stability of the growth
model. Compared to PCA regression, PLS was more compact, more precise and
provided better predictions.
PMID- 21880566
TI - Robust brain extraction across datasets and comparison with publicly available
methods.
AB - Automatic whole-brain extraction from magnetic resonance images (MRI), also known
as skull stripping, is a key component in most neuroimage pipelines. As the first
element in the chain, its robustness is critical for the overall performance of
the system. Many skull stripping methods have been proposed, but the problem is
not considered to be completely solved yet. Many systems in the literature have
good performance on certain datasets (mostly the datasets they were trained/tuned
on), but fail to produce satisfactory results when the acquisition conditions or
study populations are different. In this paper we introduce a robust, learning
based brain extraction system (ROBEX). The method combines a discriminative and a
generative model to achieve the final result. The discriminative model is a
Random Forest classifier trained to detect the brain boundary; the generative
model is a point distribution model that ensures that the result is plausible.
When a new image is presented to the system, the generative model is explored to
find the contour with highest likelihood according to the discriminative model.
Because the target shape is in general not perfectly represented by the
generative model, the contour is refined using graph cuts to obtain the final
segmentation. Both models were trained using 92 scans from a proprietary dataset
but they achieve a high degree of robustness on a variety of other datasets.
ROBEX was compared with six other popular, publicly available methods (BET, BSE,
FreeSurfer, AFNI, BridgeBurner, and GCUT) on three publicly available datasets
(IBSR, LPBA40, and OASIS, 137 scans in total) that include a wide range of
acquisition hardware and a highly variable population (different age groups,
healthy/diseased). The results show that ROBEX provides significantly improved
performance measures for almost every method/dataset combination.
PMID- 21880567
TI - Hierarchical parameter identification in models of respiratory mechanics.
AB - Potential harmful effects of ventilation therapy could be reduced by model-based
predictions of the effects of ventilator settings to the patient. To obtain
optimal predictions, the model has to be individualized based on patients' data.
Given a nonlinear model, the result of parameter identification using iterative
numerical methods depends on initial estimates. In this work, a feasible
hierarchical identification process is proposed and compared to the commonly
implemented direct approach with randomized initial values. The hierarchical
approach is exemplarily illustrated by identifying the viscoelastic model (VEM)
of respiratory mechanics, whose a priori identifiability was proven. To
demonstrate its advantages over the direct approach, two different data sources
were employed. First, correctness of the approach was shown with simulation data
providing controllable conditions. Second, the clinical potential was evaluated
under realistic conditions using clinical data from 13 acute respiratory distress
syndrome (ARDS) patients. Simulation data revealed that the success rate of the
direct approach exponentially decreases with increasing deviation of the initial
estimates while the hierarchical approach always obtained the correct solution.
The average computing time using clinical data for the direct approach equals
4.77 s (SD = 1.32) and 2.41 s (SD = 0.01) for the hierarchical approach.
These investigations demonstrate that a hierarchical approach may be beneficial
with respect to robustness and efficiency using simulated and clinical data.
PMID- 21880568
TI - Automatic anterior chamber angle assessment for HD-OCT images.
AB - Angle-closure glaucoma is a major blinding eye disease and could be detected by
measuring the anterior chamber angle in the human eyes. High-definition OCT
(Cirrus HD-OCT) is an emerging noninvasive, high-speed, and high-resolution
imaging modality for the anterior segment of the eye. Here, we propose a novel
algorithm which automatically detects a new landmark, Schwalbe's line, and
measures the anterior chamber angle in the HD-OCT images. The distortion caused
by refraction is corrected by dewarping the HD-OCT images, and three biometric
measurements are defined to quantitatively assess the anterior chamber angle. The
proposed algorithm was tested on 40 HD-OCT images of the eye and provided
accurate measurements in about 1 second.
PMID- 21880569
TI - Neural networks-based adaptive control for nonlinear time-varying delays systems
with unknown control direction.
AB - This paper investigates a neural network (NN) state observer-based adaptive
control for a class of time-varying delays nonlinear systems with unknown control
direction. An adaptive neural memoryless observer, in which the knowledge of time
delay is not used, is designed to estimate the system states. Furthermore, by
applying the property of the function tanh(2)(theta/epsilon)/theta (the function
can be defined at theta = 0) and introducing a novel type appropriate Lyapunov
Krasovskii functional, an adaptive output feedback controller is constructed via
backstepping method which can efficiently avoid the problem of controller
singularity and compensate for the time-delay. It is highly proven that the
closed-loop systems controller designed by the NN-basis function property, new
kind parameter adaptive law and Nussbaum function in detecting the control
direction is able to guarantee the semi-global uniform ultimate boundedness of
all signals and the tracking error can converge to a small neighborhood of zero.
The characteristic of the proposed approach is that it relaxes any restrictive
assumptions of Lipschitz condition for the unknown nonlinear continuous
functions. And the proposed scheme is suitable for the systems with mismatching
conditions and unmeasurable states. Finally, two simulation examples are given to
illustrate the effectiveness and applicability of the proposed approach.
PMID- 21880570
TI - Nonlinear regularization path for quadratic loss support vector machines.
AB - Regularization path algorithms have been proposed to deal with model selection
problem in several machine learning approaches. These algorithms allow
computation of the entire path of solutions for every value of regularization
parameter using the fact that their solution paths have piecewise linear form. In
this paper, we extend the applicability of regularization path algorithm to a
class of learning machines that have quadratic loss and quadratic penalty term.
This class contains several important learning machines such as squared hinge
loss support vector machine (SVM) and modified Huber loss SVM. We first show that
the solution paths of this class of learning machines have piecewise nonlinear
form, and piecewise segments between two breakpoints are characterized by a class
of rational functions. Then we develop an algorithm that can efficiently follow
the piecewise nonlinear path by solving these rational equations. To solve these
rational equations, we use rational approximation technique with quadratic
convergence rate, and thus, our algorithm can follow the nonlinear path much more
precisely than existing approaches such as predictor-corrector type nonlinear
path approximation. We show the algorithm performance on some artificial and real
data sets.
PMID- 21880571
TI - Object segmentation of database images by dual multiscale morphological
reconstructions and retrieval applications.
AB - Processing images for specific targets on a large scale has to handle various
kinds of contents with regular processing steps. To segment objects in one image,
we utilized dual multiScalE Graylevel mOrphological open and close
recoNstructions (SEGON) to build a background (BG) gray-level variation mesh,
which can help to identify BG and object regions. It was developed from a
macroscopic perspective on image BG gray levels and implemented using standard
procedures, thus robustly dealing with large-scale database images. The image
segmentation capability of existing methods can be exploited by the BG mesh to
improve object segmentation accuracy. To evaluate the segmentation accuracy, the
probability of coherent segmentation labeling, i.e., the normalized probability
random index (PRI), between a computer-segmented image and the hand-labeled one
is computed for comparisons. Content-based image retrieval (CBIR) was carried out
to evaluate the object segmentation capability in dealing with large-scale
database images. Retrieval precision-recall (PR) and rank performances, with and
without SEGON, were compared. For multi-instance retrieval with shape feature,
AdaBoost was used to select salient common feature elements. For color features,
the histogram intersection between two scalable HSV descriptors was calculated,
and the mean feature vector was used for multi-instance retrieval. The distance
measure for color feature can be adapted when both positive and negative queries
are provided. The normalized correlation coefficient of features among query
samples was computed to integrate the similarity ranks of different features in
order to perform multi-instance with multifeature query. Experiments showed that
the proposed object segmentation method outperforms others by 21% in the PRI.
Performing SEGON-enabled CBIR on large-scale databases also improves on the PR
performance reported elsewhere by up to 42% at a recall rate of 0.5. The proposed
object segmentation method can be extended to extract other image features, and
new feature types can be incorporated into the algorithm to further improve the
image retrieval performance.
PMID- 21880572
TI - Enhancing collaborative filtering by user interest expansion via personalized
ranking.
AB - Recommender systems suggest a few items from many possible choices to the users
by understanding their past behaviors. In these systems, the user behaviors are
influenced by the hidden interests of the users. Learning to leverage the
information about user interests is often critical for making better
recommendations. However, existing collaborative-filtering-based recommender
systems are usually focused on exploiting the information about the user's
interaction with the systems; the information about latent user interests is
largely underexplored. To that end, inspired by the topic models, in this paper,
we propose a novel collaborative-filtering-based recommender system by user
interest expansion via personalized ranking, named iExpand. The goal is to build
an item-oriented model-based collaborative-filtering framework. The iExpand
method introduces a three-layer, user-interests-item, representation scheme,
which leads to more accurate ranking recommendation results with less computation
cost and helps the understanding of the interactions among users, items, and user
interests. Moreover, iExpand strategically deals with many issues that exist in
traditional collaborative-filtering approaches, such as the overspecialization
problem and the cold-start problem. Finally, we evaluate iExpand on three
benchmark data sets, and experimental results show that iExpand can lead to
better ranking performance than state-of-the-art methods with a significant
margin.
PMID- 21880573
TI - Developing learning algorithms via optimized discretization of continuous
dynamical systems.
AB - Most of the existing numerical optimization methods are based upon a
discretization of some ordinary differential equations. In order to solve some
convex and smooth optimization problems coming from machine learning, in this
paper, we develop efficient batch and online algorithms based on a new principle,
i.e., the optimized discretization of continuous dynamical systems (ODCDSs).
First, a batch learning projected gradient dynamical system with Lyapunov's
stability and monotonic property is introduced, and its dynamical behavior
guarantees the accuracy of discretization-based optimizer and applicability of
line search strategy. Furthermore, under fair assumptions, a new online learning
algorithm achieving regret O(?T) or O(logT) is obtained. By using the line
search strategy, the proposed batch learning ODCDS exhibits insensitivity to the
step sizes and faster decrease. With only a small number of line search steps,
the proposed stochastic algorithm shows sufficient stability and approximate
optimality. Experimental results demonstrate the correctness of our theoretical
analysis and efficiency of our algorithms.
PMID- 21880574
TI - Prevalence and Global Health implications of social media in direct-to-consumer
drug advertising.
AB - BACKGROUND: Direct-to-consumer advertising (DTCA), linked to inappropriate
medication use and higher health care expenditures, is the fastest growing form
of pharmaceutical marketing. DTCA is legal only in the United States and New
Zealand. However, the advent of online interactive social media "Web 2.0"
technologies-that is, eDTCA 2.0-may circumvent DTCA legal proscriptions.
OBJECTIVE: The purpose of this study was to assess the prevalence of DTCA of
leading pharmaceutical company presence and drug product marketing in online
interactive social media technologies (eDTCA 2.0). METHODS: We conducted a
descriptive study of the prevalence of eDTCA 2.0 marketing in the top 10 global
pharmaceutical corporations and 10 highest grossing drugs of 2009. RESULTS: All
pharmaceutical companies reviewed (10/10, 100%) have a presence in eDTCA 2.0 on
Facebook, Twitter/Friendster, sponsored blogs, and really simple syndication
(RSS) feeds. In addition, 80% (8/10) have dedicated YouTube channels, and 80%
(8/10) developed health care communication-related mobile applications. For
reviewed drugs, 90% (9/10) have dedicated websites, 70% (7/10) have dedicated
Facebook pages, 90% (9/10) have health communications-related Twitter and
Friendster traffic, and 80% (8/10) have DTCA television advertisements on
YouTube. We also found 90% (9/10) of these drugs had a non-corporate eDTCA 2.0
marketing presence by illegal online drug sellers. CONCLUSION: Pharmaceutical
companies use eDTCA 2.0 to market themselves and their top-selling drugs. eDTCA
2.0 is also used by illicit online drug sellers. Regulators worldwide must take
into account the current eDTCA 2.0 presence when attempting to reach policy and
safety goals.
PMID- 21880576
TI - The yield of 18F-FDG PET/CT in patients with clinical stage IIA, IIB, or IIIA
breast cancer: a prospective study.
AB - The purpose of this study was to prospectively evaluate the role of (18)F-FDG
PET/CT in patients with stage IIA, IIB, or IIIA breast cancer. METHODS: During 56
mo, 131 consecutive patients with large (>2 cm) breast cancer and clinical stage
IIA, IIB, or IIIA (based on clinical examination, mammography, breast MRI, and
ultrasonography) underwent (18)F-FDG PET/CT. The nuclear physician was unaware of
the results of any other procedure (bone scan, chest radiography, liver
ultrasound, or thoracoabdominal CT scan). RESULTS: Of the 131 examined patients,
36 had clinical stage IIA (34 T2N0 and 2 T1N1), 48 stage IIB (20 T3N0 and 28
T2N1), and 47 stage IIIA (29 T3N1, 9 T2N2, and 9 T3N2). (18)F-FDG PET/CT modified
staging for 5.6% of stage IIA patients, for 14.6% of stage IIB patients, and for
27.6% of stage IIIA patients. However, within stage IIIA, the yield was
specifically high among the 18 patients with N2 disease (56% stage modification).
When considering stage IIB and primary operable IIIA (T3N1) together, the yield
of (18)F-FDG PET/CT was 13% (10/77); extraaxillary regional lymph nodes were
detected in 5 and distant metastases in 7 patients. In this series, (18)F-FDG
PET/CT outperformed bone scanning, with only 1 misclassification versus 8 for
bone scanning (P = 0.036). CONCLUSION: (18)F-FDG PET/CT provided useful
information in 13% of patients with clinical T3N0, T2N1, or T3N1 disease. The
yield was more modest in patients with stage IIA. The high yield in the case of
N2 disease demonstrates that stage IIIA comprises 2 quite distinct groups of
patients.
PMID- 21880575
TI - Brain and whole-body imaging in rhesus monkeys of 11C-NOP-1A, a promising PET
radioligand for nociceptin/orphanin FQ peptide receptors.
AB - Our laboratory developed (S)-3-(2'-fluoro-6',7'-dihydrospiro[piperidine-4,4'
thieno[3,2-c]pyran]-1-yl)-2-(2-fluorobenzyl)-N-methylpropanamide ((11)C-NOP-1A),
a new radioligand for the nociceptin/orphanin FQ peptide (NOP) receptor, with
high affinity (K(i), 0.15 nM) and appropriate lipophilicity (measured logD, 3.4)
for PET brain imaging. Here, we assessed the utility of (11)C-NOP-1A for
quantifying NOP receptors in the monkey brain and estimated the radiation safety
profile of this radioligand based on its biodistribution in monkeys. METHODS:
Baseline and blocking PET scans were acquired from head to thigh for 3 rhesus
monkeys for approximately 120 min after (11)C-NOP-1A injection. These 6 PET scans
were used to quantify NOP receptors in the brain and to estimate radiation
exposure to organs of the body. In the blocked scans, a selective nonradioactive
NOP receptor antagonist (SB-612111; 1 mg/kg intravenously) was administered
before (11)C-NOP-1A. In all scans, arterial blood was sampled to measure the
parent radioligand (11)C-NOP-1A. Distribution volume (V(T); a measure of receptor
density) was calculated with a compartment model using brain and arterial plasma
data. Radiation-absorbed doses were calculated using the MIRD Committee scheme.
RESULTS: After (11)C-NOP-1A injection, peak uptake of radioactivity in the brain
had a high concentration (~5 standardized uptake value), occurred early (~12
min), and thereafter washed out quickly. V(T) (mL . cm(-3)) was highest in the
neocortex (~20) and lowest in hypothalamus and cerebellum (~13). SB-612111
blocked approximately 50%-70% of uptake and reduced V(T) in all brain regions to
approximately 7 mL . cm(-3). Distribution was well identified within 60 min of
injection and stable for the remaining 60 min, consistent with only parent
radioligand and not radiometabolites entering the brain. Whole-body scans
confirmed that the brain had specific (i.e., displaceable) binding but could not
detect specific binding in peripheral organs. The effective dose for humans
estimated from the baseline scans in monkeys was 5.0 MUSv/MBq. CONCLUSION: (11)C
NOP-1A is a useful radioligand for quantifying NOP receptors in the monkey brain,
and its radiation dose is similar to that of other (11)C-labeled ligands for
neuroreceptors. (11)C-NOP-1A appears to be a promising candidate for measuring
NOP receptors in the human brain.
PMID- 21880577
TI - Phylogenetic analysis based on spectral methods.
AB - Whole-genome or multiple gene phylogenetic analysis is of interest since single
gene analysis often results in poorly resolved trees. Here, the use of spectral
techniques for analyzing multigene data sets is explored. The protein sequences
are treated as categorical time series, and a measure of similarity between a
pair of sequences, the spectral covariance, is based on the common periodicity
between these two sequences. Unlike the other methods, the spectral covariance
method focuses on the relationship between the sites of genetic sequences. By
properly scaling the dissimilarity measures derived from different genes between
a pair of species, we can use the mean of these scaled dissimilarity measures as
a summary statistic to measure the taxonomic distances across multiple genes. The
methods are applied to three different data sets, one noncontroversial and two
with some dispute over the correct placement of the taxa in the tree. Trees are
constructed using two distance-based methods, BIONJ and FITCH. A variation of
block bootstrap sampling method is used for inference. The methods are able to
recover all major clades in the corresponding reference trees with moderate to
high bootstrap support. Through simulations, we show that the covariance-based
methods effectively capture phylogenetic signal even when structural information
is not fully retained. Comparisons of simulation results with the bootstrap
permutation results indicate that the covariance-based methods are fairly robust
under perturbations in sequence similarity but more sensitive to perturbations in
structural similarity.
PMID- 21880578
TI - The effect of including cystatin C or creatinine in a cardiovascular risk model
for asymptomatic individuals: the multi-ethnic study of atherosclerosis.
AB - The authors studied the incremental value of adding serum cystatin C or
creatinine to the Framingham risk score variables (FRSVs) for the prediction of
incident cardiovascular disease (CVD) among 6,653 adults without clinical CVD
utilizing the Multi-Ethnic Study of Atherosclerosis (2000-2008). CVD events
included coronary heart disease, heart failure, stroke, and peripheral arterial
disease. Variables were transformed to yield optimal prediction of 6-year CVD
events in sex-stratified models with FRSVs alone, FRSVs + cystatin C, and FRSVs +
creatinine. Risk prediction in the 3 models was assessed by using the C
statistic, and net reclassification improvement was calculated. The mean ages
were 61.9 and 64.6 years for individuals with and without diabetes, respectively.
After 6 years of follow-up, 447 (7.2%) CVD events occurred. In the total cohort,
no significant change in the C statistic was noted with FRSVs + cystatin C and
FRSVs + creatinine compared with FRSVs alone, and net reclassification
improvement for CVD risk was extremely small and not significant with the
addition of cystatin C or creatinine to FRSVs. Similar findings were noted after
stratifying by baseline presence of diabetes. In conclusion, the addition of
cystatin C or serum creatinine to FRSVs does not improve CVD risk prediction
among adults without clinical CVD.
PMID- 21880579
TI - The transcription factor PAX2 regulates ADAM10 expression in renal cell
carcinoma.
AB - ADAM10 is a metalloprotease that plays an important role in the progression and
metastasis of various cancers. In the present study, we present compelling
evidence that PAX2 can bind to the promotor of ADAM10 and regulate ADAM10 protein
expression in renal cancer cells. We further show that ADAM10 is the major
sheddase for the constitutive cleavage of L1-CAM and c-Met, two important
proteins involved in the progression of renal cancer. The downregulation of
ADAM10 led to a more scattered cell phenotype, which was accompanied by the
induction of Slug and the loss of E-cadherin, which is observed during epithelial
to-mesenchymal transition (EMT). In addition, the downregulation of ADAM10
reduced the proliferation but induced the migration of renal cancer cells.
Notably, the downregulation of PAX2 led to an increased L1-CAM expression, which
was accompanied by a massive metalloprotease-mediated release of soluble L1-CAM.
Importantly, soluble L1-CAM induced the proliferation of endothelial cells and
the migration of renal cancer cells. Finally, we can demonstrate that the
silencing of PAX2 led to an L1-CAM-dependent activation of the PI3K/Akt pathway,
one important pathway mediating cancer cell survival. In summary, we identified
PAX2 as a regulator of L1-CAM and ADAM10, which play crucial roles in the
progression of various cancers including renal cell carcinoma and the
downregulation of ADAM10 maybe an earlier step in renal cancer development as it
seems to be involved in processes of EMT.
PMID- 21880580
TI - Interaction between functional polymorphic variants in cytokine genes,
established risk factors and susceptibility to basal cell carcinoma of skin.
AB - Basal cell carcinoma (BCC) of the skin is the most common neoplasm among the
Caucasian population of the Western world. Inflammation may result in oxidative
stress and contribute to promotion and progression of tumors, including BCC. The
role of cytokines, which are inflammatory modulators, in the biology of tumors
has been extensively studied and it is well known that they are aberrantly
produced by cancer cells, macrophages and other phagocytic cells. Genetic
polymorphisms are known in several cytokine genes, which result in altered
expression. In the present association study, we investigated the association of
14 functional polymorphisms in 11 cytokines genes with BCC risk in 529 BCC cases
and 532 healthy controls. We have also tested the possible interactions between
the genetic variants and three known risk factors for BCC: skin complexion, sun
effect and skin response to sun exposure. We did not observe any statistically
significant association between SNPs and BCC risk. However, we found that, in a
subgroup of subjects more prone to skin burns, carriers of at least one copy of
the G allele of rs1800629 (TNF) had an increased risk of BCC [odds ratio (OR) =
2.40, 95% confidence interval (CI) 1.38-4.16, P = 0.0005]. Moreover, in subjects
less prone to sunburns, we observed that carriers of the C allele of rs1143627
(IL1B) showed a decreased risk (OR = 0.53, 95% CI 0.34-0.82, P = 0.0019). In
conclusion, we found that two polymorphisms in inflammatory genes interacting
with environmental risk factors could modulate BCC risk.
PMID- 21880581
TI - Severity of disease and clinical outcomes in patients with hospital-acquired
pneumonia due to methicillin-resistant Staphylococcus aureus strains not
influenced by the presence of the Panton-Valentine leukocidin gene.
AB - BACKGROUND: Patients with community-acquired pneumonia (CAP) infected with
methicillin-resistant Staphylococcus aureus (MRSA) strains carrying the Panton
Valentine leukocidin (PVL) gene have severe clinical presentation and poor
clinical outcomes. Antibiotics that suppress toxin production have been suggested
for the management of these patients. The objective of this study was to compare
the severity of disease and clinical outcomes of patients with hospital-acquired
pneumonia/ventilator-associated pneumonia (HAP/VAP) infected with MRSA carrying
the PVL gene with those patients infected with MRSA strains that do not carry the
PVL gene. METHODS: This was a multicenter observational study of patients with
HAP and VAP. MRSA isolates were subjected to genetic analysis to define the
presence of the PVL gene, the USA type and the staphylococcal cassette chromosome
mec type. Severity of disease was evaluated with the Acute Physiology and Chronic
Health Evaluation II (APACHE II) score. The primary clinical outcome was
mortality at hospital discharge. RESULTS: A total of 109 cases of MRSA HAP/VAP
were evaluated. The incidence of PVL(+) MRSA was 27%. APACHE II score at
diagnosis of HAP/VAP was 21 +/- 8 for PVL(+) MRSA and 20 +/- 6 for PVL(-) MRSA (P
= .67). Mortality was 10% (3/29) for patients with PVL(+) MRSA versus 10% (8/80)
for patients with PVL(-) MRSA (P > .99). CONCLUSIONS: In patients with HAP or VAP
due to MRSA, severity of disease and clinical outcomes are not influenced by the
presence of the PVL gene. Therapeutic strategies directed to block PVL exotoxin
may not impact outcomes in these patients.
PMID- 21880582
TI - Prolonged survival of hepatitis C virus in the anesthetic propofol.
PMID- 21880583
TI - Predictors of clinical virulence in community-onset methicillin-resistant
Staphylococcus aureus infections: the importance of USA300 and pneumonia.
AB - BACKGROUND: Though USA300 community-onset methicillin-resistant Staphylococcus
aureus (CO-MRSA) has emerged as a major public health concern in the United
States, its relative virulence is unknown. We sought to evaluate if the USA300
strain of CO-MRSA causes more severe infections than other MRSA (ie, USA100,
500, -800, and others) strains. METHODS: An epidemiologic study was conducted
from 2000 to 2007 to measure rates of severe infection. A matched case-control
study was conducted from 2004 to 2006 to assess the relationship of strain type,
syndrome, and severity of infection. Severe illness was defined as CO-MRSA
infections with medical intensive care unit (MICU) admission or death within 1
week of admission. Controls were those with CO-MRSA infection without MICU
admission. RESULTS: We found an incidence of 75 cases per 100000 people of CO
MRSA infection in 2000, which increased to a rate of 396 per 100000 in 2007
(relative risk [RR], 5.3; 95% confidence interval [CI], 4.47-6.27). The incidence
of severe infections increased from 5 cases per 100000 in 2000 to 17 per 100000
in 2007 (RR, 3.4; 95% CI; 1.67-6.43). USA300 strains were negatively associated
with severe clinical courses or death as compared with other MRSA strain types.
The highest risk of severe infection was found in those with pulmonary embolic
infiltrates and bacteremia in the setting of USA300 infection (odds ratio, 31.41;
95% CI, 6.40-154.23). CONCLUSIONS: Our findings suggest that USA300 infections
are negatively associated with severe clinical courses, suggesting less virulence
than other MRSA strains, except in the setting of pneumonia with septic pulmonary
emboli.
PMID- 21880584
TI - Best alternative to vancomycin for serious methicillin-resistant Staphylococcus
aureus infections: let's just say it.
PMID- 21880585
TI - Vancomycin therapeutic guidelines: closer examination of neonatal
pharmacokinetics.
PMID- 21880586
TI - Treatment of parainfluenza 3 infection with DAS181 in a patient after allogeneic
stem cell transplantation.
AB - Parainfluenza virus (PIV) can cause significant morbidity after allogeneic stem
cell transplantation (SCT). We report the first use of inhaled DAS181 for PIV in
an allogeneic SCT recipient. Symptoms, oxygenation, and pulmonary function tests
improved. Nasopharyngeal samples showed a reduction in viral load. DAS181 should
be systematically evaluated for severe PIV infection.
PMID- 21880587
TI - The management of community-acquired pneumonia in infants and children older than
3 months of age: clinical practice guidelines by the Pediatric Infectious
Diseases Society and the Infectious Diseases Society of America.
AB - Evidenced-based guidelines for management of infants and children with community
acquired pneumonia (CAP) were prepared by an expert panel comprising clinicians
and investigators representing community pediatrics, public health, and the
pediatric specialties of critical care, emergency medicine, hospital medicine,
infectious diseases, pulmonology, and surgery. These guidelines are intended for
use by primary care and subspecialty providers responsible for the management of
otherwise healthy infants and children with CAP in both outpatient and inpatient
settings. Site-of-care management, diagnosis, antimicrobial and adjunctive
surgical therapy, and prevention are discussed. Areas that warrant future
investigations are also highlighted.
PMID- 21880588
TI - Expression and immunotherapeutic targeting of the SSX family of cancer-testis
antigens in prostate cancer.
AB - Recent U.S. Food and Drug Administration approval of the first immunotherapy for
prostate cancer encourages efforts to improve immune targeting of this disease.
The synovial sarcoma X chromosome breakpoint (SSX) proteins comprise a set of
cancer-testis antigens that are upregulated in MHC class I-deficient germline
cells and in various types of advanced cancers with a poor prognosis. Humoral and
cell-mediated immune responses to the SSX family member SSX2 can arise
spontaneously in prostate cancer patients. Thus, SSX2 and other proteins of the
SSX family may offer useful targets for tumor immunotherapy. In this study, we
evaluated the expression of SSX family members in prostate cancer cell lines and
tumor biopsies to identify which members might be most appropriate for immune
targeting. We found that SSX2 was expressed most frequently in prostate cell
lines, but that SSX1 and SSX5 were also expressed after treatment with the DNA
demethylating agent 5-aza-2'-deoxycytidine. Immunohistochemical analysis of
microarrayed tissue biopsies confirmed a differential level of SSX protein
expression in human prostate cancers. Notably, SSX expression in patient tumor
samples was restricted to metastatic lesions (5/22; 23%) and no expression was
detected in primary prostate tumors examined (0/73; P < 0.001). We determined
that cross-reactive immune responses to a dominant HLA-A2-specific SSX epitope
(p103-111) could be elicited by immunization of A2/DR1 transgenic mice with SSX
vaccines. Our findings suggest that multiple SSX family members are expressed in
metastatic prostate cancers which are amenable to simultaneous targeting.
PMID- 21880589
TI - Tissue factor-activated coagulation cascade in the tumor microenvironment is
critical for tumor progression and an effective target for therapy.
AB - Tissue factor (TF), a rate-limiting enzyme cofactor in activating coagulation, is
highly expressed in a wide spectrum of human tumor and tumor stromal cells. Using
TF-deficient cancer cells and a conditional TF-knockout mouse model, we show that
TF expressed by cancer cells, but not by the host stromal cells, plays a critical
role in tumor growth. In the tumor microenvironment, serum coagulation factors
are readily extravasated and therefore lead to continuous TF-mediated activation
of coagulation proteases. To target this highly specific cascade of serine
proteases, we used both a TF:VIIa inhibitor and doxorubicin-based prodrugs that
are selectively activated by TF:FVIIa, FXa, and thrombin. Treatment with the
TF:FVIIa inhibitor led to growth retardation in breast tumor models. In contrast,
treatment with the prodrug eliminated primary tumor cells and lung metastases
without apparent toxicity. Our findings offer preclinical proof of principle that
targeting the coagulation cascade that is activated in the tumor microenvironment
can be a highly effective approach for cancer therapy.
PMID- 21880590
TI - Profiling of the BRCA1 transcriptome through microarray and ChIP-chip analysis.
AB - A role for BRCA1 in the direct and indirect regulation of transcription is well
established. However, a comprehensive view of the degree to which BRCA1 impacts
transcriptional regulation on a genome-wide level has not been defined. We
performed genome-wide expression profiling and ChIP-chip analysis, comparison of
which revealed that although BRCA1 depletion results in transcriptional changes
in 1294 genes, only 44 of these are promoter bound by BRCA1. However, 27% of
these transcripts were linked to transcriptional regulation possibly explaining
the large number of indirect transcriptional changes observed by microarray
analysis. We show that no specific consensus sequence exists for BRCA1 DNA
binding but rather demonstrate the presence of a number of known and novel
transcription factor (TF)- binding sites commonly found on BRCA1 bound promoters.
Co-immunoprecipitations confirmed that BRCA1 interacts with a number of these TFs
including AP2-alpha, PAX2 and ZF5. Finally, we show that BRCA1 is bound to a
subset of promoters of genes that are not altered by BRCA1 loss, but are
transcriptionally regulated in a BRCA1-dependent manner upon DNA damage. These
data suggest a model, whereby BRCA1 is present on defined promoters as part of an
inactive complex poised to respond to various genotoxic stimuli.
PMID- 21880591
TI - Nuclear colocalization of transcription factor target genes strengthens
coregulation in yeast.
AB - Eukaryotic chromosomes are not randomly distributed in the interphase nucleus,
but instead occupy distinct territories. Nonetheless, the genome-wide
relationships of gene regulation to gene nuclear location remain poorly
understood in yeast. In the three-dimensional view of gene regulation, we found
that a considerable number of transcription factors (TFs) regulate genes that are
colocalized in the nucleus. Colocalized TF target genes are more strongly
coregulated compared with the other TF target genes. Target genes of chromatin
regulators are also colocalized. These results demonstrate that colocalization of
coregulated genes is a common process, and three-dimensional gene positioning is
an important part of gene regulation. Our findings will have implications in
understanding nuclear architecture and function.
PMID- 21880592
TI - Direct cloning of double-stranded RNAs from RNase protection analysis reveals
processing patterns of C/D box snoRNAs and provides evidence for widespread
antisense transcript expression.
AB - We describe a new method that allows cloning of double-stranded RNAs (dsRNAs)
that are generated in RNase protection experiments. We demonstrate that the mouse
C/D box snoRNA MBII-85 (SNORD116) is processed into at least five shorter RNAs
using processing sites near known functional elements of C/D box snoRNAs.
Surprisingly, the majority of cloned RNAs from RNase protection experiments were
derived from endogenous cellular RNA, indicating widespread antisense expression.
The cloned dsRNAs could be mapped to genome areas that show RNA expression on
both DNA strands and partially overlapped with experimentally determined
argonaute-binding sites. The data suggest a conserved processing pattern for some
C/D box snoRNAs and abundant expression of longer, non-coding RNAs in the cell
that can potentially form dsRNAs.
PMID- 21880593
TI - Ku counteracts mobilization of PARP1 and MRN in chromatin damaged with DNA double
strand breaks.
AB - In mammalian cells, the main pathway for DNA double-strand breaks (DSBs) repair
is classical non-homologous end joining (C-NHEJ). An alternative or back-up NHEJ
(B-NHEJ) pathway has emerged which operates preferentially under C-NHEJ defective
conditions. Although B-NHEJ appears particularly relevant to genomic instability
associated with cancer, its components and regulation are still largely unknown.
To get insights into this pathway, we have knocked-down Ku, the main contributor
to C-NHEJ. Thus, models of human cell lines have been engineered in which the
expression of Ku70/80 heterodimer can be significantly lowered by the conditional
induction of a shRNA against Ku70. On Ku reduction in cells, resulting NHEJ
competent protein extracts showed a shift from C- to B-NHEJ that could be
reversed by addition of purified Ku protein. Using a cellular fractionation
protocol after treatment with a strong DSBs inducer followed by western blotting
or immunostaining, we established that, among C-NHEJ factors, Ku is the main
counteracting factor against mobilization of PARP1 and the MRN complex to damaged
chromatin. In addition, Ku limits PAR synthesis and single-stranded DNA
production in response to DSBs. These data support the involvement of PARP1 and
the MRN proteins in the B-NHEJ route for the repair of DNA DSBs.
PMID- 21880594
TI - Guiding strand passage: DNA-induced movement of the gyrase C-terminal domains
defines an early step in the supercoiling cycle.
AB - DNA gyrase catalyzes ATP-dependent negative supercoiling of DNA in a strand
passage mechanism. A double-stranded segment of DNA, the T-segment, is passed
through the gap in a transiently cleaved G-segment by coordinated closing and
opening of three protein interfaces in gyrase. T-segment capture is thought to be
guided by the C-terminal domains of the GyrA subunit of gyrase that wrap DNA
around their perimeter and cause a DNA-crossing with a positive handedness. We
show here that the C-terminal domains are in a downward-facing orientation in the
absence of DNA, but swing up and rotate away from the gyrase body when DNA binds.
The upward movement of the C-terminal domains is an early event in the catalytic
cycle of gyrase that is triggered by binding of a G-segment, and first contacts
of the DNA with the C-terminal domains, and contributes to T-segment capture and
subsequent strand passage.
PMID- 21880595
TI - A novel three-unit tRNA splicing endonuclease found in ultrasmall Archaea
possesses broad substrate specificity.
AB - tRNA splicing endonucleases, essential enzymes found in Archaea and Eukaryotes,
are involved in the processing of pre-tRNA molecules. In Archaea, three types of
splicing endonuclease [homotetrameric: alpha(4), homodimeric: alpha(2), and
heterotetrameric: (alphabeta)(2)] have been identified, each representing
different substrate specificity during the tRNA intron cleavage. Here, we
discovered a fourth type of archaeal tRNA splicing endonuclease (epsilon(2)) in
the genome of the acidophilic archaeon Candidatus Micrarchaeum acidiphilum,
referred to as ARMAN-2 and its closely related species, ARMAN-1. The enzyme
consists of two duplicated catalytic units and one structural unit encoded on a
single gene, representing a novel three-unit architecture. Homodimeric formation
was confirmed by cross-linking assay, and site-directed mutagenesis determined
that the conserved L10-pocket interaction between catalytic and structural unit
is necessary for the assembly. A tRNA splicing assay reveal that epsilon(2)
endonuclease cleaves both canonical and non-canonical bulge-helix-bulge motifs,
similar to that of (alphabeta)(2) endonuclease. Unlike other ARMAN and
Euryarchaeota, tRNAs found in ARMAN-2 are highly disrupted by introns at various
positions, which again resemble the properties of archaeal species with
(alphabeta)(2) endonuclease. Thus, the discovery of epsilon(2) endonuclease in an
archaeon deeply branched within Euryarchaeota represents a new example of the
coevolution of tRNA and their processing enzymes.
PMID- 21880596
TI - Far upstream element binding protein 1 binds the internal ribosomal entry site of
enterovirus 71 and enhances viral translation and viral growth.
AB - Enterovirus 71 (EV71) is associated with severe neurological disorders in
children, and has been implicated as the infectious agent in several large-scale
outbreaks with mortalities. Upon infection, the viral RNA is translated in a cap
independent manner to yield a large polyprotein precursor. This mechanism relies
on the presence of an internal ribosome entry site (IRES) element within the 5'
untranslated region. Virus-host interactions in EV71-infected cells are crucial
in assisting this process. We identified a novel positive IRES trans-acting
factor, far upstream element binding protein 1 (FBP1). Using binding assays, we
mapped the RNA determinants within the EV71 IRES responsible for FBP1 binding and
mapped the protein domains involved in this interaction. We also demonstrated
that during EV71 infection, the nuclear protein FBP1 is enriched in cytoplasm
where viral replication occurs. Moreover, we showed that FBP1 acts as a positive
regulator of EV71 replication by competing with negative ITAF for EV71 IRES
binding. These new findings may provide a route to new anti-viral therapy.
PMID- 21880597
TI - Treatment of breast cancer cells with DNA demethylating agents leads to a release
of Pol II stalling at genes with DNA-hypermethylated regions upstream of TSS.
AB - Inactivation of tumor suppressor genes plays an important role in tumorigenesis,
and epigenetic modifications such as DNA methylation are frequently associated
with transcriptional repression. Here, we show that gene silencing at selected
genes with signs of DNA hypermethylation in breast cancer cells involves Pol II
stalling. We studied several repressed genes with DNA hypermethylation within a
region 1-kb upstream of the transcriptional start site that were upregulated
after treatment with DNA demethylating agents, such as Azacytidine and several
natural products. All those selected genes had stalled Pol II at their
transcriptional start site and showed enhanced ser2 phosphorylated Pol II and
elevated transcripts after drug treatment indicating successful elongation. In
addition, a decrease of the epigenetic regulator LSH in a breast cancer cell line
by siRNA treatment reduced DNA methylation and overcame Pol II stalling, whereas
overexpression of LSH in a normal breast epithelial cell line increased DNA
methylation and resulted in repression. Decrease of LSH was associated with
reduced DNMT3b binding to promoter sequences, and depletion of DNMT3b by siRNA
could release Pol II suggesting that DNMT3b is functionally involved. The release
of paused Pol II was accompanied by a dynamic switch from repressive to active
chromatin marks. Thus release of Pol II stalling can act as a mechanism for gene
reactivation at specific target genes after DNA demethylating treatment in cancer
cells.
PMID- 21880599
TI - Duplex-specific nuclease efficiently removes rRNA for prokaryotic RNA-seq.
AB - Next-generation sequencing has great potential for application in bacterial
transcriptomics. However, unlike eukaryotes, bacteria have no clear mechanism to
select mRNAs over rRNAs; therefore, rRNA removal is a critical step in sequencing
based transcriptomics. Duplex-specific nuclease (DSN) is an enzyme that, at high
temperatures, degrades duplex DNA in preference to single-stranded DNA. DSN
treatment has been successfully used to normalize the relative transcript
abundance in mRNA-enriched cDNA libraries from eukaryotic organisms. In this
study, we demonstrate the utility of this method to remove rRNA from prokaryotic
total RNA. We evaluated the efficacy of DSN to remove rRNA by comparing it with
the conventional subtractive hybridization (Hyb) method. Illumina deep sequencing
was performed to obtain transcriptomes from Escherichia coli grown under four
growth conditions. The results clearly showed that our DSN treatment was more
efficient at removing rRNA than the Hyb method was, while preserving the original
relative abundance of mRNA species in bacterial cells. Therefore, we propose
that, for bacterial mRNA-seq experiments, DSN treatment should be preferred to
Hyb-based methods.
PMID- 21880598
TI - Transcription factories in the context of the nuclear and genome organization.
AB - In the eukaryotic nucleus, genes are transcribed in transcription factories. In
the present review, we re-evaluate the models of transcription factories in the
light of recent and older data. Based on this analysis, we propose that
transcription factories result from the aggregation of RNA polymerase II
containing pre-initiation complexes assembled next to each other in the nuclear
space. Such an aggregation can be triggered by the phosphorylation of the C
terminal domain of RNA polymerase II molecules and their interaction with various
transcription factors. Individual transcription factories would thus incorporate
tissue-specific, co-regulated as well as housekeeping genes based only on their
initial proximity to each other in the nuclear space. Targeting genes to be
transcribed to protein-dense factories that contain all factors necessary for
transcription initiation and elongation through chromatin templates clearly
favors a more economical utilization and better recycling of the transcription
machinery.
PMID- 21880602
TI - The First AACR special conference on stem cells, development, and cancer: some of
these cells are not like the others.
AB - The American Association for Cancer Research (AACR) held an exciting conference
on Stem Cells, Development, and Cancer in Vancouver, British Columbia, Canada
(March 3-6, 2011). The meeting was cochaired by Geoffrey Wahl, Connie Eaves, and
Hans Clevers and was attended by 250 international researchers, 40% of whom were
young investigators. Three key themes emerged: (i) heterogeneity in stem cells
and cancer, (ii) solid tissue cancer stem cells, and (iii) lessons from
development. The interdisciplinary foundation of this meeting was central to its
success and appeal, underscoring the value of juxtaposing and interrelating work
from the three topics addressed.
PMID- 21880600
TI - Activation-induced disruption of nucleosome position clusters on the coding
regions of Gcn4-dependent genes extends into neighbouring genes.
AB - We have used paired-end sequencing of yeast nucleosomal DNA to obtain accurate
genomic maps of nucleosome positions and occupancies in control cells and cells
treated with 3-aminotriazole (3AT), an inducer of the transcriptional activator
Gcn4. In control cells, 3AT-inducible genes exhibit a series of distinct
nucleosome occupancy peaks. However, the underlying position data reveal that
each nucleosome peak actually consists of a cluster of mutually exclusive
overlapping positions, usually including a dominant position. Thus, each
nucleosome occupies one of several possible positions and consequently, different
cells have distinct local chromatin structures. Induction results in a major
disruption of nucleosome positioning, sometimes with altered spacing and a
dramatic loss of occupancy over the entire gene, often extending into a
neighbouring gene. Nucleosome-depleted regions are generally unaffected. Genes
repressed by 3AT show the same changes, but in reverse. We propose that yeast
genes exist in one of several alternative nucleosomal arrays, which are disrupted
by activation. We conclude that activation results in gene-wide chromatin
remodelling and that this remodelling can even extend into the chromatin of
flanking genes.
PMID- 21880603
TI - BMP2 and VEGF promote angiogenesis but retard terminal differentiation of
osteoblasts in bone regeneration by up-regulating Id1.
AB - Inadequate vascularization limits the repair of bone defects. In order to improve
angiogenesis and accelerate osteogenesis, the synergism of co-cultured cells with
genetic modification in bone regeneration was investigated in this study.
Endothelial progenitor cells (EPCs) and bone marrow stem cells (BMSCs) were
transfected with the genes of vascular endothelial growth factor (VEGF) and bone
morphogenetic protein 2 (BMP2) by adenovirus, respectively. The co-cultured
cells, designated as four groups including BMSC + EPC, Ad-BMP2-BMSC + EPC, BMSC +
Ad-VEGF-EPC, and Ad-BMP2-BMSC + Ad-VEGF-EPC groups, were seeded on an alginate
gel and then implanted into rat intramuscularly to evaluate the effects on
angiogenesis and osteogenesis. Both VEGF and BMP2 could induce the overexpression
of inhibitor of DNA-binding 1(Id1) gene which significantly promoted tube
formation in vitro and increase the amount of blood vessels in the Ad-BMP2-BMSC +
Ad-VEGF-EPC group after implantation. Nevertheless, overexpression of Id1
retarded the terminal differentiation of osteoblasts and the bone formation.
Later, osteogenic gene expression at transcriptional level, calcium nodules, and
alkaline phosphatase (ALP) activity showed a gradual decrease and the amount of
newly formed osteogenesis area exhibited a small increase in the Ad-BMP2-BMSC +
Ad-VEGF-EPC group. This finding suggests that a balanced regulation of Id1
expression in VEGF-EPCs and BMP2-BMSCs may be critical to cell-based and gene
based approaches for bone regeneration.
PMID- 21880604
TI - Differential mitochondrial calcium responses in different cell types detected
with a mitochondrial calcium fluorescent indicator, mito-GCaMP2.
AB - Mitochondrial calcium plays a crucial role in mitochondrial metabolism, cell
calcium handling, and cell death. However, some mechanisms concerning
mitochondrial calcium regulation are still unknown, especially how mitochondrial
calcium couples with cytosolic calcium. In this work, we constructed a novel
mitochondrial calcium fluorescent indicator (mito-GCaMP2) by genetic
manipulation. Mito-GCaMP2 was imported into mitochondria with high efficiency and
the fluorescent signals co-localized with that of tetramethyl rhodamine methyl
ester, a mitochondrial membrane potential indicator. The mitochondrial inhibitors
specifically decreased the signals of mito-GCaMP2. The apparent K(d) of mito
GCaMP2 was 195.0 nmol/L at pH 8.0 in adult rat cardiomyocytes. Furthermore, we
observed that mito-GCaMP2 preferred the alkaline pH surrounding of mitochondria.
In HeLa cells, we found that mitochondrial calcium ([Ca(2+)](mito)) responded to
the changes of cytosolic calcium ([Ca(2+)](cyto)) induced by histamine or
thapasigargin. Moreover, external Ca(2+) (100 MUmol/L) directly induced an
increase of [Ca(2+)](mito) in permeabilized HeLa cells. However, in rat
cardiomyocytes [Ca(2+)](mito) did not respond to cytosolic calcium transients
stimulated by electric pacing or caffeine. In permeabilized cardiomyocytes, 600
nmol/L free Ca(2+) repeatedly increased the fluorescent signals of mito-GCaMP2,
which excluded the possibility that mito-GCaMP2 lost its function in
cardiomyocytes mitochondria. These results showed that the response of
mitochondrial calcium is diverse in different cell lineages and suggested that
mitochondria in cardiomyocytes may have a special defense mechanism to control
calcium flux.
PMID- 21880605
TI - Congenital left circumflex artery to left atrial appendage fistula: searching for
a 'colorful fountain'.
PMID- 21880606
TI - Prolonged left ventricular twist in cardiomyopathies: a potential link between
systolic and diastolic dysfunction.
AB - AIMS: Left ventricular (LV) twist and untwist play a major role in LV mechanics.
We sought to acquire new pathophysiological insights in cardiomyopathies (CM)
studying LV twist dynamics by speckle tracking imaging (STI). METHODS AND
RESULTS: Standard echo-Doppler and STI study were performed in 67 CM patients
divided in four age- and sex-matched subgroups: 18 with apical hypertrophic
cardiomyopathy (Group A); 20 with asymmetrical hypertrophic cardiomyopathy (Group
B); 15 with dilated cardiomyopathy (Group C); 14 with LV non-compaction (Group
D). As controls, 34 age- and sex-matched normal subjects were studied. Compared
with control group, all CM Groups showed significantly lower longitudinal,
circumferential, and radial myocardial deformations (P < 0.05). LV twist was
correlated with ejection fraction (EF; r = 0.62; P < 0.0001). Furthermore, all CM
patients had a significantly lower twist rate (P < 0.05) and delayed onset of
untwist (P < 0.01). Of interest a significant correlation was found between
isovolumic relaxation time and untwist onset (r= 0.485, P < 0.0001). In addition,
a significant correlation was found between longitudinal deformations and the
onset of untwist (strain: r = 0.46, P = 0.0001; strain rate: r = 0.33, P =
0.0056) and between longitudinal strain rate and twisting rate (r= -0.38; P =
0.0015). CONCLUSION: (i) All CM patients show an impairment of longitudinal,
circumferential, and radial myocardial deformations; (ii) LV peak twist is
impaired only in CM with reduced EF but preserved in those with normal or
increased EF; (iii) LV twist is prolonged and untwisting onset is delayed in all
CM, suggesting that a mechanical adaptation to subclinical systolic abnormalities
might induce, by a prolonged LV twist, the early onset of diastolic dysfunction.
PMID- 21880607
TI - Resting coronary flow velocity in the functional evaluation of coronary artery
stenosis: study on sequential use of computed tomography angiography and
transthoracic Doppler echocardiography.
AB - AIMS: Accelerated flow at the site of flow-limiting stenosis can be detected by
transthoracic Doppler echocardiography (TTDE). We studied feasibility and
accuracy of sequential coronary computed tomography angiography (CTA) and TTDE in
detection of haemodynamically significant coronary artery disease (CAD). METHODS
AND RESULTS: We prospectively enrolled 107 patients with intermediate (30-70%)
pre-test likelihood of CAD. All patients underwent CTA using a 64-slice scanner.
Using TTDE, the ratio of maximal diastolic flow velocity to pre-stenotic flow
velocity (M/P ratio) was measured in the coronary segments with stenosis in CTA.
In all patients, the results were compared with invasive coronary angiography,
including measurement of fractional flow reserve when appropriate. All analyses
were done blinded. TTDE was feasible in 276 of 285 evaluated coronary segments.
Significant coronary stenoses were associated with a higher M/P ratio than non
significant stenoses (3.59 +/- 1.82 vs. 1.28 +/- 0.60, P < 0.001). The optimal
M/P ratio for detection of significant stenosis was 2.2 (area under receiver
operating characteristic curve 0.92, P < 0.001). Compared with the strategy of
CTA alone, sequential CTA and focused TTDE had a better positive predictive value
(PPV; 61 vs. 78%) and diagnostic accuracy (93 vs. 96%, P = 0.006) without
impairment of the negative predictive value (97 vs. 97%). CONCLUSION: Sequential
use of CTA and TTDE is feasible for combined anatomic and functional evaluation
of coronary stenoses. Compared with coronary CTA alone, addition of TTDE improved
PPV for detection of significant CAD.
PMID- 21880608
TI - Determinants of echocardiographic left atrial volume: implications for normalcy.
AB - AIMS: The relative role of multiple determinants of left atrial volume index
(LAVi) in athletes and non-athletes is not fully defined. Thus, we decided to
prospectively assess the determinants of LAVi in healthy individuals and
competitive athletes over a wide age range. METHODS AND RESULTS: Four hundred and
eighteen healthy individuals (mean age 41.7 +/- 15.6 years, range 16-84, 65%
males, 38% competitive athletes) underwent Doppler echocardiography including
assessment of LAVi by the biplane area-length method and of left ventricular (LV)
diastolic function including the ratio of early diastolic peak LV inflow velocity
to peak myocardial early diastolic velocity (E/e'). Mean LAVi was 32.2 +/- 9.0
mL/m(2) in the pooled population. LAVi was larger in athletes than in non
athletes (38.9 +/- 9.6 mL/m(2) vs. 28.4 +/- 5.8 mL/m(2), P < 0.0001). In the
pooled population a stepwise multiple linear regression analysis identified LV
end-diastolic volume index (LVEDVi) (beta = 0.378, P < 0.0001), LV mass index
(LVMi) (beta = 0.260, P < 0.0001), competitive sport activity (beta = 0.258, P <
0.0001), and age (beta = 0.222, P < 0.0001) as independent determinants of LAVi
(model R(2) = 0.54, P < 0.0001). By separate analyses, although LVEDVi, age, and
LVMi were predictors of LAVi in both groups, body mass index and the E/e' ratio
were additional predictors of LAVi only in non-athletes. CONCLUSIONS: In healthy
individuals LV size, competitive sport, age, and LV mass are independent
determinants of LAVi. Body mass index and the E/e' ratio affect LAVi only in non
athletes. These findings may have practical implications when assessing normalcy
of LA size in the clinical setting.
PMID- 21880609
TI - Assessment of right ventricular functions during cancer chemotherapy.
AB - AIMS: Although systolic and diastolic left ventricular functions after cancer
chemotherapy are well studied, there are a few investigations about the right
ventricular functions. We aimed to investigate the early effects of chemotherapy
on right heart, if any, in addition to the association between N-terminal pro
brain natriuretic peptide (NT-proBNP) and right heart echocardiographic indices.
METHODS AND RESULTS: Thirty-seven consecutive patients with newly diagnosed
breast cancer who were planned to receive either AC protocol [cyclophosphamide
(600 mg/m(2)) + adriamycin (60 mg/m(2))] or CAF protocol [cyclophosphamide (600
mg/m(2)) + adriamycin (60 mg/m(2)) + 5-fluorouracil (600 mg/m(2))] for six cures
were enrolled between February 2009 and June 2010. Echocardiography was performed
before the onset of the chemotheurapeutic regimen (T1), on the day after the
completion of the first cure (T2), and after the completion of two cures of the
regimen (T3). Serum NT-proBNP levels were also measured at T1, T2, and T3. The
mean right ventricular fractional area change (RVFAC) was 63.7 +/- 3.63, 63.3 +/-
3.67, and 61.2 +/- 4.41% at T1, T2, and T3, respectively (pT1-T3 and pT2-T3
<0.05). Tricuspid annular plane systolic excursion (TAPSE) has decreased in time
(1.82 +/- 0.2, 1.78 +/- 0.19, and 1.62 +/- 0.24 cm; pT1-T2, pT1-T3, and pT2-T3
were 0.002, <0.001, and <0.001, respectively). Tricuspid annular mean E'/A'
ratios were 1.42 +/- 0.16, 1.36 +/- 0.18, and 1.11 +/- 0.32 (pT1-T2 = 0.013, pT1
T3 < 0.001, and pT2-T3 < 0.001). Mean tricuspid annular systolic velocities were
11.35 +/- 1.85, 11.0 +/- 1.82, and 10.45 +/- 1.75 cm/s for T1, T2, and T3; and
the differences between T1 and T2, T1 and T3, and T2 and T3 were all significant
(P = 0.005, <0.001, and 0.001). Median serum NT-proBNP levels were 82 (60-247),
116 (60-426), and 170 (60-600) pg/mL at T1, T2, and T3. The amount of change in
RVFAC and TAPSE between T1 and T3 were found to be correlated with the amount of
change in NT-proBNP measurements between T1 and T3 (R: -0.7, P < 0.001; R: -0.62,
P < 0.001). CONCLUSION: There is a subclinical decrease in right ventricular
systolic and diastolic echocardiographic indices, although mostly, in the normal
range, in a relatively short time interval after onset of chemotherapy.
PMID- 21880610
TI - Quadricuspid aortic valve: a case study.
PMID- 21880611
TI - The myocardial architecture of cor triloculare biatrium resembling reptiles.
PMID- 21880612
TI - Contrast enhancement in the phase plate transmission electron microscopy using an
objective lens with a long focal length.
AB - A new optical condition using an objective lens (OL) of a long focal length
(objective mini lens: OM) was tested to enhance image contrast in phase plate
transmission electron microscopy (P-TEM). A phase plate was set on the selected
area aperture plane where diffraction patterns were formed under the optical
condition using the OM. A phase shift by the phase plate was added to the
electron waves to visualize phase objects. The application of the OM to the P-TEM
should provide higher phase contrast than that obtained by the OL for the phase
objects. One of the reasons for the contrast enhancement is that high-angle
scattering electron waves which would give the background intensity were not used
for image formation due to the large spherical aberration. Another reason is that
the cut-on frequency above which the phase shift was added by the phase plate
could be smaller using the OL with a long focal length. Experimental results and
model calculations showed the contrast enhancement of the biological specimens
using the OM.
PMID- 21880613
TI - 'About time!' Insights from Research with Pride: a community-student
collaboration.
AB - Research with Pride (RwP) was a community-student collaborative initiative to
promote and build capacity for community-based research exploring health and
wellness in lesbian, bisexual, trans and queer (LGBTQ) communities. The event
took place at University of Toronto's Dalla Lana School of Public Health (DLSPH)
in September 2009, and engaged over 100 students, community members and academic
researchers in a full day of discussion, learning and networking. RwP was
initiated by a group of graduate students in Health Promotion who identified a
gap in resources addressing LGBTQ health, facilitating their further learning and
work in this area. By engaging in a partnership with a community service
organization serving LGBTQ communities in downtown Toronto, RwP emerges as a key
example of the role of community-student partnerships in the pursuit of LGBTQ
health promotion. This paper will describe the nature of this partnership,
outline its strengths and challenges and emphasize the integral role of community
student partnerships in health promotion initiatives.
PMID- 21880614
TI - Biologically inspired crack delocalization in a high strain-rate environment.
AB - Biological materials possess unique and desirable energy-absorbing mechanisms and
structural characteristics worthy of consideration by engineers. For example,
high levels of energy dissipation at low strain rates via triggering of crack
delocalization combined with interfacial hardening by platelet interlocking are
observed in brittle materials such as nacre, the iridescent material in
seashells. Such behaviours find no analogy in current engineering materials. The
potential to mimic such toughening mechanisms on different length scales now
exists, but the question concerning their suitability under dynamic loading
conditions and whether these mechanisms retain their energy-absorbing potential
is unclear. This paper investigates the kinematic behaviour of an 'engineered'
nacre-like structure within a high strain-rate environment. A finite-element (FE)
model was developed which incorporates the pertinent biological design features.
A parametric study was carried out focusing on (i) the use of an overlapping
discontinuous tile arrangement for crack delocalization and (ii) application of
tile waviness (interfacial hardening) for improved post-damage behaviour. With
respect to the material properties, the model allows the permutation and
combination of a variety of different material datasets. The advantage of such a
discontinuous material shows notable improvements in sustaining high strain-rate
deformation relative to an equivalent continuous morphology. In the case of the
continuous material, the shockwaves propagating through the material lead to
localized failure while complex shockwave patterns are observed in the
discontinuous flat tile arrangement, arising from platelet interlocking. The
influence of the matrix properties on impact performance is investigated by
varying the dominant material parameters. The results indicate a deceleration of
the impactor velocity, thus delaying back face nodal displacement. A final series
of FE models considered the identification of an optimized configuration as a
function of tile waviness and matrix properties. In the combined model, the
optimized configuration was capable of stopping the ballistic threat, thus
indicating the potential for bioinspired toughened synthetic systems to defeat
high strain-rate threats.
PMID- 21880615
TI - Kinematic measures for assessing gait stability in elderly individuals: a
systematic review.
AB - Falls not only present a considerable health threat, but the resulting treatment
and loss of working days also place a heavy economic burden on society. Gait
instability is a major fall risk factor, particularly in geriatric patients, and
walking is one of the most frequent dynamic activities of daily living. To allow
preventive strategies to become effective, it is therefore imperative to identify
individuals with an unstable gait. Assessment of dynamic stability and gait
variability via biomechanical measures of foot kinematics provides a viable
option for quantitative evaluation of gait stability, but the ability of these
methods to predict falls has generally not been assessed. Although various
methods for assessing gait stability exist, their sensitivity and applicability
in a clinical setting, as well as their cost-effectiveness, need verification.
The objective of this systematic review was therefore to evaluate the sensitivity
of biomechanical measures that quantify gait stability among elderly individuals
and to evaluate the cost of measurement instrumentation required for application
in a clinical setting. To assess gait stability, a comparative effect size
(Cohen's d) analysis of variability and dynamic stability of foot trajectories
during level walking was performed on 29 of an initial yield of 9889 articles
from four electronic databases. The results of this survey demonstrate that
linear variability of temporal measures of swing and stance was most capable of
distinguishing between fallers and non-fallers, whereas step width and stride
velocity prove more capable of discriminating between old versus young (OY)
adults. In addition, while orbital stability measures (Floquet multipliers)
applied to gait have been shown to distinguish between both elderly fallers and
non-fallers as well as between young and old adults, local stability measures
(lambdas) have been able to distinguish between young and old adults. Both linear
and nonlinear measures of foot time series during gait seem to hold predictive
ability in distinguishing healthy from fall-prone elderly adults. In conclusion,
biomechanical measurements offer promise for identifying individuals at risk of
falling and can be obtained with relatively low-cost tools. Incorporation of the
most promising measures in combined retrospective and prospective studies for
understanding fall risk and designing preventive strategies is warranted.
PMID- 21880616
TI - A systems approach to model the relationship between aflatoxin gene cluster
expression, environmental factors, growth and toxin production by Aspergillus
flavus.
AB - A microarray analysis was used to examine the effect of combinations of water
activity (a(w), 0.995-0.90) and temperature (20-42 degrees C) on the activation
of aflatoxin biosynthetic genes (30 genes) in Aspergillus flavus grown on a
conducive YES (20 g yeast extract, 150 g sucrose, 1 g MgSO(4).7H(2)O) medium. The
relative expression of 10 key genes (aflF, aflD, aflE, aflM, aflO, aflP, aflQ,
aflX, aflR and aflS) in the biosynthetic pathway was examined in relation to
different environmental factors and phenotypic aflatoxin B(1) (AFB(1))
production. These data, plus data on relative growth rates and AFB(1) production
under different a(w) * temperature conditions were used to develop a mixed-growth
associated product formation model. The gene expression data were normalized and
then used as a linear combination of the data for all 10 genes and combined with
the physical model. This was used to relate gene expression to a(w) and
temperature conditions to predict AFB(1) production. The relationship between the
observed AFB(1) production provided a good linear regression fit to the predicted
production based in the model. The model was then validated by examining datasets
outside the model fitting conditions used (37 degrees C, 40 degrees C and
different a(w) levels). The relationship between structural genes (aflD, aflM) in
the biosynthetic pathway and the regulatory genes (aflS, aflJ) was examined in
relation to a(w) and temperature by developing ternary diagrams of relative
expression. These findings are important in developing a more integrated systems
approach by combining gene expression, ecophysiological influences and growth
data to predict mycotoxin production. This could help in developing a more
targeted approach to develop prevention strategies to control such carcinogenic
natural metabolites that are prevalent in many staple food products. The model
could also be used to predict the impact of climate change on toxin production.
PMID- 21880617
TI - Stochastic properties of the plant circadian clock.
AB - Circadian clocks are gene regulatory networks whose role is to help the organisms
to cope with variations in environmental conditions such as the day/night cycle.
In this work, we explored the effects of molecular noise in single cells on the
behaviour of the circadian clock in the plant model species Arabidopsis thaliana.
The computational modelling language Bio-PEPA enabled us to give a stochastic
interpretation of an existing deterministic model of the clock, and to easily
compare the results obtained via stochastic simulation and via numerical solution
of the deterministic model. First, the introduction of stochasticity in the model
allowed us to estimate the unknown size of the system. Moreover, stochasticity
improved the description of the available experimental data in several light
conditions: noise-induced fluctuations yield a faster entrainment of the plant
clock under certain photoperiods and are able to explain the experimentally
observed dampening of the oscillations in plants under constant light conditions.
The model predicts that the desynchronization between noisy oscillations in
single cells contributes to the observed damped oscillations at the level of the
cell population. Analysis of the phase, period and amplitude distributions under
various light conditions demonstrated robust entrainment of the plant clock to
light/dark cycles which closely matched the available experimental data.
PMID- 21880618
TI - There is always a trade-off between speed and force in a lever system: comment on
McHenry (2010).
PMID- 21880620
TI - Shifting the life-history paradigm: discovery of novel habitat use by hawksbill
turtles.
AB - Adult hawksbill turtles (Eretmochelys imbricata) are typically described as open
coast, coral reef and hard substrate dwellers. Here, we report new satellite
tracking data on female hawksbills from several countries in the eastern Pacific
that revealed previously undocumented behaviour for adults of the species. In
contrast to patterns of habitat use exhibited by their Caribbean and Indo-Pacific
counterparts, eastern Pacific hawksbills generally occupied inshore estuaries,
wherein they had strong associations with mangrove saltwater forests. The use of
inshore habitats and affinities with mangrove saltwater forests presents a
previously unknown life-history paradigm for adult hawksbill turtles and suggests
a potentially unique evolutionary trajectory for the species. Our findings
highlight the variability in life-history strategies that marine turtles and
other wide-ranging marine wildlife may exhibit among ocean regions, and the
importance of understanding such disparities from an ecological and management
perspective.
PMID- 21880621
TI - Masculinized female yellow-bellied marmots initiate more social interactions.
AB - The presence of male siblings in utero influences female morphology and life
history traits because testosterone transferred among foetuses may masculinize
females. Similarly, litter sex composition might alter the display of sexually
dimorphic behaviour, such as play and allogrooming, since they are modulated by
androgens. We explored whether masculinization alters the frequency of play and
sociopositive behaviour in female yellow-bellied marmots (Marmota flaviventris).
We found that masculinized juvenile females were more likely to initiate play and
allogrooming, but yearling females exhibited higher levels of oestrogen-modulated
sociopositive behaviours. Additionally, the more they interacted, the greater
number of different partners they interacted with. Our results suggest that
masculinization increases the rate of age-dependent social behaviour. This
probably works by increasing exploration that predisposes individuals to higher
encounter rates. Further support comes from previous findings showing that
masculinized females were more likely to disperse. Our study stresses the
importance of considering litter sex composition as a fitness modulator.
PMID- 21880622
TI - Increased responsiveness in feeding behaviour of Caenorhabditis elegans after
experimental coevolution with its microparasite Bacillus thuringiensis.
AB - Immune responses, either constitutive or induced, are costly. An alternative
defence strategy may be based on behavioural responses. For example, avoidance
behaviour reduces contact with pathogens and thus the risk of infection as well
as the requirement of immune system activation. Similarly, if pathogens are taken
up orally, preferential feeding of pathogen-free food may be advantageous.
Behavioural defences have been found in many animals, including the nematode
Caenorhabditis elegans. We here tested nematodes from a laboratory based
evolution experiment which had either coevolved with their microparasite Bacillus
thuringiensis (BT) or evolved under control conditions. After 48 generations,
coevolved populations were more sensitive to food conditions: in comparison with
the controls, they reduced feeding activity in the presence of pathogenic BT
strains while at the same time increasing it in the presence of non-pathogenic
strains. We conclude that host-parasite coevolution can drive changes in the
behavioural responsiveness to bacterial microbes, potentially leading to an
increased defence against pathogens.
PMID- 21880623
TI - Embryonic exposure to conspecific chemicals suppresses cane toad growth and
survival.
AB - Adaptations to suppress the viability of conspecifics may provide novel ways to
control invasive taxa. The spread of cane toads (Rhinella marina) through
tropical Australia has had severe ecological impacts, stimulating a search for
biocontrol. Our experiments show that cane toad tadpoles produce waterborne
chemical cues that suppress the viability of conspecifics encountering those cues
during embryonic development. Brief (72 h) exposure to these cues in the egg and
post-hatching phases massively reduced rates of survival and growth of larvae.
Body sizes at metamorphosis (about three weeks later) were almost twice as great
in control larvae as in tadpole-exposed larvae. The waterborne cue responsible
for these effects might provide a weapon to reduce toad recruitment within the
species' invaded range.
PMID- 21880624
TI - Fostering the rebirth of natural history.
AB - Natural history as we have known it is in decline. A growing movement is emerging
across disciplines, to understand its decline, and nurture its rebirth. A network
of like-minded scientists, resource managers, educators, writers and artists
natural historians-recently convened four consecutive Natural History Initiative
workshops to move past the forensic study of natural history, and instead focus
on solutions, conspiring to identify opportunities that dovetail the practice of
natural history with essential needs of modern science and society, and suggest
ways forward. This series of workshops occurred at various locations in the
western United States during the winter and spring of 2011, and recently
culminated in a Synthesis Summit on 20-24 June 2011.
PMID- 21880626
TI - 'YouTube': a useful tool for reminiscence therapy in dementia?
PMID- 21880625
TI - RASSF1A and the BH3-only mimetic ABT-737 promote apoptosis in pediatric
medulloblastoma cell lines.
AB - The RASSF1A tumor suppressor is potentially the most important candidate gene
identified in medulloblastoma to date, being epigenetically silenced in >79% of
primary tumors. However, its functional role has not been previously addressed in
this tumor type. Here, we demonstrate that expression of RASSF1A promotes the
induction of cell death after activation of both the extrinsic and intrinsic
apoptotic pathways in medulloblastoma cells. Treatment of UW228-3 cells stably
expressing RASSF1A with an anti-CD95 antibody to induce extrinsic apoptosis and
etoposide or cisplatin to activate intrinsic apoptosis augmented tumor cell
killing in a caspase-dependent manner. This led to increased activation of the
pro-apoptotic BCL-2 family member BAX. On the basis of this knowledge, we
demonstrate how the loss of RASSF1A function in medulloblastoma cells might be
overcome using the novel BH3-only mimetic ABT-737 in combination with
chemotherapeutic agents to target the BCL-2 anti-apoptotic members. We show that
ABT-737 increased susceptibility to apoptosis induced by DNA damage regardless of
RASSF1A expression status through increased activation of BAX. Our findings
identify the RASSF1A tumor suppressor as a promoter of apoptotic signaling
pathways. Investigation of its mechanism of action has revealed that these
pathways can still be promoted in its absence and how these potentially represent
novel therapeutic targets for medulloblastoma.
PMID- 21880627
TI - Diabetes, the glycaemic index and older people.
PMID- 21880628
TI - Integrative nucleophosmin mutation-associated microRNA and gene expression
pattern analysis identifies novel microRNA - target gene interactions in acute
myeloid leukemia.
AB - BACKGROUND: MicroRNAs are regulators of gene expression, which act mainly by
decreasing mRNA levels of their multiple targets. Deregulated microRNA expression
has been shown for acute myeloid leukemia, a disease also characterized by
altered gene expression associated with distinct genomic aberrations such as
nucleophosmin (NPM1) mutations. To shed further light on the role of deregulated
microRNA and gene expression in cytogenetically normal acute myeloid leukemia
with NPM1 mutation we performed an integrative analysis of microRNA and mRNA
expression data sets. DESIGN AND METHODS: Both microRNA and gene expression
profiles were investigated in samples from a cohort of adult cytogenetically
normal acute myeloid leukemia patients (n=43; median age 46 years, range 23-60
years) with known NPM1 mutation status (n=23 mutated, n=20 wild-type) and the
data were integratively analyzed. Putative microRNA-mRNA interactions were
validated by quantitative reverse transcriptase polymerase chain reaction,
western blotting and luciferase reporter assays. For selected microRNAs,
sensitivity of microRNA-overexpressing cells to cytarabine treatment was tested
by FACS viability and cell proliferation assays. RESULTS: Our integrative
approach of analyzing both microRNA- and gene expression profiles in parallel
resulted in a refined list of putative target genes affected by NPM1 mutation
associated microRNA deregulation. Of 177 putative microRNA - target mRNA
interactions we identified and validated 77 novel candidates with known or
potential involvement in leukemogenesis, such as IRF2-miR-20a, KIT-miR-20a and
MN1-miR-15a. Furthermore, our data showed that deregulated expression of tumor
suppressor microRNAs, such as miR-29a and miR-30c, might contribute to
sensitivity to cytarabine, which is observed in NPM1 mutated acute myeloid
leukemia. CONCLUSIONS: Overall, our observations highlight that integrative data
analysis approaches can improve insights into leukemia biology, and lead to the
identification of novel microRNA - target gene interactions of potential
relevance for acute myeloid leukemia treatment.
PMID- 21880629
TI - Cytopenias after day 28 in allogeneic hematopoietic cell transplantation: impact
of recipient/donor factors, transplant conditions and myelotoxic drugs.
AB - BACKGROUND: Secondary cytopenias are serious complications following
hematopoietic cell transplantation. Etiologies include myelotoxic agents, viral
infections, and possibly transplant-related factors such as the intensity of the
conditioning regimen and the source of stem cells. DESIGN AND METHODS: We
retrospectively analyzed data from 2162 hematopoietic cell transplant recipients
to examine the effect of these factors on overall cytopenias occurring after 28
days in hematopoietic cell transplantation. RESULTS: Advanced age of the patient,
recipient cytomegalovirus seropositivity, unrelated donor status, human leukocyte
antigen mismatch and lower doses of transplanted CD34(+) cells (<= 6.4*10(6)/kg)
significantly increased the risk of cytopenias after day 28. Non-myeloablative
hematopoietic cell transplantation had protective effects on anemia and
thrombocytopenia after day 28 (adjusted odds ratio 0.76, probability value of
0.05 and adjusted odds ratio 0.31, probability value of <0.0001, respectively)
but not on overall or ganciclovir-related neutropenia. This lack of protection
appeared to be due to the use of mycophenolate mofetil in the majority of
recipients of non-myeloablative hematopoietic cell transplants. Peripheral blood
stem cells did not confer protection from cytopenias when compared to bone
marrow. CONCLUSIONS: Elderly patients appear to be more prone to cumulative
toxicities of post-transplant drug regimens, but non-myeloablative conditioning,
optimized human leukocyte antigen matching, and higher doses of CD34(+) cell
infusions may reduce the risk of cytopenia after day 28.
PMID- 21880630
TI - Minimal residual disease in peripheral blood at day 15 identifies a subgroup of
childhood B-cell precursor acute lymphoblastic leukemia with superior prognosis.
AB - BACKGROUND: Most minimal residual disease-directed treatment interventions in
current treatment protocols for acute lymphoblastic leukemia are based on bone
marrow testing, which is a consequence of previous studies showing the
superiority of bone marrow over peripheral blood as an investigational material.
Those studies typically did not explore the prognostic impact of peripheral blood
involvement and lacked samples from very early time points of induction. DESIGN
AND METHODS: In this study, we employed real-time quantitative polymerase chain
reaction analysis to examine minimal residual disease in 398 pairs of blood and
bone marrow follow-up samples taken from 95 children with B-cell precursor acute
lymphoblastic leukemia treated with the ALL IC-BFM 2002 protocol. RESULTS: We
confirmed the previously published poor correlation between minimal residual
disease in blood and marrow at early treatment time points, with levels in bone
marrow being higher than in blood in most samples (median 7.9-fold, range 0.04
8,293-fold). A greater involvement of peripheral blood at diagnosis was
associated with a higher white blood cell count at diagnosis (P=0.003) and with
enlargement of the spleen (P=0.0004) and liver (P=0.05). At day 15, a level of
minimal residual disease in blood lower than 10(-4) was associated with an
excellent 5-year relapse-free survival in 78 investigated patients (100% versus
69 +/- 7%; P=0.0003). Subgroups defined by the level of minimal residual disease
in blood at day 15 (high-risk: >= 10(-2), intermediate-risk: <10(-2) and >= 10(
4), standard-risk: <10(-4)) partially correlated with bone marrow-based
stratification described previously, but the risk groups did not match
completely. No other time point analyses were predictive of outcome in peripheral
blood, except for a weak association at day 8. CONCLUSIONS: Minimal residual
disease in peripheral blood at day 15 identified a large group of patients with
an excellent prognosis and added prognostic information to the risk
stratification based on minimal residual disease at day 33 and week 12.
PMID- 21880631
TI - SWAP-70 regulates erythropoiesis by controlling alpha4 integrin.
AB - Background The regulation of normal and stress-induced erythropoiesis is
incompletely understood. Integrin-dependent adhesion plays important roles in
erythropoiesis, but how integrins are regulated during erythropoiesis remains
largely unknown. DESIGN AND METHODS: To obtain novel insights into the regulation
of erythropoiesis, we used cellular and molecular approaches to analyze the role
of SWAP-70 and the control of integrins through SWAP-70. In addition, mice
deficient for this protein were investigated under normal and erythropoietic
stress conditions. RESULTS: We show that SWAP-70, a protein involved in
cytoskeletal F-actin rearrangements and integrin regulation in mast cells, is
expressed in hematopoietic stem cells and myeloid-erythroid precursors. Although
Swap-70(-/-) mice are not anemic, erythroblastic differentiation is perturbed,
and SWAP-70 is required for an efficient erythropoietic stress response to acute
anemia and for erythropoietic recovery after bone marrow transplantation in
irradiated mice. SWAP-70 deficiency impairs colony-forming unit erythroid
development, while burst-forming unit erythroid development is normal, and
significantly affects development of late erythroblasts in the spleen and bone
marrow. The alpha(4) integrin is constitutively hyper-activated in Swap-70(-/-)
colony-forming unit erythroid cells, which hyper-adhere to fibronectin. Blocking
alpha(4) and beta(1) integrin chains in vivo restored erythroblastic
differentiation and the erythropoietic stress response in Swap-70(-/-) mice.
Conclusions Our study reveals that SWAP-70 is a novel regulator of integrin
mediated red blood cell development and stress-induced erythropoiesis.
PMID- 21880633
TI - Managing individuals with propensity to myeloid malignancies due to germline
RUNX1 deficiency.
PMID- 21880632
TI - The in vivo mechanism of action of CD20 monoclonal antibodies depends on local
tumor burden.
AB - BACKGROUND: CD20 monoclonal antibodies are widely used in clinical practice.
Antibody-dependent cellular cytotoxicity, complement-dependent cytotoxicity and
direct cell death have been suggested to be important effector functions for CD20
antibodies. However, their specific contributions to the in vivo mechanism of
action of CD20 immunotherapy have not been well defined. DESIGN AND METHODS: Here
we studied the in vivo mechanism of action of type I (rituximab and ofatumumab)
and type II (HuMab-11B8) CD20 antibodies in a peritoneal, syngeneic, mouse model
with EL4-CD20 cells using low and high tumor burden. RESULTS: Interestingly, we
observed striking differences in the in vivo mechanism of action of CD20
antibodies dependent on tumor load. In conditions of low tumor burden, complement
was sufficient for tumor killing both for type I and type II CD20 antibodies. In
contrast, in conditions of high tumor burden, activating FcgammaR (specifically
FcgammaRIII), active complement and complement receptor 3 were all essential for
tumor killing. Our data suggest that complement-enhanced antibody-dependent
cellular cytotoxicity may critically affect tumor killing by CD20 antibodies in
vivo. The type II CD20 antibody 11B8, which is a poor inducer of complement
activation, was ineffective against high tumor burden. CONCLUSIONS: Tumor burden
affects the in vivo mechanism of action of CD20 antibodies. Low tumor load can be
eliminated by complement alone, whereas elimination of high tumor load requires
multiple effector mechanisms.
PMID- 21880635
TI - DNA methyltransferase 3a hot-spot locus is not mutated in pediatric patients
affected by acute myeloid or T-cell acute lymphoblastic leukemia: an Italian
study.
PMID- 21880636
TI - Rare occurrence of DNMT3A mutations in myelodysplastic syndromes.
AB - Gene mutations and epigenetic changes have been shown to play significant roles
in the pathogenesis of myelodysplastic syndromes. Recently, mutations in DNMT3A
were identified in 22.1% of patients with acute myeloid leukemia. In this study,
we analyzed the frequency and clinical impact of DNMT3A mutations in a cohort of
193 patients with myelodysplastic syndromes. Mutations in DNMT3A were found in
2.6% of patients. The majority of mutations were heterozygous missense mutations
affecting codon R882. Patients with DNMT3A mutations were found to have a higher
rate of transformation to acute myeloid leukemia. When assessing the global
methylation levels in patients with mutated versus unmutated DNMT3A and healthy
controls no difference in global DNA methylation levels between the two groups
was seen. Our data show that in patients with myelodysplastic syndromes, DNMT3A
mutations occur at a low frequency and may be a risk factor for leukemia
progression.
PMID- 21880634
TI - Newly diagnosed immune thrombocytopenia in children and adults: a comparative
prospective observational registry of the Intercontinental Cooperative Immune
Thrombocytopenia Study Group.
AB - BACKGROUND: Primary immune thrombocytopenia is a bleeding diathesis with an
unknown etiology in predisposed individuals with immune disturbances. Although it
is claimed that children and adults differ in clinical and laboratory aspects,
few data exist to corroborate this observation. Our objective was to assess
comparative data from children and adults with newly diagnosed immune
thrombocytopenia. DESIGN AND METHODS: Clinical and laboratory data of 1,784
children and 340 adults were extracted from the Pediatric and Adult Registry on
Chronic Immune Thrombocytopenia. The registry represents a prospective cohort of
children and adults with newly diagnosed immune thrombocytopenia. Participating
investigators registered their patients immediately after the diagnosis using a
web based data transfer. Children aged under 16 years were compared with adults
aged 16 years and over with descriptive statistical analyses. RESULTS: The
presenting mean platelet count of children and adults was 18.1 and 25.4 *
10(9)/L. Signs of bleeding were reported in 24% of children and in 23% of adults,
and intracranial hemorrhage in 10 of 1,784 children and in 6 of 340 adults. Co
morbidity was observed in 3.9% of children and in 30% of adults. Bone marrow
aspiration and laboratory tests (antinuclear antibodies, human immunodeficiency
and hepatitis C virus) were performed more frequently in adults. Children and
adults were followed with a 'watch and wait' strategy in 20% and in 29%,
respectively. Immunoglobulins were used more frequently in children and
corticosteroids in adults. CONCLUSIONS: Comparative data of children and adults
with newly diagnosed immune thrombocytopenia revealed similarities in presenting
platelet counts and in bleeding, whereas differences occurred in co-morbidity,
diagnostic procedures and therapy.
PMID- 21880637
TI - Mutations of PHF6 are associated with mutations of NOTCH1, JAK1 and rearrangement
of SET-NUP214 in T-cell acute lymphoblastic leukemia.
AB - BACKGROUND: Mutations in the PHF6 gene were recently described in patients with T
cell acute lymphoblastic leukemia and in those with acute myeloid leukemia. The
present study was designed to determine the prevalence of PHF6 gene alterations
in T-cell acute lymphoblastic leukemia. DESIGN AND METHODS: We analyzed the
incidence and prognostic value of PHF6 mutations in 96 Chinese patients with T
cell acute lymphoblastic leukemia. PHF6 deletions were screened by real-time
quantitative polymerase chain reaction and array-based comparative genomic
hybridization. Patients were also investigated for NOTCH1, FBXW7, WT1, and JAK1
mutations together with CALM-AF10, SET-NUP214, and SIL-TAL1 gene rearrangements.
RESULTS: PHF6 mutations were identified in 11/59 (18.6%) adult and 2/37 (5.4%)
pediatric cases of T-cell acute lymphoblastic leukemia, these incidences being
significantly lower than those recently reported. Although PHF6 is X-linked and
mutations have been reported to occur almost exclusively in male patients, we
found no sex difference in the incidences of PHF6 mutations in Chinese patients
with T-cell acute lymphoblastic leukemia. PHF6 deletions were detected in 2/79
(2.5%) patients analyzed. NOTCH1 mutations, FBXW7 mutations, WT1 mutations, JAK1
mutations, SIL-TAL1 fusions, SET-NUP214 fusions and CALM-AF10 fusions were
present in 44/96 (45.8%), 9/96 (9.4%), 4/96 (4.1%), 3/49 (6.1%), 9/48 (18.8%),
3/48 (6.3%) and 0/48 (0%) of patients, respectively. The molecular genetic
markers most frequently associated with PHF6 mutations were NOTCH1 mutations
(P=0.003), SET-NUP214 rearrangements (P=0.002), and JAK1 mutations (P=0.005). No
differences in disease-free survival and overall survival between T-cell acute
lymphoblastic leukemia patients with and without PHF6 mutations were observed in
a short-term follow-up. CONCLUSIONS: Overall, these results indicate that, in T
cell acute lymphoblastic leukemia, PHF6 mutations are a recurrent genetic
abnormality associated with mutations of NOTCH1, JAK1 and rearrangement of SET
NUP214.
PMID- 21880638
TI - Acute myeloid leukemia with expanded erythropoiesis.
PMID- 21880639
TI - Follicular lymphoma grade 3B: is it a real disease?
PMID- 21880640
TI - Can multiple myeloma become a curable disease?
PMID- 21880641
TI - Graft-versus-host disease therapy: something else beyond glucocorticoids?
PMID- 21880642
TI - High pentraxin 3 level predicts septic shock and bacteremia at the onset of
febrile neutropenia after intensive chemotherapy of hematologic patients.
AB - We evaluated pentraxin 3 as a marker for complications of neutropenic fever in
100 hematologic patients receiving intensive chemotherapy. Pentraxin 3 and C
reactive protein were measured at fever onset and then daily to day 3. Bacteremia
was observed in 19 patients and septic shock in 5 patients (three deaths). In
comparison to C-reactive protein, pentraxin 3 achieved its maximum more rapidly.
Pentraxin 3 correlated not only with the same day C-reactive protein but also
with the next day C-reactive protein. High pentraxin 3 on day 0 was associated
with the development of septic shock (P=0.009) and bacteremia (P=0.046). The non
survivors had constantly high pentraxin 3 levels. To conclude, pentraxin 3 is an
early predictor of complications in hematologic patients with neutropenic fever.
High level of pentraxin 3 predicts septic shock and bacteremia already at the
onset of febrile neutropenia. (ClinicalTrials.gov Identifier: NCT00781040.).
PMID- 21880643
TI - Ilya Metchnikoff, the phagocytic theory, and how things often work in science.
PMID- 21880644
TI - The double life of M-ficolin: what functions when circulating in serum and
tethered to leukocyte surfaces?
PMID- 21880645
TI - Welfare state regimes, infant mortality and life expectancy: integrating evidence
from East Asia.
AB - BACKGROUND: This longitudinal study builds on the cross-sectional work of Karim
et al and examines the influence of welfare state regime on population health
with a particular focus on East Asian welfare states (eg, Hong Kong, Japan,
Korea, Singapore and Taiwan). METHODS: Data were extracted from the Organisation
of Economic Co-operation and Development Data Set, World Development Indicators
and Asian Development Bank's key indicators from 1980 to 2006. Infant mortalities
and life expectancy were used as health-outcome varables. Thirty-one countries
were categorised into six types of welfare regimes: Scandinavian, Anglo-Saxon,
Bismarckian, Southern, Eastern European and East Asian. Mixed models were applied
to analyse the data with repeated measurements. RESULTS: In keeping with Karim et
al, Scandinavian and Eastern European welfare states have lower and higher infant
mortalities respectively compared with East Asian welfare states. Eastern
European welfare states had a lower life expectancy than East Asian welfare
states. Most welfare states had a higher social, health and education
expenditure, and higher densities of physicians than East Asian welfare states.
CONCLUSION: East Asian welfare states did not have worse health than most welfare
states. Future studies should continue to incorporate East Asian countries in the
typology of welfare regimes that include more social, economic, political and
healthcare system characteristic variables to provide insight on the mechanism by
which welfare-state regimes influence population health.
PMID- 21880646
TI - Assessing the patient safety competencies of healthcare professionals: a
systematic review.
AB - Background Patient safety training of healthcare professionals is a new area of
education. Assessment of the pertinent competencies should be a part of this
education. This review aims to identify the available assessment tools for
different patient safety domains and evaluate them according to Miller's four
competency levels. Methods The authors searched PubMed, MEDLINE, the Cumulative
Index to Nursing and Allied Health Literature (CINAHL), Web of Science, psycINFO
and the Education Resource Information Center (ERIC) from the start of each
database to December 2010 for English-language articles that evaluated or
described tools for the assessment of the safety competencies of individual
medical and/or nursing professionals. Reports on the assessment of technical,
clinical, medication and disclosure skills were excluded. Results Thirty-four
assessment tools in 48 studies were identified: 20 tools for medical
professionals, nine tools for nursing professionals, and five tools for both
medical and nursing professionals. Twenty of these tools assessed the two highest
Miller levels ('shows how' and 'does') and four tools were directed at multiple
levels. Most of the tools that aimed at the higher levels assessed the skills of
working in teams (17 tools), risk management (15 tools), and communication (11
tools). Internal structure (reliability, 22 tools) and content validity (14
tools) when described were found to be moderate. Only a small number of tools
addressed the relationship between the tool itself and (1) other assessments
(concurrent, predictive validity, eight tools), and (2) educational outcomes
(seven tools). Conclusions There are many tools designed to assess the safety
competencies of healthcare professionals. However, a reliable and valid toolbox
for summative testing that covers all patient safety domains at Miller's four
competency levels cannot yet be constructed. Many tools, however, are useful for
formative feedback.
PMID- 21880647
TI - Gender equality in India for children with congenital heart disease: looking for
answers.
PMID- 21880648
TI - Cocaine and the heart: more than just coronary disease.
PMID- 21880649
TI - Mild chronic kidney disease is an independent predictor of long-term mortality
after emergency angiography and primary percutaneous intervention in patients
with ST-elevation myocardial infarction.
AB - OBJECTIVE: Moderate renal impairment (RI) with a glomerular filtration rate (GFR)
<60 ml/min/1.73 m2 is known to predict survival. The authors investigated whether
mild RI with an estimated GFR of 60-89 ml/min/1.73 m2 independently predicts
survival in a contemporary population with ST segment elevation myocardial
infarction (STEMI). DESIGN: This is a single-centre, observational, retrospective
cohort study. Patients 601 patients with STEMI who underwent emergency catheter
laboratory admission met the inclusion criteria for this study. METHODS:
Estimated glomerular filtration rate (eGFR) was obtained by the Modified Diet in
Renal Disease equation, and preprocedure renal function was subdivided into
chronic kidney disease stages. Univariate and multivariate Cox regression
analyses were performed to assess which of 17 patient or procedural variables
were independent risk factors for death. RESULTS: Longitudinal data were collated
for 576 patients (96.3%). Median follow-up time was 2.6 years. 30-day and long
term death rates were 5.7% and 12.5%, respectively. Following multivariable
analysis, mild RI with an eGFR of 60-89 ml/min/1.73 m2 was a strong independent
predictor of death, compared with an eGFR >=90 ml/min/1.73 m2 (HR 2.79, 95% CI
1.98 to 3.92, p<0.001), and increasing chronic kidney disease stage was a strong
predictor of death after both 30 days and long-term follow-up. An eGFR of 60-89
ml/min/1.73 m2 had a greater independent effect on short- and long-term mortality
than the presence of diabetes mellitus (HR 2.0, 95% CI 1.2 to 3.33). CONCLUSION:
Mild RI (eGFR=60-89 ml/min/1.73 m2) on admission is strongly predictive of short-
and long-term mortality in patients with STEMI admitted to the catheter
laboratory. A redefined threshold of clinically significant impairment is now
required (GFR<90 ml/min/1.73 m2).
PMID- 21880650
TI - Optimisation of atrioventricular delay during exercise improves cardiac output in
patients stabilised with cardiac resynchronisation therapy.
AB - BACKGROUND: Atrioventricular (AV) delay in cardiac resynchronisation therapy
(CRT) recipients are typically optimised at rest. However, there are limited data
on the impact of exercise-induced changes in heart rate on the optimal AV delay
and left ventricular function. METHODS AND RESULTS: The authors serially
programmed AV delays in 41 CRT patients with intrinsic sinus rhythm at rest and
during two stages of supine bicycle exercise with heart rates at 20 bpm (stage I)
and 40 bpm (stage II) above baseline. The optimal AV delay during exercise was
determined by the iterative method to maximise cardiac output using Doppler
echocardiography. Results were compared to physiological change in PR intervals
in 56 normal controls during treadmill exercise. The optimal AV delay was
progressively shortened (p<0.05) with escalating exercise level (baseline: 123+/
26 ms vs. stage I: 102+/-24 ms vs stage II: 70+/-22 ms, p<0.05). AV delay
optimisation led to a significantly higher cardiac output than without
optimisation did during stage I (6.2+/-1.2 l/min vs. 5.2+/-1.2 l/min, p<0.001)
and stage II (6.8+/-1.6 l/min vs. 5.9+/-1.3 l/min, p<0.001) exercise. A linear
inverse relationship existed between optimal AV delays and heart rates in CRT
patients (AV delay=241-1.61*heart rate, R2=0.639, p<0.001) and healthy controls
(R2=0.646, p<0.001), but the slope of regression was significantly steeper in CRT
patients (p<0.001). CONCLUSIONS: Haemodynamically optimal AV delay shortened
progressively with increasing heart rate during exercise, which suggests the need
for programming of rate-adaptive AV delay in CRT recipients.
PMID- 21880651
TI - Routine use of the transradial approach in primary percutaneous coronary
intervention: procedural aspects and outcomes in 2209 patients treated in a
single high-volume centre.
AB - OBJECTIVE: To examine the feasibility of a routine transradial approach (TRA) in
primary percutaneous coronary intervention (PPCI) for acute ST-segment elevation
myocardial infarction (STEMI). DESIGN: A single-centre observational study with
prospective data collection. SETTING: A high-volume interventional centre in
Amsterdam, The Netherlands. PATIENTS: Procedural data were analysed for 2209
consecutive patients presenting with STEMI without cardiogenic shock, between
January 2001 and December 2008. INTERVENTIONS: PPCI routinely performed by the
TRA. MAIN OUTCOME MEASURES: The primary outcomes of interest were the need for
crossover to another vascular access site, the achievement of procedural success
and their trends over time. Secondary outcome measures were trends in total
procedural duration, fluoroscopy times and use of equipment. RESULTS: In a total
of 2209 procedures the radial artery was the primary access site, comprising
96.1% of all procedures performed during the study period. In 84 cases (3.8%)
access site crossover was needed. Crossover rates decreased from 5.9% in 2001-2
to 1.5% in 2007-8 (p=0.001). The procedural success rate was 94.1%, which
remained stable over the years. Despite an increased complexity of PPCI (more non
left anterior descending infarct-related arteries, thrombus aspiration and
multivessel PPCI), total procedural duration decreased from 38 min (IQR 28-50) in
2001-2 to 24 min (18-33) in 2007-8, p<0.001 for trend. CONCLUSIONS: Systematic
use of the TRA in PPCI yields low access site crossover, high procedural success
rates and excellent procedural performances. It can therefore represent the
primary access site in the vast majority of STEMI patients.
PMID- 21880652
TI - Risk of ST versus non-ST elevation myocardial infarction associated with non
steroidal anti-inflammatory drugs.
AB - OBJECTIVE: The objective of this study was to explore the association of non
steroidal anti-inflammatory drugs (NSAID) with ST-segment elevation myocardial
infarction (STEMI) and non-ST segment elevation myocardial infarction (NSTEMI).
DESIGN, SETTING & PATIENTS: A matched case-control study comparing patients with
incident non-fatal myocardial infarction (MI) collected by cardiologists with
controls. Cases were retrieved from the Pharmacoepidemiological General Research
on Myocardial Infarction (PGRx-MI) registry, a French nationwide registry
consisting of 55 cardiology centres, whereas controls were selected from general
practice settings. Both cases and controls were recruited from the same
geographically diverse areas across continental France. MAIN OUTCOME MEASURES:
The association between NSAID and MI was assessed by matched adjusted OR from
conditional logistic regression. RESULTS: Between 2007 and 2009, 1125 incident
cases were included (67.3% and 32.7% for STEMI and NSTEMI, respectively), with
2790 controls matched to MI cases by age and sex. Current use (previous 2 months)
of either diclofenac or naproxen and other arylpropionic acid NSAID was not
associated with STEMI (OR 0.9, 95% CI 0.4 to 1.9 and OR 1.0, 95% CI 0.6 to 1.7,
respectively), instead it showed significant association with NSTEMI (OR 2.8, 95%
CI 1.2 to 6.4 and OR 0.4, 95% CI 0.2 to 0.9, respectively). Our study confirms
results from previously published analyses on the association of MI with NSAID
(OR 1.5, 0.9, and 1.0 for diclofenac, naproxen and related NSAID, and all NSAID
combined, respectively). CONCLUSIONS: Our study shows that the MI risk
modification associated with NSAID is limited to NSTEMI.
PMID- 21880653
TI - Physiological cardiac remodelling in response to endurance exercise training:
cellular and molecular mechanisms.
AB - Exercise training fosters the health and performance of the cardiovascular
system, and represents nowadays a powerful tool for cardiovascular therapy.
Exercise exerts its beneficial effects through reducing cardiovascular risk
factors, and directly affecting the cellular and molecular remodelling of the
heart. Traditionally, moderate endurance exercise training has been viewed to
determine a balanced and revertible physiological growth, through cardiomyocyte
hypertrophy accompanied by appropriate neoangiogenesis (the Athlete's Heart).
These cellular adaptations are due to the activation of signalling pathways and
in particular, the IGF-1/IGF-1R/Akt axis appears to have a major role. Recently,
it has been shown that physical exercise determines cardiac growth also through
new cardiomyocyte formation. Accordingly, burgeoning evidence indicates that
exercise training activates circulating, as well as resident tissue-specific
cardiac, stem/progenitor cells. Dissecting the mechanisms for stem/progenitor
cell activation with exercise will be instrumental to devise new effective
therapies, encompassing myocardial regeneration for a large spectrum of
cardiovascular diseases.
PMID- 21880654
TI - Supine-exercise-induced oxygen supply to the right myocardium is attenuated in
patients with severe idiopathic pulmonary arterial hypertension.
AB - BACKGROUND: Impaired right ventricular (RV) myocardial blood flow (MBF) has been
associated with RV dysfunction and fatal RV failure in idiopathic pulmonary
hypertension during stress. MBF and O(2) extraction from myocardial capillaries
(O(2) extraction fraction (OEF)) influence myocardial O(2) supply. OBJECTIVE: To
determine how the baseline RV OEF affects the amount of MBF increase induced by
supine exercise, the authors hypothesise that higher baseline OEF (H-OEF) results
in limited O(2) extraction during exercise and that MBF must therefore be
increased to obtain sufficient O(2). METHODS: In 18 patients with idiopathic
pulmonary hypertension, baseline OEF, resting MBF and exercise-induced MBF at 40%
of maximal cardiopulmonary exercise testing load were measured using positron
emission tomography and [(15)O]O(2), [(15)O]H(2)O and [(15)O]CO. RESULTS: For the
whole population, exercise increased RV MBF from 0.68+/-0.16 to 1.13 +/- 0.38
ml/min/g (p < 0.0001). The MBF exercise-to-rest ratio (reserve) was 1.7 +/- 0.7.
The median baseline OEF was 0.73 at which the patient population was split into H
OEF and lower baseline OEF (L-OEF). Baseline MBF values (0.61 +/- 0.11 and 0.74
+/- 0.17 ml/min/g, respectively) were similar, and exercise induced a significant
MBF increase in both groups (p = 0.0001). However, exercise-induced increase in
MBF was significantly less in the H-OEF group than in the L-OEF group (0.97 +/-
0.30 and 1.30 +/- 0.39 ml/min/g, respectively, p < 0.05). Moreover, H-OEF
patients had lower baseline stroke volume and cardiac output than the L-OEF group
(52 +/- 19 ml and 4.0 +/- 1.1 l/min vs 78 +/- 18 ml and 5.5 +/- 0.9 l/min,
respectively, both p < 0.05). CONCLUSIONS: H-OEF patients were hemodynamically
poorer and showed a lower exercise-induced MBF increase compared to L-OEF
patients, suggesting exercise-induced O(2) supply limitation.
PMID- 21880655
TI - Detection of very early stent healing after primary angioplasty: an optical
coherence tomographic observational study of chromium cobaltum and first
generation drug-eluting stents. The DETECTIVE study.
AB - BACKGROUND: Lack of stent coverage appears to be associated with stent
thrombosis, a problem of particular concern in patients with ST elevation
myocardial infarction (STEMI). METHODS: The DETECTIVE European Multicenter
Registry was set up to address the early modality of stent healing in the setting
of STEMI. The Registry compared, with an early optical coherence tomography (OCT)
evaluation performed at 3-7 days, the patterns of coverage and apposition of the
first generation of drug-eluting stents (DESs) and cobalt chromium non-drug
eluting stents (CCSs) that were deployed in culprit lesions and in non-culprit
segments. The Registry included only patients with a multi-vessel disease to
allow, at 3-7 days from the first angioplasty, a deferred OCT examination and a
staged intervention in another vessel. RESULTS: 28 stented lesions (15 patients)
eventually entered the final OCT assessment. 13 stents were first-generation
DESs, while the remaining 15 were CCSs. 18 stents (64%) were deployed at culprit
STEMI lesions, and the remaining 10 (36%) were deployed at non-culprit sites. The
distribution of clinical and procedural variables in DES and CCS as well as in
culprit and non-culprit sites was not different. In total, 27,019 struts were
analysed in 28 stents. The percentage of stent uncoverage in the overall analysis
was 11.7%, while the percentage of malapposition and that of struts covered with
thrombus were 4.8% and 2.2%, respectively. A low percentage of strut uncoverage
was found in all the four studied subgroups: DES 12.8%, CCS 10.9%, stents
deployed in culprit lesions 13.2% and stents deployed in non-culprit lesions
8.7%. CONCLUSIONS: In conclusion, our data show that in patients with STEMI, a
very high percentage of stent struts is covered by an early thin rim of tissue
within 7 days after stent positioning. The present data bring new insights in the
mechanism and timing of strut coverage.
PMID- 21880656
TI - In vivo imaging and noninvasive ablation of pyramidal neurons in adult NEX
CreERT2 mice.
AB - To study the function of individual neurons that are embedded in a complex neural
network is difficult in mice. Conditional mutagenesis permits the spatiotemporal
control of gene expression including the ablation of cells by toxins. To direct
expression of a tamoxifen-inducible variant of Cre recombinase (CreERT2)
selectively to cortical neurons, we replaced the coding region of the murine Nex1
gene by CreERT2 cDNA via homologous recombination in embryonic stem cells. When
injected with tamoxifen, adult NEX-CreERT2 mice induced reporter gene expression
exclusively in projection neurons of the neocortex and hippocampus. By titrating
the tamoxifen dosage, we achieved recombination in single cells, which allowed
multiphoton imaging of neocortical neurons in live mice. When hippocampal
projection neurons were genetically ablated by induced expression of diphteria
toxin, within 20 days the inflammatory response included the infiltration of CD3+
T cells. This marks a striking difference from similar studies, in which dying
oligodendrocytes failed to recruit cells of the adaptive immune system.
PMID- 21880657
TI - Somatic hybrid plants of Nicotiana x sanderae (+) N. debneyi with fungal
resistance to Peronospora tabacina.
AB - BACKGROUND AND AIMS: The genus Nicotiana includes diploid and tetraploid species,
with complementary ecological, agronomic and commercial characteristics. The
species are of economic value for tobacco, as ornamentals, and for secondary
plant-product biosynthesis. They show substantial differences in disease
resistance because of their range of secondary products. In the last decade,
sexual hybridization and transgenic technologies have tended to eclipse
protoplast fusion for gene transfer. Somatic hybridization was exploited in the
present investigation to generate a new hybrid combination involving two sexually
incompatible tetraploid species. The somatic hybrid plants were characterized
using molecular, molecular cytogenetic and phenotypic approaches. METHODS:
Mesophyll protoplasts of the wild fungus-resistant species N. debneyi (2n = 4x =
48) were electrofused with those of the ornamental interspecific sexual hybrid N.
* sanderae (2n = 2x = 18). From 1570 protoplast-derived cell colonies selected
manually in five experiments, 580 tissues were sub-cultured to shoot regeneration
medium. Regenerated plants were transferred to the glasshouse and screened for
their morphology, chromosomal composition and disease resistance. KEY RESULTS:
Eighty-nine regenerated plants flowered; five were confirmed as somatic hybrids
by their intermediate morphology compared with parental plants, cytological
constitution and DNA-marker analysis. Somatic hybrid plants had chromosome
complements of 60 or 62. Chromosomes were identified to parental genomes by
genomic in situ hybridization and included all 18 chromosomes from N. * sanderae,
and 42 or 44 chromosomes from N. debneyi. Four or six chromosomes of one
ancestral genome of N. debneyi were eliminated during culture of electrofusion
treated protoplasts and plant regeneration. Both chloroplasts and mitochondria of
the somatic hybrid plants were probably derived from N. debneyi. All somatic
hybrid plants were fertile. In contrast to parental plants of N. * sanderae, the
seed progeny of somatic hybrid plants were resistant to infection by Peronospora
tabacina, a trait introgressed from the wild parent, N. debneyi. CONCLUSIONS:
Sexual incompatibility between N. * sanderae and N. debneyi was circumvented by
somatic hybridization involving protoplast fusion. Asymmetrical nuclear hybridity
was seen in the hybrids with loss of chromosomes, although importantly, somatic
hybrids were fertile and stable. Expression of fungal resistance makes these
somatic hybrids extremely valuable germplasm in future breeding programmes in
ornamental tobacco.
PMID- 21880659
TI - Allotetraploid origin and divergence in Eleusine (Chloridoideae, Poaceae):
evidence from low-copy nuclear gene phylogenies and a plastid gene chronogram.
AB - BACKGROUND AND AIMS: Eleusine (Poaceae) is a small genus of the subfamily
Chloridoideae exhibiting considerable morphological and ecological diversity in
East Africa and the Americas. The interspecific phylogenetic relationships of
Eleusine are investigated in order to identify its allotetraploid origin, and a
chronogram is estimated to infer temporal relationships between palaeoenvironment
changes and divergence of Eleusine in East Africa. METHODS: Two low-copy nuclear
(LCN) markers, Pepc4 and EF-1alpha, were analysed using parsimony, likelihood and
Bayesian approaches. A chronogram of Eleusine was inferred from a combined data
set of six plastid DNA markers (ndhA intron, ndhF, rps16-trnK, rps16 intron,
rps3, and rpl32-trnL) using the Bayesian dating method. KEY RESULTS: The
monophyly of Eleusine is strongly supported by sequence data from two LCN
markers. In the cpDNA phylogeny, three tetraploid species (E. africana, E.
coracana and E. kigeziensis) share a common ancestor with the E. indica-E.
tristachya clade, which is considered a source of maternal parents for
allotetraploids. Two homoeologous loci are isolated from three tetraploid species
in the Pepc4 phylogeny, and the maternal parents receive further support. The A
type EF-1alpha sequences possess three characters, i.e. a large number of
variations of intron 2; clade E-A distantly diverged from clade E-B and other
diploid species; and seven deletions in intron 2, implying a possible derivation
through a gene duplication event. The crown age of Eleusine and the
allotetraploid lineage are 3.89 million years ago (mya) and 1.40 mya,
respectively. CONCLUSIONS: The molecular data support independent allotetraploid
origins for E. kigeziensis and the E. africana-E. coracana clade. Both events may
have involved diploids E. indica and E. tristachya as the maternal parents, but
the paternal parents remain unidentified. The habitat-specific hypothesis is
proposed to explain the divergence of Eleusine and its allotetraploid lineage.
PMID- 21880658
TI - Flavonols: old compounds for old roles.
AB - BACKGROUND: New roles for flavonoids, as developmental regulators and/or
signalling molecules, have recently been proposed in eukaryotic cells exposed to
a wide range of environmental stimuli. In plants, these functions are actually
restricted to flavonols, the ancient and widespread class of flavonoids. In
mosses and liverworts, the whole set of genes for flavonol biosynthesis - CHS,
CHI, F3H, FLS and F3'H - has been detected. The flavonol branch pathway has
remained intact for millions of years, and is almost exclusively involved in the
responses of plants to a wide array of stressful agents, despite the fact that
evolution of flavonoid metabolism has produced >10 000 structures. SCOPE: Here
the emerging functional roles of flavonoids in the responses of present-day
plants to different stresses are discussed based on early, authoritative views of
their primary functions during the colonization of land by plants. Flavonols are
not as efficient as other secondary metabolites in absorbing wavelengths in the
290-320 nm spectral region, but display the greatest potential to keep stress
induced changes in cellular reactive oxygen species homeostasis under control,
and to regulate the development of individual organs and the whole plant. Very
low flavonol concentrations, as probably occurred in early terrestrial plants,
may fully accomplish these regulatory functions. CONCLUSIONS: During the last two
decades the routine use of genomic, chromatography/mass spectrometry and
fluorescence microimaging techniques has provided new insights into the
regulation of flavonol metabolism as well as on the inter- and intracellular
distribution of stress-responsive flavonols. These findings offer new evidence on
how flavonols may have performed a wide array of functional roles during the
colonization of land by plants. In our opinion this ancient flavonoid class is
still playing the same old and robust roles in present-day plants.
PMID- 21880660
TI - Effects of floral display size on male and female reproductive success in Mimulus
ringens.
AB - BACKGROUND AND AIMS: The number of flowers blooming simultaneously on a plant may
have profound consequences for reproductive success. Large floral displays often
attract more pollinator visits, increasing outcross pollen receipt. However,
pollinators frequently probe more flowers in sequence on large displays,
potentially increasing self-pollination and reducing pollen export per flower. To
better understand how floral display size influences male and female fitness, we
manipulated display phenotypes and then used paternity analysis to quantify
siring success and selfing rates. METHODS: To facilitate unambiguous assignment
of paternity, we established four replicate (cloned) arrays of Mimulus ringens,
each consisting of genets with unique combinations of homozygous marker
genotypes. In each array, we trimmed displays to two, four, eight or 16 flowers.
When fruits ripened, we counted the number of seeds per fruit and assigned
paternity to 1935 progeny. KEY RESULTS: Siring success per flower declined
sharply with increasing display size, while female success per flower did not
vary with display. The rate of self-fertilization increased for large floral
displays, but siring losses due to geitonogamous pollen discounting were much
greater than siring gains through increased self-fertilization. As display size
increased, each additional seed sired through geitonogamous self-pollination was
associated with a loss of 9.7 seeds sired through outcrossing. CONCLUSIONS:
Although total fitness increased with floral display size, the marginal return on
each additional flower declined steadily as display size increased. Therefore, a
plant could maximize fitness by producing small displays over a long flowering
period, rather than large displays over a brief flowering period.
PMID- 21880661
TI - Eco-geographically divergent diploids, Caucasian clover (Trifolium ambiguum) and
western clover (T. occidentale), retain most requirements for hybridization.
AB - BACKGROUND AND AIMS: DNA sequence similarities and hybridization patterns in
Trifolium (clovers) section Trifoliastrum suggest that rapid radiation from a
common ancestral source led to this complex of diverse species distributed across
Europe, western Asia and North Africa. Two of the most geographically and
ecologically divergent of these species are the rhizomatous T. ambiguum from high
altitudes in eastern Europe and western Asia and the stoloniferous T. occidentale
from sea level in western Europe. Attempts were made to hybridize these species
to ascertain whether, despite this separation, gene flow could be achieved,
indicating the retention of the genetic factors necessary for hybridization.
METHODS: Three F(1) hybrids formed after embryo rescue were described,
characterized by conventional and molecular cytogenetics, subjected to fertility
tests and progeny generations were developed. RESULTS AND CONCLUSIONS: Partially
fertile hybrids between Trifolium ambiguum and T. occidentale were obtained for
the first time. The F(1) hybrids produced seeds after open-pollination, and also
produced triploid progeny in backcrosses to T. occidentale from the functioning
of unreduced gametes in the hybrids. These plants were fertile and produced
progeny with T. occidentale and with T. repens. Meiotic chromosome pairing in the
F(1) showed six to eight bivalents per pollen mother cell, indicating pairing
between the parental genomes. A chromosome-doubled form of one hybrid, produced
using colchicine, showed some multivalents, indicative of interspecific
chromosome pairing. The hybrid plants were robust and combined phenotypic
characteristics of both species, having stolons, thick roots and a few rhizomes.
Results show that despite separation by the entire breadth of Europe, the
speciation process is incomplete, and these taxa have partially retained most of
the genetic compatibilities needed for hybridization (possibly except for
endosperm development, which was not tested). The fertile progeny populations
could lead to new clover breeding strategies based on new hybrid forms.
PMID- 21880662
TI - Safeguarding public health, the core reason for solid waste management.
PMID- 21880663
TI - Sustainable sanitary landfill celebrates its 80th anniversary.
PMID- 21880666
TI - The ADAMTS(L) family and human genetic disorders.
AB - ADAMTS designates a family of 19 secreted enzymes, whose the first member ADAMTS1
was described in 1997. The ADAMTS family has a role in extracellular matrix
degradation and turn over and has previously been involved in various human
biological processes, including connective tissue structure, cancer, coagulation,
arthritis, angiogenesis and cell migration. More recently, the ADAMTS(L) family
has been described, sharing the same ancillary domain but distinct by the absence
of any enzyme activity. Mutations in ADAMTS13, ADAMTS2, ADAMTS10, ADAMTS17,
ADAMTSL2 and ADAMTSL4 have been identified in distinct human genetic disorders
ranging from thrombotic thrombocytopenic purpura to acromelic dysplasia. The aim
of our review was to emphasize the role of this family in the extracellular
matrix based on human phenotypes so far identified in relation with ADAMTS(L)
mutations.
PMID- 21880664
TI - Restrictive loss of plakoglobin in cardiomyocytes leads to arrhythmogenic
cardiomyopathy.
AB - Arrhythmogenic right ventricular cardiomyopathy (ARVC) is an inheritable
myocardial disorder associated with fibrofatty replacement of myocardium and
ventricular arrhythmia. A subset of ARVC is categorized as Naxos disease, which
is characterized by ARVC and a cutaneous disorder. A homozygous loss-of-function
mutation of the Plakoglobin (Jup) gene, which encodes a major component of the
desmosome and the adherens junction, had been identified in Naxos patients,
although the underlying mechanism remained elusive. We generated Jup mutant mice
by ablating Jup in cardiomyocytes. Jup mutant mice largely recapitulated the
clinical manifestation of human ARVC: ventricular dilation and aneurysm, cardiac
fibrosis, cardiac dysfunction and spontaneous ventricular arrhythmias. Ultra
structural analyses revealed that desmosomes were absent in Jup mutant myocardia,
whereas adherens junctions and gap junctions were preserved. We found that
ventricular arrhythmias were associated with progressive cardiomyopathy and
fibrosis in Jup mutant hearts. Massive cell death contributed to the
cardiomyocyte dropout in Jup mutant hearts. Despite the increase of beta-catenin
at adherens junctions in Jup mutant cardiomyoicytes, the Wnt/beta-catenin
mediated signaling was not altered. Transforming growth factor-beta-mediated
signaling was found significantly elevated in Jup mutant cardiomyocytes at the
early stage of cardiomyopathy, suggesting an important pathogenic pathway for Jup
related ARVC. These findings have provided further insights for the pathogenesis
of ARVC and potential therapeutic interventions.
PMID- 21880665
TI - Gene therapy using self-complementary Y733F capsid mutant AAV2/8 restores vision
in a model of early onset Leber congenital amaurosis.
AB - Defects in the photoreceptor-specific gene aryl hydrocarbon receptor interacting
protein-like 1 (Aipl1) are associated with Leber congenital amaurosis (LCA), a
childhood blinding disease with early-onset retinal degeneration and vision loss.
Furthermore, Aipl1 defects are characterized at the most severe end of the LCA
spectrum. The rapid photoreceptor degeneration and vision loss observed in the
LCA patient population are mimicked in a mouse model lacking AIPL1. Using this
model, we evaluated if gene replacement therapy using recent advancements in
adeno-associated viral vectors (AAV) provides advantages in preventing rapid
retinal degeneration. Specifically, we demonstrated that the novel self
complementary Y733F capsid mutant AAV2/8 (sc-Y733F-AAV) provided greater
preservation of photoreceptors and functional vision in Aipl1 null mice compared
with single-stranded AAV2/8. The benefits of sc-Y733F-AAV were evident following
viral administration during the active phase of retinal degeneration, where only
sc-Y733F-AAV treatment achieved functional vision rescue. This result was likely
due to higher and earlier onset of Aipl1 expression. Based on our studies, we
conclude that the sc-Y733F-AAV2/8 viral vector, to date, achieves the best rescue
for rapid retinal degeneration in Aipl1 null mice. Our results provide important
considerations for viral vectors to be used in future gene therapy clinical
trials targeting a wider severity spectrum of inherited retinal dystrophies.
PMID- 21880667
TI - EPA's proposed biotech policy turns a deaf ear to science.
PMID- 21880668
TI - To infinity ... and beyond! Human spaceflight and life science.
PMID- 21880670
TI - RAAS Working Group Update. Editorial.
PMID- 21880669
TI - Novel O-linked glycans containing 6'-sulfo-Gal/GalNAc of MUC1 secreted from human
breast cancer YMB-S cells: possible carbohydrate epitopes of KL-6(MUC1)
monoclonal antibody.
AB - Human serum Krebs von den Lugen-6 (KL-6) antigen is a MUC1 glycoprotein (KL
6/MUC1) recognized by anti-KL-6 monoclonal antibody (KL-6/mAb) and has been
utilized as a diagnostic marker for interstitial pneumonia. KL-6/mAb is thought
to recognize the specific glycopeptides sequence of MUC1, but the precise glycan
structure of the epitope is unclear. In this study, we determined the
carbohydrate structures of KL-6/MUC1 to search the carbohydrate epitopes for KL
6/mAb. KL-6/MUC1 was purified from the culture medium of human breast cancer YMB
S cells by KL-6/mAb-affinity chromatography; the O-linked glycan structures were
determined in combination with paper electrophoresis, several lectin column
chromatographies, sialidase digestion and methanolysis. KL-6/MUC1 contained core
1 and extended core 1 glycans modified with one or two sialic acid/sulfate
residues. Based on these structures, several synthetic glycans binding to anti-KL
6/mAb were compared with one another by surface plasmon resonance. Sequentially,
related radiolabeled oligosaccharides were enzymatically synthesized and analyzed
for binding to a KL-6/mAb-conjugated affinity column. 3'-sialylated, 6'-sulfated
LNnT [Neu5Acalpha2-3(SO(3)(-)-6)Galbeta1-4GlcNAcbeta1-3Galbeta1-4Glc], 3'
sialylated, 6-sulfated core 1 [Neu5Acalpha2-3Galbeta1-3(SO(3)(-)-6)GalNAc] and
disulfated core 1 SO(3)(-)-3Galbeta1-3(SO(3)(-)-6)GalNAc exhibited substantial
affinity for KL-6/mAb, and 3'-sulfated core 1 derivatives [SO(3)(-)-3Galbeta1
3(+/-Neu5Acalpha2-6)GalNAc] and 3'-sialylated core 1 weakly interacted with KL
6/mAb. These results indicated that the possible carbohydrate epitopes of KL
6/mAb involve not only 3'-sialylated core 1 but also novel core 1 and extended
core 1 with sulfate and sialic acid residues. Epitope expressing changes with
suppression or over-expression of the Gal6ST (Gal 6-O-sulfotransferase) gene,
suggesting that Gal6ST is involved in the biosynthesis of the unique epitopes of
KL-6/mAb.
PMID- 21880671
TI - Angiotensin receptor modulation and cardiovascular remodeling.
PMID- 21880672
TI - Venous thromboembolism does not share strong familial susceptibility with
ischemic stroke: a nationwide family study in Sweden.
AB - BACKGROUND: Coagulation allelic variants associated with venous thromboembolism
(VTE) have been suggested to be involved in the pathogenesis of ischemic stroke.
This nationwide study aimed at determining whether VTE shares familial
susceptibility with ischemic stroke. METHOD AND RESULTS: The Swedish
Multigeneration Register of 0- to 75-year-old subjects was linked to the Swedish
Hospital Discharge Register and the Cause of Death Register for the period 1987
to 2007. Odds ratios (ORs) for VTE and ischemic stroke were determined in 2 ways:
odds of ischemic stroke in offspring whose parents had been diagnosed with VTE,
and odds of VTE in offspring whose parents had been diagnosed with ischemic
stroke. The analyses were repeated for siblings and spouses. Offspring of parents
with VTE (n=25,929) were at increased risk for ischemic stroke (n=5595): OR, 1.10
(95% confidence interval [CI], 1.06-1.14). Siblings of probands with VTE
(n=45,132) had no increased risk of ischemic stroke (n=1716): OR, 1.05 (95% CI,
1.00-1.11). Spouses of probands with VTE (n=24,106) were at increased risk for
ischemic stroke (n=940): OR, 1.18 (95% CI, 1.10-1.27). The risks for VTE in
relatives of probands with ischemic stroke were OR, 1.15; 95% CI, 1.10-1.21
(offspring); OR, 1.07; 95% CI, 1.02-1.12 (siblings); and OR, 1.21; 95% CI, 1.11
1.32 (spouses). CONCLUSIONS: VTE does not share strong familial susceptibility
with ischemic stroke in the Swedish population. Moreover, familial nongenetic
factors contribute to the observed weak familial associations. The present study
suggests that it is unlikely that strong shared disease-causing mutations exist
to a large extent in the Swedish population.
PMID- 21880673
TI - Protein interaction-based genome-wide analysis of incident coronary heart
disease.
AB - BACKGROUND: Network-based approaches may leverage genome-wide association (GWA)
analysis by testing for the aggregate association across several pathway members.
We aimed to examine if networks of genes that represent experimentally determined
protein-protein interactions (PPIs) are enriched in genes associated with risk of
coronary heart disease (CHD). METHODS AND RESULTS: Genome-wide association
analyses of approximately ~700,000 single-nucleotide polymorphisms in 899
incident CHD cases and 1823 age- and sex-matched controls within the Nurses'
Health and the Health Professionals Follow-up Studies were used to assign
genewise P values. A large database of PPIs was used to assemble 8351 unbiased
protein complexes and corresponding gene sets. Superimposed genewise P values
were used to rank gene sets based on their enrichment in genes associated with
CHD. After correcting for the number of complexes tested, 1 gene set was
overrepresented in CHD-associated genes (P=0.002). Centered on the beta1
adrenergic receptor gene (ADRB1), this complex included 18 protein interaction
partners that have not been identified as candidate loci for CHD. Of the 19 genes
in the top complex, 5 are involved in abnormal cardiovascular system
physiological features based on knockout mice (4-fold enrichment; Fisher exact
test, P=0.006). Ingenuity pathway analysis revealed that canonical pathways,
especially related to blood pressure regulation, were significantly enriched in
the genes from the top complex. CONCLUSIONS: The integration of a GWA study with
PPI data successfully identifies a set of candidate susceptibility genes for
incident CHD that would have been missed in single-marker GWA analysis.
PMID- 21880674
TI - Integration of 3D electroanatomic maps and magnetic resonance scar
characterization into the navigation system to guide ventricular tachycardia
ablation.
AB - BACKGROUND: Scar heterogeneity identified with contrast-enhanced cardiac magnetic
resonance (CE-CMR) has been related to its arrhythmogenic potential by using
different algorithms. The purpose of the study was to identify the algorithm that
best fits with the electroanatomic voltage maps (EAM) to guide ventricular
tachycardia (VT) ablation. METHODS AND RESULTS: Three-dimensional scar
reconstructions from preprocedural CE-CMR study at 3T were obtained and compared
with EAMs of 10 ischemic patients submitted for a VT ablation. Three-dimensional
scar reconstructions were created for the core (3D-CORE) and border zone (3D-BZ),
applying cutoff values of 50%, 60%, and 70% of the maximum pixel signal intensity
to discriminate between core and BZ. The left ventricular cavity from CE-CMR (3D
LV) was merged with the EAM, and the 3D-CORE and 3D-BZ were compared with the
corresponding EAM areas defined with standard cutoff voltage values. The best
match was obtained when a cutoff value of 60% of the maximum pixel signal
intensity was used, both for core (r(2)=0.827; P<0.001) and BZ (r(2)=0.511;
P=0.020), identifying 69% of conducting channels (CC) observed in the EAM.
Matching improved when only the subendocardial half of the wall was segmented
(CORE: r(2)=0.808; P<0.001 and BZ: r(2)=0.485; P=0.025), identifying 81% of CC.
When comparing the location of each bipolar voltage intracardiac electrogram with
respect to the 3D CE-CMR-derived structures, a Cohen kappa coefficient of 0.70
was obtained. CONCLUSIONS: Scar characterization by means of high resolution CE
CMR resembles that of EAM and can be integrated into the CARTO system to guide VT
ablation.
PMID- 21880675
TI - Assessing epicardial substrate using intracardiac echocardiography during VT
ablation.
AB - BACKGROUND: Intracardiac echocardiography (ICE) has played a limited role in
defining the substrate for ventricular tachycardia (VT). The purpose of this
study was to assess whether ICE could identify abnormal epicardial substrate in
patients with nonischemic cardiomyopathy (NICM) and VT. METHODS AND RESULTS: We
studied 18 patients with NICM and recurrent VT who had abnormal echogenicity
identified on ICE imaging. Detailed left ventricular (LV) endocardial and
epicardial electroanatomic mapping was performed in all patients. Low-voltage
areas (<1.0 mV) in the epicardium were analyzed. ICE imaging in the NICM group
was compared to a control group of 30 patients with structurally normal hearts
who underwent ICE imaging for other ablation procedures. In 18 patients (age,
53+/-13 years; 17 men) with NICM (ejection fraction, 37+/-13%), increased
echogenicity was identified in the lateral LV by ICE imaging. LV endocardial
electroanatomic mapping identified normal voltage in 9 patients and at least 1
confluent low-voltage area (6.6 cm(2); minimum-maximum, 2.1-31.7 cm(2)) in 9
patients (5 posterolateral LV, 4 perivalvular LV). Detailed epicardial mapping
revealed areas of low voltage (39 cm(2); minimum-maximum, 18.5-96.3 cm(2)) and
abnormal, fractionated electrograms in all 18 patients (15 posterolateral LV, 3
lateral LV). In all patients, the epicardial scar identified by electroanatomic
mapping correlated with the echogenic area identified on ICE imaging. ICE imaging
identified no areas of increased echogenicity in the control group. CONCLUSIONS:
ICE imaging identified increased echogenicity in the lateral wall of the LV that
correlated to abnormal epicardial substrate. These findings suggest that ICE
imaging may be useful to identify epicardial substrate in NICM.
PMID- 21880676
TI - Expression profile of maize (Zea mays L.) embryonic axes during germination:
translational regulation of ribosomal protein mRNAs.
AB - Seed germination is a critical developmental period for plant propagation.
Information regarding gene expression within this important period is relevant
for understanding the main biochemical processes required for successful
germination, particularly in maize, one of the most important cereals in the
world. The present research focuses on the global microarray analysis of
differential gene expression between quiescent and germinated maize embryo
stages. This analysis revealed that a large number of mRNAs stored in the
quiescent embryonic axes (QEAs) were differentially regulated during germination
in the 24 h germinated embryonic axes (GEAs). These genes belong to 14 different
functional categories and most of them correspond to metabolic processes,
followed by transport, transcription and translation. Interestingly, the
expression of mRNAs encoding ribosomal proteins [(r)-proteins], required for new
ribosome formation during this fast-growing period, remains mostly unchanged
throughout the germination process, suggesting that these genes are not regulated
at the transcriptional level during this developmental period. To investigate
this issue further, comparative microarray analyses on polysomal mRNAs from
growth-stimulated and non-stimulated GEAs were performed. The results revealed
that (r)-protein mRNAs accumulate to high levels in polysomes of the growth
stimulated tissues, indicating a translational control mechanism to account for
the rapid (r)-protein synthesis observed within this period. Bioinformatic
analysis of (r)-protein mRNAs showed that 5' TOP (tract of pyrimidines)-like
sequences are present only in the 5'-untranslated region set of up-regulated (r)
protein mRNAs. This overall approach to the germination process allows an in
depth view of molecular changes, enabling a broader understanding of the
regulatory mechanisms that occur during this process.
PMID- 21880677
TI - Media smart.
PMID- 21880678
TI - Bad medicine: melanoma.
PMID- 21880679
TI - Confessions of a technophile.
PMID- 21880680
TI - NIH updates its conflict of interest guidelines.
PMID- 21880681
TI - Canadian regulators dismiss complaint about campaign publicising low
testosterone.
PMID- 21880682
TI - Health secretary will no longer be obliged to provide health service under new
bill, says legal opinion.
PMID- 21880683
TI - Gaddafi's forces attacked hospitals, patients, and health professionals, report
confirms.
PMID- 21880684
TI - Vaccines rarely cause adverse events, Institute of Medicine finds.
PMID- 21880685
TI - Bacterium blocks transmission of dengue by mosquitoes.
PMID- 21880686
TI - Two thirds of trusts in England fail to meet new accident and emergency target.
PMID- 21880687
TI - Social care homes: what the media forget to tell us.
PMID- 21880688
TI - Magnetic resonance image of the pelvis.
PMID- 21880689
TI - Global fall in neonatal deaths over past 20 years is too slow, says study.
PMID- 21880690
TI - Career intentions of medical students in the setting of Nepal's rapidly expanding
private medical education system.
AB - The number of medical students trained in Nepal each year has increased nearly
fifty-fold in the last 15 years, primarily through the creation of private
medical schools. It is unknown where this expanding cohort of new physicians will
ultimately practice. We distributed an anonymous survey to students in their last
2 years of medical school at four medical schools in Nepal to examine two
dimensions of career intention: the intention to practice in Nepal and the
intention to practice in rural areas. Eighty-five per cent of the eligible study
population participated, for a total of 469 medical students. Of these, 88%
thought it was likely they would practice in Nepal and 88% thought it likely they
would practice in urban areas. Those students who indicated a greater likelihood
of practicing abroad came from families with higher incomes, were more likely to
think earning a good salary was very important to their decision to become a
physician, and were less likely to think they could earn a good salary in Nepal.
Students whose tuition was paid by the government were no more likely to indicate
an intention to practice in Nepal than students paying their own tuition at
private medical schools. Students who indicated a greater likelihood of
practicing in rural areas were more likely to be male, to have gone to a
government secondary school, to have been born in a village, or to have received
a scholarship from the Ministry of Education that requires rural service. Based
on our findings, we suggest the following policy changes: (1) medical schools
consider selecting for students from rural backgrounds or government secondary
schools who are more likely to intend to practice in rural areas, and (2)
increase the number of post-graduate positions--weighted toward rural health
needs--to retain students in Nepal.
PMID- 21880691
TI - Introduction to the symposium: responses of organisms to climate change: a
synthetic approach to the role of thermal adaptation.
AB - On a global scale, changing climates are affecting ecological systems across
multiple levels of biological organization. Moreover, climates are changing at
rates unprecedented in recent geological history. Thus, one of the most pressing
concerns of the modern era is to understand the biological responses to climate
such that society can both adapt and implement measures that attempt to offset
the negative impacts of a rapidly changing climate. One crucial question, to
understand organismal responses to climate, is whether the ability of organisms
to adapt can keep pace with quickly changing environments. To address this
question, a syntheses of knowledge from a broad set of biological disciplines
will be needed that integrates information from the fields of ecology, behavior,
physiology, genetics, and evolution. This symposium assembled a diverse group of
scientists from these subdisciplines to present their perspectives regarding the
ability of organisms to adapt to changing climates. Specifically, the goals of
this symposia were to (1) highlight what each discipline brings to a discussion
of organismal responses to climate, (2) to initiate and foster a discussion to
break barriers in the transfer of knowledge across disciplines, and (3) to
synthesize an approach to address ongoing issues concerning biological responses
to climate.
PMID- 21880692
TI - The neuroecology of dimethyl sulfide: a global-climate regulator turned marine
infochemical.
AB - Information transfer influences food-web dynamics in the marine environment, but
infochemicals involved in these processes are only beginning to be understood.
Dimethylsulfoniopropionate (DMSP) is produced by phytoplankton and other marine
algae, and has been studied primarily in the context of sulfur cycling and
regulation of global climate. My laboratory has been investigating DMSP and its
breakdown product, dimethyl sulfide as infochemicals associated with trophic
interactions in marine habitats, including sub-Antarctic and coral reef
ecosystems. Using a neuroecological approach, our work has established that these
biogenic sulfur compounds serve as critical signal molecules in marine systems
and provides us with a more mechanistic understanding of how climate change may
impact information transfer within marine food webs.
PMID- 21880693
TI - Characterization of kinase inhibitors using different phosphorylation states of
colony stimulating factor-1 receptor tyrosine kinase.
AB - It is known that some kinase inhibitors are sensitive to the phosphorylation
state of the kinase, and therefore those compounds can discriminate between a
phosphorylated and unphosphorylated protein. In this study, we prepared two
colony stimulating factor-1 receptor (CSF-1R) tyrosine kinase proteins: one
highly phosphorylated by autophosphorylation and the other dephosphorylated by
phosphatase treatment. These kinases were subjected to an activity-based assay to
investigate the effect of their phosphorylation state on the potency of several
kinase inhibitors. Dasatinib, sorafenib, PD173074 and staurosporine showed
similar inhibition against different phosphorylation states of CSF-1R, but
pazopanib, sunitinib, GW2580 and imatinib showed more potent inhibition against
dephosphorylated CSF-1R. Binding analysis of the inhibitors to the two different
phosphorylation forms of CSF-1R, using surface plasmon resonance spectrometry,
revealed that staurosporine bound to both forms with similar affinity, but
sunitinib bound to the dephosphorylated form with higher affinity. Thus, these
observations suggest that sunitinib binds preferentially to the inactive form,
preventing the activation of CSF-1R. Screening against different activation
states of kinases should be an important approach for prioritizing compounds and
should facilitate inhibitor design.
PMID- 21880694
TI - PPARgamma attenuates intimal hyperplasia by inhibiting TLR4-mediated inflammation
in vascular smooth muscle cells.
AB - AIMS: Peroxisome proliferator-activated receptor gamma (PPARgamma) has been
reported to attenuate intimal hyperplasia. This study aimed to test the
hypothesis that PPARgamma inhibits intimal hyperplasia through suppressing Toll
like receptor 4 (TLR4)-mediated inflammation in vascular smooth muscle cells.
METHODS AND RESULTS: TLR4(-/-) mice on a C57BL/6J background were used. Increased
TLR4 and pro-inflammatory cytokines were observed in wire-injury-induced carotid
neointima and in platelet-derived growth factor (PDGF)-activated vascular smooth
muscle cells. The TLR4 deficiency protected the injured carotid from neointimal
formation and impaired the cellular proliferation and migration in response to
lipopolysaccharide and PDGF. Rosiglitazone attenuated intimal hyperplasia.
Overexpression of PPARgamma suppressed PDGF-induced proliferation and migration
and inhibited TLR4-mediated inflammation in vascular smooth muscle cells, while
PPARgamma silencing exerted the opposite effect. Lipopolysaccharide counteracted
the inhibitory effect of PPARgamma on PDGF-induced proliferation and migration.
Eritoran suppressed the proliferation and migration induced by PDGF and PPARgamma
silencing. Vascular smooth muscle cells derived from TLR4(-/-) mice showed
impaired proliferation and migration upon PDGF activation and displayed no
response to PPARgamma manipulation. CONCLUSION: PPARgamma inhibits vascular
smooth muscle cell proliferation and migration by suppressing TLR4-mediated
inflammation and ultimately attenuates intimal hyperplasia after carotid injury.
PMID- 21880695
TI - Effects of ostracism and social connection-related activities on adolescents'
motivation to eat and energy intake.
AB - OBJECTIVE: Assess the effect of ostracism and social connection-related
activities on adolescents' motivation to eat and their energy intake. METHODS:
Participants (n = 103; M age = 13.6 years) were either ostracized or included
when playing a computer game, Cyberball. Next, they wrote about their friend
(social-connection), watched television (distraction), or completed Sudoku
puzzles (cognitive-load), and then completed a task to earn points toward snack
food and/or socializing. Afterwards, participants were given access to food and
social activities. RESULTS: Ostracized adolescents were more motivated to earn
food than adolescents who were in the included/control condition. Follow-up
contrasts indicated that ostracized adolescents who wrote about friends worked
more for food points and consumed more food than other adolescents. CONCLUSION:
Results suggest that social connection-related activities following ostracism may
further deplete self-regulatory resources, thereby resulting in increased
unhealthy food patterns. Study limitations as well as clinical implications of
these findings are discussed.
PMID- 21880696
TI - Normal in the blood, abnormal in the urine.
PMID- 21880697
TI - Superior vena cava syndrome related fluid collection in retropharyngeal space.
PMID- 21880698
TI - Risk factors of vitamin K antagonist overcoagulation.
AB - OBJECTIVES: The aims of this prospective study were to identify, in vitamin K
antagonist (VKA)-treated patients, factors associated with INR values: (i)
greater than 6.0. and (ii) ranging from 4.0 to 6.0 complicated with bleeding. We
also assessed VKA-related morbidity in these patients. METHODS: During a 6-month
period, 3090 consecutive patients were referred to our Department of Internal
Medicine, including 412 VKA-treated patients. At admission, the medical records
of VKA-treated patients were reviewed for type, duration and indication of VKA
therapy, previous medical history of VKA-related hemorrhage, comorbidities and
concomitant medications. RESULTS: Forty of the 412 VKA-treated patients (9.7%)
exhibited oral anticoagulant related overcoagulation. VKA overcoagulation was
associated with high morbidity, leading to major bleeding in 27.5% of cases;
moreover, 12.5% of these patients died, death being mainly due to major bleeding.
Under multivariate analysis, significant factors for VKA-related overcoagulation
were as follows: previous medical history of VKA therapy-related hemorrhage
(P=0.00001) and INR levels over therapeutic range (P=0.0006), chronic liver
disease (P=0.03), therapy with amiodarone (P=0.009); in contrast, statin therapy
was found to be a protective factor of VKA overcoagulation (P=0.008).
CONCLUSIONS: The knowledge of predictive factors of VKA-related overcoagulation
seems of utmost importance to improve patients' management. Our study underlines
the fact that the potential of drug interaction should be taken into account when
choosing amiodarone for patients receiving VKAs. Interestingly, long-term (>6
month) statin therapy may be a protective factor of VKA overcoagulation. Our
findings, therefore, suggest that there may be no need to switch long-term users
of VKA and statin to a safer alternative therapy.
PMID- 21880699
TI - 'Ablation before pacemaker' in a patient with bradycardia: a case report.
PMID- 21880700
TI - Unusual site of carotid aneurysm.
PMID- 21880701
TI - Robustness portraits of diverse biological networks conserved despite order-of
magnitude parameter uncertainty.
AB - MOTIVATION: Biological networks are robust to a wide variety of internal and
external perturbations, yet fragile or sensitive to a small minority of
perturbations. Due to this rare sensitivity of networks to certain perturbations,
it is unclear how precisely biochemical parameters must be experimentally
measured in order to accurately predict network function. RESULTS: Here, we
examined a model of cardiac beta-adrenergic signaling and found that its
robustness portrait, a global measure of steady-state network function, was well
conserved even when all parameters were rounded to their nearest 1-2 orders of
magnitude. In contrast, beta-adrenergic network kinetics were more sensitive to
parameter precision. This analysis was then extended to 10 additional networks,
including Escherichia coli chemotaxis, stem cell differentiation and cytokine
signaling, of which nine exhibited conserved robustness portraits despite the
order-of-magnitude approximation of their biochemical parameters. Thus, both
fragile and robust aspects of diverse biological networks are largely shaped by
network topology and can be predicted despite order-of-magnitude uncertainty in
biochemical parameters. These findings suggest an iterative strategy where order
of-magnitude models are used to prioritize experiments toward the fragile network
elements that require precise measurements, efficiently driving model revision.
CONTACT: jsaucerman@virginia.edu SUPPLEMENTARY INFORMATION: Supplementary data
are available at Bioinformatics online.
PMID- 21880702
TI - PepCrawler: a fast RRT-based algorithm for high-resolution refinement and binding
affinity estimation of peptide inhibitors.
AB - MOTIVATION: Design of protein-protein interaction (PPI) inhibitors is a key
challenge in structural bioinformatics and computer-aided drug design. Peptides,
which partially mimic the interface area of one of the interacting proteins, are
natural candidates to form protein-peptide complexes competing with the original
PPI. The prediction of such complexes is especially challenging due to the high
flexibility of peptide conformations. RESULTS: In this article, we present
PepCrawler, a new tool for deriving binding peptides from protein-protein
complexes and prediction of peptide-protein complexes, by performing high
resolution docking refinement and estimation of binding affinity. By using a fast
path planning approach, PepCrawler rapidly generates large amounts of flexible
peptide conformations, allowing backbone and side chain flexibility. A newly
introduced binding energy funnel 'steepness score' was applied for the evaluation
of the protein-peptide complexes binding affinity. PepCrawler simulations
predicted high binding affinity for native protein-peptide complexes benchmark
and low affinity for low-energy decoy complexes. In three cases, where wet lab
data are available, the PepCrawler predictions were consistent with the data.
Comparing to other state of the art flexible peptide-protein structure prediction
algorithms, our algorithm is very fast, and takes only minutes to run on a single
PC. AVAILABILITY: http://bioinfo3d.cs.tau.ac.il/PepCrawler/ CONTACT:
eladdons@tau.ac.il; wolfson@tau.ac.il.
PMID- 21880703
TI - AluHunter: a database of potentially polymorphic Alu insertions for use in
primate phylogeny and population genetics.
AB - SUMMARY: AluHunter is a database of taxon-specific primate Alu elements for use
in phylogeny and population genetics. The software automatically isolates
potentially polymorphic Alu insertions in sequences submitted to GenBank by
screening the elements against reference genomes. The resultant database of
variable markers is a valuable resource for researchers interested in
characterizing Alu elements in their primate taxon of interest. AVAILABILITY AND
IMPLEMENTATION: The AluHunter database can be accessed at
http://www.aluhunter.com. CONTACT: cmb433@nyu.edu.
PMID- 21880705
TI - Adaptor protein-3 (AP-3) complex mediates the biogenesis of acidocalcisomes and
is essential for growth and virulence of Trypanosoma brucei.
AB - Acidocalcisomes are acidic calcium and polyphosphate storage organelles found in
a diverse range of organisms. Here we present evidence that the biogenesis of
acidocalcisomes in Trypanosoma brucei is linked to the expression of adaptor
protein-3 (AP-3) complex. Localization studies in cell lines expressing beta3 and
delta subunits of AP-3 fused to epitope tags revealed their partial co
localization with the vacuolar proton pyrophosphatase, a marker of
acidocalcisomes, with the Golgi marker Golgi reassembly and stacking protein, and
with antibodies against the small GTPase Rab11. Ablation of the beta3 subunit by
RNA interference (RNAi) resulted in disappearance of acidocalcisomes from both
procyclic and bloodstream form trypanosomes, as revealed by immmunofluorescence
and electron microscopy assays, with no alterations in trafficking of different
markers to lysosomes. Knockdown of the beta3 subunit resulted in lower acidic
calcium, pyrophosphate, and polyphosphate content as well as defects in growth in
culture, resistance to osmotic stress, and virulence in mice. Similar results
were obtained by knocking down the expression of the delta subunit of AP-3. These
results indicate that AP-3 is essential for the biogenesis of acidocalcisomes and
for growth and virulence of T. brucei.
PMID- 21880704
TI - The treatment of differentiated thyroid cancer in children: emphasis on surgical
approach and radioactive iodine therapy.
AB - Pediatric thyroid cancer is a rare disease with an excellent prognosis. Compared
with adults, epithelial-derived differentiated thyroid cancer (DTC), which
includes papillary and follicular thyroid cancer, presents at more advanced
stages in children and is associated with higher rates of recurrence. Because of
its uncommon occurrence, randomized trials have not been applied to test best
care options in children. Even in adults that have a 10-fold or higher incidence
of thyroid cancer than children, few prospective trials have been executed to
compare treatment approaches. We recognize that treatment recommendations have
changed over the past few decades and will continue to do so. Respecting the
aggressiveness of pediatric thyroid cancer, high recurrence rates, and the
problems associated with decades of long-term follow-up, a premium should be
placed on treatments that minimize risk of recurrence and the adverse effects of
treatments and facilitate follow-up. We recommend that total thyroidectomy and
central compartment lymph node dissection is the surgical procedure of choice for
children with DTC if it can be performed by a high-volume thyroid surgeon. We
recommend radioactive iodine therapy for remnant ablation or residual disease for
most children with DTC. We recommend long-term follow-up because disease can
recur decades after initial diagnosis and therapy. Considering the complexity of
DTC management and the potential complications associated with therapy, it is
essential that pediatric DTC be managed by physicians with expertise in this
area.
PMID- 21880707
TI - Hydrolytic mechanism of OXA-58 enzyme, a carbapenem-hydrolyzing class D beta
lactamase from Acinetobacter baumannii.
AB - Carbapenem-hydrolyzing class D beta-lactamases (CHDLs) represent an emerging
antibiotic resistance mechanism encountered among the most opportunistic Gram
negative bacterial pathogens. We report here the substrate kinetics and
mechanistic characterization of a prominent CHDL, the OXA-58 enzyme, from
Acinetobacter baumannii. OXA-58 uses a carbamylated lysine to activate the
nucleophilic serine used for beta-lactam hydrolysis. The deacylating water
molecule approaches the acyl-enzyme species, anchored at this serine (Ser-83),
from the alpha-face. Our data show that OXA-58 retains the catalytic machinery
found in class D beta-lactamases, of which OXA-10 is representative. Comparison
of the homology model of OXA-58 and the recently solved crystal structures of OXA
24 and OXA-48 with the OXA-10 crystal structure suggests that these CHDLs have
evolved the ability to hydrolyze imipenem, an important carbapenem in clinical
use, by subtle structural changes in the active site. These changes may
contribute to tighter binding of imipenem to the active site and removal of
steric hindrances from the path of the deacylating water molecule.
PMID- 21880706
TI - Chemical genetics of zipper-interacting protein kinase reveal myosin light chain
as a bona fide substrate in permeabilized arterial smooth muscle.
AB - Zipper-interacting protein kinase (ZIPK) has been implicated in Ca(2+)
independent smooth muscle contraction, although its specific role is unknown. The
addition of ZIPK to demembranated rat caudal arterial strips induced an increase
in force, which correlated with increases in LC(20) and MYPT1 phosphorylation.
However, because of the number of kinases capable of phosphorylating LC(20) and
MYPT1, it has proven difficult to identify the mechanism underlying ZIPK action.
Therefore, we set out to identify bona fide ZIPK substrates using a chemical
genetics method that takes advantage of ATP analogs with bulky substituents at
the N(6) position and an engineered ZIPK capable of utilizing such substrates.
(32)P-Labeled 6-phenyl-ATP and ZIPK-L93G mutant protein were added to
permeabilized rat caudal arterial strips, and substrate proteins were detected by
autoradiography following SDS-PAGE. Mass spectrometry identified LC(20) as a
direct target of ZIPK in situ for the first time. Tissues were also exposed to 6
phenyl-ATP and ZIPK-L93G in the absence of endogenous ATP, and putative ZIPK
substrates were identified by Western blotting. LC(20) was thereby confirmed as a
direct target of ZIPK; however, no phosphorylation of MYPT1 was detected. We
conclude that ZIPK is involved in the regulation of smooth muscle contraction
through direct phosphorylation of LC(20).
PMID- 21880709
TI - DeltaNp63alpha protein triggers epithelial-mesenchymal transition and confers
stem cell properties in normal human keratinocytes.
AB - p63 is a p53 family protein required for morphogenesis and postnatal regeneration
of epithelial tissues. Here we demonstrate that DeltaNp63alpha, a p63 isoform
lacking the N-terminal transactivation domain, induces epithelial-mesenchymal
transition (EMT) in primary human keratinocytes in a TGF-beta-dependent manner.
Rapidly proliferating normal human epidermal keratinocytes (NHEK) were infected
with retroviral vector expressing DeltaNp63alpha or empty vector and serially
subcultured until replicative senescence. No phenotypic changes were observed
until the culture reached senescence. Then the DeltaNp63alpha-transduced cells
underwent morphological changes resembling mesenchymal cells and acquired the EMT
phenotype. Treatment with exogenous TGF-beta accelerated EMT in presenescent
DeltaNp63alpha-transduced cells, whereas the inhibition of TGF-beta signaling
reversed the EMT phenotype. TGF-beta treatment alone led to growth arrest in
control NHEK with no evidence of EMT, indicating that DeltaNp63alpha altered the
cellular response to TGF-beta treatment. DeltaNp63alpha-transduced cells
acquiring EMT gained the ability to be differentiated to osteo-/odontogenic and
adipogenic pathways, resembling mesenchymal stem cells. Furthermore, these cells
expressed enhanced levels of Nanog and Lin28, which are transcription factors
associated with pluripotency. These data indicate that EMT required
DeltaNp63alpha transduction and intact TGF-beta signaling in NHEK.
PMID- 21880708
TI - Non-equivalent role of inter- and intramolecular hydrogen bonds in the insulin
dimer interface.
AB - Apart from its role in insulin receptor (IR) activation, the C terminus of the B
chain of insulin is also responsible for the formation of insulin dimers. The
dimerization of insulin plays an important role in the endogenous delivery of the
hormone and in the administration of insulin to patients. Here, we investigated
insulin analogues with selective N-methylations of peptide bond amides at
positions B24, B25, or B26 to delineate their structural and functional
contribution to the dimer interface. All N-methylated analogues showed impaired
binding affinities to IR, which suggests a direct IR-interacting role for the
respective amide hydrogens. The dimerization capabilities of analogues were
investigated by isothermal microcalorimetry. Selective N-methylations of B24,
B25, or B26 amides resulted in reduced dimerization abilities compared with
native insulin (K(d) = 8.8 MUM). Interestingly, although the N-methylation in
[NMeTyrB26]-insulin or [NMePheB24]-insulin resulted in K(d) values of 142 and 587
MUM, respectively, the [NMePheB25]-insulin did not form dimers even at high
concentrations. This effect may be attributed to the loss of intramolecular
hydrogen bonding between NHB25 and COA19, which connects the B-chain beta-strand
to the core of the molecule. The release of the B-chain beta-strand from this
hydrogen bond lock may result in its higher mobility, thereby shifting solution
equilibrium toward the monomeric state of the hormone. The study was complemented
by analyses of two novel analogue crystal structures. All examined analogues
crystallized only in the most stable R(6) form of insulin oligomers (even if the
dimer interface was totally disrupted), confirming the role of R(6)-specific
intra/intermolecular interactions for hexamer stability.
PMID- 21880710
TI - Phosphorylation of right open reading frame 2 (Rio2) protein kinase by polo-like
kinase 1 regulates mitotic progression.
AB - Polo-like kinase 1 (Plk1) plays essential roles during multiple stages of mitosis
by phosphorylating a number of substrates. Here, we report that the atypical
protein kinase Rio2 is a novel substrate of Plk1 and can be phosphorylated by
Plk1 at Ser-335, Ser-380, and Ser-548. Overexpression of Rio2 causes a prolonged
mitotic exit whereas knockdown of Rio2 accelerates mitotic progression,
suggesting that Rio2 is required for the proper mitotic progression.
Overexpression of phospho-mimicking mutant Rio2 S3D but not the
nonphosphorylatable mutant Rio2 S3A displays a profile similar to that of wild
type Rio2. These results indicate that the phosphorylation status of Rio2
correlates with its function in mitosis. Furthermore, time-lapse imaging data
show that overexpression of Rio2 but not Rio2 S3A results in a slowed metaphase
anaphase transition. Collectively, these findings strongly indicate that the Plk1
mediated phosphorylation of Rio2 regulates metaphase-anaphase transition during
mitotic progression.
PMID- 21880711
TI - Crystal structure of NALP3 protein pyrin domain (PYD) and its implications in
inflammasome assembly.
AB - NALP3 inflammasome, composed of the three proteins NALP3, ASC, and Caspase-1, is
a macromolecular complex responsible for the innate immune response against
infection with bacterial and viral pathogens. Formation of the inflammasome can
lead to the activation of inflammatory caspases, such as Caspase-1, which then
activate pro-inflammatory cytokines by proteolytic cleavage. The assembly of the
NALP3 inflammasome depends on the protein-interacting domain known as the death
domain superfamily. NALP3 inflammasome is assembled via a pyrin domain (PYD)/PYD
interaction between ASC and NALP3 and a caspase recruitment domain/caspase
recruitment domain interaction between ASC and Caspase-1. As a first step toward
elucidating the molecular mechanisms of inflammatory caspase activation by
formation of inflammasome, we report the crystal structure of the PYD from NALP3
at 1.7-A resolution. Although NALP3 PYD has the canonical six-helical bundle
structural fold similar to other PYDs, the high resolution structure reveals the
possible biologically important homodimeric interface and the dynamic properties
of the fold. Comparison with other PYD structures shows both similarities and
differences that may be functionally relevant. Structural and sequence analyses
further implicate conserved surface residues in NALP3 PYD for ASC interaction and
inflammasome assembly. The most interesting aspect of the structure was the
unexpected disulfide bond between Cys-8 and Cys-108, which might be important for
regulation of the activity of NALP3 by redox potential.
PMID- 21880712
TI - Tumor suppressor and aging biomarker p16(INK4a) induces cellular senescence
without the associated inflammatory secretory phenotype.
AB - Cellular senescence suppresses cancer by preventing the proliferation of cells
that experience potentially oncogenic stimuli. Senescent cells often express
p16(INK4a), a cyclin-dependent kinase inhibitor, tumor suppressor, and biomarker
of aging, which renders the senescence growth arrest irreversible. Senescent
cells also acquire a complex phenotype that includes the secretion of many
cytokines, growth factors, and proteases, termed a senescence-associated
secretory phenotype (SASP). The SASP is proposed to underlie age-related
pathologies, including, ironically, late life cancer. Here, we show that ectopic
expression of p16(INK4a) and another cyclin-dependent kinase inhibitor,
p21(CIP1/WAF1), induces senescence without a SASP, even though they induced other
features of senescence, including a stable growth arrest. Additionally, human
fibroblasts induced to senesce by ionizing radiation or oncogenic RAS developed a
SASP regardless of whether they expressed p16(INK4a). Cells induced to senesce by
ectopic p16(INK4a) expression lacked paracrine activity on epithelial cells,
consistent with the absence of a functional SASP. Nonetheless, expression of
p16(INK4a) by cells undergoing replicative senescence limited the accumulation of
DNA damage and premature cytokine secretion, suggesting an indirect role for
p16(INK4a) in suppressing the SASP. These findings suggest that p16(INK4a)
positive cells may not always harbor a SASP in vivo and, furthermore, that the
SASP is not a consequence of p16(INK4a) activation or senescence per se, but
rather is a damage response that is separable from the growth arrest.
PMID- 21880713
TI - Dual targets for mouse mast cell protease-4 in mediating tissue damage in
experimental bullous pemphigoid.
AB - Mouse mast cell protease-4 (mMCP-4) has been linked to autoimmune and
inflammatory diseases, although the exact mechanisms underlying its role in these
pathological conditions remain unclear. Here, we have found that mMCP-4 is
critical in a mouse model of the autoimmune skin blistering disease bullous
pemphigoid (BP). Mice lacking mMCP-4 were resistant to experimental BP.
Complement activation, mast cell (MC) degranulation, and the early phase of
neutrophil (PMN) recruitment occurred comparably in mMCP-4(-/-) and WT mice.
However, without mMCP-4, activation of matrix metalloproteinase (MMP)-9 was
impaired in cultured mMCP-4(-/-) MCs and in the skin of pathogenic IgG-injected
mMCP-4(-/-) mice. MMP-9 activation was not fully restored by local reconstitution
with WT or mMCP-4(-/-) PMNs. Local reconstitution with mMCP-4(+/+) MCs, but not
with mMCP-4(-/-) MCs, restored blistering, MMP-9 activation, and PMN recruitment
in mMCP-4(-/-) mice. mMCP-4 also degraded the hemidesmosomal transmembrane
protein BP180 both in the skin and in vitro. These results demonstrate that mMCP
4 plays two different roles in the pathogenesis of experimental BP, by both
activating MMP-9 and by cleaving BP180, leading to injury of the hemidesmosomes
and extracellular matrix of the basement membrane zone.
PMID- 21880714
TI - Ligand-receptor interaction between triterpenoids and the 11beta-hydroxysteroid
dehydrogenase type 2 (11betaHSD2) enzyme predicts their toxic effects against
tumorigenic r/m HM-SFME-1 cells.
AB - The present study deals with in silico prediction and in vitro evaluation of the
selective cytotoxic effects of triterpenoids on tumorigenic human c-Ha-ras and
mouse c-myc cotransfected highly metastatic serum-free mouse embryo-1 (r/m HM
SFME-1) cells. Ligand fitting of five different triterpenoids to 11beta
hydroxysteroid dehydrogenase type 2 (11betaHSD2) was analyzed with a molecular
modeling method, and glycyrrhetinic acid (GA) was the best-fitted triterpenoid to
the ligand binding site in 11betaHSD2. Analysis of antiproliferative effects
revealed that GA, oleanolic acid, and ursolic acid had selective toxicity against
the tumor cells and that GA was the most potent triterpenoid in its selectivity.
The toxic activity of the tested triterpenoids against the tumor cells showed
good correlations with the partition coefficient (logP) and polar surface area
values. Time-lapse microscopy, fluorescence staining, and confocal laser scanning
microscopic observation revealed that GA induced morphologic changes typical of
apoptosis such as cell shrinkage and blebbing and also disrupted the cytoskeletal
proteins. Furthermore, GA exhibited a strong inhibitory effect on 11betaHSD2
activity in the tumor cells. Our current results suggest that analysis of the
ligand-receptor interaction between triterpenoids and 11betaHSD2 can be utilized
to predict their antitumor effects and that GA can be used as a possible
chemopreventive and therapeutic antitumor agent. To the best of our knowledge,
this is the first report on in silico prediction of the toxic effects of
triterpenoids on tumor cells by 11betaHSD2 inhibition.
PMID- 21880715
TI - Structure of human SMYD2 protein reveals the basis of p53 tumor suppressor
methylation.
AB - SMYD2 belongs to a subfamily of histone lysine methyltransferase and was recently
identified to methylate tumor suppressor p53 and Rb. Here we report that SMYD2
prefers to methylate p53 Lys-370 over histone substrates in vitro. Consistently,
the level of endogenous p53 Lys-370 monomethylation is significantly elevated
when SMYD2 is overexpressed in vivo. We have solved the high resolution crystal
structures of the full-length SMYD2 protein in binary complex with its cofactor S
adenosylmethionine and in ternary complex with cofactor product S
adenosylhomocysteine and p53 substrate peptide (residues 368-375), respectively.
p53 peptide binds to a deep pocket of the interface between catalytic SET(1-282)
and C-terminal domain (CTD) with an unprecedented U-shaped conformation. Subtle
conformational change exists around the p53 binding site between the binary and
ternary structures, in particular the tetratricopeptide repeat motif of the CTD.
In addition, a unique EDEE motif between the loop of anti-parallel beta7 and
beta8 sheets of the SET core not only interacts with p53 substrate but also forms
a hydrogen bond network with residues from CTD. These observations suggest that
the tetratricopeptide repeat and EDEE motif may play an important role in
determining p53 substrate binding specificity. This is further verified by the
findings that deletion of the CTD domain drastically reduces the methylation
activity of SMYD2 to p53 protein. Meanwhile, mutation of EDEE residues impairs
both the binding and the enzymatic activity of SMYD2 to p53 Lys-370. These data
together reveal the molecular basis of SMYD2 in specifically recognizing and
regulating functions of p53 tumor suppressor through Lys-370 monomethylation.
PMID- 21880716
TI - Synergistic induction of galectin-1 by CCAAT/enhancer binding protein alpha and
hypoxia-inducible factor 1alpha and its role in differentiation of acute myeloid
leukemic cells.
AB - Galectin-1 is a member of the galectin family and has a high affinity for
galactose and N-acetylglucosamine moieties of glycoproteins. It mediates multiple
signal transduction pathways to modulate cellular proliferation, survival,
differentiation, and migration. However, the mechanisms for the regulation of its
expression remain greatly elusive. We reported previously that galectin-1 is a
direct target of the hypoxia-inducible factor 1 (HIF-1), a key heterodimeric
transcriptional factor for the cellular response to hypoxia. Here we show that
CCAAT/enhancer binding protein alpha (C/EBPalpha), a critical transcriptional
factor for hematopoietic cell differentiation, can directly activate galectin-1
through binding to the -48 to -42 bp region of its promoter. Based on the
physical interaction of C/EBPalpha and HIF-1alpha, the synergistic
transcriptional activity of C/EBPalpha and HIF-1alpha on the promoter of the
galectin-1 gene is also found by chromatin immunoprecipitation (ChIP), ChIP
followed by ChIP (ChIP-reChIP), and luciferase assay. Moreover, knockdown or
chemical inhibition of galectin-1 partially blocks the differentiation induced by
HIF-1alpha or C/EBPalpha, which can be rescued by recombinant galectin-1. These
discoveries would shed new insights on the mechanisms for galectin-1 expression
regulation and HIF-1alpha- and C/EBPalpha-induced leukemic cell differentiation.
PMID- 21880717
TI - Identification of novel Ssl0352 protein (NdhS), essential for efficient operation
of cyclic electron transport around photosystem I, in NADPH:plastoquinone
oxidoreductase (NDH-1) complexes of Synechocystis sp. PCC 6803.
AB - Cyanobacterial NADPH:plastoquinone oxidoreductase, or type I NAD(P)H
dehydrogenase, or the NDH-1 complex is involved in plastoquinone reduction and
cyclic electron transfer (CET) around photosystem I. CET, in turn, produces extra
ATP for cell metabolism particularly under stressful conditions. Despite
significant achievements in the study of cyanobacterial NDH-1 complexes during
the past few years, the entire subunit composition still remains elusive. To
identify missing subunits, we screened a transposon-tagged library of
Synechocystis 6803 cells grown under high light. Two NDH-1-mediated CET (NDH-CET)
defective mutants were tagged in the same ssl0352 gene encoding a short unknown
protein. To clarify the function of Ssl0352, the ssl0352 deletion mutant and
another mutant with Ssl0352 fused to yellow fluorescent protein (YFP) and the
His(6) tag were constructed. Immunoblotting, mass spectrometry, and confocal
microscopy analyses revealed that the Ssl0352 protein resides in the thylakoid
membrane and associates with the NDH-1L and NDH-1M complexes. We conclude that
Ssl0352 is a novel subunit of cyanobacterial NDH-1 complexes and designate it
NdhS. Deletion of the ssl0352 gene considerably impaired the NDH-CET activity and
also retarded cell growth under high light conditions, indicating that NdhS is
essential for efficient operation of NDH-CET. However, the assembly of the NDH-1L
and NDH-1M complexes and their content in the cells were not affected in the
mutant. NdhS contains a Src homology 3-like domain and might be involved in
interaction of the NDH-1 complex with an electron donor.
PMID- 21880718
TI - Biochemical studies and ligand-bound structures of biphenyl dehydrogenase from
Pandoraea pnomenusa strain B-356 reveal a basis for broad specificity of the
enzyme.
AB - Biphenyl dehydrogenase, a member of short-chain dehydrogenase/reductase enzymes,
catalyzes the second step of the biphenyl/polychlorinated biphenyls catabolic
pathway in bacteria. To understand the molecular basis for the broad substrate
specificity of Pandoraea pnomenusa strain B-356 biphenyl dehydrogenase (BphB(B
356)), the crystal structures of the apo-enzyme, the binary complex with NAD(+),
and the ternary complexes with NAD(+)-2,3-dihydroxybiphenyl and NAD(+)-4,4'
dihydroxybiphenyl were determined at 2.2-, 2.5-, 2.4-, and 2.1-A resolutions,
respectively. A crystal structure representing an intermediate state of the
enzyme was also obtained in which the substrate binding loop was ordered as
compared with the apo and binary forms but it was displaced significantly with
respect to the ternary structures. These five structures reveal that the
substrate binding loop is highly mobile and that its conformation changes during
ligand binding, starting from a disorganized loop in the apo state to a well
organized loop structure in the ligand-bound form. Conformational changes are
induced during ligand binding; forming a well defined cavity to accommodate a
wide variety of substrates. This explains the biochemical data that shows BphB(B
356) converts the dihydrodiol metabolites of 3,3'-dichlorobiphenyl, 2,4,4'
trichlorobiphenyl, and 2,6-dichlorobiphenyl to their respective dihydroxy
metabolites. For the first time, a combination of structural, biochemical, and
molecular docking studies of BphB(B-356) elucidate the unique ability of the
enzyme to transform the cis-dihydrodiols of double meta-, para-, and ortho
substituted chlorobiphenyls.
PMID- 21880719
TI - Human C-type lectin domain family 4, member C (CLEC4C/BDCA-2/CD303) is a receptor
for asialo-galactosyl-oligosaccharides.
AB - Plasmacytoid dendritic cells are specialized in the production of type I
interferon (type I IFN), which promotes antiviral and antitumor responses, as
well as autoimmune disorders. Activation of type I IFN secretion depends on the
pattern recognition receptors TLR7 and TLR9, which sense microbial RNA and DNA,
respectively. Type I IFN production is modulated by several receptors, including
the type II C-type lectin domain family 4, member C (CLEC4C). The natural ligand
of CLEC4C is unknown. To identify it, here we probed a glycan array with a
soluble form of the CLEC4C ectodomain. We found that CLEC4C recognizes complex
type sugars with terminal galactose. Importantly, soluble CLEC4C bound peripheral
blood leukocytes and tumor cells that express glycans with galactose residues at
the non-reducing ends. The positive and negative modulation of galactose residues
on cell membranes was paralleled by the regulation of type I IFN secretion by
plasmacytoid dendritic cells in co-culture experiments in vitro. These results
suggest that the modulation in the expression of non-sialylated oligosaccharides
by invading pathogens or transformed cells may affect type I IFN response and
immune surveillance.
PMID- 21880720
TI - 2-thioxanthines are mechanism-based inactivators of myeloperoxidase that block
oxidative stress during inflammation.
AB - Myeloperoxidase (MPO) is a prime candidate for promoting oxidative stress during
inflammation. This abundant enzyme of neutrophils uses hydrogen peroxide to
oxidize chloride to highly reactive and toxic chlorine bleach. We have identified
2-thioxanthines as potent mechanism-based inactivators of MPO. Mass spectrometry
and x-ray crystal structures revealed that these inhibitors become covalently
attached to the heme prosthetic groups of the enzyme. We propose a mechanism
whereby 2-thioxanthines are oxidized, and their incipient free radicals react
with the heme groups of the enzyme before they can exit the active site. 2
Thioxanthines inhibited MPO in plasma and decreased protein chlorination in a
mouse model of peritonitis. They slowed but did not prevent neutrophils from
killing bacteria and were poor inhibitors of thyroid peroxidase. Our study shows
that MPO is susceptible to the free radicals it generates, and this Achilles'
heel of the enzyme can be exploited to block oxidative stress during
inflammation.
PMID- 21880721
TI - Analysis of two major intracellular phospholipases A(2) (PLA(2)) in mast cells
reveals crucial contribution of cytosolic PLA(2)alpha, not Ca(2+)-independent
PLA(2)beta, to lipid mobilization in proximal mast cells and distal fibroblasts.
AB - Mast cells release a variety of mediators, including arachidonic acid (AA)
metabolites, to regulate allergy, inflammation, and host defense, and their
differentiation and maturation within extravascular microenvironments depend on
the stromal cytokine stem cell factor. Mouse mast cells express two major
intracellular phospholipases A(2) (PLA(2)s), namely group IVA cytosolic PLA(2)
(cPLA(2)alpha) and group VIA Ca(2+)-independent PLA(2) (iPLA(2)beta), and the
role of cPLA(2)alpha in eicosanoid synthesis by mast cells has been well
documented. Lipidomic analyses of mouse bone marrow-derived mast cells (BMMCs)
lacking cPLA(2)alpha (Pla2g4a(-/-)) or iPLA(2)beta (Pla2g6(-/-)) revealed that
phospholipids with AA were selectively hydrolyzed by cPLA(2)alpha, not by
iPLA(2)beta, during FcepsilonRI-mediated activation and even during fibroblast
dependent maturation. Neither FcepsilonRI-dependent effector functions nor
maturation-driven phospholipid remodeling was impaired in Pla2g6(-/-) BMMCs.
Although BMMCs did not produce prostaglandin E(2) (PGE(2)), the AA released by
cPLA(2)alpha from BMMCs during maturation was converted to PGE(2) by microsomal
PGE synthase-1 (mPGES-1) in cocultured fibroblasts, and accordingly, Pla2g4a(-/-)
BMMCs promoted microenvironmental PGE(2) synthesis less efficiently than wild
type BMMCs both in vitro and in vivo. Mice deficient in mPGES-1 (Ptges(-/-)) had
an augmented local anaphylactic response. These results suggest that cPLA(2)alpha
in mast cells is functionally coupled, through the AA transfer mechanism, with
stromal mPGES-1 to provide anti-anaphylactic PGE(2). Although iPLA(2)beta is
partially responsible for PGE(2) production by macrophages and dendritic cells,
it is dispensable for mast cell maturation and function.
PMID- 21880722
TI - Glycodelin-A protein interacts with Siglec-6 protein to suppress trophoblast
invasiveness by down-regulating extracellular signal-regulated kinase (ERK)/c-Jun
signaling pathway.
AB - During placentation, the cytotrophoblast differentiates into the villous
cytotrophoblast and the extravillous cytotrophoblast. The latter invades the
decidualized endometrium. Glycodelin-A (GdA) is abundantly synthesized by the
decidua but not the trophoblast. Previous data indicate that GdA suppresses the
invasion of trophoblast cell lines by down-regulating proteinase expression and
activities. This study addresses the signaling pathway involved in the above
phenomenon. GdA was found to suppress phosphorylation of ERKs and expression of
their downstream effector c-Jun, a component of the transcription factor
activator protein-1 (AP-1). The involvement of ERKs and c-Jun in suppressing
trophoblast invasion and biosynthesis of proteinases was confirmed by using siRNA
knockdown and pharmacological inhibitors. Desialylation reduced binding affinity
of GdA toward and invasion suppressive activities on the trophoblast. Co
immunoprecipitation showed that Siglec-6 on the trophoblast was the binding
protein of GdA. The binding of GdA to Siglec-6 was sialic acid-dependent.
Treatment with anti-Siglec-6 antibody abolished the invasion suppressive
activities of GdA. These results show that GdA interacts with Siglec-6 to
suppress trophoblast invasiveness by down-regulating the ERK/c-Jun signaling
pathway.
PMID- 21880724
TI - Atomic resolution x-ray structure of the substrate recognition domain of higher
plant ribulose-bisphosphate carboxylase/oxygenase (Rubisco) activase.
AB - The rapid release of tight-binding inhibitors from dead-end ribulose-bisphosphate
carboxylase/oxygenase (Rubisco) complexes requires the activity of Rubisco
activase, an AAA+ ATPase that utilizes chemo-mechanical energy to catalyze the
reactivation of Rubisco. Activase is thought to play a central role in
coordinating the rate of CO(2) fixation with the light reactions of
photosynthesis. Here, we present a 1.9 A crystal structure of the C-domain core
of creosote activase. The fold consists of a canonical four-helix bundle, from
which a paddle-like extension protrudes that entails a nine-turn helix lined by
an irregularly structured peptide strand. The residues Lys-313 and Val-316
involved in the species-specific recognition of Rubisco are located near the tip
of the paddle. An ionic bond between Lys-313 and Glu-309 appears to stabilize the
glycine-rich end of the helix. Structural superpositions onto the distant homolog
FtsH imply that the paddles extend away from the hexameric toroid in a fan-like
fashion, such that the hydrophobic sides of each blade bearing Trp-302 are facing
inward and the polar sides bearing Lys-313 and Val-316 are facing outward.
Therefore, we speculate that upon binding, the activase paddles embrace the
Rubisco cylinder by placing their hydrophobic patches near the partner protein.
This model suggests that conformational adjustments at the remote end of the
paddle may relate to selectivity in recognition, rather than specific ionic
contacts involving Lys-313. Additionally, the superpositions predict that the
catalytically critical Arg-293 does not interact with the bound nucleotide.
Hypothetical ring-ring stacking and peptide threading models for Rubisco
reactivation are briefly discussed.
PMID- 21880723
TI - Retinoblastoma protein modulates the inverse relationship between cellular
proliferation and elastogenesis.
AB - The mechanism that leads to the inverse relationship between heightened cellular
proliferation and the cessation of elastic fibers production, observed during
formation of the arterial occlusions and dermal scars, is not fully understood.
Because the retinoblastoma protein (Rb), responsible for cell cycle initiation,
has also been implicated in insulin-like growth factor-I-mediated signaling
stimulating elastin gene activation, we explored whether differential
phosphorylation of Rb by various cyclin.cyclin-dependent kinase complexes would
be responsible for promoting either elastogenic or pro-proliferative signals. We
first tested cultures of dermal fibroblasts derived from Costello syndrome
patients, in which heightened proliferation driven by mutated oncogenic H-Ras
coincides with inhibition of elastogenesis. We found that Costello syndrome
fibroblasts display elevated level of Rb phosphorylation on serine 780 (Ser(P)
780-Rb) and that pharmacological inhibition of Ras with radicicol, Mek/Erk with
PD98059, or cyclin-dependent kinase 4 with PD0332991 not only leads to down
regulation of Ser(P)-780-Rb levels but also enhances Rb phosphorylation on
threonine-821 (Thr(P)-821-Rb), which coincides with the recovery of elastin
production. Then we demonstrated that treatment of normal skin fibroblasts with
the pro-proliferative PDGF BB also up-regulates Ser(P)-780-Rb levels, but
treatment with the pro-elastogenic insulin-like growth factor-I activates cyclinE
cdk2 complex to phosphorylate Rb on Thr-821. Importantly, we have established
that elevation of Thr(P)-821-Rb promotes Rb binding to the Sp1 transcription
factor and that successive binding of the Rb-Sp1 complex to the retinoblastoma
control element within the elastin gene promoter stimulates tropoelastin
transcription. In summary, we provide novel insight into the role of Rb in
mediating the inverse relationship between elastogenesis and cellular
proliferation.
PMID- 21880725
TI - Stereocontrol of arachidonic acid oxygenation by vertebrate lipoxygenases: newly
cloned zebrafish lipoxygenase 1 does not follow the Ala-versus-Gly concept.
AB - Animal lipoxygenases (LOXs) are classified according to their specificity of
arachidonic acid oxygenation, and previous sequence alignments suggested that S
LOXs contain a conserved Ala at a critical position at the active site but R-LOXs
carry a Gly instead. Here we cloned, expressed, and characterized a novel LOX
isoform from the model vertebrate Danio rerio (zebrafish) that carries a Gly at
this critical position, classifying this enzyme as putative arachidonic acid R
LOX. Surprisingly, the almost exclusive arachidonic acid oxygenation product was
12S-H(p)ETE (hydro(pero)xyeicosatetraenoic acid), and extensive mutation around
Gly-410 failed to induce R-lipoxygenation. This finding prompted us to explore
the importance of the corresponding amino acids in other vertebrate S-LOXs. We
found that Ala-to-Gly exchange in human 15-LOX2 and human platelet 12-LOX induced
major alterations in the reaction specificity with an increase of specific R
oxygenation products. For mouse 5-LOX and 12/15-LOX from rabbits, men, rhesus
monkeys, orangutans, and mice, only minor alterations in the reaction specificity
were observed. For these enzymes, S-HETE (hydroxyeicosatetraenoic acid) isomers
remained the major oxygenation products, whereas chiral R-HETEs contributed only
10-30% to the total product mixture. Taken together these data indicate that the
Ala-versus-Gly concept may not always predict the reaction specificity of
vertebrate LOX isoforms.
PMID- 21880726
TI - Interaction of nectin-like molecule 2 with integrin alpha6beta4 and inhibition of
disassembly of integrin alpha6beta4 from hemidesmosomes.
AB - In normal epithelial cells, integrin alpha(6)beta(4) is abundantly expressed and
forms hemidesmosomes, which is a cellular structure that mediates cell
extracellular matrix binding. In many types of cancer cells, integrin
alpha(6)beta(4) is up-regulated, laminin is cleaved, and hemidesmosomes are
disrupted, eventually causing an enhancement of cancer cell movement and
facilitation of their invasion. We previously showed that the immunoglobulin-like
cell adhesion molecule Necl-2 (Nectin-like molecule 2), known as a tumor
suppressor, inhibits cancer cell movement by suppressing the ErbB3/ErbB2
signaling. We show here that Necl-2 interacts in cis with integrin
alpha(6)beta(4). The binding of Necl-2 with integrin beta(4) was mediated by its
extracellular region. In human colorectal adenocarcinoma Caco-2 cells, integrin
alpha(6)beta(4) was localized at hemidesmosomes. Small interfering RNA-mediated
suppression of Necl-2 expression enhanced the phorbol ester-induced disruption of
the integrin alpha(6)beta(4) complex at hemidesmosomes, whereas expression of
Necl-2 suppressed the disruption of this structure. These results indicate that
tumor-suppressive functions of Necl-2 are mediated by the stabilization of the
hemidesmosome structure in addition to the inhibition of the ErbB3/ErbB2
signaling.
PMID- 21880727
TI - Strain-dependent up-regulation of ephrin-B2 protein in periodontal ligament
fibroblasts contributes to osteogenesis during tooth movement.
AB - During orthodontic tooth movement, the application of adequate orthodontic forces
allows teeth to be moved through the alveolar bone. These forces are transmitted
through the periodontal ligaments (PDL) to the supporting alveolar bone and lead
to deposition or resorption of bone, depending on whether the tissues are exposed
to a tensile or compressive mechanical strain. Fibroblasts within the PDL (PDLF)
are considered to be mechanoresponsive. The transduction mechanisms from
mechanical loading of the PDLF to the initiation of bone remodeling are not
clearly understood. Recently, members of the ephrin/Eph family have been shown to
be involved in the regulation of bone homeostasis. For the first time, we
demonstrate that PDLF exposed to tensile strain induce the expression of ephrin
B2 via a FAK-, Ras-, ERK1/2-, and SP1-dependent pathway. Osteoblasts of the
alveolar bone stimulated with ephrin-B2 increased their osteoblastogenic gene
expression and showed functional signs of osteoblastic differentiation. In a
physiological setting, ephrin-B2-EphB4 signaling between PDLF and osteoblasts of
the alveolar bone might contribute to osteogenesis at tension sites during
orthodontic tooth movement.
PMID- 21880728
TI - Cdc42 regulates extracellular matrix remodeling in three dimensions.
AB - Extracellular matrix (ECM) actively participates in normal cell regulation and in
the process of tumor progression. The Rho GTPase Cdc42 has been shown to regulate
cell-ECM interaction in conventional two-dimensional culture conditions by using
dominant mutants of Cdc42 in immortalized cell lines that may introduce
nonspecific effects. Here, we employ three-dimensional culture systems for
conditional gene targeted primary mouse embryonic fibroblasts that better
simulate the reciprocal and adaptive interactions between cells and surrounding
matrix to define the role of Cdc42 signaling pathways in ECM organization. Cdc42
deficiency leads to a defect in global cell-matrix interactions reflected by a
decrease in collagen gel contraction. The defect is associated with an altered
cell-matrix interaction that is evident by morphologic changes and reduced focal
adhesion complex formation. The matrix defect is also associated with a reduction
in synthesis and activation of matrix metalloproteinase 9 (MMP9) and altered
fibronectin deposition patterning. A Cdc42 mutant rescue experiment found that
downstream of Cdc42, p21-activated kinase (PAK), but not Par6 or WASP, may be
involved in regulating collagen gel contraction and fibronectin organization.
Thus, in addition to the previously implicated roles in intracellular regulation
of actin organization, proliferation, and vesicle trafficking, Cdc42 is essential
in ECM remodeling in three dimensions.
PMID- 21880729
TI - Regulation of fertility, survival, and cuticle collagen function by the
Caenorhabditis elegans eaf-1 and ell-1 genes.
AB - EAF2, an androgen-regulated protein, interacts with members of the ELL (eleven
nineteen lysine-rich leukemia) transcription factor family and also acts as a
tumor suppressor. Although these proteins control transcriptional elongation and
perhaps modulate the effects of other transcription factors, the mechanisms of
their actions remain largely unknown. To gain new insights into the biology of
the EAF2 and ELL family proteins, we used Caenorhabditis elegans as a model to
explore the in vivo roles of their worm orthologs. Through the use of transgenic
worms, RNAi, and an eaf-1 mutant, we found that both genes are expressed in
multiple cell types throughout the worm life cycle and that they play important
roles in fertility, survival, and body size regulation. ELL-1 and EAF-1 likely
contribute to these activities in part through modulating cuticle synthesis,
given that we observed a disrupted cuticle structure in ell-1 RNAi-treated or eaf
1 mutant worms. Consistent with disruption of cuticle structure, loss of either
ELL-1 or EAF-1 suppressed the rol phenotype of specific collagen mutants,
possibly through the control of dpy-3, dpy-13, and sqt-3 collagen gene
expression. Furthermore, we also noted the regulation of collagen expression by
ELL overexpression in PC3 human prostate cancer cells. Together, these results
reveal important roles for the eaf-1 and ell-1 genes in the regulation of
extracellular matrix components.
PMID- 21880730
TI - Cooperative role of nectin-nectin and nectin-afadin interactions in formation of
nectin-based cell-cell adhesion.
AB - The nectin cell adhesion molecules interact in trans with each other through
their extracellular regions and with afadin through their cytoplasmic tails,
forming adherens junctions in cooperation with cadherins. In a single cell, Necl
5 (nectin-like molecule-5) localizes at the leading edge and regulates
directional cell movement in response to a chemoattractant. In such a single
cell, afadin also localizes at the leading edge without interacting with nectins
or Necl-5. It remains unknown how the nectin-nectin and nectin-afadin
interactions are initiated when moving cells contact each other to initiate the
formation of adherens junctions. We show here that the Necl-5-nectin interaction
induced by cell-cell contact enhances the nectin-afadin interaction. This
interaction then enhances the nectin-nectin interaction, which further enhances
the nectin-afadin interaction in a positive feedback manner. Thus, the Necl-5
nectin, nectin-nectin, and nectin-afadin interactions cooperatively increase the
clustering of the nectin-afadin complex at the cell-cell contact sites, promoting
the formation of the nectin-based cell-cell adhesion.
PMID- 21880731
TI - Recognition of unmodified histone H3 by the first PHD finger of bromodomain-PHD
finger protein 2 provides insights into the regulation of histone
acetyltransferases monocytic leukemic zinc-finger protein (MOZ) and MOZ-related
factor (MORF).
AB - MOZ (monocytic leukemic zinc-finger protein) and MORF (MOZ-related factor) are
histone acetyltransferases important for HOX gene expression as well as embryo
and postnatal development. They form complexes with other regulatory subunits
through the scaffold proteins BRPF1/2/3 (bromodomain-PHD (plant homeodomain)
finger proteins 1, 2, or 3). BRPF proteins have multiple domains, including two
PHD fingers, for potential interactions with histones. Here we show that the
first PHD finger of BRPF2 specifically recognizes the N-terminal tail of
unmodified histone H3 (unH3) and report the solution structures of this PHD
finger both free and in complex with the unH3 peptide. Structural analysis
revealed that the unH3 peptide forms a third antiparallel beta-strand that pairs
with the PHD1 two-stranded antiparallel beta-sheet. The binding specificity was
determined primarily through the recognition of arginine 2 and lysine 4 of the
unH3 by conserved aspartic acids of PHD1 and of threonine 6 of the unH3 by a
conserved asparagine. Isothermal titration calorimetry and NMR assays showed that
post-translational modifications such as H3R2me2as, H3T3ph, H3K4me, H3K4ac, and
H3T6ph antagonized the interaction between histone H3 and PHD1. Furthermore,
histone binding by PHD1 was important for BRPF2 to localize to the HOXA9 locus in
vivo. PHD1 is highly conserved in yeast NuA3 and other histone acetyltransferase
complexes, so the results reported here also shed light on the function and
regulation of these complexes.
PMID- 21880732
TI - The chaperonin containing TCP1 complex (CCT/TRiC) is involved in mediating sperm
oocyte interaction.
AB - Sperm-oocyte interactions are among the most remarkable processes in cell
biology. These cellular recognition events are initiated by an exquisitely
specific adhesion of free-swimming spermatozoa to the zona pellucida, an
acellular matrix that surrounds the ovulated oocyte. Decades of research focusing
on this interaction have led to the establishment of a widely held paradigm that
the zona pellucida receptor is a single molecular entity that is constitutively
expressed on the sperm cell surface. In contrast, we have employed the techniques
of blue native-polyacrylamide gel electrophoresis, far Western blotting, and
proximity ligation to secure the first direct evidence in support of a novel
hypothesis that zona binding is mediated by multimeric sperm receptor
complex(es). Furthermore, we show that one such multimeric association,
comprising the chaperonin-containing TCP1 complex (CCT/TRiC) and a zona-binding
protein, zona pellucida-binding protein 2, is present on the surface of
capacitated spermatozoa and could account for the zona binding activity of these
cells. Collectively, these data provide an important biochemical insight into the
molecular basis of sperm-zona pellucida interaction and a plausible explanation
for how spermatozoa gain their ability to fertilize.
PMID- 21880733
TI - ADAMTSL6beta protein rescues fibrillin-1 microfibril disorder in a Marfan
syndrome mouse model through the promotion of fibrillin-1 assembly.
AB - Marfan syndrome (MFS) is a systemic disorder of the connective tissues caused by
insufficient fibrillin-1 microfibril formation and can cause cardiac
complications, emphysema, ocular lens dislocation, and severe periodontal
disease. ADAMTSL6beta (A disintegrin-like metalloprotease domain with
thrombospondin type I motifs-like 6beta) is a microfibril-associated
extracellular matrix protein expressed in various connective tissues that has
been implicated in fibrillin-1 microfibril assembly. We here report that
ADAMTSL6beta plays an essential role in the development and regeneration of
connective tissues. ADAMTSL6beta expression rescues microfibril disorder after
periodontal ligament injury in an MFS mouse model through the promotion of
fibrillin-1 microfibril assembly. In addition, improved fibrillin-1 assembly in
MFS mice following the administration of ADAMTSL6beta attenuates the
overactivation of TGF-beta signals associated with the increased release of
active TGF-beta from disrupted fibrillin-1 microfibrils within periodontal
ligaments. Our current data thus demonstrate the essential contribution of
ADAMTSL6beta to fibrillin-1 microfibril formation. These findings also suggest a
new therapeutic strategy for the treatment of MFS through ADAMTSL6beta-mediated
fibrillin-1 microfibril assembly.
PMID- 21880734
TI - Local cytosolic Ca2+ elevations are required for stromal interaction molecule 1
(STIM1) de-oligomerization and termination of store-operated Ca2+ entry.
AB - The Ca(2+) depletion of the endoplasmic reticulum (ER) activates the ubiquitous
store-operated Ca(2+) entry (SOCE) pathway that sustains long-term Ca(2+) signals
critical for cellular functions. ER Ca(2+) depletion initiates the
oligomerization of stromal interaction molecules (STIM) that control SOCE
activation, but whether ER Ca(2+) refilling controls STIM de-oligomerization and
SOCE termination is not known. Here, we correlate the changes in free luminal ER
Ca(2+) concentrations ([Ca(2+)](ER)) and in STIM1 oligomerization, using
fluorescence resonance energy transfer (FRET) between CFP-STIM1 and YFP-STIM1. We
observed that STIM1 de-oligomerized at much lower [Ca(2+)](ER) levels during
store refilling than it oligomerized during store depletion. We then refilled ER
stores without adding exogenous Ca(2+) using a membrane-permeable Ca(2+) chelator
to provide a large reservoir of buffered Ca(2+). This procedure rapidly restored
pre-stimulatory [Ca(2+)](ER) levels but did not trigger STIM1 de-oligomerization,
the FRET signals remaining elevated as long as the external [Ca(2+)] remained
low. STIM1 dissociation evoked by Ca(2+) readmission was prevented by SOC channel
inhibition and was associated with cytosolic Ca(2+) elevations restricted to
STIM1 puncta, indicating that Ca(2+) acts on a cytosolic target close to STIM1
clusters. These data indicate that the refilling of ER Ca(2+) stores is not
sufficient to induce STIM1 de-oligomerization and that localized Ca(2+)
elevations in the vicinity of assembled SOCE complexes are required for the
termination of SOCE.
PMID- 21880735
TI - Structure and mechanism of the lipooligosaccharide sialyltransferase from
Neisseria meningitidis.
AB - The first x-ray crystallographic structure of a CAZY family-52
glycosyltransferase, that of the membrane associated alpha2,3/alpha2,6
lipooligosaccharide sialyltransferase from Neisseria meningitidis serotype L1
(NST), has been solved to 1.95 A resolution. The structure of NST adopts a GT-B
fold common with other glycosyltransferase (GT) families but exhibits a novel
domain swap of the N-terminal 130 residues to create a functional homodimeric
form not observed in any other class to date. The domain swap is mediated at the
structural level by a loop-helix-loop extension between residues Leu-108 and Met
130 (we term the swapping module) and a unique lipid-binding domain. NST
catalyzes the creation of alpha2,3- or 2,6-linked oligosaccharide products from a
CMP-sialic acid (Neu5Ac) donor and galactosyl-containing acceptor sugars. Our
structures of NST bound to the non-hydrolyzable substrate analog CMP-3F((axial))
Neu5Ac show that the swapping module from one monomer of NST mediates the binding
of the donor sugar in a composite active site formed at the dimeric interface.
Kinetic analysis of designed point mutations observed in the CMP-3F((axial))
Neu5Ac binding site suggests potential roles of a requisite general base (Asp
258) and general acid (His-280) in the NST catalytic mechanism. A long
hydrophobic tunnel adjacent to the dimer interface in each of the two monomers
contains electron density for two extended linear molecules that likely belong to
either the two fatty acyl chains of a diglyceride lipid or the two polyethylene
glycol groups of the detergent Triton X-100. In this work, Triton X-100 maintains
the activity and increases the solubility of NST during purification and is
critical to the formation of ordered crystals. Together, the mechanistic
implications of the NST structure provide insight into lipooligosaccharide
sialylation with respect to the association of substrates and the essential
membrane-anchored nature of NST on the bacterial surface.
PMID- 21880736
TI - Cartilage intermediate layer protein 2 (CILP-2) is expressed in articular and
meniscal cartilage and down-regulated in experimental osteoarthritis.
AB - Using transcriptome profiling to determine differential gene expression between
the permanent mouse articular cartilage and the transient growth plate cartilage,
we identified a highly expressed gene, Cilp2, which is expressed differentially
by articular chondrocytes. CILP-2 is highly homologous to CILP-1 (cartilage
intermediate layer protein 1), which is expressed in the intermediate zone of
articular cartilage and has been linked to cartilage degenerative diseases. We
demonstrated that Cilp2 has a restricted mRNA distribution at the surface of the
mouse articular cartilage during development, becoming localized to the
intermediate zone of articular cartilage and meniscal cartilage with maturity.
Although the extracellular CILP-2 protein localization is broadly similar to CILP
1, CILP-2 appears to be more localized in the deeper intermediate zone of the
articular cartilage extracellular matrix at maturity. CILP-2 was shown to be
proteolytically processed, N-glycosylated, and present in human articular
cartilage. In surgically induced osteoarthritis in mice, Cilp1 and Cilp2 gene
expression was dysregulated. However, whereas Cilp1 expression was increased,
Cilp2 gene expression was down-regulated demonstrating a differential response to
mechanically induced joint destabilization. CILP-2 protein was reduced in the
mouse osteoarthritic cartilage. Ultrastructural analysis also suggested that CILP
2 may be associated with collagen VI microfibrils and thus may mediate
interactions between matrix components in the territorial and inter-territorial
articular cartilage matrix. mRNA expression analysis indicated that whereas Cilp1
and Cilp2 are expressed most abundantly in cartilaginous tissues, expression can
be detected in muscle and heart.
PMID- 21880737
TI - Endothelial cell migration on fibronectin is regulated by syntaxin 6-mediated
alpha5beta1 integrin recycling.
AB - The alpha5beta1 integrin heterodimer regulates many processes that contribute to
embryonic development and angiogenesis, in both physiological and pathological
contexts. As one of the major adhesion complexes on endothelial cells, it plays a
vital role in adhesion and migration along the extracellular matrix. We recently
showed that angiogenesis is modulated by syntaxin 6, a Golgi- and endosome
localized t-SNARE, and that it does so by regulating the post-Golgi trafficking
of VEGFR2. Here we show that syntaxin 6 is also required for alpha5beta1 integrin
mediated adhesion of endothelial cells to, and migration along, fibronectin. We
demonstrate that syntaxin 6 and alpha5beta1 integrin colocalize in EEA1
containing early endosomes, and that functional inhibition of syntaxin 6 leads to
misrouting of beta1 integrin to the degradation pathway (late endosomes and
lysosomes) rather transport along recycling pathway from early endosomes; an
increase in the pool of ubiquitinylated alpha5 integrin and its lysosome
dependent degradation; reduced cell spreading on fibronectin; decreased Rac1
activation; and altered Rac1 localization. Collectively, our data show that
functional syntaxin 6 is required for the regulation of alpha5beta1-mediated
endothelial cell movement on fibronectin. These syntaxin 6-regulated membrane
trafficking events control outside-in signaling via haptotactic and chemotactic
mechanisms.
PMID- 21880738
TI - Mixed lineage kinase 3 modulates beta-catenin signaling in cancer cells.
AB - Expression of beta-catenin is strictly regulated in normal cells via the glycogen
synthase kinase 3beta (GSK3beta)- adenomatous polyposis coli-axin-mediated
degradation pathway. Mechanisms leading to inactivation of this pathway (example:
activation of Wnt/beta-catenin signaling or mutations of members of the
degradation complex) can result in beta-catenin stabilization and activation of
beta-catenin/T-cell factor (TCF) signaling. beta-Catenin-mediated cellular events
are diverse and complex. A better understanding of the cellular signaling
networks that control beta-catenin pathway is important for designing effective
therapeutic strategies targeting this axis. To gain more insight, we focused on
determining any possible cross-talk between beta-catenin and mixed lineage kinase
3 (MLK3), a MAPK kinase kinase member. Our studies indicated that MLK3 can induce
beta-catenin expression via post-translational stabilization in various cancer
cells, including prostate cancer. This function of MLK3 was dependent on its
kinase activity. MLK3 can interact with beta-catenin and phosphorylate it in
vitro. Overexpression of GSK3beta-WT or the S9A mutant was unable to antagonize
MLK3-induced stabilization, suggesting this to be independent of GSK3beta
pathway. Surprisingly, despite stabilizing beta-catenin, MLK3 inhibited TCF
transcriptional activity in the presence of both WT and S37A beta-catenin. These
resulted in reduced expression of beta-catenin/TCF downstream targets Survivin
and myc. Immunoprecipitation studies indicated that MLK3 did not decrease beta
catenin/TCF interaction but promoted interaction between beta-catenin and KLF4, a
known repressor of beta-catenin/TCF transcriptional activity. In addition, co
expression of MLK3 and beta-catenin resulted in significant G(2)/M arrest. These
studies provide a novel insight toward the regulation of beta-catenin pathway,
which can be targeted to control cancer cell proliferation, particularly those
with aberrant activation of beta-catenin signaling.
PMID- 21880739
TI - G protein-coupled receptors and resistance to inhibitors of cholinesterase-8A
(Ric-8A) both regulate the regulator of g protein signaling 14 RGS14.Galphai1
complex in live cells.
AB - Regulator of G protein Signaling 14 (RGS14) is a multifunctional scaffolding
protein that integrates both conventional and unconventional G protein signaling
pathways. Like other RGS (regulator of G protein signaling) proteins, RGS14 acts
as a GTPase accelerating protein to terminate conventional Galpha(i/o) signaling.
However, unlike other RGS proteins, RGS14 also contains a G protein
regulatory/GoLoco motif that specifically binds Galpha(i1/3)-GDP in cells and in
vitro. The non-receptor guanine nucleotide exchange factor Ric-8A can bind and
act on the RGS14.Galpha(i1)-GDP complex to play a role in unconventional G
protein signaling independent of G protein-coupled receptors (GPCRs). Here we
demonstrate that RGS14 forms a Galpha(i/o)-dependent complex with a G(i)-linked
GPCR and that this complex is regulated by receptor agonist and Ric-8A
(resistance to inhibitors of cholinesterase-8A). Using live cell bioluminescence
resonance energy transfer, we show that RGS14 functionally associates with the
alpha(2A)-adrenergic receptor (alpha(2A)-AR) in a Galpha(i/o)-dependent manner.
This interaction is markedly disrupted after receptor stimulation by the specific
agonist UK14304, suggesting complex dissociation or rearrangement. Agonist
mediated dissociation of the RGS14.alpha(2A)-AR complex occurs in the presence of
Galpha(i/o) but not Galpha(s) or Galpha(q). Unexpectedly, RGS14 does not
dissociate from Galpha(i1) in the presence of stimulated alpha(2A)-AR, suggesting
preservation of RGS14.Galpha(i1) complexes after receptor activation. However,
Ric-8A facilitates dissociation of both the RGS14.Galpha(i1) complex and the
Galpha(i1)-dependent RGS14.alpha(2A)-AR complex after receptor activation.
Together, these findings indicate that RGS14 can form complexes with GPCRs in
cells that are dependent on Galpha(i/o) and that these RGS14.Galpha(i1).GPCR
complexes may be substrates for other signaling partners such as Ric-8A.
PMID- 21880740
TI - Assembly mechanism of FCT region type 1 pili in serotype M6 Streptococcus
pyogenes.
AB - The human pathogen Streptococcus pyogenes produces diverse pili depending on the
serotype. We investigated the assembly mechanism of FCT type 1 pili in a serotype
M6 strain. The pili were found to be assembled from two precursor proteins, the
backbone protein T6 and ancillary protein FctX, and anchored to the cell wall in
a manner that requires both a housekeeping sortase enzyme (SrtA) and pilus
associated sortase enzyme (SrtB). SrtB is primarily required for efficient
formation of the T6 and FctX complex and subsequent polymerization of T6, whereas
proper anchoring of the pili to the cell wall is mainly mediated by SrtA. Because
motifs essential for polymerization of pilus backbone proteins in other Gram
positive bacteria are not present in T6, we sought to identify the functional
residues involved in this process. Our results showed that T6 encompasses the
novel VAKS pilin motif conserved in streptococcal T6 homologues and that the
lysine residue (Lys-175) within the motif and cell wall sorting signal of T6 are
prerequisites for isopeptide linkage of T6 molecules. Because Lys-175 and the
cell wall sorting signal of FctX are indispensable for substantial incorporation
of FctX into the T6 pilus shaft, FctX is suggested to be located at the pilus
tip, which was also implied by immunogold electron microscopy findings. Thus, the
elaborate assembly of FCT type 1 pili is potentially organized by sortase
mediated cross-linking between sorting signals and the amino group of Lys-175
positioned in the VAKS motif of T6, thereby displaying T6 and FctX in a
temporospatial manner.
PMID- 21880741
TI - Nuclear factor of activated T cells (NFAT) proteins repress canonical Wnt
signaling via its interaction with Dishevelled (Dvl) protein and participate in
regulating neural progenitor cell proliferation and differentiation.
AB - The Ca(2+) signaling pathway appears to regulate the processes of the early
development through its antagonism of canonical Wnt/beta-catenin signaling
pathway. However, the underlying mechanism is still poorly understood. Here, we
show that nuclear factor of activated T cells (NFAT), a component of Ca(2+)
signaling, interacts directly with Dishevelled (Dvl) in a Ca(2+)-dependent
manner. A dominant negative form of NFAT rescued the inhibition of the Wnt/beta
catenin pathway triggered by the Ca(2+) signal. NFAT functioned downstream of
beta-catenin without interfering with its stability, but influencing the
interaction of beta-catenin with Dvl by its competitively binding to Dvl.
Furthermore, we demonstrate that NFAT is a regulator in the proliferation and
differentiation of neural progenitor cells by modulating canonical Wnt/beta
catenin signaling pathway in the neural tube of chick embryo. Our findings
suggest that NFAT negatively regulates canonical Wnt/beta-catenin signaling by
binding to Dvl, thereby participating in vertebrate neurogenesis.
PMID- 21880742
TI - Molecular basis of the gamma-aminobutyric acid A receptor alpha3 subunit
interaction with the clustering protein gephyrin.
AB - The multifunctional scaffolding protein gephyrin is a key player in the formation
of the postsynaptic scaffold at inhibitory synapses, clustering both inhibitory
glycine receptors (GlyRs) and selected GABA(A) receptor (GABA(A)R) subtypes. We
report a direct interaction between the GABA(A)R alpha3 subunit and gephyrin,
mapping reciprocal binding sites using mutagenesis, overlay, and yeast two-hybrid
assays. This analysis reveals that critical determinants of this interaction are
located in the motif FNIVGTTYPI in the GABA(A)R alpha3 M3-M4 domain and the motif
SMDKAFITVL at the N terminus of the gephyrin E domain. GABA(A)R alpha3 gephyrin
binding-site mutants were unable to co-localize with endogenous gephyrin in
transfected hippocampal neurons, despite being able to traffic to the cell
membrane and form functional benzodiazepine-responsive GABA(A)Rs in recombinant
systems. Interestingly, motifs responsible for interactions with GABA(A)R alpha2,
GABA(A)R alpha3, and collybistin on gephyrin overlap. Curiously, two key residues
(Asp-327 and Phe-330) in the GABA(A)R alpha2 and alpha3 binding sites on gephyrin
also contribute to GlyR beta subunit-E domain interactions. However, isothermal
titration calorimetry reveals a 27-fold difference in the interaction strength
between GABA(A)R alpha3 and GlyR beta subunits with gephyrin with dissociation
constants of 5.3 MUm and 0.2 MUm, respectively. Taken together, these
observations suggest that clustering of GABA(A)R alpha2, alpha3, and GlyRs by
gephyrin is mediated by distinct mechanisms at mixed glycinergic/GABAergic
synapses.
PMID- 21880743
TI - Identification of human endogenous retrovirus-specific T cell responses in
vertically HIV-1-infected subjects.
AB - Human endogenous retrovirus (HERV)-specific T cell responses in HIV-1-infected
adults have been reported. Whether HERV-specific immunity exists in vertically
HIV-1-infected children is unknown. We performed a cross-sectional analysis of
HERV-specific T cell responses in 42 vertically HIV-1-infected children. HERV (
H, -K, and -L family)-specific T cell responses were identified in 26 of 42
subjects, with the greatest magnitude observed for the responses to HERV-L. These
HERV-specific T cell responses were inversely correlated with the HIV-1 plasma
viral load and positively correlated with CD4(+) T cell counts. These data
indicate that HERV-specific T cells may participate in controlling HIV-1
replication and that certain highly conserved HERV-derived proteins may serve as
promising therapeutic vaccine targets in HIV-1-infected children.
PMID- 21880744
TI - The M segment of the 2009 new pandemic H1N1 influenza virus is critical for its
high transmission efficiency in the guinea pig model.
AB - A remarkable feature of the 2009 pandemic H1N1 influenza virus is its efficient
transmissibility in humans compared to that of precursor strains from the triple
reassortant swine influenza virus lineage, which cause only sporadic infections
in humans. The viral components essential for this phenotype have not been fully
elucidated. In this study, we aimed to determine the viral factors critical for
aerosol transmission of the 2009 pandemic virus. Single or multiple segment
reassortments were made between the pandemic A/California/04/09 (H1N1) (Cal/09)
virus and another H1N1 strain, A/Puerto Rico/8/34 (H1N1) (PR8). These viruses
were then tested in the guinea pig model to understand which segment of Cal/09
virus conferred transmissibility to the poorly transmissible PR8 virus. We
confirmed our findings by generating recombinant A/swine/Texas/1998 (H3N2)
(sw/Tx/98) virus, a representative triple-reassortant swine virus, containing
segments of the Cal/09 virus. The data showed that the M segment of the Cal/09
virus promoted aerosol transmissibility to recombinant viruses with PR8 and
sw/Tx/98 virus backgrounds, suggesting that the M segment is a critical factor
supporting the transmission of the 2009 pandemic virus.
PMID- 21880745
TI - Role of the two sialic acid binding sites on the newcastle disease virus HN
protein in triggering the interaction with the F protein required for the
promotion of fusion.
AB - Newcastle disease virus (NDV)-induced membrane fusion requires an interaction
between the hemagglutinin-neuraminidase (HN) attachment and the fusion (F)
proteins, triggered by HN's binding to receptors. NDV HN has two sialic acid
binding sites: site I, which also mediates neuraminidase activity, and site II,
which straddles the membrane-distal end of the dimer interface. By characterizing
the effect on receptor binding avidity and F-interactive capability of HN dimer
interface mutations, we present evidence consistent with (i) receptor engagement
by site I triggering the interaction with F and (ii) site II functioning to
maintain high-avidity receptor binding during the fusion process.
PMID- 21880746
TI - Role of peroxisome proliferator-activated receptor gamma coactivator 1alpha in
AKT/PKB-mediated inhibition of hepatitis B virus biosynthesis.
AB - Hepatitis B virus (HBV) transcription and replication are essentially restricted
to hepatocytes because liver-enriched transcription factors govern viral RNA
synthesis. The level of transcription from the HBV promoters depends on both the
transcription factors binding to these regulatory sequence elements and their
ability to recruit coactivators capable of mediating assembly of the
transcription preinitiation complex containing RNA polymerase II. Nuclear
receptors are a primary determinant of HBV pregenomic RNA synthesis and, hence,
viral replication. Peroxisome proliferator-activated receptor gamma coactivator
1alpha (PGC1alpha) enhances the activity of nuclear receptors and, consequently,
HBV biosynthesis. PGC1alpha is also an important target of signal transduction
pathways involved in hepatic glucose and lipid homeostasis, suggesting that this
coactivator may have an important role in modulating HBV biosynthesis under
various physiological conditions. Consistent with this suggestion, v-akt murine
thymoma viral oncogene homolog/protein kinase B (AKT/PKB) is shown to modulate
PGC1alpha activity and, hence, HBV transcription and replication in a cell line
specific manner. In addition, AKT can modulate HBV replication in some but not
all cell lines at a posttranscriptional step in the viral life cycle. These
observations demonstrate that growth and nutritional signals have the capacity to
influence viral production, but the magnitude of these effects will depend on the
precise cellular context in which they occur.
PMID- 21880747
TI - The encapsidated genome of Microplitis demolitor bracovirus integrates into the
host Pseudoplusia includens.
AB - Polydnaviruses (PDVs) are symbionts of parasitoid wasps that function as gene
delivery vehicles in the insects (hosts) that the wasps parasitize. PDVs persist
in wasps as integrated proviruses but are packaged as circularized and segmented
double-stranded DNAs into the virions that wasps inject into hosts. In contrast,
little is known about how PDV genomic DNAs persist in host cells. Microplitis
demolitor carries Microplitis demolitor bracovirus (MdBV) and parasitizes the
host Pseudoplusia includens. MdBV infects primarily host hemocytes and also
infects a hemocyte-derived cell line from P. includens called CiE1 cells. Here we
report that all 15 genomic segments of the MdBV encapsidated genome exhibited
long-term persistence in CiE1 cells. Most MdBV genes expressed in hemocytes were
persistently expressed in CiE1 cells, including members of the glc gene family
whose products transformed CiE1 cells into a suspension culture. PCR-based
integration assays combined with cloning and sequencing of host-virus junctions
confirmed that genomic segments J and C persisted in CiE1 cells by integration.
These genomic DNAs also rapidly integrated into parasitized P. includens.
Sequence analysis of wasp-viral junction clones showed that the integration of
proviral segments in M. demolitor was associated with a wasp excision/integration
motif (WIM) known from other bracoviruses. However, integration into host cells
occurred in association with a previously unknown domain that we named the host
integration motif (HIM). The presence of HIMs in most MdBV genomic DNAs suggests
that the integration of each genomic segment into host cells occurs through a
shared mechanism.
PMID- 21880748
TI - Identification of Autographa californica nucleopolyhedrovirus ac93 as a core gene
and its requirement for intranuclear microvesicle formation and nuclear egress of
nucleocapsids.
AB - Autographa californica nucleopolyhedrovirus (AcMNPV) orf93 (ac93) is a highly
conserved uncharacterized gene that is found in all of the sequenced baculovirus
genomes except for Culex nigripalpus NPV. In this report, using bioinformatics
analyses, ac93 and odv-e25 (ac94) were identified as baculovirus core genes and
thus p33-ac93-odv-e25 represent a cluster of core genes. To investigate the role
of ac93 in the baculovirus life cycle, an ac93 knockout AcMNPV bacmid was
constructed via homologous recombination in Escherichia coli. Fluorescence and
light microscopy showed that the AcMNPV ac93 knockout did not spread by
infection, and titration assays confirmed a defect in budded virus (BV)
production. However, deletion of ac93 did not affect viral DNA replication.
Electron microscopy indicated that ac93 was required for the egress of
nucleocapsids from the nucleus and the formation of intranuclear microvesicles,
which are precursor structures of occlusion-derived virus (ODV) envelopes.
Immunofluorescence analyses showed that Ac93 was concentrated toward the
cytoplasmic membrane in the cytoplasm and in the nuclear ring zone in the
nucleus. Western blot analyses showed that Ac93 was associated with both
nucleocapsid and envelope fractions of BV, but only the nucleocapsid fraction of
ODV. Our results suggest that ac93, although not previously recognized as a core
gene, is one that plays an essential role in the formation of the ODV envelope
and the egress of nucleocapsids from the nucleus.
PMID- 21880749
TI - Host-soluble galectin-1 promotes HIV-1 replication through a direct interaction
with glycans of viral gp120 and host CD4.
AB - Sexual transmission of HIV-1 requires virus adsorption to a target cell,
typically a CD4(+) T lymphocyte residing in the lamina propria, beneath the
epithelium. To escape the mucosal clearance system and reach its target cells,
HIV-1 has evolved strategies to circumvent deleterious host factors. Galectin-1,
a soluble lectin found in the underlayers of the epithelium, increases HIV-1
infectivity by accelerating its binding to susceptible cells. By comparison,
galectin-3, a family member expressed by epithelial cells and part of the mucosal
clearance system, does not perform similarly. We show here that galectin-1
directly binds to HIV-1 in a beta-galactoside-dependent fashion through
recognition of clusters of N-linked glycans on the viral envelope gp120.
Unexpectedly, this preferential binding of galectin-1 does not rely on the
primary sequence of any particular glycans. Instead, glycan clustering arising
from the tertiary structure of gp120 hinders its binding by galectin-3. Increased
polyvalency of a specific ligand epitope is a common strategy for glycans to
increase their avidity for lectins. In this peculiar occurrence, glycan
clustering is instead exploited to prevent binding of gp120 by galectin-3, which
would lead to a biological dead-end for the virus. Our data also suggest that
galectin-1 binds preferentially to CD4, the host receptor for gp120. Together,
these results suggest that HIV-1 exploits galectin-1 to enhance gp120-CD4
interactions, thereby promoting virus attachment and infection events. Since
viral adhesion is a rate-limiting step for HIV-1 entry, modulation of the gp120
interaction with galectin-1 could thus represent a novel approach for the
prevention of HIV-1 transmission.
PMID- 21880750
TI - Tissue tropism of swine influenza viruses and reassortants in ex vivo cultures of
the human respiratory tract and conjunctiva.
AB - The 2009 pandemic influenza H1N1 (H1N1pdm) virus was generated by reassortment of
swine influenza viruses of different lineages. This was the first influenza
pandemic to emerge in over 4 decades and the first to occur after the realization
that influenza pandemics arise from influenza viruses of animals. In order to
understand the biological determinants of pandemic emergence, it is relevant to
compare the tropism of different lineages of swine influenza viruses and
reassortants derived from them with that of 2009 pandemic H1N1 (H1N1pdm) and
seasonal influenza H1N1 viruses in ex vivo cultures of the human nasopharynx,
bronchus, alveoli, and conjunctiva. We hypothesized that virus which can transmit
efficiently between humans replicated well in the human upper airways. As
previously reported, H1N1pdm and seasonal H1N1 viruses replicated efficiently in
the nasopharyngeal, bronchial, and alveolar epithelium. In contrast,
representative viruses from the classical swine (CS) (H1N1) lineage could not
infect human respiratory epithelium; Eurasian avian-like swine (EA) (H1N1)
viruses only infected alveolar epithelium and North American triple-reassortant
(TRIG) viruses only infected the bronchial epithelium albeit inefficiently.
Interestingly, a naturally occurring triple-reassortant swine virus,
A/SW/HK/915/04 (H1N2), with a matrix gene segment of EA swine derivation (i.e.,
differing from H1N1pdm only in lacking a neuraminidase [NA] gene of EA
derivation) readily infected and replicated in human nasopharyngeal and bronchial
epithelia but not in the lung. A recombinant sw915 with the NA from H1N1pdm
retained its tropism for the bronchus and acquired additional replication
competence for alveolar epithelium. In contrast to H1N1pdm, none of the swine
viruses tested nor seasonal H1N1 had tropism in human conjunctiva. Recombinant
viruses generated by swapping the surface proteins (hemagglutinin and NA) of
H1N1pdm and seasonal H1N1 virus demonstrated that these two gene segments
together are key determinants of conjunctival tropism. Overall, these findings
suggest that ex vivo cultures of the human respiratory tract provide a useful
biological model for assessing the human health risk of swine influenza viruses.
PMID- 21880751
TI - A physical link between the pseudorabies virus capsid and the nuclear egress
complex.
AB - Following their assembly, herpesvirus capsids exit the nucleus by budding at the
inner nuclear membrane. Two highly conserved viral proteins are required for this
process, pUL31 and pUL34. In this report, we demonstrate that the pUL31 component
of the pseudorabies virus nuclear egress complex is a conditional capsid-binding
protein that is unmasked in the absence of pUL34. The interaction between pUL31
and capsids was confirmed through fluorescence microscopy and Western blot
analysis of purified intranuclear capsids. Three viral proteins were tested for
their abilities to mediate the pUL31-capsid interaction: the minor capsid protein
pUL25, the portal protein pUL6, and the terminase subunit pUL33. Despite the
requirement for each protein in nuclear egress, none of these viral proteins were
required for the pUL31-capsid interaction. These findings provide the first
formal evidence that a herpesvirus nuclear egress complex interacts with capsids
and have implications for how DNA-containing capsids are selectively targeted for
nuclear egress.
PMID- 21880752
TI - Human cytomegalovirus glycoprotein gO complexes with gH/gL, promoting
interference with viral entry into human fibroblasts but not entry into
epithelial cells.
AB - A complex of five human cytomegalovirus virus (HCMV) proteins, gH, gL, UL128,
UL130, and UL131 (gH/gL/UL128-131), is essential for virus entry into epithelial
cells. We previously showed that gH/gL/UL128-131 expressed in epithelial cells
interferes with subsequent HCMV entry into cells. There was no interference with
only gH/gL or gB. We concluded that the expression of gH/gL/UL128-131 causes a
mislocalization or downregulation of epithelial cell proteins that HCMV requires
for entry. In contrast, gH/gL/UL128-131 expression in fibroblasts did not produce
interference, suggesting a different mechanism for entry. Here, we show that the
coexpression of another HCMV glycoprotein, gO, with gH/gL in human fibroblasts
interferes with HCMV entry into fibroblasts but not epithelial cells. However,
the coexpression of gO with gH/gL did not increase the cell surface expression
level of gH/gL and did not enhance cell-cell fusion, a process that depends upon
cell surface gH/gL. Instead, gO promoted the export of gH/gL from the endoplasmic
reticulum (ER) and the accumulation of gH/gL in the trans-Golgi network. Thus,
interference with gH/gL or gH/gL/gO, i.e., the mislocalization or blocking of
entry mediators, occurs in cytoplasmic membranes and not in cell surface
membranes of fibroblasts. Together, the results provide additional support for
our hypotheses that epithelial cells express putative gH/gL/UL128-1331 receptors
important for HCMV entry and that fibroblasts express distinct gH/gL receptors.
PMID- 21880753
TI - Kaposi's sarcoma-associated herpesvirus Rta tetramers make high-affinity
interactions with repetitive DNA elements in the Mta promoter to stimulate DNA
binding of RBP-Jk/CSL.
AB - Kaposi's sarcoma-associated herpesvirus (KSHV; also known as human herpesvirus 8
[HHV-8]) is the etiologic agent of Kaposi's sarcoma (KS) and lymphoproliferative
diseases. We previously demonstrated that the KSHV lytic switch protein Rta
stimulates DNA binding of the cellular RBP-Jk/CSL protein, the nuclear component
of the Notch pathway, on Rta target promoters. In the current study, we define
the promoter requirements for formation of transcriptionally productive Rta/RBP
Jk/DNA complexes. We show that highly pure Rta footprints 7 copies of a
previously undescribed repetitive element in the promoter of the essential KSHV
Mta gene. We have termed this element the "CANT repeat." CANT repeats are found
on both strands of DNA and have a consensus sequence of ANTGTAACANT(A/T)(A/T)T.
We demonstrate that Rta tetramers make high-affinity interactions (i.e., nM) with
64 bp of the Mta promoter but not single CANT units. The number of CANT repeats,
their presence in palindromes, and their positions relative to the RBP-Jk binding
site determine the optimal target for Rta stimulation of RBP-Jk DNA binding and
formation of ternary Rta/RBP-Jk/DNA complexes. DNA binding and tetramerization
mutants of Rta fail to stimulate RBP-Jk DNA binding. Our chromatin
immunoprecipitation assays show that RBP-Jk DNA binding is broadly, but
selectively, stimulated across the entire KSHV genome during reactivation. We
propose a model in which tetramerization of Rta allows it to straddle RBP-Jk and
contact repeat units on both sides of RBP-Jk. Our study integrates high-affinity
Rta DNA binding with the requirement for a cellular transcription factor in Rta
transactivation.
PMID- 21880754
TI - Lassa virus nucleoprotein mutants generated by reverse genetics induce a robust
type I interferon response in human dendritic cells and macrophages.
AB - Lassa virus (LASV; Arenaviridae) is responsible for severe hemorrhagic fevers in
Africa. LASV nucleoprotein (NP) plays important roles in regulating viral
transcription and replication and in inhibiting type I interferon (IFN)
production. The NP C-terminal domain contains a 3'-to-5' exonuclease activity
involved in suppressing IFN induction. We have established a murine polymerase
(Pol) I reverse genetics system for LASV, showing that residues D389 and G392 of
NP were critical for LASV viability, while the D389A/G392A and D389T/392A double
mutants were severely altered in the ability to suppress IFN in macrophages and
dendritic cells. Assessing their attenuation in vivo may open new perspectives in
vaccinology.
PMID- 21880755
TI - Annual vaccination against influenza virus hampers development of virus-specific
CD8+ T cell immunity in children.
AB - Infection with seasonal influenza A viruses induces immunity to potentially
pandemic influenza A viruses of other subtypes (heterosubtypic immunity). We
recently demonstrated that vaccination against seasonal influenza prevented the
induction of heterosubtypic immunity against influenza A/H5N1 virus induced by
infection with seasonal influenza in animal models, which correlated with the
absence of virus-specific CD8(+) T cell responses. Annual vaccination of all
healthy children against influenza has been recommended, but the impact of
vaccination on the development of the virus-specific CD8(+) T cell immunity in
children is currently unknown. Here we compared the virus-specific CD8(+) T cell
immunity in children vaccinated annually with that in unvaccinated children. In
the present study, we compared influenza A virus-specific cellular and humoral
responses of unvaccinated healthy control children with those of children with
cystic fibrosis (CF) who were vaccinated annually. Similar virus-specific CD4(+)
T cell and antibody responses were observed, while an age-dependent increase of
the virus-specific CD8(+) T cell response that was absent in vaccinated CF
children was observed in unvaccinated healthy control children. Our results
indicate that annual influenza vaccination is effective against seasonal
influenza but hampers the development of virus-specific CD8(+) T cell responses.
The consequences of these findings are discussed in the light of the development
of protective immunity to seasonal and future pandemic influenza viruses.
PMID- 21880756
TI - Compensatory mutations restore the replication defects caused by cytotoxic T
lymphocyte escape mutations in hepatitis C virus polymerase.
AB - While human leukocyte antigen B57 (HLA-B57) is associated with the spontaneous
clearance of hepatitis C virus (HCV), the mechanisms behind this control remain
unclear. Immunodominant CD8(+) T cell responses against the B57-restricted
epitopes comprised of residues 2629 to 2637 of nonstructural protein 5B
(NS5B(2629-2637)) (KSKKTPMGF) and E2(541-549) (NTRPPLGNW) were recently shown to
be crucial in the control of HCV infection. Here, we investigated whether the
selection of deleterious cytotoxic T lymphocyte (CTL) escape mutations in the
NS5B KSKKTPMGF epitope might impair viral replication and contribute to the B57
mediated control of HCV. Common CTL escape mutations in this epitope were
identified from a cohort of 374 HCV genotype 1a-infected subjects, and their
impact on HCV replication assessed using a transient HCV replicon system. We
demonstrate that while escape mutations at residue 2633 (position 5) of the
epitope had little or no impact on HCV replication in vitro, mutations at residue
2629 (position 1) substantially impaired replication. Notably, the deleterious
mutations at position 2629 were tightly linked in vivo to upstream mutations at
residue 2626, which functioned to restore the replicative defects imparted by the
deleterious escape mutations. These data suggest that the selection of costly
escape mutations within the immunodominant NS5B KSKKTPMGF epitope may contribute
in part to the control of HCV replication in B57-positive individuals and that
persistence of HCV in B57-positive individuals may involve the development of
specific secondary compensatory mutations. These findings are reminiscent of the
selection of deleterious CTL escape and compensatory mutations by HLA-B57 in HIV
1 infection and, thus, may suggest a common mechanism by which alleles like HLA
B57 mediate protection against these highly variable pathogens.
PMID- 21880757
TI - The interferon-inducible gene viperin restricts West Nile virus pathogenesis.
AB - Type I interferon (IFN) signaling coordinates an early antiviral program in
infected and uninfected cells by inducing IFN-stimulated genes (ISGs) that
modulate viral entry, replication, and assembly. However, the specific antiviral
functions in vivo of most ISGs remain unknown. Here, we examined the contribution
of the ISG viperin to the control of West Nile virus (WNV) in genetically
deficient cells and mice. While modest increases in levels of WNV replication
were observed for primary viperin(-/-) macrophages and dendritic cells, no
appreciable differences were detected in deficient embryonic cortical neurons or
fibroblasts. In comparison, viperin(-/-) adult mice infected with WNV via the
subcutaneous or intracranial route showed increased lethality and/or enhanced
viral replication in central nervous system (CNS) tissues. In the CNS, viperin
expression was induced in both WNV-infected and adjacent uninfected cells,
including activated leukocytes at the site of infection. Our experiments suggest
that viperin restricts the infection of WNV in a tissue- and cell-type-specific
manner and may be an important ISG for controlling viral infections that cause
CNS disease.
PMID- 21880758
TI - A fusion-loop antibody enhances the infectious properties of immature flavivirus
particles.
AB - Flavivirus-infected cells secrete a mixture of mature, partially immature, and
fully immature particles into the extracellular space. Although mature virions
are highly infectious, prM-containing fully immature virions are noninfectious
largely because the prM protein inhibits the cell attachment and fusogenic
properties of the virus. If, however, cell attachment and entry are facilitated
by anti-prM antibodies, immature flavivirus becomes infectious after efficient
processing of the prM protein by the endosomal protease furin. A recent study
demonstrated that E53, a cross-reactive monoclonal antibody (MAb) that engages
the highly conserved fusion-loop peptide within the flavivirus envelope
glycoprotein, preferentially binds to immature flavivirus particles. We
investigated here the infectious potential of fully immature West Nile virus
(WNV) and dengue virus (DENV) particles opsonized with E53 MAb and observed that,
like anti-prM antibodies, this anti-E antibody also has the capacity to render
fully immature flaviviruses infectious. E53-mediated enhancement of both immature
WNV and DENV depended on efficient cell entry and the enzymatic activity of the
endosomal furin. Furthermore, we also observed that E53-opsonized immature DENV
particles but not WNV particles required a more acidic pH for efficient cleavage
of prM by furin, adding greater complexity to the dynamics of antibody-mediated
infection of immature flavivirus virions.
PMID- 21880759
TI - The infectivity of prM-containing partially mature West Nile virus does not
require the activity of cellular furin-like proteases.
AB - Cleavage of the flavivirus prM protein by a cellular furin-like protease is a
hallmark of virion maturation. While this cleavage is a required step in the
viral life cycle, it can be inefficient. Virions that retain uncleaved prM may be
infectious. We investigated whether cleavage by furin of prM on partially mature
West Nile virus (WNV) during virus entry contributes to infectivity. Using
quantitative assays of WNV infection, we found that virions incorporating
considerable amounts of uncleaved prM protein were insensitive to treatment of
cells with a potent inhibitor of furin activity. Thus, partially mature WNV does
not require furin-like proteases for infectivity.
PMID- 21880760
TI - Infection of calves with bovine norovirus GIII.1 strain Jena virus: an
experimental model to study the pathogenesis of norovirus infection.
AB - The experimental infection of newborn calves with bovine norovirus was used as a
homologous large animal model to study the pathogenesis of norovirus infection
and to determine target cells for viral replication. Six newborn calves were
inoculated orally with Jena virus (JV), a bovine norovirus GIII.1 strain, and six
calves served as mock-inoculated controls. Following infection, calves were
euthanized before the onset of diarrhea (12 h postinoculation [hpi]), shortly
after the onset of diarrhea (18 to 21 hpi), and postconvalescence (4 days pi
[dpi]). Calves inoculated with JV developed severe watery diarrhea at 14 to 16
hpi, and this symptom lasted for 53.5 to 67.0 h. Intestinal lesions were
characterized by severe villus atrophy together with loss and attenuation of
villus epithelium. Viral capsid antigen (JV antigen) was detected by
immunohistochemistry in the cytoplasm of epithelial cells on villi. In addition,
granular material positive for JV antigen was detected in the lamina propria of
villi. Lesions first appeared at 12 hpi and were most extensive at 18 to 19 hpi,
extending from midjejunum to ileum. The intestinal mucosa had completely
recovered at 4 dpi. There was no indication of systemic infection as described
for norovirus infection in mice. JV was found in intestinal contents by reverse
transcription-PCR (RT-PCR) and enzyme-linked immunosorbent assay (ELISA) as early
as 12 hpi. Fecal shedding of the virus started at 13 hpi and stopped at 23 hpi or
at necropsy (4 dpi), respectively. Throughout the trial, none of the control
calves tested positive for JV by ELISA or RT-PCR.
PMID- 21880761
TI - Characterization of a canine homolog of human Aichivirus.
AB - Many of our fatal "civilization" infectious diseases have arisen from
domesticated animals. Although picornaviruses infect most mammals, infection of a
companion animal is not known. Here we describe the identification and genomic
characterization of the first canine picornavirus. Canine kobuvirus (CKoV),
identified in stool samples from dogs with diarrhea, has a genomic organization
typical of a picornavirus and encodes a 2,469-amino-acid polyprotein flanked by
5' and 3' untranslated regions. Comparative phylogenetic analysis using various
structural and nonstructural proteins of CKoV confirmed it as the animal virus
homolog most closely related to human Aichivirus (AiV). Bayesian Markov chain
Monte Carlo analysis suggests a mean recent divergence time of CKoV and AiV
within the past 20 to 50 years, well after the domestication of canines. The
discovery of CKoV provides new insights into the origin and evolution of AiV and
the species specificity and pathogenesis of kobuviruses.
PMID- 21880762
TI - Molecular characterization of the host defense activity of the barrier to
autointegration factor against vaccinia virus.
AB - The barrier to autointegration factor (BAF) is an essential cellular protein with
functions in mitotic nuclear reassembly, retroviral preintegration complex
stability, and transcriptional regulation. Molecular properties of BAF include
the ability to bind double-stranded DNA in a sequence-independent manner,
homodimerize, and bind proteins containing a LEM domain. These capabilities allow
BAF to compact DNA and assemble higher-order nucleoprotein complexes, the nature
of which is poorly understood. Recently, it was revealed that BAF also acts as a
potent host defense against poxviral DNA replication in the cytoplasm. Here, we
extend these observations by examining the molecular mechanism through which BAF
acts as a host defense against vaccinia virus replication and cytoplasmic DNA in
general. Interestingly, BAF rapidly relocalizes to transfected DNA from a variety
of sources, demonstrating that BAF's activity as a host defense factor is not
limited to poxviral infection. BAF's relocalization to cytoplasmic foreign DNA is
highly dependent upon its DNA binding and dimerization properties but does not
appear to require its LEM domain binding activity. However, the LEM domain
protein emerin is recruited to cytoplasmic DNA in a BAF-dependent manner during
both transfection and vaccinia virus infection. Finally, we demonstrate that the
DNA binding and dimerization capabilities of BAF are essential for its function
as an antipoxviral effector, while the presence of emerin is not required.
Together, these data provide further mechanistic insight into which of BAF's
molecular properties are employed by cells to impair the replication of
poxviruses or respond to foreign DNA in general.
PMID- 21880763
TI - Continuous CD8+ T-cell priming by dendritic cell cross-presentation of persistent
antigen following adeno-associated virus-mediated gene delivery.
AB - Recombinant adeno-associated virus (rAAV) vectors establish persistent transgene
expression in the skeletal muscle of mice. How dendritic cells acquire encoded
antigens for CD8(+) T-cell priming is unknown. Here we document CD8(+) T-cell
priming after lethal irradiation and bone marrow reconstitution of mice treated
with an AAV vector several weeks earlier. Temporal separation of vector delivery
and successful class I antigen presentation indicated that T-cell priming does
not necessarily require antigen synthesis in AAV-transduced dendritic cells. An
apparent cross-presentation of antigen acquired from muscle suggests that
strategies to limit transgene expression in dendritic cells will not prevent
unwanted CD8(+) T-cell responses.
PMID- 21880764
TI - Cross-reactive HIV-1-neutralizing human monoclonal antibodies identified from a
patient with 2F5-like antibodies.
AB - The genes encoding broadly HIV-1-neutralizing human monoclonal antibodies (MAbs)
are highly divergent from their germ line counterparts. We have hypothesized that
such high levels of somatic hypermutation could pose a challenge for elicitation
of the broadly neutralizing (bn) Abs and that identification of less somatically
mutated bn Abs may help in the design of effective vaccine immunogens. In a quest
for such bn Abs, phage- and yeast-displayed antibody libraries, constructed using
peripheral blood mononuclear cells (PBMCs) from a patient with bn serum
containing Abs targeting the epitope of the bn MAb 2F5, were panned against
peptides containing the 2F5 epitope and against the HIV-1 gp140(JR-FL). Two MAbs
(m66 and m66.6) were identified; the more mutated variant (m66.6) exhibited
higher HIV-1-neutralizing activity than m66, although it was weaker than 2F5 in a
TZM-bl cell assay. Binding of both MAbs to gp41 alanine substitution mutant
peptides required the DKW(664-666) core of the 2F5 epitope and two additional
upstream residues (L(660,663)). The MAbs have long (21-residue) heavy-chain third
complementarity-determining regions (CDR-H3s), and m66.6 (but not m66) exhibited
polyspecific reactivity to self- and non-self-antigens. Both m66 and m66.6 are
significantly less divergent from their germ line Ab counterparts than 2F5--they
have a total of 11 and 18 amino acid changes, respectively, from the closest VH
and Vkappa germ line gene products compared to 25 for 2F5. These new MAbs could
help explore the complex maturation pathways involved in broad neutralization and
its relationship with auto- and polyreactivity and may aid design of vaccine
immunogens and development of therapeutics against HIV-1 infection.
PMID- 21880765
TI - Genetic response to bacteriophage infection in Lactococcus lactis reveals a four
strand approach involving induction of membrane stress proteins, D-alanylation of
the cell wall, maintenance of proton motive force, and energy conservation.
AB - In this study, whole-genome microarrays were used to gain insights into the
global molecular response of Lactococcus lactis subsp. lactis IL1403 at an early
stage of infection with the lytic phage c2. The bacterium differentially
regulated the expression of 61 genes belonging to 14 functional categories,
including cell envelope processes (12 genes), regulatory functions (11 genes),
and carbohydrate metabolism (7 genes). The nature of these genes suggests a
complex response involving four main mechanisms: (i) induction of membrane stress
proteins, (ii) d-alanylation of cell wall lipoteichoic acids (LTAs), (iii)
maintenance of the proton motive force (PMF), and (iv) energy conservation. The
phage presence is sensed as a membrane stress in L. lactis subsp. lactis IL1403,
which activated a cell wall-targeted response probably orchestrated by the
concerted action of membrane phage shock protein C-like homologues, the global
regulator SpxB, and the two-component system CesSR. The bacterium upregulated
genes (ddl and dltABCD) responsible for incorporation of d-alanine esters into
LTAs, an event associated with increased resistance to phage attack in Gram
positive bacteria. The expression of genes (yshC, citE, citF) affecting both PMF
components was also regulated to restore the physiological PMF, which was
disrupted following phage infection. While mobilizing the response to the phage
mediated stress, the bacterium activated an energy-saving program by repressing
growth-related functions and switching to anaerobic respiration, probably to
sustain the PMF and the overall cell response to phage. To our knowledge, this
represents the first detailed description in L. lactis of the molecular
mechanisms involved in the host response to the membrane perturbations mediated
by phage infection.
PMID- 21880766
TI - A mutation in UL15 of herpes simplex virus 1 that reduces packaging of cleaved
genomes.
AB - Herpesvirus genomic DNA is cleaved from concatemers that accumulate in infected
cell nuclei. Genomic DNA is inserted into preassembled capsids through a unique
portal vertex. Extensive analyses of viral mutants have indicated that intact
capsids, the portal vertex, and all components of a tripartite terminase enzyme
are required to both cleave and package viral DNA, suggesting that DNA cleavage
and packaging are inextricably linked. Because the processes have not been
functionally separable, it has been difficult to parse the roles of individual
proteins in the DNA cleavage/packaging reaction. In the present study, a virus
bearing the deletion of codons 400 to 420 of U(L)15, encoding a terminase
component, was analyzed. This virus, designated vJB27, failed to replicate on
noncomplementing cells but cleaved concatemeric DNA to ca. 35 to 98% of wild-type
levels. No DNA cleavage was detected in cells infected with a U(L)15-null virus
or a virus lacking U(L)15 codons 383 to 385, comprising a motif proposed to
couple ATP hydrolysis to DNA translocation. The amount of vJB27 DNA protected
from DNase I digestion was reduced compared to the wild-type virus by 6.5- to 200
fold, depending on the DNA fragment analyzed, thus indicating a profound defect
in DNA packaging. Capsids containing viral DNA were not detected in vJB27
infected cells, as determined by electron microscopy. These data suggest that
pU(L)15 plays an essential role in DNA translocation into the capsid and indicate
that this function is separable from its role in DNA cleavage.
PMID- 21880767
TI - Mechanism of glycyrrhizic acid inhibition of Kaposi's sarcoma-associated
herpesvirus: disruption of CTCF-cohesin-mediated RNA polymerase II pausing and
sister chromatid cohesion.
AB - Glycyrrhizic acid (GA), a derivative of licorice, selectively inhibits the growth
of lymphocytes latently infected with Kaposi's sarcoma-associated herpesvirus.
The mechanism involves the deregulation of the multicistronic latency transcript,
including the failure to generate the mature forms of viral mRNA encoding LANA.
We show here that GA disrupts an RNA polymerase II (RNAPII) complex that
accumulates at the CTCF-cohesin binding site within the first intron of the
latency transcript. GA altered the enrichment of the RNAPII pausing complex,
along with pausing factors SPT5 and NELF-A, at the intragenic CTCF-cohesin
binding sites. GA blocked the interaction of cohesin subunit SMC3 with another
cohesin subunit, RAD21, and reduced SPT5 interaction with RNAPII. Covalent
coupling of GA to a solid support revealed that GA interacts with several
cellular proteins, including SMC3 and SPT5, but not their respective interaction
partners RAD21 and RNAPII. GA treatment also inhibited the transcription of some
cellular genes, like c-myc, which contain a similar CTCF-cohesin binding site
within the first intron. We also found that GA leads to a more general loss of
sister chromatid cohesion for cellular chromosomes. These findings suggest that
RNAPII pauses at intragenic CTCF-cohesin binding sites and that abrogation of
this pausing by GA leads to loss of proper mRNA production and defects in sister
chromatid cohesion, a process important for both viral and cellular chromosome
stability.
PMID- 21880768
TI - Human cytomegalovirus infection causes degradation of Sp100 proteins that
suppress viral gene expression.
AB - The interferon-inducible Sp100 proteins are thought to play roles in the
chromatin pathway and in transcriptional regulation. Sp100A, the smallest
isoform, is one of the major components of PML nuclear bodies (NBs) that exhibit
intrinsic antiviral activity against several viruses. Since PML NBs are disrupted
by the immediate-early 1 (IE1) protein during human cytomegalovirus (HCMV)
infection, the modulation of Sp100 protein expression or activity during
infection has been suggested. Here, we show that Sp100 proteins are lost largely
in the late stages of HCMV infection. This event required viral gene expression
and involved posttranscriptional control. The mutant virus with deletion of the
sequence for IE1 (CR208) did not have Sp100 loss. In CR208 infection, PML
depletion by RNA interference abrogated the accumulation of SUMO-modified Sp100A
and of certain high-molecular-weight Sp100 isoforms but did not significantly
affect unmodified Sp100A, suggesting that the IE1-induced disruption of PML NBs
is not sufficient for the complete loss of Sp100 proteins. Sp100A loss was found
to require proteasome activity. Depletion of all Sp100 proteins by RNA silencing
enhanced HCMV replication and major IE (MIE) gene expression. Sp100 knockdown
enhanced the acetylation level of histones associated with the MIE promoter,
demonstrating that the repressive effect of Sp100 proteins may involve, at least
in part, the epigenetic control of the MIE promoter. Sp100A was found to interact
directly with IE1 through the N-terminal dimerization domain. These findings
indicate that the IE1-dependent loss of Sp100 proteins during HCMV infection may
represent an important requirement for efficient viral growth.
PMID- 21880769
TI - Adaptive and innate transforming growth factor beta signaling impact herpes
simplex virus 1 latency and reactivation.
AB - Innate and adaptive immunity play important protective roles by combating herpes
simplex virus 1 (HSV-1) infection. Transforming growth factor beta (TGF-beta) is
a key negative cytokine regulator of both innate and adaptive immune responses.
Yet, it is unknown whether TGF-beta signaling in either immune compartment
impacts HSV-1 replication and latency. We undertook genetic approaches to address
these issues by infecting two different dominant negative TGF-beta receptor type
II transgenic mouse lines. These mice have specific TGF-beta signaling blockades
in either T cells or innate cells. Mice were ocularly infected with HSV-1 to
evaluate the effects of restricted innate or adaptive TGF-beta signaling during
acute and latent infections. Limiting innate cell but not T cell TGF-beta
signaling reduced virus replication in the eyes of infected mice. On the other
hand, blocking TGF-beta signaling in either innate cells or T cells resulted in
decreased latency in the trigeminal ganglia of infected mice. Furthermore,
inhibiting TGF-beta signaling in T cells reduced cell lysis and leukocyte
infiltration in corneas and trigeminal ganglia during primary HSV-1 infection of
mice. These findings strongly suggest that TGF-beta signaling, which generally
functions to dampen immune responses, results in increased HSV-1 latency.
PMID- 21880770
TI - Direct sequencing and characterization of a clinical isolate of Epstein-Barr
virus from nasopharyngeal carcinoma tissue by using next-generation sequencing
technology.
AB - Epstein-Barr virus (EBV)-encoded molecules have been detected in the tumor
tissues of several cancers, including nasopharyngeal carcinoma (NPC), suggesting
that EBV plays an important role in tumorigenesis. However, the nature of EBV
with respect to genome width in vivo and whether EBV undergoes clonal expansion
in the tumor tissues are still poorly understood. In this study, next-generation
sequencing (NGS) was used to sequence DNA extracted directly from the tumor
tissue of a patient with NPC. Apart from the human sequences, a clinically
isolated EBV genome 164.7 kb in size was successfully assembled and named GD2
(GenBank accession number HQ020558). Sequence and phylogenetic analyses showed
that GD2 was closely related to GD1, a previously assembled variant derived from
a patient with NPC. GD2 contains the most prevalent EBV variants reported in
Cantonese patients with NPC, suggesting that it might be the prevalent strain in
this population. Furthermore, GD2 could be grouped into a single subtype
according to common classification criteria and contains only 6 heterozygous
point mutations, suggesting the monoclonal expansion of GD2 in NPC. This study
represents the first genome-wide analysis of a clinical isolate of EBV directly
extracted from NPC tissue. Our study reveals that NGS allows the characterization
of genome-wide variations of EBV in clinical tumors and provides evidence of
monoclonal expansion of EBV in vivo. The pipeline could also be applied to the
study of other pathogen-related malignancies. With additional NGS studies of NPC,
it might be possible to uncover the potential causative EBV variant involved in
NPC.
PMID- 21880772
TI - Junin virus infects mouse cells and induces innate immune responses.
AB - Junin virus is the causative agent for Argentine hemorrhagic fever, and its
natural host is the New World rodent Calomys musculinus. The virus is transmitted
to humans by aerosolization, and it is believed that many of the clinical
symptoms are caused by cytokines produced by sentinel cells of the immune system.
Here we used the Junin virus vaccine strain Candid 1 to determine whether mouse
cells could be used to study virus entry and antiviral innate immune responses.
We show that Candid 1 can infect and propagate in different mouse-derived cell
lines through a low-pH-dependent, transferrin receptor 1-independent mechanism,
suggesting that there is a second entry receptor. In addition, Candid 1 induced
expression of the antiviral cytokines tumor necrosis factor alpha and beta
interferon in macrophages, and this induction was independent of viral
replication. Using Candid 1, as well as virus-like particles bearing the viral
glycoprotein, to infect different primary cells and established macrophage cell
lines with deletions in the Toll-like receptor (TLR) pathway, we show that TLR2
is a cellular sensor of both the Parodi and Candid 1 viral glycoproteins. Because
Junin virus is highly lethal in humans, the use of an experimentally tractable
model system, such as the mouse, could provide a better understanding of the
antiviral innate cellular responses to Junin virus and the role of these
responses in pathogenesis.
PMID- 21880771
TI - Increased frequency of regulatory T cells accompanies increased immune activation
in rectal mucosae of HIV-positive noncontrollers.
AB - Gut-associated lymphoid tissue (GALT) is a major site of HIV replication and
CD4(+) T cell depletion. Furthermore, microbial translocation facilitated by
mucosal damage likely contributes to the generalized immune activation observed
in HIV infection. Regulatory T cells (Treg) help maintain homeostasis and
suppress harmful immune activation during infection; however, in the case of
persistent viral infections such as HIV, their role is less clear. Although a
number of studies have examined Treg in blood during chronic infection, few have
explored Treg in the gastrointestinal mucosa. For this study, paired blood and
rectal biopsy samples were obtained from 12 HIV noncontrollers (viral load of
>10,000 copies/ml plasma), 10 HIV controllers (viral load of <500 copies/ml
plasma for more than 5 years), and 12 HIV seronegative control subjects.
Noncontrollers had significantly higher percentages of Treg in rectal mononuclear
cells (RMNC), but not in blood, compared to seronegative subjects (P = 0.001) or
HIV controllers (P = 0.002). Mucosal Treg positively correlated with viral load
(P = 0.01) and expression of immune activation markers by CD4(+) (P = 0.01) and
CD8(+) (P = 0.07) T cells. Suppression assays indicated that mucosal and
peripheral Treg of noncontrollers and controllers maintained their capacity to
suppress non-Treg proliferation to a similar extent as Treg from seronegative
subjects. Together, these findings reveal that rather than experiencing
depletion, mucosal Treg frequency is enhanced during chronic HIV infection and is
positively correlated with viral load and immune activation. Moreover, mucosal
Treg maintain their suppressive ability during chronic HIV infection, potentially
contributing to diminished HIV-specific T cell responses and viral persistence.
PMID- 21880773
TI - Identification of the myelin oligodendrocyte glycoprotein as a cellular receptor
for rubella virus.
AB - Rubella virus (RV) is a highly transmissible pathogenic agent that causes the
disease rubella. Maternal RV infection during early pregnancy causes the death of
the fetus or congenital rubella syndrome in infants. However, the cellular
receptor for RV has not yet been identified. In this study, we found that the
myelin oligodendrocyte glycoprotein (MOG) specifically bound to the E1 envelope
glycoprotein of RV, and an antibody against MOG could block RV infection. Most
importantly, we also showed that ectopic expression of MOG on the cell surface of
293T cells rendered this nonpermissive cell line permissive for RV entry and
replication. Thus, this study has identified a cellular receptor for RV and
suggests that blocking the MOG attachment site of RV may be a strategy for
molecular intervention of RV infection.
PMID- 21880774
TI - The latency-associated UL138 gene product of human cytomegalovirus sensitizes
cells to tumor necrosis factor alpha (TNF-alpha) signaling by upregulating TNF
alpha receptor 1 cell surface expression.
AB - Many viruses antagonize tumor necrosis factor alpha (TNF-alpha) signaling in
order to counteract its antiviral properties. One way viruses achieve this goal
is to reduce TNF-alpha receptor 1 (TNFR1) on the surface of infected cells. Such
a mechanism is also employed by human cytomegalovirus (HCMV), as recently
reported by others and us. On the other hand, TNF-alpha has also been shown to
foster reactivation of HCMV from latency. By characterizing a new variant of HCMV
AD169, we show here that TNFR1 downregulation by HCMV only becomes apparent upon
infection of cells with HCMV strains lacking the so-called ULb' region. This
region contains genes involved in regulating viral immune escape, cell tropism,
or latency and is typically lost from laboratory strains but present in low
passage strains and clinical isolates. We further show that although ULb'
positive viruses also contain the TNFR1-antagonizing function, this activity is
masked by a dominant TNFR1 upregulation mediated by the ULb' gene product UL138.
Isolated expression of UL138 in the absence of viral infection upregulates TNFR1
surface expression and can rescue both TNFR1 reexpression and TNF-alpha
responsiveness of cells infected with an HCMV mutant lacking the UL138-containing
transcription unit. Given that the UL138 gene product is one of the few genes
recognized to be expressed during HCMV latency and the known positive effects of
TNF-alpha on viral reactivation, we suggest that via upregulating TNFR1 surface
expression UL138 may sensitize latently infected cells to TNF-alpha-mediated
reactivation of HCMV.
PMID- 21880775
TI - Coxsackievirus A24 variant uses sialic acid-containing O-linked glycoconjugates
as cellular receptors on human ocular cells.
AB - Coxsackievirus A24 variant (CVA24v) is a main causative agent of acute
hemorrhagic conjunctivitis (AHC), which is a highly contagious eye infection.
Previously it has been suggested that CVA24v uses sialic acid-containing
glycoconjugates as attachment receptors on corneal cells, but the nature of these
receptors is poorly described. Here, we set out to characterize and identify the
cellular components serving as receptors for CVA24v. Binding and infection
experiments using corneal cells treated with deglycosylating enzymes or metabolic
inhibitors of de novo glycosylation suggested that the receptor(s) used by CVA24v
are constituted by sialylated O-linked glycans that are linked to one or more
cell surface proteins but not to lipids. CVA24v bound better to mouse L929 cells
overexpressing human P-selectin glycoprotein ligand-1 (PSGL-1) than to mock
transfected cells, suggesting that PSGL-1 is a candidate receptor for CVA24v.
Finally, binding competition experiments using a library of mono- and
oligosaccharides mimicking known PSGL-1 glycans suggested that CVA24v binds to
Neu5Acalpha2,3Gal disaccharides (Neu5Ac is N-acetylneuraminic acid). These
results provide further insights into the early steps of the CVA24v life cycle.
PMID- 21880776
TI - In silico reconstruction of viral genomes from small RNAs improves virus-derived
small interfering RNA profiling.
AB - RNA interference (RNAi) is the essential component of antiviral immunity in
invertebrates and plants. One of the landmarks of the antiviral RNAi response is
the production of virus-derived small interfering RNA (vsiRNA) from viral double
stranded RNA (dsRNA). vsiRNAs constitute a fragmented image of the viral genome
sequence that results from Dicer cleavage. vsiRNA sequence profiling is used
extensively as a surrogate to study the antiviral RNAi response by determining
the nature of the viral dsRNA molecules exposed to and processed by the RNAi
machinery. The accuracy of these profiles depends on the actual viral genome
sequence used as a reference to align vsiRNA reads, and the interpretation of
inaccurate profiles can be misleading. Using Flock house virus and Drosophila
melanogaster as a model RNAi-competent organism, we show accurate reconstruction
of full-length virus reference sequence from vsiRNAs and prediction of the
structure of defective interfering particles (DIs). We developed a Perl script,
named Paparazzi, that reconstitutes viral genomes through an iterative
alignment/consensus call procedure using a related reference sequence as
scaffold. As prevalent DI-derived reads introduce artifacts during
reconstruction, Paparazzi eliminates DI-specific reads to improve the quality of
the reconstructed genome. Paparazzi constitutes a promising alternative to Sanger
sequencing in this context and an effective tool to study antiviral RNAi
mechanisms by accurately quantifying vsiRNA along the replicating viral genome.
We further discuss Paparazzi as a companion tool for virus discovery as it
provides full-length genome sequences and corrects for potential artifacts of
assembly.
PMID- 21880777
TI - A conserved peptide in West Nile virus NS4A protein contributes to proteolytic
processing and is essential for replication.
AB - The West Nile virus strain Kunjin virus (WNV(KUN)) NS4A protein is a
multifunctional protein involved in membrane proliferation, stimulation of
cellular pathways, and evasion of host defense and is a major component of the
WNV(KUN) RNA replication complex. We identified a highly conserved region ((120)P
E-P-E(123)) upstream of the viral protease dibasic cleavage site and investigated
whether this motif was required for WNV(KUN) replication. Single point mutations
to alanine and a PEPE deletion mutation were created in a full-length infectious
WNV(KUN) molecular clone. All mutations drastically impaired viral replication
and virion production, except that of the P122A mutant, which was slightly
attenuated. These mutations were subsequently transferred to a WNV(KUN) replicon
to specifically assess effects on RNA replication alone. Again, all mutants,
except P122A, showed severely reduced negative-sense RNA production as well as
decreased viral protein production. Correspondingly, immunofluorescence analyses
showed a lack of double-stranded RNA (dsRNA) labeling and a dispersed
localization of the WNV(KUN) proteins, suggesting that replication complex
formation was additionally impaired. Attempts to rescue replication via
conservative mutants largely failed except for substitution of Asp at E121,
suggesting that a negative charge at this residue is equally important. Analysis
of viral protein processing suggested that cleavage of the 2K peptide from NS4A
did not occur with the mutant constructs. These observations imply that the
combined effects of proline and negatively charged residues within the PEPE
peptide are essential to promote the cleavage of 2K from NS4A, which is a
prerequisite for efficient WNV replication.
PMID- 21880778
TI - Efficient cross-species capture hybridization and next-generation sequencing of
mitochondrial genomes from noninvasively sampled museum specimens.
AB - The ability to uncover the phylogenetic history of recently extinct species and
other species known only from archived museum material has rapidly improved due
to the reduced cost and increased sequence capacity of next-generation sequencing
technologies. One limitation of these approaches is the difficulty of isolating
and sequencing large, orthologous DNA regions across multiple divergent species,
which is exacerbated for museum specimens, where DNA quality varies greatly
between samples and contamination levels are often high. Here we describe the use
of cross-species DNA capture hybridization techniques and next-generation
sequencing to selectively isolate and sequence partial to full-length
mitochondrial DNA genomes from the degraded DNA of museum specimens, using probes
generated from the DNA of a single extant species. We demonstrate our approach on
specimens from an enigmatic gliding mammal, the Sunda colugo, which is widely
distributed throughout Southeast Asia. We isolated DNA from 13 colugo specimens
collected 47-170 years ago, and successfully captured and sequenced mitochondrial
DNA from every specimen, frequently recovering fragments with 10%-13% sequence
divergence from the capture probe sequence. Phylogenetic results reveal deep
genetic divergence among colugos, both within and between the islands of Borneo
and Java, as well as between the Malay Peninsula and different Sundaic islands.
Our method is based on noninvasive sampling of minute amounts of soft tissue
material from museum specimens, leaving the original specimen essentially
undamaged. This approach represents a paradigm shift away from standard PCR-based
approaches for accessing population genetic and phylogenomic information from
poorly known and difficult-to-study species.
PMID- 21880779
TI - The human gut virome: inter-individual variation and dynamic response to diet.
AB - Immense populations of viruses are present in the human gut and other body sites.
Understanding the role of these populations (the human "virome") in health and
disease requires a much deeper understanding of their composition and dynamics in
the face of environmental perturbation. Here, we investigate viromes from human
subjects on a controlled feeding regimen. Longitudinal fecal samples were
analyzed by metagenomic sequencing of DNA from virus-like particles (VLP) and
total microbial communities. Assembly of 336 Mb of VLP sequence yielded 7175
contigs, many identifiable as complete or partial bacteriophage genomes. Contigs
were rich in viral functions required in lytic and lysogenic growth, as well as
unexpected functions such as viral CRISPR arrays and genes for antibiotic
resistance. The largest source of variance among virome samples was interpersonal
variation. Parallel deep-sequencing analysis of bacterial populations showed
covaration of the virome with the larger microbiome. The dietary intervention was
associated with a change in the virome community to a new state, in which
individuals on the same diet converged. Thus these data provide an overview of
the composition of the human gut virome and associate virome structure with diet.
PMID- 21880780
TI - The presence of multiple introns is essential for ERECTA expression in
Arabidopsis.
AB - Gene expression in eukaryotes is often enhanced by the presence of introns.
Depending on the specific gene, this enhancement can be minor or very large and
occurs at both the transcriptional and post-transcriptional levels. The
Arabidopsis ERECTA gene contains 27 exons encoding a receptor-like kinase that
promotes cell proliferation and inhibits cell differentiation in above-ground
plant organs. The expression of ERECTA very strongly depends on the presence of
introns. The intronless ERECTA gene does not rescue the phenotype of erecta
mutant plants and produces about 500-900 times less protein compared with the
identical construct containing introns. This result is somewhat surprising as the
region upstream of the ERECTA coding sequence effectively promotes the expression
of extraneous genes. Here, we demonstrate that introns are essential for ERECTA
mRNA accumulation and, to a lesser extent, for mRNA utilization in translation.
Since mRNA produced by intronless ERECTA is degraded at the 3' end, we speculate
that introns increase mRNA accumulation through increasing its stability at least
in part. No individual intron is absolutely necessary for ERECTA expression, but
rather multiple introns in specific locations increase ERECTA expression in an
additive manner. The ability of introns to promote ERECTA expression might be
linked to the process of splicing and not to a particular intron sequence.
PMID- 21880781
TI - ApoE is required for maintenance of the dentate gyrus neural progenitor pool.
AB - Many genes regulating adult neurogenesis have been identified and are known to
play similar roles during early neuronal development. We recently identified
apolipoprotein E (ApoE) as a gene the expression of which is essentially absent
in early brain progenitors but becomes markedly upregulated in adult dentate
gyrus stem/progenitor cells. Here, we demonstrate that ApoE deficiency impairs
adult dentate gyrus development by affecting the neural progenitor pool over
time. We utilized ApoE-deficient mice crossed to a nestin-GFP reporter to
demonstrate that dentate gyrus progenitor cells proliferate more rapidly at early
ages, which is subsequently accompanied by an overall decrease in neural
progenitor cell number at later time points. This appears to be secondary to over
proliferation early in life and ultimate depletion of the Type 1 nestin- and GFAP
expressing neural stem cells. We also rescue the proliferation phenotype with an
ApoE-expressing retrovirus, demonstrating that ApoE works directly in this
regard. These data provide novel insight into late hippocampal development and
suggest a possible role for ApoE in neurodegenerative diseases.
PMID- 21880782
TI - Cell dynamics in fetal intestinal epithelium: implications for intestinal growth
and morphogenesis.
AB - The cellular mechanisms that drive growth and remodeling of the early intestinal
epithelium are poorly understood. Current dogma suggests that the murine fetal
intestinal epithelium is stratified, that villi are formed by an epithelial
remodeling process involving the de novo formation of apical surface at secondary
lumina, and that radial intercalation of the stratified cells constitutes a major
intestinal lengthening mechanism. Here, we investigate cell polarity, cell cycle
dynamics and cell shape in the fetal murine intestine between E12.5 and E14.5. We
show that, contrary to previous assumptions, this epithelium is pseudostratified.
Furthermore, epithelial nuclei exhibit interkinetic nuclear migration, a process
wherein nuclei move in concert with the cell cycle, from the basal side (where
DNA is synthesized) to the apical surface (where mitosis takes place); such
nuclear movements were previously misinterpreted as the radial intercalation of
cells. We further demonstrate that growth of epithelial girth between E12.5 and
E14.5 is driven by microtubule- and actinomyosin-dependent apicobasal elongation,
rather than by progressive epithelial stratification as was previously thought.
Finally, we show that the actin-binding protein Shroom3 is crucial for the
maintenance of the single-layered pseudostratified epithelium. In mice lacking
Shroom3, the epithelium is disorganized and temporarily stratified during villus
emergence. These results favor an alternative model of intestinal morphogenesis
in which the epithelium remains single layered and apicobasally polarized
throughout early intestinal development.
PMID- 21880783
TI - Prdm1a and miR-499 act sequentially to restrict Sox6 activity to the fast-twitch
muscle lineage in the zebrafish embryo.
AB - Sox6 has been proposed to play a conserved role in vertebrate skeletal muscle
fibre type specification. In zebrafish, sox6 transcription is repressed in slow
twitch progenitors by the Prdm1a transcription factor. Here we identify sox6 cis
regulatory sequences that drive fast-twitch-specific expression in a Prdm1a
dependent manner. We show that sox6 transcription subsequently becomes
derepressed in slow-twitch fibres, whereas Sox6 protein remains restricted to
fast-twitch fibres. We find that translational repression of sox6 is mediated by
miR-499, the slow-twitch-specific expression of which is in turn controlled by
Prdm1a, forming a regulatory loop that initiates and maintains the slow-twitch
muscle lineage.
PMID- 21880784
TI - Temporally controlled modulation of FGF/ERK signaling directs midbrain
dopaminergic neural progenitor fate in mouse and human pluripotent stem cells.
AB - Effective induction of midbrain-specific dopamine (mDA) neurons from stem cells
is fundamental for realizing their potential in biomedical applications relevant
to Parkinson's disease. During early development, the Otx2-positive neural
tissues are patterned anterior-posteriorly to form the forebrain and midbrain
under the influence of extracellular signaling such as FGF and Wnt. In the
mesencephalon, sonic hedgehog (Shh) specifies a ventral progenitor fate in the
floor plate region that later gives rise to mDA neurons. In this study, we
systematically investigated the temporal actions of FGF signaling in mDA neuron
fate specification of mouse and human pluripotent stem cells and mouse induced
pluripotent stem cells. We show that a brief blockade of FGF signaling on exit of
the lineage-primed epiblast pluripotent state initiates an early induction of
Lmx1a and Foxa2 in nascent neural progenitors. In addition to inducing ventral
midbrain characteristics, the FGF signaling blockade during neural induction also
directs a midbrain fate in the anterior-posterior axis by suppressing
caudalization as well as forebrain induction, leading to the maintenance of
midbrain Otx2. Following a period of endogenous FGF signaling, subsequent
enhancement of FGF signaling by Fgf8, in combination with Shh, promotes mDA
neurogenesis and restricts alternative fates. Thus, a stepwise control of FGF
signaling during distinct stages of stem cell neural fate conversion is crucial
for reliable and highly efficient production of functional, authentic midbrain
specific dopaminergic neurons. Importantly, we provide evidence that this novel,
small-molecule-based strategy applies to both mouse and human pluripotent stem
cells.
PMID- 21880785
TI - UNC-6/netrin and its receptors UNC-5 and UNC-40/DCC modulate growth cone
protrusion in vivo in C. elegans.
AB - The UNC-6/netrin guidance cue functions in axon guidance in vertebrates and
invertebrates, mediating attraction via UNC-40/DCC family receptors and repulsion
via by UNC-5 family receptors. The growth cone reads guidance cues and extends
lamellipodia and filopodia, actin-based structures that sense the extracellular
environment and power the forward motion of the growth cone. We show that UNC
6/netrin, UNC-5 and UNC-40/DCC modulated the extent of growth cone protrusion
that correlated with attraction versus repulsion. Loss-of-function unc-5 mutants
displayed increased protrusion in repelled growth cones, whereas loss-of-function
unc-6 or unc-40 mutants caused decreased protrusion. In contrast to previous
studies, our work suggests that the severe guidance defects in unc-5 mutants may
be due to latent UNC-40 attractive signaling that steers the growth cone back
towards the ventral source of UNC-6. UNC-6/Netrin signaling also controlled
polarity of growth cone protrusion and F-actin accumulation that correlated with
attraction versus repulsion. However, filopodial dynamics were affected
independently of polarity of protrusion, indicating that the extent versus
polarity of protrusion are at least in part separate mechanisms. In summary, we
show here that growth cone guidance in response to UNC-6/netrin involves a
combination of polarized growth cone protrusion as well as a balance between
stimulation and inhibition of growth cone (e.g. filopodial) protrusion.
PMID- 21880786
TI - Integrin-dependent and -independent functions of astrocytic fibronectin in
retinal angiogenesis.
AB - Fibronectin (FN) is a major component of the extracellular matrix and functions
in cell adhesion, cell spreading and cell migration. In the retina, FN is
transiently expressed and assembled on astrocytes (ACs), which guide sprouting
tip cells and deposit a provisional matrix for sprouting angiogenesis. The
precise function of FN in retinal angiogenesis is largely unknown. Using genetic
tools, we show that astrocytes are the major source of cellular FN during
angiogenesis in the mouse retina. Deletion of astrocytic FN reduces radial
endothelial migration during vascular plexus formation in a gene dose-dependent
manner. This effect correlates with reduced VEGF receptor 2 and PI3K/AKT
signalling, and can be mimicked by selectively inhibiting VEGF-A binding to FN
through intraocular injection of blocking peptides. By contrast, AC-specific
replacement of the integrin-binding RGD sequence with FN-RGE or endothelial
deletion of itga5 shows little effect on migration and PI3K/AKT signalling, but
impairs filopodial alignment along AC processes, suggesting that FN-integrin
alpha5beta1 interaction is involved in filopodial adhesion to the astrocytic
matrix. AC FN shares its VEGF-binding function and cell-surface distribution with
heparan-sulfate (HS), and genetic deletion of both FN and HS together greatly
enhances the migration defect, indicating a synergistic function of FN and HS in
VEGF binding. We propose that in vivo the VEGF-binding properties of FN and HS
promote directional tip cell migration, whereas FN integrin-binding functions to
support filopodia adhesion to the astrocytic migration template.
PMID- 21880787
TI - Individual axons regulate the myelinating potential of single oligodendrocytes in
vivo.
AB - The majority of axons in the central nervous system (CNS) are eventually
myelinated by oligodendrocytes, but whether the timing and extent of myelination
in vivo reflect intrinsic properties of oligodendrocytes, or are regulated by
axons, remains undetermined. Here, we use zebrafish to study CNS myelination at
single-cell resolution in vivo. We show that the large caliber Mauthner axon is
the first to be myelinated (shortly before axons of smaller caliber) and that the
presence of supernumerary large caliber Mauthner axons can profoundly affect
myelination by single oligodendrocytes. Oligodendrocytes that typically myelinate
just one Mauthner axon in wild type can myelinate multiple supernumerary Mauthner
axons. Furthermore, oligodendrocytes that exclusively myelinate numerous smaller
caliber axons in wild type can readily myelinate small caliber axons in addition
to the much larger caliber supernumerary Mauthner axons. These data indicate that
single oligodendrocytes can myelinate diverse axons and that their myelinating
potential is actively regulated by individual axons.
PMID- 21880788
TI - Aflibercept (VEGF Trap) in inoperable stage III or stage iv melanoma of cutaneous
or uveal origin.
AB - PURPOSE: Aflibercept is a soluble decoy VEGF receptor and angiogenesis inhibitor
with potent preclinical antitumor activity in melanoma. We conducted a
multicenter phase II study in patients with inoperable stage III or IV melanoma
and no prior chemotherapy. EXPERIMENTAL DESIGN: A two-stage design was adopted to
evaluate 4-month progression-free survival rate (PFSR) and response rate.
Aflibercept was given at 4 mg/kg intravenously every 2 weeks. Response was
assessed every 8 weeks. First-stage accrual of 21 patients was specified and with
an adequate 4-month PFSR accrual continued to a total of 41. RESULTS: Forty-one
patients of ages 23 to 84 (median = 57) were enrolled. Thirty-nine had American
Joint Committee on Cancer stage IV (5 M1a, 7 M1b, and 27 M1c) and 2 had
inoperable stage IIIC (N3). Eastern Cooperative Oncology Group (ECOG) performance
status was 0 (27 patients) or 1 (14 patients). Ten patients had primary uveal
melanoma, 28 cutaneous, and 3 had unknown primaries. A median of 7 cycles were
initiated (range: 1-56). Grade 3 and 4 toxicities included hypertension in 9
patients (22%) and proteinuria in 6 (15%). Among 40 patients evaluable for
efficacy (those who initiated aflibercept), 3 (7.5%) had a confirmed partial
response and 20 had progression-free survival of 4 months or above. The predicted
1-year survival rate derived from the Korn meta-analysis model is 36% (N = 39),
whereas we observed a corresponding 56.4% survival rate at 1 year (95% CI, 43-74,
P < 0.005). Median overall survival in this trial is 16.3 months (95% CI, 9.2 to
not reached). We observed a significant association between severity of
hypertension following aflibercept and survival improvement. CONCLUSIONS:
Aflibercept showed promising activity in patients with metastatic melanoma of
cutaneous or uveal origin. Further evaluation of aflibercept as a single agent
and in combination is warranted.
PMID- 21880789
TI - Comparison of continuous versus categorical tumor measurement-based metrics to
predict overall survival in cancer treatment trials.
AB - PURPOSE: The categorical definition of response assessed via the Response
Evaluation Criteria in Solid Tumors has documented limitations. We sought to
identify alternative metrics for tumor response that improve prediction of
overall survival. EXPERIMENTAL DESIGN: Individual patient data from three North
Central Cancer Treatment Group trials (N0026, n = 117; N9741, n = 1,109; and
N9841, n = 332) were used. Continuous metrics of tumor size based on longitudinal
tumor measurements were considered in addition to a trichotomized response
[TriTR: response (complete or partial) vs. stable disease vs. progression). Cox
proportional hazards models, adjusted for treatment arm and baseline tumor
burden, were used to assess the impact of the metrics on subsequent overall
survival, using a landmark analysis approach at 12, 16, and 24 weeks
postbaseline. Model discrimination was evaluated by the concordance (c) index.
RESULTS: The overall best response rates for the three trials were 26%, 45%, and
25%, respectively. Although nearly all metrics were statistically significantly
associated with overall survival at the different landmark time points, the
concordance indices (c-index) for the traditional response metrics ranged from
0.59 to 0.65; for the continuous metrics from 0.60 to 0.66; and for the TriTR
metrics from 0.64 to 0.69. The c-indices for TriTR at 12 weeks were comparable
with those at 16 and 24 weeks. CONCLUSIONS: Continuous tumor measurement-based
metrics provided no predictive improvement over traditional response-based
metrics or TriTR; TriTR had better predictive ability than best TriTR or
confirmed response. If confirmed, TriTR represents a promising endpoint for
future phase II trials.
PMID- 21880790
TI - EGFR- and VEGF(R)-targeted small molecules show synergistic activity in
colorectal cancer models refractory to combinations of monoclonal antibodies.
AB - PURPOSE: Epidermal growth factor receptor (EGFR) and VEGF(R) signaling show
extensive cross-talk, providing a rationale for joint targeting of the two
pathways. However, combinations of monoclonal antibodies (mAb) targeting EGFR and
VEGF showed disappointing activity in patients with colorectal cancer (CRC). We
speculated that inhibition of surface receptors and ligands might only partly
prevent oncogenic signaling whereas small-molecule tyrosine kinase inhibitors
(TKI) would also influence intracellular signaling. EXPERIMENTAL DESIGN: Mice
with CRC xenografts were treated with two TKIs, vargatef and afatinib, or with
two mAbs, bevacizumab and cetuximab, and their influence on tumor growth,
viability, in vivo DNA synthesis, and the presence of phosphorylated EGFR and
VEGFR was determined. The activity of the TKIs was further characterized in CRC
cells with different KRAS status. RESULTS: Vargatef and afatinib together showed
strong tumor growth inhibition toward HT-29 xenografts compared with either drug
alone, which was associated with a 5-fold increase in apoptotic tumor cell death.
In comparison, bevacizumab and cetuximab together were exclusively cytostatic
with no more activity than either drug alone. Exposure to the two TKIs was
accompanied by a marked decrease of tumor-associated intracellular phospho-VEGFR1
and phospho-EGFR, whereas similar exposure to the two mAbs had no detectable
effect. A synergistic activity of vargatef plus afatinib was observed in all
eight CRC cell lines examined, independent of KRAS status. CONCLUSIONS: Our
results indicate that attenuation of intracellular EGFR and/or VEGF signaling is
required for cytotoxic activity. These findings provide a rationale for trials of
the TKIs, even in patients with mutant KRAS.
PMID- 21880791
TI - Novel insights into the role of interleukin-27 and interleukin-23 in human
malignant and normal plasma cells.
AB - Multiple myeloma is a monoclonal postgerminal center tumor that has phenotypic
features of plasmablasts and/or plasma cells and usually localizes at multiple
sites in the bone marrow. The pathogenesis of multiple myeloma is complex and
dependent on the interactions between tumor cells and their microenvironment.
Different cytokines, chemokines, and proangiogenic factors released in the tumor
microenvironment are known to promote multiple myeloma cell growth. Here, we
report recent advances on the role of 2 strictly related immunomodulatory
cytokines, interleukin-27 (IL-27) and IL-23, in human normal and neoplastic
plasma cells, highlighting their ability to (i) act directly against multiple
myeloma cells, (ii) influence the multiple myeloma microenvironment by targeting
osteoclast and osteoblast cells, and (iii) modulate normal plasma cell function.
Finally, the therapeutic implication of these studies is discussed.
PMID- 21880793
TI - Iron modifies plasma FGF23 differently in autosomal dominant hypophosphatemic
rickets and healthy humans.
AB - CONTEXT: In autosomal dominant hypophosphatemic rickets (ADHR), fibroblast growth
factor 23 (FGF23) resists cleavage, causing increased plasma FGF23 levels. The
clinical phenotype includes variable onset during childhood or adulthood and
waxing/waning of hypophosphatemia. Delayed onset after puberty in females
suggests iron status may be important. OBJECTIVE: Studies were performed to test
the hypothesis that plasma C-terminal and intact FGF23 concentrations are related
to serum iron concentrations in ADHR. DESIGN AND SETTING: Cross-sectional and
longitudinal studies of ADHR and a cross-sectional study in healthy subjects were
conducted at an academic medical center. PARTICIPANTS: Participants included 37
subjects with ADHR mutations from four kindreds and 158 healthy adult controls.
MAIN OUTCOME MEASURE: The relationships of serum iron concentrations with plasma
C-terminal and intact FGF23 concentrations were evaluated. RESULTS: Serum
phosphate and 1,25-dihydroxyvitamin D correlated negatively with C-terminal FGF23
and intact FGF23 in ADHR but not in controls. Serum iron was negatively
correlated to both C-terminal FGF23 (r = -0.386; P < 0.05) and intact FGF23 (r =
0.602; P < 0.0001) in ADHR. However, control subjects also demonstrated a
negative relationship of serum iron with C-terminal FGF23 (r = -0.276; P < 0.001)
but no relationship with intact FGF23. Longitudinally in ADHR subjects, C
terminal FGF23 and intact FGF23 concentrations changed negatively with iron
concentrations (P < 0.001 and P = 0.055, respectively), serum phosphate changed
negatively with C-terminal FGF23 and intact FGF23 (P < 0.001), and there was a
positive relationship between serum iron and phosphate (P < 0.001). CONCLUSIONS:
Low serum iron is associated with elevated FGF23 in ADHR. However, in controls,
low serum iron was also associated with elevated C-terminal FGF23, but not intact
FGF23, suggesting cleavage maintains homeostasis despite increased FGF23
expression.
PMID- 21880792
TI - Evaluation of CYP2D6 and efficacy of tamoxifen and raloxifene in women treated
for breast cancer chemoprevention: results from the NSABP P1 and P2 clinical
trials.
AB - BACKGROUND: Controversy exists regarding the association between CYP2D6 enzyme
activity and tamoxifen effectiveness in the adjuvant treatment of invasive breast
cancer; however, this association in the primary prevention of breast cancer is
unknown. METHODS: We conducted a nested case-control study in the context of the
NSABP P1 and P2 prevention trials to determine the impact of CYP2D6 genotype,
CYP2D6 inhibitor use, and metabolizer status (CYP2D6 genotype combined with
CYP2D6 inhibitor use), on breast cancer events. Women who developed breast cancer
(both noninvasive and invasive) while on 5 years of selective estrogen receptor
modulators therapy (cases) were matched to controls free of breast cancer.
Comprehensive CYP2D6 genotyping was conducted for alleles associated with absent
(*3, *4, *5, and *6), reduced (*10, *17, and *41), and increased (*1XN and *2XN)
enzyme activity. Information regarding the use of CYP2D6 inhibitors was recorded.
RESULTS: A total of 591 cases were matched to 1,126 controls and DNA was
genotyped in more than 97%. In patients treated with tamoxifen, there was no
association of CYP2D6 genotype [OR (extensive/poor metabolizer): 0.90; 95% CI:
0.46-1.74, P = 0.74), use of a potent CYP2D6 inhibitor (OR 0.92; 95% CI: 0.575
1.486), or CYP2D6 metabolizer status (OR 1.03; 95% CI: 0.669-1.607) with breast
cancer occurrence. Likewise, there was no association between any CYP2D6
metabolism parameter with breast cancer events in raloxifene-treated patients.
CONCLUSION: In the NSABP P1 and P2 clinical trials, alterations in CYP2D6
metabolism are not associated with either tamoxifen or raloxifene efficacy.
PMID- 21880794
TI - Type 1 hyperlipoproteinemia and recurrent acute pancreatitis due to lipoprotein
lipase antibody in a young girl with Sjogren's syndrome.
AB - CONTEXT: Type 1 hyperlipoproteinemia (T1HLP) in childhood is most often due to
genetic deficiency of lipoprotein lipase (LPL) or other related proteins.
OBJECTIVE: The aim was to report a case of marked hypertriglyceridemia and
recurrent acute pancreatitis due to the presence of LPL autoantibody in a young
girl who was subsequently diagnosed with Sjogren's syndrome. SUBJECT AND METHODS:
A 9-yr-old African-American girl presented with acute pancreatitis and serum
triglycerides of 4784 mg/dl. Strict restriction of dietary fat reduced serum
triglycerides, but she continued to experience recurrent pancreatitis.
Approximately 18 months thereafter, she developed transient pauciarticular
arthritis with elevated serum antinuclear antibody (>1:1280). Minor salivary
gland biopsy revealed chronic sialadenitis with a dense periductal lymphocytic
aggregate suggestive of Sjogren's syndrome. Genomic DNA was analyzed for LPL,
GPIHBP1, APOA5, APOC2, and LMF1. Immunoblotting was performed to detect serum LPL
autoantibody. RESULTS: The patient had no disease-causing variants in LPL,
GPIHBP1, APOA5, APOC2, or LMF1. Immunoblotting revealed serum LPL antibody. The
patient responded to immunosuppressive therapy for Sjogren's syndrome with
resolution of hypertriglyceridemia. CONCLUSIONS: Unexplained T1HLP in childhood
could be secondary to LPL deficiency induced by autoantibodies. Therefore,
diagnosis of autoimmune T1HLP should be entertained if clinical features are
suggestive of an autoimmune process.
PMID- 21880795
TI - Preoperative insulin resistance and the impact of feeding on postoperative
protein balance: a stable isotope study.
AB - CONTEXT: Major surgery induces a catabolic state resulting in a net loss of body
protein. OBJECTIVES: Our objective was to compare protein metabolism before and
after surgery in nondiabetic patients with and without preoperative insulin
resistance (IR). It was hypothesized that the anabolic response to feeding would
be significantly impaired in those patients with preoperative insulin resistance.
DESIGN: A hyperinsulinemic-euglycemic clamp has been used to identify two groups
of patients: IR and insulin sensitive (IS). A tracer kinetics technique has been
used to evaluate the metabolic response to food intake in both groups. SETTING:
Patients undergoing cardiopulmonary bypass participated. PATIENTS OR OTHER
PARTICIPANTS: Ten IS patients and 10 IR patients were enrolled in the study.
INTERVENTION: After an overnight fasting, a 3-h infusion of a solution composed
of 20% glucose and of amino acids at a rate of 0.67 and 0.44 kcal/kg . h,
respectively, was started in each group. Phenylalanine kinetics were studied at
the end of fasting and feeding. MAIN OUTCOME MEASURE: Effect of feeding on
protein balance before and after surgery was evaluated. Protein balance has been
measured as the net difference of protein breakdown minus protein synthesis.
RESULTS: Protein balance increase after postoperative feeding was blunted only in
the IR group. In contrast, in the IS group, the postoperative anabolic effect of
feeding was the same as before surgery. CONCLUSIONS: These findings propose a
link between insulin resistance and protein metabolism. When non-IR patients are
fed, a significant anabolic effect in the postoperative period is demonstrated.
In contrast, IR patients are less able to use feeding for synthetic purposes.
PMID- 21880796
TI - A novel entity of clinically isolated adrenal insufficiency caused by a partially
inactivating mutation of the gene encoding for P450 side chain cleavage enzyme
(CYP11A1).
AB - CONTEXT: Cytochrome P450 side-chain cleavage enzyme (CYP11A1) facilitates the
first and rate-limiting step of steroidogenesis. Only nine patients with CYP11A1
deficiency have been described. All patients presented with adrenal insufficiency
(AI) and disorder of sex development in 46,XY individuals. OBJECTIVE: Our
objective was to define the pathogenic consequences of a novel CYP11A1 mutation
(p.R451W) found in two brothers with isolated adrenal insufficiency. PATIENTS:
The two brothers (46,XY) presented with AI and normal male genital development.
The older boy first presented with signs and symptoms suggestive of AI at the age
of 2.8 yr but was only diagnosed at the age of 4.1 yr during an adrenal crisis.
The younger brother was diagnosed with AI at the age of 2.5 yr while being
clinically asymptomatic. Both boys had entirely normal appearance of their
external genitalia. RESULTS: The novel p.R451W mutation and five published
missense CYP11A1 mutations were characterized employing two in vitro approaches
using the natural substrate cholesterol and the intermediate 22R
hydroxycholesterol, respectively. Pregnenolone generation was measured by highly
specific liquid chromatography tandem mass spectrometry. p.R451W had 30% of wild
type activity consistent with the clinical phenotype in our patients. Two
previously published mutations (p.L222P and p.A359V) had 2- to 3-fold higher in
vitro activities than originally reported, correlating better with the associated
phenotypes. CONCLUSIONS: We provide the first evidence that partial CYP11A1
deficiency has to be considered as a differential diagnosis in clinically
isolated adrenal insufficiency. Our assays demonstrate a tighter genotype
phenotype correlation in CYP11A1 deficiency than previous in vitro studies.
PMID- 21880797
TI - Associations of salivary cortisol levels with metabolic syndrome and its
components: the multi-ethnic study of atherosclerosis.
AB - CONTEXT: Prior research has identified associations between social-environmental
factors and metabolic syndrome (MetS) components. The physiological mechanisms
underlying these associations are not fully understood, but alterations in
activity of the hypothalamic-pituitary-adrenal axis, a stress-responsive
biological system, have been hypothesized to play a role. OBJECTIVE: The aim of
the study was to determine whether MetS diagnosis and specific clusters of MetS
components (waist circumference, high-density lipoproteins, glucose, and blood
pressure) are associated with cortisol levels. DESIGN AND SETTING: We conducted
cross-sectional analyses of data from the Multi-Ethnic Study of Atherosclerosis
(MESA) study in the general community. PATIENTS OR OTHER PARTICIPANTS: We studied
a population-based sample of 726 adults (ages 48 to 89 yr) who do not have
clinical diabetes. INTERVENTION(S): There were no interventions. MAIN OUTCOME
MEASURE(S): Cortisol awakening response, cortisol decline across the waking day,
and total cortisol output were analyzed (using 18 timed measures of salivary
cortisol over 3 d). RESULTS: Overall, we found little evidence that the presence
of MetS or its components is related to cortisol output or patterns. Contrary to
expectation, the presence of MetS was associated with lower rather than higher
area under the curve, and no consistent pattern was observed when MetS components
or subsets of components were examined in relation to cortisol. CONCLUSIONS: Our
findings do not support the hypothesis that differences in level or diurnal
pattern of salivary cortisol output are associated with MetS among persons
without clinical diabetes.
PMID- 21880798
TI - Neuropilin-2 expression in papillary thyroid carcinoma: correlation with VEGF-D
expression, lymph node metastasis, and VEGF-D-induced aggressive cancer cell
phenotype.
AB - CONTEXT: Neuropilin-2 (Nrp2) is a coreceptor for vascular endothelial growth
factor-D (VEGF-D) that is expressed on the surface of endothelial cells.
Recently, Nrp2 was shown to play a role in lymph node metastasis and promotion of
cancer cell migration. VEGF-D also promotes lymphangiogenesis, which in turn
promotes tumor metastasis. OBJECTIVE: The aim was to study the role of neuropilin
2 in lymph node metastasis in human papillary thyroid carcinoma (PTC). DESIGN:
Expression of Nrp2 was studied by immunohistochemistry and the relationship
between Nrp2 expression and lymph node metastasis, VEGF-D expression and other
established clinicopathological variables were analyzed in PTC. The effects of
neutralizing anti-Nrp2 antibody on VEGF-D-induced invasion and migration were
assessed in PTC cell lines. RESULTS: Nrp2 expression was observed in 64.3% (36 of
56) of the PTC patients. Nrp2 expression was significantly correlated with lymph
node metastasis (P = 0.0216) and VEGF-D expression (P = 0.0034). VEGF-D was shown
to promote filopodia formation and cancer cell migration and invasion by K1 and B
CPAP cells. These responses were significantly blocked by neutralizing anti-Nrp2
antibody. CONCLUSION: Nrp2 expression was correlated with lymph node metastasis
and VEGF-D expression in PTC. Our data also showed a role for Nrp2 in regulating
VEGF-D-induced invasion and migration in vitro.
PMID- 21880799
TI - Pharmacokinetics and pharmacodynamics of oral and transdermal 17beta estradiol in
girls with Turner syndrome.
AB - CONTEXT: The type, dose, and route of 17beta-estradiol (E(2)) used to feminize
girls with Turner syndrome (TS) is not well established. OBJECTIVE: The objective
of the study was to characterize pharmacokinetics and pharmacodynamics of oral
vs. transdermal E(2). SETTING: The study was conducted at a clinical research
center. SUBJECTS: Ten girls with TS, mean age 17.7 +/- 0.4 (se) yr and 20
normally menstruating controls (aged 16.8 +/- 0.4 yr) participated in the study.
INTERVENTIONS: TS subjects were randomized 2 wk each to: low-dose daily oral (0.5
mg) and biweekly transdermal E(2) (0.0375 mg) with 2 wk washout in between or
high-dose oral (2.0 mg) and transdermal (0.075 mg), studied for 24 h each. Tandem
mass spectrometry E(2) and estrone (E(1)) assays and a recombinant cell bioassay
were used. RESULTS: Controls consisted of the following: E(2), 96 +/- 11 pg/ml
(se), E(1), 70 +/- 7 (mean follicular/luteal). TS consisted of the following:
E(2), average concentration on low-dose oral, 18 +/- 2.1 pg/ml, low-dose
transdermal, 38 +/- 13, high-dose oral, 46 +/- 15, high-dose transdermal, 114 +/-
31 pg/ml. E(1) concentrations were much higher on oral E(2) (low or high dose)
than transdermal in TS and higher than controls. Bioestrogen was closest to
normal in the high-dose transdermal group. LH and FSH decreased more in
transdermal than oral low-dose routes and similarly in the high-dose oral and
transdermal groups. IGF-I concentrations were variable (P = NS) among groups, and
low-density lipoprotein/high-density lipoprotein cholesterol responses were
variable. CONCLUSIONS: Transdermal E(2) results in E(2), E(1), and bioestrogen
concentrations closer to normal and achieves greater suppression of LH/FSH in
lower doses compared with normal. Whether the long-term metabolic effects of
estrogen differ using the same form of E(2), depending on route, awaits further
study in TS.
PMID- 21880800
TI - Endothelin-1 stimulates proliferation of first-trimester trophoblasts via the A-
and B-type receptor and invasion via the B-type receptor.
AB - CONTEXT: Endothelin-1 (ET-1) stimulates proliferation and invasion of first
trimester human trophoblast cells. OBJECTIVE: To test the hypothesis that ET-1
effects are mediated by different receptor subtypes [ET receptor (ETR)-A and ETR
B]. DESIGN: The location of ETR in trophoblast cell columns (wk 6-12) was
investigated by immunohistochemistry and autoradiography. Trophoblasts were
isolated from first-trimester human placentas and proliferative and invasive
subpopulations separated using an integrin alpha6 antibody. Cells were incubated
for 24 h with 10 MUm ET-1 and different ETR antagonists: PD142893 (unselective),
BQ-610 (ETR-A), and RES-701-1 (ETR-B). After ETR down-regulation by antisense
oligonucleotides, proliferation (thymidine incorporation, protein synthesis) and
invasion (Matrigel invasion) were measured. ETR expression in isolated cells was
analyzed by Western blotting and semiquantitative RT-PCR. RESULTS: Both ETR are
expressed in both subpopulations in the cell column with predominance of ETR-A in
the proximal part and proliferative subpopulation, whereas ETR-B is present at
similar levels in both subpopulations. These results were confirmed at the mRNA
level. ET-1 increased proliferation (maximum 267% of control) and invasion
(maximum 288% of control) of first-trimester trophoblasts. The mitogenic ET-1
effect was inhibited (P < 0.05) by 40-80% with each receptor antagonist and by 44
and 40%, respectively, by ETR-A and ETR-B antisense oligonucleotides. The
invasion-promoting effect was almost completely blocked in the presence of the
ETR-B antagonists. CONCLUSION: The effect of ET-1 on cell proliferation in first
trimester trophoblasts is mediated by both ETR, whereas its effect on invasion is
mediated predominantly by ETR-B. These effects are in line with the receptor
subtype location.
PMID- 21880801
TI - GnRH-deficient phenotypes in humans and mice with heterozygous variants in
KISS1/Kiss1.
AB - CONTEXT: KISS1 is a candidate gene for GnRH deficiency. OBJECTIVE: Our objective
was to identify deleterious mutations in KISS1. PATIENTS AND METHODS: DNA
sequencing and assessment of the effects of rare sequence variants (RSV) were
conducted in 1025 probands with GnRH-deficient conditions. RESULTS: Fifteen
probands harbored 10 heterozygous RSV in KISS1 seen in less than 1% of control
subjects. Of the variants that reside within the mature kisspeptin peptide,
p.F117L (but not p.S77I, p.Q82K, p.H90D, or p.P110T) reduces inositol phosphate
generation. Of the variants that lie within the coding region but outside the
mature peptide, p.G35S and p.C53R (but not p.A129V) are predicted in silico to be
deleterious. Of the variants that lie outside the coding region, one (g.1-3659C
>T) impairs transcription in vitro, and another (c.1-7C->T) lies within the
consensus Kozak sequence. Of five probands tested, four had abnormal baseline LH
pulse patterns. In mice, testosterone decreases with heterozygous loss of Kiss1
and Kiss1r alleles (wild-type, 274 +/- 99, to double heterozygotes, 69 +/- 16
ng/dl; r(2) = 0.13; P = 0.03). Kiss1/Kiss1r double-heterozygote males have
shorter anogenital distances (13.0 +/- 0.2 vs. 15.6 +/- 0.2 mm at P34, P <
0.001), females have longer estrous cycles (7.4 +/- 0.2 vs. 5.6 +/- 0.2 d, P <
0.01), and mating pairs have decreased litter frequency (0.59 +/- 0.09 vs. 0.71
+/- 0.06 litters/month, P < 0.04) and size (3.5 +/- 0.2 vs. 5.4 +/- 0.3
pups/litter, P < 0.001) compared with wild-type mice. CONCLUSIONS: Deleterious,
heterozygous RSV in KISS1 exist at a low frequency in GnRH-deficient patients as
well as in the general population in presumably normal individuals. As in
Kiss1(+/-)/Kiss1r(+/-) mice, heterozygous KISS1 variants in humans may work with
other genetic and/or environmental factors to cause abnormal reproductive
function.
PMID- 21880802
TI - Incidence of venous thromboembolism in patients with Cushing's syndrome: a
multicenter cohort study.
AB - CONTEXT: Venous thrombosis has frequently been reported in patients with
endogenous Cushing's syndrome (CS). OBJECTIVE: The aim of this study was to
evaluate the incidence of venous thromboembolism (VTE) in patients with CS prior
to treatment and after surgery. DESIGN AND SETTING: We conducted a multicenter
cohort study at all university medical centers in The Netherlands. PATIENTS:
Consecutive patients diagnosed with endogenous CS of benign origin between
January 1990 and June 2010 were eligible for inclusion. Patients surgically
treated for nonfunctioning pituitary adenoma served as controls for the incidence
of postoperative VTE in ACTH-dependent CS. MAIN OUTCOME MEASURES: We documented
all objectively confirmed VTE during 3 yr prior to, and 3 yr after treatment
onset. The incidences of VTE were expressed as incidence rates. RESULTS: A total
of 473 patients (mean age 42 yr, 363 women) were included (360 ACTH-dependent
pituitary CS). The total number of person-years was 2526. Thirty-seven patients
experienced VTE during the study period, resulting in an incidence rate of 14.6
[95% confidence interval (CI) 10.3-20.1] per 1000 person-years. The incidence
rate for first-ever VTE prior to treatment was 12.9 (95% CI 7.5-12.6) per 1000
person-years (17 events). The risk of postoperative VTE, defined as risk within 3
months after surgery, was 0% for ACTH-independent and 3.4% (95% CI 2.0-5.9) for
ACTH-dependent CS (12 events in 350 patients); most events occurred between 1 wk
and 2 months after surgery. Compared with the controls, the risk of postoperative
VTE in patients undergoing transsphenoidal surgery was significantly greater (P =
0.01). CONCLUSIONS: Patients with CS are at high risk of VTE, especially during
active disease and after pituitary surgery. Guidelines on thromboprophylaxis are
urgently needed.
PMID- 21880803
TI - Effect of lifestyle intervention on features of polycystic ovarian syndrome,
metabolic syndrome, and intima-media thickness in obese adolescent girls.
AB - CONTEXT: Polycystic ovarian syndrome (PCOS) is associated with cardiovascular
risk factors (CRF). Lifestyle intervention is regarded as therapy of choice even
if studies in adolescent girls with PCOS are scarce. OBJECTIVE: Our objective was
to analyze the impact of lifestyle intervention on menses irregularities,
hyperandrogenemia, CRF, and intima-media thickness (IMT) in adolescent girls with
PCOS. PATIENTS: Patients included 59 obese girls with PCOS aged 12-18 yr.
INTERVENTION: Intervention was a 1-yr lifestyle intervention based on nutrition
education, exercise training, and behavior therapy. MAIN OUTCOME MEASURES: Menses
cycles, IMT, waist circumference, blood pressure, fasting lipids, insulin,
glucose, testosterone, dehydroepiandrosterone sulfate, androstenedione, and SHBG
were evaluated. RESULTS: In contrast to the 33 girls without weight loss, the 26
girls reducing their body mass index during the lifestyle intervention (by a mean
of -3.9 kg/m(2)) improved most CRF and decreased their IMT (by a mean of -0.01
cm). Testosterone concentrations decreased (by a mean of -0.3 nmol/liter) and
SHBG concentrations increased (by a mean of +8 ng/ml) significantly in girls with
weight loss in contrast to girls with increasing weight. The prevalence of
amenorrhea (-42%) and oligoamenorrhea (-19%) decreased in the girls with weight
loss. The changes in insulin in the 1-yr follow-up were significantly correlated
to changes in testosterone (r = 0.38; P = 0.002) and SHBG (r = -0.35; P = 0.048).
A linear regression model with changes in IMT as dependent variable demonstrated
a significant association with changes in blood pressure and weight status but
not with changes in testosterone. CONCLUSIONS: Weight loss due to lifestyle
intervention is effective to treat menses irregularities, normalize androgens,
and improve CRF and IMT in obese adolescent girls with PCOS.
PMID- 21880804
TI - Postpartum assessment of the renin angiotensin system in women with previous
severe, early-onset preeclampsia.
AB - CONTEXT: Women with a history of severe preeclampsia are at an increased risk for
the development of vascular disease. OBJECTIVE: We hypothesized that
abnormalities in the renin-angiotensin system (RAS) may be a predisposing factor.
DESIGN AND SETTING: Physiological assessments were conducted at an academic
center. PARTICIPANTS: Sixteen women with previous severe preeclampsia (PPE) were
compared with nine previously pregnant controls (PPC) and 11 never-pregnant
controls (NPC). INTERVENTIONS: Baseline circulating components of the RAS and
expression of angiotensin (ANG) II type I (AT1) and type II (AT2) receptors in
the skin were assessed along with the response to simulated orthostatic stress
using incremental lower-body negative pressure (LBNP: -15, -25, and -40 mm Hg)
and a graded ANG II infusion (1 and 3 ng/kg . min). MAIN OUTCOME MEASURES:
Response to LBNP and ANG II was evaluated. RESULTS: RAS components were not
different between previously pregnant groups, but were decreased compared with
NPC subjects. In response to LBNP, there were significant increases in RAS
components in all three groups, but the response to this stimulus was
significantly lower and delayed in PPE subjects. Despite the blunted rise in
circulating RAS mediators in PPE subjects, their blood pressure was maintained in
88% compared with only 33 and 55% in the PPC and NPC groups, respectively (P =
0.014). All three groups responded to the graded ANG II infusion with an increase
in blood pressure that was significantly more pronounced in PPE subjects (P =
0.037) correlating with AT1/AT2 receptor expression. CONCLUSIONS: Alterations in
the RAS in formerly preeclamptic patients may contribute to future vascular
disease.
PMID- 21880805
TI - Shift work at young age is associated with elevated long-term cortisol levels and
body mass index.
AB - BACKGROUND: The incidence of obesity and other features of the metabolic syndrome
is increased in shift workers. This may be due to a misalignment between the
internal circadian rhythm and the behavioral rhythm. The stress hormone cortisol
could play a role in this phenomenon because it is secreted in a circadian
rhythm, and long-term elevated cortisol leads to components of the metabolic
syndrome. We compared cortisol levels in scalp hair of shift and day workers to
study changes in long-term cortisol due to shift work. METHODS: Hair samples were
collected from 33 shift workers and 89 day workers. Cortisol was extracted from
the hair samples with methanol, and cortisol levels were measured using ELISA.
Height and weight were measured, and body mass index (BMI) was calculated.
RESULTS: Shift workers had higher hair cortisol levels than day workers: 47.32
pg/mg hair [95% confidence interval (CI) = 38.37-58.21] vs. 29.72 pg/mg hair (95%
CI = 26.18-33.73) (P < 0.001). When divided in age groups based on the median
age, elevated cortisol levels were present only in younger shift workers: 48.53
pg/mg hair (95% CI = 36.56-64.29) vs. 26.42 pg/mg hair (95% CI = 22.91-30.55) (P
< 0.001). BMI was increased in younger shift workers as well: 27.2 (95% CI = 25.5
28.8) vs. 23.7 (95% CI = 22.8-24.7) in young day workers (P = 0.001). Hair
cortisol and BMI were positively correlated (beta = 0.262; P = 0.005).
CONCLUSION: Shift work at a young adult age is associated with elevated long-term
cortisol levels and increased BMI. Elevated cortisol levels and BMI may
contribute to the increased cardiovascular risk found in shift workers.
PMID- 21880807
TI - Whole-cell patch recording from Drosophila larval neurons.
AB - The fruit fly Drosophila melanogaster has been instrumental in expanding our
understanding of early aspects of neural development. The use of this model
system has greatly added to our knowledge of neural cell-fate determination, axon
guidance, and synapse formation. It has also become possible to access and make
electrophysiological recordings directly from neurons in situ in an intact
central nervous system (CNS), which has facilitated studies of the development
and regulation of neuronal signaling. This protocol describes a procedure for
revealing larval motor neurons and applying whole-cell patch recording techniques
to these cells. The useful lifetime of first-instar larval preparations is ~30
min, and that of third-instar CNS preparations is up to 1 h. It is therefore
recommended that fresh preparations are used and that no breaks are taken during
the procedure, although there may be time to pull and polish a patch pipette.
PMID- 21880806
TI - Impact of mutational testing on the diagnosis and management of patients with
cytologically indeterminate thyroid nodules: a prospective analysis of 1056 FNA
samples.
AB - CONTEXT: Thyroid nodules are common in adults, but only a small fraction of them
is malignant. Fine-needle aspiration (FNA) cytology provides a definitive
diagnosis of benign or malignant disease in many cases, whereas about 25% of
nodules are indeterminate, hindering most appropriate management. OBJECTIVE: The
objective of the investigation was to study the clinical utility of molecular
testing of thyroid FNA samples with indeterminate cytology. DESIGN: Residual
material from 1056 consecutive thyroid FNA samples with indeterminate cytology
was used for prospective molecular analysis that included the assessment of cell
adequacy by a newly developed PCR assay and testing for a panel of mutations
consisted of BRAF V600E, NRAS codon 61, HRAS codon 61, and KRAS codons 12/13
point mutations and RET/PTC1, RET/PTC3, and PAX8/PPARgamma rearrangements.
RESULTS: The collected material was adequate for molecular analysis in 967
samples (92%), which yielded 87 mutations including 19 BRAF, 62 RAS, 1 RET/PTC,
and five PAX8/PPARgamma. Four hundred seventy-nine patients who contributed 513
samples underwent surgery. In specific categories of indeterminate cytology, i.e.
atypia of undetermined significance/follicular lesion of undetermined
significance, follicular neoplasm/suspicious for a follicular neoplasm, and
suspicious for malignant cells, the detection of any mutation conferred the risk
of histologic malignancy of 88, 87, and 95%, respectively. The risk of cancer in
mutation-negative nodules was 6, 14, and 28%, respectively. Of 6% of cancers in
mutation-negative nodules with atypia of undetermined significance/follicular
lesion of undetermined significance cytology, only 2.3% were invasive and 0.5%
had extrathyroidal extension. CONCLUSION: Molecular analysis for a panel of
mutations has significant diagnostic value for all categories of indeterminate
cytology and can be helpful for more effective clinical management of these
patients.
PMID- 21880808
TI - Dissection of third-instar Drosophila larvae for electrophysiological recording
from neurons.
AB - The fruit fly Drosophila melanogaster has been instrumental in expanding our
understanding of early aspects of neural development. The use of this model
system has greatly added to our knowledge of neural cell-fate determination, axon
guidance, and synapse formation. It has also become possible to access and make
electrophysiological recordings directly from neurons in situ in an intact
central nervous system (CNS), which has facilitated studies of the development
and regulation of neuronal signaling. It is possible to obtain
electrophysiological recordings from all stages of Drosophila. Exposure of the
intact Drosophila CNS is a prerequisite for such electrophysiological recordings.
The dissection procedure described here is suitable for third-instar larvae. The
dissection should take ~5 min to complete if all preparation work has been
completed in advance. Owing to the short life span of the dissected larva, it is
not recommended that the procedure be stopped or the preparation stored for later
use.
PMID- 21880809
TI - Dissection of first- and second-instar Drosophila larvae for electrophysiological
recording from neurons: the flat (or fillet) preparation.
AB - The fruit fly Drosophila melanogaster has been instrumental in expanding our
understanding of early aspects of neural development. The use of this model
system has greatly added to our knowledge of neural cell-fate determination, axon
guidance, and synapse formation. It has also become possible to access and make
electrophysiological recordings directly from neurons in situ in an intact
central nervous system (CNS), which has facilitated studies of the development
and regulation of neuronal signaling. It is possible to obtain
electrophysiological recordings from all stages of Drosophila. Exposure of the
intact Drosophila CNS is a prerequisite for such electrophysiological recordings.
The dissection procedure described here can be applied to both late-stage embryos
(stage 16 onward) and larvae. Because of their size, third-instar larvae are more
difficult to flatten using this method and, if recording from this stage, the
reader might consider using insect pins for the dissection or isolating the CNS
using an alternative method. The dissection should take <10 min if all
preparation work has been completed in advance. Owing to the short life span of
the dissected larva, it is not recommended that the procedure be stopped or the
preparation stored for later use.
PMID- 21880810
TI - Single-particle virus tracking.
AB - Real-time, live-cell imaging techniques and single-particle tracking algorithms
can be used to follow individual virus particles as they infect cells. This
protocol describes the use of one or more fluorescent markers to perform single
particle virus-tracking experiments.
PMID- 21880811
TI - Single-virus tracking in live cells.
AB - Real-time, live-cell imaging techniques and single-particle tracking algorithms
can be used to follow individual virus particles as they infect cells. This
article describes the labeling of viruses and cellular structures with
fluorescent probes to allow visualization in live cells. It also discusses how
virus trajectories and virus-cell interactions can be imaged and analyzed.
Methods used for time-lapse imaging are outlined, as are inhibitors and reagents
used to study the role of the cellular machinery during viral infection.
Algorithms for tracking virus particles and obtaining quantitative measurements
of viral transport and virus-cell interactions are also included.
PMID- 21880812
TI - Single-cell electroporation of Xenopus tadpole tectal neurons.
AB - Single-cell electroporation (SCE) is a versatile technique for delivering
electrically charged macromolecules, including DNA, RNA, synthetic
oligonucleotides, peptides, dyes, and drugs, to individual cells within intact
tissues. Here, we describe methods for SCE of single tectal neurons within the
albino Xenopus laevis tadpole for delivery of plasmid DNA-expressing protein
fluorophores or fluorescent dye. Individual neurons labeled by this technique can
then be imaged in three dimensions (3D) within the intact and living brain using
in vivo two-photon microscopy for studies of morphology and growth. The SCE
protocol is relatively simple and requires minimal and common laboratory
equipment, including a fluorescent stereomicroscope, micropipette puller, and
electrical stimulator. Once equipment is set up, learning to label cells with
fluorescent dyes is straightforward and usually quickly achieved, because direct
visualization with fluorescent microscopy offers immediate feedback of success.
The main challenges are positioning the pipette tip in a cell body layer and
optimizing pipette tip shape and stimulation parameters. Once fluorescent dye
loading has been achieved, transfecting neurons with DNA should follow by using
the same pipette tip parameters, but extending the stimulation parameters,
because plasmid DNA is larger than dye and requires formation of larger pores.
Detectable expression of protein fluorophores from transfected DNA typically
takes 6-12 h. SCE for dye loading or DNA transfection of tadpole tectal neurons
is highly efficient and can be learned in 1 or 2 d by novice laboratory
personnel.
PMID- 21880813
TI - Single-cell electroporation in Xenopus.
AB - Single-cell electroporation (SCE) is a versatile technique for delivering
electrically charged macromolecules including DNA, RNA, synthetic
oligonucleotides, peptides, dyes, and drugs to individual cells within intact
tissues. Here, we describe methods for in vivo-targeted electroporation of single
tectal neurons within the albino Xenopus laevis tadpole. Focal electroporation is
achieved using a pipette electrode filled with a solution of the delivery
molecules and with a tip diameter much smaller than the width of the target cell.
The small tip allows for localization of an electric field, which restricts pore
formation to only the individual cell in direct contact with the tip. Thus, the
small tip permits focal delivery of the charged molecules within the pipette into
individual cells. Factors affecting the efficiency of SCE, as well as various
applications of this technique, are discussed. Particular focus is directed
toward combining SCE with in vivo two-photon microscopy for three-dimensional
(3D) imaging of neuron growth and cell-autonomous effects of altered protein
function.
PMID- 21880814
TI - Mounting Caenorhabditis elegans embryos for live imaging of embryogenesis.
AB - Caenorhabditis elegans has been a key model organism for biomedical research.
Light microscopy has played a central role in C. elegans biology. C. elegans is
transparent throughout its life cycle, and its physical size, from 50 um
(embryos) to 1 mm (adults), is well suited for light microscopy. Furthermore, it
has an invariant body plan that arises from an invariant cell lineage. A wide
range of biological processes, from patterns of gene expression to cell migration
to neuronal activity, can be readily observed in single cells with a well-defined
developmental context. This protocol describes how to collect and mount young C.
elegans embryos for live imaging throughout embryogenesis.
PMID- 21880815
TI - Gene set analysis and network analysis for genome-wide association studies.
AB - The application of high-throughput genotyping in humans has yielded numerous
insights into the genetic basis of human phenotypes and an unprecedented amount
of genetic data. Genome-wide association studies (GWAS) have increased in number
in recent years, but the variants that have been found have generally explained
only a tiny proportion of the estimated genetic contribution to phenotypic
variation. This article summarizes the progress made in the development of gene
set analysis (GSA) and network analysis for GWAS was a way to identify the
underlying molecular processes of human phenotypes. It also highlights some
promising findings and indicates future directions that may greatly enhance the
analysis and interpretation of GWAS.
PMID- 21880816
TI - Generation of human scFv antibody libraries: PCR amplification and assembly of
light- and heavy-chain coding sequences.
AB - The development of therapeutic antibodies for use in the treatment of human
diseases has long been a goal for many researchers in the antibody field. One way
to obtain these antibodies is through phage-display libraries constructed from
human lymphocytes. This protocol describes the construction of human scFv (single
chain antibody fragment) libraries using a short linker (GGSSRSS) or a long
linker (GGSSRSSSSGGGGSGGGG). In this method, the individual rearranged heavy- and
light-chain variable regions are amplified separately and are linked through a
series of overlap polymerase chain reaction (PCR) steps to give the final scFv
products that are used for cloning.
PMID- 21880817
TI - Generation of human Fab antibody libraries: PCR amplification and assembly of
light- and heavy-chain coding sequences.
AB - The development of therapeutic antibodies for use in the treatment of human
diseases has long been a goal for many researchers in the antibody field. One way
to obtain these antibodies is through phage-display libraries constructed from
human lymphocytes. This protocol describes the construction of human Fab
(fragment antigen binding) antibody libraries. In this method, the individual
rearranged heavy- and light-chain variable regions are amplified separately and
are linked through a series of overlap polymerase chain reaction (PCR) steps to
give the final Fab products that are used for cloning.
PMID- 21880818
TI - Immunolabeling of cells grown attached to a substratum or in suspension with
actin antibodies.
AB - Actin is a major component of all eukaryotic cells and is highly conserved across
species. The different isoforms of actin show a very high degree of homology, and
almost all actins bind cytochalasins, phallotoxins, and DNase I. Actin is
important for maintaining cell shape and for myosin-based movements in cells. In
addition, the actin cytoskeleton is involved in localization of other molecules
in the cytoplasm and in cellular compartmentalization. Polyclonal and monoclonal
antibodies with different specificities are commercially available for labeling
actin-containing structures in cells. This article describes a protocol for
immunolabeling actin that works well for cells grown in tissue culture as
monolayers and for cells grown in suspension cultures that can be attached to
polylysine-coated coverslips.
PMID- 21880819
TI - In situ hybridization to somatic chromosomes in Drosophila.
AB - In situ hybridization was originally developed as a technique for visualizing and
physically mapping specific sequences on Drosophila melanogaster polytene
chromosomes. Hybridization techniques can also be used to localize sequences on
smaller, diploid chromosomes, such as condensed mitotic chromosomes. Variations
of the method also allow the hybridization of probes to chromosomes within intact
cells and tissues, rather than to chromosomes isolated from their cellular
context and flattened on slides. This article presents methods for hybridizing
fluorescent probes to chromosomes in whole-mount Drosophila tissues. These
methods allow the investigation of nuclear organization even at stages where
chromosomes are decondensed (as in interphase) or, for other reasons, cannot be
discriminated in the light microscope. Consequently, they are useful for
addressing a variety of cell biological questions. In addition to enhancing our
understanding of somatic chromosome organization, this experimental approach has
also revealed interactions among meiotic chromosomes in Drosophila females, which
spend much of meiosis in a compact ball called the karyosome. Fluorescent in situ
hybridization (FISH) methods can also be used to karyotype individual nuclei
using chromosome-specific markers. With appropriate fixation conditions,
hybridization to chromosomal DNA can be performed in conjunction with
immunostaining, allowing the colocalization of cellular or chromosomal proteins.
PMID- 21880820
TI - Preparation of cuticles from unhatched first-instar Drosophila larvae.
AB - The finely sculpted cuticle of Drosophila carries a rich array of morphological
details. Thus, cuticle examination has had a central role in the history of
genetics. Studies of the Drosophila cuticle have focused mainly on first-instar
larvae and adult cuticular morphology. This protocol describes the preparation of
cuticles from larvae that have not yet hatched from the egg. It is designed for
sampling all eggs laid by one or more females. This can be particularly useful,
for example, when a mutation produces embryos that are unable to hatch from the
egg.
PMID- 21880821
TI - Immunostaining of mitotic chromosomes from Drosophila larval brain.
AB - Good mitotic chromosome preparations are essential for the immunolocalization of
chromosomal proteins. Although methanol/acetic acid fixation techniques preserve
chromosome morphology very well, they remove a substantial fraction of
chromosomal proteins. We have developed fixation/immunostaining procedures,
described here, that are suitable for the immunolocalization of proteinaceous
components of metaphase chromosomes from larval Drosophila brain cells. These
procedures result in good chromosomal quality with minimal removal of proteins.
PMID- 21880822
TI - Preparation of simian foamy virus type-1 vectors.
AB - Foamy viruses (FVs) are nonpathogenic retroviruses that offer opportunities for
efficient and safe gene transfer in various cell types from different species.
These viruses have unique replication mechanisms that are distinct from other
retroviruses, which may give an advantage to FV-mediated gene transfer. This
protocol describes a method for simian foamy virus type-1 (SFV-1) vector
preparation and concentration. A transient transfection of vector and packaging
constructs allows generation of the SFV-1 vector with titers of 10(7)/mL. The
vectors can be further concentrated by 100-200-fold without significant loss of
vector titer.
PMID- 21880824
TI - Labeling mitochondria with JC-1.
AB - JC-1 (5,5',6,6'-tetrachloro1,1',3,3'-tetramethylbenzimidazolylcarbocyanine
iodide) dye has been used to monitor mitochondrial potential. The monomeric form
has an emission maximum of ~529 nm. The dye at higher concentrations or
potentials forms red fluorescent J-aggregates with an emission maximum at 590 nm.
The ratio of this green/red fluorescence is independent of mitochondrial shape,
density, or size, but depends only on the membrane potential. It has been used to
study whether all mitochondria in the same cell are at the same potential and
whether membrane potential in a single long mitochondrion is uniform. JC-1 has
also been useful in flow-cytometry studies, because the membrane potential can be
followed without the need for confocal microscopy. This protocol describes the
labeling of mitochondria in cultured cells with JC-1.
PMID- 21880823
TI - Photoacoustic imaging.
AB - Photoacoustic imaging, which is based on the photoacoustic effect, has developed
extensively over the last decade. Possessing many attractive characteristics such
as the use of nonionizing electromagnetic waves, good resolution and contrast,
portable instrumention, and the ability to partially quantitate the signal,
photoacoustic techniques have been applied to the imaging of cancer, wound
healing, disorders in the brain, and gene expression, among others. As a
promising structural, functional, and molecular imaging modality for a wide range
of biomedical applications, photoacoustic imaging can be categorized into two
types of systems: photoacoustic tomography (PAT), which is the focus of this
article, and photoacoustic microscopy (PAM). We first briefly describe the
endogenous (e.g., hemoglobin and melanin) and the exogenous (e.g., indocyanine
green [ICG], various gold nanoparticles, single-walled carbon nanotubes [SWNTs],
quantum dots [QDs], and fluorescent proteins) contrast agents for photoacoustic
imaging. Next, we discuss in detail the applications of nontargeted photoacoustic
imaging. Recently, molecular photoacoustic (MPA) imaging has gained significant
interest, and a few proof-of-principle studies have been reported. We summarize
the current state of the art of MPA imaging, including the imaging of gene
expression and the combination of photoacoustic imaging with other imaging
modalities. Last, we point out obstacles facing photoacoustic imaging. Although
photoacoustic imaging will likely continue to be a highly vibrant research field
for years to come, the key question of whether MPA imaging could provide
significant advantages over nontargeted photoacoustic imaging remains to be
answered in the future.
PMID- 21880825
TI - Live cell imaging of yeast.
AB - The development of cloning vectors for green fluorescent protein (GFP) and the
simplicity of yeast reverse genetics allow straightforward labeling of yeast
proteins in living cells. Budding and fission yeast are therefore attractive
organisms in which to study dynamic cellular processes such as growth, cell
division, and morphogenesis using live cell fluorescence microscopy. This article
focuses on methods to culture, mount, and observe budding yeast cells using three
dimensional (3D) microscopy, but the methods are broadly applicable to other
types of cells and other imaging techniques. The emphasis is on 3D imaging,
because yeast cells are roughly spherical, and most organelles in yeast move in
three dimensions. Three-dimensional imaging also makes it possible to apply image
restoration methods (e.g., deconvolution) to obtain sharper images with better
definition. This is important, because yeast cells are small (haploid
Saccharomyces cerevisiae cells have a diameter of ~4-5 um) relative to the
resolution of even the best optical microscope (~0.25 um).
PMID- 21880826
TI - Transcranial two-photon imaging of the living mouse brain.
AB - This protocol describes imaging of the living mouse brain through a thinned skull
using two-photon microscopy. This transcranial two-photon laser-scanning
microscope (TPLSM) imaging method allows high-resolution imaging of fluorescently
labeled neurons, microglia, astrocytes, and blood vessels, as well as subcellular
structures such as dendritic spines and axonal varicosities. The surgical
procedure that is required to allow imaging thins the cranium so that it becomes
optically transparent. Once learned, the surgery can be performed in ~30 min, and
imaging can follow immediately. The procedure can be repeated multiple times,
allowing brain cells and tissues to be studied in the same animals over short or
long time intervals, depending on the design of the experiment. Two-photon
imaging through a thinned and intact skull avoids side effects caused by skull
removal and is a minimally invasive method for studying the living mouse brain
under physiological and pathological conditions.
PMID- 21880827
TI - Developing chemical genetic approaches to explore G protein-coupled receptor
function: validation of the use of a receptor activated solely by synthetic
ligand (RASSL).
AB - Molecular evolution and chemical genetics have been applied to generate
functional pairings of mutated G protein-coupled receptors (GPCRs) and
nonendogenous ligands. These mutant receptors, referred to as receptors activated
solely by synthetic ligands (RASSLs) or designer receptors exclusively activated
by designer drugs (DREADDs), have huge potential to define physiological roles of
GPCRs and to validate receptors in animal models as therapeutic targets to treat
human disease. However, appreciation of ligand bias and functional selectivity of
different ligands at the same receptor suggests that RASSLs may signal
differently than wild-type receptors activated by endogenous agonists. We
assessed this by generating forms of wild-type human M(3) muscarinic receptor and
a RASSL variant that responds selectively to clozapine N-oxide. Although the
RASSL receptor had reduced affinity for muscarinic antagonists, including
atropine, stimulation with clozapine N-oxide produced effects very similar to
those generated by acetylcholine at the wild-type M(3)-receptor. Such effects
included the relative movement of the third intracellular loop and C-terminal
tail of intramolecular fluorescence resonance energy transfer sensors and the
ability of the wild type and evolved mutant to regulate extracellular signal
regulated kinase 1/2 phosphorylation. Each form interacted similarly with beta
arrestin 2 and was internalized from the cell surface in response to the
appropriate ligand. Furthermore, the pattern of phosphorylation of specific
serine residues within the evolved receptor in response to clozapine N-oxide was
very similar to that produced by acetylcholine at the wild type. Such results
provide confidence that, at least for the M(3) muscarinic receptor, results
obtained after transgenic expression of this RASSL are likely to mirror the
actions of acetylcholine at the wild type receptor.
PMID- 21880828
TI - A novel method for the immunoquantification of UDP-glucuronosyltransferases in
human tissue.
AB - Glucuronidation is a major pathway of drug and xenobiotic metabolism that is
catalyzed by members of the UDP-glucuronosyltransferase (UGT) family. Predicting
the contribution of individual UGTs to drug metabolism would be of considerable
value in drug development and would be greatly aided by the availability of
detailed absolute expression levels of these proteins; this is hampered by the
lack of purified protein standards because of the hydrophobic membrane-associated
nature of UGTs and the consequential difficulties in expression and purification.
Here we describe a novel solution to this problem by expressing UGTs in
Escherichia coli as fusion proteins with ribonuclease S-peptide, targeted to the
periplasm with the pelB leader sequence. After addition of ribonuclease S-protein
to membrane extracts, a functional ribonuclease is reconstituted that provides a
direct and absolute quantification of the amount of UGT fusion protein; this is
subsequently used to generate standard curves for immunoquantification by
immunoblotting. To illustrate the value of the method, we have quantified the
expression of UGT1A1 and UGT1A6 in human liver and kidney microsomes using new
isoform-specific antibodies developed against peptides from these proteins.
Expression levels of both proteins in liver were highly variable (28- and 20
fold, respectively) and correlated strongly with UGT enzyme activity toward the
probe substrates bilirubin and 1-naphthol, respectively. The method is broadly
applicable and provides a straightforward means of determining the absolute, as
opposed to relative, quantities of UGT proteins present in human tissues.
PMID- 21880829
TI - Effect of dose escalation on the in vivo oral absorption and disposition of
glycylsarcosine in wild-type and Pept1 knockout mice.
AB - This study evaluated the in vivo absorption and disposition of glycylsarcosine
(GlySar), after escalating oral doses, in wild-type and peptide transporter 1
(Pept1) knockout mice. [(3)H]GlySar was administered to mice at doses of 1, 10,
100, 1000, and 5000 nmol/g b.wt. Serial blood samples were obtained over 480 min,
the plasma was harvested, and the area under the plasma concentration-time curve
(AUC) was determined. It was observed that the GlySar AUC was 60, 45, and 30%
lower in knockout than wild-type mice when evaluated over 2, 4, and 8 h,
respectively (p < 0.01). Plasma levels of GlySar reached a plateau at 90 min in
knockout mice and then rose to a second plateau at 240 min. In wild-type mice,
the plasma levels rose continuously to reach a single plateau at 90 min. When
partial AUC (0-120 min) was used as an indicator for rate of absorption, there
was a 60% reduction in GlySar absorption rate in knockout mice compared with wild
type animals. Tissue distribution studies were also performed after 10 nmol/g
oral doses of [(3)H]GlySar. When sampled 1 h after dosing, GlySar tissue
concentrations were significantly lower in knockout versus wild-type mice and,
with the exception of intestines, reflected differences in the systemic exposure
of dipeptide between these two genotypes. Overall, PEPT1 ablation in mice
resulted in significant reductions, in vivo, in the rate and extent of GlySar
absorption. The AUC of GlySar was proportional to dose in both genotypes over 1
to 100 nmol/g, with minor decrements at the two highest doses.
PMID- 21880830
TI - Correction for nonspecific binding to various components of ultrafiltration
apparatus and impact on estimating in vivo rat clearance for a congeneric series
of 5-ethyl, 5-n-alkyl barbituric acids.
AB - Accurately predicting in vivo metabolic clearance from in vitro liver microsomes
or hepatocytes requires a good understanding of the factors contributing to the
prediction. Although much work has concentrated on deriving scaling factors and
optimizing the metabolic stability techniques for consistency and rigor, it is
only relatively recently that the importance of binding to microsomes and
hepatocytes has been appreciated. Ultrafiltration is often used to estimate
binding to plasma proteins and microsomes, but the level of nonspecific binding
(NSB) to the ultrafiltration apparatus has not been adequately described. We
derive an equation to correct for NSB and demonstrate that this can significantly
affect the estimate of binding to microsomes and improve the accuracy of scaling
to in vivo clearance for a series of barbiturates.
PMID- 21880832
TI - An ACE inhibitor improves vascular outcomes in a PKD model.
PMID- 21880831
TI - Monocyte/macrophage chemokine receptor CCR2 mediates diabetic renal injury.
AB - Monocyte/macrophage recruitment correlates strongly with the progression of renal
impairment in diabetic nephropathy (DN). C-C chemokine receptor (CCR)2 regulates
monocyte/macrophage migration into injured tissues. However, the direct role of
CCR2-mediated monocyte/macrophage recruitment in diabetic kidney disease remains
unclear. We report that pharmacological blockade or genetic deficiency of CCR2
confers kidney protection in Ins2(Akita) and streptozotocin (STZ)-induced
diabetic kidney disease. Blocking CCR2 using the selective CCR2 antagonist
RS504393 for 12 wk in Ins2(Akita) mice significantly attenuated albuminuria, the
increase in blood urea nitrogen and plasma creatinine, histological changes, and
glomerular macrophage recruitment compared with vehicle. Furthermore, mice
lacking CCR2 (CCR2(-/-)) mimicked CCR2 blockade by reducing albuminuria and
displaying less fibronectin mRNA expression and inflammatory cytokine production
compared with CCR2(+/+) mice, despite comparable blood glucose levels. Bone
marrow-derived monocytes from CCR2(+/+) or CCR2(-/-) mice adoptively transferred
into CCR2(-/-) mice reversed the renal tissue-protective effect in diabetic CCR2(
/-) mice as evaluated by increased urinary albumin excretion and kidney
macrophage recruitment, indicating that CCR2 is not required for monocyte
migration from the circulation into diabetic kidneys. These findings provide
evidence that CCR2 is necessary for monocyte/macrophage-induced diabetic renal
injury and suggest that blocking CCR2 could be a novel therapeutic approach in
the treatment of DN.
PMID- 21880833
TI - Phosphorylation of eIF2alpha via the general control kinase, GCN2, modulates the
ability of renal medullary cells to survive high urea stress.
AB - The phosphorylation of the alpha-subunit of the eukaryotic translation initiation
factor 2 (eIF2alpha) occurs under many stress conditions in mammalian cells and
is mediated by one of four eIF2alpha kinases: PERK, PKR, GCN2, and HRI. Cells of
the renal medulla are regularly exposed to fluctuating concentrations of urea and
sodium, the extracellular solutes responsible for the high osmolality in the
renal medulla, and thus the kidneys ability to concentrate the urine in times of
dehydration. Urea stress is known to initiate molecular responses that diverge
from those seen in response to hypertonic stress (NaCl). We show that urea
inducible GCN2 activation initiates the phosphorylation of eIF2alpha and the
downstream increase of activating transcription factor 3 (ATF3). Loss of GCN2
sensitized cells to urea stress, increasing the expression of activated caspase-3
and decreasing cell survival. Loss of GCN2 ablated urea-induced phosphorylation
of eIF2alpha and reduced the expression of ATF3.
PMID- 21880834
TI - TGF-beta1 mediates sirolimus and cyclosporine A-induced alteration of barrier
function in renal epithelial cells via a noncanonical ERK1/2 signaling pathway.
AB - The immunosuppressant drugs cyclosporine A (CsA) and sirolimus (SRL) used in
combination demonstrated beneficial effects in organ transplantation, but this
combination can also result in increased adverse effects. We previously showed
that not only CsA treatment but also its combination with SRL decreased
paracellular permeability in renal proximal tubular cells by modification of the
tight junction proteins, claudins, through ERK1/2 signaling pathway. In this
present study, evidence is presented that not only CsA but also the combination
of CsA/SRL may have adverse effects on the barrier function of renal proximal
cells, at least in part, through the expression of the cytokine transforming
growth factor (TGF)-beta(1). CsA treatment upregulated TGF-beta(1) gene
expression and this upregulation was enhanced when CsA and SRL were applied
together. Addition of TGF-beta(1) (5 ng/ml) altered the barrier function with
increased transepithelial electrical resistance (TER) and claudin-1 expression.
Use of a TGF-beta(1)-blocking antibody or blockage of TGF-beta(1) receptor kinase
activity with SD208 prevented the CsA- and CsA/SRL-induced increase in TER. No
evidence was found in the present studies to indicate that CsA or CsA/SRL
treatment activated the TGF-beta(1) Smad canonical signaling pathway, whereas
addition of TGF-beta(1) (5 ng/ml) did activate the Smad pathway. Addition of the
ERK1/2 signaling inhibitor U0126 was able to prevent the TGF-beta(1)-mediated
increase in TER and claudin expression. It is most likely that the CsA- and
CsA/SRL-induced increases in TGF-beta(1) expression may not be sufficient to
trigger the Smad pathway but however may trigger other TGF-beta(1) receptor
mediated signaling including the ERK1/2 signaling pathway.
PMID- 21880835
TI - COX-2 disruption leads to increased central vasopressin stores and impaired urine
concentrating ability in mice.
AB - It was hypothesized that cyclooxygenase-2 (COX-2) activity promotes urine
concentrating ability through stimulation of vasopressin (AVP) release after
water deprivation (WD). COX-2-deficient (COX-2(-/-), C57BL/6) and wild-type (WT)
mice were water deprived for 24 h, and water balance, central AVP mRNA and
peptide level, AVP plasma concentration, and AVP-regulated renal transport
protein abundances were measured. In male COX-2(-/-), basal urine output and
water intake were elevated while urine osmolality was decreased compared with WT.
Water deprivation resulted in lower urine osmolality, higher plasma osmolality in
COX-2(-/-) mice irrespective of gender. Hypothalamic AVP mRNA level increased and
was unchanged between COX-2(-/-) and WT after WD. AVP peptide content was higher
in COX-2(-/-) compared with WT. At baseline, plasma AVP concentration was
elevated in conscious chronically catheterized COX-2(-/-) mice, but after WD
plasma AVP was unchanged between COX-2(-/-) and WT mice (43 +/- 11 vs. 70 +/- 16
pg/ml). Renal V2 receptor abundance was downregulated in COX-2(-/-) mice.
Medullary interstitial osmolality increased and did not differ between COX-2(-/-)
and WT after WD. Aquaporin-2 (AQP2; cortex-outer medulla), AQP3 (all regions),
and UT-A1 (inner medulla) protein abundances were elevated in COX-2(-/-) at
baseline and further increased after WD. COX-2(-/-) mice had elevated plasma urea
and creatinine and accumulation of small subcapsular glomeruli. In conclusion,
hypothalamic COX-2 activity is not necessary for enhanced AVP expression and
secretion in response to water deprivation. Renal medullary COX-2 activity
negatively regulates AQP2 and -3. The urine concentrating defect in COX-2(-/-) is
likely caused by developmental glomerular injury and not dysregulation of AVP or
collecting duct aquaporins.
PMID- 21880836
TI - Endogenous BMP-7 is a critical molecular determinant of the reversibility of
obstruction-induced renal injuries.
AB - Although obstructive uropathies are frequently correctable through surgery, the
potential for permanent renal injury remains even following the successful
correction of obstructions. Little is known about the intrinsic mechanisms that
determine the reversibility of renal injuries. We and others found that exogenous
bone morphogenic protein 7 (BMP-7) inhibits the pathogenesis of renal injury.
Here, we examine the role of endogenous BMP-7 in the outcome of renal recovery
following the correction of obstructive uropathies using a reversible murine
model of ureteral obstruction. The role of BMP-7 was determined by examining the
regulation of BMP-7 during renal recovery and by treating with either BMP-7
neutralizing antibodies or exogenous BMP-7. While BMP-7 is upregulated following
the correction of obstructions that lead to reversible renal injury, the
upregulation of BMP-7 is diminished following the correction of prolonged
obstructions that lead to irreversible renal injury. The activation of the BMP-7
pathway is required for several processes that contribute to renal recovery
including the suppression of transforming growth factor-beta-dependent
profibrotic pathways, the restoration of renal architecture, and the resolution
of fibrotic changes in the kidney. Importantly, the therapeutic restoration of
BMP-7 enhances renal recovery following the correction of prolonged obstructions
that typically lead to irreversible renal injury. Together, these findings show
that, while BMP-7 plays a critical role in the repair of obstruction-induced
renal injuries, the potential for renal recovery from prolonged obstruction is
diminished, in part, due to the dysregulation of BMP-7. Accordingly, renal
recovery from obstructive uropathies may be optimized through timely intervention
and adjuvant approaches to restore BMP-7 activity.
PMID- 21880837
TI - The Western-style diet: a major risk factor for impaired kidney function and
chronic kidney disease.
AB - The Western-style diet is characterized by its highly processed and refined foods
and high contents of sugars, salt, and fat and protein from red meat. It has been
recognized as the major contributor to metabolic disturbances and the development
of obesity-related diseases including type 2 diabetes, hypertension, and
cardiovascular disease. Also, the Western-style diet has been associated with an
increased incidence of chronic kidney disease (CKD). A combination of dietary
factors contributes to the impairment of renal vascularization, steatosis and
inflammation, hypertension, and impaired renal hormonal regulation. This review
addresses recent progress in the understanding of the association of the Western
style diet with the induction of dyslipidemia, oxidative stress, inflammation,
and disturbances of corticosteroid regulation in the development of CKD. Future
research needs to distinguish between acute and chronic effects of diets with
high contents of sugars, salt, and fat and protein from red meat, and to uncover
the contribution of each component. Improved therapeutic interventions should
consider potentially altered drug metabolism and pharmacokinetics and be combined
with lifestyle changes. A clinical assessment of the long-term risks of whole
body disturbances is strongly recommended to reduce metabolic complications and
cardiovascular risk in kidney donors and patients with CKD.
PMID- 21880839
TI - Detection of plum pox potyviral protein-protein interactions in planta using an
optimized mRFP-based bimolecular fluorescence complementation system.
AB - In previous studies, protein interaction maps of different potyviruses have been
generated using yeast two-hybrid (YTH) systems, and these maps have demonstrated
a high diversity of interactions of potyviral proteins. Using an optimized
bimolecular fluorescence complementation (BiFC) system, a complete interaction
matrix for proteins of a potyvirus was developed for the first time under in
planta conditions with ten proteins from plum pox virus (PPV). In total, 52 of
100 possible interactions were detected, including the self-interactions of CI,
6K2, VPg, NIa-Pro, NIb and CP, which is more interactions than have ever been
detected for any other potyvirus in a YTH approach. Moreover, the BiFC system was
shown to be able to localize the protein interactions, which was typified for the
protein self-interactions indicated above. Additionally, experiments were carried
out with the P3N-PIPO protein, revealing an interaction with CI but not with CP
and supporting the involvement of P3N-PIPO in the cell-to-cell movement of
potyviruses. No self-interaction of the PPV helper component-proteinase (HC-Pro)
was detected using BiFC in planta. Therefore, additional experiments with turnip
mosaic virus (TuMV) HC-Pro, PPV_HC-Pro and their mutants were conducted. The self
interaction of TuMV_HCpro, as recently demonstrated, and the self-interaction of
the TuMV_ and PPV_HC-Pro mutants were shown by BiFC in planta, indicating that HC
Pro self-interactions may be species-specific. BiFC is a very useful and reliable
method for the detection and localization of protein interactions in planta, thus
enabling investigations under more natural conditions than studies in yeast
cells.
PMID- 21880838
TI - Defining protein expression in the urothelium: a problem of more than
transitional interest.
AB - The transitional epithelium of the bladder, the urothelium, is a challenging
tissue to study due to its fragility, complex cellular makeup, stratified
composition, and intimate connections to both neural and connective tissue
elements. With the increasing focus on the urothelium as a mechanosensory tissue
with complex autocrine and paracrine signaling activities, there have arisen a
number of unresolved controversies in the urothelial literature regarding whether
certain important sensory and signaling proteins are expressed by the urothelium.
Prominent examples of this include the transient receptor potential (TRP) family
member TRPV1 and the purinergic receptor P2X(3). The problem is more than one of
scientific bookkeeping since studies utilizing genetic models (primarily knockout
mice) claim additional credibility for urothelial functions when phenotypes are
discovered. Furthermore, both of the above-mentioned receptors are important
therapeutic targets for various bladder disorders including inflammatory and
neuropathic pain. The reasons for the confusion about urothelial expression are
manifold, but they likely include low expression levels in some cases, poor
specificity of antibodies (sometimes lacking adequate controls), the presence of
nonurothelial cells resident within the urothelium, and the fact that the
urothelium is particularly prone to aspecific adsorption of antibodies. In this
review, we attempt to summarize some of the pitfalls with currently accepted
practices in this regard, as well as to describe a set of guidelines which will
improve the reliability of conclusions related to urothelial expression. It is
hoped that this will be of value to investigators studying the urothelium, to
those attempting to interpret conflicts in the literature, and hopefully also
those charged with reviewing unpublished work. These recommendations will outline
a set of "baseline" and "best practice" guidelines by which both researchers and
reviewers will be able to evaluate the evidence presented.
PMID- 21880840
TI - Replication-incompetent influenza A viruses that stably express a foreign gene.
AB - A biologically contained influenza A virus that stably expresses a foreign gene
can be effectively traced, used to generate a novel multivalent vaccine and have
its replication easily assessed, all while satisfying safety concerns regarding
pathogenicity or reversion. This study generated a PB2-knockout (PB2-KO)
influenza virus that harboured the GFP reporter gene in the coding region of its
PB2 viral RNA (vRNA). Replication of the PB2-KO virus was restricted to a cell
line stably expressing the PB2 protein. The GFP gene-encoding PB2 vRNA was stably
incorporated into progeny viruses during replication in PB2-expressing cells. The
GFP gene was expressed in virus-infected cells with no evidence of recombination
between the recombinant PB2 vRNA and the PB2 protein mRNA. Furthermore, other
reporter genes and the haemagglutinin and neuraminidase genes of different virus
strains were accommodated by the PB2-KO virus. Finally, the PB2-KO virus was used
to establish an improved assay to screen neutralizing antibodies against
influenza viruses by using reporter gene expression as an indicator of virus
infection rather than by observing cytopathic effect. These results indicate that
the PB2-KO virus has the potential to be a valuable tool for basic and applied
influenza virus research.
PMID- 21880841
TI - Tumour susceptibility gene 101 and the vacuolar protein sorting pathway are
required for the release of hepatitis E virions.
AB - We have previously demonstrated that an intact PSAP motif in the ORF3 protein is
required for the formation and release of membrane-associated hepatitis E virus
(HEV) particles with ORF3 proteins on their surface. In this study, we
investigated the direct interaction between the ORF3 protein and tumour
susceptibility gene 101 (Tsg101), a cellular factor involved in the budding of
viruses containing the P(T/S)AP late-domain, in PLC/PRF/5 cells expressing the
wild-type or PSAP-mutated ORF3 protein and Tsg101 by co-immunoprecipitation.
Tsg101 bound to wild-type ORF3 protein, but not to the PSAP-inactive ORF3
protein. To examine whether HEV utilizes the multivesicular body (MVB) pathway to
release the virus particles, we analysed the efficiency of virion release from
cells upon introduction of small interfering RNA (siRNA) against Tsg101 or
dominant-negative (DN) mutants of Vps4 (Vps4A and Vps4B). The relative levels of
virus particles released from cells depleted of Tsg101 decreased to 6.4 % of
those transfected with negative control siRNA. Similarly, virion egress was
significantly reduced by the overexpression of DN forms (Vps4AEQ or Vps4BEQ). The
relative levels of virus particles released from cells expressing Vps4AEQ and
Vps4BEQ were 19.2 and 15.6 %, respectively, while the overexpression of wild-type
Vps4A and Vps4B did not alter the levels of virus release. These results indicate
that the ORF3 protein interacts with Tsg101 through the PSAP motifs in infected
cells, and that Tsg101 and the enzymic activities of Vps4A and Vps4B are involved
in HEV release, thus suggesting that HEV requires the MVB pathway for egress of
virus particles.
PMID- 21880842
TI - Genomic characterization of a novel human adenovirus type 31 recombinant in the
hexon gene.
AB - A novel human recombinant adenovirus of species A (HAdV-A31 MZ) was isolated from
a patient with acute gastroenteritis in Japan. The complete genome of HAdV-A31
strain MZ contains 33 776 bp. Analysis of the hexon gene of HAdV-A31 MZ indicated
that its hexon sequence is the result of a genetic recombination between those of
HAdV-A31 and a close relative to HAdV-A12. The recombination sites were found
around the border of hypervariable loops 1 and 2 in the hexon gene, which are the
most important determinants for virus neutralization. Loops 1 and 2 of this virus
were genetically related to HAdV-A12, whereas all other parts of the genome were
highly similar to HAdV-A31. In order to understand the evolution of adenoviruses
correctly and to avoid misidentification of HAdV types, we recommend
characterizing not only the hexon gene, but also the penton base and fiber genes.
PMID- 21880843
TI - The N-terminal 12 amino acids of tomato aspermy virus 2b protein function in
infection and recombination.
AB - The roles for various regions of the 2b protein in infection, hypervirulence and
recombination were examined by introducing stop codons in a chimeric virus
containing RNA 1 from the cucumber mosaic virus (CMV strain Q), RNA 3 from the
tomato aspermy virus (TAV) and RNA 2 of CMV with a 2b gene from TAV. Chimeric
virus expressing the intact 2b protein induced severe symptoms in inoculated
Nicotiana clevelandii and Nicotiana glutinosa and facilitated CMV-TAV
recombination, while chimeric viruses not expressing 2b protein did not infect
plants systemically. Chimeric viruses expressing either the N-terminal 43 or 12
aa of the 2b protein infected both plant species systemically and facilitated CMV
TAV recombination, but induced mild symptoms and no symptoms in the infected
plants, respectively. These data suggest that oligopeptides can have important
functions in the biology of viruses and prompt a re-examination of existing small
ORFs in sequenced virus genomes.
PMID- 21880844
TI - Mixed methods research design for pragmatic psychoanalytic studies.
AB - Calls for more rigorous psychoanalytic studies have increased over the past
decade. The field has been divided by those who assert that psychoanalysis is
properly a hermeneutic endeavor and those who see it as a science. A comparable
debate is found in research methodology, where qualitative and quantitative
methods have often been seen as occupying orthogonal positions. Recently, Mixed
Methods Research (MMR) has emerged as a viable "third community" of research,
pursuing a pragmatic approach to research endeavors through integrating
qualitative and quantitative procedures in a single study design. Mixed Methods
Research designs and the terminology associated with this emerging approach are
explained, after which the methodology is explored as a potential integrative
approach to a psychoanalytic human science. Both qualitative and quantitative
research methods are reviewed, as well as how they may be used in Mixed Methods
Research to study complex human phenomena.
PMID- 21880845
TI - Association of dietary sodium and potassium intakes with albuminuria in normal
weight, overweight, and obese participants in the Reasons for Geographic and
Racial Differences in Stroke (REGARDS) Study.
AB - BACKGROUND: Among obese adults, sodium intake has been associated with
cardiovascular disease. Few data are available on sodium intake and albuminuria,
a marker of kidney damage and risk factor for cardiovascular disease. OBJECTIVE:
We examined the relation between dietary sodium and potassium intakes and the
ratio of sodium to potassium (Na/K) with albuminuria by BMI in the Reasons for
Geographic and Racial Differences in Stroke (REGARDS) Study (n = 30,239 adults
aged >=45 y). DESIGN: A modified Block 98 food-frequency questionnaire was used
for dietary assessment in 21,636 participants, and nutritional variables were
categorized by sex-specific quintiles. Normal weight, overweight, and obese were
defined as BMI (in kg/m(2)) categories of 18.5-24.9, 25-29.9, and >=30,
respectively. Albuminuria was defined as a ratio (mg/g) of urinary albumin to
creatinine of >=30. RESULTS: The prevalences of albuminuria were 11.5%, 11.6%,
and 16.0% in normal-weight, overweight, and obese participants, respectively. The
multivariable-adjusted ORs for albuminuria in a comparison of the highest with
the lowest quintile of Na/K intake (>=1.12 to <0.70 for men and >=1.07 to <0.62
for women) were 0.89 (95% CI: 0.65, 1.22), 1.08 (95% CI: 0.85, 1.36), and 1.28
(95% CI: 1.02, 1.61) in normal-weight, overweight, and obese participants,
respectively. The highest quintile of dietary sodium was associated with an
increased OR for albuminuria in obese participants (OR: 1.44; 95% CI: 1.00, 2.07)
but not in normal-weight or overweight participants. Dietary potassium was not
associated with albuminuria. CONCLUSION: In obese adults, higher dietary Na/K and
sodium intakes were associated with albuminuria.
PMID- 21880846
TI - The effect of coffee on blood pressure and cardiovascular disease in hypertensive
individuals: a systematic review and meta-analysis.
AB - BACKGROUND: The effect of coffee and caffeine on blood pressure (BP) and
cardiovascular disease (CVD) in hypertensive persons is uncertain. OBJECTIVE: The
objective was to summarize the evidence on the acute and longer-term effects of
caffeine and coffee intake on BP and on the association between habitual coffee
consumption and risk of CVD in hypertensive individuals. DESIGN: A systematic
review and meta-analysis of publications identified in a PubMed and EMBASE search
up to 30 April 2011 was undertaken. Data were extracted from controlled trials on
the effect of caffeine or coffee intake on BP change and from cohort studies on
the association between habitual coffee consumption and CVD. RESULTS: In 5
trials, the administration of 200-300 mg caffeine produced a mean increase of 8.1
mm Hg (95% CI: 5.7, 10.6 mm Hg) in systolic BP and of 5.7 mm Hg (95% CI: 4.1, 7.4
mm Hg) in diastolic BP. The increase in BP was observed in the first hour after
caffeine intake and lasted >=3 h. In 3 studies of the longer-term effect (2 wk)
of coffee, no increase in BP was observed after coffee was compared with a
caffeine-free diet or was compared with decaffeinated coffee. Last, 7 cohort
studies found no evidence of an association between habitual coffee consumption
and a higher risk of CVD. CONCLUSIONS: In hypertensive individuals, caffeine
intake produces an acute increase in BP for >=3 h. However, current evidence does
not support an association between longer-term coffee consumption and increased
BP or between habitual coffee consumption and an increased risk of CVD in
hypertensive subjects.
PMID- 21880847
TI - Hepatic iron stores are increased as assessed by magnetic resonance imaging in a
Chinese population with altered glucose homeostasis.
AB - BACKGROUND: Emerging scientific evidence has disclosed a correlation between iron
metabolism and type 2 diabetes (T2D). OBJECTIVE: The objective of this study was
to test the hypothesis that body iron stores are higher in a Chinese population
with altered glucose homeostasis. DESIGN: Serum iron, ferritin, and soluble
transferrin receptor concentrations were measured in 298 subjects, including 70
subjects with normal glucose tolerance (NGT group), 60 subjects with prediabetes
(prediabetes group), and 168 subjects with T2D (T2D group). Hepatic iron stores
in 88 subjects were assessed by using a magnetic resonance imaging (MRI) T2*
gradient-recalled-echo technique. A general linear model ANOVA was performed for
comparisons between groups after adjustment for age and BMI. Stepwise multiple
linear regression analysis was used to identify factors associated with the MRI
estimated hepatic iron concentration (M-HIC). RESULTS: Mean (+/-SD) M-HIC and R2*
values in the prediabetes and T2D groups were significantly higher than in the
NGT group (M-HIC: 40.6 +/- 8.6 and 39.3 +/- 10.7 MUmol/g compared with 27.8 +/-
9.1 MUmol/g; R2* values: 47.9 +/- 11.9 and 47.3 +/- 11.5 s(-1) compared with 34.9
+/- 7.0 s(-1); all P < 0.01). No significant difference was shown in M-HIC and
R2* values between prediabetes and T2D groups. The M-HIC independently
contributed to 43.3% of the glycated hemoglobin variance after adjustment for
main clinical indexes (P < 0.001). The proportions of subjects with mild hepatic
iron overload in the NGT, prediabetes, and T2D groups were 12.5%, 70.6%, and
63.6%, respectively. CONCLUSIONS: To our knowledge, our findings provide novel
evidence to support the hypothesis of a mild iron overload in patients with
prediabetes and T2D. A cohort study concerned with the effect of the attenuation
of excess iron on glucose metabolism in a prediabetic population is warranted.
PMID- 21880848
TI - Calcium and vitamin D supplements and health outcomes: a reanalysis of the
Women's Health Initiative (WHI) limited-access data set.
AB - BACKGROUND: Frequent use of personal, nonprotocol calcium supplements obscured an
adverse effect of coadministered calcium and vitamin D (CaD) on cardiovascular
risk in the Women's Health Initiative (WHI). OBJECTIVE: We investigated the
effects of the use of personal calcium or vitamin D supplements on other outcomes
in the WHI CaD Study (WHI CaD) by using the WHI limited-access clinical trials
data set. DESIGN: The WHI CaD was a 7-y, randomized, placebo-controlled trial of
CaD (1 g Ca/400 IU vitamin D daily) in 36,282 community-dwelling, postmenopausal
women. The incidence of total cancer (excluding nonmelanoma skin cancers), breast
and colorectal cancers, hip and total fracture, and mortality was assessed by
using Cox proportional hazards models. RESULTS: In the WHI CaD, interactions
between the use of either personal calcium or vitamin D supplements and CaD were
found for total, breast, and colorectal cancers but not for fracture or
mortality. In 15,646 women (43%) who were not taking personal calcium or vitamin
D supplements at randomization, CaD significantly decreased the risk of total,
breast, and invasive breast cancers by 14-20% and nonsignificantly reduced the
risk of colorectal cancer by 17%. In women taking personal calcium or vitamin D
supplements, CaD did not alter cancer risk (HR: 1.06-1.26). CONCLUSIONS: For
women in the WHI CaD who were not taking personal calcium or vitamin D
supplements at randomization, CaD decreased the risk of total, breast, and
colorectal cancers and did not change the risk of fractures or total mortality.
The nonskeletal effects of CaD may be more important than the skeletal effects
and should be considered when evaluating these supplements. The WHI CaD trial is
registered at clinicaltrials.gov as NCT00000611.
PMID- 21880849
TI - Experimental model of tuberculosis in the domestic goat after endobronchial
infection with Mycobacterium caprae.
AB - Caprine tuberculosis (TB) has increased in recent years, highlighting the need to
address the problem the infection poses in goats. Moreover, goats may represent a
cheaper alternative for testing of prototype vaccines in large ruminants and
humans. With this aim, a Mycobacterium caprae infection model has been developed
in goats. Eleven 6-month-old goats were infected by the endobronchial route with
1.5 * 10(3) CFU, and two other goats were kept as noninfected controls. The
animals were monitored for clinical and immunological parameters throughout the
experiment. After 14 weeks, the goats were euthanized, and detailed postmortem
analysis of lung lesions was performed by multidetector computed tomography
(MDCT) and direct observation. The respiratory lymph nodes were also evaluated
and cultured for bacteriological analysis. All infected animals were positive in
a single intradermal comparative cervical tuberculin (SICCT) test at 12 weeks
postinfection (p.i.). Gamma interferon (IFN-gamma) antigen-specific responses
were detected from 4 weeks p.i. until the end of the experiment. The humoral
response to MPB83 was especially strong at 14 weeks p.i. (13 days after SICCT
boost). All infected animals presented severe TB lesions in the lungs and
associated lymph nodes. M. caprae was recovered from pulmonary lymph nodes in all
inoculated goats. MDCT allowed a precise quantitative measure of TB lesions.
Lesions in goats induced by M. caprae appeared to be more severe than those
induced in cattle by M. bovis over a similar period of time. The present work
proposes a reliable new experimental animal model for a better understanding of
caprine tuberculosis and future development of vaccine trials in this and other
species.
PMID- 21880850
TI - Development of a poliovirus neutralization test with poliovirus pseudovirus for
measurement of neutralizing antibody titer in human serum.
AB - In the Global Polio Eradication Initiative, laboratory diagnosis plays a critical
role by isolating and identifying poliovirus (PV) from the stool samples from
acute flaccid paralysis (AFP) cases. In recent years, reestablishment of PV
circulation in countries where PV was previously eliminated has occurred because
of decreased herd immunity, possibly due to poor vaccination coverage. To monitor
the vulnerability of countries to PV circulation, surveillance of neutralizing
antibody titers against PV in susceptible populations is essential in the end
game of the polio eradication program. In this study, we have developed a PV
neutralization test with type 1, 2, and 3 PV pseudoviruses to determine the
neutralizing-antibody titer against PV in human serum samples. With this test,
the neutralizing-antibody titer against PV could be determined within 2 days by
automated interpretation of luciferase signals without using infectious PV
strains. We validated the pseudovirus PV neutralization test with 131 human serum
samples collected from a wide range of age groups (ages 1 to >60 years) by
comparison with a conventional neutralization test. We found good correlation in
the neutralizing-antibody titers determined by these tests. These results suggest
that a pseudovirus PV neutralization test would serve as a safe and simple
procedure for the measurement of the neutralizing-antibody titer against PV.
PMID- 21880851
TI - Utility of immunoblotting for early diagnosis of toxoplasmosis seroconversion in
pregnant women.
AB - Congenital transmission of Toxoplasma gondii occurs mainly when a mother acquires
the infection for the first time during pregnancy. It was recently shown that
although early treatment of the primary infection during pregnancy has little or
no impact on the fetomaternal transmission rate, it does reduce the incidence of
sequelae in infected infants. Seroconversion is defined by the appearance of IgG.
Commercial reagents continue to vary considerably in detecting low concentrations
of antibodies, as during early seroconversion. We compared two routinely used
immunoassays (IA) (Platelia and Elecsys Toxo IgG) and an indirect
immunofluorescence assay (IIF) with a qualitative test based on immunoblot
analysis (Toxo II IgG) (IB) to assess their abilities to diagnose seroconversion
at its earliest stages. This prospective study was carried out between January
and November 2010. It included 39 pregnant women with monthly follow-up who
seroconverted during pregnancy. On first sera that were IgM positive but IgG
negative (or equivocal) as detected by IA, IB diagnosed seroconversion twice as
often as IIF (26/39 [66.7%] versus 13/39 [33.3%]; P < 0.001; chi(2) test). Serum
samples were retaken 2 to 5 weeks later for the other 13 cases (IgG negative by
IB on first serum). Seroconversion was demonstrated as follows: IB for 5 cases
where IA remained negative or equivocal, IB and IIF for 5 cases where IA remained
negative or equivocal, IA for 2 cases, and no method for 1 case (a third sample
was necessary). In summary, IB permitted toxoplasmosis seroconversion diagnosis
before other means in 92.3% of cases (36/39) and thus earlier therapeutic
intervention.
PMID- 21880852
TI - Analysis of bioplex syphilis IgG quantitative results in different patient
populations.
PMID- 21880853
TI - Multicenter evaluation of the Elecsys hepatitis B surface antigen quantitative
assay.
AB - The Elecsys hepatitis B surface antigen (HBsAg) II quantitative assay is a new
quantitative electrochemiluminescence immunoassay which uses onboard dilution and
a simple algorithm to determine HBsAg levels expressed in international units
(IU)/ml (standardized against the World Health Organization [WHO] Second
International Standard). This study evaluated its performance using routine serum
samples from a wide range of HBsAg carriers and patients with chronic hepatitis B
(CHB). HBsAg levels were measured in serum samples collected independently by
five centers in Europe, Australia, and Asia. Serial dilution analyses were
performed to assess the recommended dilution algorithm and determine the assay
range free of hook effect. Assay precision was also established. Following
assessment of serial dilutions (1:100 to 1:1,000,000) of the 611 samples
analyzed, 70.0% and 85.6% of samples tested with analyzers incorporating 1:100
(Elecsys 2010 and cobas e 411) and 1:400 (Modular Analytics E170) onboard
dilution, respectively, fell within the linear range of the assay, providing a
final result on the first test. No high-dose hook effect was seen up to the
maximum HBsAg serum level tested (870,000 IU/ml) using the dilution algorithm.
HBsAg levels were reliably determined across all hepatitis B virus (HBV)
genotypes, phases of HBV infection, and stages of disease tested. Precision was
high across all analyzers (% coefficient of variation [CV], 1.4 to 9.6; HBsAg
concentrations, 0.1 to 37,300 IU/ml). The Elecsys HBsAg II quantitative assay
accurately and reliably quantifies HBsAg in routine clinical samples. Onboard
dilution minimizes retesting and reduces the potential for error.
PMID- 21880854
TI - Dexamethasone-induced cytokine changes associated with diminished disease
severity in horses infected with Anaplasma phagocytophilum.
AB - Anaplasma phagocytophilum is the zoonotic cause of granulocytic anaplasmosis. We
hypothesized that immune response, specifically gamma interferon (IFN-gamma),
plays a role in disease severity. To test this, horses were infected and IFNG
expression was pharmacologically downregulated using corticosteroids. Eight
horses were infected with A. phagocytophilum; 4 received dexamethasone on days 4
to 8 of infection. Clinical signs, hematologic parameters, and transcription of
cytokine/chemokine genes were compared among treated and untreated horses.
Infection was quantitated by msp2 real-time PCR and microscopy. As anticipated,
there was significantly greater leukopenia, thrombocytopenia, and anemia in
infected versus uninfected horses. The A. phagocytophilum load was higher for
dexamethasone-treated horses. Dexamethasone reduced IFNG transcription by day 12
and IL-8 and IL-18 by days 7 to 9 and increased IL-4 on day 7. The ratio of IL-10
to IFNG was increased by dexamethasone on day 9. There were no hematologic
differences between the infected horses. Dexamethasone suppression of
proinflammatory response resulted in delayed infection-induced limb edema and
decreased icterus, anorexia, and reluctance to move between days 6 and 9 and
lower fever on day 7. These results underscore the utility of the equine model of
granulocytic anaplasmosis and suggest that Th1 proinflammatory response plays a
role in worsening disease severity and that disease severity can be decreased by
modulating proinflammatory response. A role for Th1 response and macrophage
activation in hematologic derangements elicited by A. phagocytophilum is not
supported by these data and remains unproven.
PMID- 21880855
TI - Age-specific seroprevalence of Merkel cell polyomavirus, BK virus, and JC virus.
AB - We produced capsids of Merkel cell polyomavirus (MCPyV) in a baculovirus
expression system and developed a virus-like particle (VLP) enzyme-linked
immunosorbent assay (ELISA). To determine age-specific seroprevalence, serum
samples were collected from 947 individuals attending hospital outpatient clinics
and ranging in age from 1 to 93 years. To evaluate the association between
exposure to MCPyV and Merkel cell cancer (MCC), plasma samples were obtained from
33 MCC patients and 37 controls. MCPyV seroprevalence was 45% in children under
10 years of age, increased to 60% in the next decade of life, and peaked at 81%
among those 60 to 69 years of age. Levels of MCPyV capsid antibodies were
positively correlated with age (P = 0.007). Virus specificity of MCPyV
seroreactivity was supported by competitive inhibition of reactivity by MCPyV
VLPs and not by BK polyomavirus (BKPyV) VLPs. MCPyV seroprevalence was greater
among MCC patients (91%) than controls (68%; age-adjusted P value, 0.32); the
mean level of MCPyV antibodies was also greater (P = 0.04). The age-specific
seroprevalence of MCPyV shares with previously known polyomaviruses, BKPyV and JC
polyomavirus (JCPyV), evidence of widespread exposure in human populations
beginning early in life. MCPyV age-specific seroprevalence also has unique
features. Seroprevalence among children is higher than that of JCPyV but lower
than that of BKPyV. Among older adults, MCPyV seroprevalence remains high, while
that of BKPyV declines and that of JCPyV continues to rise. In agreement with
results from other studies, we found an association between MCPyV seropositivity
and MCC, and higher levels of serum MCPyV capsid antibodies in MCC patients than
in controls.
PMID- 21880856
TI - Intranasal administration of an inactivated Yersinia pestis vaccine with
interleukin-12 generates protective immunity against pneumonic plague.
AB - Inhalation of Yersinia pestis causes pneumonic plague, which rapidly progresses
to death. A previously licensed killed whole-cell vaccine is presently
unavailable due to its reactogenicity and inconclusive evidence of efficacy. The
present study now shows that vaccination intranasally (i.n.) with inactivated Y.
pestis CO92 (iYp) adjuvanted with interleukin-12 (IL-12) followed by an i.n.
challenge with a lethal dose of Y. pestis CO92 prevented bacterial colonization
and protected 100% of mice from pneumonic plague. Survival of the vaccinated mice
correlated with levels of systemic and lung antibodies, reduced pulmonary
pathology and proinflammatory cytokines, and the presence of lung lymphoid cell
aggregates. Protection against pneumonic plague was partially dependent upon Fc
receptors and could be transferred to naive mice with immune mouse serum. On the
other hand, protection was not dependent upon complement, and following
vaccination, depletion of CD4 and/or CD8 T cells before challenge did not affect
survival. In summary, the results demonstrate the safety, immunogenicity, and
protective efficacy of i.n. administered iYp plus IL-12 in a mouse model of
pneumonic plague.
PMID- 21880857
TI - Evaluation of a recombinant Trypanosoma cruzi mucin-like antigen for
serodiagnosis of Chagas' disease.
AB - Chagas' disease is caused by the protozoan parasite Trypanosoma cruzi and is one
of the most important endemic problems in Latin America. Lately, it has also
become a health concern in the United States and Europe. Currently, a diagnosis
of Chagas' disease and the screening of blood supplies for antiparasite
antibodies are achieved by conventional serological tests that show substantial
variation in the reproducibility and reliability of their results. In addition,
the specificity of these assays is curtailed by antigenic cross-reactivity with
sera from patients affected by other endemic diseases, such as leishmaniasis.
Here we used a highly sensitive chemiluminescent enzyme-linked immunosorbent
assay (CL-ELISA) to evaluate a recombinant protein core of a mucin-like molecule
(termed trypomastigote small surface antigen [TSSA]) for the detection of
specific serum antibodies in a broad panel of human sera. The same samples were
evaluated by CL-ELISA using as the antigen either a mixture of native T. cruzi
trypomastigote mucins or an epimastigote extract and, for further comparison, by
conventional serologic tests, such as an indirect hemagglutination assay and
indirect immunofluorescence assay. TSSA showed ~87% sensitivity among the
seropositive Chagasic panel, a value which was increased up to >98% when only
parasitologically positive samples were considered. More importantly, TSSA showed
a significant increase in specificity (97.4%) compared to those of currently used
assays, which averaged 80 to 90%. Overall, our data demonstrate that recombinant
TSSA may be a useful antigen for the immunodiagnosis of Chagas' disease.
PMID- 21880858
TI - Utility of IgM/IgG ratio and IgG avidity for distinguishing primary and secondary
dengue virus infections using sera collected more than 30 days after disease
onset.
AB - Dengue virus (DV) IgM/IgG ratio and IgG avidity value (AV) can reliably
distinguish between primary and secondary DV infections using sera collected
within 30 days of disease onset, but little is known about their efficacies using
sera collected >30 days after onset. To investigate this issue, we analyzed
specimens submitted to our reference laboratory for DV antibody testing. We first
classified patients as having primary (n = 55) or secondary (n = 58) infections
based on seroconversion patterns in a comparison of two sera collected <30 days
apart. We then evaluated IgM/IgG ratios and IgG AVs of the second specimens by
using receiver operating characteristic curve analysis. The IgM/IgG ratio that
best discriminated primary from secondary infection was 1.32; 95% of 55 primary
infections exhibited ratios of >1.32, whereas 93% of 58 secondary infections
exhibited ratios of <=1.32. The discriminatory AV was 0.39; 95% of 41 primary
infections exhibited AVs of <=0.39, whereas 95% of 38 secondary infections
exhibited AVs of >0.39. We then evaluated the IgM/IgG ratios and AV for primary
infection patients whose second serum samples were collected >=30 days after the
first serum samples; only 56% of 27 sera exhibited ratios of >1.32, whereas 81%
of 21 sera exhibited AVs of <=0.39. Assuming that the first specimens were
collected within a week after symptoms appeared, these findings indicate that IgG
AV is superior to the IgM/IgG ratio for distinguishing primary from secondary DV
infections when using samples collected more than 5 weeks after disease onset.
PMID- 21880859
TI - Evolution of the vertebrate pth2 (tip39) gene family and the regulation of PTH
type 2 receptor (pth2r) and its endogenous ligand pth2 by hedgehog signaling in
zebrafish development.
AB - In mammals, parathyroid hormone (PTH), secreted by parathyroid glands, increases
calcium levels in the blood from reservoirs in bone. While mammals have two PTH
receptor genes, PTH1R and PTH2R, zebrafish has three receptors, pth1r, pth2r, and
pth3r. PTH can activate all three zebrafish Pthrs while PTH2 (alias
tuberoinfundibular peptide 39, TIP39) preferentially activates zebrafish and
mammalian PTH2Rs. We know little about the roles of the PTH2/PTH2R system in the
development of any animal. To determine the roles of PTH2 and PTH2R during
vertebrate development, we evaluated their expression patterns in developing
zebrafish, observed their phylogenetic and conserved synteny relationships with
humans, and described the genomic organization of pth2, pth2r, and pth2r splice
variants. Expression studies showed that pth2 is expressed in cells adjacent to
the ventral part of the posterior tuberculum in the diencephalon, whereas pth2r
is robustly expressed throughout the central nervous system. Otic vesicles
express both pth2 and pth2r, but heart expresses only pth2. Analysis of mutants
showed that hedgehog (Hh) signaling regulates the expression of pth2 transcripts
more than that of nearby gnrh2-expressing cells. Genomic analysis showed that a
lizard, chicken, and zebra finch lack a PTH2 gene, which is associated with an
inversion breakpoint. Likewise, chickens lack PTH2R, while humans lack PTH3R, a
case of reciprocally missing ohnologs (paralogs derived from a genome
duplication). The considerable evolutionary conservation in genomic structure,
synteny relationships, and expression of zebrafish pth2 and pth2r provides a
foundation for exploring the endocrine roles of this system in developing
vertebrate embryos.
PMID- 21880860
TI - Enzymological analysis of the tumor suppressor A-C1 reveals a novel group of
phospholipid-metabolizing enzymes.
AB - A-C1 protein is the product of a tumor suppressor gene negatively regulating the
oncogene Ras and belongs to the HRASLS (HRAS-like suppressor) subfamily. We
recently found that four members of this subfamily expressed in human tissues
function as phospholipid-metabolizing enzymes. Here we examined a possible enzyme
activity of A-C1. The homogenates of COS-7 cells overexpressing recombinant A-C1s
from human, mouse, and rat showed a phospholipase A1/2 (PLA1/2) activity toward
phosphatidylcholine (PC). This finding was confirmed with the purified A-C1. The
activity was Ca2+ independent, and dithiothreitol and Nonidet P-40 were
indispensable for full activity. Phosphatidylethanolamine (PE) was also a
substrate and the phospholipase A1 (PLA1) activity was dominant over the PLA2
activity. Furthermore, the protein exhibited acyltransferase activities
transferring an acyl group of PCs to the amino group of PEs and the hydroxyl
group of lyso PCs. As for tissue distribution in human, mouse, and rat, A-C1 mRNA
was abundantly expressed in testis, skeletal muscle, brain, and heart. These
results demonstrate that A-C1 is a novel phospholipid-metabolizing enzyme.
Moreover, the fact that all five members of the HRASLS subfamily, including A-C1,
show similar catalytic properties strongly suggests that these proteins
constitute a new class of enzymes showing PLA1/2 and acyltransferase activities.
PMID- 21880862
TI - Muscle protein metabolism responds similarly to exogenous amino acids in healthy
younger and older adults during NO-induced hyperemia.
AB - The combination of increasing blood flow and amino acid (AA) availability
provides an anabolic stimulus to the skeletal muscle of healthy young adults by
optimizing both AA delivery and utilization. However, aging is associated with a
blunted response to anabolic stimuli and may involve impairments in endothelial
function. We investigated whether age-related differences exist in the muscle
protein anabolic response to AAs between younger (30 +/- 2 yr) and older (67 +/-
2 yr) adults when macrovascular and microvascular leg blood flow were similarly
increased with the nitric oxide (NO) donor, sodium nitroprusside (SNP).
Regardless of age, SNP+AA induced similar increases above baseline (P <= 0.05) in
macrovascular flow (4.3 vs. 4.4 ml.min(-1).100 ml leg(-1) measured using
indocyanine green dye dilution), microvascular flow (1.4 vs. 0.8 video
intensity/s measured using contrast-enhanced ultrasound), phenylalanine net
balance (59 vs. 68 nmol.min(-1).100 ml.leg(-1)), fractional synthetic rate (0.02
vs. 0.02%/h), and model-derived muscle protein synthesis (62 vs. 49 nmol.min(
1).100 ml.leg(-1)) in both younger vs. older individuals, respectively. Provision
of AAs during NO-induced local skeletal muscle hyperemia stimulates skeletal
muscle protein metabolism in older adults to a similar extent as in younger
adults. Our results suggest that the aging vasculature is responsive to exogenous
NO and that there is no age-related difference per se in AA-induced anabolism
under such hyperemic conditions.
PMID- 21880861
TI - Symptom management in metastatic breast cancer.
AB - Approximately 40,000 women die as a result of breast cancer each year and many
more live with advanced disease. When breast cancer recurs, the goals of
treatment often shift from one of cure to controlling the disease for as long as
possible while palliating symptoms interfering with the patient's functional
status and quality of life. This requires ongoing discussions with the patient
and family about the goals of care. Many symptoms depend on the site of
metastasis, with bone being the most frequent, and commonly occur with fatigue,
depression, insomnia, and pain. The purpose of this paper is to identify and
provide an overview of the management of the most common symptoms in patients
with breast cancer metastases.
PMID- 21880863
TI - Circadian integration of sleep-wake and feeding requires NPY receptor-expressing
neurons in the mediobasal hypothalamus.
AB - Sleep and feeding rhythms are highly coordinated across the circadian cycle, but
the brain sites responsible for this coordination are unknown. We examined the
role of neuropeptide Y (NPY) receptor-expressing neurons in the mediobasal
hypothalamus (MBH) in this process by injecting the targeted toxin, NPY-saporin
(NPY-SAP), into the arcuate nucleus (Arc). NPY-SAP-lesioned rats were initially
hyperphagic, became obese, exhibited sustained disruption of circadian feeding
patterns, and had abnormal circadian distribution of sleep-wake patterns. Total
amounts of rapid eye movement sleep (REMS) and non-REMS (NREMS) were not altered
by NPY-SAP lesions, but a peak amount of REMS was permanently displaced to the
dark period, and circadian variation in NREMS was eliminated. The phase reversal
of REMS to the dark period by the lesion suggests that REMS timing is
independently linked to the function of MBH NPY receptor-expressing neurons and
is not dependent on NREMS pattern, which was altered but not phase reversed by
the lesion. Sleep-wake patterns were altered in controls by restricting feeding
to the light period, but were not altered in NPY-SAP rats by restricting feeding
to either the light or dark period, indicating that disturbed sleep-wake patterns
in lesioned rats were not secondary to changes in food intake. Sleep
abnormalities persisted even after hyperphagia abated during the static phase of
the lesion. Results suggest that the MBH is required for the essential task of
integrating sleep-wake and feeding rhythms, a function that allows animals to
accommodate changeable patterns of food availability. NPY receptor-expressing
neurons are key components of this integrative function.
PMID- 21880865
TI - Species-specific inhibitor sensitivity of angiotensin-converting enzyme 2 (ACE2)
and its implication for ACE2 activity assays.
AB - Angiotensin-converting enzyme 2 (ACE2) is a component of the renin-angiotensin
system, and its expression and activity have been shown to be reduced in
cardiovascular diseases. Enzymatic activity of ACE2 is commonly measured by
hydrolysis of quenched fluorescent substrates in the absence or presence of an
ACE2-specific inhibitor, such as the commercially available inhibitor DX600.
Whereas recombinant human ACE2 is readily detected in mouse tissues using 1 MUM
DX600 at pH 7.5, the endogenous ACE2 activity in mouse tissues is barely
detectable. We compared human, mouse, and rat ACE2 overexpressed in cell lines
for their sensitivity to inhibition by DX600. ACE2 from all three species could
be inhibited by DX600, but the half maximal inhibitory concentration (IC(50)) for
human ACE2 was much lower (78-fold) than for rodent ACE2. Following optimization
of pH, substrate concentration, and antagonist concentration, rat and mouse ACE2
expressed in a cell line could be accurately quantified with 10 MUM DX600 (>95%
inhibition) but not with 1 MUM DX600 (<75% inhibition). Validation that the
optimized method robustly quantifies ACE2 in mouse tissues (kidney, brain, heart,
and plasma) was performed using wild-type and ACE2 knockout mice. This study
provides a reliable method for measuring human, as well as endogenous ACE2
activity in rodents. Our data underscore the importance of validating the effect
of DX600 on ACE2 from each particular species at the experimental conditions
employed.
PMID- 21880864
TI - Identification and apical membrane localization of an electrogenic Na+/Ca2+
exchanger NCX2a likely to be involved in renal Ca2+ excretion by seawater fish.
AB - Seawater (SW) contains ~10 mM Ca(2+), yet marine fish must drink seawater as
their major water source. Thus marine teleosts fish need to excrete Ca(2+) to
maintain whole body Ca(2+) homeostasis. In the intestine, seawater Ca(2+)
interreacts with epithelial-secreted HCO(3)(-) by the intestinal epithelium, and
the resulting CaCO(3) precipitates, which is rectally excreted. Recently the
transporters involved in intestinal HCO(3)(-) secretion were identified. Ca(2+)
is also excreted by the kidney, but the protein(s) involved in renal Ca(2+)
excretion have not been identified. Here we identified a candidate transporter by
using SW pufferfish torafugu (Takifugu rubripes) and its closely related
euryhaline species mefugu (Takifugu obscurus), which are becoming useful animal
models for studying molecular mechanisms of seawater adaptation. RT-PCR analyses
of Na(+)/Ca(2+) exchanger (NCX) family members in various torafugu tissues
demonstrated that only NCX2a is highly expressed in the kidney. Renal expression
of NCX2a was markedly elevated when mefugu were transferred from freshwater to
seawater. In situ hybridization and immunohistochemical analyses indicated that
NCX2a is expressed in the proximal tubule at the apical membrane. NCX2a,
expressed in Xenopus oocytes, conferred [Ca(2+)](out)- and Na(+)-dependent
currents. These results suggest that NCX2a mediates renal Ca(2+) secretion at the
apical membrane of renal proximal tubules and has an important role in whole body
Ca(2+) homeostasis of marine teleosts.
PMID- 21880866
TI - Local delivery of a PKCepsilon-activating peptide limits ischemia reperfusion
injury in the aged female rat heart.
AB - Reduced efficacy of cardioprotective interventions in the aged female heart,
including estrogen replacement, highlights the need for alternative therapeutics
to reduce myocardial ischemia-reperfusion (I/R) injury in postmenopausal women.
Here, we sought to determine the efficacy of protein kinase-Cepsilon (PKCepsilon)
mediated cardioprotection in the aged, estradiol-deficient rat heart. Infarct
size and functional recovery were assessed in Langendorff-perfused hearts from
adult (5 mo) or aged (23 mo) female Fisher 344 ovary-intact or ovariectomized
(OVX) rats administered a PKCepsilon-activator, receptor for activated C kinase
(psiepsilonRACK) prior to 47-min ischemia and 60-min reperfusion. Proteomic
analysis was conducted on left ventricular mitochondrial fractions treated with
psiepsilonRACK prior to I/R, utilizing isobaric tags for relative and absolute
quantitation (iTRAQ) 8plex labeling and tandem mass spectrometry. Real-time PCR
was utilized to assess connexin 43 (Cx43) and RACK2 mRNA post-I/R. Greater
infarct size in aged OVX (78%) vs. adult (37%) was reduced by psiepsilonRACK
(35%, P < 0.0001) and associated with greater mitochondrial PKCepsilon
localization (P < 0.0003). Proteomic analysis revealed three novel mitochondrial
targets of PKCepsilon-mediated cardioprotection with aging (P < 0.05): the
antioxidant enzymes glutathione peroxidase (GPX) and MnSOD2, and heat shock
protein 10. Finally, decreased levels of Cx43 and RACK2 mRNA seen with age were
partially abrogated by administration of psiepsilonRACK (P < 0.05). The
mechanisms described here may represent important therapeutic candidates for the
treatment of acute myocardial infarction in postmenopausal women and age
associated estradiol deficiency.
PMID- 21880868
TI - Mitochondrial DNA polymerase gamma mutations: an ever expanding molecular and
clinical spectrum.
AB - Mutations in the POLG gene have emerged as one of the most common causes of
inherited mitochondrial diseases in children and adults. This study sequenced the
exons and flanking intronic regions of the POLG gene from 2697 unrelated patients
with clinical presentations suggestive of POLG deficiency. Informative mutations
have been identified in 136 unrelated individuals (5%), including 92 patients
with two recessive pathogenic alleles and three patients harbouring a dominant
mutation. Twenty-four novel recessive mutations and a novel possible dominant
mutation, p.Y951N, were identified. All missense mutations occurred at
evolutionarily conserved amino acids within functionally important regions
identified by molecular modelling analyses. Oligonucleotide array comparative
genomic hybridisation analyses performed on DNA samples from 81 patients with one
mutant POLG allele identified a large intragenic deletion in only one patient,
suggesting that large deletions in POLG are rare. The 92 patients with two mutant
alleles exhibited a broad spectrum of disease. Almost all patients in all age
groups had some degree of neuropathy. Seizures, hepatopathy, and lactic acidaemia
were predominant in younger patients. By comparison, patients who developed
symptoms in adulthood had a higher percentage of myopathy, sensory ataxia, and
chronic progressive external ophthalmoplegia (CPEO)/ptosis. In conclusion, POLG
mutations account for a broad clinical spectrum of mitochondrial disorders.
Sequence analysis of the POLG gene should be considered as a part of routine
screening for mitochondrial disorders, even in the absence of apparent
mitochondrial DNA abnormalities.
PMID- 21880869
TI - Oleuropein aglycone, an olive oil compound, ameliorates development of arthritis
caused by injection of collagen type II in mice.
AB - The aim of this study was to investigate the effect of oleuropein aglycone, an
olive oil compound, on the modulation of the inflammatory response in mice
subjected to collagen-induced arthritis (CIA). CIA was induced in mice by an
intradermal injection of 100 MUl of an emulsion containing 100 MUg of bovine type
II collagen (CII) and complete Freund's adjuvant (CFA) at the base of the tail.
On day 21, a second injection of CII in CFA was administered. Mice developed
erosive hind paw arthritis when immunized with CII in CFA. Macroscopic clinical
evidence of CIA first appeared as periarticular erythema and edema in the hind
paws. The incidence of CIA was 100% by day 28 in the CII-challenged mice and the
severity of CIA progressed over a 35-day period with resorption of bone. The
histopathology of CIA included erosion of the cartilage at the joint. Treatment
with oleuropein aglycone starting at the onset of arthritis (day 25) ameliorated
the clinical signs at days 26 to 35 and improved histological status in the joint
and paw. The degree of oxidative and nitrosative damage was also significantly
reduced in oleuropein aglycone-treated mice. Plasma levels of the proinflammatory
cytokines were also significantly reduced by oleuropein aglycone. In addition, we
have confirmed the beneficial effects of oleuropein aglycone on an experimental
model of CIA in a therapeutic regimen of post-treatment, with treatment started
at day 28, demonstrating that oleuropein aglycone exerts an anti-inflammatory
effect during chronic inflammation and ameliorates the tissue damage associated
with CIA.
PMID- 21880870
TI - Opening of small and intermediate calcium-activated potassium channels induces
relaxation mainly mediated by nitric-oxide release in large arteries and
endothelium-derived hyperpolarizing factor in small arteries from rat.
AB - This study was designed to investigate whether calcium-activated potassium
channels of small (SK(Ca) or K(Ca)2) and intermediate (IK(Ca) or K(Ca)3.1)
conductance activated by 6,7-dichloro-1H-indole-2,3-dione 3-oxime (NS309) are
involved in both nitric oxide (NO) and endothelium-derived hyperpolarizing factor
(EDHF)-type relaxation in large and small rat mesenteric arteries. Segments of
rat superior and small mesenteric arteries were mounted in myographs for
functional studies. NO was recorded using NO microsensors. SK(Ca) and IK(Ca)
channel currents and mRNA expression were investigated in human umbilical vein
endothelial cells (HUVECs), and calcium concentrations were investigated in both
HUVECs and mesenteric arterial endothelial cells. In both superior (~1093 MUm)
and small mesenteric (~300 MUm) arteries, NS309 evoked endothelium- and
concentration-dependent relaxations. In superior mesenteric arteries, NS309
relaxations and NO release were inhibited by both N(G),N(G)-asymmetric dimethyl-l
arginine (ADMA) (300 MUM), an inhibitor of NO synthase, and apamin (0.5 MUM) plus
1-[(2-chlorophenyl)diphenylmethyl]-1H-pyrazole (TRAM-34) (1 MUM), blockers of
SK(Ca) and IK(Ca) channels, respectively. In small mesenteric arteries, NS309
relaxations were reduced slightly by ADMA, whereas apamin plus an IK(Ca) channel
blocker almost abolished relaxation. Iberiotoxin did not change NS309 relaxation.
HUVECs expressed mRNA for SK(Ca) and IK(Ca) channels, and NS309 induced increases
in calcium, outward current, and NO release that were blocked by apamin and TRAM
34 or charybdotoxin. These findings suggest that opening of SK(Ca) and IK(Ca)
channels leads to endothelium-dependent relaxation that is mediated mainly by NO
in large mesenteric arteries and by EDHF-type relaxation in small mesenteric
arteries. NS309-induced calcium influx appears to contribute to the formation of
NO.
PMID- 21880872
TI - CMS drops proposed payment reduction for 2012 inpatient PPS.
PMID- 21880873
TI - Scorpion antivenin approved.
PMID- 21880871
TI - Identification of chemosensitivity nodes for vinblastine through small
interfering RNA high-throughput screens.
AB - Discovering chemosensitivity pathways or nodes is an attractive strategy for
formulating new drug combinations for cancer. Microtubules are among the most
successful anticancer drug targets. Therefore, we implemented a small interfering
RNA (siRNA) synthetic lethal screen targeting 5520 unique druggable genes to
identify novel chemosensitivity nodes for vinblastine, a microtubule
destabilizing agent used clinically. We transiently transfected human
glioblastoma cells with siRNAs for 48 h and then treated cells with a sublethal
concentration of vinblastine. Forty-eight hours later, we analyzed cell viability
and, using a series of statistical methods, identified 65 gene products that,
when suppressed, sensitized glioblastoma cells to vinblastine. After completion
of the secondary assays, we focused on one siRNA, B-cell lymphoma extra large
(BCL-xL), because of its role in the intrinsic apoptosis signaling pathway as
well as the availability of pharmacological inhibitors. We found that nontoxic
concentrations of 4-[4-[[2-(4-chlorophenyl)-5,5-dimethylcyclohexen-1
yl]methyl]piperazin-1-yl]-N-[4-[[(2R)-4-morpholin-4-yl-1-phenylsulfanylbutan-2
yl]amino]-3-(trifluoromethylsulfonyl)phenyl]sulfonylbenzamide (ABT-263), an
inhibitor of the BCL-2 family members (BCL-2, BCL-xL, and BCL-w), sensitized
glioblastoma and non-small-cell lung cancer cells to vinblastine and induced
apoptosis through the intrinsic cell death pathway. These results illustrate the
usefulness of unbiased siRNA screens as a method for identifying potential novel
anticancer therapeutic combinations.
PMID- 21880875
TI - IOM tells FDA to develop new regulatory pathway for medical devices.
PMID- 21880876
TI - CMS Innovation Center starts gearing up.
PMID- 21880877
TI - Short-term intubation after ingestion of Nicotiana glauca.
PMID- 21880878
TI - Manually guided botulinum toxin type A submandibular injections for the treatment
of sialorrhea in tube-fed patients with advanced amyotrophic lateral sclerosis.
PMID- 21880879
TI - Pharmacists' perspectives on postgraduate training.
PMID- 21880880
TI - Participation of clinical pharmacists without specialized infectious diseases
training in antimicrobial stewardship.
PMID- 21880881
TI - Assessing health literacy to identify patients for pharmacist-provided counseling
on high-risk medications.
PMID- 21880882
TI - Proposal for pharmacy residency leadership certificates.
PMID- 21880883
TI - ASHP daily briefing.
PMID- 21880884
TI - Clinical and economic benefits of aromatase inhibitor therapy in early-stage
breast cancer.
AB - PURPOSE: The clinical and economic benefits of aromatase inhibitor (AI) therapy
in early-stage breast cancer are reviewed. SUMMARY: AI therapy has become a
standard of care for the treatment of most postmenopausal women with early-stage
breast cancer, as it significantly reduces the risk of disease recurrence and
death. Using the currently accepted gold standard for clinical efficacy
improvement in disease-free survival rather than overall survival-exemestane,
anastrozole, or letrozole as monotherapy or in sequence with tamoxifen has been
found to be superior to tamoxifen monotherapy. Emerging data have demonstrated
potential overall survival advantages for AIs subsequent to and directly related
to distant recurrence. Of the nonsteroidal AIs, letrozole appears to have the
efficacy advantage by demonstrating an early effect on distant recurrence and,
subsequently, a potentially significant overall survival benefit, though results
of a prospective head-to-head trial of anastrozole and letrozole are not yet
available. When the economic burden to society is considered, it appears that all
AIs are similarly beneficial on the basis of disease recurrence. However,
preliminary analyses indicate that the survival benefit appears to be greater
with letrozole than with anastrozole. Thus, considering potential survival
benefits and cost-effectiveness, letrozole may be preferable to anastrozole in
the early adjuvant setting. CONCLUSION: AI therapy has become a standard of care
for the treatment of most postmenopausal women with early-stage breast cancer.
Emerging data have demonstrated potential overall survival advantages for AIs
subsequent to and directly related to distant recurrence. When the economic
burden to society is considered, it appears that all AIs are similarly beneficial
on the basis of disease recurrence.
PMID- 21880885
TI - Myoclonus associated with long-term use of diltiazem.
AB - PURPOSE: A case of possible diltiazem-induced myoclonus in a patient receiving
long-term therapy, with residual symptoms after discontinuation, is reported.
SUMMARY: A 61-year-old Caucasian man who had received diltiazem therapy for 5
years for the treatment of premature ventricular contractions (PVCs) was seen at
a clinic for complaints of abnormal sensations and body movements that had
worsened over 2 years and were sometimes triggered by an exaggerated startle
response to light and startling scenes on television and in movies. After a sleep
study, electroencephalography, and other evaluations to rule out neurologic and
other causes of the patient's myoclonus, diltiazem therapy was discontinued; two
weeks later, the man reported a 50% reduction in symptoms. At 1- and 3-year
follow-up visits, the patient reported further diminution but not complete
resolution of the myoclonic symptoms. In contrast to other published cases of
calcium-channel-blocker-induced myoclonus, the onset of movement symptoms in this
case was delayed, occurring years rather than days after the initiation of
diltiazem use; the residual symptoms persisted far longer than in other reported
cases. It is possible that the concomitant use of citalopram and a change in the
patient's lipid-lowering medication may have contributed to or prolonged the
abnormal movement symptoms in this case. Using the adverse drug reaction
probability algorithm of Naranjo et al., the case was classified as possible
diltiazem-induced myoclonus. CONCLUSION: A 61-year-old man developed myoclonus
three years after starting diltiazem therapy for PVCs. The symptoms gradually
resolved after the discontinuation of diltiazem but did not stop completely.
PMID- 21880886
TI - Readministration of drotrecogin alfa (activated) in an adult with severe sepsis.
AB - PURPOSE: The case of a patient with severe, multidrug-resistant, postoperative
sepsis who was successfully treated with drotrecogin alfa (activated) on two
occasions is reported. SUMMARY: After a thigh debridement procedure, a 55-year
old African-American woman developed systemic inflammatory response syndrome
(SIRS) secondary to necrotizing fasciitis. Despite empiric treatment including
piperacillin-tazobactam and vancomycin, the patient remained severely
hemodynamically unstable, exhibiting signs of multiorgan failure and requiring
mechanical ventilation and the placement of a tracheostomy tube. After the
administration of i.v. drotrecogin alfa (activated) 160 mg (24 MUg/kg/hr) over 96
hours in combination with standard i.v. antimicrobials and vasopressin, the
patient's hemodynamic status improved considerably. About three weeks later, the
patient again developed SIRS that was refractory to standard therapies. After the
results of laboratory cultures indicated ventilator-associated pneumonia due to
multidrug-resistant Klebsiella pneumoniae, the woman received a second course of
drotrecogin alfa and other therapies. Her condition improved and she was
extubated and eventually transferred to a medical-surgical unit for continued
care. While drotrecogin alfa, a recombinant form of human activated protein C
(APC), has been shown to reduce mortality in adults with severe sepsis and acute
organ dysfunction, previous reports indicated an increased risk of thrombotic
events with the use of the drug, and there is speculation that the development of
anti-APC antibodies might result in a diminished therapeutic response. In the
case described here, there were no thrombotic events during or after either
drotrecogin alfa infusion and no clinical evidence of antibody formation.
CONCLUSION: A patient received two complete courses of drotrecogin alfa
(activated) without any treatment-related complications.
PMID- 21880887
TI - Effect of fondaparinux prophylaxis on anti-factor Xa concentrations in patients
with morbid obesity.
AB - PURPOSE: Anti-factor Xa values in morbidly obese patients receiving standard
doses of fondaparinux sodium for the prevention of venous thromboembolism (VTE)
were analyzed in a retrospective chart evaluation. SUMMARY: The administration of
low-molecular-weight heparins to obese patients (body mass index [BMI] of >=30
kg/m(2)) at the dose recommended for VTE prophylaxis has been reported to result
in increased thromboembolic events and decreased anti-factor Xa levels, and some
evidence indicates that weight-based dosing adjustments may be appropriate. To
study this phenomenon among morbidly obese patients (BMI of >=40 kg/m(2)), a
review of the charts of 45 adult patients for whom steady-state anti-factor Xa
laboratory values were obtained after at least four fondaparinux injections was
conducted; in all instances, fondaparinux sodium was given at the standard dose
(2.5 mg once daily). Of the total of 47 anti-factor Xa values analyzed, 22 (47%)
were below the study institution's target peak range (0.3-0.5 mg/L), 20 values
(43%) were within the range, and 5 (11%) were above the range. No documented
thromboembolic events occurred during hospitalization in the cases evaluated. A
stepwise linear regression analysis of selected demographic and clinical
variables indicated that better renal function, male sex, increased BMI, and
fewer fondaparinux doses were associated with a greater likelihood of diminished
anti-factor Xa activity in the cases evaluated. CONCLUSION: Anti-factor Xa
concentrations in morbidly obese patients receiving fondaparinux sodium 2.5 mg
subcutaneously daily for VTE prophylaxis were within or above the target range in
53% of the instances evaluated.
PMID- 21880888
TI - Stability of levothyroxine injection in glass, polyvinyl chloride, and polyolefin
containers.
AB - PURPOSE: The 24-hour stability of a levothyroxine solution admixed and stored in
three common infusion containers and infused through polyvinyl chloride (PVC)
tubing was evaluated. METHODS: Levothyroxine sodium 1-MUg/mL injection prepared
in glass bottles and PVC and polyolefin bags were assayed using high-performance
liquid chromatography at 0, 1, 3, 6, 12, and 24 hours; samples drawn directly
from the containers, as well as from the distal end of attached PVC tubing, were
assayed. The area under the time-versus-concentration curve (AUC) for predicted
and delivered doses was calculated; analysis of variance was used for comparison
of the percentages of predicted and actual AUC values. RESULTS: The levothyroxine
concentration was stable in glass bottles and polyolefin bags through 24 hours
(mean +/- S.D. percentage of initial concentration remaining, 103.5% +/- 2.5% and
100.0% +/- 2.9%, respectively). In the PVC infusion bags, the amount of drug
decreased to 90% of the initial concentration within 1 hour and then rose and
remained within acceptability limits. The levothyroxine concentration of the
samples infused through PVC line from glass and polyolefin containers decreased
after 1 hour by about 13%; the loss of the drug from the samples infused from PVC
bags was higher (18%), presumably due to additive adsorptive effects. In all
samples tested, the drug concentration rebounded and remained above 90% to the
end of the study. CONCLUSION: Levothyroxine sodium 1-MUg/mL solution was stable
for 24 hours in glass bottles and polyolefin bags but when stored in PVC bags,
the concentration decreased by 10% after 1 hour.
PMID- 21880889
TI - Prevalence of articles with honorary and ghost authors in three pharmacy
journals.
AB - PURPOSE: The prevalence of honorary and ghost authors in articles published in
2009 in three peer-reviewed pharmacy journals was studied. METHODS: A 20-question
survey was e-mailed to corresponding authors of articles with two or more authors
published in 2009 in the American Journal of Health-System Pharmacy, Annals of
Pharmacotherapy, and Pharmacotherapy. The survey solicited the following
information: demographic characteristics of the corresponding author, information
about the published article, information to determine whether any of the authors
did not meet the International Committee of Medical Journal Editors criteria for
authorship, and information to determine if an individual contributed
substantially to the research or writing of the article but was not listed as an
author. RESULTS: Of the 491 corresponding authors to whom the survey was sent,
457 had a working e-mail address; 114 surveys were completed (24.9% response
rate). Usable responses were provided by 112 authors. The prevalence of articles
with honorary and ghost authors was 14.3% and 0.9%, respectively. Honorary
authorship was more common in original research than review articles. Articles
with honorary authors had longer bylines than articles without honorary authors
(mean number of authors, 4.9 versus 3.7; p = 0.002). The proportion of articles
with an honorary author was 1.9% for articles with fewer than 4 authors, 25% for
articles with 4 or 5 authors, and 29.4% for articles with more than 5 authors (p
= 0.001). CONCLUSION: A survey sent to the corresponding authors of articles
published in 2009 in three peer-reviewed pharmacy journals revealed that a
substantial percentage of articles demonstrated evidence of honorary or ghost
authorship.
PMID- 21880890
TI - Women as authors in the pharmacy literature: 1989-2009.
AB - PURPOSE: Trends in the authorship of original research articles by female U.S.
pharmacists in three peer-reviewed pharmacy journals over the period 1989-2009
were evaluated. METHODS: The sex distribution of the authors of all original
research articles published in 1989, 1999, and 2009 in three prominent pharmacy
journals (American Journal of Health-System Pharmacy, Annals of Pharmacotherapy,
and Pharmacotherapy) was evaluated. A total of 608 original research articles
were assessed to determine the sex of the first listed author (typically the
person with primary responsibility for the research and article preparation) and
the last listed, or "senior," author (usually a senior faculty member under whose
academic purview the research was conducted). Pharmacist authors with U.S.
affiliations were included in the analysis. RESULTS: In each of the three
journals evaluated, female authorship increased from 1989 to 2009. Overall, the
proportion of articles listing a woman as the first author increased
significantly during the study period, from 29.9% in 1989 to 44.3% in 1999 and to
52.2% in 2009. However, after a significant rise in the proportion of articles
listing a woman as the last author from 1989 to 1999 (from 16.8% to 37.0%),
female last authorship in the three journals declined to 33.8% in 2009.
CONCLUSION: There was a significant overall increase in female authorship of
original research articles in the pharmacy literature from 1989 to 2009, but
gender difference persists among pharmacists serving as last listed authors.
PMID- 21880891
TI - Development and implementation of an interdisciplinary oncology program in a
community hospital.
AB - PURPOSE: The development and implementation of an interdisciplinary oncology
program in a community hospital are described. SUMMARY: Before the program was
established, clinical pharmacists responsible for order entry and verification
did not have a defined structure and resource to effectively communicate with
medical oncologists and nurses on patient care issues and oncology drug
information. The practice model did not meet practice needs, departmental safety,
quality, or cost-saving goals. An interdisciplinary team was established to
determine where current processes and procedures were needed to decrease errors
and improve efficiency associated with chemotherapy services. Three stages of
practice development were planned, and an interdisciplinary oncology program
involving nursing and pharmacy team members and medical oncologists was
established. Standardized order forms, various pharmacy collaborative agreements,
protocols, improved oncology nursing and pharmacy processes, and established
standards in order writing, dispensing, administration, and monitoring were
developed. An oncology pharmacist specialist position was requested, and this
pharmacist played an essential role in helping the hospital realize significant
cost savings and improve the quality of care provided to patients receiving
chemotherapy services. Data were collected for 96 chemotherapy orders before
program implementation and for 75 orders after program implementation, and a 45%
reduction in total error related to chemotherapy drugs was observed (p < 0.0625).
The most common cause of errors was missing information, typically an omitted
duration or frequency, dose, route, or premedication (63% of all errors
documented). CONCLUSION: The development and implementation of an
interdisciplinary oncology program resulted in decreased medication-error rates,
expanded pharmacy services, and cost savings.
PMID- 21880892
TI - Mechanism and cellular function of Bud6 as an actin nucleation-promoting factor.
AB - Formins are a conserved family of actin assembly-promoting factors with diverse
biological roles, but how their activities are regulated in vivo is not well
understood. In Saccharomyces cerevisiae, the formins Bni1 and Bnr1 are required
for the assembly of actin cables and polarized cell growth. Proper cable assembly
further requires Bud6. Previously it was shown that Bud6 enhances Bni1-mediated
actin assembly in vitro, but the biochemical mechanism and in vivo role of this
activity were left unclear. Here we demonstrate that Bud6 specifically stimulates
the nucleation rather than the elongation phase of Bni1-mediated actin assembly,
defining Bud6 as a nucleation-promoting factor (NPF) and distinguishing its
effects from those of profilin. We generated alleles of Bud6 that uncouple its
interactions with Bni1 and G-actin and found that both interactions are critical
for NPF activity. Our data indicate that Bud6 promotes filament nucleation by
recruiting actin monomers to Bni1. Genetic analysis of the same alleles showed
that Bud6 regulation of formin activity is critical for normal levels of actin
cable assembly in vivo. Our results raise important mechanistic parallels between
Bud6 and WASP, as well as between Bud6 and other NPFs that interact with formins
such as Spire.
PMID- 21880893
TI - MiR-637 maintains the balance between adipocytes and osteoblasts by directly
targeting Osterix.
AB - Bone development is dynamically regulated by homeostasis, in which a balance
between adipocytes and osteoblasts is maintained. Disruption of this
differentiation balance leads to various bone-related metabolic diseases,
including osteoporosis. In the present study, a primate-specific microRNA (miR
637) was found to be involved in the differentiation of human mesenchymal stem
cells (hMSCs). Our preliminary data indicated that miR-637 suppressed the growth
of hMSCs and induced S-phase arrest. Expression of miR-637 was increased during
adipocyte differentiation (AD), whereas it was decreased during osteoblast
differentiation (OS), which suggests miR-637 could act as a mediator of
adipoosteogenic differentiation. Osterix (Osx), a significant transcription
factor of osteoblasts, was shown to be a direct target of miR-637, which
significantly enhanced AD and suppressed OS in hMSCs through direct suppression
of Osx expression. Furthermore, miR-637 also significantly enhanced de novo
adipogenesis in nude mice. In conclusion, our data indicated that the expression
of miR-637 was indispensable for maintaining the balance of adipocytes and
osteoblasts. Disruption of miR-637 expression patterns leads to irreversible
damage to the balance of differentiation in bone marrow.
PMID- 21880894
TI - A role for kinesin heavy chain in controlling vesicle transport into dendrites in
Drosophila.
AB - The unique architecture of neurons requires the establishment and maintenance of
polarity, which relies in part on microtubule-based transport to deliver
essential cargo into dendrites. To test different models of differential motor
protein regulation and to understand how different compartments in neurons are
supplied with necessary functional proteins, we studied mechanisms of dendritic
transport, using Drosophila as a model system. Our data suggest that dendritic
targeting systems in Drosophila and mammals are evolutionarily conserved, since
mammalian cargoes are moved into appropriate domains in Drosophila. In a genetic
screen for mutants that mislocalize the dendritic marker human transferrin
receptor (hTfR), we found that kinesin heavy chain (KHC) may function as a
dendritic motor. Our analysis of dendritic and axonal phenotypes of KHC loss-of
function clones revealed a role for KHC in maintaining polarity of neurons, as
well as ensuring proper axonal outgrowth. In addition we identified adenomatous
polyposis coli 1 (APC1) as an interaction partner of KHC in controlling directed
transport and modulating kinesin function in neurons.
PMID- 21880895
TI - Two novel WD40 domain-containing proteins, Ere1 and Ere2, function in the
retromer-mediated endosomal recycling pathway.
AB - Regulated secretion, nutrient uptake, and responses to extracellular signals
depend on cell-surface proteins that are internalized and recycled back to the
plasma membrane. However, the underlying mechanisms that govern membrane protein
recycling to the cell surface are not fully known. Using a chemical-genetic
screen in yeast, we show that the arginine transporter Can1 is recycled back to
the cell surface via two independent pathways mediated by the sorting nexins
Snx4/41/42 and the retromer complex, respectively. In addition, we identify two
novel WD40-domain endosomal recycling proteins, Ere1 and Ere2, that function in
the retromer pathway. Ere1 is required for Can1 recycling via the retromer
mediated pathway, but it is not required for the transport of other retromer
cargoes, such as Vps10 and Ftr1. Biochemical studies reveal that Ere1 physically
interacts with internalized Can1. Ere2 is present in a complex containing Ere1 on
endosomes and functions as a regulator of Ere1. Taken together, our results
suggest that Snx4/41/42 and the retromer comprise two independent pathways for
the recycling of internalized cell-surface proteins. Moreover, a complex
containing the two novel proteins Ere1 and Ere2 mediates cargo-specific
recognition by the retromer pathway.
PMID- 21880896
TI - Nuclear transporters in a multinucleated organism: functional and localization
analyses in Aspergillus nidulans.
AB - Nuclear transporters mediate bidirectional macromolecule traffic through the
nuclear pore complex (NPC), thus participating in vital processes of eukaryotic
cells. A systematic functional analysis in Aspergillus nidulans permitted the
identification of 4 essential nuclear transport pathways of a hypothetical number
of 14. The absence of phenotypes for most deletants indicates redundant roles for
these nuclear receptors. Subcellular distribution studies of these carriers show
three main distributions: nuclear, nucleocytoplasmic, and in association with the
nuclear envelope. These locations are not specific to predicted roles as
exportins or importins but indicate that bidirectional transport may occur
coordinately in all nuclei of a syncytium. Coinciding with mitotic NPC
rearrangements, transporters dynamically modified their localizations, suggesting
supplementary roles to nucleocytoplasmic transport specifically during mitosis.
Loss of transportin-SR and Mex/TAP from the nuclear envelope indicates absence of
RNA transport during the partially open mitosis of Aspergillus, whereas nucleolar
accumulation of Kap121 and Kap123 homologues suggests a role in nucleolar
disassembly. This work provides new insight into the roles of nuclear
transporters and opens an avenue for future studies of the molecular mechanisms
of transport among nuclei within a common cytoplasm, using A. nidulans as a model
organism.
PMID- 21880897
TI - A pharmacological cocktail for arresting actin dynamics in living cells.
AB - The actin cytoskeleton is regulated by factors that influence polymer assembly,
disassembly, and network rearrangement. Drugs that inhibit these events have been
used to test the role of actin dynamics in a wide range of cellular processes.
Previous methods of arresting actin rearrangements take minutes to act and work
well in some contexts, but can lead to significant actin reorganization in cells
with rapid actin dynamics, such as neutrophils. In this paper, we report a
pharmacological cocktail that not only arrests actin dynamics but also preserves
the structure of the existing actin network in neutrophil-like HL-60 cells, human
fibrosarcoma HT1080 cells, and mouse NIH 3T3 fibroblast cells. Our cocktail
induces an arrest of actin dynamics that initiates within seconds and persists
for longer than 10 min, during which time cells maintain their responsivity to
external stimuli. With this cocktail, we demonstrate that actin dynamics, and not
simply morphological polarity or actin accumulation at the leading edge, are
required for the spatial persistence of Rac activation in HL-60 cells. Our drug
combination preserves the structure of the existing cytoskeleton while blocking
actin assembly, disassembly, and rearrangement, and should prove useful for
investigating the role of actin dynamics in a wide range of cellular signaling
contexts.
PMID- 21880898
TI - Stimulation of the CLIP-170--dependent capture of membrane organelles by
microtubules through fine tuning of microtubule assembly dynamics.
AB - Cytoplasmic microtubules (MTs) continuously grow and shorten at their free plus
ends, a behavior that allows them to capture membrane organelles destined for MT
minus end-directed transport. In Xenopus melanophores, the capture of pigment
granules (melanosomes) involves the +TIP CLIP-170, which is enriched at growing
MT plus ends. Here we used Xenopus melanophores to test whether signals that
stimulate minus end MT transport also enhance CLIP-170-dependent binding of
melanosomes to MT tips. We found that these signals significantly (>twofold)
increased the number of growing MT plus ends and their density at the cell
periphery, thereby enhancing the likelihood of interaction with dispersed
melanosomes. Computational simulations showed that local and global increases in
the density of CLIP-170-decorated MT plus ends could reduce the half-time of
melanosome aggregation by ~50%. We conclude that pigment granule aggregation
signals in melanophores stimulate MT minus end-directed transport by the
increasing number of growing MT plus ends decorated with CLIP-170 and
redistributing these ends to more efficiently capture melanosomes throughout the
cytoplasm.
PMID- 21880899
TI - Neurobiology of tourette syndrome: current status and need for further
investigation.
AB - Tourette syndrome (TS) is a common, chronic neuropsychiatric disorder
characterized by the presence of fluctuating motor and phonic tics. The typical
age of onset is ~5-7 years, and the majority of children improve by their late
teens or early adulthood. Affected individuals are at increased risk for the
development of various comorbid conditions, such as obsessive-compulsive
disorder, attention deficit hyperactivity disorder, school problems, depression,
and anxiety. There is no cure for tics, and symptomatic therapy includes
behavioral and pharmacological approaches. Evidence supports TS being an
inherited disorder; however, the precise genetic abnormality remains unknown.
Pathologic involvement of cortico-striatal-thalamo-cortical (CSTC) pathways is
supported by neurophysiological, brain imaging, and postmortem studies, but
results are often confounded by small numbers, age differences, severity of
symptoms, comorbidity, use of pharmacotherapy, and other factors. The primary
site of abnormality remains controversial. Although numerous neurotransmitters
participate in the transmission of messages through CSTC circuits, a dopaminergic
dysfunction is considered a leading candidate. Several animal models have been
used to study behaviors similar to tics as well as to pursue potential
pathophysiological deficits. TS is a complex disorder with features overlapping a
variety of scientific fields. Despite description of this syndrome in the late
19th century, there remain numerous unanswered neurobiological questions.
PMID- 21880900
TI - Making sense of gray matter abnormalities in chronic orofacial pain--synthesizing
divergent findings.
PMID- 21880901
TI - Equiluminance cells in visual cortical area v4.
AB - We report a novel class of V4 neuron in the macaque monkey that responds
selectively to equiluminant colored form. These "equiluminance" cells stand apart
because they violate the well established trend throughout the visual system that
responses are minimal at low luminance contrast and grow and saturate as contrast
increases. Equiluminance cells, which compose ~22% of V4, exhibit the opposite
behavior: responses are greatest near zero contrast and decrease as contrast
increases. While equiluminance cells respond preferentially to equiluminant
colored stimuli, strong hue tuning is not their distinguishing feature-some
equiluminance cells do exhibit strong unimodal hue tuning, but many show little
or no tuning for hue. We find that equiluminance cells are color and shape
selective to a degree comparable with other classes of V4 cells with more
conventional contrast response functions. Those more conventional cells respond
equally well to achromatic luminance and equiluminant color stimuli, analogous to
color luminance cells described in V1. The existence of equiluminance cells,
which have not been reported in V1 or V2, suggests that chromatically defined
boundaries and shapes are given special status in V4 and raises the possibility
that form at equiluminance and form at higher contrasts are processed in separate
channels in V4.
PMID- 21880902
TI - Lmx1a and lmx1b function cooperatively to regulate proliferation, specification,
and differentiation of midbrain dopaminergic progenitors.
AB - LIM homeodomain transcription factors, Lmx1a and Lmx1b, are required for the
development of midbrain dopaminergic (mDA) neurons. Lmx1b is required for the
specification and maintenance of mDA neurons, primarily due to its role in
isthmic organizer development that is essential for the induction of mDA neurons.
Here, we conditionally deleted Lmx1b in the ventral neural tube using ShhCre and
found that Lmx1b conditional mutant mouse embryos show no defect in the
development and maintenance of mDA neurons. In addition, Dreher (Lmx1a mutant)
embryos display only a moderate reduction in the number of mDA neurons,
suggesting that the related family member Lmx1b might compensate for Lmx1a
function. We therefore generated Lmx1a and Lmx1b double mutants. Severe loss of
mDA neurons occurred in Lmx1a(dr/dr);Shh(Cre/+);Lmx1b(f/f) double mutants due to
essential roles for Lmx1a and Lmx1b in regulating the proliferation and neuronal
commitment of mDA progenitors through the expression of Wnt1 and Ngn2,
respectively. Lmx1a and Lmx1b also negatively regulate Hes1 expression and
consequently cell cycle exit through activation of p27(Kip1) expression. In
addition, Lmx1a and Lmx1b also regulate the expression of floor plate genes such
as Corin and Slit2 and specification of postmitotic mDA neurons. These defects
were more severe with decreasing gene dosage of Lmx1a and Lmx1b or observed only
when all four copies of Lmx1a and Lmx1b genes were inactivated. Together, our
results demonstrate that Lmx1a and Lmx1b function cooperatively to regulate
proliferation, specification, and differentiation of mDA progenitors, including
their floor plate-like properties.
PMID- 21880903
TI - Essential role for vav Guanine nucleotide exchange factors in brain-derived
neurotrophic factor-induced dendritic spine growth and synapse plasticity.
AB - Brain-derived neurotrophic factor (BDNF) and its cognate receptor, TrkB, regulate
a wide range of cellular processes, including dendritic spine formation and
functional synapse plasticity. However, the signaling mechanisms that link BDNF
activated TrkB to F-actin remodeling enzymes and dendritic spine morphological
plasticity remain poorly understood. We report here that BDNF/TrkB signaling in
neurons activates the Vav family of Rac/RhoA guanine nucleotide exchange factors
through a novel TrkB-dependent mechanism. We find that Vav is required for BDNF
stimulated Rac-GTP production in cortical and hippocampal neurons. Vav is
partially enriched at excitatory synapses in the postnatal hippocampus but does
not appear to be required for normal dendritic spine density. Rather, we observe
significant reductions in both BDNF-induced, rapid, dendritic spine head growth
and in CA3-CA1 theta burst-stimulated long-term potentiation in Vav-deficient
mouse hippocampal slices, suggesting that Vav-dependent regulation of dendritic
spine morphological plasticity facilitates normal functional synapse plasticity.
PMID- 21880904
TI - Inhibitory dendrite dynamics as a general feature of the adult cortical
microcircuit.
AB - The mammalian neocortex is functionally subdivided into architectonically
distinct regions that process various types of information based on their source
of afferent input. Yet, the modularity of neocortical organization in terms of
cell type and intrinsic circuitry allows afferent drive to continuously reassign
cortical map space. New aspects of cortical map plasticity include dynamic
turnover of dendritic spines on pyramidal neurons and remodeling of interneuron
dendritic arbors. While spine remodeling occurs in multiple cortical regions, it
is not yet known whether interneuron dendrite remodeling is common across primary
sensory and higher-level cortices. It is also unknown whether, like pyramidal
dendrites, inhibitory dendrites respect functional domain boundaries. Given the
importance of the inhibitory circuitry to adult cortical plasticity and the
reorganization of cortical maps, we sought to address these questions by using
two-photon microscopy to monitor interneuron dendritic arbors of thy1-GFP-S
transgenic mice expressing GFP in neurons sparsely distributed across the
superficial layers of the neocortex. We find that interneuron dendritic branch
tip remodeling is a general feature of the adult cortical microcircuit, and that
remodeling rates are similar across primary sensory regions of different
modalities, but may differ in magnitude between primary sensory versus higher
cortical areas. We also show that branch tip remodeling occurs in bursts and
respects functional domain boundaries.
PMID- 21880905
TI - Training-induced neural plasticity in golf novices.
AB - Previous neuroimaging studies in the field of motor learning have shown that
learning a new skill induces specific changes of neural gray and white matter in
human brain areas necessary to control the practiced task. Former longitudinal
studies investigating motor skill learning have used strict training protocols
with little ecological validity rather than physical leisure activities, although
there are several retrospective and cross-sectional studies suggesting
neuroprotective effects of physical leisure activities. In the present
longitudinal MRI study, we used voxel-based morphometry to investigate training
induced gray matter changes in golf novices between the age of 40 and 60 years,
an age period when an active life style is assumed to counteract cognitive
decline. As a main result, we demonstrate that 40 h of golf practice, performed
as a leisure activity with highly individual training protocols, are associated
with gray matter increases in a task-relevant cortical network encompassing
sensorimotor regions and areas belonging to the dorsal stream. A new and striking
result is the relationship between training intensity (time needed to complete
the 40 training hours) and structural changes observed in the parieto-occipital
junction. Thus, we demonstrate that a physical leisure activity induces training
dependent changes in gray matter and assume that a strict and controlled training
protocol is not mandatory for training-induced adaptations of gray matter.
PMID- 21880906
TI - Platelet-derived growth factor B chain is a novel target gene of cocaine-mediated
Notch1 signaling: implications for HIV-associated neurological disorders.
AB - Neuroinflammation associated with HIV-1 infection is exacerbated in cocaine
abusing, HIV+ individuals. The underlying mechanisms are, in part, attributable
to disruption of the blood-brain barrier modulated by cocaine via platelet
derived growth factor B chain (PDGF-B). Since Notch signaling plays a critical
role in CNS homeostasis, we hypothesized that it may have a role in cocaine
mediated induction of PDGF-B. The goal of this study was to link Notch signaling
with PDGF-B. Using Western blot analysis, we demonstrate the role of Notch1
signaling in cocaine-mediated induction of PDGF-B in human brain microvascular
endothelial cells. Exposure of cells to the gamma-secretase inhibitor-DAPT or
silencing of Notch1 resulted in abrogation of cocaine-mediated induction of PDGF
B. Reciprocally, activation of the Notch1 receptor by exposing cells to the Notch
ligand Jagged-1 resulted in upregulation of PDGF-B expression. Furthermore, it
was demonstrated that cocaine-mediated activation of Notch1 signaling leading to
targeted expression of PDGF-B involved activation of the downstream effector CSL.
Functional implication of Notch1 signaling in regulating expression of the
vascular permeant PDGF-B was confirmed in vitro using cell permeability assays.
In vivo relevance was further corroborated in cocaine-treated mice that
demonstrated increased permeability of the endothelial barrier as evidenced by
Evans blue and sodium fluorescein extravasation. Specificity of Notch1 signaling
in vivo was validated in mice exposed to DAPT, which failed to demonstrate
barrier disruption following cocaine exposure. This is the first evidence of
involvement of Notch1 activation in cocaine-mediated regulation of PDGF-B
expression.
PMID- 21880907
TI - Involvement of newborn neurons in olfactory associative learning? The operant or
non-operant component of the task makes all the difference.
AB - New neurons are continuously generated in the adult mammalian olfactory bulb. The
role of these newborn neurons in olfactory learning has been debated. Blocking
the addition of neurons has been reported either to result in memory alteration
or to have no effect at all (Imayoshi et al., 2008; Breton-Provencher et al.,
2009; Lazarini et al., 2009; Sultan et al., 2010). These discrepancies may have
arisen from differences in the behavioral paradigms used: operant procedures
indicated that neurogenesis blockade had substantial effects on long-term memory
(Lazarini et al., 2009; Sultan et al., 2010) whereas other methods had little
effect (Imayoshi et al., 2008; Breton-Provencher et al., 2009). Surprisingly,
while operant learning is known to modulate the survival of new neurons, the
effect of non-operant learning on newborn cells is unknown. Here we use mice to
show that compared with operant learning, non-operant learning does not affect
cell survival, perhaps explaining the current controversy. In addition, we
provide evidence that distinct neural substrates at least partly underlie these
two forms of learning. We conclude that the involvement of newborn neurons in
learning is subtly dependent on the nature of the behavioral task.
PMID- 21880908
TI - Age-related changes in orienting attention in time.
AB - Temporal cues guide attentional resources toward relevant points in time,
resulting in optimized behavioral performance. Although deficits in aspects of
attention have been documented in older adults, it remains unknown whether the
critical ability to orient attention in time is affected by normal aging. To
address this, younger and older adults participated in a temporally cued target
response experiment while electroencephalographic data were recorded. Three
conditions (one detection and two discrimination tasks) were used to manipulate
task complexity. Response times show that younger adults, but not older adults,
used temporal cues to enhance performance regardless of task complexity.
Similarly, alpha band activity (8-12 Hz) and the contingent negative variation
preceding targets indicated that only younger adults engaged prestimulus,
anticipatory neural mechanisms associated with temporal cues. Overall, these
results provide novel evidence that older adults do not use temporal cues to
orient attention in time and support an expectation deficit in normal aging.
PMID- 21880909
TI - Genetic deletion of cdc42 reveals a crucial role for astrocyte recruitment to the
injury site in vitro and in vivo.
AB - It is generally suggested that astrocytes play important restorative functions
after brain injury, yet little is known regarding their recruitment to sites of
injury, despite numerous in vitro experiments investigating astrocyte polarity.
Here, we genetically manipulated one of the proposed key signals, the small
RhoGTPase Cdc42, selectively in mouse astrocytes in vitro and in vivo. We used an
in vitro scratch assay as a minimal wounding model and found that astrocytes
lacking Cdc42 (Cdc42Delta) were still able to form protrusions, although in a
nonoriented way. Consequently, they failed to migrate in a directed manner toward
the scratch. When animals were injured in vivo through a stab wound, Cdc42Delta
astrocytes developed protrusions properly oriented toward the lesion, but the
number of astrocytes recruited to the lesion site was significantly reduced.
Surprisingly, however, lesions in Cdc42Delta animals, harboring fewer astrocytes
contained significantly higher numbers of microglial cells than controls. These
data suggest that impaired recruitment of astrocytes to sites of injury has a
profound and unexpected effect on microglia recruitment.
PMID- 21880910
TI - Neuronal production of transthyretin in human and murine Alzheimer's disease: is
it protective?
AB - Transthyretin (TTR), a systemic amyloid precursor in the human TTR amyloidoses,
interacts with beta-amyloid (Abeta) in vitro, inhibits Abeta fibril formation,
and suppresses the Alzheimer's disease (AD) phenotype in APP23 mice bearing a
human APP gene containing the Swedish autosomal dominant AD mutation. In the
present study, we show that TTR is a neuronal product upregulated in AD.
Immunohistochemical analysis reveals that, in contrast to brains from non
demented age-matched individuals and control mice, the majority of hippocampal
neurons from human AD and all those from the APP23 mouse brains contain TTR.
Quantitative PCR for TTR mRNA and Western blot analysis show that primary neurons
from APP23 mice transcribe TTR mRNA, and the cells synthesize and secrete TTR
protein. TTR mRNA abundance is greatly increased in cultured cortical and
hippocampal embryonic neurons and cortical lysates from adult APP23 mice.
Antibodies specific for TTR and Abeta pulled down TTR/Abeta complexes from
cerebral cortical extracts of APP23 mice and some human AD patients but not from
control brains. In complementary tissue culture experiments, recombinant human
TTR suppressed the cytotoxicity of soluble Abeta aggregates added to mouse
neurons and differentiated human SH-SY5Y neuroblastoma cells. The findings that
production of Abeta, its precursor, or its related peptides induces neuronal TTR
transcription and synthesis and the presence of Abeta/TTR complexes in vivo
suggest that increased TTR production coupled with interaction between TTR and
Abeta and/or its related peptides may play a role in natural resistance to human
AD.
PMID- 21880912
TI - Saccadic inhibition reveals the timing of automatic and voluntary signals in the
human brain.
AB - Neurophysiological and phenomenological data on sensorimotor decision making are
growing so rapidly that it is now necessary and achievable to capture it in
biologically inspired models, for advancing our understanding in both research
and clinical settings. However, the main impediment in moving from elegant models
with few free parameters to more complex biological models in humans lies in
constraining the more numerous parameters with behavioral data (without human
single-cell recording). Here we show that a behavioral effect called "saccadic
inhibition" (1) is predicted by existing complex (neuronal field) models, (2)
constrains crucial temporal parameters of the model, precisely enough to address
individual differences, and (3) is not accounted for by current simple decision
models, even after significant additions. Visual onsets appearing while an
observer plans a saccade knock out a subpopulation of saccadic latencies that
would otherwise occur, producing a clear dip in the latency distribution. This
overlooked phenomenon is remarkably well time locked across conditions and
observers, revealing and characterizing a fast automatic component of visual
input to oculomotor competition. The neural field model not only captures this
but predicts additional features that are borne out: the dips show spatial
specificity, are lawfully modulated in contrast, and occur with S-cone stimuli
invisible to the retinotectal route. Overall, we provide a way forward for
applying precise neurophysiological models of saccade planning in humans at the
individual level.
PMID- 21880911
TI - Corticotropin-releasing factor receptor 1 antagonist alters regional activation
and effective connectivity in an emotional-arousal circuit during expectation of
abdominal pain.
AB - Alterations in corticotropin-releasing factor (CRF) signaling pathways have been
implicated in irritable bowel syndrome (IBS) pathophysiology. We aimed to (1)
determine the effect of the selective CRF receptor 1 antagonist (CRF(1)) GW876008
relative to placebo, on regional activation and effective connectivity of a
stress-related emotional-arousal circuit during expectation of abdominal pain
using functional magnetic resonance imaging in human subjects with a diagnosis of
IBS and healthy controls (HCs), and (2) examine GW876008 effects on state-trait
anxiety and hypothalamic-pituitary-adrenal (HPA) axis response. Although there
were no drug-related effects on peripheral HPA activity, significant central
effects were observed in brain regions associated with the stress response.
Effective connectivity analysis showed drug-induced normalizations between key
regions of the emotional-arousal circuit in patients. During pain expectation,
orally administered GW876008 relative to placebo produced significant blood
oxygen level-dependent (BOLD) signal reductions in the amygdala, hippocampus,
insula, anterior cingulate, and orbitomedial prefrontal cortices across groups.
Patients showed significantly greater BOLD responses in the left locus coeruleus
and hypothalamus after placebo compared with HCs, and BOLD signal decreases in
the left hypothalamus after drug. The inhibitory effects of GW876008 in the
hypothalamus in patients were moderated by anxiety; patients having average and
high levels of state anxiety showed drug-related BOLD decreases. GW876008
represents a novel tool for elucidating the neuronal mechanisms and circuitry
underlying hyperactivation of CRF/CRF(1) signaling and its role in IBS
pathophysiology. The unique state anxiety effects observed suggest a potential
pathway for therapeutic benefit of CRF(1) receptor antagonism for patients with
stress-sensitive disorders.
PMID- 21880913
TI - Dopamine-dependent long-term depression is expressed in striatal spiny neurons of
both direct and indirect pathways: implications for Parkinson's disease.
AB - Striatal medium spiny neurons (MSNs) are divided into two subpopulations exerting
distinct effects on motor behavior. Transgenic mice carrying bacterial artificial
chromosome (BAC) able to confer cell type-specific expression of enhanced green
fluorescent protein (eGFP) for dopamine (DA) receptors have been developed to
characterize differences between these subpopulations. Analysis of these mice, in
contrast with original pioneering studies, showed that striatal long-term
depression (LTD) was expressed in indirect but not in the direct pathway MSNs. To
address this mismatch, we applied a new approach using combined BAC technology
and receptor immunohistochemistry. We demonstrate that, in physiological
conditions, DA-dependent LTD is expressed in both pathways showing that the lack
of synaptic plasticity found in D(1) eGFP mice is associated to behavioral
deficits. Our findings suggest caution in the use of this tool and indicate that
the "striatal segregation" hypothesis might not explain all synaptic dysfunctions
in Parkinson's disease.
PMID- 21880914
TI - Compartmentalization of the GABAB receptor signaling complex is required for
presynaptic inhibition at hippocampal synapses.
AB - Presynaptic inhibition via G-protein-coupled receptors (GPCRs) and voltage-gated
Ca(2+) channels constitutes a widespread regulatory mechanism of synaptic
strength. Yet, the mechanism of intermolecular coupling underlying GPCR-mediated
signaling at central synapses remains unresolved. Using FRET spectroscopy, we
provide evidence for formation of spatially restricted (<100 A) complexes between
GABA(B) receptors composed of GB(1a)/GB(2) subunits, Galpha(o)beta(1)gamma(2) G
protein heterotrimer, and Ca(V)2.2 channels in hippocampal boutons. GABA release
was not required for the assembly but for structural reorganization of the
precoupled complex. Unexpectedly, GB(1a) deletion disrupted intermolecular
associations within the complex. The GB(1a) proximal C-terminal domain was
essential for association of the receptor, Ca(V)2.2 and Gbetagamma, but was
dispensable for agonist-induced receptor activation and cAMP inhibition.
Functionally, boutons lacking this complex-formation domain displayed impaired
presynaptic inhibition of Ca(2+) transients and synaptic vesicle release. Thus,
compartmentalization of the GABA(B1a) receptor, Gbetagamma, and Ca(V)2.2 channel
in a signaling complex is required for presynaptic inhibition at hippocampal
synapses.
PMID- 21880915
TI - Functional recovery after peripheral nerve injury is dependent on the pro
inflammatory cytokines IL-1beta and TNF: implications for neuropathic pain.
AB - IL-1beta and TNF are potential targets in the management of neuropathic pain
after injury. However, the importance of the IL-1 and TNF systems for peripheral
nerve regeneration and the mechanisms by which these cytokines mediate effects
are to be fully elucidated. Here, we demonstrate that mRNA and protein levels of
IL-1beta and TNF are rapidly upregulated in the injured mouse sciatic nerve. Mice
lacking both IL-1beta and TNF, or both IL-1 type 1 receptor (IL-1R1) and TNF type
1 receptor (TNFR1), showed reduced nociceptive sensitivity (mechanical allodynia)
compared with wild-type littermates after injury. Microinjecting recombinant IL
1beta or TNF at the site of sciatic nerve injury in IL-1beta- and TNF-knock-out
mice restored mechanical pain thresholds back to levels observed in injured wild
type mice. Importantly, recovery of sciatic nerve function was impaired in IL
1beta-, TNF-, and IL-1beta/TNF-knock-out mice. Notably, the infiltration of
neutrophils was almost completely prevented in the sciatic nerve distal stump of
mice lacking both IL-1R1 and TNFR1. Systemic treatment of mice with an anti-Ly6G
antibody to deplete neutrophils, cells that play an essential role in the genesis
of neuropathic pain, did not affect recovery of neurological function and
peripheral axon regeneration. Together, these results suggest that targeting
specific IL-1beta/TNF-dependent responses, such as neutrophil infiltration, is a
better therapeutic strategy for treatment of neuropathic pain after peripheral
nerve injury than complete blockage of cytokine production.
PMID- 21880916
TI - Accelerated age-related cognitive decline and neurodegeneration, caused by
deficient DNA repair.
AB - Age-related cognitive decline and neurodegenerative diseases are a growing
challenge for our societies with their aging populations. Accumulation of DNA
damage has been proposed to contribute to these impairments, but direct proof
that DNA damage results in impaired neuronal plasticity and memory is lacking.
Here we take advantage of Ercc1(Delta/-) mutant mice, which are impaired in DNA
nucleotide excision repair, interstrand crosslink repair, and double-strand break
repair. We show that these mice exhibit an age-dependent decrease in neuronal
plasticity and progressive neuronal pathology, suggestive of neurodegenerative
processes. A similar phenotype is observed in mice where the mutation is
restricted to excitatory forebrain neurons. Moreover, these neuron-specific
mutants develop a learning impairment. Together, these results suggest a causal
relationship between unrepaired, accumulating DNA damage, and age-dependent
cognitive decline and neurodegeneration. Hence, accumulated DNA damage could
therefore be an important factor in the onset and progression of age-related
cognitive decline and neurodegenerative diseases.
PMID- 21880917
TI - Kalirin binds the NR2B subunit of the NMDA receptor, altering its synaptic
localization and function.
AB - The ability of dendritic spines to change size and shape rapidly is critical in
modulating synaptic strength; these morphological changes are dependent upon
rearrangements of the actin cytoskeleton. Kalirin-7 (Kal7), a Rho guanine
nucleotide exchange factor localized to the postsynaptic density (PSD), modulates
dendritic spine morphology in vitro and in vivo. Kal7 activates Rac and interacts
with several PSD proteins, including PSD-95, DISC-1, AF-6, and Arf6. Mice
genetically lacking Kal7 (Kal7(KO)) exhibit deficient hippocampal long-term
potentiation (LTP) as well as behavioral abnormalities in models of addiction and
learning. Purified PSDs from Kal7(KO) mice contain diminished levels of NR2B, an
NMDA receptor subunit that plays a critical role in LTP induction. Here we
demonstrate that Kal7(KO) animals have decreased levels of NR2B-dependent NMDA
receptor currents in cortical pyramidal neurons as well as a specific deficit in
cell surface expression of NR2B. Additionally, we demonstrate that the genotypic
differences in conditioned place preference and passive avoidance learning seen
in Kal7(KO) mice are abrogated when animals are treated with an NR2B-specific
antagonist during conditioning. Finally, we identify a stable interaction between
the pleckstrin homology domain of Kal7 and the juxtamembrane region of NR2B
preceding its cytosolic C-terminal domain. Binding of NR2B to a protein that
modulates the actin cytoskeleton is important, as NMDA receptors require actin
integrity for synaptic localization and function. These studies demonstrate a
novel and functionally important interaction between the NR2B subunit of the NMDA
receptor and Kalirin, proteins known to be essential for normal synaptic
plasticity.
PMID- 21880918
TI - Sound rhythms are encoded by postinhibitory rebound spiking in the superior
paraolivary nucleus.
AB - The superior paraolivary nucleus (SPON) is a prominent structure in the auditory
brainstem. In contrast to the principal superior olivary nuclei with identified
roles in processing binaural sound localization cues, the role of the SPON in
hearing is not well understood. A combined in vitro and in vivo approach was used
to investigate the cellular properties of SPON neurons in the mouse. Patch-clamp
recordings in brain slices revealed that brief and well timed postinhibitory
rebound spiking, generated by the interaction of two subthreshold-activated ion
currents, is a hallmark of SPON neurons. The I(h) current determines the timing
of the rebound, whereas the T-type Ca(2+) current boosts the rebound to spike
threshold. This precisely timed rebound spiking provides a physiological
explanation for the sensitivity of SPON neurons to sinusoidally amplitude
modulated (SAM) tones in vivo, where peaks in the sound envelope drive inhibitory
inputs and SPON neurons fire action potentials during the waveform troughs.
Consistent with this notion, SPON neurons display intrinsic tuning to frequency
modulated sinusoidal currents (1-15Hz) in vitro and discharge with strong
synchrony to SAMs with modulation frequencies between 1 and 20 Hz in vivo. The
results of this study suggest that the SPON is particularly well suited to encode
rhythmic sound patterns. Such temporal periodicity information is likely
important for detection of communication cues, such as the acoustic envelopes of
animal vocalizations and speech signals.
PMID- 21880919
TI - The atypical Guanine-nucleotide exchange factor, dock7, negatively regulates
schwann cell differentiation and myelination.
AB - In development of the peripheral nervous system, Schwann cells proliferate,
migrate, and ultimately differentiate to form myelin sheath. In all of the
myelination stages, Schwann cells continuously undergo morphological changes;
however, little is known about their underlying molecular mechanisms. We
previously cloned the dock7 gene encoding the atypical Rho family guanine
nucleotide exchange factor (GEF) and reported the positive role of Dock7, the
target Rho GTPases Rac/Cdc42, and the downstream c-Jun N-terminal kinase in
Schwann cell migration (Yamauchi et al., 2008). We investigated the role of Dock7
in Schwann cell differentiation and myelination. Knockdown of Dock7 by the
specific small interfering (si)RNA in primary Schwann cells promotes dibutyryl
cAMP-induced morphological differentiation, indicating the negative role of Dock7
in Schwann cell differentiation. It also results in a shorter duration of
activation of Rac/Cdc42 and JNK, which is the negative regulator of myelination,
and the earlier activation of Rho and Rho-kinase, which is the positive regulator
of myelination. To obtain the in vivo evidence, we generated Dock7 short hairpin
(sh)RNA transgenic mice. They exhibited a decreased expression of Dock7 in the
sciatic nerves and enhanced myelin thickness, consistent with in vitro
observation. The effects of the in vivo knockdown on the signals to Rho GTPases
are similar to those of the in vitro knockdown. Collectively, the signaling
through Dock7 negatively regulates Schwann cell differentiation and the onset of
myelination, demonstrating the unexpected role of Dock7 in the interplay between
Schwann cell migration and myelination.
PMID- 21880920
TI - Enhanced sucrose and cocaine self-administration and cue-induced drug seeking
after loss of VGLUT2 in midbrain dopamine neurons in mice.
AB - The mesostriatal dopamine (DA) system contributes to several aspects of responses
to rewarding substances and is implicated in conditions such as drug addiction
and eating disorders. A subset of DA neurons has been shown to express the type 2
Vesicular glutamate transporter (Vglut2) and may therefore corelease glutamate.
In the present study, we analyzed mice with a conditional deletion of Vglut2 in
DA neurons (Vglut2(f/f;DAT-Cre)) to address the functional significance of the
glutamate-DA cophenotype for responses to cocaine and food reinforcement.
Biochemical parameters of striatal DA function were also examined by using DA
receptor autoradiography, immediate-early gene quantitative in situ hybridization
after cocaine challenge, and DA-selective in vivo chronoamperometry. Mice in
which Vglut2 expression had been abrogated in DA neurons displayed enhanced
operant self-administration of both high-sucrose food and intravenous cocaine.
Furthermore, cocaine seeking maintained by drug-paired cues was increased by 76%,
showing that reward-dependent plasticity is perturbed in these mice. In addition,
several lines of evidence suggest that adaptive changes occurred in both the
ventral and dorsal striatum in the absence of VGLUT2: DA receptor binding was
increased, and basal mRNA levels of the DA-induced early genes Nur77 and c-fos
were elevated as after cocaine induction. Furthermore, in vivo challenge of the
DA system by potassium-evoked depolarization revealed less DA release in both
striatal areas. This study demonstrates that absence of VGLUT2 in DA neurons
leads to perturbations of reward consumption as well as reward-associated memory,
features of particular relevance for addictive-like behavior.
PMID- 21880921
TI - Neural basis of adaptive response time adjustment during saccade countermanding.
AB - Humans and macaque monkeys adjust their response time adaptively in stop-signal
(countermanding) tasks, responding slower after stop-signal trials than after
control trials with no stop signal. We investigated the neural mechanism
underlying this adaptive response time adjustment in macaque monkeys performing a
saccade countermanding task. Earlier research showed that movements are initiated
when the random accumulation of presaccadic movement-related activity reaches a
fixed threshold. We found that a systematic delay in response time after stop
signal trials was accomplished not through a change of threshold, baseline, or
accumulation rate, but instead through a change in the time when activity first
began to accumulate. The neurons underlying movement initiation have been
identified with stochastic accumulator models of response time performance.
Therefore, this new result provides surprising new insights into the neural
instantiation of stochastic accumulator models and the mechanisms through which
executive control can be exerted.
PMID- 21880922
TI - Dissociable effects of top-down and bottom-up attention during episodic encoding.
AB - It is well established that the formation of memories for life's experiences
episodic memory-is influenced by how we attend to those experiences, yet the
neural mechanisms by which attention shapes episodic encoding are still unclear.
We investigated how top-down and bottom-up attention contribute to memory
encoding of visual objects in humans by manipulating both types of attention
during fMRI of episodic memory formation. We show that dorsal parietal cortex
specifically, intraparietal sulcus (IPS)-was engaged during top-down attention
and was also recruited during the successful formation of episodic memories. By
contrast, bottom-up attention engaged ventral parietal cortex-specifically,
temporoparietal junction (TPJ)-and was also more active during encoding failure.
Functional connectivity analyses revealed further dissociations in how top-down
and bottom-up attention influenced encoding: while both IPS and TPJ influenced
activity in perceptual cortices thought to represent the information being
encoded (fusiform/lateral occipital cortex), they each exerted opposite effects
on memory encoding. Specifically, during a preparatory period preceding stimulus
presentation, a stronger drive from IPS was associated with a higher likelihood
that the subsequently attended stimulus would be encoded. By contrast, during
stimulus processing, stronger connectivity with TPJ was associated with a lower
likelihood the stimulus would be successfully encoded. These findings suggest
that during encoding of visual objects into episodic memory, top-down and bottom
up attention can have opposite influences on perceptual areas that subserve
visual object representation, suggesting that one manner in which attention
modulates memory is by altering the perceptual processing of to-be-encoded
stimuli.
PMID- 21880923
TI - Loss of Mecp2 in substantia nigra dopamine neurons compromises the nigrostriatal
pathway.
AB - Mutations in the methyl-CpG-binding protein 2 (MeCP2) result in Rett syndrome
(RTT), an X-linked disorder that disrupts neurodevelopment. Girls with RTT
exhibit motor deficits similar to those in Parkinson's disease, suggesting
defects in the nigrostriatal pathway. This study examined age-dependent changes
in dopamine neurons of the substantia nigra (SN) from wild-type, presymptomatic,
and symptomatic Mecp2(+/-) mice. Mecp2(+) neurons in the SN in Mecp2(+/-) mice
were indistinguishable in morphology, resting conductance, and dopamine current
density from neurons in wild-type mice. However, the capacitance, total dendritic
length, and resting conductance of Mecp2(-) neurons were less than those of
Mecp2(+) neurons as early as 4 weeks after birth, before overt symptoms. These
differences were maintained throughout life. In symptomatic Mecp2(+/-) mice, the
current induced by activation of D(2) dopamine autoreceptors was significantly
less in Mecp2(-) neurons than in Mecp2(+) neurons, although D(2) receptor density
was unaltered in Mecp2(+/-) mice. Electrochemical measurements revealed that
significantly less dopamine was released after stimulation of striatum in adult
Mecp2(+/-) mice compared to wild type. The decrease in size and function of
Mecp2(-) neurons observed in adult Mecp2(+/-) mice was recapitulated in dopamine
neurons from symptomatic Mecp2(-/y) males. These results show that mutation in
Mecp2 results in cell-autonomous defects in the SN early in life and throughout
adulthood. Ultimately, dysfunction in terminal dopamine release and D(2)
autoreceptor-dependent currents in dopamine neurons from symptomatic females
support the idea that decreased dopamine transmission due to heterogeneous Mecp2
expression contributes to the parkinsonian features of RTT in Mecp2(+/-) mice.
PMID- 21880924
TI - Hearing loss in older adults affects neural systems supporting speech
comprehension.
AB - Hearing loss is one of the most common complaints in adults over the age of 60
and a major contributor to difficulties in speech comprehension. To examine the
effects of hearing ability on the neural processes supporting spoken language
processing in humans, we used functional magnetic resonance imaging to monitor
brain activity while older adults with age-normal hearing listened to sentences
that varied in their linguistic demands. Individual differences in hearing
ability predicted the degree of language-driven neural recruitment during
auditory sentence comprehension in bilateral superior temporal gyri (including
primary auditory cortex), thalamus, and brainstem. In a second experiment, we
examined the relationship of hearing ability to cortical structural integrity
using voxel-based morphometry, demonstrating a significant linear relationship
between hearing ability and gray matter volume in primary auditory cortex.
Together, these results suggest that even moderate declines in peripheral
auditory acuity lead to a systematic downregulation of neural activity during the
processing of higher-level aspects of speech, and may also contribute to loss of
gray matter volume in primary auditory cortex. More generally, these findings
support a resource-allocation framework in which individual differences in
sensory ability help define the degree to which brain regions are recruited in
service of a particular task.
PMID- 21880925
TI - Distinct mechanisms for size tuning in primate visual cortex.
AB - Most neurons in primary visual cortex (V1) are selective for stimulus size, a
property with important implications for salient feature detection. Size
selectivity involves dynamic interactions between neuronal circuits that
establish the classical (center) and extraclassical (surround) of a neuron's
receptive field. Although much is known about the tuning properties and stimulus
selectivity of the center and surround subunits, relatively little is known about
how these subunits interact to achieve size selectivity. To address this
question, we examined the temporal dynamics of size selectivity in two classes of
pyramidal neurons at similar hierarchical processing stages in V1 of alert
monkeys. These two classes were comprised of neurons in cortical layer 6 with
identified projections to the lateral geniculate nucleus. While both neuronal
groups displayed comparable levels of size selectivity, the temporal dynamics of
their tuning differed significantly. We compared the size tuning profiles of each
cell type with a series of sum-of-Gaussian models and discovered that the
receptive fields of neurons with fast-conducting axons contained an excitatory
center and a suppressive surround with similar onset timing. In contrast, neurons
with slow-conducting axons used two center components-an early wide-field
component and a delayed narrow-field component that increased activity-in
addition to the surround component. The early, wide-field component represents a
novel mechanism for cortical neurons to integrate contextual information. These
results demonstrate that size tuning in cortical neurons is established via
multiple unique mechanisms, dictated by the rich circuit architecture in which
neurons are embedded.
PMID- 21880926
TI - NMDA receptor signaling in oligodendrocyte progenitors is not required for
oligodendrogenesis and myelination.
AB - Oligodendrocyte precursor cells (OPCs) express NMDA receptors (NMDARs) and form
synapses with glutamatergic neurons throughout the CNS. Although glutamate
influences the proliferation and maturation of these progenitors in vitro, the
role of NMDAR signaling in oligodendrogenesis and myelination in vivo is not
known. Here, we investigated the consequences of genetically deleting the
obligatory NMDAR subunit NR1 from OPCs and their oligodendrocyte progeny in the
CNS of developing and mature mice. NMDAR-deficient OPCs proliferated normally,
achieved appropriate densities in gray and white matter, and differentiated to
form major white matter tracts without delay. OPCs also retained their
characteristic physiological and morphological properties in the absence of NMDAR
signaling and were able to form synapses with glutamatergic axons. However,
expression of calcium-permeable AMPA receptors (AMPARs) was enhanced in NMDAR
deficient OPCs. These results suggest that NMDAR signaling is not used to control
OPC development but to regulate AMPAR-dependent signaling with surrounding axons,
pointing to additional functions for these ubiquitous glial cells.
PMID- 21880927
TI - Overexpression of neurotrophin-3 stimulates a second wave of dopaminergic
amacrine cell genesis after birth in the mouse retina.
AB - Dopaminergic amacrine (DA) cells play multiple and important roles in retinal
function. Neurotrophins are known to modulate the number and morphology of DA
cells, but the underlying regulatory mechanisms are unclear. Here, we investigate
how neurotrophin-3 (NT-3) regulates DA cell density in the mouse retina. We
demonstrate that overexpression of NT-3 upregulates DA cell number and leads to a
consequent increase in the density of DA cell dendrites. To examine the
mechanisms of DA cell density increase, we further investigate the effect of NT-3
overexpression on retinal apoptosis and mitosis during development. We find that
NT-3 does not affect the well known wave of retinal cell apoptosis that normally
occurs during the first 2 weeks after birth. Instead, overexpression of NT-3
promotes additional mitosis of DA cells at postnatal day 4, but does not affect
cell mitosis before birth, the peak period of amacrine cell genesis in wild-type
retinas. We next show that retinal explants cultured from birth to day 7 without
extra NT-3 produced by lens exhibit similar number of DA cells as in wild type,
further supporting the notion that postnatal overexpression of lens-derived NT-3
affects DA cell number. Moreover, the additional mitosis after birth in NT-3
overexpressing mice does not occur in calretinin-positive amacrine cells or PKC
positive rod ON bipolar cells. Thus, the NT-3-triggered wave of cell mitosis
after birth is specific for the retinal DA cells.
PMID- 21880928
TI - Network rhythms influence the relationship between spike-triggered local field
potential and functional connectivity.
AB - Characterizing the functional connectivity between neurons is key for
understanding brain function. We recorded spikes and local field potentials
(LFPs) from multielectrode arrays implanted in monkey visual cortex to test the
hypotheses that spikes generated outward-traveling LFP waves and the strength of
functional connectivity depended on stimulus contrast, as described recently.
These hypotheses were proposed based on the observation that the latency of the
peak negativity of the spike-triggered LFP average (STA) increased with distance
between the spike and LFP electrodes, and the magnitude of the STA negativity and
the distance over which it was observed decreased with increasing stimulus
contrast. Detailed analysis of the shape of the STA, however, revealed
contributions from two distinct sources-a transient negativity in the LFP locked
to the spike (~0 ms) that attenuated rapidly with distance, and a low-frequency
rhythm with peak negativity ~25 ms after the spike that attenuated slowly with
distance. The overall negative peak of the LFP, which combined both these
components, shifted from ~0 to ~25 ms going from electrodes near the spike to
electrodes far from the spike, giving an impression of a traveling wave, although
the shift was fully explained by changing contributions from the two fixed
components. The low-frequency rhythm was attenuated during stimulus
presentations, decreasing the overall magnitude of the STA. These results
highlight the importance of accounting for the network activity while using STAs
to determine functional connectivity.
PMID- 21880929
TI - Frequency of subthreshold oscillations at different membrane potential voltages
in neurons at different anatomical positions on the dorsoventral axis in the rat
medial entorhinal cortex.
AB - Neurons from layer II of the medial entorhinal cortex show subthreshold membrane
potential oscillations (SMPOs) which could contribute to theta-rhythm generation
in the entorhinal cortex and to generation of grid cell firing patterns. However,
it is unclear whether single neurons have a fixed unique oscillation frequency or
whether their frequency varies depending on the mean membrane potential in a
cell. We therefore examined the frequency of SMPOs at different membrane
potentials in layer II stellate-like cells of the rat medial entorhinal cortex in
vitro. Using whole-cell patch recordings, we found that the fluctuations in
membrane potential show a broad band of low power frequencies near resting
potential that transition to more narrowband oscillation frequencies with
depolarization. The transition from broadband to narrowband frequencies depends
on the location of the neuron along the dorsoventral axis in the entorhinal
cortex, with dorsal neurons transitioning to higher-frequency oscillations
relative to ventral neurons transitioning to lower-frequency oscillations. Once
SMPOs showed a narrowband frequency, systematic frequency changes were not
observed with further depolarization. Using a Hodgkin-Huxley-style model of
membrane currents, we show that differences in the influence of depolarization on
the frequency of SMPOs at different dorsal to ventral positions could arise from
differences in the properties of the h current. The properties of frequency
changes in this data are important for evaluating models of the generation of
grid cell firing fields with different spacings along the dorsal-to-ventral axis
of medial entorhinal cortex.
PMID- 21880930
TI - The DEG/ENaC protein MEC-10 regulates the transduction channel complex in
Caenorhabditis elegans touch receptor neurons.
AB - Gentle touch sensation in Caenorhabditis elegans is mediated by the MEC-4/MEC-10
channel complex, which is expressed exclusively in six touch receptor neurons
(TRNs). The complex contains two pore-forming subunits, MEC-4 and MEC-10, as well
as the accessory subunits MEC-2, MEC-6, and UNC-24. MEC-4 is essential for
channel function, but beyond its role as a pore-forming subunit, the functional
contribution of MEC-10 to the channel complex and to touch sensation is unclear.
We addressed this question using behavioral assays, in vivo electrophysiological
recordings from TRNs, and heterologous expression of mutant MEC-10 isoforms.
Animals with a deletion in mec-10 showed only a partial loss of touch sensitivity
and a modest decrease in the size of the mechanoreceptor current (MRC). In
contrast, five previously identified mec-10 alleles acted as recessive gain-of
function alleles that resulted in complete touch insensitivity. Each of these
alleles produced a substantial decrease in MRC size and a shift in the reversal
potential in vivo. The latter finding indicates that these mec-10 mutations alter
the ionic selectivity of the transduction channel in vivo. All mec-10 mutant
animals had properly localized channel complexes, indicating that the loss of
MRCs was not attributable to a dramatic mislocalization of transduction channels.
Finally, electrophysiological examination of heterologously expressed complexes
suggests that mutant MEC-10 proteins may affect channel current via MEC-2.
PMID- 21880931
TI - Oligogalacturonide-auxin antagonism does not require posttranscriptional gene
silencing or stabilization of auxin response repressors in Arabidopsis.
AB - alpha-1-4-Linked oligogalacturonides (OGs) derived from plant cell walls are a
class of damage-associated molecular patterns and well-known elicitors of the
plant immune response. Early transcript changes induced by OGs largely overlap
those induced by flg22, a peptide derived from bacterial flagellin, a well
characterized microbe-associated molecular pattern, although responses diverge
over time. OGs also regulate growth and development of plant cells and organs,
due to an auxin-antagonistic activity. The molecular basis of this antagonism is
still unknown. Here we show that, in Arabidopsis (Arabidopsis thaliana), OGs
inhibit adventitious root formation induced by auxin in leaf explants as well as
the expression of several auxin-responsive genes. Genetic, biochemical, and
pharmacological experiments indicate that inhibition of auxin responses by OGs
does not require ethylene, jasmonic acid, and salicylic acid signaling and is
independent of RESPIRATORY BURST OXIDASE HOMOLOGUE D-mediated reactive oxygen
species production. Free indole-3-acetic acid levels are not noticeably altered
by OGs. Notably, OG- as well as flg22-auxin antagonism does not involve any of
the following mechanisms: (1) stabilization of auxin-response repressors; (2)
decreased levels of auxin receptor transcripts through the action of microRNAs.
Our results suggest that OGs and flg22 antagonize auxin responses independently
of Aux/Indole-3-Acetic Acid repressor stabilization and of posttranscriptional
gene silencing.
PMID- 21880932
TI - Key proliferative activity in the junction between the leaf blade and leaf
petiole of Arabidopsis.
AB - Leaves are the most important, fundamental units of organogenesis in plants.
Although the basic form of a leaf is clearly divided into the leaf blade and leaf
petiole, no study has yet revealed how these are differentiated from a leaf
primordium. We analyzed the spatiotemporal pattern of mitotic activity in leaf
primordia of Arabidopsis (Arabidopsis thaliana) in detail using molecular markers
in combination with clonal analysis. We found that the proliferative zone is
established after a short interval following the occurrence of a rod-shaped early
leaf primordium; it is separated spatially from the shoot apical meristem and
seen at the junction region between the leaf blade and leaf petiole and produces
both leaf-blade and leaf-petiole cells. This proliferative region in leaf
primordia is marked by activity of the ANGUSTIFOLIA3 (AN3) promoter as a whole
and seems to be differentiated into several spatial compartments: activities of
the CYCLIN D4;2 promoter and SPATULA enhancer mark parts of it specifically.
Detailed analyses of the an3 and blade-on-petiole mutations further support the
idea that organogenesis of the leaf blade and leaf petiole is critically
dependent on the correct spatial regulation of the proliferative region of leaf
primordia. Thus, the proliferative zone of leaf primordia is spatially
differentiated and supplies both the leaf-blade and leaf-petiole cells.
PMID- 21880933
TI - Molecular dissection of the roles of phytochrome in photoperiodic flowering in
rice.
AB - Phytochromes mediate the photoperiodic control of flowering in rice (Oryza
sativa), a short-day plant. Recent molecular genetics studies have revealed a
genetic network that enables the critical daylength response of florigen gene
expression. Analyses using a rice phytochrome chromophore-deficient mutant,
photoperiod sensitivity5, have so far revealed that within this network,
phytochromes are required for expression of Grain number, plant height and
heading date7 (Ghd7), a floral repressor gene in rice. There are three
phytochrome genes in rice, but the roles of each phytochrome family member in
daylength response have not previously been defined. Here, we revealed multiple
action points for each phytochrome in the critical daylength response of florigen
expression by using single and double phytochrome mutant lines of rice. Our
results show that either phyA alone or a genetic combination of phyB and phyC can
induce Ghd7 mRNA, whereas phyB alone causes some reduction in levels of Ghd7
mRNA. Moreover, phyB and phyA can affect Ghd7 activity and Early heading date1 (a
floral inducer) activity in the network, respectively. Therefore, each
phytochrome gene of rice has distinct roles, and all of the phytochrome actions
coordinately control the critical daylength response of florigen expression in
rice.
PMID- 21880935
TI - Slow and fast rhythms generated in the cerebral cortex of the anesthetized mouse.
AB - A characterization of the oscillatory activity in the cerebral cortex of the
mouse was realized under ketamine anesthesia. Bilateral recordings were obtained
from deep layers of primary visual, somatosensory, motor, and medial prefrontal
cortex. A slow oscillatory activity consisting of up and down states was
detected, the average frequency being 0.97 Hz in all areas. Different parameters
of the oscillation were estimated across cortical areas, including duration of up
and down states and their variability, speed of state transitions, and population
firing rate. Similar values were obtained for all areas except for prefrontal
cortex, which showed significant faster down-to-up state transitions, higher
firing rate during up states, and more regular cycles. The wave propagation
patterns in the anteroposterior axis in motor cortex and the mediolateral axis in
visual cortex were studied with multielectrode recordings, yielding speed values
between 8 and 93 mm/s. The firing of single units was analyzed with respect to
the population activity. The most common pattern was that of neurons firing in
>90% of the up states with 1-6 spikes. Finally, fast rhythms (beta, low gamma,
and high gamma) were analyzed, all of them showing significantly larger power
during up states than in down states. Prefrontal cortex exhibited significantly
larger power in both beta and gamma bands (up to 1 order of magnitude larger in
the case of high gamma) than the rest of the cortical areas. This study allows us
to carry out interareal comparisons and provides a baseline to compare against
cortical emerging activity from genetically altered animals.
PMID- 21880934
TI - Release from the cone ribbon synapse under bright light conditions can be
controlled by the opening of only a few Ca(2+) channels.
AB - Light hyperpolarizes cone photoreceptors, causing synaptic voltage-gated Ca(2+)
channels to open infrequently. To understand neurotransmission under these
conditions, we determined the number of L-type Ca(2+) channel openings necessary
for vesicle fusion at the cone ribbon synapse. Ca(2+) currents (I(Ca)) were
activated in voltage-clamped cones, and excitatory postsynaptic currents (EPSCs)
were recorded from horizontal cells in the salamander retina slice preparation.
Ca(2+) channel number and single-channel current amplitude were calculated by
mean-variance analysis of I(Ca). Two different comparisons-one comparing average
numbers of release events to average I(Ca) amplitude and the other involving
deconvolution of both EPSCs and simultaneously recorded cone I(Ca)-suggested that
fewer than three Ca(2+) channel openings accompanied fusion of each vesicle at
the peak of release during the first few milliseconds of stimulation. Opening
fewer Ca(2+) channels did not enhance fusion efficiency, suggesting that few
unnecessary channel openings occurred during strong depolarization. We simulated
release at the cone synapse, using empirically determined synaptic dimensions,
vesicle pool size, Ca(2+) dependence of release, Ca(2+) channel number, and
Ca(2+) channel properties. The model replicated observations when a barrier was
added to slow Ca(2+) diffusion. Consistent with the presence of a diffusion
barrier, dialyzing cones with diffusible Ca(2+) buffers did not affect release
efficiency. The tight clustering of Ca(2+) channels, along with a high-Ca(2+)
affinity release mechanism and diffusion barrier, promotes a linear coupling
between Ca(2+) influx and vesicle fusion. This may improve detection of small
light decrements when cones are hyperpolarized by bright light.
PMID- 21880936
TI - Taking into account latency, amplitude, and morphology: improved estimation of
single-trial ERPs by wavelet filtering and multiple linear regression.
AB - Across-trial averaging is a widely used approach to enhance the signal-to-noise
ratio (SNR) of event-related potentials (ERPs). However, across-trial variability
of ERP latency and amplitude may contain physiologically relevant information
that is lost by across-trial averaging. Hence, we aimed to develop a novel method
that uses 1) wavelet filtering (WF) to enhance the SNR of ERPs and 2) a multiple
linear regression with a dispersion term (MLR(d)) that takes into account shape
distortions to estimate the single-trial latency and amplitude of ERP peaks.
Using simulated ERP data sets containing different levels of noise, we provide
evidence that, compared with other approaches, the proposed WF+MLR(d) method
yields the most accurate estimate of single-trial ERP features. When applied to a
real laser-evoked potential data set, the WF+MLR(d) approach provides reliable
estimation of single-trial latency, amplitude, and morphology of ERPs and thereby
allows performing meaningful correlations at single-trial level. We obtained
three main findings. First, WF significantly enhances the SNR of single-trial
ERPs. Second, MLR(d) effectively captures and measures the variability in the
morphology of single-trial ERPs, thus providing an accurate and unbiased estimate
of their peak latency and amplitude. Third, intensity of pain perception
significantly correlates with the single-trial estimates of N2 and P2 amplitude.
These results indicate that WF+MLR(d) can be used to explore the dynamics between
different ERP features, behavioral variables, and other neuroimaging measures of
brain activity, thus providing new insights into the functional significance of
the different brain processes underlying the brain responses to sensory stimuli.
PMID- 21880937
TI - The ionic mechanism of gamma resonance in rat striatal fast-spiking neurons.
AB - Striatal fast-spiking (FS) cells in slices fire in the gamma frequency range and
in vivo are often phase-locked to gamma oscillations in the field potential. We
studied the firing patterns of these cells in slices from rats ages 16-23 days to
determine the mechanism of their gamma resonance. The resonance of striatal FS
cells was manifested as a minimum frequency for repetitive firing. At rheobase,
cells fired a doublet of action potentials or doublets separated by pauses, with
an instantaneous firing rate averaging 44 spikes/s. The minimum rate for
sustained firing was also responsible for the stuttering firing pattern. Firing
rate adapted during each episode of firing, and bursts were terminated when
firing was reduced to the minimum sustainable rate. Resonance and stuttering
continued after blockade of Kv3 current using tetraethylammonium (0.1-1 mM). Both
gamma resonance and stuttering were strongly dependent on Kv1 current. Blockade
of Kv1 channels with dendrotoxin-I (100 nM) completely abolished the stuttering
firing pattern, greatly lowered the minimum firing rate, abolished gamma-band
subthreshold oscillations, and slowed spike frequency adaptation. The loss of
resonance could be accounted for by a reduction in potassium current near spike
threshold and the emergence of a fixed spike threshold. Inactivation of the Kv1
channel combined with the minimum firing rate could account for the stuttering
firing pattern. The resonant properties conferred by this channel were shown to
be adequate to account for their phase-locking to gamma-frequency inputs as seen
in vivo.
PMID- 21880939
TI - Superposition and modulation of muscle synergies for reaching in response to a
change in target location.
AB - We have recently shown that the muscle patterns for reaching are well described
by the combination of a few time-varying muscle synergies supporting the notion
of a modular architecture for arm control. Here we investigated whether the
muscle patterns for reaching movements involving online corrections are also
generated by the combination of the same set of time-varying muscle synergies
used for point-to-point movements. We recorded endpoint kinematics and EMGs from
up to 16 arm muscles of 5 subjects reaching from a central location to 8
peripheral targets in the frontal plane, from each peripheral target to 1 of the
2 adjacent targets, and from the central location initially to 1 peripheral
target and, after a delay of either 50, 150, or 250 ms from the go signal, to 1
of the 2 adjacent targets. Time-varying muscle synergies were extracted from the
averaged, phasic, normalized EMGs of point-to-point movements and fit to the
patterns of target change movements using an iterative optimization algorithm. In
all subjects, three time-varying muscle synergies explained a large fraction of
the data variation of point-to-point movements. The superposition and modulation
of the same three synergies reconstructed the muscle patterns for target change
movements better than the superposition and modulation of the corresponding point
to-point muscle patterns, appropriately aligned. While at the kinematic level the
corrective trajectory for reaching during a change in target location can be
obtained by the delayed superposition of the trajectory from the initial to the
final target, at the muscle level the underlying phasic muscle patterns are
captured by the amplitude and timing modulation of the same time-varying muscle
synergies recruited for point-to-point movements. These results suggest that a
common modular architecture is used for the control of unperturbed arm movement
and for its visually guided online corrections.
PMID- 21880938
TI - Hand kinematics of piano playing.
AB - Dexterous use of the hand represents a sophisticated sensorimotor function. In
behaviors such as playing the piano, it can involve strong temporal and spatial
constraints. The purpose of this study was to determine fundamental patterns of
covariation of motion across joints and digits of the human hand. Joint motion
was recorded while 5 expert pianists played 30 excerpts from musical pieces,
which featured ~50 different tone sequences and fingering. Principal component
analysis and cluster analysis using an expectation-maximization algorithm
revealed that joint velocities could be categorized into several patterns, which
help to simplify the description of the movements of the multiple degrees of
freedom of the hand. For the thumb keystroke, two distinct patterns of joint
movement covariation emerged and they depended on the spatiotemporal patterns of
the task. For example, the thumb-under maneuver was clearly separated into two
clusters based on the direction of hand translation along the keyboard. While the
pattern of the thumb joint velocities differed between these clusters, the
motions at the metacarpo-phalangeal and proximal-phalangeal joints of the four
fingers were more consistent. For a keystroke executed with one of the fingers,
there were three distinct patterns of joint rotations, across which motion at the
striking finger was fairly consistent, but motion of the other fingers was more
variable. Furthermore, the amount of movement spillover of the striking finger to
the adjacent fingers was small irrespective of the finger used for the keystroke.
These findings describe an unparalleled amount of independent motion of the
fingers.
PMID- 21880940
TI - TMS of the right angular gyrus modulates priming of pop-out in visual search:
combined TMS-ERP evidence.
AB - During priming of pop-out, performance at discriminating a pop-out feature target
in visual search is affected by whether the target on the previous trial was
defined by the same feature as on the upcoming trial. Recent studies suggest that
priming of pop-out relies on attentional processes. With the use of simultaneous,
combined transcranial magnetic stimulation and event-related potential recording
(TMS-ERP), we tested for any critical role of the right angular gyrus (rANG) and
left and right frontal eye fields (FEFs)-key attentional sites-in modulating both
performance and the ERPs evoked by such visual events. Intertrial TMS trains were
applied while participants discriminated the orientation of a color pop-out
element in a visual search array. rANG TMS disrupted priming of pop-out, reducing
reaction time costs on switch trials and speeding responses when the color of the
pop-out target switched. rANG TMS caused a negativity in the ERP elicited in
response to the visual stimulus array, starting 210 ms after stimulus onset. Both
behavioral and ERP effects were apparent only after rANG TMS, on switch trials,
and when the target in the visual search array was presented in the left visual
field, with no effects after left or right FEF TMS. These results provide
evidence for an attentional reorienting mechanism, which originates in the rANG
and is modulated by the implicit memory of the previous trial. The rANG plays a
causal role on switch trials during priming of pop-out by interacting with visual
processing, particularly in the ipsilateral hemisphere representing the
contralateral hemifield.
PMID- 21880941
TI - How reliable are the functional connectivity networks of MEG in resting states?
AB - We investigated the reliability of nodal network metrics of functional
connectivity (FC) networks of magnetoencephalography (MEG) covering the whole
brain at the sensor level in the eyes-closed (EC) and eyes-open (EO) resting
states. Mutual information (MI) was employed as a measure of FC between sensors
in theta, alpha, beta, and gamma frequency bands of MEG signals. MI matrices were
assessed with three nodal network metrics, i.e., nodal degree (Dnodal), nodal
efficiency (Enodal), and betweenness centrality (normBC). Intraclass correlation
(ICC) values were calculated as a measure of reliability. We observed that the
test-retest reliabilities of the resting states ranged from a poor to good level
depending on the bands and metrics used for defining the nodal centrality. The
dominant alpha-band FC network changes were the salient features of the state
related FC changes. The FC networks in the EO resting state showed greater
reliability when assessed by Dnodal (maximum mean ICC = 0.655) and Enodal
(maximum mean ICC = 0.604) metrics. The gamma-band FC network was less reliable
than the theta, alpha, and beta networks across the nodal network metrics.
However, the sensor-wise ICC values for the nodal centrality metrics were not
uniformly distributed, that is, some sensors had high reliability. This study
provides a sense of how the nodal centralities of the human resting state MEG are
distributed at the sensor level and how reliable they are. It also provides a
fundamental scientific background for continued examination of the resting state
of human MEG.
PMID- 21880944
TI - The co-occurrence of multisensory facilitation and cross-modal conflict in the
human brain.
AB - Perceptual objects often comprise a visual and auditory signature that arrives
simultaneously through distinct sensory channels, and cross-modal features are
linked by virtue of being attributed to a specific object. Continued exposure to
cross-modal events sets up expectations about what a given object most likely
"sounds" like, and vice versa, thereby facilitating object detection and
recognition. The binding of familiar auditory and visual signatures is referred
to as semantic, multisensory integration. Whereas integration of semantically
related cross-modal features is behaviorally advantageous, situations of sensory
dominance of one modality at the expense of another impair performance. In the
present study, magnetoencephalography recordings of semantically related cross
modal and unimodal stimuli captured the spatiotemporal patterns underlying
multisensory processing at multiple stages. At early stages, 100 ms after
stimulus onset, posterior parietal brain regions responded preferentially to
cross-modal stimuli irrespective of task instructions or the degree of semantic
relatedness between the auditory and visual components. As participants were
required to classify cross-modal stimuli into semantic categories, activity in
superior temporal and posterior cingulate cortices increased between 200 and 400
ms. As task instructions changed to incorporate cross-modal conflict, a process
whereby auditory and visual components of cross-modal stimuli were compared to
estimate their degree of congruence, multisensory processes were captured in
parahippocampal, dorsomedial, and orbitofrontal cortices 100 and 400 ms after
stimulus onset. Our results suggest that multisensory facilitation is associated
with posterior parietal activity as early as 100 ms after stimulus onset.
However, as participants are required to evaluate cross-modal stimuli based on
their semantic category or their degree of congruence, multisensory processes
extend in cingulate, temporal, and prefrontal cortices.
PMID- 21880943
TI - Molecular and functional differences in voltage-activated sodium currents between
GABA projection neurons and dopamine neurons in the substantia nigra.
AB - GABA projection neurons (GABA neurons) in the substantia nigra pars reticulata
(SNr) and dopamine projection neurons (DA neurons) in substantia nigra pars
compacta (SNc) have strikingly different firing properties. SNc DA neurons fire
low-frequency, long-duration spikes, whereas SNr GABA neurons fire high
frequency, short-duration spikes. Since voltage-activated sodium (Na(V)) channels
are critical to spike generation, the different firing properties raise the
possibility that, compared with DA neurons, Na(V) channels in SNr GABA neurons
have higher density, faster kinetics, and less cumulative inactivation. Our
quantitative RT-PCR analysis on immunohistochemically identified nigral neurons
indicated that mRNAs for pore-forming Na(V)1.1 and Na(V)1.6 subunits and
regulatory Na(V)beta1 and Na(v)beta4 subunits are more abundant in SNr GABA
neurons than SNc DA neurons. These alpha-subunits and beta-subunits are key
subunits for forming Na(V) channels conducting the transient Na(V) current
(I(NaT)), persistent Na current (I(NaP)), and resurgent Na current (I(NaR)).
Nucleated patch-clamp recordings showed that I(NaT) had a higher density, a
steeper voltage-dependent activation, and a faster deactivation in SNr GABA
neurons than in SNc DA neurons. I(NaT) also recovered more quickly from
inactivation and had less cumulative inactivation in SNr GABA neurons than in SNc
DA neurons. Furthermore, compared with nigral DA neurons, SNr GABA neurons had a
larger I(NaR) and I(NaP). Blockade of I(NaP) induced a larger hyperpolarization
in SNr GABA neurons than in SNc DA neurons. Taken together, these results
indicate that Na(V) channels expressed in fast-spiking SNr GABA neurons and slow
spiking SNc DA neurons are tailored to support their different spiking
capabilities.
PMID- 21880942
TI - Pain-related deactivation of medial prefrontal cortical neurons involves mGluR1
and GABA(A) receptors.
AB - Pain-related hyperactivity in the amygdala leads to deactivation of the medial
prefrontal cortex (mPFC) and decision-making deficits. The mechanisms of pain
related inhibition of the mPFC are not yet known. Here, we used extracellular
single-unit recordings of prelimbic mPFC neurons to determine the role of GABA(A)
receptors and metabotropic glutamate receptor (mGluR) subtypes, mGluR1 and
mGluR5, in pain-related activity changes of mPFC neurons. Background and evoked
activity of mPFC neurons decreased after arthritis induction. To determine pain
related changes, the same neuron was recorded continuously before and after
induction of arthritis in one knee joint by intra-articular injection of
kaolin/carrageenan. Stereotaxic administration of a GABA(A) receptor antagonist
{[R-(R*,S*)]-5-(6,8-dihydro-8-oxofuro[3,4-e]-1,3-benzodioxol-6-yl)-5,6,7,8
tetrahydro-6,6-dimethyl-1,3-dioxolo[4,5-g]isoquinolinium iodide (bicuculline)}
into the mPFC by microdialysis reversed pain-related inhibition, whereas offsite
injections into the adjacent anterior cingulate cortex had no or opposite effects
on prelimbic mPFC neurons. A selective mGluR1/5 agonist [(S)-3,5
dihydroxyphenylglycine (DHPG)] inhibited background and evoked activity under
normal conditions through a GABAergic mechanism, because the inhibitory effect
was blocked with bicuculline. In the arthritis pain state, DHPG, alone or in the
presence of bicuculline, had no effect. Consistent with the involvement of mGluR1
in pain-related inhibition of the mPFC, a selective mGluR1 antagonist [(S)-(+)
alpha-amino-4-carboxy-2-methylbenzeneacetic acid] reversed the pain-related
decrease of background and evoked activity of mPFC neurons in arthritis, whereas
a selective mGluR5 antagonist [2-methyl-6-(phenylethynyl)pyridine hydrochloride]
had no effect. The mGluR antagonists had no effect under normal conditions. We
interpret our data to suggest that pain-related inhibition of mPFC neurons in the
arthritis model depends on mGluR1-mediated endogenous activation of GABA(A)
receptors. Exogenous activation of mGluR1/5 produces GABAergic inhibition under
normal conditions. Restoring normal activity in the mPFC may be a therapeutic
strategy to improve cognitive deficits associated with persistent pain.
PMID- 21880945
TI - Optogenetic regulation of leg movement in midstage chick embryos through
peripheral nerve stimulation.
AB - Numerous disorders that affect proper development, including the structure and
function of the nervous system, are associated with altered embryonic movement.
Ongoing challenges are to understand in detail how embryonic movement is
generated and to understand better the connection between proper movement and
normal nervous system function. Controlled manipulation of embryonic limb
movement and neuronal activity to assess short- and long-term outcomes can be
difficult. Optogenetics is a powerful new approach to modulate neuronal activity
in vivo. In this study, we have used an optogenetics approach to activate
peripheral motor axons and thus alter leg motility in the embryonic chick. We
used electroporation of a transposon-based expression system to produce ChIEF, a
channelrhodopsin-2 variant, in the lumbosacral spinal cord of chick embryos. The
transposon-based system allows for stable incorporation of transgenes into the
genomic DNA of recipient cells. ChIEF protein is detectable within 24 h of
electroporation, largely membrane-localized, and found throughout embryonic
development in both central and peripheral processes. The optical clarity of thin
embryonic tissue allows detailed innervation patterns of ChIEF-containing motor
axons to be visualized in the living embryo in ovo, and pulses of blue light
delivered to the thigh can elicit stereotyped flexures of the leg when the embryo
is at rest. Continuous illumination can disrupt full extension of the leg during
spontaneous movements. Therefore, our results establish an optogenetics approach
to alter normal peripheral axon function and to probe the role of movement and
neuronal activity in sensorimotor development throughout embryogenesis.
PMID- 21880946
TI - Repeated use of surrogate mothers for embryo transfer in the mouse.
AB - Embryo transfer in mice is a crucial technique for generation of transgenic
animals, rederivation of contaminated lines, and revitalization of cryopreserved
strains, and it is a key component of assisted reproduction techniques. It is
common practice to use females only once as surrogate mothers. However, their
reuse for a second embryo transfer could provide hygienic and economic advantages
and conform to the concept of the 3Rs (replace, reduce, refine). This
investigation evaluated the potential for a second embryo transfer in terms of
feasibility, reproductive results, and experimental burden for the animal. Virgin
female ICR mice (age 8-16 wk) were used as recipients for the first embryo
transfer. Immediately after weaning of the first litter, a second surgical embryo
transfer was performed into the same oviduct. Virgin females of comparable age to
the reused mothers served as controls and underwent the same procedure. The first
surgery did not affect the success of the second embryo transfer. Histological
sections showed excellent wound healing without relevant impairment of involved
tissues. We observed no differences in pregnancy rates or litter sizes between
the transfer groups. Most importantly, we found no change in behavior indicating
reduced well-being and no increase of corticosterone metabolites in the feces of
surrogate mothers reused for a second embryo transfer. We conclude that a second
embryo transfer in mice is feasible with regard to reproductive and animal
welfare aspects.
PMID- 21880947
TI - Tumor necrosis factor alpha may act as an intraovarian mediator of luteinizing
hormone-induced oocyte maturation in trout.
AB - In fish, like in other vertebrates, luteinizing hormone (Lh) is an essential
hormone for the completion of oocyte maturation. In salmonid fish (i.e., salmon
and trout), oocyte maturation is induced by Lh through its stimulation of the
production of the maturation-inducing steroid, 17alpha,20beta-dihydroxy-4-pregnen
3-one (17,20beta-P). In mammals, several factors, including ovarian cytokines and
growth factors, have been reported to contribute to the regulation of oocyte
maturation. In fish, growing evidence suggests that tumor necrosis factor alpha
(hereafter referred to as Tnf) could play multiple physiological roles in the
control of ovarian function. In the present study, we have investigated the
possible involvement of Tnf in the regulation of oocyte maturation in brown trout
(Salmo trutta). Our results show that in vitro treatment of brown trout
preovulatory follicles with coho salmon (Oncorhynchus kisutch) Lh (sLh)
significantly increased oocyte maturation, as assessed by germinal vesicle
breakdown (GVBD), and that this effect was blocked by TAPI-1 (an inhibitor of Tnf
converting enzyme or Tace/Adam17). Furthermore, treatment of preovulatory
follicles with sLh increased the expression of tnf and tace/adam17 as well as the
secretion of the Tnf protein. Importantly, recombinant trout Tnf (rtTnf)
significantly increased GVBD in vitro. Our results also show that the stimulatory
effects of rtTnf on oocyte maturation may be the result of the direct involvement
of rtTnf in stimulating the production of the maturation-inducing steroid as
evidenced, first, by the stimulatory effects of rtTnf on 17,20beta-P production
in vitro and on the expression of cholesterol side-chain cleavage P450 cytochrome
(p450scc) and 20beta-hydroxysteroid dehydrogenase/carbonyl reductase 1 (cbr1),
the enzyme responsible for the production of 17,20beta-P, and, second, by the
ability of TAPI-1 to block the stimulatory effects of sLh on 17,20beta-P
production and cbr1 expression. Furthermore, sLh and rtTnf increased the
expression of the Lh receptor (lhr) and decreased the expression of aromatase
(cyp19a1), and TAPI-1 completely blocked the effects of sLh. These results
strongly suggest that Tnf may contribute to the regulation of oocyte maturation
by Lh in trout.
PMID- 21880949
TI - Shoulder sport-specific impairments after arthroscopic Bankart repair: a
prospective longitudinal assessment.
AB - BACKGROUND: Reports of return to shoulder-dependent sport after surgical
stabilization previously underestimated impairments, which were not reflected in
the score systems used. HYPOTHESIS: Return to shoulder-dependent sport depends on
the type of sport performed. STUDY DESIGN: Case series; Level of evidence, 4.
METHODS: Forty-seven athletes (26.9 years of age at surgery) who underwent
isolated arthroscopic Bankart repair were longitudinally monitored by shoulder
dependent sport-specific activity (Shoulder Sport Activity Score [SSAS]) and
ability (Athletic Shoulder Outcome Scoring System [ASOSS]) scores and visual
analog scales for reachieved proficiency level, sport-specific shoulder pain, and
functional deficits. Data were assessed at 4 points of treatment: preoperatively,
and postoperatively after 6, 16, and 32 months (P0-P3). Athletes were analyzed
separately according to shoulder sport: noncollision/nonoverhead (G1), collision
(G2), overhead (G3), and martial arts (G4). RESULTS: The G1 and G2 athletes had
re-achieved the preinjury sport activity and sport proficiency status and
excellent ASOSS scores after 32 months (SSAS(G1) = 7.2, SSAS(G2) = 8.1, ASOSS(G1)
= 94.4, ASOSS(G2) = 95.2), whereas G3 and G4 athletes remained at an inferior
activity level (SSAS(G3) = 8.0, SSAS(G4) = 8.3) and proficiency level. The ASOSS
documented a prolonged period of shoulder rehabilitation for G3 and G4 athletes
to reach a good shoulder-dependent sport ability outcome after 32 months
(ASOSS(G3) = 89.0, ASOSS(G4) = 93.1). All groups recorded persisting limitations
in visual analog scales for sport-specific shoulder function and pain. The
established scores (Rowe = 95.9, Walch-Duplay = 93.3, Constant = 94.0) did not
reflect these sport-specific impairments. Athletes with 5 or more preoperative
dislocations had significantly longer surgery-to-sport resumption intervals with
a prolonged proficiency recovery. CONCLUSION: The athletes' shoulder
stabilization resulted in a prolonged rehabilitation depending on the functional
demand of the performed shoulder-dependent sport, as shown by the specific
shoulder sport score systems.
PMID- 21880948
TI - Mediators of the Jak/STAT signaling pathway in human spermatozoa.
AB - In their journey to acquire the ability to fertilize the egg, numerous
intracellular signaling systems are activated in spermatozoa, leading to an
increase in protein tyrosine phosphorylation. Although the JAK/STAT signaling
pathway is usually associated with the activation of transcription of specific
genes, our laboratory previously demonstrated the presence of the IL6 receptor
(IL6R) and the Janus kinase 1 (JAK1) in human spermatozoa, a cell that is mostly
transcriptionally inactive. In order to determine the importance of the JAK/STAT
signaling pathway, our objectives were to identify and characterize the mediators
of this system in human sperm. Cell fractionation and surface biotinylation
assays clearly demonstrated that IL6R is expressed at the sperm membrane surface.
The kinase JAK1 is enriched in membrane fractions and is activated during human
sperm capacitation as suggested by its increase in phosphotyrosine content. Many
signal transducer and activator of transcription (STAT) proteins are expressed in
human sperm, including STAT1, STAT3, STAT4, STAT5, and STAT6. Among them, only
STAT1 and STAT5 were detected in the cytosolic fraction. All the detected STAT
proteins were enriched in the cytoskeletal structures. STAT4 was present in the
perinuclear theca, whereas JAK1, STAT1, and STAT5 were detected in the fibrous
sheath. Indirect immunofluorescence studies showed that JAK1 and STAT1
colocalized in the neck region and that STAT4 is present at the equatorial
segment and flagella. The presence of STAT proteins in sperm structural
components suggests that their role is different from their well-known
transcription factor activity in somatic cells, but further investigations are
required to determine their role in sperm function.
PMID- 21880950
TI - Management and outcomes of latissimus dorsi and teres major injuries in
professional baseball pitchers.
AB - BACKGROUND: Very little data exist on latissimus dorsi (LD) and teres major (TM)
injuries in professional baseball pitchers. PURPOSE: This review was undertaken
to report on the management and outcomes of baseball pitchers with injury to 1 or
both of these muscles. STUDY DESIGN: Case series; Level of evidence, 4. METHODS:
A retrospective review of 16 professional baseball pitchers diagnosed and treated
for an LD and/or TM tear between 2002 and 2008 was performed. Magnetic resonance
imaging confirmed the diagnosis in all cases. The mean age was 28.1 years. All
were treated nonoperatively with rest, rehabilitation, and return to pitching
after a throwing program. The injuries included tendon avulsions in 6 athletes
and strains in 10. Length of disabled time, return to prior level of pitching,
and recurrences were noted. RESULTS: Fifteen of 16 pitchers (94%) returned to the
same or higher level of play. The mean time to throwing was 35.6 days. Mean time
to pitching was 61.9 days. Nine of 16 injuries (56%) were season-ending. Mean
total time lost for athletes returning the same season was 82.4 days. Two of 16
pitchers (13%) sustained recurrent injuries. Prior shoulder and elbow injuries
were noted in 75% (12 of 16). CONCLUSION: Injury of the LD and/or TM can occur in
pitchers. Nonoperative treatment is successful in allowing a return to high-level
pitching.
PMID- 21880951
TI - Food acculturation drives dietary differences among Mexicans, Mexican Americans,
and Non-Hispanic Whites.
AB - Our aim was to examine the effects of food acculturation on Mexican Americans'
(MA) diets, taking the Mexican diet as reference. We used nationally
representative samples of children (2-11 y) and female adolescents and adults (12
49 y) from the Mexican National Nutrition Survey 1999 and NHANES 1999-2006 to
compare the diets of Mexicans (n = 5678), MA born in Mexico (MAMX) (n = 1488), MA
born in the United States (MAUS) (n = 3654), and non-Hispanic white Americans (NH
White) (n = 5473). One 24-h diet recall was used to examine the percentage
consuming and percentage energy consumed from selected food groups. Most of the
food groups analyzed displayed a fairly linear increase or decrease in percent
energy/capita intake in this order: Mexican, MAMX, MAUS, NH-White. However, few
significant differences were observed among the US subpopulations, especially
among MAUS and NH-Whites. Overall, compared to Mexicans, the US subpopulations
had greater intakes of saturated fat, sugar, dessert and salty snacks, pizza and
French fries, low-fat meat and fish, high-fiber bread, and low-fat milk, as well
as decreased intakes of corn tortillas, low-fiber bread, high-fat milk, and
Mexican fast food. Furthermore, the patterns were similar in all age groups.
Although we found a mix of positive and negative aspects of food acculturation,
the overall proportion of energy obtained from unhealthy foods was higher among
the US subpopulations. Our findings indicate that within one generation in the
US, the influence of the Mexican diet is almost lost. In addition, our results
reinforce the need to discourage critical unhealthful components of the American
diet among MA.
PMID- 21880952
TI - Probiotic Lactobacillus reuteri alleviates the response to gastric distension in
rats.
AB - Probiotic lactic acid bacteria have been reported to alleviate symptoms in
patients with irritable bowel syndrome. However, they have not been tested for
use in functional gastric disease. We therefore investigated if strains
previously shown to protect from response to colorectal distension (CRD) in rats
also modulate response to gastric distension (GD). Healthy, male Sprague-Dawley
rats were treated with viable, heat-killed, gamma-irradiated Lactobacillus
reuteri or viable Lactobacillus plantarum wild type (WT), L. plantarum Dlt
mutant, conditioned medium or medium control (9 d), and subjected to GD under
anesthesia using an i.g. Teflon catheter. Effects were measured by heart rate
(HR) changes during noxious distension (60 mm Hg) compared to baseline HR values.
We also investigated the localization of viable, green fluorescent protein
transfected bacteria in the stomach mucosa. Viable L. reuteri decreased the
bradycardia induced by noxious GD compared to placebo controls (P < 0.001). Heat
killed or gamma-irradiated L. reuteri and conditioned medium did not have a
protective effect in GD. Viable L. plantarum WT and Dlt-mutant, previously shown
to be effective antinociceptive agents in CRD, showed no protective effect in GD.
All viable bacteria were associated with the pars glandularis of the rat stomach.
Thus, we conclude that the antinociceptive mechanisms of action of probiotic
bacteria differ between the stomach and the colon. Symptom alleviation cannot be
attributed to the localization of the bacteria in the stomach. Information
derived from effects of CRD cannot be extrapolated to effects in the stomach,
which are likely to be strain and organ specific.
PMID- 21880953
TI - Creatine supplementation prevents the accumulation of fat in the livers of rats
fed a high-fat diet.
AB - The aim of the present study was to examine the effects of creatine
supplementation on liver fat accumulation induced by a high-fat diet in rats.
Rats were fed 1 of 3 different diets for 3 wk: a control liquid diet (C), a high
fat liquid diet (HF), or a high-fat liquid diet supplemented with creatine (HFC).
The C and HF diets contained, respectively, 35 and 71% of energy derived from
fat. Creatine supplementation involved the addition of 1% (wt:v) of creatine
monohydrate to the liquid diet. The HF diet increased total liver fat
concentration, liver TG, and liver TBARS and decreased the hepatic S
adenosylmethionine (SAM) concentration. Creatine supplementation normalized all
of these perturbations. Creatine supplementation significantly decreased the
renal activity of l-arginine:glycine amidinotransferase and plasma
guanidinoacetate and prevented the decrease in hepatic SAM concentration in rats
fed the HF diet. However, there was no change in either the
phosphatidylcholine:phosphatidylethanolamine (PE) ratio or PE N-methyltransferase
activity. The HF diet decreased mRNA for PPARalpha as well as 2 of its targets,
carnitine palmitoyltransferase and long-chain acylCoA dehydrogenase. Creatine
supplementation normalized these mRNA levels. In conclusion, creatine
supplementation prevented the fatty liver induced by feeding rats a HF diet,
probably by normalization of the expression of key genes of beta-oxidation.
PMID- 21880955
TI - Differential effects of the trans-18:1 isomer profile of partially hydrogenated
vegetable oils on cholesterol and lipoprotein metabolism in male F1B hamsters.
AB - Trans-fatty acid consumption from partially hydrogenated vegetable oil (PHVO) has
been positively associated with multiple cardiovascular disease risk factors and
events. This study was designed to examine the effects of trans-fatty acid isomer
profile of PHVO on plasma lipids and lipoproteins and hepatic expression of key
genes involved in cholesterol and fatty acid metabolism. Thirty-three male F(1)B
strain Syrian Golden Hamsters were allocated to 1 of 3 hypercholesterolemic diets
containing (5% by weight): 1) tristearin [control fat (CON)]; 2) partially
hydrogenated high-oleic acid sunflower oil (PH-SUN); or 3) partially hydrogenated
high-linoleic acid safflower oil (PH-SAF). PH-SUN contained more trans-4 to trans
10 18:1 compared with PH-SAF, which contained more trans-11 to trans-16 18:1. The
addition of both PHVO to the diet increased plasma total cholesterol
concentrations relative to CON, but only PH-SUN increased the plasma ratio of non
HDL:HDL cholesterol compared with CON. PH-SUN increased VLDL (total, large, and
medium) and IDL particle concentrations while decreasing total, medium, and small
HDL particle concentrations relative to CON. Both PHVO diets increased the
hepatic cholesterol ester concentration, whereas the hepatic TG concentration was
lower in PH-SUN compared with PH-SAF and CON. Levels of hepatic LDL receptor, HMG
CoA reductase, and sterol response element binding protein 1 mRNA were
specifically reduced in the PH-SUN group compared to the CON group. Expression of
SREBP1c was upregulated in both PHVO groups compared to CON, whereas only the PH
SAF group had higher levels of the lipogenic enzymes acetyl-CoA carboxylase,
fatty acid synthase, and stearoyl-CoA desaturase-1 compared to CON. These results
indicate that differences in the trans-fatty acid profile of PHVO can
differentially affect lipid and lipoprotein metabolism.
PMID- 21880954
TI - Whole blueberry powder modulates the growth and metastasis of MDA-MB-231 triple
negative breast tumors in nude mice.
AB - Previous studies in our laboratory demonstrated that blueberry (BB) extract
exhibited antitumor activity against MDA-MB-231 triple negative breast cancer
(TNBC) cells and decreased metastatic potential in vitro. The current study
tested 2 doses of whole BB powder, 5 and 10% (wt:wt) in the diet, against MDA-MB
231 tumor growth in female nude mice. In this study, tumor volume was 75% lower
in mice fed the 5% BB diet and 60% lower in mice fed the 10% BB diet than in
control mice (P <= 0.05). Tumor cell proliferation (Ki-67) was lower in the 5 and
10% BB-fed mice and cell death (Caspase 3) was greater in the 10% BB-fed mice
compared to control mice (P <= 0.05). Gene analysis of tumor tissues from the 5%
BB-fed mice revealed significantly altered expression of genes important to
inflammation, cancer, and metastasis, specifically, Wnt signaling, thrombospondin
2, IL-13, and IFNgamma. To confirm effects on Wnt signaling, analysis of tumor
tissues from 5% BB-fed mice revealed lower beta-catenin expression and glycogen
synthase kinase-3beta phosphorylation with greater expression of the beta-catenin
inhibitory protein adenomatous polyposis coli compared to controls. A second
study tested the ability of the 5% BB diet to inhibit MDA-MB-231-luc-D3H2LN
metastasis in vivo. In this study, 5% BB-fed mice developed 70% fewer liver
metastases (P = 0.04) and 25% fewer lymph node metastases (P = 0.09) compared to
control mice. This study demonstrates the oral antitumor and metastasis activity
of whole BB powder against TNBC in mice.
PMID- 21880956
TI - Neutrophil transmigration triggers repair of the lung epithelium via beta-catenin
signaling.
AB - Injury to the epithelium is integral to the pathogenesis of many inflammatory
lung diseases, and epithelial repair is a critical determinant of clinical
outcome. However, the signaling pathways regulating such repair are incompletely
understood. We used in vitro and in vivo models to define these pathways. Human
neutrophils were induced to transmigrate across monolayers of human lung
epithelial cells in the physiological basolateral-to-apical direction. This
allowed study of the neutrophil contribution not only to the initial epithelial
injury, but also to its repair, as manifested by restoration of transepithelial
resistance and reepithelialization of the denuded epithelium. Microarray analysis
of epithelial gene expression revealed that neutrophil transmigration activated
beta-catenin signaling, and this was verified by real-time PCR, nuclear
translocation of beta-catenin, and TOPFlash reporter activity. Leukocyte
elastase, likely via cleavage of E-cadherin, was required for activation of beta
catenin signaling in response to neutrophil transmigration. Knockdown of beta
catenin using shRNA delayed epithelial repair. In mice treated with intratracheal
LPS or keratinocyte chemokine, neutrophil emigration resulted in activation of
beta-catenin signaling in alveolar type II epithelial cells, as demonstrated by
cyclin D1 expression and/or reporter activity in TOPGAL mice. Attenuation of beta
catenin signaling by IQ-1 inhibited alveolar type II epithelial cell
proliferation in response to neutrophil migration induced by intratracheal
keratinocyte chemokine. We conclude that beta-catenin signaling is activated in
lung epithelial cells during neutrophil transmigration, likely via elastase
mediated cleavage of E-cadherin, and regulates epithelial repair. This pathway
represents a potential therapeutic target to accelerate physiological recovery in
inflammatory lung diseases.
PMID- 21880957
TI - Bacterial outer membrane channel for divalent metal ion acquisition.
AB - The prevailing model of bacterial membrane function predicts that the outer
membrane is permeable to most small solutes because of pores with limited
selectivity based primarily on size. Here, we identified mnoP in the Gram
negative bacterium Bradyrhizobium japonicum as a gene coregulated with the inner
membrane Mn(2+) transporter gene mntH. MnoP is an outer membrane protein
expressed specifically under manganese limitation. MnoP acts as a channel to
facilitate the tranlocation of Mn(2+), but not Co(2+) or Cu(2+), into
reconstituted proteoliposomes. An mnoP mutant is defective in high-affinity
Mn(2+) transport into cells and has a severe growth phenotype under manganese
limitation. We suggest that the outer membrane is a barrier to divalent metal
ions that requires a selective channel to meet the nutritional needs of the cell.
PMID- 21880958
TI - Characterization of bacterial communities in venous insufficiency wounds by use
of conventional culture and molecular diagnostic methods.
AB - Microbial infections delay wound healing, but the effect of the composition of
the wound microbiome on healing parameters is unknown. To better understand
bacterial communities in chronic wounds, we analyzed debridement samples from
lower-extremity venous insufficiency ulcers using the following: conventional
anaerobic and aerobic bacterial cultures; the Ibis T5000 universal biosensor
(Abbott Molecular); and 16S 454 FLX titanium series pyrosequencing (Roche). Wound
debridement samples were obtained from 10 patients monitored clinically for at
least 6 months, at which point 5 of the 10 sampled wounds had healed.
Pyrosequencing data revealed significantly higher bacterial abundance and
diversity in wounds that had not healed at 6 months. Additionally,
Actinomycetales was increased in wounds that had not healed, and Pseudomonadaceae
was increased in wounds that had healed by the 6-month follow-up. Baseline wound
surface area, duration, or analysis by Ibis or conventional culture did not
reveal significant differences between wounds that healed after 6 months and
those that did not. Thus, pyrosequencing identified distinctive baseline
characteristics of wounds that did not heal by the 6-month follow-up, furthering
our understanding of potentially unique microbiome characteristics of chronic
wounds.
PMID- 21880959
TI - Beta-D-glucan detection as a diagnostic test for invasive aspergillosis in
immunocompromised critically ill patients with symptoms of respiratory infection:
an autopsy-based study.
AB - Beta-(1,3)-D-glucan (BG) detection is an emerging tool to diagnose invasive
fungal infections (IFIs). Invasive aspergillosis (IA) is the second most common
IFI in immunocompromised intensive care unit (ICU) patients. We retrospectively
analyzed the serum BG concentration (Fungitell; Associates of Cape Cod) in
immunocompromised ICU patients with proven IA and in immunocompromised ICU
patients in whom autopsy failed to show IFI. The study was performed in a 17-bed
medical ICU in a 1,900-bed referral hospital. Patients at risk for IA were
eligible for inclusion when at least two additional clinical signs were present.
Patients with other IFIs were excluded. Fourteen patients with IA and 33 patients
who had no IFI were eligible for inclusion. Serum BG levels were significantly
higher in patients with IA than patients without an IFI (P < 0.01). Using a
cutoff of 140 pg/ml, the sensitivity and specificity were 85.7 and 69.7%,
respectively; the positive and negative predictive values were 54.5 and 92.0%,
respectively. The positive and negative likelihood ratios were 2.83 and 0.21,
respectively. Although serum BG concentrations were higher in immunocompromised
ICU patients with IA than in patients with the same risk factors who did not have
IFI on autopsy, the moderate performance characteristics of this test limit its
use as a diagnostic test for IA in this population.
PMID- 21880960
TI - Canada's first case of a multidrug-resistant Corynebacterium diphtheriae strain,
isolated from a skin abscess.
AB - A toxigenic Corynebacterium diphtheriae biovar mitis sequence type 136 (ST136)
strain was recovered from a toe infection of an unvaccinated patient recently
returned from India. The isolate was resistant to clindamycin, erythromycin (ermX
positive), tetracycline, and trimethoprim-sulfamethoxazole, intermediate to
ceftriaxone and cefotaxime, and had high MICs for telithromycin and
chloramphenicol but was sensitive to other drugs.
PMID- 21880961
TI - Perirectal swab surveillance for Clostridium difficile by use of selective broth
preamplification and real-time PCR detection of tcdB.
AB - Active surveillance testing to identify and isolate asymptomatic carriers of
toxigenic Clostridium difficile has been limited by the lack of a test that is
sensitive, specific, and timely enough to serve as an infection control tool. We
tested DNA preamplified from perirectal surveillance specimens in a liquid medium
selective for C. difficile by using a modified commercial real-time PCR assay.
All fermenting specimens were subcultured, and isolates were tested for
toxigenicity. Culture-positive toxigenic isolates served as the gold standard for
comparison with the broth preamplification/PCR assay. The limit of detection for
the assay was 1 CFU. Relative to toxigenic anaerobic culture, the sensitivity,
specificity, and positive and negative predictive values of this assay were 70/70
(100.0%), 422/426 (99.1%), 70/74 (94.6%), and 422/422 (100.0%), respectively.
These data demonstrate that selective broth preamplification and real-time PCR of
perirectal swab specimens constitute a practical approach to the detection of
asymptomatic C. difficile carriage.
PMID- 21880962
TI - Accuracy of carbapenem nonsusceptibility for identification of KPC-possessing
Enterobacteriaceae by use of the revised CLSI breakpoints.
AB - Using the updated 2010 CLSI carbapenem breakpoints for the Enterobacteriaceae,
nonsusceptibility to ertapenem and imipenem predicted the presence of bla(KPC)
poorly, especially among Escherichia coli and Enterobacter species. In regions
where KPC-producing bacteria are endemic, testing for nonsusceptibility to
meropenem may provide improved accuracy in identifying these isolates.
PMID- 21880963
TI - Rapid identification and validation of specific molecular targets for detection
of Escherichia coli O104:H4 outbreak strain by use of high-throughput sequencing
data from nine genomes.
PMID- 21880964
TI - Multilocus sequence typing of Streptococcus pneumoniae by use of mass
spectrometry.
AB - Multilocus sequence typing (MLST) is an important tool for the global
surveillance of bacterial pathogens that is performed by comparing the sequences
of designated housekeeping genes. We developed and tested a novel mass
spectrometry-based method for MLST of Streptococcus pneumoniae. PCR amplicons
were subjected to in vitro transcription and base-specific cleavage, followed by
analysis of the resultant fragments by using matrix-assisted laser desorption
ionization-time of flight mass spectrometry (MALDI-TOF MS). Comparison of the
cleavage fragment peak patterns to a reference sequence set permitted automated
identification of alleles. Validation experiments using 29 isolates of S.
pneumoniae revealed that the results of MALDI-TOF MS MLST matched those obtained
by traditional sequence-based MLST for 99% of alleles and that the MALDI-TOF MS
method accurately identified two single-nucleotide variations. The MADLI-TOF MS
method was then used for MLST analysis of 43 S. pneumoniae isolates from Papua
New Guinean children. The majority of the isolates present in this population
were not clonal and contained seven new alleles and 30 previously unreported
sequence types.
PMID- 21880965
TI - Xpert MTB/RIF for rapid diagnosis of tuberculous lymphadenitis from fine-needle
aspiration biopsy specimens.
AB - This study demonstrates the excellent diagnostic accuracy of the Xpert MTB/RIF
test in patients with tuberculous lymphadenitis. The test sensitivity and
specificity were 96.7% (95% confidence interval [CI], 86.6 to 100%) and 88.9%
(95% CI, 69.6 to 100%), respectively, and it correctly identified 6/6 (100%) of
the cytology smear-negative/culture-positive cases and 1 of 2 (50%) rifampin
resistant cases.
PMID- 21880967
TI - Comparison of performance of the novel chromogenic spectra VRE agar to that of
bile esculin azide and Campylobacter agars for detection of vancomycin-resistant
enterococci in fecal samples.
AB - A total of 142 stool specimens were evaluated for vancomycin-resistant
enterococcus (VRE). Twenty-four-hour sensitivities and specificities,
respectively, were 98% and 95% for Spectra VRE chromogenic agar (Remel, Lenexa,
KS), 86% and 92% for bile esculin azide with vancomycin (BEAV; Remel), and 96.5%
and 92% for Campylobacter agar (CAMPY; Remel). Spectra VRE and CAMPY are
significantly more sensitive at 24 h than BEAV.
PMID- 21880966
TI - Nineteen cases of Buruli ulcer diagnosed in Japan from 1980 to 2010.
AB - The etiology, clinical manifestations, and treatment of 19 sporadic cases of
Buruli ulcer (BU) in Japan are described. The cases originated in different
regions of Honshu Island, with no evidence of patient contact with an aquatic
environment. The majority (73.7%) of cases occurred in females, with an average
age of 39.1 years for females and 56.8 years for males. All patients developed
ulcers on exposed areas of the skin (e.g., face, extremities). Most ulcers were
<5 cm in diameter (category I), except in one severe progressive case (category
II). Pain was absent in 10 of the 19 cases. Fourteen ulcers were surgically
excised, and nine patients needed skin grafting. All cases were treated with
various antibiotic regimens, with no reported recurrences as of March 2011.
Mycobacterium ulcerans-specific IS2404 was detected in all cases. Ten isolates
had identical 16S rRNA gene sequences, which were similar to those of M.
ulcerans. However, the rpoB gene showed a closer resemblance to Mycobacterium
marinum or Mycobacterium pseudoshottsii. PCR identified pMUM001 in all isolates
but failed to detect one marker. DNA-DNA hybridization misidentified all isolates
as M. marinum. The drug susceptibility profile of the isolates also differed from
that of M. ulcerans. Sequence analysis revealed "Mycobacterium ulcerans subsp.
shinshuense" as the etiologic agent of BU in Japan. Clinical manifestations were
comparable to those of M. ulcerans but differed as follows: (i) cases were not
concentrated in a particular area; (ii) there was no suspected connection to an
aquatic environment; (iii) drug susceptibility was different; and (iv)
bacteriological features were different.
PMID- 21880968
TI - Clinical validation of the cobas 4800 HPV test for cervical screening purposes.
AB - This study shows that the clinical performance and reproducibility of the cobas
4800 HPV test for high-risk human papillomavirus (HPV) detection fulfill the
criteria as formulated in international guidelines of HPV test requirements for
cervical screening purposes. Accordingly, the cobas 4800 HPV test can be
considered clinically validated for cervical screening.
PMID- 21880969
TI - Analysis of multilocus sequence typing for identification of Leptospira isolates
in Brazil.
AB - A collection of 101 Leptospira isolates was tested by multilocus sequence typing
(MLST) and by traditional serotyping. MLST divided the isolates into 4 sequence
types (STs), while serotyping classified them into 6 serogroups. Two isolates
failed to generate products for some genes by MLST. MLST was less discriminatory
than serotyping for uncommonly occurring isolates from humans in Brazil.
PMID- 21880970
TI - Novel IS711 chromosomal location useful for identification of marine mammal
Brucella genotype ST27, which is associated with zoonotic infection.
AB - We report a novel IS711 chromosomal location that is specific for the Brucella
genotype ST27 previously associated with Pacific marine mammals and human
zoonotic infection in New Zealand and Peru. Our data support the previous
observation that this peculiar genotype is distinct from those commonly isolated
from the Atlantic and currently classified within the species B. ceti and B.
pinnipedialis.
PMID- 21880971
TI - Comparative utility of cytokine levels and quantitative RD-1-specific T cell
responses for rapid immunodiagnosis of tuberculous meningitis.
AB - The rapid diagnosis of tuberculous meningitis (TBM) is problematic. We found in
150 patients with suspected TBM that, similar to RD-1-specific quantitative
cerebrospinal fluid (CSF) T-cell responses, unstimulated CSF gamma interferon
(IFN-gamma) levels when used together with other rapid confirmatory tests (Gram
stain and cryptococcal latex agglutination test) may allow the accurate and rapid
diagnosis of TBM in a setting in which tuberculosis (TB) and HIV are endemic. In
resource-poor settings, a clinical prediction rule (CPR) may be useful to
clinicians, and thus the IFN-gamma assay may potentially need to be used only
when the clinical score is below a prespecified threshold. These preliminary
findings will need to be confirmed in further studies.
PMID- 21880972
TI - Prevalence and density-related concordance of three diagnostic tests for malaria
in a region of Tanzania with hypoendemic malaria.
AB - Accurate malaria diagnosis has dual roles in identification of symptomatic
persons for effective malaria treatment and also enumeration of asymptomatic
persons who contribute to the epidemiologic determinants of transmission. Three
currently used diagnostic tests, microscopy, rapid diagnostic tests (RDTs), and
real-time PCR, all have different sensitivities and specificities, which are
parasite density dependent. Here, we compare their concordance among 451 febrile
episodes in a cohort of 2,058 children and adults followed over 6 months in a
region in central Tanzania with hypoendemic malaria. Microscopy, a histidine-rich
protein-based RDT, and two different real-time PCR gene probes detected
Plasmodium falciparum in 20, 54, 41, and 78 episodes of fever, respectively. They
had complete concordance in only 9 episodes. Real-time PCR with an 18S probe was
more sensitive than with a mitochondrial probe for cytochrome b despite higher
copy numbers of mitochondrial DNA. Both PCR yields were increased 4-fold by
glycogen/acetate precipitation with low-speed centrifugation. Duplicate PCR
increases low-density malaria detection. RDT had the highest number of unique
positives, presumably from persistent antigen despite the absence of parasites,
although RDT did not detect 3 parasitemias with over 1,000 parasites/MUl. In a
latent class analysis, real-time PCR had significantly higher sensitivity than
did microscopy or RDT. Agreement between real-time PCR, RDT, and microscopy was
highest in March and April, when both the P. falciparum parasite rate and
parasite densities are highest. Real-time PCR is more sensitive and specific than
RDT and microscopy in low-prevalence, low-parasite-density settings.
PMID- 21880973
TI - First report of disseminated Mycobacterium skin infections in two liver
transplant recipients and rapid diagnosis by hsp65 gene sequencing.
AB - We present here the first report of disseminated skin Mycobacterium infections in
two liver transplant recipients, in which hsp65 gene sequencing was used for
rapid species identification. Both patients had hepatitis B virus-related
cirrhosis and diabetes mellitus and presented with progressive generalized,
nodular skin lesions. In one patient, a 50-year-old woman who had frequent
contact with marine fish, an acid-fast bacillus was isolated from skin biopsy
tissue after 2 months of culture. While awaiting phenotypic identification
results, hsp65 gene sequencing showed that it was most closely related to that of
Mycobacterium marinum with 100% nucleotide identity. The patient was treated with
oral rifampin, ethambutol, and moxifloxacin. In the other patient, a 59-year-old
woman, direct PCR for Mycobacterium using hsp65 gene from skin biopsy tissue was
positive, with the sequence most closely related to that of M. haemophilum with
100% nucleotide identity. Based on PCR results, the patient was treated with
clarithromycin, ethambutol, moxifloxacin, and amikacin. A strain of M.
haemophilum was only isolated after 3 months. Skin lesions of both patients
resolved after 1 year of antimycobacterial therapy. Nontuberculous Mycobacterium
infections should be considered in liver transplant recipients presenting with
chronic, nodular skin lesions. This report highlights the crucial role of hsp65
gene PCR and sequencing on both cultured isolates and direct clinical specimens
for rapid diagnosis of slow-growing Mycobacterium infection.
PMID- 21880974
TI - Development of a multiplex PCR assay targeting O-antigen modification genes for
molecular serotyping of Shigella flexneri.
AB - Shigella flexneri is the major Shigella species that causes diarrheal disease in
developing countries. It is further subdivided into 15 serotypes based on O
antigen structure. Serotyping of S. flexneri is important for epidemiological
purposes. In this study, we developed a multiplex PCR assay targeting the O
antigen synthesis gene wzx and the O-antigen modification genes gtrI, gtrIC,
gtrII, oac, gtrIV, gtrV, and gtrX for molecular serotyping of S. flexneri. The
multiplex PCR assay contained eight sets of specific PCRs in a single tube and
can identify 14 of the 15 serotypes (the exception being serotype Xv) of S.
flexneri recognized thus far. A nearly perfect concordance (97.8%) between
multiplex PCR assay and slide agglutination was observed when 358 S. flexneri
strains of various serotypes were analyzed, except that 8 strains were carrying
additional cryptic and/or defective serotype-specific genes. The multiplex PCR
assay provides a rapid and specific method for the serotype identification of S.
flexneri.
PMID- 21880975
TI - Characterization of Vibrio cholerae O1 El Tor biotype variant clinical isolates
from Bangladesh and Haiti, including a molecular genetic analysis of virulence
genes.
AB - Vibrio cholerae serogroup O1, the causative agent of the diarrheal disease
cholera, is divided into two biotypes: classical and El Tor. Both biotypes
produce the major virulence factors toxin-coregulated pilus (TCP) and cholera
toxin (CT). Although possessing genotypic and phenotypic differences, El Tor
biotype strains displaying classical biotype traits have been reported and
subsequently were dubbed El Tor variants. Of particular interest are reports of
El Tor variants that produce various levels of CT, including levels typical of
classical biotype strains. Here, we report the characterization of 10 clinical
isolates from the International Centre for Diarrhoeal Disease Research,
Bangladesh, and a representative strain from the 2010 Haiti cholera outbreak. We
observed that all 11 strains produced increased CT (2- to 10-fold) compared to
that of wild-type El Tor strains under in vitro inducing conditions, but they
possessed various TcpA and ToxT expression profiles. Particularly, El Tor variant
MQ1795, which produced the highest level of CT and very high levels of TcpA and
ToxT, demonstrated hypervirulence compared to the virulence of El Tor wild-type
strains in the infant mouse cholera model. Additional genotypic and phenotypic
tests were conducted to characterize the variants, including an assessment of
biotype-distinguishing characteristics. Notably, the sequencing of ctxB in some
El Tor variants revealed two copies of classical ctxB, one per chromosome,
contrary to previous reports that located ctxAB only on the large chromosome of
El Tor biotype strains.
PMID- 21880976
TI - Evaluation of Luminex xTAG fungal analyte-specific reagents for rapid
identification of clinically relevant fungi.
AB - Invasive fungal infections (IFI) remain a serious threat to immunocompromised
hosts. Current diagnostic methods, including fungal culture and antigen
detection, are slow and often lack specificity. Rapid diagnostic tools with
increased sensitivity and specificity could improve the care of patients with
IFI. Recently, Luminex Molecular Diagnostics (Toronto, Canada) developed 23
analyte-specific reagents (ASRs) for the detection of the most common clinically
relevant fungi. This study's objective was to evaluate the sensitivity and
specificity of a subset of these ASRs for fungal isolates and clinical specimens.
Previously characterized fungal and bacterial isolates (n = 110), blood culture
specimens (n = 34), and respiratory specimens (n = 44) were tested using either a
Candida 7-plex panel (Candida albicans, Candida glabrata, Candida tropicalis,
Candida parapsilosis, Candida lusitaniae, Candida guilliermondii, and Candida
krusei) or a mold 11-plex panel (Aspergillus fumigatus, Aspergillus flavus,
Aspergillus niger, Aspergillus terreus, Scedosporium prolificans, Scedosporium
apiospermum, Fusarium oxysporum/Fusarium solani, Rhizopus arrhizus, Rhizopus
microsporus, Mucor indicus, and Cunninghamella bertholletiae). The Candida 7-plex
panel correctly identified all Candida isolates as confirmed by fungal culture
and biochemical tests, for a sensitivity and specificity of 100%. The mold 11
plex panel correctly identified all mold isolates tested except for A. niger.
Fungal isolates of Rhizopus and Mucor species were not detected, either, although
they could represent species other than those targeted by the ASRs. Further
evaluation will be necessary to confirm the sensitivities of some of the mold
ASRs. Implementation of these ASRs will allow same-day detection of fungal DNA in
clinical specimens.
PMID- 21880977
TI - Antiretroviral therapy initiation in France: adherence to national guidelines and
outcome.
AB - OBJECTIVES AND METHODS: Retrospective study of all patients who started
antiretroviral therapy (ART) in 2007 in a single center in Paris, with baseline
characteristics and 1-year outcome, to assess adherence to national guidelines.
RESULTS: We analyzed 118 patients. Time of ART initiation was in agreement with
the guidelines for only 64 (54.2%) patients. Fifty patients (42%) started ART
with AIDS or a CD4 count <200 cells/mm(3). In all, 62 (52%) and 47 patients (40%)
received a combination of 2 nucleoside analogues with efavirenz (EFV) and 1
ritonavir-boosted protease inhibitor (PI/r), respectively. Treatment regimens
were in accordance with the guidelines for 114 patients (97%). At 1 year, 16
patients (13.5%) were lost to follow-up, only 5 (4.9%) experienced HIV disease
progression or death, but 19 (18.6%) required hospitalization. Antiretroviral
therapy was changed in 21 patients (21%). Ten patients (8.4%) experienced
virologic failure. CONCLUSION: Antiretroviral therapy was in agreement with
guidelines for the choice of combination but was often initiated too late.
PMID- 21880979
TI - Cutting edge: virus selectively primes human langerhans cells for CD70 expression
promoting CD8+ T cell responses.
AB - The two outermost compartments of skin are populated by different Ag-presenting
dendritic cell types. Epidermal Langerhans cells (LCs) are evolutionarily adapted
to the continuous presence of harmless skin commensals by the selective lack of
cell surface TLRs that sense bacteria. In this article, we analyze the ability of
LCs and dermal dendritic cells (DDCs) to respond to virus infection. Live virus
and intracellular TLR3-agonist dsRNA commit LCs more effectively than DDCs to
stimulate naive CD8(+) T cell expansion and their differentiation into effector
cells. This potent CD8(+) T cell-promoting capacity of LCs is causally related to
high levels of virus-induced CD70 expression but not to IL-12 production. These
data suggest a remarkable specialization of LCs in the induction of pathogen
class-specific adaptive immunity. Whereas LCs ignore bacteria, they are superior
to DDCs to initiate effective CD70-mediated CD8(+) T cells in response to virus
stimulation.
PMID- 21880978
TI - The process of macrophage migration promotes matrix metalloproteinase-independent
invasion by tumor cells.
AB - Tumor-associated macrophages are known to amplify the malignant potential of
tumors by secreting a variety of cytokines and proteases involved in tumor cell
invasion and metastasis, but how these macrophages infiltrate tumors and whether
the macrophage migration process facilitates tumor cell invasion remain poorly
documented. To address these questions, we used cell spheroids of breast
carcinoma SUM159PT cells as an in vitro model of solid tumors. We found that
macrophages used both the mesenchymal mode requiring matrix metalloproteinases
(MMPs) and the amoeboid migration mode to infiltrate tumor cell spheroids.
Whereas individual SUM159PT cells invaded Matrigel using an MMP-dependent
mesenchymal mode, when they were grown as spheroids, tumor cells were unable to
invade the Matrigel surrounding spheroids. When spheroids were infiltrated or in
contact with macrophages, tumor cell invasiveness was restored. It was dependent
on the capacity of macrophages to remodel the matrix and migrate in an MMP
independent mesenchymal mode. This effect of macrophages was much reduced when
spheroids were infiltrated by Matrigel migration-defective Hck(-/-) macrophages.
In the presence of macrophages, SUM159PT migrated into Matrigel in the proximity
of macrophages and switched from an MMP-dependent mesenchymal migration to an
amoeboid mode resistant to protease inhibitors.Thus, in addition to the well
described paracrine loop between macrophages and tumor cells, macrophages can
also contribute to the invasiveness of tumor cells by remodeling the
extracellular matrix and by opening the way to exit the tumor and colonize the
surrounding tissues in an MMP-dispensable manner.
PMID- 21880980
TI - Heterogeneous and tissue-specific regulation of effector T cell responses by IFN
gamma during Plasmodium berghei ANKA infection.
AB - IFN-gamma and T cells are both required for the development of experimental
cerebral malaria during Plasmodium berghei ANKA infection. Surprisingly, however,
the role of IFN-gamma in shaping the effector CD4(+) and CD8(+) T cell response
during this infection has not been examined in detail. To address this, we have
compared the effector T cell responses in wild-type and IFN-gamma(-/-) mice
during P. berghei ANKA infection. The expansion of splenic CD4(+) and CD8(+) T
cells during P. berghei ANKA infection was unaffected by the absence of IFN
gamma, but the contraction phase of the T cell response was significantly
attenuated. Splenic T cell activation and effector function were essentially
normal in IFN-gamma(-/-) mice; however, the migration to, and accumulation of,
effector CD4(+) and CD8(+) T cells in the lung, liver, and brain was altered in
IFN-gamma(-/-) mice. Interestingly, activation and accumulation of T cells in
various nonlymphoid organs was differently affected by lack of IFN-gamma,
suggesting that IFN-gamma influences T cell effector function to varying levels
in different anatomical locations. Importantly, control of splenic T cell numbers
during P. berghei ANKA infection depended on active IFN-gamma-dependent
environmental signals--leading to T cell apoptosis--rather than upon intrinsic
alterations in T cell programming. To our knowledge, this is the first study to
fully investigate the role of IFN-gamma in modulating T cell function during P.
berghei ANKA infection and reveals that IFN-gamma is required for efficient
contraction of the pool of activated T cells.
PMID- 21880981
TI - MicroRNA-155 is essential for the T cell-mediated control of Helicobacter pylori
infection and for the induction of chronic Gastritis and Colitis.
AB - MicroRNAs govern immune responses to infectious agents, allergens, and
autoantigens and function by posttranscriptional repression of their target
genes. In this paper, we have addressed the role of microRNA-155 (miR-155) in the
control of Helicobacter pylori infection of the gastrointestinal tract and the
development of H. pylori-induced chronic gastritis and associated gastric
preneoplastic pathology. We show that miR-155 is upregulated in the gastric
mucosa of experimentally infected mice and that miR-155(-/-) mice fail to control
H. pylori infection as a result of impaired pathogen-specific Th1 and Th17
responses. miR-155(-/-) mice are also less well protected against challenge
infection after H. pylori-specific vaccination than their wild-type (wt)
counterparts. As a consequence of their impaired T cell responses to H. pylori,
miR-155(-/-) mice develop less severe infection-induced immunopathology
manifesting as chronic atrophic gastritis, epithelial hyperplasia, and intestinal
metaplasia. T cells from miR-155(-/-) mice that are activated by CD3/CD28 cross
linking expand less and produce less IFN-gamma and IL-17 than wt T cells.
Finally, we show in this paper using adoptive transfers that the phenotypes of
miR-155(-/-) mice are likely due to T cell-intrinsic defects. In contrast to wt T
cells, miR-155(-/-) T cells from infected donors do not control H. pylori
infections in T cell-deficient recipients, do not differentiate into Th1 or Th17
cells, and do not cause immunopathology. In addition, naive miR-155(-/-) T cells
fail to induce chronic Th17-driven colitis in an adoptive transfer model. In
conclusion, miR-155 expression is required for the Th17/Th1 differentiation that
underlies immunity to H. pylori infection on the one hand and infection
associated immunopathology on the other.
PMID- 21880982
TI - Depletion of autoreactive plasma cells and treatment of lupus nephritis in mice
using CEP-33779, a novel, orally active, selective inhibitor of JAK2.
AB - Accumulating evidence suggests that autoreactive plasma cells play an important
role in systemic lupus erythematosus (SLE). In addition, several proinflammatory
cytokines promote autoreactive B cell maturation and autoantibody production.
Hence, therapeutic targeting of such cytokine pathways using a selective JAK2
inhibitor, CEP-33779 (JAK2 enzyme IC(50) = 1.3 nM; JAK3 enzyme IC(50)/JAK2 enzyme
IC(50) = 65-fold), was tested in two mouse models of SLE. Age-matched, MRL/lpr or
BWF1 mice with established SLE or lupus nephritis, respectively, were treated
orally with CEP-33779 at 30 mg/kg (MRL/lpr), 55 mg/kg or 100 mg/kg (MRL/lpr and
BWF1). Studies included reference standard, dexamethasone (1.5 mg/kg; MRL/lpr),
and cyclophosphamide (50 mg/kg; MRL/lpr and BWF1). Treatment with CEP-33779
extended survival and reduced splenomegaly/lymphomegaly. Several serum cytokines
were significantly decreased upon treatment including IL-12, IL-17A, IFN-alpha,
IL-1beta, and TNF-alpha. Anti-nuclear Abs and frequencies of autoantigen
specific, Ab-secreting cells declined upon CEP-33779 treatment. Increased serum
complement levels were associated with reduced renal JAK2 activity,
histopathology, and spleen CD138(+) plasma cells. The selective JAK2 inhibitor
CEP-33779 was able to mitigate several immune parameters associated with SLE
advancement, including the protection and treatment of mice with lupus nephritis.
These data support the possibility of using potent, orally active, small-molecule
inhibitors of JAK2 to treat the debilitative disease SLE.
PMID- 21880984
TI - Ocular surface APCs are necessary for autoreactive T cell-mediated experimental
autoimmune lacrimal keratoconjunctivitis.
AB - As specialized sentinels between the innate and adaptive immune response, APCs
are essential for activation of Ag-specific lymphocytes, pathogen clearance, and
generation of immunological memory. The process is tightly regulated; however,
excessive or atypical stimuli may ignite activation of APCs in a way that allows
self-Ag presentation to autoreactive T cells in the context of the necessary
costimulatory signals, ultimately resulting in autoimmunity. Studies in both
animal models and patients suggest that dry eye is a chronic CD4(+) T cell
mediated ocular surface autoimmune-based inflammatory disease. Using a
desiccating stress-induced mouse model of dry eye, we establish the fundamental
role of APCs for both the generation and maintenance of ocular-specific
autoreactive CD4(+) T cells. Subconjunctival administration of liposome
encapsulated clodronate efficiently diminished resident ocular surface APCs,
inhibited the generation of autoreactive CD4(+) T cells, and blocked their
ability to cause disease. APC-dependent CD4(+) T cell activation required intact
draining cervical lymph nodes, as cervical lymphadenectomy also inhibited CD4(+)
T cell-mediated dry eye disease. In addition, local depletion of peripheral
conjunctival APCs blocked the ability of dry eye-specific CD4(+) T cells to
accumulate within the ocular surface tissues, suggesting that fully primed and
targeted dry eye-specific CD4(+) T cells require secondary activation by resident
ocular surface APCs for maintenance and effector function. These data demonstrate
that APCs are necessary for the initiation and development of experimental dry
eye and support the standing hypothesis that dry eye is a self-Ag-driven
autoimmune disease.
PMID- 21880983
TI - Epitope-specific human influenza antibody repertoires diversify by B cell
intraclonal sequence divergence and interclonal convergence.
AB - We generated from a single blood sample five independent human mAbs that
recognized the Sa antigenic site on the head of influenza hemagglutinin and
exhibited inhibitory activity against a broad panel of H1N1 strains. All five Abs
used the V(H)3-7 and J(H)6 gene segments, but at least four independent clones
were identified by junctional analysis. High-throughput sequence analysis of
circulating B cells revealed that each of the independent clones were members of
complex phylogenetic lineages that had diversified widely using a pattern of
progressive diversification through somatic mutation. Unexpectedly, B cells
encoding multiple diverging lineages of these clones, including many containing
very few mutations in the Ab genes, persisted in the circulation. Conversely, we
noted frequent instances of amino acid sequence convergence in the Ag combining
sites exhibited by members of independent clones, suggesting a strong selection
for optimal binding sites. We suggest that maintenance in circulation of a wide
diversity of somatic variants of dominant clones may facilitate recognition of
drift variant virus epitopes that occur in rapidly mutating virus Ags, such as
influenza hemagglutinin. In fact, these Ab clones recognize an epitope that
acquired three glycosylation sites mediating escape from previously isolated
human Abs.
PMID- 21880985
TI - Complement activation and complement receptors on follicular dendritic cells are
critical for the function of a targeted adjuvant.
AB - A detailed understanding of how activation of innate immunity can be exploited to
generate more effective vaccines is critically required. However, little is known
about how to target adjuvants to generate safer and better vaccines. In this
study, we describe an adjuvant that, through complement activation and binding to
follicular dendritic cells (FDC), dramatically enhances germinal center (GC)
formation, which results in greatly augmented Ab responses. The nontoxic CTA1-DD
adjuvant hosts the ADP-ribosylating CTA1 subunit from cholera toxin and a dimer
of the D fragment from Staphylococcus aureus protein A. We found that T cell
dependent, but not -independent, responses were augmented by CTA1-DD. GC
reactions and serum Ab titers were both enhanced in a dose-dependent manner. This
effect required complement activation, a property of the DD moiety. Deposition of
CTA1-DD to the FDC network appeared to occur via the conduit system and was
dependent on complement receptors on the FDC. Hence, Cr2(-/-) mice failed to
augment GC reactions and exhibited dramatically reduced Ab responses, whereas
Ribi adjuvant demonstrated unperturbed adjuvant function in these mice.
Noteworthy, the adjuvant effect on priming of specific CD4 T cells was found to
be intact in Cr2(-/-) mice, demonstrating that the CTA1-DD host both complement
dependent and -independent adjuvant properties. This is the first demonstration,
to our knowledge, of an adjuvant that directly activates complement, enabling
binding of the adjuvant to the FDC, which subsequently strongly promoted the GC
reaction, leading to augmented serum Ab titers and long-term memory development.
PMID- 21880986
TI - CD134 plus CD137 dual costimulation induces Eomesodermin in CD4 T cells to
program cytotoxic Th1 differentiation.
AB - Cytotoxic CD4 Th1 cells are emerging as a therapeutically useful T cell lineage
that can effectively target tumors, but until now the pathways that govern their
differentiation have been poorly understood. We demonstrate that CD134 (OX40)
costimulation programs naive self- and virus-reactive CD4 T cells to undergo in
vivo differentiation into cytotoxic Th1 effectors. CD137 (4-1BB) costimulation
maximized clonal expansion, and IL-2 was necessary for cytotoxic Th1
differentiation. Importantly, the T-box transcription factor Eomesodermin was
critical for inducing the cytotoxic marker granzyme B. CD134 plus CD137 dual
costimulation also imprinted a cytotoxic phenotype on bystanding CD4 T cells.
Thus, to our knowledge, the current study identifies for the first time a
specific costimulatory pathway and an intracellular mechanism relying on
Eomesodermin that induces both Ag-specific and bystander cytotoxic CD4 Th1 cells.
This mechanism might be therapeutically useful because CD134 plus CD137 dual
costimulation induced CD4 T cell-dependent tumoricidal function in a mouse
melanoma model.
PMID- 21880987
TI - Modulation of the murine CD8 gene complex following the targeted integration of
human CD2-locus control region sequences.
AB - The human CD2 (hCD2) locus control region (LCR) inserted in the mouse CD8 gene
complex activates expression of the CD8 genes in T cell subsets in which the CD8
locus is normally silenced (e.g., CD4(+) single-positive T cells). In this
article, we show that, in conditional mCD8/hCD2-LCR (CD8/LCR) knock-in mice, the
continuous presence of the hCD2-LCR is required for this effect. Deletion of the
inserted hCD2-LCR in a developmental stage and cell lineage-specific manner
revealed that the temporary presence of the LCR during early development does not
permanently alter the expression pattern of the CD8 genes. As a result, cells
that have been affected by the insertion of the LCR can convert to their destined
phenotype once the LCR is removed. DNaseI hypersensitive sites 1 and 2 of the
hCD2-LCR influence the expression of the CD8 genes in a similar manner as does
the full LCR, whereas insertion of hypersensitive site 3 alone of the LCR does
not result in a changed expression pattern. This analysis revealed a dynamic
interaction between the hCD2-LCR and the endogenous regulatory elements of the
CD8 genes.
PMID- 21880988
TI - The conserved scavenger receptor cysteine-rich superfamily in therapy and
diagnosis.
AB - The scavenger receptor cysteine-rich (SRCR) superfamily of soluble or membrane
bound protein receptors is characterized by the presence of one or several
repeats of an ancient and highly conserved protein module, the SRCR domain. This
superfamily (SRCR-SF) has been in constant and progressive expansion, now up to
more than 30 members. The study of these members is attracting growing interest,
which parallels that in innate immunity. No unifying function has been described
to date for the SRCR domains, this being the result of the limited knowledge
still available on the physiology of most members of the SRCR-SF, but also of the
sequence versatility of the SRCR domains. Indeed, involvement of SRCR-SF members
in quite different functions, such as pathogen recognition, modulation of the
immune response, epithelial homeostasis, stem cell biology, and tumor
development, have all been described. This has brought to us new information,
unveiling the possibility that targeting or supplementing SRCR-SF proteins could
result in diagnostic and/or therapeutic benefit for a number of physiologic and
pathologic states. Recent research has provided structural and functional insight
into these proteins, facilitating the development of means to modulate the
activity of SRCR-SF members. Indeed, some of these approaches are already in use,
paving the way for a more comprehensive use of SRCR-SF members in the clinic. The
present review will illustrate some available evidence on the potential of well
known and new members of the SRCR-SF in this regard.
PMID- 21880989
TI - Mechanisms of penile erection and basis for pharmacological treatment of erectile
dysfunction.
AB - Erection is basically a spinal reflex that can be initiated by recruitment of
penile afferents, both autonomic and somatic, and supraspinal influences from
visual, olfactory, and imaginary stimuli. Several central transmitters are
involved in the erectile control. Dopamine, acetylcholine, nitric oxide (NO), and
peptides, such as oxytocin and adrenocorticotropin/alpha-melanocyte-stimulating
hormone, have a facilitatory role, whereas serotonin may be either facilitatory
or inhibitory, and enkephalins are inhibitory. The balance between contractant
and relaxant factors controls the degree of contraction of the smooth muscle of
the corpora cavernosa (CC) and determines the functional state of the penis.
Noradrenaline contracts both CC and penile vessels via stimulation of alpha1
adrenoceptors. Neurogenic NO is considered the most important factor for
relaxation of penile vessels and CC. The role of other mediators, released from
nerves or endothelium, has not been definitely established. Erectile dysfunction
(ED), defined as the "inability to achieve or maintain an erection adequate for
sexual satisfaction," may have multiple causes and can be classified as
psychogenic, vasculogenic or organic, neurologic, and endocrinologic. Many
patients with ED respond well to the pharmacological treatments that are
currently available, but there are still groups of patients in whom the response
is unsatisfactory. The drugs used are able to substitute, partially or
completely, the malfunctioning endogenous mechanisms that control penile
erection. Most drugs have a direct action on penile tissue facilitating penile
smooth muscle relaxation, including oral phosphodiesterase inhibitors and
intracavernosal injections of prostaglandin E1. Irrespective of the underlying
cause, these drugs are effective in the majority of cases. Drugs with a central
site of action have so far not been very successful. There is a need for
therapeutic alternatives. This requires identification of new therapeutic targets
and design of new approaches. Research in the field is expanding, and several
promising new targets for future drugs have been identified.
PMID- 21880990
TI - ISPD position statement on reducing the risks of peritoneal dialysis-related
infections.
PMID- 21880991
TI - Photosystem II supercomplex remodeling serves as an entry mechanism for state
transitions in Arabidopsis.
AB - Within dense plant populations, strong light quality gradients cause unbalanced
excitation of the two photosystems resulting in reduced photosynthetic
efficiency. Plants redirect such imbalances by structural rearrangements of the
photosynthetic apparatus via state transitions and photosystem stoichiometry
adjustments. However, less is known about the function of photosystem II (PSII)
supercomplexes in this context. Here, we show in Arabidopsis thaliana that PSII
supercomplex remodeling precedes and facilitates state transitions. Intriguingly,
the remodeling occurs in the short term, paralleling state transitions, but is
also present in a state transition-deficient mutant, indicating that PSII
supercomplex generation is independently regulated and does not require light
harvesting complex phosphorylation and movement. Instead, PSII supercomplex
remodeling involves reversible phosphorylation of PSII core subunits
(preferentially of CP43) and requires the luminal PSII subunit Psb27 for general
formation and structural stabilization. Arabidopsis knockout mutants lacking
Psb27 display highly accelerated state transitions, indicating that release of
PSII supercomplexes is required for phosphorylation and subsequent movement of
the antenna. Downregulation of PSII supercomplex number by physiological light
treatments also results in acceleration of state transitions confirming the
genetic analyses. Thus, supercomplex remodeling is a prerequisite and an
important kinetic determinant of state transitions.
PMID- 21880992
TI - Cognition after carotid endarterectomy or stenting: a randomized comparison.
AB - OBJECTIVE: To compare the effect on cognition of carotid artery stenting (CAS)
and carotid endarterectomy (CEA) for symptomatic carotid artery stenosis.
METHODS: Patients randomized to CAS or CEA in the International Carotid Stenting
Study (ICSS; ISRCTN25337470) at 2 participating centers underwent detailed
neuropsychological examinations (NPE) before and 6 months after
revascularization. Ischemic brain lesions were assessed with diffusion-weighted
imaging before and within 3 days after revascularization. Cognitive test results
were standardized into z scores, from which a cognitive sumscore was calculated.
The primary outcome was the change in cognitive sumscore between baseline and
follow-up. RESULTS: Of the 1,713 patients included in ICSS, 177 were enrolled in
the 2 centers during the substudy period, of whom 140 had an NPE at baseline and
120 at follow-up. One patient with an unreliable baseline NPE was excluded. CAS
was associated with a larger decrease in cognition than CEA, but the between
group difference was not statistically significant: -0.17 (95% CI -0.38 to 0.03;
p = 0.092). Eighty-nine patients had a pretreatment MRI and 64 within 3 days
after revascularization. New ischemic lesions were found twice as often after CAS
than after CEA (relative risk 2.1; 95% CI 1.0 to 4.4; p = 0.041). CONCLUSIONS:
Differences between CAS and CEA in effect on cognition were not statistically
significant, despite a substantially higher rate of new ischemic lesions after
CAS than after CEA. CLASSIFICATION OF EVIDENCE: This study provides Class III
evidence that any difference between the effects of CAS and CEA on cognition at 6
months after revascularization is small.
PMID- 21880993
TI - Massive expansion of SCA2 with autonomic dysfunction, retinitis pigmentosa, and
infantile spasms.
AB - OBJECTIVE: To provide clinical data on a cohort of 6 patients with massive
expansion (>200 CAG repeats) of spinocerebellar ataxia type 2 (SCA2) and
investigate possible pathways of pathogenesis using bioinformatics analysis of
ATXN2 networks. METHODS: We present data on 6 patients with massive expansion of
SCA2 who presented in infancy with variable combinations of hypotonia, global
developmental delay, infantile spasms, and retinitis pigmentosa. ATXN2 is known
to interact with a network of synaptic proteins. To investigate pathways of
pathogenesis, we performed bioinformatics analysis on ATXN2 combined with known
genes associated with infantile spasms, retinitis pigmentosa, and synaptic
function. RESULTS: All patients had a progressive encephalopathy with autonomic
dysfunction, 4 had retinitis pigmentosa, and 3 had infantile spasms. The
bioinformatics analysis led to several interesting findings. First, an
interaction between ATXN2 and SYNJ1 may account for the development of retinitis
pigmentosa. Second, dysfunction of postsynaptic vesicle endocytosis may be
important in children with this progressive encephalopathy. Infantile spasms may
be associated with interactions between ATXN2 and the postsynaptic structural
proteins MAGI2 and SPTAN1. CONCLUSIONS: Severe phenotype in children with massive
expansion of SCA2 may be due to a functional deficit in protein networks in the
postsynapse, specifically involving vesicle endocytosis.
PMID- 21880995
TI - Valproic acid as the AED of choice for patients with glioblastoma? The jury is
out.
PMID- 21880994
TI - Prolonged survival with valproic acid use in the EORTC/NCIC temozolomide trial
for glioblastoma.
AB - OBJECTIVE: This analysis was performed to assess whether antiepileptic drugs
(AEDs) modulate the effectiveness of temozolomide radiochemotherapy in patients
with newly diagnosed glioblastoma. METHODS: The European Organization for
Research and Treatment of Cancer (EORTC) 26981-22981/National Cancer Institute of
Canada (NCIC) CE.3 clinical trial database of radiotherapy (RT) with or without
temozolomide (TMZ) for newly diagnosed glioblastoma was examined to assess the
impact of the interaction between AED use and chemoradiotherapy on survival. Data
were adjusted for known prognostic factors. RESULTS: When treatment began, 175
patients (30.5%) were AED-free, 277 (48.3%) were taking any enzyme-inducing AED
(EIAED) and 135 (23.4%) were taking any non-EIAED. Patients receiving valproic
acid (VPA) only had more grade 3/4 thrombopenia and leukopenia than patients
without an AED or patients taking an EIAED only. The overall survival (OS) of
patients who were receiving an AED at baseline vs not receiving any AED was
similar. Patients receiving VPA alone (97 [16.9%]) appeared to derive more
survival benefit from TMZ/RT (hazard ratio [HR] 0.39, 95% confidence interval
[CI] 0.24-0.63) than patients receiving an EIAED only (252 [44%]) (HR 0.69, 95%
CI 0.53-0.90) or patients not receiving any AED (HR 0.67, 95% CI 0.49-0.93).
CONCLUSIONS: VPA may be preferred over an EIAED in patients with glioblastoma who
require an AED during TMZ-based chemoradiotherapy. Future studies are needed to
determine whether VPA increases TMZ bioavailability or acts as an inhibitor of
histone deacetylases and thereby sensitizes for radiochemotherapy in vivo.
PMID- 21880996
TI - Anatomy and physiology predict response to motor cortex stimulation after stroke.
AB - OBJECTIVES: Preclinical studies found that epidural motor cortex stimulation
improved motor deficits after stroke, but a phase III trial in humans did not
corroborate these results. The current retrospective analysis examined subjects
randomized to stimulation in order to identify features distinguishing responders
from nonresponders. METHODS: Anatomic (MRI measures of gray matter thickness and
of white matter tract injury) and physiologic methods (motor evoked responses)
were examined as predictors of treatment response. RESULTS: Among 60 subjects
randomized to cortical stimulation, both anatomic and physiologic measures at
baseline predicted behavioral response to therapy. Anatomically, those achieving
the primary efficacy endpoint had a smaller fraction of the corticospinal tract
injured by stroke compared to those who did not (44% vs 72%, p < 0.04), and
rarely had severe tract injury. Physiologically, the primary efficacy endpoint
was reached more often (67%) by those with preserved motor evoked responses (MER)
upon cortical stimulation compared to those lacking MER (27%, p < 0.05). Those
with an elicitable MER also had a lower rate of precentral gyrus injury (0% vs
33%, p < 0.05) by stroke, as compared to those lacking MER, and had higher gray
matter volume compared to those lacking MER in regions including ipsilesional
precentral gyrus. CONCLUSIONS: In this clinical stroke trial, the more that the
physiologic integrity of the motor system was preserved, the more likely that a
patient was to derive gains from subsequent therapy, consistent with preclinical
models. Functional and structural preservation of key brain substrates are
important to deriving gain from a restorative therapy.
PMID- 21880997
TI - Novel p.Ile151Val mutation in VCP in a patient of African American descent with
sporadic ALS.
PMID- 21880998
TI - Imaging evolution of acute lacunar infarction: leukoariosis or lacune?
AB - BACKGROUND: In acute lacunar infarction, MRI may overestimate eventual infarct
size and the imaging evolution of acute lesions is not fully understood. Our
objective was to examine eventual infarct size, the incidence of cavity
formation, and factors associated with cavitation in patients presenting with
acute lacunar infarction. METHODS: Patients with acute diffusion-weighted imaging
(DWI) infarcts <=25 mm in diameter, in the distribution of a penetrating artery,
who had a follow-up MRI or CT at least 1 month or longer from stroke onset were
retrospectively included. We measured baseline lesion size on DWI and T2/fluid
attenuated inversion recovery (FLAIR) and follow-up lesion size on T2/FLAIR and
CT. Follow-up MRI and CT images were assessed for cavity formation. Predictors
for cavitation were assessed in a multivariate model. RESULTS: We identified 75
patients with lacunar infarction and follow-up CT or MRI, done 20.2 +/- 16.6 and
21.2 +/- 17.4 months after stroke, respectively. Mean baseline DWI size was 13.5
+/- 5.7 and T2/FLAIR size was 13.1 +/- 5.3 mm. Follow-up T2/FLAIR lesion size was
8.2 +/- 3.4 mm and smaller than baseline DWI and T2/FLAIR (p = <0.001). Follow-up
whole lesion size on CT scan was 7.1 +/- 4.1 and smaller than baseline DWI and
T2/FLAIR (p = 0.001). Cavitation occurred in 23/38 (61%) MRI and 50/70 (70%) CT
scans. We identified periventricular white matter lesions as a predictor of
cavity formation by MRI and CT. CONCLUSION: Acute DWI significantly overestimates
final infarct size. A third of lacunar infarcts do not develop a cavity.
PMID- 21880999
TI - Bilateral somatosensory cortex disinhibition in complex regional pain syndrome
type I.
AB - OBJECTIVE: In a previous study, we found bilateral disinhibition in the motor
cortex of patients with complex regional pain syndrome (CRPS). This finding
suggests a complex dysfunction of central motor-sensory circuits. The aim of our
present study was to assess possible bilateral excitability changes in the
somatosensory system of patients with CRPS. METHODS: We measured paired-pulse
suppression of somatosensory evoked potentials in 21 patients with unilateral
CRPS I involving the hand. Eleven patients with upper limb pain of non
neuropathic origin and 21 healthy subjects served as controls. Innocuous paired
pulse stimulation of the median nerve was either performed at the affected and
the unaffected hand, or at the dominant hand of healthy controls, respectively.
RESULTS: We found a significant reduction of paired-pulse suppression in both
sides of patients with CRPS, compared with control patients and healthy control
subjects. CONCLUSION: These findings resemble our findings in the motor system
and strongly support the hypothesis of a bilateral complex impairment of central
motor-sensory circuits in CRPS I.
PMID- 21881000
TI - A novel mechanism in maggot debridement therapy: protease in excretion/secretion
promotes hepatocyte growth factor production.
AB - Maggot debridement therapy (MDT) is effective for treating intractable wounds,
but its precise molecular mechanism, including the association between MDT and
growth factors, remains unknown. We administered MDT to nine patients (66.3 +/-
11.8 yr, 5 male and 4 female) with intractable wounds of lower extremities
because they did not respond to conventional therapies. Significant increases of
hepatocyte growth factor (HGF) levels were observed in femoral vein blood during
48 h of MDT (P < 0.05), but no significant change was found for vascular
endothelial growth factor (VEGF), basic fibroblast growth factor (bFGF),
transforming growth factor-beta1 (TGF-beta1), or tumor necrosis factor-alpha (TNF
alpha). We conducted NIH-3T3 cell stimulation assay to evaluate the relation
between HGF and protease activity in excretion/secretion (ES) derived from
maggots. Compared with the control group, HGF was significantly higher in the
0.05 MUg/ml ES group (P < 0.01). Furthermore, protease inhibitors suppressed the
increase of HGF (P < 0.05). The HGF expression was increased in proportion to the
ES protein concentration of 0.025 to 0.5 MUg/ml. In fact, ES showed stronger
capability of promoting HGF production and less cytotoxicity than chymotrypsin or
bromelain. HGF is an important factor involved in cutaneous wound healing.
Therefore, these results suggest that formation of healthy granulation tissue
observed during MDT results from the increased HGF. Further investigation to
identify molecules enhancing HGF expression by MDT will contribute greatly to
drug target discovery for intractable wound healing therapy.
PMID- 21881002
TI - Lithium reduces aquaporin-2 transcription independent of prostaglandins.
AB - Vasopressin (AVP)-stimulated translocation and transcription of aquaporin-2
(AQP2) water channels in renal principal cells is essential for urine
concentration. Twenty percent of patients treated with lithium develop
nephrogenic diabetes insipidus (NDI), a disorder in which the kidney is unable to
concentrate urine. In vivo and in mouse collecting duct (mpkCCD) cells, lithium
treatment coincides with decreased AQP2 abundance and inactivation of glycogen
synthase kinase (Gsk) 3beta. This is paralleled in vivo by an increased renal
cyclooxygenase 2 (COX-2) expression and urinary prostaglandin PGE(2) excretion.
PGE(2) reduces AVP-stimulated water reabsorption, but its precise role in lithium
induced downregulation of AQP2 is unclear. Using mpkCCD cells, we here
investigated whether prostaglandins contribute to lithium-induced downregulation
of AQP2. In these cells, lithium application reduced AQP2 abundance, which
coincided with Gsk3beta inactivation and increased COX-2 expression. Inhibition
of COX by indomethacin, leading to reduced PGE(2) and PGF(2alpha) levels, or
dexamethasone-induced downregulation of COX-2 both increased AQP2 abundance,
while PGE(2) addition reduced AQP2 abundance. However, lithium did not change the
prostaglandin levels, and indomethacin and dexamethasone did not prevent lithium
induced AQP2 downregulation. Further analysis revealed that lithium decreased
AQP2 protein abundance, mRNA levels and transcription, while PGE(2) reduced AQP2
abundance by increasing its lysosomal degradation, but not by reducing AQP2 gene
transcription. In conclusion, our data reveal that in mpkCCD cells,
prostaglandins decrease AQP2 protein stability by increasing its lysosomal
degradation, indicating that in vivo paracrine-produced prostaglandins might have
a role in lithium-induced NDI via this mechanism. However, lithium affects also
AQP2 gene transcription, which is prostaglandin independent.
PMID- 21881001
TI - TM4SF10 and ADAP interaction in podocytes: role in Fyn activity and nephrin
phosphorylation.
AB - TM4SF10 [transmembrane tetra(4)-span family 10] is a claudin-like cell junction
protein that is transiently expressed during podocyte development where its
expression is downregulated in differentiating podocytes coincident with the
appearance of nephrin at the slit diaphragm. In a yeast two-hybrid screen, we
identified adhesion and degranulation-promoting adaptor protein (ADAP), a well
known Fyn substrate and Fyn binding partner, as a TM4SF10 interacting protein in
mouse kidney. Using coimmunoprecipitation and immunohistochemistry experiments in
cultured human podocytes, we show that TM4SF10 colocalizes with Fyn and ADAP but
does not form a stable complex with Fyn. Cytoskeletal changes and phosphorylation
events mediated by Fyn activity were reversed by TM4SF10 overexpression,
including a decrease in the activating tyrosine phosphorylation of Fyn (Y(421)),
suggesting TM4SF10 may have a regulatory role in suppressing Fyn activity. In
addition, TM4SF10 was reexpressed following podocyte injury by puromycin
aminonucleoside treatment, and its expression enhanced the abundance of high
molecular-weight forms of nephrin indicating it may participate in a mechanism
controlling nephrin's appearance at the plasma membrane. Therefore, these studies
have identified ADAP as another Fyn adapter protein expressed in podocytes, and
that TM4SF10, possibly through ADAP, may regulate Fyn activity. Since TM4SF10
expression is temporally regulated during kidney development, these studies may
help define a mechanism by which the slit diaphragm matures as a highly
specialized cell junction during podocyte differentiation.
PMID- 21881003
TI - Electrical slow waves in the mouse oviduct are dependent on extracellular and
intracellular calcium sources.
AB - Spontaneous contractions of the myosalpinx are critical for oocyte transport
along the oviduct. Slow waves, the electrical events that underlie myosalpinx
contractions, are generated by a specialized network of pacemaker cells called
oviduct interstitial cells of Cajal (ICC-OVI). The ionic basis of oviduct
pacemaker activity is unknown. Intracellular recordings and Ca(2+) imaging were
performed to examine the role of extracellular and intracellular Ca(2+) sources
in slow wave generation. RT-PCR was performed to determine the transcriptional
expression of Ca(2+) channels. Molecular studies revealed most isoforms of L- and
T-type calcium channels (Cav1.2,1.3,1.4,3.1,3.2,3.3) were expressed in
myosalpinx. Reduction of extracellular Ca(2+) concentration ([Ca(2+)](o))
resulted in the abolition of slow waves and myosalpinx contractions without
significantly affecting resting membrane potential (RMP). Spontaneous Ca(2+)
waves spread through ICC-OVI cells at a similar frequency to slow waves and were
inhibited by reduced [Ca(2+)](o). Nifedipine depolarized RMP and inhibited slow
waves; however, pacemaker activity returned when the membrane was repolarized
with reduced extracellular K(+) concentration ([K(+)](o)). Ni(2+) also
depolarized RMP but failed to block slow waves. The importance of ryanodine and
inositol 1,4,5 trisphosphate-sensitive stores were examined using ryanodine,
tetracaine, caffeine, and 2-aminoethyl diphenylborinate. Results suggest that
although both stores are involved in regulation of slow wave frequency, neither
are exclusively essential. The sarco/endoplasmic reticulum Ca(2+)-ATPase (SERCA)
pump inhibitor cyclopiazonic acid inhibited pacemaker activity and Ca(2+) waves
suggesting that a functional SERCA pump is necessary for pacemaker activity. In
conclusion, results from this study suggest that slow wave generation in the
oviduct is voltage dependent, occurs in a membrane potential window, and is
dependent on extracellular calcium and functional SERCA pumps.
PMID- 21881004
TI - Na+/H+ exchanger isoform 6 (NHE6/SLC9A6) is involved in clathrin-dependent
endocytosis of transferrin.
AB - In mammalian cells, nine conserved isoforms of the Na(+)/H(+) exchanger (NHE) are
known to be important for pH regulation of the cytoplasm and organellar lumens.
NHE1-5 are localized to the plasma membrane, whereas NHE6-9 are localized to
distinct organelles. NHE6 is localized predominantly in endosomal compartments
but is also found in the plasma membrane. To investigate the role of NHE6 in
endocytosis, we established NHE6-knockdown HeLa cells and analyzed the effect of
this knockdown on endocytotic events. The expression level of NHE6 in knockdown
cells was decreased to ~15% of the level seen in control cells. Uptake of
transferrin was also decreased. No effect was found on the endocytosis of
epidermal growth factor or on the cholera toxin B subunit. Moreover, in the NHE6
knockdown cells, transferrin uptake was found to be affected in the early stages
of endocytosis. Microscopic analysis revealed that, at 2 min after the onset of
endocytosis, colocalization of NHE6, clathrin, and transferrin was observed,
which suggests that NHE6 was localized to endocytotic, clathrin-coated vesicles.
In addition, in knockdown cells, transferrin-positive endosomes were acidified,
but no effect was found on cytoplasmic pH. In cells overexpressing wild-type
NHE6, increased transferrin uptake was observed, but no such increase was seen in
cells overexpressing mutant NHE6 deficient in ion transport. The luminal pH in
transferrin-positive endosomes was alkalized in cells overexpressing wild-type
NHE6 but normal in cells overexpressing mutant NHE6. These observations suggest
that NHE6 regulates clathrin-dependent endocytosis of transferrin via pH
regulation.
PMID- 21881007
TI - Congenital myotubular myopathy with a novel MTM1 gene mutation in a premature
infant presenting with ventilator dependency and intrahepatic cholestasis.
AB - Myotubular myopathy is a rare congenital disease characterized by hypotonia and
respiratory compromise at birth in affected males. It causes high neonatal
mortality. Most surviving newborns need prolonged ventilation and have
significantly delayed motor development. Although all patients with congenital
myotubular myopathy have respiratory problems such as atelectasis and recurrent
lung infections, concurrent neonatal intrahepatic cholestasis is rare. We report
a newborn with a myotubular myopathy, ventilator dependency, recurrent lung
infections and pleural effusion, facial diplegia, ophthalmoplegia, and
progressive intrahepatic cholestasis. A genetic study showed a novel mutation of
the MTM1gene: c.1142 G>A (R381Q). We suggest that physicians consider probable
concurrent disorders of other organs in neonates with congenital myotubular
myopathy.
PMID- 21881006
TI - Interictal encephalography can influence patient selection for methylprednisolone
therapy in pediatric refractory epilepsy.
AB - We describe our experience with intravenous methylprednisolone pulse therapy in
older children with refractory epilepsy. Patients with refractory epilepsy, who
were treated with steroids between 2005 and 2010, were retrospectively selected
from the database of the pediatric epilepsy clinic at Assaf Harofeh Medical
Center. Eight patients (5 boys) aged 1.1 to 9 years (5.2 +/- 2.6) were
identified. Intravenous methylprednisolone 30 mg/kg/d was given to all patients
for 5 days in addition to a stable dosage of the regular antiepileptic drugs.
Transient side effects were reported in 4 of the patients during pulse therapy.
Significant clinical improvement was noted in 4 patients, accompanied by a
significant reduction of the amplitude of the spike-slow wave discharges on the
electroencephalogram (EEG). Children with refractory epilepsy, abnormal EEG
background, and high-amplitude spike-slow wave discharges appear to be the best
candidates for intravenous methylprednisolone pulse therapy.
PMID- 21881005
TI - Basolateral LPS inhibits NHE3 and HCOFormula absorption through TLR4/MyD88
dependent ERK activation in medullary thick ascending limb.
AB - Sepsis is associated with defects in renal tubule function, but the underlying
mechanisms are incompletely understood. Recently, we demonstrated that Gram
negative bacterial lipopolysaccharide (LPS) inhibits HCO(3)(-) absorption in the
medullary thick ascending limb (MTAL) through activation of Toll-like receptor 4
(TLR4). Here, we examined the mechanisms responsible for inhibition of HCO(3)(-)
absorption by basolateral LPS. Adding LPS to the bath decreased HCO(3)(-)
absorption by 30% in rat and mouse MTALs perfused in vitro. The inhibition of
HCO(3)(-) absorption was eliminated by the mitogen-activated protein
kinase/extracellular signal-regulated kinase (MEK)/ERK inhibitors U0126 and
PD98059. LPS induced a rapid (<15 min) and sustained (up to 60 min) increase in
ERK phosphorylation in microdissected MTALs that was blocked by PD98059. The
effects of basolateral LPS to activate ERK and inhibit HCO(3)(-) absorption were
eliminated in MTALs from TLR4(-/-) and myeloid differentiation factor 88 (MyD88)(
/-) mice but were preserved in MTALs from TIR (Toll/interleukin-1 receptor)
domain-containing adapter-inducing interferon-beta (Trif)(-/-) mice. Basolateral
LPS decreased apical Na(+)/H(+) exchanger 3 NHE3 activity through a decrease in
maximal velocity (V(max)). The inhibition of NHE3 by LPS was eliminated by
MEK/ERK inhibitors. LPS inhibited HCO(3)(-) absorption despite the presence of
physiological stimuli that activate ERK in the MTAL. We conclude that basolateral
LPS inhibits HCO(3)(-) absorption in the MTAL through activation of a
TLR4/MyD88/MEK/ERK pathway coupled to inhibition of NHE3. These studies identify
NHE3 as a target of TLR4 signaling in the MTAL and show that bacterial molecules
can impair the absorptive functions of renal tubules through inhibition of this
exchanger. The ERK pathway links TLR4 to downstream modulation of ion transport
proteins and represents a potential target for treatment of sepsis-induced renal
tubule dysfunction.
PMID- 21881008
TI - The diving reflex in healthy infants in the first year of life.
AB - A cohort study was conducted with a random sample of 33 healthy infants evaluated
at birth and at 1, 2, 3, 4, 5, 6, 9, and 12 months to determine the frequency of
respiratory rate changes in response to air blown over the face (diving reflex)
in the first year of life, and to standardize the description of diving reflex
occurrence. All 33 infants remained neurologically normal throughout follow-up.
Diving reflex was observed in 95.3% of newborns and in 100% of infants between 2
and 6 months of age. At 6 months, it started to decrease but persisted in 90% of
the infants up to 12 months. The diving reflex is highly prevalent in the first
year of life and can be easily elicited by applying a flow of air over the
infant's face, particularly during crying.
PMID- 21881010
TI - Nutrition and traumatic brain injury: a perspective from the Institute of
Medicine report.
PMID- 21881011
TI - Psychosocial complaints are associated with venous access-device related
complications in patients on home parenteral nutrition.
AB - BACKGROUND: Complications related to venous access devices (VADs) remain the
major drawback of home parenteral nutrition (HPN) support. In addition to
technical issues, patients also experience psychosocial problems. The aim of this
study is to present an overview of VAD-related complications in patients on long
term HPN and to assess whether these adversities are related to experienced
psychosocial problems and quality of life (QOL). METHODS: Information on VAD
related complications was collected from the medical charts of 110 adult HPN
patients who were followed by the 2 major referral centers in the Netherlands. In
addition, a survey was conducted in this group to characterize psychosocial
problems and assess their association with technique-related complications; 75
patients (68%) responded. RESULTS: At the time of survey, the majority of
patients (76%) had developed 1 or more episodes of catheter-related sepsis at
some point during their HPN treatment. The overall incidence of VAD-related blood
stream infections (BSIs) was 3 per 1,000 venous access days. The incidence of VAD
occlusions was 0.8 per 1,000 venous access days. During the observation period,
there was a highly significant association between the incidence of VAD-related
complications and the occurrence of psychosocial complaints (eg, depression,
fatigue, social impairment, and decreased QOL). CONCLUSIONS: Psychosocial
complaints are associated with previously experienced VAD-related complications
in patients on HPN therapy. Although only an association, and not a causal
relationship is demonstrated by these findings, our results underscore the need
for preventive and therapeutic measures regarding both types of problems in these
patients.
PMID- 21881012
TI - "CAN WE FEED?" A mnemonic to merge nutrition and intensive care assessment of the
critically ill patient.
AB - As care of the critically ill patient grows more complex, so does the breadth of
knowledge required of the intensivist to deliver quality service. Nutrition is
one area of many where the complexity of care has grown and the opportunity for
improving patient outcomes has become evident. The use of mnemonics has proven
successful in compartmentalizing information that must be considered in complex
decision-making processes. The authors propose one such mnemonic, "CAN WE FEED?"
to assist in the development and initiation of early enteral nutrition therapy in
the intensive care unit (ICU). Critical illness severity (C), age (A), and
nutrition risk screening (N) are considered when performing a baseline evaluation
of the critically ill patient upon presentation to the ICU. Wait for
resuscitation (W) is a key component in the care of most critically ill patients
and is an important consideration prior to the initiation of feeding. Energy
requirements (E) are determined using conventional weight-based equations,
indirect calorimetry, or combinations of both techniques. The more practical
aspects of support that follow include formula selection (F), enteral access (E),
efficacy (E), and the determination of tolerance (D). With careful consideration
of these components through the use of the mnemonic "CAN WE FEED?" the
intensivist can successfully implement a nutrition plan, and the clinical
nutritionist can appreciate where nutrition therapy appropriately intervenes in
the initial resuscitation and management of the critically ill patient.
PMID- 21881013
TI - Obesity--a growing frontier in nutrition support.
PMID- 21881014
TI - Obesity epidemic: overview, pathophysiology, and the intensive care unit
conundrum.
AB - Obesity is one of the leading causes of preventable death in the United States,
second only to smoking. The annual number of deaths attributed to obesity is
estimated to be as high as 400,000. Nearly 70% of the adult U.S. population is
overweight or obese. The historical viewpoint toward obesity has deemed it to be
a lifestyle choice or characterological flaw. However, given the emerging
research into the development of obesity and its related complications, our
perspective is changing. It is now clear that obesity is a heterogeneous disease
with many different subtypes, which involves an interplay between genetic and
environmental factors. The current epidemic of obesity is the result of an
obesogenic environment (which includes energy-dense foods and a lack of physical
activity) in individuals who have a genetic susceptibility for developing
obesity. The pathophysiology associated with weight gain is much more complex
than originally thought. The heterogeneous nature of the disease makes the
development of treatment strategies for obesity difficult. Obesity in general is
associated with increased all-cause mortality and cause-specific mortality (from
cardiovascular, diabetic, hepatic, and neoplastic causes). Yet despite increased
overall mortality rates, current evidence suggests that when these same patients
are admitted to the intensive care unit (ICU), the obesity provides some
protection against mortality. At present, there is no clear explanation for this
obesity conundrum in critical illness.
PMID- 21881015
TI - The outcomes of obese patients in critical care.
AB - The severity and prevalence of obesity continue to rise throughout the world. A
similar rise in the prevalence of obesity is seen in the population of patients
admitted to the intensive care unit (ICU). In the ICU setting, nearly every
aspect of care is made more difficult by obesity. This review highlights the
challenges in the care of obese ICU patients. Multiple statistical reviews have
suggested improved outcomes for obese ICU patients. This article critically
evaluates published outcome studies and highlights potential confounders that may
result in misleading results. Body mass index (BMI) has been traditionally used
to stratify risk in obese populations. Other factors that may be more predictive
of poor outcomes in obese populations are further discussed. Further research in
these factors has the potential to guide therapy in high-risk critically ill
obese populations.
PMID- 21881017
TI - Issues involved in the process of developing a medical food.
AB - The creation of a medical food with potential health benefits for a particular
patient population is a surprisingly complex process. Fortunately, the
developmental process for a specific medical food is not as rigorous or as
tightly regulated as that of a pharmaceutical agent. However, numerous factors
unique to the enteral formulation of a new product come into play, such as
physical/chemical compatibility, pH, stability, bioavailability, decay, and even
palatability. Additional considerations such as strength of health benefit
claims, packaging or presentation, and marketability determine the ultimate
commercialization and whether a product ends up being released to the public. A
full understanding of the development, substantiation, and commercialization of a
medical food is necessary for important physiologic concepts in nutrition therapy
to end up as part of the therapeutic regimen at the bedside of the critically ill
obese patient.
PMID- 21881016
TI - Pharmaconutrition for the obese, critically ill patient.
AB - Obesity is an epidemic that affects approximately 30% of the adult population in
the United States. The prevalence of obesity in the critically ill seems to
correlate with the rise in obesity in the general population. Delivery of
standard enteral nutrition (EN) to patients in the intensive care unit (ICU) has
been shown to decrease infectious complications. Obese ICU patients may be at
increased risk for infections, ICU length of stay, and ventilation requirements
compared to the nonobese. Pharmaconutrition has been shown to decrease many of
these negative ICU outcomes. Because of obesity-associated increased ICU risk,
provision of certain pharmaconutrients should be considered in obese patients
requiring EN therapy. This review examines the evidence for specific nutrients
such as green tea, curcumin, sulforaphane, poly-unsaturated fatty acids, L
arginine, L-citrulline, L-leucine, protein, probiotics, magnesium, medium-chain
triglycerides, and zinc for the treatment of obesity. These nutrients could
potentially be added to current EN formulas or provided as supplements.
PMID- 21881018
TI - Nutrition delivery for obese ICU patients: delivery issues, lack of guidelines,
and missed opportunities.
AB - The most appropriate enteral formula for the severely obese population has yet to
be determined. The obese patient in the intensive care unit (ICU) creates
numerous difficulties for managing care, one being the ability to deliver
appropriate and timely nutrition. Access for nutrition therapy, either enteral or
parenteral, can also create a challenge. Currently, no specific guidelines are
available on a national or international scale to address the issues of how and
when to feed the obese patient in the ICU. A bias against feeding these patients
exists, secondary to the perception that an enormous quantity of calories is
stored in adipose tissue. Making a specialty enteral formula for obesity from
existing commercial formulas and other modular nutrient components is not
practical, secondary to difficulty with solubility issues, dilution of the
formula, and safety concerns. Using today's concepts and current metabolic data,
a formula could be produced that would address many of the specific metabolic
derangements noted in obesity. This formula should have a high-protein, low
carbohydrate content with at least a portion of the lipid source coming from fish
oil. Specific nutrients that may be beneficial in obesity include arginine,
glutamine, leucine, L-carnitine, lipoic acid, S-adenosylmethionine, and betaine.
Certain trace minerals such as magnesium, zinc, and selenium may also be of value
in the obese population. The concept of a specific bariatric formulation for the
ICU setting is theoretically sound, is scientifically based, and could be
delivered to patients safely.
PMID- 21881019
TI - Nutrition therapy of the severely obese, critically ill patient: summation of
conclusions and recommendations.
AB - This report compiles the conclusions and recommendations for nutrition therapy of
the obese, critically ill patient derived by the group of experts participating
in this workshop on obesity in critical care nutrition. The recommendations are
based on consensus opinions of the group after review of the current literature.
Obesity clearly adds to the complexity of nutrition therapy in the intensive care
unit (ICU). Obesity alters the incidence and severity of comorbidities, tolerance
of the prescribed regimen, and ultimately patient outcome through the course of
hospitalization. Although the basic principles of critical care nutrition apply
to the obese ICU patient, a high-protein, hypocaloric regimen should be provided
to reduce the fat mass, improve insulin sensitivity, and preserve lean body mass.
The ideal enteral formula should have a low nonprotein calorie to nitrogen ratio
and have a variety of pharmaconutrient agents added to modulate immune responses
and reduce inflammation.
PMID- 21881020
TI - Effects of hot-iron branding on heart rate, breathing rate and behaviour of
anaesthetised Steller sea lions.
AB - This study assessed the heart rate, breathing rate and behavioural responses of
12 juvenile Steller sea lions during hot-iron branding under isoflurane
anaesthesia. Physiological and behavioural measures were recorded in four
periods: baseline (five minutes), sham branding (one minute), branding
(approximately 2.7 minutes) and postbranding (five minutes). No difference in
heart rate was noted from baseline to sham branding, but heart rate increased
from mean (sem) 78.3 (2.4) bpm in the baseline period to 85.6 (2.5) bpm in the
branding period. Heart rate remained elevated in the postbranding period,
averaging 84.7 (2.5) bpm. Breathing rate averaged 2.5 (1.0) breaths/minute in the
baseline and sham branding periods increased to 8.9 (1.0) breaths/minute during
branding, but returned to baseline by the postbranding period. Behaviourally,
half of the sea lions exhibited trembling and head and shoulder movements during
branding.
PMID- 21881021
TI - Successful control of infectious laryngotracheitis on a multiage laying hen farm.
PMID- 21881022
TI - The British pig health schemes: integrated systems for large-scale pig abattoir
lesion monitoring.
AB - Pig health schemes based on abattoir inspections provide an integrated system to
optimise the postmortem detection and the reporting of pathological lesions. In
Great Britain, two initiatives have been implemented by the pig industry:
Wholesome Pigs Scotland (WPS) and the BPEX Pig Health Scheme (BPHS). These
schemes record the presence of a range of pathological lesions detected by means
of detailed inspection of the pluck and the skin of the slaughtered pigs. The
lesions are those associated with a reduction in performance traits or are
indicators of animal welfare problems. This paper aims to provide an overview of
the objectives behind the BPHS and their activities, outlining similarities and
differences between WPS and BPHS on five main operational topics: the lesions
monitored, the administration of the schemes, flow of the information, inspection
strategies and the major idiosyncratic characteristics of the schemes. These
initiatives inform individual producers and their veterinarians of the occurrence
of pathological conditions affecting their pig herds. Additionally, they offer
the added value of providing nationwide disease monitoring information and have
the potential to be a useful surveillance tool for emerging and enzootic
conditions.
PMID- 21881023
TI - Equine multinodular pulmonary fibrosis in horses in the UK.
PMID- 21881024
TI - Seroprevalence of Coxiella burnetii antibodies in sheep and goats in the Republic
of Ireland.
PMID- 21881025
TI - Effects of parenteral amoxicillin on recovery rates and new infection rates for
contagious ovine digital dermatitis in sheep.
AB - The present study is a randomised split-flock treatment trial, which compared the
effect of foot bathing in a 1 per cent solution of chlortetracycline alone with a
treatment protocol that added a single injection of a long-acting amoxicillin.
Overall, the prevalence of contagious ovine digital dermatitis in the examined
flock was 22 per cent, while 45.7 per cent of affected sheep had infections in
two or more feet. Parenteral antibiotic treatment increased the odds of a
recovery by 3.8 times (95 per cent confidence interval 1.05 to 14.0) (P=0.008).
Moreover, the amoxicillin injection may also have had a preventative effect,
reducing the rate of establishment of new infections from 2.5 per cent for foot
bathing alone compared with 1.0 per cent with the addition of parenteral
amoxicillin.
PMID- 21881026
TI - Body fatness during childhood and adolescence, adult height, and risk of
colorectal adenoma in women.
AB - The latest report by the World Cancer Research Fund/American Institute of Cancer
Research concluded that there is convincing evidence that adult height and
obesity are risk factors for colorectal cancer. However, studies relating body
fatness during early life to the risk of colorectal cancer or adenoma are scarce.
In the Nurses' Health Study II, participants recalled adult attained height and
body shape at ages 5, 10, and 20 years (using a 9-level pictogram: 1 = most lean
body shape, 9 = most overweight body shape) at baseline. Among 32,707 women who
had at least one lower bowel endoscopy between 1991 and 2005, 2,327 colorectal
adenomas were documented. Adult height was positively associated with risk of
colorectal adenoma (multivariate OR per 2 inch increment 1.05, 95% CI: 1.01
1.09). Comparing women who were overweight (body shape level 6 or higher) to
women who were most lean (body shape level 1), ORs (95% CI, P(trend)) of
colorectal adenoma for body shapes at ages 5, 10, and 20 years were 1.44 (1.04
1.99, 0.01), 1.21 (0.93-1.56, 0.05), and 1.03 (0.74-1.42, 0.58), respectively.
Adjustment for adult body mass index did not change results substantially. The
positive associations for body fatness at ages 5 and 10 years as well as adult
height were restricted to distal adenoma, while not seen for proximal or rectal
adenoma. Higher height and body fatness during childhood was associated with
increased risk of distal adenoma later in life, independent of adult body weight.
PMID- 21881027
TI - Ethanol promotes chemically induced oral cancer in mice through activation of the
5-lipoxygenase pathway of arachidonic acid metabolism.
AB - Alcohol drinking is a known risk factor for oral cancer in humans. However,
previous animal studies on the promoting effect of ethanol on oral carcinogenesis
were inconclusive. It is necessary to develop an animal model with which the
molecular mechanism of ethanol-related oral carcinogenesis may be elucidated to
develop effective prevention strategies. In this study, mice were first treated
with 4-nitroquinoline-1-oxide (4NQO, 100 MUg/mL in drinking water) for 8 weeks
and then given water or ethanol (8%) as the sole drink for another 16 weeks.
During the experiment, 8% ethanol was well tolerated by mice. The incidence of
squamous cell carcinoma (SCC) increased from 20% (8/41) to 43% (17/40; P < 0.05).
Expression of 5-lipoxygenase (5-Lox) and cyclooxygenase 2 (Cox-2) was increased
in dysplasia and SCC of 4NQO-treated tongues and further enhanced by ethanol.
Using this mouse model, we further showed that fewer cancers were induced in
Alox5(-/-) mice, as were cell proliferation, inflammation, and angiogenesis in
the tongue, as compared with Alox5(+/+) mice. Interestingly, Cox-2 expression was
induced by ethanol in knockout mice, whereas 5-Lox and leukotriene A4 hydrolase
(LTA4H) expression and leukotriene B4 (LTB4) biosynthesis were dramatically
reduced. Moreover, ethanol enhanced expression and nuclear localization of 5-Lox
and stimulated LTB4 biosynthesis in human tongue SCC cells (SCC-15 and SCC-4) in
vitro. In conclusion, this study clearly showed that ethanol promoted 4NQO
induced oral carcinogenesis, at least in part, through further activation of the
5-Lox pathway of arachidonic acid metabolism.
PMID- 21881028
TI - Mechanistic contribution of ubiquitous 15-lipoxygenase-1 expression loss in
cancer cells to terminal cell differentiation evasion.
AB - Loss of terminal cell differentiation promotes tumorigenesis. 15-Lipoxygenase-1
(15-LOX-1) contributes to terminal cell differentiation in normal cells. The
mechanistic significance of 15-LOX-1 expression loss in human cancers to terminal
cell differentiation suppression is unknown. In a screen of 128 cancer cell lines
representing more than 20 types of human cancer, we found that 15-LOX-1 mRNA
expression levels were markedly lower than levels in terminally differentiated
cells. Relative expression levels of 15-LOX-1 (relative to the level in
terminally differentiated primary normal human-derived bronchial epithelial
cells) were lower in 79% of the screened cancer cell lines than relative
expression levels of p16 (INK4A), which promotes terminal cell differentiation
and is considered one of the most commonly lost tumor suppressor genes in cancer
cells. 15-LOX-1 was expressed during terminal differentiation in three
dimensional air-liquid interface cultures, and 15-LOX-1 expression and terminal
differentiation occurred in immortalized nontransformed bronchial epithelial but
not in lung cancer cell lines. 15-LOX-1 expression levels were lower in human
tumors than in paired normal lung epithelia. Short hairpin RNA-mediated
downregulation of 15-LOX-1 in Caco-2 cells blocked enterocyte-like
differentiation, disrupted tight junction formation, and blocked E-cadherin and
ZO-1 localization to the cell wall membrane. 15-LOX-1 episomal expression in Caco
2 and HT-29 colon cancer cells induced differentiation. Our findings indicate
that 15-LOX-1 downregulation in cancer cells is an important mechanism for
terminal cell differentiation dysregulation and support the potential therapeutic
utility of 15-LOX-1 reexpression to inhibit tumorigenesis.
PMID- 21881029
TI - Cryptotanshinone inhibits lymphatic endothelial cell tube formation by
suppressing VEGFR-3/ERK and small GTPase pathways.
AB - Cryptotanshinone (CPT), isolated from the plant Salvia miltiorrhiza Bunge, is a
potential anticancer agent. However, the underlying mechanism remains to be
defined. Here, we show that CPT inhibited lymphangiogenesis in an in vitro model
(tube formation). This effect was partly attributed to inhibiting expression of
VEGF receptor 3 (VEGFR-3) in murine lymphatic endothelial cells (LEC), as
overexpression of VEGFR-3 conferred resistance to CPT inhibition of the tube
formation, whereas downregulation of VEGFR-3 mimicked the effect of CPT, blocking
the tube formation. Furthermore, CPT inhibited phosphorylation of the
extracellular signal-related kinase 1/2 (ERK1/2). Overexpression of VEGFR-3
attenuated CPT inhibition of ERK1/2 phosphorylation, whereas downregulation of
VEGFR-3 inhibited ERK1/2 phosphorylation in LECs. Expression of constitutively
active MKK1 resulted in activation of ERK1/2 and partially prevented CPT
inhibition of LEC tube formation. In addition, CPT also inhibited protein
expression and activities of Rac1 and Cdc42 but not RhoA. Expression of
constitutively active Rac1 and Cdc42 concurrently, but not Rac1 or Cdc42 alone,
conferred resistance to CPT inhibition of LEC tube formation. Taken together, the
results suggest that CPT inhibits LEC tube formation, in part, by inhibiting
VEGFR-3-mediated ERK1/2 phosphorylation and, in part, by inhibiting expression of
the small GTPases.
PMID- 21881030
TI - A randomized controlled trial of celecoxib to prevent recurrence of nonmuscle
invasive bladder cancer.
AB - Significant morbidity and expense result from frequent recurrences of nonmuscle
invasive bladder cancer (NMIBC) after standard treatment, and carcinoma in situ
(Tis) is a poor prognostic factor. Predicated on observational and preclinical
data strongly supporting cyclooxygenase-2 (COX-2) in the pathogenesis, and the
activity of COX-2 inhibitors, in bladder cancer, we conducted a randomized,
double-blind, placebo-controlled trial to determine whether celecoxib could
reduce the time-to-recurrence (TTR) in NMIBC patients at high risk for
recurrence. A total of 146 patients were randomized to celecoxib (200 mg) or
placebo orally twice daily for at least 12 months. The average treatment duration
was 1.25 years. Primary intent-to-treat analysis revealed celecoxib did not
statistically significantly prolong TTR compared with placebo (P = 0.17, log
rank) with a median follow-up of 2.49 years. The recurrence-free rate at 12
months with celecoxib was 88% (95% CI: 0.81-0.96) versus 78% (95% CI: 0.69-0.89)
with placebo. After controlling for covariates with Cox regression analysis,
recurrence rates did not differ between the two study arms (HR = 0.69; 95% CI:
0.37-1.29). However, celecoxib had a marginally significant effect on reducing
metachronous recurrences (vs. placebo) with HR of 0.56 (95% CI: 0.3-1.06; P =
0.075). Celecoxib was well tolerated, with similar adverse events and quality-of
life in both arms. Our clinical trial results do not show a clinical benefit for
celecoxib in preventing NMIBC recurrence but further investigation of COX-2
inhibitors in this setting is warranted.
PMID- 21881031
TI - Transient elevation of international normalized ratio during cisplatin-based
chemotherapy in patients who are taking warfarin.
AB - OBJECTIVE: To report 2 cases of a probable interaction between cisplatin and
warfarin. CASE SUMMARY: Two cases of transient elevation of international
normalized ratio (INR) during irinotecan (60 mg/m2 on days 1, 8, and 15) plus
cisplatin (60 mg/m2 on day 1) chemotherapy with concomitant warfarin are
presented. In both cases, warfarin dosages were stable at the therapeutic target
range prior to initiation of chemotherapy. Granisetron hydrochloride (3 mg on
days 1, 8, and 15) and dexamethasone (13.2 mg on day 1 and 6.6 mg on days 2, 3,
8, and 15) were used prior to irinotecan administration in both patients. In
addition, aprepitant was administered to both patients for 3-5 days with
cisplatin. One of these patients also received aprepitant with irinotecan on days
8 and 15. During chemotherapy, INR was transiently elevated almost 1.5-fold over
baseline level on day 3. This variation did not occur in subsequent irinotecan
cycles on days 8 and 15. The timing of these increases was similar in each of the
cycles. DISCUSSION: Cisplatin was the common drug in the cases presented and
therefore could be related to the INR elevations. To our knowledge, these are the
first reports of an interaction between warfarin and irinotecan-cisplatin
chemotherapy, but reports of a similar interaction with chemotherapy including
platinum derivatives exist. Use of the Horn Drug Interaction Probability Scale
indicated a probable interaction between warfarin and cisplatin. CONCLUSIONS:
Cisplatin might affect the anticoagulation function of warfarin. Careful INR
monitoring is necessary during antineoplastic chemotherapy with cisplatin in
patients taking warfarin.
PMID- 21881032
TI - Bivalirudin dosing adjustments for reduced renal function with or without
hemodialysis in the management of heparin-induced thrombocytopenia.
AB - BACKGROUND: While not approved by the Food and Drug Administration for treatment
of heparin-induced thrombocytopenia (HIT), except in patients undergoing
percutaneous interventions, the direct thrombin inhibitor bivalirudin is a
treatment option that is gaining use. An initial dose of bivalirudin 0.15-0.2
mg/kg/h, adjusted to an activated partial thromboplastin time (aPTT) of 1.5-2.5
times the baseline value, has been suggested. Initial dosing in patients with
renal dysfunction, including those on hemodialysis, is unclear. OBJECTIVE: To
evaluate initial bivalirudin dosing requirements in patients with and without
renal dysfunction, including patients on different forms of dialysis. METHODS: A
retrospective analysis of 135 patients treated with bivalirudin for HIT between
June 2004 and October 2009 was conducted at a tertiary care medical center. The
patients were divided into groups, based on renal function. Patients receiving
dialysis were divided into 3 subgroups based on the mode of hemodialysis:
intermittent hemodialysis (IHD, n = 24), sustained low-efficiency daily
diafiltration (SLEDD, n = 12), or continuous renal replacement therapy (CRRT, n =
5). Patients not receiving dialysis were separated into 3 subgroups based on
calculated creatinine clearance (CrCl): CrCl >60 mL/min (n = 52), CrCl 30-60
mL/min (n = 26), and CrCl <30 mL/min (n = 16). RESULTS: Compared with patients
with normal renal function (CrCl >60 mL/min), patients with differing degrees of
renal dysfunction (CrCl 30-60 and <30 mL/min) required lower doses of bivalirudin
to achieve aPTT goal (0.13 vs 0.08 vs 0.05 mg/kg/h, respectively; p < 0.001).
Patients on dialysis (IHD, SLEDD, CRRT) also required dose reductions (0.07,
0.09, and 0.07 mg/kg/h) compared with patients with normal renal function, but
higher dosing requirements than patients not receiving dialysis with CrCl <30
mL/min. CONCLUSIONS: Patients with renal dysfunction require a reduced dose of
bivalirudin to reach a therapeutic aPTT goal. Slightly higher doses may be
observed in patients receiving hemodialysis.
PMID- 21881033
TI - Hereditary neuropathy unmasked by levofloxacin.
PMID- 21881034
TI - Drug-induced exanthem following dabigatran.
AB - OBJECTIVE: To report an incident of a drug-induced exanthem during treatment with
dabigatran in a patient without prior exposure to the drug. CASE SUMMARY: A 20
year-old white male was prescribed oral dabigatran 150 mg twice daily for
thromboembolic prevention because of nonvalvular atrial fibrillation. After 2
weeks of dabigatran therapy, a raised, pruritic, erythematous rash developed on
the patient's inner thigh and forearm. Upon discontinuation of dabigatran and
initiation of oral corticosteroid treatment, the rash resolved. Dabigatran
therapy was not readministered and thromboembolic prevention therapy with
warfarin was instituted. DISCUSSION: The clinical evidence for efficacy of
dabigatran was derived largely from the RE-LY trial, which provided an open-label
comparison with warfarin for the reduction of stroke and systemic embolism in
nonvalvular atrial fibrillation. The most frequent adverse reactions leading to
discontinuation of dabigatran were bleeding and gastrointestinal events. In the
RE-LY study, drug hyper-sensitivity, allergic edema, anaphylactic reaction, and
anaphylactic shock were reported in <0.1% of patients receiving dabigatran.
Despite the low incidence of hypersensitivity reported in the RE-LY trial, the
use of the Naranjo probability scale indicated a probable relationship between
the rash and dabigatran therapy in this patient. CONCLUSIONS: Upon initiation of
dabigatran therapy, surveillance for hyper-sensitivity reactions should be
included as part of routine drug monitoring.
PMID- 21881035
TI - StatBite. Smoking rates in the U.K.
PMID- 21881036
TI - The new image of tobacco smoking.
PMID- 21881037
TI - The U.S. plays catch up.
PMID- 21881038
TI - Early-stage progress on glioma vaccines.
PMID- 21881039
TI - Elucidating an uncommon disease: inflammatory breast cancer.
PMID- 21881040
TI - U.N. meeting to address growing burden of noncommunicable diseases.
PMID- 21881041
TI - Do all patients with breast cancer require systemic adjuvant therapy?
PMID- 21881042
TI - Mortality rates among early-stage hormone receptor-positive breast cancer
patients: a population-based cohort study in Denmark.
AB - BACKGROUND: Indications for adjuvant endocrine treatment of breast cancer have
gradually increased over the past several years. We aimed to define subgroups of
patients who may or may not benefit from adjuvant endocrine therapy. METHODS: A
population-based cohort of systemically untreated breast cancer patients (N =
3197) were identified within the registry of the Danish Breast Cancer Cooperative
Group (DBCG). The patients were node negative and had estrogen receptor-positive
and/or progesterone receptor-positive tumors (except medullary tumors) and were
further characterized by the following risk factors: aged 35-74 years (grouped
into 5-year categories) at surgery, tumor size (<=20 mm), and histopathology
(grade 1 ductal carcinoma, grade 1 or 2 invasive lobular carcinoma, other or
unknown histopathology). Standardized mortality ratios (SMRs) were calculated
based on the mortality rate (observed number of deaths per 100,000 person-years)
among patients relative to the mortality rate in the general population of women
(expected number of deaths per 100,000 person-years). The association between
standardized mortality ratio and risk factors were analyzed in univariate and
multivariable Poisson regression models. All findings were validated in a
subsequent DBCG cohort of breast cancer patients (N = 2710). RESULTS: The median
follow-up after surgery was 14.8 years. In the study population there were 970
deaths compared with expected death of 737 women, which was an excess mortality
of 233 deaths (SMR = 1.32, 95% CI = 1.24 to 1.40). Mortality rates were 2356 per
100,000 person-years in the study population and 1790 per 100,000 person-years in
the general population of women. The mortality rate was associated with larger
tumor size (11-20 mm tumors vs 1-10 mm tumors, SMR = 1.42, 95% confidence
interval [CI] = 1.31 to 1.53 vs. SMR = 1.12, 95% CI = 1.00 to 1.26). The
mortality rate was also associated with age (35-59 years, SMR > 1) compared with
that in the general population of age-matched women, except for a small subgroup
of patients (aged 60-74 years, tumors <=10 mm, grade 1 ductal carcinoma, and
grade 1 or 2 lobular carcinoma: adjusted relative risk = 1.02, 95% CI = 0.89 to
1.16.). CONCLUSIONS: A small subgroup of breast cancer patients who were 60 years
or older and had hormone-responsive early-stage tumors up to 10 mm, and received
no systemic adjuvant therapy, were not at increased risk of mortality compared
with women in this age-group in the general population.
PMID- 21881043
TI - Hypomorphic mutations in PRF1, MUNC13-4, and STXBP2 are associated with adult
onset familial HLH.
AB - Familial hemophagocytic lymphohistiocytosis (HLH) is a rare primary
immunodeficiency disorder characterized by defects in cell-mediated cytotoxicity
that results in fever, hepatosplenomegaly, and cytopenias. Familial HLH is well
recognized in children but rarely diagnosed in adults. We conducted a
retrospective review of genetic and immunologic test results in patients who
developed HLH in adulthood. Included in our study were 1531 patients with a
clinical diagnosis of HLH; 175 patients were 18 years or older. Missense and
splice-site sequence variants in PRF1, MUNC13-4, and STXBP2 were found in 25
(14%) of the adult patients. The A91V-PRF1 genotype was found in 12 of these
patients (48%). The preponderance of hypomorphic mutations in familial HLH
causing genes correlates with the later-onset clinical symptoms and the more
indolent course in adult patients. We conclude that late-onset familial HLH
occurs more commonly than was suspected previously.
PMID- 21881044
TI - Critical role for Syk in responses to vascular injury.
AB - Although current antiplatelet therapies provide potent antithrombotic effects,
their efficacy is limited by a heightened risk of bleeding and failure to affect
vascular remodeling after injury. New lines of research suggest that thrombosis
and hemorrhage may be uncoupled at the interface of pathways controlling
thrombosis and inflammation. Here, as one remarkable example, studies using a
novel and highly selective pharmacologic inhibitor of the spleen tyrosine kinase
Syk [PRT060318; 2-((1R,2S)-2-aminocyclohexylamino)-4-(m-tolylamino)pyrimidine-5
carboxamide] coupled with genetic experiments, demonstrate that Syk inhibition
ameliorates both the acute and chronic responses to vascular injury without
affecting hemostasis. Specifically, lack of Syk (murine radiation chimeras)
attenuated shear-induced thrombus formation ex vivo, and PRT060318 strongly
inhibited arterial thrombosis in vivo in multiple animal species while having
minimal impact on bleeding. Furthermore, leukocyte-platelet-dependent responses
to vascular injury, including inflammatory cell recruitment and neointima
formation, were markedly inhibited by PRT060318. Thus, Syk controls acute and
long-term responses to arterial vascular injury. The therapeutic potential of Syk
may be exemplary of a new class of antiatherothrombotic agents that target the
interface between thrombosis and inflammation.
PMID- 21881046
TI - Gene mutation patterns and their prognostic impact in a cohort of 1185 patients
with acute myeloid leukemia.
AB - To evaluate the prognostic value of genetic mutations for acute myeloid leukemia
(AML) patients, we examined the gene status for both fusion products such as AML1
(CBFalpha)-ETO, CBFbeta-MYH11, PML-RARalpha, and MLL rearrangement as a result of
chromosomal translocations and mutations in genes including FLT3, C-KIT, N-RAS,
NPM1, CEBPA, WT1, ASXL1, DNMT3A, MLL, IDH1, IDH2, and TET2 in 1185 AML patients.
Clinical analysis was mainly carried out among 605 cases without recognizable
karyotype abnormalities except for 11q23. Of these 605 patients, 452 (74.7%) were
found to have at least 1 mutation, and the relationship of gene mutations with
clinical outcome was investigated. We revealed a correlation pattern among NPM1,
DNMT3A, FLT3, IDH1, IDH2, CEBPA, and TET2 mutations. Multivariate analysis
identified DNMT3A and MLL mutations as independent factors predicting inferior
overall survival (OS) and event-free survival (EFS), whereas biallelic CEBPA
mutations or NPM1 mutations without DNMT3A mutations conferred a better OS and
EFS in both the whole group and among younger patients < 60 years of age. The use
of molecular markers allowed us to subdivide the series of 605 patients into
distinct prognostic groups with potential clinical relevance.
PMID- 21881047
TI - Predictors of greater than 80% 2-year mortality in primary myelofibrosis: a Mayo
Clinic study of 884 karyotypically annotated patients.
AB - DIPSS-plus (the Dynamic International Prognostic Scoring System-plus) includes 8
risk factors for survival in primary myelofibrosis. In the present study of 884
karyotypically annotated patients with primary myelofibrosis, we sought to
identify 1 or 2 parameters that can reliably predict death in the first 2 years
of disease. After a median of 8.2 years from time of referral to the Mayo Clinic,
564 deaths (64% of patients in the study) had been recorded. Risk factors
associated with > 80% 2-year mortality included monosomal karyotype,
inv(3)/i(17q) abnormalities, or any 2 of the following: circulating blasts > 9%,
leukocytes >= 40 * 10(9)/L, or other unfavorable karyotype. Patients with any 1
of these risk profiles (n = 52) displayed significantly shorter overall survival
than those otherwise belonging to a high-risk category per DIPSS-plus (n = 298);
respective median survivals were 9 and 23 months (hazard ratio 2.2, 95%
confidence interval 1.6-3.1; P < .01). The present information complements DIPSS
plus in the selection of primary myelofibrosis patients for high-risk treatment
approaches.
PMID- 21881045
TI - Regulatory T cells in acute myelogenous leukemia: is it time for
immunomodulation?
AB - The microenviroment of acute myelogenous leukemia (AML) is suppressive for immune
effector cells. Regulatory T cells (Tregs) have been recognized as a contributor
factor and may be recruited and exploited by leukemic cells to evade
immunesurveillance. Studies have shown that the frequencies of marrow and blood
Tregs are greater in patients with AML than in control patients. Although
increased Tregs have been associated with a decreased risk of GVHD after
allogeneic HCT and hence may impede the graft-versus-tumor effect, recent
findings indicate that that this may not be the case. Because there is a need to
improve outcomes of standard treatment (chemotherapy with or without allogeneic
HCT) in AML, targeting Tregs present an outstanding opportunity in AML because
discoveries may apply throughout its treatment. Here, we review data on the roles
of Tregs in mediating immune system-AML interactions. We focused on in vitro,
animal, and observational human studies of Tregs in AML biology, development,
prognosis, and therapy in different settings (eg, vaccination and HCT).
Manipulation of Tregs or other types of immunomodulation may become a part of AML
treatment in the future.
PMID- 21881048
TI - Alteration of BIRC3 and multiple other NF-kappaB pathway genes in splenic
marginal zone lymphoma.
AB - Splenic marginal zone lymphoma (SMZL) is one of the few B-cell lymphoma types
that remain orphan of molecular lesions in cancer-related genes. Detection of
active NF-kappaB signaling in 14 (58%) of 24 SMZLs prompted the investigation of
NF-kappaB molecular alterations in 101 SMZLs. Mutations and copy number
abnormalities of NF-kappaB genes occurred in 36 (36%) of 101 SMZLs and targeted
both canonical (TNFAIP3 and IKBKB) and noncanonical (BIRC3, TRAF3, MAP3K14) NF
kappaB pathways. Most alterations were mutually exclusive, documenting the
existence of multiple independent mechanisms affecting NF-kappaB in SMZL. BIRC3
inactivation in SMZL recurred because of somatic mutations that disrupted the
same RING domain that in extranodal marginal zone lymphoma is removed by the
t(11;18) translocation, which points to BIRC3 disruption as a common mechanism
across marginal zone B-cell lymphomagenesis. Genetic lesions of NF-kappaB provide
a molecular basis for the pathogenesis of more than 30% of SMZLs and offer a
suitable target for NF-kappaB therapeutic approaches in this lymphoma.
PMID- 21881049
TI - The risk of subsequent cancer and arterial cardiovascular events in patients with
superficial vein thrombosis in the legs.
AB - Although it has been clearly demonstrated that venous thromboembolism is
associated with an increased risk of subsequent overt cancer and arterial
cardiovascular events in comparison with control populations, whether this
association also applies to patients with isolated (ie, without concomitant
involvement of the deep vein system) superficial vein thrombosis (SVT) in the
legs is unknown. In 737 consecutive patients with isolated SVT not involving the
sapheno-femoral junction, we conducted a retrospective investigation to assess
the rate of cancer and that of arterial cardiovascular events occurring during
follow-up. The event rates were compared with those occurring in 1438 controls
having comparable characteristics. Both cases and controls were followed-up for
an average period of 26 +/- 8 months (range, 3-45). Malignancy was diagnosed in
26 cases (3.5%) and 56 controls (3.9%), leading to a hazard ratio of 0.86 (95%
confidence interval, 0.55%-1.35%). Arterial cardiovascular events occurred in 32
cases (4.3%) and 63 controls (4.4%), leading to a hazard ratio of 0.97 (95%
confidence interval, 0.63%-1.50%). We conclude that the occurrence of isolated
SVT in the legs does not place patients at an increased risk of malignancies or
arterial cardiovascular events. Whether this conclusion also applies to patients
whose thrombosis involves the sapheno-femoral junction remains to be
demonstrated.
PMID- 21881050
TI - The utility of plasma vascular endothelial growth factor levels in the diagnosis
and follow-up of patients with POEMS syndrome.
AB - The POEMS syndrome is associated with elevated vascular endothelial growth factor
(VEGF) levels. Several studies have compared serum VEGF levels between POEMS
patients and other disease entities showing higher serum VEGF in POEMS syndrome;
however, it is unknown whether serum levels are reliable and reproducible given
variable platelet release of VEGF. We therefore compared plasma levels of VEGF in
29 patients with POEMS syndrome with those of other disorders (n = 76). We
demonstrated that plasma VEGF levels are useful in differentiating POEMS from
other plasma cell dyscrasias, neuropathic processes, and multisystem illnesses.
Plasma VEGF is also useful in monitoring disease activity after treatment and
correlates with clinical improvements better than hematologic response.
PMID- 21881051
TI - Site-specific gene correction of a point mutation in human iPS cells derived from
an adult patient with sickle cell disease.
AB - Human induced pluripotent stem cells (iPSCs) bearing monogenic mutations have
great potential for modeling disease phenotypes, screening candidate drugs, and
cell replacement therapy provided the underlying disease-causing mutation can be
corrected. Here, we report a homologous recombination-based approach to precisely
correct the sickle cell disease (SCD) mutation in patient-derived iPSCs with 2
mutated beta-globin alleles (beta(s)/beta(s)). Using a gene-targeting plasmid
containing a loxP-flanked drug-resistant gene cassette to assist selection of
rare targeted clones and zinc finger nucleases engineered to specifically
stimulate homologous recombination at the beta(s) locus, we achieved precise
conversion of 1 mutated beta(s) to the wild-type beta(A) in SCD iPSCs. However,
the resulting co-integration of the selection gene cassette into the first intron
suppressed the corrected allele transcription. After Cre recombinase-mediated
excision of this loxP-flanked selection gene cassette, we obtained "secondary"
gene-corrected beta(s)/beta(A) heterozygous iPSCs that express at 25% to 40%
level of the wild-type transcript when differentiated into erythrocytes. These
data demonstrate that single nucleotide substitution in the human genome is
feasible using human iPSCs. This study also provides a new strategy for gene
therapy of monogenic diseases using patient-specific iPSCs, even if the
underlying disease-causing mutation is not expressed in iPSCs.
PMID- 21881053
TI - Quality-of-life outcomes in transoral robotic surgery.
AB - OBJECTIVE: To report long-term, health-related quality-of-life (HRQOL) outcomes
in patients treated with transoral robotic surgery (TORS). STUDY DESIGN:
Prospective, longitudinal, clinical study on functional and HRQOL outcomes in
TORS. SETTING: University tertiary care facility. SUBJECTS AND METHODS: Patients
who underwent TORS were asked to complete a Head and Neck Cancer Inventory before
treatment and at 3 weeks and 3, 6, and 12 months postoperatively. Demographic,
clinicopathological, and follow-up data were collected. RESULTS: Sixty-four
patients who underwent TORS were enrolled. A total of 113 TORS procedures were
performed. The mean follow-up time was 16.3 +/- 7.49 months. The HRQOL was
assessed at 3 weeks and at 3, 6, and 12 months, with a response rate of 78%, 44%,
41%, and 28%, respectively. TORS was performed most frequently for squamous cell
carcinoma (88%). There was a decrease from baseline in the speech, eating,
aesthetic, social, and overall QOL domains immediately after treatment. At the 1
year follow-up, the HRQOL scores in the aesthetic, social, and overall QOL
domains were in the high domain. Patients with malignant lesions had
significantly lower postoperative HRQOL scores in the speech, eating, social, and
overall QOL domains (P < .05). Patients who underwent adjuvant radiation therapy
or chemotherapy and radiation therapy had lower postoperative scores in the
eating, social, and overall QOL domains (P < .05). CONCLUSION: The preliminary
data show that patients who undergo TORS for malignancies and receive adjuvant
therapy tend to have lower HRQOL outcomes. TORS is a promising, minimally
invasive, endoscopic alternative surgical treatment of laryngopharyngeal tumors.
PMID- 21881052
TI - Latent KSHV infection increases the vascular permeability of human endothelial
cells.
AB - Kaposi sarcoma-associated herpesvirus (KSHV) is associated with 3 different human
malignancies: Kaposi sarcoma (KS), primary effusion lymphoma, and multicentric
Castleman disease. The KS lesion is driven by KSHV-infected endothelial cells and
is highly dependent on autocrine and paracrine factors for survival and growth.
We report that latent KSHV infection increases the vascular permeability of
endothelial cells. Endothelial cells with latent KSHV infection display increased
Rac1 activation and activation of its downstream modulator, p21-activated kinase
1 (PAK1). The KSHV-infected cells also exhibit increases in tyrosine
phosphorylation of vascular endothelial (VE)-cadherin and beta-catenin, whereas
total levels of these proteins remained unchanged, suggesting that latent
infection disrupted endothelial cell junctions. Consistent with these findings,
we found that KSHV-infected endothelial cells displayed increased permeability
compared with uninfected endothelial cells. Knockdown of Rac1 and inhibition of
reactive oxygen species (ROS) resulted in decreased permeability in the KSHV
infected endothelial cells. We further demonstrate that the KSHV K1 protein can
activate Rac1. Rac1 was also highly activated in KSHV-infected endothelial cells
and KS tumors. In conclusion, KSHV latent infection increases Rac1 and PAK1
activity in endothelial cells, resulting in the phosphorylation of VE-cadherin
and beta-catenin and leading to the disassembly of cell junctions and to
increased vascular permeability of the infected endothelial cells.
PMID- 21881054
TI - Paralysis of a true vocal cord: a rare presentation of thyroid tuberculosis.
PMID- 21881055
TI - Is the mandibular nerve block passe?
AB - BACKGROUND: Providing effective pain control is a critical part of dental
treatment, yet achieving consistently reliable anesthesia in the mandible has
proved elusive. The traditional inferior alveolar nerve block (IANB) has a high
failure rate; for example, the failure rate in lateral incisors is 81 percent. As
a consequence, new approaches and techniques have been developed. The purpose of
this supplement to The Journal of the American Dental Association is to determine
whether the mandibular nerve block has become passe. CONCLUSIONS: The high
failure rate of the IANB can be frustrating for dentists and lead to discomfort
for the patient during treatment. The reasons for this high failure rate include
thickness of the cortical plate of bone in adults, thickness of the soft tissue
at the injection site leading to increased needle deflection, the difficulty of
locating the inferior alveolar nerve and the possibility of accessory
innervation. Although the IANB can be unreliable, it is used commonly to provide
mandibular anesthesia. CLINICAL IMPLICATIONS: Pain control is an essential part
of dental treatment. Alternative injection techniques and devices that can help
increase the success rate of mandibular anesthesia are available.
PMID- 21881059
TI - Fast automatic translation and morphological decomposition in Chinese-English
bilinguals.
AB - In this study, we investigated automatic translation from English to Chinese and
subsequent morphological decomposition of translated Chinese compounds. In two
lexical decision tasks, Chinese-English bilinguals responded to English target
words that were preceded by masked unrelated primes presented for 59 ms.
Unbeknownst to participants, the Chinese translations of the words in each
critical pair consisted of a fully opaque compound word (i.e., a compound with
two constituent morphemes that were semantically unrelated to the compound) and a
monomorphemic word that was either the first or the second morpheme of the
compound. The data revealed that bilinguals responded faster to English word
pairs whose Chinese translations repeated the first morpheme than to English word
pairs whose Chinese translations did not repeat the first morpheme, but no effect
of hidden second-morpheme repetition was found. This effect of hidden first
morpheme repetition suggests that participants translated English words to
Chinese and decomposed the translated compounds into their constituent morphemes.
Because the primes were presented for only 59 ms, translation and morphological
decomposition must be fast and automatic.
PMID- 21881058
TI - The use of the mandibular infiltration anesthetic technique in adults.
AB - BACKGROUND: The author describes the use of the infiltration anesthetic technique
to anesthetize mandibular teeth in adults and explores its mechanism of action.
METHODS: The author reviewed articles describing randomized controlled trials of
the mandibular infiltration anesthetic technique in healthy participants.
RESULTS: The author found that using the mandibular infiltration anesthetic
technique can produce anesthesia in adult mandibular teeth. The success was dose
dependent and the choice of anesthetic solution was significant; 4 percent
articaine with 1:100,000 epinephrine was more effective than 2 percent lidocaine
with 1:100,000 epinephrine. Combining buccal and lingual infiltrations increased
success in the mandibular incisor region. The success of the mechanism of
infiltration of anesthetic at the mandibular first molar appeared to depend on
the mental foramen. CONCLUSIONS: The mandibular infiltration anesthetic technique
is an effective method of anesthetizing mandibular incisors. Four percent
articaine with epinephrine appears to be the preferred solution. CLINICAL
IMPLICATIONS: The choice of anesthetic solution is important when using the
infiltration anesthetic technique in the adult mandible.
PMID- 21881057
TI - Periodontal ligament and intraosseous anesthetic injection techniques:
alternatives to mandibular nerve blocks.
AB - BACKGROUND: and Overview. The provision of mandibular anesthesia traditionally
has relied on nerve block anesthetic techniques such as the Halsted, the Gow
Gates and the Akinosi-Vazirani methods. The authors present two alternative
techniques to provide local anesthesia in mandibular teeth: the periodontal
ligament (PDL) injection and the intraosseous (IO) injection. The authors also
present indications for and complications associated with these techniques.
CONCLUSIONS: The PDL injection and the IO injection are effective anesthetic
techniques for managing nerve block failures and for providing localized
anesthesia in the mandible. CLINICAL IMPLICATIONS: Dentists may find these
techniques to be useful alternatives to nerve block anesthesia.
PMID- 21881056
TI - Alternative mandibular nerve block techniques: a review of the Gow-Gates and
Akinosi-Vazirani closed-mouth mandibular nerve block techniques.
AB - BACKGROUND: and Overview. The limited success rate of the standard inferior
alveolar nerve block (IANB) has led to the development of alternative approaches
for providing mandibular anesthesia. Two techniques, the Gow-Gates mandibular
nerve block and the Akinosi-Vazirani closed-mouth mandibular nerve block, are
reliable alternatives to the traditional IANB. The Gow-Gates technique requires
the patient's mouth to be open wide, and the dentist aims to administer local
anesthetic just anterior to the neck of the condyle in proximity to the
mandibular branch of the trigeminal nerve after its exit from the foramen ovale.
The Akinosi-Vazirani technique requires the patient's mouth to be closed, and the
dentist aims to fill the pterygomandibular space with local anesthetic.
CONCLUSION: Both techniques are indicated for any type of dentistry performed in
the mandibular arch, but they are particularly advantageous when the patient has
a history of standard IANB failure owing to anatomical variability or accessory
innervation. CLINICAL IMPLICATIONS: Having the skill to perform these alternative
anesthetic techniques increases dentists' ability to provide successful local
anesthesia consistently for all procedures in mandibular teeth.
PMID- 21881060
TI - When being right is not enough: four-year-olds distinguish knowledgeable
informants from merely accurate informants.
AB - Recent evidence demonstrates that children are selective in their social
learning, preferring to learn from a previously accurate speaker than from a
previously inaccurate one. We examined whether children assessing speakers'
reliability take into account how speakers achieved their prior accuracy. In
Study 1, when faced with two accurate informants, 4- and 5-year-olds (but not 3
year-olds) were more likely to seek novel information from an informant who had
previously given the answers unaided than from an informant who had always relied
on help from a third party. Similarly, in Study 2, 4-year-olds were more likely
to trust the testimony of an unaided informant over the testimony provided by an
assisted informant. Our results indicate that when children reach around 4 years
of age, their selective trust extends beyond simple generalizations based on
informants' past accuracy to a more sophisticated selectivity that distinguishes
between truly knowledgeable informants and merely accurate informants who may not
be reliable in the long term.
PMID- 21881061
TI - Psychopathic traits and preattentive threat processing in children: a novel test
of the fearlessness hypothesis.
AB - We tested the fearlessness hypothesis of psychopathy in an at-risk sample of 88
preadolescent children. Psychopathy was measured using combined child- and parent
reported scores on the Antisocial Process Screening Device (APSD). Using a
continuous-flash-suppression paradigm, we evaluated threat processing at the
preattentive level for the first time in a study of psychopathy. Scores for the
APSD Callous/Unemotional factor, which assesses the core affective deficits of
psychopathy, predicted preattentive face-recognition deficits for fearful faces
and, to a lesser extent, for disgusted faces. This finding contradicts recent
suggestions that the fearlessness associated with psychopathy is solely a
consequence of overt attentional artifacts. Future research should focus on
preattentive processing of fear in individuals with callous-unemotional traits,
and on the implications of preattentive-processing deficits for treatment and
theory development.
PMID- 21881062
TI - Forensic dentistry and bitemark analysis: sound science or junk science?
PMID- 21881063
TI - Ethical injections.
PMID- 21881065
TI - More about evidence-based dentistry.
PMID- 21881064
TI - Affordable care and evidence-based dentistry.
PMID- 21881066
TI - The success of endosseous implants in human immunodeficiency virus-positive
patients receiving antiretroviral therapy: a pilot study.
AB - BACKGROUND: In a pilot study, the authors aimed to determine the success rate of
dental implants placed in patients who were positive for human immunodeficiency
virus (HIV) and were receiving different regimens of highly active anti
retroviral therapy (HAART). They considered patients' levels of cluster of
differentiation (CD) 4(+) cells and viral load, and they attempted to verify
whether patients with baseline biochemical signs of bone mineral density loss
could experience osseointegration impairment. MATERIALS AND METHODS: One of the
authors, a dentist, placed dental implants in the posterior mandibles of 40
volunteers, divided into three groups: one composed of HIV-positive patients
receiving protease inhibitor (PI)-based HAART; a second composed of HIV-positive
patients receiving nonnucleoside reverse transcriptase inhibitor-based HAART
(without PI); and a control group composed of HIV-negative participants. The
authors assessed peri-implant health six and 12 months after implant loading.
They analyzed the success of the implants in relation to CD4(+) cell counts,
viral load and baseline pyridinoline and deoxypyridinoline values. RESULTS: The
authors followed 59 implants for 12 months after loading. Higher baseline levels
of pyridinoline and deoxypyridinoline found in HIV-positive participants did not
interfere with osseointegration after 12 months of follow-up. Average peri
implant bone loss after 12 months was 0.49 millimeters in group 1, 0.47 mm in
group 2 and 0.55 mm in the control group. CONCLUSIONS: The placement of dental
implants in HIV-positive patients is a reasonable treatment option, regardless of
CD4(+) cell count, viral load levels and type of antiretroviral therapy. Longer
follow-up periods are necessary to ascertain the predictability of the long-term
success of dental implants in these patients. CLINICAL IMPLICATIONS: Limited
published scientific evidence is available to guide clinicians in regard to
possible increased risks associated with dental implant placement in HIV-positive
patients.
PMID- 21881067
TI - Inherited epidermolysis bullosa: an update and suggested dental care
considerations.
AB - BACKGROUND: Epidermolysis bullosa (EB) is a skin disease characterized by
epithelial fragility that leads to blistering and erosion of the skin and
mucosae. The authors conducted a literature review to provide an update on oral
manifestations and dental care of patients with EB. Literature Search. The
authors reviewed the dental literature on EB in relation to clinical findings and
provision of dental care. They searched textbooks and three databases: MEDLINE,
Cochrane Library and Embase. The authors did not impose any date or publication
status restrictions. They searched all databases up to August 2010. RESULTS: The
literature review revealed that four major groups and 32 subtypes of EB can be
distinguished on the basis of the ultrastructural characteristics of skin
cleavage, genetic mode of transmission and clinical phenotype. Oral
manifestations differ in frequency and severity according to the disease subtype,
but the most common are bullae, which leave painful ulcers on rupture, followed
by scarring and tissue contraction. Although good oral health status is essential
to maintaining oral function, dental treatment can induce new lesions and be
hindered by the sequelae of existing lesions. CLINICAL IMPLICATIONS: Dental
treatment in patients with EB requires a multidisciplinary approach. Dental
procedures must be minimally traumatic, and the effectiveness of treatment is
determined mainly by the patient's general health, cooperation in the dental
office and at home, oral hygiene and diet.
PMID- 21881068
TI - A large calcifying lesion of the maxilla in a child.
PMID- 21881069
TI - Atypical odontalgia: an oral neuropathic pain phenomenon.
PMID- 21881070
TI - Sealants and dental caries: dentists' perspectives on evidence-based
recommendations.
AB - BACKGROUND: The authors conducted a study to survey the perspectives of dentists
regarding the 2010 American Dental Association (ADA) recommendation to seal non
cavitated carious lesions (NCCLs) in children and young adults. METHODS: The
authors mailed a questionnaire to a randomly selected sample of 2,400 general
dentists (GDs) and pediatric dentists (PDs) in the United States. The sample was
chosen by the ADA's Survey Center. The questionnaire included two photographs of
NCCLs (permanent first molar and premolar) in a 12-year-old child. Respondents
were provided with radiographic findings and asked to choose from several
management options. RESULTS: In the absence of radiographic evidence of caries,
37.4 percent and 42.3 percent of GDs and PDs, respectively, indicated that they
would seal the NCCL in the molar. For the premolar, a significantly lower
percentage of GDs than of PDs indicated that they would seal the NCCL. With
radiographic evidence of caries in dentin, less than 4 percent of all dentists
surveyed indicated that they would seal the NCCLs, and more than 90 percent
indicated that they would remove the caries and place restorations. Less than 40
percent of dentists indicated that they sealed NCCLs in their practice.
CONCLUSIONS: The U.S. dentists surveyed have not adopted evidence-based clinical
recommendations regarding the sealing of NCCLs. Practice Implications. New
educational and dissemination programs should be developed regarding these
evidence-based caries management approaches.
PMID- 21881072
TI - Temporomandibular disorders affect oral health-related quality of life
substantially, but limited evidence is available regarding their magnitude of
impact.
PMID- 21881071
TI - The effects of mouthpiece use on gas exchange parameters during steady-state
exercise in college-aged men and women.
AB - BACKGROUND: The authors conducted a study to assess the effects of custom-fitted
mouthpieces on gas exchange parameters, including volume of oxygen consumption
over time [corrected] (VO(2)), volume of oxygen consumption over time per
kilogram of body weight [corrected] (VO(2) /kg) and volume of carbon dioxide
production over time [corrected] (VO(2)). METHODS: Sixteen physically fit college
students aged 18 through 21 years performed two 10-minute treadmill runs (6.5
miles per hour, 0 percent grade) for each of three treatment conditions
(mouthpiece, no mouthpiece and nose breathing). The authors assigned the
conditions randomly for each participant and for each session. They assessed gas
exchange parameters by using a metabolic measurement system. RESULTS: The authors
used analysis of variance to compare all variables. They set the significance
level at alpha = .05 and used a Tukey post hoc analysis of treatment means to
identify differences between groups. The results showed significant improvements
(P < .05) in VO(2,) VO(2) /kg and VCO(2) in the mouthpiece condition.
CONCLUSIONS: The study findings show that use of a custom-fitted mouthpiece
resulted in improved specific gas exchange parameters. The authors are pursuing
further studies to explain the mechanisms involved in the improved endurance
performance exhibited with mouthpiece use. CLINICAL IMPLICATIONS: Dental care
professionals have an obligation to understand the increasing research evidence
in support of mouthpiece use during exercise and athletic activity and to educate
their patients.
PMID- 21881073
TI - Variations in self-reported provision of services by general dentists in private
practice.
AB - BACKGROUND: Variations in dentists' provision of services have been documented,
but information about contributing factors is limited. METHODS: The authors used
responses to a 2003 survey of general dentists in private practice in California
(46 percent response rate; 3,098 dentists included in the final sample) to assess
variations in service provision and its correlates. They used logistic
regressions to assess the correlation of various characteristics with the self
reported percentage of time spent providing services. RESULTS: The results show
variations in services provided by general dentists in private practice. Multiple
factors, including the dentist's sex, region of practice, employment of
hygienists, patients' race and population income in the area of practice were
significantly and independently associated with provision of services.
CONCLUSIONS: The survey results reflect practice variations that existed before
the latest economic downturn, which resulted in a loss of jobs and medical and
dental insurance. The data serve as the baseline for future studies of changes in
dental practice and for assessing the impact of the 2010 health care reform
legislation on dental practice. Practice Implications. Improvements in oral
health care, the recent economic decline and health care reform may lead to
changes in dental practice and in the dental workforce.
PMID- 21881076
TI - Dentists and marketing.
PMID- 21881077
TI - Are dentists losing their status as 'professionals'?
PMID- 21881078
TI - Dental radiographs: benefits and safety.
PMID- 21881080
TI - Distinct pharmacologic substrate in lidocaine-sensitive, repetitive atrial
tachycardia.
AB - Lidocaine-sensitive, repetitive atrial tachycardia is an uncommon arrhythmia. The
electrophysiologic substrate is still unknown, and the pharmacologic responses
have not been fully explored. The aim of this study was to investigate the
effects of intravenous adenosine and verapamil in patients with lidocaine
sensitive atrial tachycardia. In 9 patients with repetitive uniform atrial
tachycardia, the response to intravenous adenosine (12 mg), lidocaine (1 mg/kg
body weight), and verapamil (10 mg) were sequentially investigated. Simultaneous
12-lead electrocardiogram (ECG) was recorded at baseline and continuously
monitored thereafter. Tracings were obtained at regularly timed intervals right
after the administration of each drug to evaluate changes in the arrhythmia
characteristics. Repetitive atrial tachycardia was abolished by intravenous
lidocaine in the 9 patients within the first 2 minutes after the end of
injection. Adenosine suppressed the arrhythmia in 2 patients and shortened the
runs of atrial ectopic activity in 1 patient, while verapamil was effective in 2
patients, 1 of them insensitive to adenosine and the other 1 sensitive to this
agent. In 5 patients, the arrhythmia was abolished by radiofrequency ablation at
different sites of the right atrium. Lidocaine-sensitive atrial tachycardia may
eventually be also suppressed by adenosine and/or verapamil. This suggests that
this enigmatic arrhythmia may be caused by different underlying
electrophysiologic substrates and that at least in some cases, delayed
afterdepolarizations seem to play a determining role.
PMID- 21881081
TI - Art, science and randomization.
PMID- 21881079
TI - Formative research on HPV vaccine acceptability among Latina farmworkers.
AB - The purpose of this study was to identify the barriers and benefits to human
papillomavirus (HPV) vaccination in a low-income, Latina farmworker population in
central Florida. This study reports on formative qualitative research conducted
on perceptions of benefits, barriers, costs, place, and promotion related to the
HPV vaccine from surveys and interviews with a sample of 46 low-income, Latina
farm workers and 19 health care workers serving this population. It was found
that Latina farmworkers hold many misperceptions about the HPV vaccine and the
potential links between HPV infection and cervical cancer. In addition, it was
observed that HPV vaccination intention was inversely related to concerns about
adolescent sexual behavior and low perceived risk of infection but might be
positively influenced by belief in illness prevention and physician
recommendation. These findings add to the growing research on HPV vaccine
acceptability among Latina subgroups to inform intervention development,
marketing materials, education, and policy.
PMID- 21881082
TI - Diverging health policy and mortality.
PMID- 21881084
TI - The first description of hayfever?
PMID- 21881085
TI - Why the ex-colonial medical brain drain?
PMID- 21881086
TI - John Marshall's first description of surgical electrocautery.
PMID- 21881087
TI - The breast screening programme and misinforming the public.
AB - The information provided to the public by the NHS Breast Screening Programme has
been criticized for lack of balance, omission of information on harms and
substantially exaggerated estimates of benefit. These shortcomings have been
particularly evident in the various invitation leaflets for breast screening and
in the Programme's own 2008 Annual Review, which celebrated 20 years of
screening. The debate on screening has been heated after new data published in
the last two years questioned the benefit and documented substantial harm. We
therefore analysed whether the recent debate and new pivotal data about breast
screening has had any impact on the contents of the new 2010 leaflet and on the
2010 Annual Review. We conclude that spokespeople for the Programme have stuck to
the beliefs about benefit that prevailed 25 years ago. Concerns about over
diagnosis have not been addressed either and official documents still downplay
this most important harm of breast cancer screening.
PMID- 21881089
TI - Acute unilateral facial and orbital pain: an unusual presentation of superior
vena cava obstruction.
PMID- 21881088
TI - Measuring NHS performance 1990-2009 using amenable mortality: interpret with
care.
AB - OBJECTIVES: The new performance framework for the NHS in England will assess how
well health services are preventing people from dying prematurely, based on the
concept of mortality amenable to healthcare. We ask how the different parts of
the UK would be assessed had this measure been in use over the past two decades,
a period that began with somewhat lower levels of health expenditure in England
and Wales than in Scotland and Northern Ireland but which, after 1999, saw the
gap closing. DESIGN: We assessed the change in age-standardized death rates in
England and Wales, Northern Ireland and Scotland in two time periods: 1990-1999
and 1999-2009. Mortality data by five-year age group, sex and cause of death for
the years 1990 to 2009 were analysed using age-standardized death rates from
causes considered amenable to healthcare. The absolute change was assessed by
fitting linear regression and the relative change was estimated as the average
annual percent decline for the two periods. SETTING: United Kingdom.
PARTICIPANTS: Not applicable. MAIN OUTCOME MEASURES: Mortality from causes
amenable to healthcare. RESULTS: Between 1990 and 1999 deaths amenable to medical
care had been falling more slowly in England and Wales than in Scotland and
Northern Ireland. However the rate of decline in England and Wales increased
after 1999 when funding of the NHS there increased. Examination of individual
causes of death reveals a complex picture, with some improvements, such as in
breast cancer deaths, occurring simultaneously across the UK, reflecting changes
in diagnosis and treatment that took place in each nation at the same time, while
others varied. CONCLUSIONS: Amenable mortality is a useful indicator of health
system performance but there are many methodological issues that must be taken
into account when interpreting it once it is adopted for routine use in England.
PMID- 21881090
TI - Why the 1948 MRC trial of streptomycin used treatment allocation based on random
numbers.
PMID- 21881091
TI - Nobel Prizes in medicine: are clinicians out of fashion?
PMID- 21881093
TI - Differential outcome of schizophrenia: where we are and where we would like to
be.
AB - Studies examining comparative outcomes of schizophrenia in high-income countries
with those in low- and middle-income countries remain of interest to researchers
and may be of value in understanding some environmental factors that influence
the course and outcome of the disorder. The view that the disorder has a better
outcome in low- and middle-income countries compared with high-income countries,
even though widespread and supported by a set of World Health Organization (WHO)
studies, requires further testing and exploration. Unfortunately, although not
insurmountable, the obstacles for such studies both in terms of implementation
and interpretation are considerable.
PMID- 21881094
TI - Alcohol-related brain damage: a 21st-century management conundrum.
AB - Alcohol-related brain damage has a growing impact on service provision. Despite
the benefit of therapeutic interventions and a relatively good prognosis in the
context of service provision, few services exist. Both national and local
initiatives are required in order to provide psychosocial rehabilitation for this
marginalised group of patients.
PMID- 21881095
TI - Combining routine outcomes measurement and 'Payment by Results': will it work and
is it worth it?
AB - The Department of Health in England has long encouraged the routine measurement
of clinical outcomes in mental health services but has now decided to use outcome
measures as part of a new payments system - Payment by Results. We examine how
these two policies should or might interact.
PMID- 21881096
TI - Abortion and mental health: quantitative synthesis and analysis of research
published 1995-2009.
AB - BACKGROUND: Given the methodological limitations of recently published
qualitative reviews of abortion and mental health, a quantitative synthesis was
deemed necessary to represent more accurately the published literature and to
provide clarity to clinicians. AIMS: To measure the association between abortion
and indicators of adverse mental health, with subgroup effects calculated based
on comparison groups (no abortion, unintended pregnancy delivered, pregnancy
delivered) and particular outcomes. A secondary objective was to calculate
population-attributable risk (PAR) statistics for each outcome. METHOD: After the
application of methodologically based selection criteria and extraction rules to
minimise bias, the sample comprised 22 studies, 36 measures of effect and 877 181
participants (163 831 experienced an abortion). Random effects pooled odds ratios
were computed using adjusted odds ratios from the original studies and PAR
statistics were derived from the pooled odds ratios. RESULTS: Women who had
undergone an abortion experienced an 81% increased risk of mental health
problems, and nearly 10% of the incidence of mental health problems was shown to
be attributable to abortion. The strongest subgroup estimates of increased risk
occurred when abortion was compared with term pregnancy and when the outcomes
pertained to substance use and suicidal behaviour. CONCLUSIONS: This review
offers the largest quantitative estimate of mental health risks associated with
abortion available in the world literature. Calling into question the conclusions
from traditional reviews, the results revealed a moderate to highly increased
risk of mental health problems after abortion. Consistent with the tenets of
evidence-based medicine, this information should inform the delivery of abortion
services.
PMID- 21881097
TI - Advanced dental disease in people with severe mental illness: systematic review
and meta-analysis.
AB - BACKGROUND: Psychiatric patients have increased comorbid physical illness. There
is less information concerning dental disease in this population in spite of risk
factors including diet and psychotropic side-effects (such as xerostomia). Aims
To compare the oral health of people with severe mental illness with that of the
general population. METHOD: A systematic search for studies from the past 20
years was conducted using Medline, PsycINFO, Embase and article bibliographies.
Papers were independently assessed. The primary outcome was total tooth loss
(edentulousness), the end-stage of both untreated caries and periodontal disease.
We also assessed dental decay through standardised measures: the mean number of
decayed, missing and filled teeth (DMFT) or surfaces (DMFS). For studies lacking
a control group we used controls of similar ages from a community survey within
10 years of the study. RESULTS: We identified 21 papers of which 14 had
sufficient data (n = 2784 psychiatric patients) and suitable controls (n = 31
084) for a random effects meta-analysis. People with severe mental illness had
3.4 times the odds of having lost all their teeth than the general community (95%
CI 1.6-7.2). They also had significantly higher scores for DMFT (mean difference
6.2, 95% CI 0.6-11.8) and DMFS (mean difference 14.6, 95% CI 4.1-25.1).
Fluoridated water reduced the gap in oral health between psychiatric patients and
the general population. CONCLUSIONS: Psychiatric patients have not shared in the
improving oral health of the general population. Management should include oral
health assessment using standard checklists that can be completed by non-dental
personnel. Interventions include oral hygiene and management of xerostomia.
PMID- 21881098
TI - Cross-national clinical and functional remission rates: Worldwide Schizophrenia
Outpatient Health Outcomes (W-SOHO) study.
AB - BACKGROUND: Evidence suggests that schizophrenia may have a better outcome for
individuals living in low- and middle-income countries compared with affluent
settings. AIMS: To determine the frequency of symptom and functional remission in
out-patients with schizophrenia in different regions of the world. METHOD: Using
data from the Worldwide-Schizophrenia Outpatient Health Outcomes (W-SOHO) study
we measured clinical and functional remission in out-patients with schizophrenia
in different regions of the world, and examined sociodemographic and clinical
factors associated with these outcomes. The 11 078 participants analysed from 37
participating countries were grouped into 6 regions: South Europe, North Europe,
Central and Eastern Europe, Latin America, North Africa and Middle East, and East
Asia. RESULTS: In total, 66.1% achieved clinical remission during the 3-year
follow-up (range: 60.1% in North Europe to 84.4% in East Asia) and 25.4% achieved
functional remission (range: 17.8% in North Africa and Middle East to 35.0% in
North Europe). Regional differences were not explained by participants' clinical
characteristics. Baseline social functioning, being female and previously
untreated were consistent predictors of remission across regions. CONCLUSIONS:
Clinical outcomes of schizophrenia seem to be worse in Europe compared with other
regions. However, functional remission follows a different pattern.
PMID- 21881099
TI - Schizophrenia with the 22q11.2 deletion and additional genetic defects: case
history.
AB - The 22q11.2 deletion is the most prominent known genetic risk factor for
schizophrenia, but its penetrance is at most approximately 50% suggesting that
additional risk factors are required for disease progression. We examined a woman
with schizophrenia with this deletion for such risk factors. She had high plasma
pentosidine levels ('carbonyl stress') and a frameshift mutation in the
responsible gene, GLO1. She also had a constant exotropia, so we examined the
PHOX2B gene associated with both schizophrenia and strabismus, and detected a 5
alanine deletion. We propose that the combination of these genetic defects may
have exceeded the threshold for the manifestation of schizophrenia.
PMID- 21881100
TI - Mitral valve prolapse and anxiety disorders.
AB - We investigated whether there is an association between anxiety disorders and
mitral valve prolapse. We compared mitral valve prolapse prevalence in
individuals with panic disorder (n = 41), social anxiety disorder (n = 89) and in
healthy controls (n = 102) in an attempt to overcome the biases of previous
studies. Our results show no associations between panic disorder or social
anxiety disorder and mitral valve prolapse, regardless of the diagnostic criteria
employed, and that the relationship between these conditions seems not to be
clinically relevant.
PMID- 21881101
TI - Need to identify modifiable risk factors of dementia in the older UK African
Caribbean population.
PMID- 21881102
TI - How to interpret different results for CRHTT data.
PMID- 21881103
TI - Internet-based CBT for severe health anxiety.
PMID- 21881104
TI - Childhood psychotic symptoms: link between non-consensual sex and later
psychosis.
PMID- 21881105
TI - Revascularisation in patients with mental illness.
PMID- 21881106
TI - Generalised spike-and-slow-wave complexes without seizures in schizophrenia.
PMID- 21881111
TI - Is there still hope after prions have spread within the brain?
PMID- 21881113
TI - Respiratory syncytial virus load, viral dynamics, and disease severity in
previously healthy naturally infected children.
AB - BACKGROUND: Respiratory syncytial virus (RSV) disease severity was thought to be
a result of host immunopathology but alternatively may be driven by high-level
viral replication. The relationships between RSV load, viral clearance dynamics,
and disease severity have not been carefully evaluated. METHODS: Previously
healthy RSV-infected children <2 years old were recruited. RSV load was measured
in respiratory secretions by fresh quantitative culture over 3 hospital days.
Measures of disease severity were hospital admission, duration of
hospitalization, requirement for intensive care, and respiratory failure.
RESULTS: Multivariate logistic regression models revealed independent predictors
of increased duration of hospitalization: male sex, lower weight, and higher
viral load on any day. Viral loads at day 3 were more significantly associated
with requirement for intensive care and respiratory failure than were viral loads
at earlier time points. Faster RSV clearance was independently associated with
shorter hospitalization. DISCUSSION: These observations challenge the
immunopathology-based pathogenesis paradigm. They also have major therapeutic
implications, suggesting that application of antiviral agents early in the
disease course, even at a time when viral replication is at its highest, might
improve subsequent morbidity by significantly lowering viral load and direct
viral cytopathic effects, and aborting the potential downstream immunopathology.
PMID- 21881112
TI - Viruslike particle vaccine induces protection against respiratory syncytial virus
infection in mice.
AB - BACKGROUND: Respiratory syncytial virus (RSV) is the leading cause of
bronchiolitis and viral death in infants. Despite decades of research with
traditional or subunit vaccine approaches, there are no approved RSV vaccines.
New approaches are therefore urgently needed to develop effective RSV vaccines.
METHODS: We developed viruslike particles (VLPs) consisting of an influenza virus
matrix (M1) protein core and RSV-F or -G on the surface. We tested the
immunogenicity and vaccine efficacy of these VLPs (RSV-F, RSV-G) in a mouse
model. RESULTS: Intramuscular vaccination with RSV-F or RSV-G VLPs elicited IgG2a
dominant RSV-specific immunoglobulin G (IgG) antibody responses against RSV-A2
viruses in both serum and lung extract. Mice immunized with VLPs (RSV-F or RSV-G)
showed higher viral neutralizing antibodies in vitro and significantly decreased
lung virus loads in vivo after live RSV-A2 challenge. RSV-G VLPs showed better
protective efficacy than RSV-F VLPs as determined by the levels of lung virus
loads and morbidity postchallenge. CONCLUSIONS: This study demonstrates that VLP
vaccination provides effective protection against RSV infection. VLPs containing
RSV-F and/or RSV-G are potential vaccine candidates against RSV.
PMID- 21881114
TI - Mixed infection and strain diversity in congenital cytomegalovirus infection.
AB - BACKGROUND: Cytomegalovirus (CMV), the most common cause of congenital infection,
exhibits extensive genetic variability. We sought to determine whether multiple
CMV strains can be transmitted to the fetus and to describe the distribution of
genotypes in the saliva, urine, and blood. METHODS: Study subjects consisted of a
convenience sampling of 28 infants found to be CMV-positive on newborn screening
as part of an ongoing study. Genotyping was performed on saliva specimens
obtained during newborn screening and urine, saliva, and blood obtained at a
later time point within the first 3 weeks of life. RESULTS: Six (21.4%) of the 28
saliva samples obtained within the first 2 days of life contained >1 CMV
genotype. Multiple CMV genotypes were found in 39% (5/13) of urine, saliva, and
blood samples obtained within the first 3 weeks of life from 13 of the 28
newborns. There was no predominance of a CMV genotype at a specific site;
however, 4 infants demonstrated distinct CMV strains in different compartments.
CONCLUSIONS: Infection with multiple CMV strains occurs in infants with
congenital CMV infection. The impact of intrauterine infection with multiple
virus strains on the pathogenesis and long-term outcome remains to be elucidated.
PMID- 21881115
TI - The 2009 pandemic H1N1 D222G hemagglutinin mutation alters receptor specificity
and increases virulence in mice but not in ferrets.
AB - BACKGROUND: The D222G (H1 numbering) hemagglutinin (HA) mutation within the
receptor-binding site was detected with higher frequencies in severe cases of
2009 pandemic H1N1 (pH1N1) infections. We investigated the impact of this
mutation in vitro and in animal models using recombinant pH1N1 viruses. METHODS:
The recombinant D222G HA mutant was generated from a wild-type (WT) clinical
strain by using reverse genetics and site-directed mutagenesis. Replicative
capacities were determined in MDCK and MDCK-alpha2,6 cells. Antigenicity was
characterized by HA inhibition and microneutralization assays. HA titers were
determined using human, chicken, and resialylated turkey red blood cells (RBCs).
Virulence and contact-transmissibility were analyzed in mice and ferrets.
RESULTS: The recombinant D222G virus grew to significantly higher titers and
generated larger viral plaques compared with the WT in MDCK but not in MDCK
alpha2,6 cells. The mutant also showed a significant reduction in HA titers using
alpha2,6-expressing RBCs. The 2 recombinants were antigenically similar. The
D222G mutant virus induced higher lung viral titers and alveolar inflammation in
mice whereas the 2 recombinants had similar impacts in ferrets. CONCLUSIONS: The
D222G HA mutation alters receptor binding specificity, resulting in higher lung
titers in mice. This could contribute to the higher case fatality rates reported
in humans.
PMID- 21881116
TI - Enhanced replication of hepatitis B virus with frameshift in the precore region
found in fulminant hepatitis patients.
AB - BACKGROUND: The genotype B of hepatitis B virus (HBV) was reported to associate
with fulminant hepatitis (FH). We aimed to clarify the characteristics of HBV
obtained from FH patients in an area of Japan where genotype B HBV is prevalent.
METHODS: Using serum samples of 16 HBV-associated FH patients, partial HBV
sequences were determined. The effects of HBV mutation/insertion/deletion were
evaluated using an in vitro HBV replication system. RESULTS: Of the 16 HBV
isolates, 31% belonged to subgenotype B1/Bj, 38% were subgenotype B2/Ba, and 31%
were subgenotype C2/Ce. Notably, the single nucleotide insertion/deletion that
resulted in a frameshift of the precore protein was found exclusively in 60% of
B1/Bj strains. An in vitro study showed that all of the frameshift mutants had
significantly higher amounts of HBV DNA than did the wild type. One of the
isolates had a novel insertion of A between nucleotides 1900 and 1901, which
resulted in a 3-nucleotide change within the Kozak sequence of the core protein
and enhanced the core protein expression in vitro. CONCLUSIONS: The frameshift
insertion/deletion in the precore region enhanced HBV replication and might be
associated with the development of FH by the subgenotype B1/Bj HBV.
PMID- 21881117
TI - Protection from arthritis and myositis in a mouse model of acute chikungunya
virus disease by bindarit, an inhibitor of monocyte chemotactic protein-1
synthesis.
AB - Chikungunya virus (CHIKV) is associated with outbreaks of infectious rheumatic
disease in humans. Using a mouse model of CHIKV arthritis and myositis, we show
that tumor necrosis factor-alpha, interferon-gamma, and monocyte chemotactic
protein 1 (MCP-1) were dramatically induced in tissues from infected mice. The
same factors were detected in the serum of patients with CHIKV-induced
polyarthralgia and polyarthritis, with MCP-1 levels being particularly elevated.
Bindarit (MCP inhibitor) treatment ameliorated CHIKV disease in mice.
Histological analysis of muscle and joint tissues showed a reduction in
inflammatory infiltrate in infected mice treated with bindarit. These results
suggest that bindarit may be useful in treating CHIKV-induced arthritides in
humans.
PMID- 21881119
TI - Stem cell therapy extends incubation and survival time in prion-infected mice in
a time window-dependant manner.
AB - Prion diseases, which are mostly represented in humans by Creutzfeldt-Jakob
disease, are transmissible neurodegenerative disorders characterized by
vacuolization and neuronal loss, as well as by the accumulation of an abnormal
form of the prion protein. These disorders have yet no effective treatment, and
drugs that block prion replication in vitro do not significantly slow down the
progression of the disease when used in vivo at late stages. Cell therapy that
has been already tested in other neurodegenerative disorders therefore represents
an interesting alternative approach. In this study, we showed for the first time
in prion diseases that intracerebral transplantation of fetal neural stem cells
significantly extended both incubation and survival time. This result was
dependant on the time window chosen for the engraftment and was obtained with
both genetically modified and wild-type stem cells, therefore forging a path
toward efficient stem cell therapy for human prion diseases.
PMID- 21881118
TI - Genetic variants and susceptibility to neurological complications following West
Nile virus infection.
AB - To determine genetic factors predisposing to neurological complications following
West Nile virus infection, we analyzed a cohort of 560 neuroinvasive case
patients and 950 control patients for 13 371 mostly nonsynonymous single
nucleotide polymorphisms (SNPs). The top 3 SNPs on the basis of statistical
significance were also in genes of biological plausibility: rs2066786 in RFC1
(replication factor C1) (P = 1.88 * 10(-5); odds ratio [OR], 0.68 [95% confidence
interval {CI}, .56-.81]); rs2298771 in SCN1A (sodium channel, neuronal type I
alpha subunit) (P = 5.87 * 10(-5); OR, 1.47 [95% CI, 1.21-1.77]); and rs25651 in
ANPEP (ananyl aminopeptidase) (P = 1.44 * 10(-4); OR, 0.69 [95% CI, .56-.83]).
Additional genotyping of these SNPs in a separate sample of 264 case patients and
296 control patients resulted in a lack of significance in the replication
cohort; joint significance was as follows: rs2066786, P = .0022; rs2298771, P =
.005; rs25651, P = .042. Using mostly nonsynonymous variants, we therefore did
not identify genetic variants associated with neuroinvasive disease.
PMID- 21881120
TI - Changes in serogroup and genotype prevalence among carried meningococci in the
United Kingdom during vaccine implementation.
AB - BACKGROUND: Herd immunity is important in the effectiveness of conjugate
polysaccharide vaccines against encapsulated bacteria. A large multicenter study
investigated the effect of meningococcal serogroup C conjugate vaccine
introduction on the meningococcal population. METHODS: Carried meningococci in
individuals aged 15-19 years attending education establishments were investigated
before and for 2 years after vaccine introduction. Isolates were characterized by
multilocus sequence typing, serogroup, and capsular region genotype and changes
in phenotypes and genotypes assessed. RESULTS: A total of 8462 meningococci were
isolated from 47 765 participants (17.7%). Serogroup prevalence was similar over
the 3 years, except for decreases of 80% for serogroup C and 40% for serogroup
29E. Clonal complexes were associated with particular serogroups and their
relative proportions fluctuated, with 12 statistically significant changes (6 up,
6 down). The reduction of ST-11 complex serogroup C meningococci was probably due
to vaccine introduction. Reasons for a decrease in serogroup 29E ST-254
meningococci (from 1.8% to 0.7%) and an increase in serogroup B ST-213 complex
meningococci (from 6.7% to 10.6%) were less clear. CONCLUSIONS: Natural
fluctuations in carried meningococcal genotypes and phenotypes a can be affected
by the use of conjugate vaccines, and not all of these changes are anticipatable
in advance of vaccine introduction.
PMID- 21881121
TI - Outbreaks of mycobacterium tuberculosis MDR strains induce high IL-17 T-cell
response in patients with MDR tuberculosis that is closely associated with high
antigen load.
AB - BACKGROUND: The proinflammatory cytokine interleukin 17 (IL-17) plays an
important role in immune responses but it is also associated with tissue-damaging
inflammation. So, we evaluated the ability of Mycobacterium tuberculosis clinical
isolates to induce IL-17 in tuberculosis (TB) patients and in healthy human
tuberculin reactors (PPD(+)HD). METHODS: IL-17, interferon gamma (IFN-gamma), and
interleukin 23 (IL-23) receptor expression were evaluated ex vivo and cultured
peripheral blood mononuclear cells from TB and PPD(+)HD stimulated with
irradiated clinical isolates from multidrug resistant (MDR) outbreaks M (Haarlem
family) and Ra (Latin American-Mediterranean family), as well as drug-susceptible
isolates belonging to the same families and laboratory strain H37Rv for 48 hours
in T-cell subsets by flow cytometry. RESULTS: We observed that: (1) MDR strains M
and Ra are stronger IL-17 inducers than drug-susceptible Mtb strains of the
Haarlem and Latin American-Mediterranean families, (2) MDR-TB patients show the
highest IL-17 expression that is independent on the strain, (3) IL-17 expression
is dependent on CD4(+) and CD8(+) T cells associates with persistently high
antigen load. CONCLUSIONS: IL-17--producing T cells could play an
immunopathological role in MDR-TB promoting severe tissue damage, which may be
associated with the low effectiveness of the second-line drugs employed in the
treatment.
PMID- 21881122
TI - Haemophilus influenzae protein E binds to the extracellular matrix by
concurrently interacting with laminin and vitronectin.
AB - Nontypeable Haemophilus influenzae (NTHi) causes otitis media and is commonly
found in patients with chronic obstructive pulmonary disease (COPD). Adhesins are
important for bacterial attachment and colonization. Protein E (PE) is a recently
characterized ubiquitous 16 kDa adhesin with vitronectin-binding capacity that
results in increased survival in serum. In addition to PE, NTHi utilizes
Haemophilus adhesion protein (Hap) that binds to the basement-membrane
glycoprotein laminin. We show that most clinical isolates bind laminin and that
both Hap and PE are crucial for the NTHi-dependent interaction with laminin as
revealed with different mutants. The laminin-binding region is located at the N
terminus of PE, and PE binds to the heparin-binding C-terminal globular domain of
laminin. PE simultaneously attracts vitronectin and laminin at separate binding
sites, proving the multifunctional nature of the adhesin. This previously unknown
PE-dependent interaction with laminin may contribute to NTHi colonization,
particularly in smokers with COPD.
PMID- 21881123
TI - BCG vaccination induces different cytokine profiles following infant BCG
vaccination in the UK and Malawi.
AB - BACKGROUND: BCG vaccination of infants is thought to provide good protection in
all settings. This study investigated whether Malawian infants made weaker
responses across a cytokine panel after BCG vaccination, compared with UK
infants. METHODS: Diluted whole-blood samples were cultured with Mycobacterium
tuberculosis purified protein derivative for 6 days from BCG-vaccinated infants 3
months (n = 40 Malawi, 28 UK) and 12 months (n = 34 Malawi, 26 UK) after
vaccination, and also from UK unvaccinated infants (n = 9 at 3 months, n = 10 at
12 months). Forty-two cytokines were measured in supernatants using a multiplex
bead array assay. Principal component analysis was used to summarize the overall
patterns in cytokine responses. RESULTS: We found differences in median responses
in 27 of the 42 cytokines: 7 higher in the UK and 20 higher in Malawi. The
cytokines with higher responses in the UK were all T helper 1 related. The
cytokines with higher responses in Malawi included innate proinflammatory
cytokines, regulatory cytokines, interleukin 17, T helper 2 cytokines,
chemokines, and growth factors. Principal component analysis separated the BCG
vaccinated infants from Malawi from the UK vaccinated infants and from the
unvaccinated infants. CONCLUSIONS: Malawian infants make cytokine responses
following BCG vaccination, but the cytokine profile is different from that in the
UK. The different biosignatures following BCG vaccination in the 2 settings may
indicate variability in the protective efficacy of infant BCG vaccination.
PMID- 21881124
TI - Lowering the threshold of lung innate immune cell activation alters
susceptibility to secondary bacterial superinfection.
AB - BACKGROUND: Previous studies have shown that the interaction of CD200R, a myeloid
inhibitory receptor, with its ligand, CD200, is critical in the control of innate
immune activation in the lung. METHODS AND RESULTS: Using a mouse model of
bacterial superinfection following influenza, we show that an absence of CD200R
(a negative regulator highly expressed by macrophages and dendritic cells),
restricts commensal and exogenous bacterial invasiveness and completely prevents
the mortality observed in wild-type mice. This benefit is due to a heightened
innate immune response to influenza virus in cd200r knockout mice that limits
immune pathogenesis and viral load. In wild-type mice, apoptotic cells expressing
CD200 that we believe contribute to the suppressed innate immune response to
bacteria dominate during the resolution phase of influenza-induced inflammation.
We also show for the first time the presence of a variety of previously
unidentified bacterial species in the lower airways that are significantly
adjusted by influenza virus infection and may contribute to the pathophysiology
of disease. CONCLUSIONS: The interaction of CD200 with CD200R therefore
contributes to the hyporesponsive innate immune state following influenza virus
infection that predisposes to secondary bacterial infection, a phenomenon that
has the potential for immune modulation.
PMID- 21881125
TI - The role of migration and domestic transmission in the spread of HIV-1 non-B
subtypes in Switzerland.
AB - BACKGROUND: By analyzing human immunodeficiency virus type 1 (HIV-1) pol
sequences from the Swiss HIV Cohort Study (SHCS), we explored whether the
prevalence of non-B subtypes reflects domestic transmission or migration
patterns. METHODS: Swiss non-B sequences and sequences collected abroad were
pooled to construct maximum likelihood trees, which were analyzed for Swiss
specific subepidemics, (subtrees including >=80% Swiss sequences, bootstrap >70%;
macroscale analysis) or evidence for domestic transmission (sequence pairs with
genetic distance <1.5%, bootstrap >=98%; microscale analysis). RESULTS: Of 8287
SHCS participants, 1732 (21%) were infected with non-B subtypes, of which A (n =
328), C (n = 272), CRF01_AE (n = 258), and CRF02_AG (n = 285) were studied
further. The macroscale analysis revealed that 21% (A), 16% (C), 24% (CRF01_AE),
and 28% (CRF02_AG) belonged to Swiss-specific subepidemics. The microscale
analysis identified 26 possible transmission pairs: 3 (12%) including only
homosexual Swiss men of white ethnicity; 3 (12%) including homosexual white men
from Switzerland and partners from foreign countries; and 10 (38%) involving
heterosexual white Swiss men and females of different nationality and
predominantly nonwhite ethnicity. CONCLUSIONS: Of all non-B infections diagnosed
in Switzerland, <25% could be prevented by domestic interventions. Awareness
should be raised among immigrants and Swiss individuals with partners from high
prevalence countries to contain the spread of non-B subtypes.
PMID- 21881126
TI - Induction of innate immune responses by SIV in vivo and in vitro: differential
expression and function of RIG-I and MDA5.
AB - Interferon-beta induction occurs during acute simian immunodeficiency virus (SIV)
infection in the brain. We have examined expression and function of cytosolic RNA
sensors, retinoic acid inducible gene I (RIG-I), and melanoma differentiation
associated protein 5 (MDA5), in vivo in the brain of our consistent, accelerated
SIV-macaque model and in vitro in SIV-infected macaque macrophages to identify
the pathway of type I interferon (IFN) induction. MDA5 messenger RNA (mRNA) and
protein were expressed at higher levels in the brain than RIG-I, with protein
expression correlating with the severity of disease from 42 until 84 days post
inoculation. The siRNA experiments reveal that mRNA expression of IFN-inducible
gene MxA is dependent on MDA5, but not RIG-I. Finally, we demonstrate that SIV
infection leads to the production of double-stranded RNA in vivo, which may act
as the MDA5 ligand. We have shown for the first time to our knowledge the
functional role of MDA5 in the innate immune response to SIV infection.
PMID- 21881127
TI - Transmission clustering drives the onward spread of the HIV epidemic among men
who have sex with men in Quebec.
AB - Phylodynamic analysis and epidemiologic data identified 3 patterns of spread of
primary human immunodeficiency virus type 1 infection (PHI) among men who have
sex with men (2001-2009): 420 unique PHIs, 102 small clusters (2-4 PHIs per
cluster, n = 280), and 46 large clusters (5-31 PHIs per cluster, n = 450). Large
clusters disproportionately increased from 25.2% of PHIs in 2005 to 39.1% in 2009
(chi(2) = 33.9, P < .001). Scalar expansion of large clusters over 11 months
(interquartile range, 3.5-25.5 months) correlated with cluster membership size
(r(2) = 0.174, F = 4.424, P = .047). PHI cohort data revealed variations in
social networks and risk behaviors among the 3 groups, suggesting the need for
tailored prevention measures.
PMID- 21881128
TI - Prolonged selection of pfmdr1 polymorphisms after treatment of falciparum malaria
with artemether-lumefantrine in Uganda.
AB - We compared the prevalence of key pfmdr1 alleles between pretreatment Plasmodium
falciparum parasite isolates and parasites that emerged after treatment of
uncomplicated malaria in a longitudinal cohort of Ugandan children. The pfmdr1
86N, 184F, and 1246D alleles were selected after treatment with artemether
lumefantrine, but not after artesunate-amodiaquine or amodiaquine-sulfadoxine
pyrimethamine. Remarkably, selection persisted in infections presenting up to
about 60 days after treatment with artemether-lumefantrine. Thus, parasites
selected for decreased drug sensitivity can appear long after predicted exposure
to antimalarial drugs. Continued surveillance of the clinical efficacy and in
vitro activity of new combination therapies is warranted.
PMID- 21881129
TI - The NTS-DBL2X region of VAR2CSA induces cross-reactive antibodies that inhibit
adhesion of several Plasmodium falciparum isolates to chondroitin sulfate A.
AB - BACKGROUND: Binding to chondroitin sulfate A by VAR2CSA, a parasite protein
expressed on infected erythrocytes, allows placental sequestration of Plasmodium
falciparum-infected erythrocytes. This leads to severe consequences such as
maternal anemia, stillbirths, and intrauterine growth retardation. The latter has
been clearly associated to increased morbidity and mortality of the infants.
Acquired anti-VAR2CSA antibodies have been associated with improved pregnancy
outcomes, suggesting a vaccine could prevent the syndrome. However, identifying
functionally important regions in the large VAR2CSA protein is difficult.
METHODS: Using genetic immunization, we raised polyclonal antisera against
overlapping segments of VAR2CSA in mice and rabbits. The adhesion-inhibition
capacities of induced antisera and of specific antibodies purified from plasma of
malaria-exposed pregnant women were assessed on laboratory-adapted parasite lines
and field isolates expressing VAR2CSA. Competition enzyme-linked immunosorbent
assay (ELISA) was employed to analyze functional resemblance between antibodies
induced in animals and those naturally acquired by immune multigravidae. RESULTS:
Antibodies targeting the N-terminal sequence (NTS) up to DBL2X (NTS-DBL2X)
efficiently blocked parasite adhesion to chondroitin sulfate A in a manner
similar to that of antibodies raised against the entire VAR2CSA extracellular
domain. Interestingly, naturally acquired antibodies and those induced by
vaccination against NTS-DBL2X target overlapping strain-transcendent anti
adhesion epitopes. CONCLUSIONS: This study highlights an important step achieved
toward development of a protective vaccine against placental malaria.
PMID- 21881130
TI - IL-10 neutralization promotes parasite clearance in splenic aspirate cells from
patients with visceral leishmaniasis.
AB - The mechanisms underlying the failure to contain the growth of Leishmania
parasites in human visceral leishmaniasis (VL) are not understood. L donovani
amastigotes were quantified in cultured splenic aspirate cells to assess the
function of IL-10 in lesional tissue ex vivo. In 67 patients with active VL, IL
10 neutralization promoted parasite killing in 73% and complete clearance in 30%,
while 18% had more parasites and 9% did not change. The splenic cells secreted
increased levels of both tumor necrosis factor alpha (TNFalpha) and interferon
gamma (IFNgamma) under IL-10-neutralizing conditions. These findings provide
direct support for targeting IL-10 as an approach to therapy in human VL.
PMID- 21881131
TI - Genetic variation in the dectin-1/CARD9 recognition pathway and susceptibility to
candidemia.
AB - BACKGROUND: Candidemia is an important cause of morbidity and mortality in
critically ill patients or patients undergoing invasive treatments. Dectin-1 is
the main beta-glucan receptor, and patients with a complete deficiency of either
dectin-1 or its adaptor molecule CARD9 display persistent mucosal infections with
Candida albicans. The role of genetic variation of DECTIN-1 and CARD9 genes on
the susceptibility to candidemia is unknown. METHODS: We assessed whether genetic
variation in the genes encoding dectin-1 and CARD9 influence the susceptibility
to candidemia and/or the clinical course of the infection in a large cohort of
American and Dutch candidemia patients (n = 331) and noninfected matched controls
(n = 351). Furthermore, functional studies have been performed to assess the
effect of the DECTIN-1 and CARD9 genetic variants on cytokine production in vitro
and in vivo in the infected patients. RESULTS: No significant association between
the single-nucleotide polymorphisms DECTIN-1 Y238X and CARD9 S12N and the
prevalence of candidemia was found, despite the association of the DECTIN-1 238X
allele with impaired in vitro and in vivo cytokine production. CONCLUSIONS:
Whereas the dectin-1/CARD9 signaling pathway is nonredundant in mucosal immunity
to C. albicans, a partial deficiency of beta-glucan recognition has a minor
impact on susceptibility to candidemia.
PMID- 21881132
TI - Acinetobacter baumannii resistant to colistin with impaired virulence: a case
report from France.
PMID- 21881133
TI - Acinetobacter baumannii resistant to colistin alters its antibiotic resistance
profile: a case report from Spain.
PMID- 21881135
TI - Geometrical constraints in the scaling relationships between genome size, cell
size and cell cycle length in herbaceous plants.
AB - Plant nuclear genome size (GS) varies over three orders of magnitude and is
correlated with cell size and growth rate. We explore whether these relationships
can be owing to geometrical scaling constraints. These would produce an isometric
GS-cell volume relationship, with the GS-cell diameter relationship with the
exponent of 1/3. In the GS-cell division relationship, duration of processes
limited by membrane transport would scale at the 1/3 exponent, whereas those
limited by metabolism would show no relationship. We tested these predictions by
estimating scaling exponents from 11 published datasets on differentiated and
meristematic cells in diploid herbaceous plants. We found scaling of GS-cell size
to almost perfectly match the prediction. The scaling exponent of the
relationship between GS and cell cycle duration did not match the prediction.
However, this relationship consists of two components: (i) S phase duration,
which depends on GS, and has the predicted 1/3 exponent, and (ii) a GS
independent threshold reflecting the duration of the G1 and G2 phases. The
matches we found for the relationships between GS and both cell size and S phase
duration are signatures of geometrical scaling. We propose that a similar
approach can be used to examine GS effects at tissue and whole plant levels.
PMID- 21881136
TI - Promiscuous mating produces offspring with higher lifetime fitness.
AB - In many species, each female pairs with a single male for the purpose of rearing
offspring, but may also engage in extra-pair copulations. Despite the prevalence
of such promiscuity, whether and how multiple mating benefits females remains an
open question. Multiple mating is typically thought to be favoured primarily
through indirect benefits (i.e. heritable effects on the fitness of offspring).
This prediction has been repeatedly tested in a variety of species, but the
evidence has been equivocal, perhaps because such studies have focused on pre
reproductive survival rather than lifetime fitness of offspring. Here, we show
that in a songbird, the dark-eyed junco (Junco hyemalis), both male and female
offspring produced by extra-pair fertilizations have higher lifetime reproductive
success than do offspring sired within the social pair. Furthermore, adult male
offspring sired via extra-pair matings are more likely to sire extra-pair
offspring (EPO) themselves, suggesting that fitness benefits to males accrue
primarily through enhanced mating success. By contrast, female EPO benefited
primarily through enhanced fecundity. Our results provide strong support for the
hypothesis that the evolution of extra-pair mating by females is favoured by
indirect benefits and shows that such benefits accrue much later in the
offspring's life than previously documented.
PMID- 21881137
TI - On the analysis of risk-sensitive foraging: a comment on Codding et al.
PMID- 21881138
TI - Adaptive evolution of sexual systems in pedunculate barnacles.
AB - How and why diverse sexual systems evolve are fascinating evolutionary questions,
but few empirical studies have dealt with these questions in animals. Pedunculate
(gooseneck) barnacles show such diversity, including simultaneous
hermaphroditism, coexistence of dwarf males and hermaphrodites (androdioecy), and
coexistence of dwarf males and females (dioecy). Here, we report the first
phylogenetically controlled test of the hypothesis that the ultimate cause of the
diverse sexual systems and presence of dwarf males in this group is limited
mating opportunities for non-dwarf individuals, owing to mating in small groups.
Within the pedunculate barnacle phylogeny, dwarf males and females have evolved
repeatedly. Females are more likely to evolve in androdioecious than
hermaphroditic populations, suggesting that evolution of dwarf males has preceded
that of females in pedunculates. Both dwarf males and females are associated with
a higher proportion of solitary individuals in the population, corroborating the
hypothesis that limited mating opportunities have favoured evolution of these
diverse sexual systems, which have puzzled biologists since Darwin.
PMID- 21881139
TI - Sleep apnoea syndrome: how will physiologic knowledge position personalised
medicine?
PMID- 21881140
TI - A first step against idiopathic pulmonary fibrosis.
PMID- 21881141
TI - Idiopathic pulmonary fibrosis: present understanding and future options.
PMID- 21881142
TI - Sleep apnoea syndrome in 2011: current concepts and future directions.
PMID- 21881143
TI - Physiological techniques for detecting expiratory flow limitation during tidal
breathing.
AB - Patients with severe chronic obstructive pulmonary disease (COPD) often exhale
along the same flow-volume curve during quiet breathing as they do during the
forced expiratory vital capacity manoeuvre, and this has been taken as an
indicator of expiratory flow limitation at rest (EFL(T)). Therefore, EFL(T),
namely attainment of maximal expiratory flow during tidal expiration, occurs when
an increase in transpulmonary pressure causes no increase in expiratory flow.
EFL(T) leads to small airway injury and promotes dynamic pulmonary
hyperinflation, with concurrent dyspnoea and exercise limitation. In fact, EFL(T)
occurs commonly in COPD patients (mainly in Global Initiative for Chronic
Obstructive Lung Disease III and IV stage), in whom the latter symptoms are
common, but is not exclusive to COPD, since it can also be detected in other
pulmonary and nonpulmonary diseases like asthma, acute respiratory distress
syndrome, heart failure and obesity, etc. The existing up to date physiological
techniques of assessing EFL(T) are reviewed in the present work. Among the
currently available techniques, the negative expiratory pressure has been
validated in a wide variety of settings and disorders. Consequently, it should be
regarded as a simple, noninvasive, practical and accurate new technique.
PMID- 21881144
TI - Pulmonary aspergillosis: a clinical review.
AB - Aspergillus is a mould which may lead to a variety of infectious, allergic
diseases depending on the host's immune status or pulmonary structure. Invasive
pulmonary aspergillosis occurs primarily in patients with severe
immunodeficiency. The significance of this infection has dramatically increased
with growing numbers of patients with impaired immune state associated with the
management of malignancy, organ transplantation, autoimmune and inflammatory
conditions; critically ill patients and those with chronic obstructive pulmonary
disease appear to be at an increased risk. The introduction of new noninvasive
tests, combined with more effective and better-tolerated antifungal agents, has
resulted in lower mortality rates associated with this infection. Chronic
necrotising aspergillosis is a locally invasive disease described in patients
with chronic lung disease or mild immunodeficiency. Aspergilloma is usually found
in patients with previously formed cavities in the lung, whereas allergic
bronchopulmonary aspergillosis, a hypersensitivity reaction to Aspergillus
antigens, is generally seen in patients with atopy, asthma or cystic fibrosis.
This review provides an update on the evolving epidemiology and risk factors of
the major manifestations of Aspergillus lung disease and the clinical
manifestations that should prompt the clinician to consider these conditions.
Current approaches for the diagnosis and management of these syndromes are
discussed.
PMID- 21881145
TI - Beyond corticosteroids: future prospects in the management of inflammation in
COPD.
AB - Inflammation plays a central role in the pathophysiology of chronic obstructive
pulmonary disease (COPD). Exposure to cigarette smoke induces the recruitment of
inflammatory cells in the airways and stimulates innate and adaptive immune
mechanisms. Airway inflammation is involved in increased bronchial wall
thickness, increased bronchial smooth muscle tone, mucus hypersecretion and loss
of parenchymal elastic structures. Oxidative stress impairs tissue integrity,
accelerates lung ageing and reduces the efficacy of corticosteroids by decreasing
levels of histone deacetylase-2. Protease-antiprotease imbalance impairs tissues
and is involved in inflammatory processes. Inflammation is also present in the
pulmonary artery wall and at the systemic level in COPD patients, and may be
involved in COPD-associated comorbidities. Proximal airways inflammation
contributes to symptoms of chronic bronchitis while distal and parenchymal
inflammation relates to airflow obstruction, emphysema and hyperinflation. Basal
levels of airways and systemic inflammation are increased in frequent
exacerbators. Inhaled corticosteroids are much less effective in COPD than in
asthma, which relates to the intrinsically poor reversibility of COPD-related
airflow obstruction and to molecular mechanisms of resistance relating to
oxidative stress. Ongoing research aims at developing new drugs targeting more
intimately COPD-specific mechanisms of inflammation, hypersecretion and tissue
destruction and repair. Among new anti-inflammatory agents, phosphodiesterase-4
inhibitors have been the first to emerge.
PMID- 21881146
TI - Night-time symptoms: a forgotten dimension of COPD.
AB - Sleep quality is often poor in patients with chronic obstructive pulmonary
disease (COPD), but these night-time symptoms are frequently unnoticed by
physicians and/or not reported by patients themselves. Therefore, the prevalence
and clinical impact of sleep disturbances and night-time symptoms in COPD is not
well understood and has not been a clinical focus to date. To address this gap,
an expert panel meeting was convened in Barcelona, Spain, in March 2011 to
discuss the aetiology, evolution, burden, long-term clinical consequences and
optimal management of night-time symptoms in COPD. The term "night-time symptoms"
in COPD has not been distinctly defined in an objective sense but epidemiological
data suggests that the prevalence of nocturnal symptoms and symptomatic sleep
disturbance may exceed 75% in patients with COPD. The panel concluded that night
time symptoms in COPD are prevalent and bothersome; that their cause(s) are
multiple and include demographic factors, such as age and obesity,
pharmacotherapy, disease-specific symptoms and the presence of comorbid sleep
disorders, and other medical conditions; and that potential long-term
consequences can include lung function changes, increased exacerbation frequency,
emergence or worsening of cardiovascular disease, cognitive effects, depression,
impaired quality of life and increased mortality. To date, few interventional
studies have investigated them, but emerging data suggest that bronchodilator
therapy can improve them if deployed appropriately. In summary, night-time
symptoms in COPD warrant further clinical investigation with validated tools.
PMID- 21881147
TI - Challenges in idiopathic pulmonary fibrosis trials: the point on end-points.
AB - Idiopathic pulmonary fibrosis (IPF) is the most common of the idiopathic
interstitial pneumonias and is associated with both a variable clinical course
and a poor prognosis. Investigators involved in clinical trials and clinicians
reviewing the IPF literature are confronted with daunting challenges in selecting
reliable outcome measures, interpreting the clinical and statistical importance
of these findings, and applying this knowledge to the clinical care of their
patients. In order to evaluate the efficacy of new treatment regimens, a number
of studies have been performed, employing a range of clinical and surrogate end
points. In most studies, the primary end-point consists of a single outcome
measure. A desirable single clinical end-point for IPF should be reliable, valid,
responsive to changes in disease status, clinically meaningful, predictive of
clinical outcome and responsive to treatment effect of a given intervention.
Proper consideration and effective choice of outcome measures used in IPF studies
will help establish effective and achievable drug development programmes and will
enable clinicians and investigators to make informed critical decisions in
recommending a treatment regimen to their IPF patients.
PMID- 21881148
TI - Emerging potential treatments: new hope for idiopathic pulmonary fibrosis
patients?
AB - Currently, there are no approved pharmacological treatments for the management of
patients with idiopathic pulmonary fibrosis (IPF) in the USA or Europe.
Pirfenidone is an orally bio-available small molecule that exhibits antifibrotic
and anti-inflammatory properties in a variety of in vitro and animal models.
Pirfenidone has been evaluated in four randomised, double-blind, placebo
controlled clinical trials conducted in Japan, North America and Europe. The
totality of the data from these trials indicates that pirfenidone is able to
reduce the rate of decline in lung function, measured as change in per cent
predicted forced vital capacity (FVC) or vital capacity. There was also an effect
on secondary end-points of progression free survival, categorical change in per
cent predicted FVC, and the 6-min walk test. A recent meta-analysis of the three
phase III studies in IPF demonstrated that pirfenidone significantly reduced the
risk of disease progression by 30%. The efficacy of pirfenidone is associated
with an acceptable tolerability and safety profile.
PMID- 21881149
TI - Pulmonary lymphangitic carcinomatosis presenting as severe interstitial lung
disease in a 15-year-old female.
PMID- 21881150
TI - Pulmonary nocardiosis in immunocompetent patients: can COPD be the only risk
factor?
PMID- 21881151
TI - Optimizing the Wingate Anaerobic Cycling Test for youth with juvenile idiopathic
arthritis.
AB - The Wingate Anaerobic Test (WAnT) can assess muscle function in youth with
juvenile idiopathic arthritis (JIA). Our objective was to compare peak power (PP)
and mean power (MP) when the WAnT is performed with a standard vs. an optimized
braking force. Eight patients with JIA between the ages of 8 and 18 participated
in two sessions. Optimal braking force was determined with a series of 15-s force
velocity tests performed against braking forces ranging from 3.5 to 8.5% of body
weight. Participants then performed two randomized WAnTs against the standard
(4.5%) and optimal braking forces. PP tended to be greater in the optimized vs.
standard WAnT (12.5 +/- 2.6 vs. 10.8 +/- 1.0 W/kg, respectively; p = .07). No
differences were observed for MP (standard: 6.2 +/- 0.9 vs. optimized: 6.2 +/-
1.1 W/kg; p = .9). Optimization of the WAnT tended to increase PP by 10-28% in
youth with JIA.
PMID- 21881152
TI - Effect of rest interval on neuromuscular and metabolic responses between children
and adolescents.
AB - The purpose of this study was to compare the effect of different rest intervals
and contraction velocities on muscle recovery following resistance exercise. 18
children (11.1 +/- 0.52 yrs) and 19 adolescents (15.8 +/- 0.49 yrs) performed
three sets of 10 isokinetic repetitions at 60 degrees /s and 180 degrees /s. The
work-to-rest ratio (W/R) was 1:2 and 1:4 for 60 degrees /s, and 1:6 and 1:12 for
180 degrees /s. ANOVA revealed that children demonstrated no significant decline
in PT from the first to third set with any rest interval, but there was a
significant (p < .05) decline for adolescents when a W/R of 1:2, 1:4 and 1:6 were
used. Adolescents demonstrated significantly greater blood lactate (BLa)
concentrations than children after three sets of resistance exercise. The present
study indicates that adolescents may require longer rest intervals to recover
full PT when compared with children.
PMID- 21881153
TI - Relationship between active school transport and body mass index in grades 4-to-6
children.
AB - The current investigation assessed the impact of active school transportation
(AST) on average daily step counts, body mass index (BMI) and waist circumference
in 315 children in Grades 4-6 who participated to Cycle 2 of the Canadian
Assessment of Physical Literacy (CAPL) pilot testing. T-tests revealed a
significant association between AST and lower BMI values (18.7 +/- 3.3 vs. 19.9
+/- 3.8 kg/m(2)). The active commuters accumulated an average of 662 more steps
per day, and their waist circumference was lower by an average of 3.1 cm, but
these differences were not statistically significant. ANCOVA analyses controlling
for age and step counts, found trends toward lower BMI and waist circumference
values among the active commuters. These results suggest that AST may be a valid
strategy to prevent childhood obesity; further research is needed to determine
more precisely the impact of AST on body composition, and the direction of the
relationship.
PMID- 21881154
TI - Changes in physical activity, self-efficacy and depressive symptoms in adolescent
girls.
AB - The purpose of this study was to examine the longitudinal relationships between
naturally occurring changes in leisure-time physical activity, depressive
symptoms and self-efficacy in adolescent girls. We also aimed to test whether
depressive symptoms would moderate the self-efficacy-physical activity
relationship. Participants were 181 urban adolescent girls. Physical activity was
measured using the 3-Day Physical Activity Recall. Self-efficacy and depressive
symptoms were assessed using questionnaires. Body height and body mass were
measured and body mass index (BMI) was calculated. Data were collected on three
occasions over a 2-year period. There was a decrease in physical activity and
self-efficacy and increase in depressive symptoms across three measurement
occasions. There were statistically significant and negative relationships
between initial level and change for physical activity and depressive symptoms.
Initially higher levels of physical activity were related with initially lower
levels of depressive symptoms, and change in physical activity across time was
inversely associated with change in levels of depressive symptoms across
measurements. There were statistically significant and positive relationships
between initial level and change for physical activity and self-efficacy after
controlling effect of BMI. Latent growth modeling (LGM) also indicated a
moderating effect of depressive symptoms on the self-efficacy-physical activity
relationship. Girls who had high initial levels of self-efficacy and smaller
increases in depressive symptoms had the lowest decline in physical activity
participation. Our results encourage the design of interventions that reduce
depressive symptoms and increase self-efficacy as a possible of means of
increasing adolescent girls' physical activity.
PMID- 21881155
TI - The effect of pre-exercise carbohydrate supplementation on anaerobic exercise
performance in adolescent males.
AB - Carbohydrate (CHO) consumption before anaerobic exercise was studied in 13
adolescent boys (15.2 +/- 0.9 yrs). A within subjects design was employed where
subjects consumed a 22% CHO or volume-matched placebo (PL) beverage 30-min before
anaerobic exercise on two separate days. Exercise consisted of a Wingate
Anaerobic Test (WAnT), ten by 10-s-sprints, and a second WAnT. Fatigue index and
peak power (PP) were similar while mean power (MP) was higher (p < .025) in CHO
trial; however this difference was ascribed to initial WAnT performance. PP and
MP for the 10-s sprints were similar between trials. Intravenous blood glucose
and insulin concentrations were higher (p < .05) in the CHO trial while lactate
and catecholamine concentrations were similar. Improved performance on a single
WAnT was apparent with CHO consumption before exercise; however, this strategy
did not attenuate fatigue over time in adolescent boys.
PMID- 21881156
TI - Validity of the Actical accelerometer step-count function in children.
AB - This study sought to assess criterion validity of the Actical monitor step-count
function in children via ankle and waist placement, compared with observed video
recordings. Children attending a summer program (12 boys, 7 girls, mean age =
9.6yrs, range 7-11yrs) wore two synchronized Acticals, attached at the ankle (AA)
and waist (AW). Children performed treadmill walking at varying speeds, and two
research assistants counted steps using observed video recordings (OVR). Results
showed high correlations for AW-OVR (r = .927, p < .001) and AA-OVR (r = .854, p
< .001), but AW and AA were significantly lower than OVR (t > 11.2, p < .001). AW
provided better step estimates than AA for step rates above 130 steps per minute.
In contrast, AA was superior to AW for slow walking, and measured more steps
during the (nontreadmill) program time. Overall, the Actical monitor showed good
evidence of validity as a measure of steps in children for population-based
studies.
PMID- 21881157
TI - Within- and between-day variability of objectively measured physical activity in
preschoolers.
AB - In this study, physical activity (PA) was objectively measured in 213 Belgian
preschoolers (M(age) = 4.98, SD = .88 years) over 4 consecutive days including
two weekend days. Within-day variability in PA showed a typical activity pattern
during weekdays and weekend days. Weekdays clearly reflected a preschool
attending day with more peaks and troughs than weekend days and after-school
hours were characterized by a decrease in activity. Between-day variability in PA
was identified in preschool girls above the age of four, suggesting that the lack
of a structured preschool environment is already related with a decrease in PA in
this sex-specific age group. The results of this study are informative for the
development of future PA interventions and indicate that both the preschool and
the home environment should be targeted in the promotion of preschoolers' PA.
PMID- 21881158
TI - The influence of body composition on youth throwing kinetics.
AB - The primary objective of this study was to investigate the influence of segmental
mass and body composition on the upper extremity biomechanics of overweight youth
participating in baseball activities. The study used a regression framework to
investigate the relationship between whole body, throwing arm segmental mass and
body composition measures to kinetic variables about the shoulder and elbow. The
multivariate regression results indicated a strong positive significant
relationship between each of the mass variables to that of the moment variables
about the shoulder and elbow. Participants who had a greater percentage of fat
mass produced greater injury correlated moments about the shoulder and elbow.
PMID- 21881159
TI - SCUBA-dive-related changes in heart rate in children.
AB - The purpose of this study was to monitor heart rate (HR) and rhythm during open
water SCUBA dives. Nine children performed 25-min open water SCUBA dives to 8 m
depth. Before, during and after these dives, ECG was recorded. Compared with
predive heart rate, heart rate declined by -24 +/- 8% (range -36%; -15%) during
the dive. In some children a further decline in HR was observed within the last
minutes of the dive. Older and taller subjects and those with a high initial HR
showed a more pronounced decline in HR. Furthermore singular supraventricular and
ventricular extrasystoles were observed in some children. Immersion as well as
facial and skin cooling presumably account for the initial decline in heart rate.
A further drop in HR within the last minutes of the dive might be related to mild
hypothermia. Single supraventricular and ventricular extrasystoles might occur in
healthy children during dives.
PMID- 21881160
TI - Convergent validity of a piezoelectric pedometer and an omnidirectional
accelerometer for measuring children's physical activity.
AB - The aim of this study was to assess the convergent validity of a new
piezoelectric pedometer and an omnidirectional accelerometer for assessing
children's time spent in moderate to vigorous physical activity (MVPA).A total of
114 children (51 boys, 63 girls) aged 5-11 years wore a sealed NL-1000
piezoelectric pedometer (New Lifestyles Inc, Lee's Summit, MO) and an Actical
accelerometer (Mini Mitter, Bend, OR) over one school day. The NL-1000 pedometers
were randomized to one of two manual intensity thresholds used to define MVPA
(1): Level 3 = 2.9 metabolic equivalent test (MET) and (2) Level 4 = 3.6 MET.
Compared with the Actical, the NL-1000 underestimated the time spent in MVPA by
37% and 45% at intensity levels 3 and 4, respectively. In addition, the 95%
limits of agreement were wide at both intensity levels (level 3 = -144%, 70%;
level 4 = -135%, 45%), indicating a low level of precision.
PMID- 21881161
TI - The interaction of obesity and puberty on substrate utilization during exercise:
a gender comparison.
AB - The study evaluated the interactions of puberty and obesity on substrate
oxidation of overweight girls (n = 38) and boys (N = 35; BMI > 85th percentile)
matched for gender, age, and puberty (pre/pubertal) with normal weight girls and
boys. Metabolic rates (VO(2)) were obtained during rest and at 4, 5.6 and 8 k/h.
Carbohydrate oxidation rates (mg/kgFFM/min) adjusted for % predicted VO(2max),
were higher for prepubertal OW children than pubertal children (p < .03). Fat
oxidation rates were higher for NW prepubertal boys compared with other boys.
Results indicate that OW children, regardless of gender or pubertal status,
increase their carbohydrate oxidation rate to compensate for higher than normal
metabolic rates. The effects of obesity on the substrate use is marginally
related to puberty.
PMID- 21881162
TI - This recession is wearing me out! Health-related quality of life and economic
downturns.
AB - BACKGROUND: Health-related quality of life refers to an individual's perceived
physical and mental health and goes beyond the presence or absence of illnesses
to encompass a multidimensional concept of well being. Previous research on the
relationships between macroeconomic conditions and health status reveal
improvements in physical health during economic downturns. However, few studies
have examined whether mental health status improves or declines during tough
economic times. AIMS: The main objective of this paper is to provide new evidence
on the impact of macroeconomic conditions on Health-related quality of life
(HRQL), or functional health, by analyzing the physical and mental health summary
scores of the 12-Item Short Form Health Survey (SF-12). DATA: The analysis uses
panel data from Waves 1 and 2 of the National Epidemiological Survey of Alcohol
and Related Conditions (NESARC) for individuals 18-59 years (in Wave 1), for a
final sample of 26,313 individuals. The NESARC collected and reported data on the
SF-12 health scores, including a physical health score (PCS) for overall physical
functioning, and a mental health score (MCS) for mental/psychological
functioning. To analyze the impact of economic downturns on HRQL, the study
matches the NESARC variables with data on state-level macroeconomic conditions.
METHODS: To estimate the effects of macroeconomic conditions on HRQL, this paper
takes advantage of the longitudinal nature of the dataset and uses individual
fixed-effect models to account for both individual and state-level heterogeneity.
Although it is unlikely for individual omitted variables (e.g., individuals'
preferences and attitudes) to be significantly correlated with the state
unemployment rate, using longitudinal data allows for the estimation of a more
fully specified model. RESULTS: Findings consistently indicate that an increase
in the average state unemployment rate worsens an individual's HRQL, suggesting
that the loss of jobs and income and/or the economic distress associated with
economic downturns have a detrimental effect on people's daily lives. Although
the magnitudes of the changes are generally small, results show that mental
health decreases more than physical health during tough economic times. POLICY
IMPLICATIONS: With the recent worldwide economic recession causing steep drops in
the U.S. Gross Domestic Product along with double-digit unemployment rates, the
implications of this study are disheartening. Besides macroeconomic policies to
help stimulate the economy, government officials and policymakers should also
consider social policies to help people cope with the recession and buffer the
potential negative health impact, both mental and physical. Moreover,
policymakers should keep in mind that the mental health effects might be greater
and longer lasting.
PMID- 21881163
TI - Pursuing cost-effectiveness in mental health service delivery for youth with
complex needs.
AB - BACKGROUND: Mental health advocates seek to expand children's services, noting
widespread failure to meet the needs of public sector youth suffering from
serious emotional disturbance (SED). However, state and national budgets face
deepening cuts, with rising health care costs taking the blame. As the gap
between needs and finances widens, identification of cost-effective treatments
that will benefit children with SED and their families is of increasing
importance. Community-based interventions for this population, such as the
wraparound approach and systems-of-care, are being disseminated but literature is
scant regarding effects on expense. The Mental Health Services Program for Youth
(MHSPY) model is aligned philosophically with wraparound and systems-of-care but
unique in blending public agency dollars to deliver integrated medical, mental
health and social services. MHSPY's linked clinical and expense data is useful to
study community-based treatment cost-effectiveness. AIMS OF STUDY: To examine the
cost-effectiveness of an intensively integrated, family and community-based
clinical intervention for youth with mental health needs in comparison to "usual
care.'' METHODS: Study and reference populations were matched on age, gender,
community, psychiatric diagnosis, morbidity and insurance type. Claims analyses
included patterns of service utilization and medical expense for both groups.
Using propensity score matching, results for study youth are compared with
results for the population receiving "usual care.'' Clinical functioning was
measured for the intervention group at baseline and 12 months. RESULTS: The
intervention group used lower intensity services and had substantially lower
claims expense (e.g. 32% lower for emergency room, 74% lower for inpatient
psychiatry) than their matched counterparts in the "usual care'' group.
Intervention youth were consistently maintained in least restrictive settings,
with over 88% of days spent at home and showed improved clinical functioning on
standard measures. DISCUSSION: The intensive MHSPY model of service delivery
offers potential as a cost-effective intervention for complex youth. Its
integrated approach, recognizing needs across multiple life domains, appears to
enhance engagement and the effectiveness of mental health treatment, resulting in
statistically significant clinical improvements. Functional measures are not
collected in "usual care,'' limiting comparisons. However, claims expense for
intervention youth was substantially lower than claims expense for Medicaid
comparison youth, suggesting clinical needs for intervention youth post
enrollment were lower than for those receiving "usual care.'' IMPLICATIONS FOR
HEALTH CARE PROVISION AND USE: The MHSPY model, which intentionally engages
families in "clustered'' traditional and non-traditional services, represents a
replicable strategy for enhancing the impact of clinical interventions, thereby
reducing medical expense. IMPLICATIONS FOR HEALTH POLICIES: Blending categorical
state agency dollars and insurance funds creates flexibility to support community
based care, including individualized services for high-risk youth. Resulting
expenses total no more, and are often less, than "treatment as usual'' but yield
greater clinical benefits. IMPLICATIONS FOR FURTHER RESEARCH: Further research is
needed regarding which intervention elements contribute the most towards improved
clinical functioning, as well as which patients are most likely to benefit. A
randomized trial of MHSPY vs. "usual care,'' including examination of the
sustainability of effects post-disenrollment, would provide a chance to further
test this innovative model.
PMID- 21881164
TI - Costs for patients with psychotic illness: differences depending upon state of
remission.
AB - BACKGROUND: Psychotic illnesses have a substantial economic burden on patients,
family members, friends, and society in general, still there have been limited
attempts to estimate the costs associated with this condition. Moreover, nothing
is known about the differences in costs between patients depending on disease
severity, i.e. state of remission. AIM: Estimate the direct and indirect costs
for a defined patient population with psychotic illness in Sweden, and
demonstrate differences in direct costs depending on disease severity (state of
remission). MATERIALS AND METHODS: The cost analyses are based on data from the
Clinical Long-term Investigation of Psychosis in Sweden (CLIPS), which is an
ongoing, single-centre, epidemiological study. Resource use and disease severity
were captured for the patients during one year, 2007. Total costs per patients
are estimated and cost differences between patients, depending on state of
remission, are considered. RESULTS: 199 patients with a mean age of 51 (63% men)
were followed for 12 months. They had a mean of 6.4 inpatient-days, 1.4 physician
visits, 18.6 nurse visits, 1.2 counsellor visits and 6.3 visits to other staff
including tests and diagnostic procedures per patient- year. The mean total cost
(direct and indirect) amounted to 62,500 per patient and year. Patients in steady
state of remission had lower direct costs compared to other patients. Moreover,
the size of the various cost items differed between patients' depending on state
of remission. Patients in steady remission had almost no inpatient costs.
DISCUSSION: For a comprehensive assessment of treatment of psychotic illnesses it
is necessary to provide evidence of the costs related to disease severity. We
find that patients suffering from psychotic illness have varying costs depending
on their disease severity, and this study indicates that if patients can be kept
in remission direct costs will decrease. We can also confirm that reallocation
has taken place the last 15 years, between different cost items, from in-patient
care at hospitals to out-patient care and assistance at home. LIMITATIONS:
Information about informal care was collected from patients and not from informal
carers themselves. IMPLICATIONS FOR HEALTH CARE PROVISION AND USE: Costs have
been reallocated from in-patient care to costs for assistance at home, which is a
reflection of the change in care of patients with mental problems that has taken
place during the last 15 years. Patients in steady remission have lower costs
compared to patients in steady non-remission or patients switching between the
two states. A better surveillance of the disorder would lead to lower direct, as
well as indirect, costs.
PMID- 21881165
TI - Mental health care and out-of-pocket expenditures in Europe: results from the
ESEMeD project.
AB - BACKGROUND: Most published data on out-of-pocket spending on mental health
originate from the United States, where insurance payments for mental health have
traditionally been much less generous than benefits for other health care
services. Given the difference in the structure of health care funding in Europe,
it is clearly important to obtain similar information on out-of-pocket
expenditure in different European countries. AIM OF THE STUDY: To estimate out-of
pocket costs paid by people who receive mental health care in six European
countries (Belgium, France, Germany, Italy, the Netherlands, and Spain). METHODS:
Of the 8,796 participants in a cross-sectional survey conducted in these six
European countries, 1,128 reported having consulted a professional for a mental
health problem in the year preceding the interview and provided information on
how many times in the past year they consulted each type of provider, and the
money they and their family members had paid out-of-pocket for their mental
health care. In addition to sociodemographic characteristics, information on
mental health status was collected using the CIDI 3.0. Descriptive statistics on
out-of-pocket expenditure and share of income across countries were generated.
Two-part models were employed to identify the relationship between the different
covariates, notably the types of providers consulted, and out-of-pocket
expenditure. RESULTS: Overall, 41.0% of those who used services for a mental
health problem paid something for the care they received. This represented a
minority of respondents in all countries except Belgium (87.9%) and Italy
(61.7%). The financial burden of these costs relative to income was found to be
low (1.2%), ranging from 0.4% in Germany to 2.3% in France. Out-of-pocket
expenditure differed according to the type of providers consulted, with non
physician health professionals and medical specialists being more often
associated with significant expenditure. DISCUSSION AND LIMITATIONS: Although the
study is limited principally by data collection from self-report, it is the first
of its kind, to our knowledge, and suggests that out-of-pocket costs for mental
health care in Europe are relatively low compared to the United States. However,
differences between countries exist, which may be partially due to differences in
coverage for specialized care. IMPLICATIONS FOR HEALTH POLICIES: Consultations
with non-physician mental health professionals such as psychologists are
expensive for patients, since they are reimbursed to a lesser extent than
consultations with physicians, or not reimbursed at all. This limits their role
and increases the burden on psychiatrists. IMPLICATIONS FOR FURTHER RESEARCH:
Monitoring out-of-pocket spending on mental health, preferably on the basis of
administrative data when available, is essential in the current context of cost
containment policy, where out-of-pocket spending may be expected to increase.
PMID- 21881166
TI - GMB: an efficient query processor for biological data.
AB - Bioinformatics applications manage complex biological data stored into
distributed and often heterogeneous databases and require large computing power.
These databases are too big and complicated to be rapidly queried every time a
user submits a query, due to the overhead involved in decomposing the queries,
sending the decomposed queries to remote databases, and composing the results.
There is also considerable communication costs involved. This study addresses the
mentioned problems in Grid-based environment for bioinformatics. We propose a
Grid middleware called GMB that alleviates these problems by caching the results
of Frequently Used Queries (FUQ). Queries are classified based on their types and
frequencies. FUQ are answered from the middleware, which improves their response
time. GMB acts as a gateway to TeraGrid Grid: it resides between users’
applications and TeraGrid Grid. We evaluate GMB experimentally.
PMID- 21881168
TI - Bioprinting cell-laden matrigel for radioprotection study of liver by pro-drug
conversion in a dual-tissue microfluidic chip.
AB - The objective of this paper is to introduce a novel cell printing and
microfluidic system to serve as a portable ground model for the study of drug
conversion and radiation protection of living liver tissue analogs. The system is
applied to study behavior in ground models of space stress, particularly
radiation. A microfluidic environment is engineered by two cell types to prepare
an improved higher fidelity in vitro micro-liver tissue analog. Cell-laden
Matrigel printing and microfluidic chips were used to test radiation shielding to
liver cells by the pro-drug amifostine. In this work, the sealed microfluidic
chip regulates three variables of interest: radiation exposure, anti-radiation
drug treatment and single- or dual-tissue culture environments. This application
is intended to obtain a scientific understanding of the response of the multi
cellular biological system for long-term manned space exploration, disease models
and biosensors.
PMID- 21881169
TI - DFT study on magnetic interaction in an orbitally degenerate Ti3+ dimer complex.
AB - The magnetic interaction in an orbitally degenerate transition metal dimer
complex is investigated using a typical example of a d(1)-d(1) dimer complex, the
Ti2Cl9(3-) cluster. The local orbital functions are defined by linear
combinations of the molecular orbital functions which are calculated by density
functional theory (DFT). In the DFT calculation, the Perdew-Burke-Ernzerhof (PBE)
functional and hybrid PBE0 functional are utilized. The matrix elements of the
effective Hamiltonian of the d-electrons are evaluated by the DFT calculation
except for one parameter which is determined by comparing the zero-temperature
magnetic susceptibility in the direction along the c axis chi(?) with the
experimental result. By the calculation with the PBE0 functional, the zero
temperature magnetic susceptibility in the perpendicular direction chi(?) and the
temperature dependence of the susceptibilities in both directions agree with the
experiment. On the other hand, by the calculation with the PBE functional, chi(?)
is smaller than the experimental values because the on-site potential is
underestimated.
PMID- 21881170
TI - Magnetothermoelectric transport in modulated and unmodulated graphene.
AB - We draw motivation from recent experimental studies and present a comprehensive
study of magnetothermoelectric transport in a graphene monolayer within the
linear response regime. We employ the modified Kubo formalism developed for
thermal transport in a magnetic field. Thermopower as well as thermal
conductivity as a function of the gate voltage of a graphene monolayer in the
presence of a magnetic field perpendicular to the graphene plane is determined
for low magnetic fields (~1 T) as well as high fields (~8 T). We include the
effects of screened charged impurities on thermal transport. We find good
qualitative and quantitative agreement with recent experimental work on the
subject. In addition, in order to analyze the effects of modulation, which can be
induced by various means, on the thermal transport in graphene, we evaluate the
thermal transport coefficients for a graphene monolayer subjected to a periodic
electric modulation in a magnetic field. The results are presented as a function
of the magnetic field and the gate voltage.
PMID- 21881172
TI - A vanadyl Schiff base complex: {2,2'-[1,1'-(o-phenylenedinitrilo)bis(ethan-1-yl-1
ylidene)]diphenolato}oxovanadium(IV).
AB - The green crystals of the title compound, [V(C(22)H(18)N(2)O(2))O], represent a
mononuclear oxovanadium complex. The central V(IV) centre has a distorted square
pyramidal coordination. Two N atoms and two O atoms of the Schiff base ligand
define the base of the pyramid, and the oxide O atom is in the apical position.
Density functional theory (DFT) calculations were performed to analyse the
changes in the geometry of the ligand during the complex formation. The most
significant changes are observed in the values of the torsion angles in the
vicinity of the donor N atoms. The HOMA index (Harmonic Oscillator Model of
Aromaticity) has been calculated to compare the aromaticity of the benzene rings
in the complex and its ligand.
PMID- 21881171
TI - The effect of isoflurane anesthesia on the electroencephalogram assessed by
harmonic wavelet bicoherence-based indices.
AB - Bicoherence quantifies the degree of quadratic phase coupling among different
frequency components within a signal. Previous studies, using Fourier-based
methods of bicoherence calculation (FBIC), have demonstrated that
electroencephalographic bicoherence can be related to the end-tidal concentration
of inhaled anesthetic drugs. However, FBIC methods require excessively long
sections of the encephalogram. This problem might be overcome by the use of
wavelet-based methods. In this study, we compare FBIC and a recently developed
wavelet bicoherence (WBIC) method as a tool to quantify the effect of isoflurane
on the electroencephalogram. We analyzed a set of previously published
electroencephalographic data, obtained from 29 patients who underwent elective
abdominal surgery under isoflurane general anesthesia combined with epidural
anesthesia. Nine potential indices of the electroencephalographic anesthetic
effect were obtained from the WBIC and FBIC techniques. The relationship between
each index and end-tidal concentrations of isoflurane was evaluated using
correlation coefficients (r), the inter-individual variations (CV) of index
values, the coefficient of determination (R(2)) of the PKPD models and the
prediction probability (P(K)). The WBIC-based indices tracked anesthetic effects
better than the traditional FBIC-based ones. The DiagBic_En index (derived from
the Shannon entropy of the diagonal bicoherence values) performed best [r = 0.79
(0.66-0.92), CV = 0.08 (0.05-0.12), R(2) = 0.80 (0.75-0.85), P(K) = 0.79 (0.75
0.83)]. Short data segments of ~10-30 s were sufficient to reliably calculate the
indices of WBIC. The wavelet-based bicoherence has advantages over the
traditional Fourier-based bicoherence in analyzing volatile anesthetic effects on
the electroencephalogram.
PMID- 21881167
TI - Cooperative effects of Akt-1 and Raf-1 on the induction of cellular senescence in
doxorubicin or tamoxifen treated breast cancer cells.
AB - Escape from cellular senescence induction is a potent mechanism for
chemoresistance. Cellular senescence can be induced in breast cancer cell lines
by the removal of estrogen signaling with tamoxifen or by the accumulation of DNA
damage induced by the chemotherapeutic drug doxorubicin. Long term culturing of
the hormone-sensitive breast cancer cell line MCF-7 in doxorubicin (MCF-7/DoxR)
reduced the ability of doxorubicin, but not tamoxifen, to induce senescence. Two
pathways that are often upregulated in chemo- and hormonal-resistance are the
PI3K/PTEN/Akt/mTOR and Ras/Raf/MEK/ERK pathways. To determine if active Akt-1 and
Raf-1 can influence drug-induced senescence, we stably introduced activated
DeltaAkt-1(CA) and DeltaRaf-1(CA) into drug-sensitive and doxorubicin-resistant
cells. Expression of a constitutively-active Raf-1 construct resulted in higher
baseline senescence, indicating these cells possessed the ability to undergo
oncogene-induced-senescence. Constitutive activation of the Akt pathway
significantly decreased drug-induced senescence in response to doxorubicin but
not tamoxifen in MCF-7 cells. However, constitutive Akt-1 activation in drug
resistant cells containing high levels of active ERK completely escaped cellular
senescence induced by doxorubicin and tamoxifen. These results indicate that up
regulation of the Ras/PI3K/PTEN/Akt/mTOR pathway in the presence of elevated
Ras/Raf/MEK/ERK signaling together can contribute to drug-resistance by
diminishing cell senescence in response to chemotherapy. Understanding how breast
cancers containing certain oncogenic mutations escape cell senescence in response
to chemotherapy and hormonal based therapies may provide insights into the design
of more effective drug combinations for the treatment of breast cancer.
PMID- 21881173
TI - (1H-pyrazole-kappaN2)(2,2':6',2''-terpyridine-kappa3N,N',N'')platinum(II)
bis(perchlorate) nitromethane monosolvate.
AB - The reaction between [PtCl(terpy)].2H(2)O (terpy is 2,2':6',2''-terpyridine) and
pyrazole in the presence of two equivalents of AgClO(4) in nitromethane yields
the title compound, [Pt(C(3)H(4)N(2))(C(15)H(11)N(3))](ClO(4))(2).CH(3)NO(2), as
a yellow crystalline solid. Single-crystal X-ray diffraction shows that the
dicationic platinum(II) chelate is square planar with the terpyridine ligand
occupying three sites and the pyrazole ligand occupying the fourth. The torsion
angle subtended by the pyrazole ring relative to the terpyridine chelate is 62.4
(6) degrees . Density functional theory calculations at the LANL2DZ/PBE1PBE level
of theory show that in vacuo the lowest-energy conformation has the pyrazole
ligand in an orientation perpendicular to the terpyridine ligand (i.e. 90 degrees
). Seemingly, the stability gained by the formation of hydrogen bonds between the
pyrazole NH group and the perchlorate anion in the solid-state structure is
sufficient for the chelate to adopt a higher-energy conformation.
PMID- 21881174
TI - A photochromic dinuclear compound: aquatetrakis(MU-2,3-diphenylprop-2
enoato)bis(2,3-diphenylprop-2-enoato)ethanolbis(1,10
phenanthroline)dilanthanum(III).
AB - The title dinuclear complex, (aqua-1kappaO)tetrakis(MU-2,3-diphenylprop-2-enoato
1:2kappa(2)O:O')bis(2,3-diphenylprop-2-enoato)-1kappaO;2kappaO-(ethanol
2kappaO)bis(1,10-phenanthroline)-1kappa(2)N,N';2kappa(2)N,N'-dilanthanum(III),
[La(2)(C(15)H(11)O(2))(6)(C(12)H(8)N(2))(2)(C(2)H(5)OH)(H(2)O)], contains two
similar La(III) centres with distorted [LaO(6)N(2)] bicapped triganol-prismatic
coordination polyhedra formed by six phenylcinnamate (PCA(-) or 2,3-diphenylprop
2-enoate) ligands, two 1,10-phenanthroline (phen) ligands, a coordinating ethanol
molecule and a coordinating water molecule. The two metal centres are bridged by
four MU-PCA(-) ligands, with the remaining two PCA(-) ligands coordinated in a
monodentate fashion. The noncoordinated carboxylate O atoms on the terminal PCA(
) ligands form O-H...O hydrogen bonds with the coordinated solvent molecules.
Each La centre is also coordinated by a bidentate phen ligand. The PCA(-) ligands
all adopt syn-syn orientations, with the two phenyl rings presenting dihedral
angles of about 70 degrees . The compound displays photochromic behaviour both in
solution and in the solid state.
PMID- 21881175
TI - Bis(MU-5-carboxybenzene-1,3-dicarboxylato-kappa2O1:O3)bis[(2,2'-bi-1H-imidazole
kappa2N3,N3')zinc].
AB - The title compound, [Zn(2)(C(9)H(4)O(6))(2)(C(6)H(6)N(4))(2)], consists of two
Zn(II) ions, two 5-carboxybenzene-1,3-dicarboxylate (Hbtc(2-)) dianions and two
2,2'-bi-1H-imidazole (bimz) molecules. The Zn(II) centre is coordinated by two
carboxylate O atoms from two Hbtc(2-) ligands and by two imidazole N atoms of a
bimz ligand, in a distorted tetrahedral coordination geometry. Two neighbouring
Zn(II) ions are bridged by a pair of Hbtc(2-) ligands, forming a discrete
binuclear [Zn(2)(Hbtc)(2)(bimz)(2)] structure lying across an inversion centre.
Hydrogen bonds between carboxyl H atoms and carboxylate O atoms and between
imidazole H atoms and carboxylate O atoms link the binuclear units. These
binuclear units are further extended into a three-dimensional supramolecular
structure through extensive O-H...O and N-H...O hydrogen bonds. Moreover, the
three-dimensional nature of the crystal packing is reinforced by the pi-pi
stacking. The title compound exhibits photoluminescence in the solid state, with
an emission maximum at 415 nm.
PMID- 21881176
TI - Tetrakis(tetramethylammonium) tricarbonatodioxidouranate octahydrate.
AB - The environment of the U atom in the title compound,
(C(4)H(12)N)(4)[UO(2)(CO(3))(3)].8H(2)O, presents a typical hexagonal bipyramidal
geometry found in many actinide complexes. It is a model for actinide species and
consists of common environmental moieties (carbonate, water and ammonia species).
The structure displays a sheet-like hydrogen-bonding network formed from
crystallization water molecules and carbonate ligands. The compound is
isomorphous with a previously described Np isolog [Grigorev et al. (1997).
Radiokhimiya (Russ. Radiochem.), 39, 325-329].
PMID- 21881177
TI - Weak C-H...Cl-Pd interactions toward conformational polymorphism in trans
dichloridobis(triphenylphosphane)palladium(II).
AB - A new triclinic polymorph of the title compound, [PdCl(2)(C(18)H(15)P)(2)], has
two independent molecules in the unit cell, with the Pd atoms located on
inversion centres. One molecule has an eclipsed conformation, whereas the second
molecule adopts a gauche conformation. The molecules with a gauche conformation
are involved in weak intermolecular C-H...Cl-Pd interactions with symmetry
related molecules. It is suggested that C-H...Cl-Pd interactions are mainly
responsible for the existence of conformational differences, which contribute to
the polymorph formation. In the crystal, there are layers of eclipsed and gauche
molecules separated by normal van der Waals interactions.
PMID- 21881179
TI - catena-Poly[[silver(I)-MU-1,3-bis(4-pyridyl)propane] hemi(naphthalene-1,5
disulfonate) dihydrate]: a three-dimensional metallo-supramolecular sandwich
lamellar network.
AB - The title compound, {[Ag(C(13)H(14)N(2))](C(10)H(6)O(6)S(2))(0.5).2H(2)O}(n),
(I), features a three-dimensional supramolecular sandwich architecture that
consists of two-dimensional cationic layers composed of polymeric chains of
silver(I) ions and 1,3-bis(4-pyridyl)propane (bpp) ligands, linked by Ag...Ag and
pi-pi interactions, alternating with anionic layers in which uncoordinated
naphthalene-1,5-disulfonate (nds(2-)) anions and solvent water molecules form a
hydrogen-bonded network. The asymmetric unit consists of one Ag(I) cation
linearly coordinated by N atoms from two bpp ligands, one bpp ligand, one half of
an nds(2-) anion lying on a centre of inversion and two solvent water molecules.
The two-dimensional {[Ag(bpp)](+)}(n) cationic and {[(nds).2H(2)O](2-)}(n)
anionic layers are assembled into a three-dimensional supramolecular framework
through long secondary coordination Ag...O interactions between the sulfonate O
atoms and Ag(I) centres and through nonclassical C-H...O hydrogen bonds.
PMID- 21881178
TI - Two W/Cu/S clusters: tetraethylammonium bromidodi-MU2-sulfido-sulfido[tris(3,5
dimethylpyrazol-1-yl)borato]copper(I)tungsten(VI) and tetraethylammonium
dibromido-MU3-sulfido-di-MU2-sulfido-[tris(3,5-dimethylpyrazol-1
yl)borato]dicopper(I)tungsten(VI).
AB - The reaction of (Et(4)N)[Tp*WS(3)] [Tp* = hydrogen tris(3,5-dimethylpyrazol-1
yl)borate] with one or two equivalents of CuBr afforded the [1 + 1] and [1 + 2]
addition products (Et(4)N)[Tp*WS(MU-S)(2)(CuBr)] {or
(C(8)H(20)N)[CuWBr(C(15)H(22)BN(6))S(3)], (I)} and (Et(4)N)[Tp*W(MU(3)-S)(MU
S)(2)(CuBr)(2)] {or (C(8)H(20)N)[Cu(2)WBr(2)(C(15)H(22)BN(6))S(3)], (II)}. The
anion of (I) contains a [W(MU-S)(2)Cu] core formed by the addition of one CuBr
unit to the [Tp*WS(3)] species. The anion of (II) has a butterfly-shaped [W(MU(3)
S)(MU-S)(2)Cu(2)] core formed by the addition of two CuBr units to the [Tp*WS(3)]
species. The [Tp*WS(3)] sections of each complex exhibit approximate C(3v) point
symmetry and have closely comparable geometry. In (II), both the anion and cation
lie on a crystallographic mirror plane. The structure of (I) is
noncentrosymmetric and polar.
PMID- 21881180
TI - catena-Poly[[[MU-1,3-bis(diphenylphosphanyl)propane-kappa2P:P'][O-ethyl (4
methoxyphenyl)phosphonodithioato-kappa2S,S']silver(I)] chloroform monosolvate].
AB - Reaction of a mixture of AgOAc, Lawesson's reagent [2,4-bis(4-methoxyphenyl)-1,3
dithiadiphosphetane-2,4-disulfide] and 1,3-bis(diphenylphosphanyl)propane (dppp)
under ultrasonic treatment gave the title compound,
{[Ag(C(9)H(12)O(2)PS(2))(C(27)H(26)P(2))].CHCl(3)}(n), a novel one-dimensional
chain based on the in situ-generated bipodal ligand [ArP(OEt)S(2)](-) (Ar = 4
methoxyphenyl). The compound consists of bidentate bridging 1,3
bis(diphenylphosphanyl)propane (dppp) and in situ-generated bidentate chelating
[ArP(OEt)S(2)](-) ligands. The dppp ligand links the [Ag{ArP(OEt)S(2)}] subunit
to form an achiral one-dimensional infinite chain. These achiral chains are
packed into chiral crystals by virtue of van der Waals interactions. No pi-pi
interactions are observed in the crystal structure.
PMID- 21881181
TI - A tetranuclear copper(II) cluster: bis(MU-4-chlorobenzoato-kappa2O:O')(4
chlorobenzoato-kappa2O,O')(4-chlorobenzoato-kappaO)tetrakis(MU3-2
pyridylmethanolato-kappa4N,O:O:O)tetracopper(II).
AB - The title compound, [Cu(4)(C(7)H(4)ClO(2))(4)(C(6)H(6)NO)(4)], consists of
isolated tetranuclear clusters, where the Cu(2+) cations are five- and sixfold
coordinated by O atoms from the 4-chlorobenzoate anions and by pyridine N and
methanolate O atoms from bidentate 2-pyridylmethanolate ligands. While three Cu
atoms are six-coordinated by an NO(5) donor set forming distorted octahedra, the
fourth Cu atom is five-coordinated by an NO(4) donor set forming a distorted
tetragonal-pyramidal coordination around the Cu atom. The nucleus is a deformed
cubane-like Cu(4)O(4) structure, with Cu...Cu distances in the range 3.0266 (11)
3.5144 (13) A.
PMID- 21881182
TI - The supramolecular architecture in 4,4'-bipyridinium bis(hydrogen oxalate).
AB - The asymmetric unit of the title compound, C(10)H(10)N(2)(2+).2C(2)HO(4)(-),
consists of one half of a 4,4'-bipyridinium cation, which has inversion symmetry,
and a hydrogen oxalate anion, in which an intramolecular hydrogen bond exists.
The cations and anions are connected by O-H...O, N-H...O and C-H...O hydrogen
bonds, forming a two-dimensional network, whereas pi-pi stacking interactions
involving the 4,4'-bipyridinium cations lead to the formation of a three
dimensional supramolecular structure. An unusual deca-atomic ring is formed
between two hydrogen oxalate anions, which are linked side-to-side via O-H...O
hydrogen-bonding interactions.
PMID- 21881183
TI - 5:1 and 2:1 cocrystals of 2,3,4,5,6-pentafluorophenol with phenazine.
AB - 2,3,4,5,6-Pentafluorophenol (pFp), unlike phenol, forms cocrystals with the weak
heteroaromatic base phenazine (phz). Two types of cocrystals were prepared, (I)
with a high content of pFp, 2,3,4,5,6-pentafluorophenol-phenazine (5/1),
5C(6)HF(5)O.C(12)H(8)N(2), and (II) with a 2:1 pFp-phz molar ratio, 2,3,4,5,6
pentafluorophenol-phenazine (2/1), 2C(6)HF(5)O.C(12)H(8)N(2). In both forms,
homostacks are formed by the heterocyclic base and phenol molecules and no aryl
perfluoroaryl stacking interactions occur. The arrangement of the molecules in
the crystal of (I) is determined by strong O-H...N and O-H...O hydrogen bonds,
weak O-H...F, C-H...F and C-H...O interactions, pi-pi stacking interactions
between the phz molecules and C-F...pi(F) interactions within the pFp stacks.
Among the specific interactions in (II) are a strong O-H...N hydrogen bond, weak
C-H...F interactions and pi-pi stacking interactions between the phz molecules.
In (I) and (II), the heterocyclic molecules are located around inversion centres
and one of the symmetry-independent pFp molecules in (I) is disordered about an
inversion centre. Remarkably, similar structural fragments consisting of six pFp
stacks can be identified in cocrystal (I) and in the known orthorhombic polymorph
of pFp with Z' = 3 [Gdaniec (2007). CrystEngComm, 9, 286-288].
PMID- 21881184
TI - Tosyl esters of cinchonidine and cinchonine alkaloids: the structure-reactivity
relationship in the hydrolysis to 9-epibases.
AB - In the crystal structures of the diastereoisomers of O-tosylcinchonidine [(9R)
cinchon-9-yl 4-methylbenzenesulfonate], (I), and O-tosylcinchonine [(9S)-cinchon
9-yl 4-methylbenzenesulfonate], (II), both C(26)H(28)N(2)O(3)S, both molecules
are in an anti-closed conformation and, in each case, the position of the aryl
ring of the tosylate system is influenced by an intramolecular C-H...O hydrogen
bond. The molecular packing in (I) is influenced by weak intermolecular C-H...O
and C-H...pi interactions. The crystal structure of (II) features C-H...pi
interactions and van der Waals forces only. The computational investigations
using RHF/6-31G** ab initio and AM1 semi-empirical methods performed for (I) and
(II) and their protonated species show that the conformational and energetic
parameters of the molecules are correlated with differences in their reactivity
in hydrolysis to the corresponding 9-epibases.
PMID- 21881185
TI - Little change but great effect: varying supramolecular interactions in 2,5
dimethoxyterephthalic acid and 2,5-diethoxyterephthalic acid.
AB - The title terephthalic acid derivatives, namely 2,5-dimethoxyterephthalic acid,
C(10)H(10)O(6), (I), and 2,5-diethoxyterephthalic acid, C(12)H(14)O(6), (II),
exhibit nearly planar molecular structures, with maximum deviations from the
least-squares planes calculated for all non-H atoms of 0.0418 (6) and 0.0902 (10)
A for (I) and (II), respectively. The molecules of both title compounds contain
an inversion centre and thus the asymmetric unit of both crystal structures
consists of only half a molecule. It is a remarkable fact that a comparatively
small change in the substitution of the terephthalic acid [dimethoxy in (I)
versus diethoxy in (II)] causes major differences in the dominating
supramolecular interactions. While in (II) the packing structure is stabilized by
typical intermolecular hydrogen-bonded carboxylic acid dimer interactions, the
carboxyl group in (I) forms an unusual intramolecular hydrogen bond with the O
atom of the neighbouring methoxy group.
PMID- 21881186
TI - 1,2-Bis[(pyridin-2-ylmethyl)sulfanyl]ethane and its dimorphic hydrochloride salt.
AB - Although having been described as a liquid in the literature for 41 years, 1,2
bis[(pyridin-2-ylmethyl)sulfanyl]ethane, C(14)H(16)N(2)S(2), (I), has now been
obtained as monoclinic crystals via a new and convenient method of purification.
Molecules of (I) are located on crystallographic inversion centres and are held
together by C-H...N and C-H...S interactions, resulting in the formation of a
three-dimensional network structure. In addition, two polymorphs of the
corresponding hydrochloride salt, 2-[({2-[(pyridin-1-ium-2
ylmethyl)sulfanyl]ethyl}sulfanyl)methyl]pyridin-1-ium dichloride,
C(14)H(18)N(2)S(2)(2+).2Cl(-), (II) and (III), have been isolated. Molecules of
(II) and (III) have similar conformations and are located on inversion centres.
Both polymorphs form three-dimensional networks through N-H...Cl, C-H...Cl and C
H...S interactions. The structure of (III) displays voids of 35 A(3).
PMID- 21881187
TI - N-(tert-butoxycarbonyl)-O-allyl-L-seryl-alpha-aminoisobutyryl-L-valine methyl
ester: a protected tripeptide with an allylated serine residue.
AB - The title compound [systematic name (6S,12S)-methyl 6-(allyloxymethyl)-12
isopropyl-2,2,9,9-tetramethyl-4,7,10-trioxo-3-oxa-5,8,11-triazatridecan-13-oate],
C(21)H(37)N(3)O(7), containing the little studied O-allyl-L-serine residue
[Ser(All)], crystallizes in the monoclinic space group C2 with one molecule in
the asymmetric unit. The compound is an analogue of the Ser140-Val142 segment of
the water channel aquaporin-4 (AQP4). It forms a distorted type-II beta-turn with
a P(II)-3(10L)-P(II) backbone conformation (P(II) is polyproline II). The overall
backbone conformation is markedly different from that of the CO(Pro139)-Val142
stretch of rat AQP4, but is quite similar to the corresponding segment of human
AQP4, despite significant differences at the level of the individual residues.
The side chain of the Ser(All) residue adopts a gauche conformation relative to
the backbone CO-C(alpha) and C(alpha)-N bonds. The H atoms of the two CH(2)
groups in the Ser(All) side chain are almost eclipsed. The crystal packing of the
title compound is divided into one-molecule-thick layers, each layer having a
hydrophilic core and distinct hydrophobic interfaces on either side.
PMID- 21881188
TI - (E)-4-[2-(3,4,5-trimethoxyphenyl)ethenyl]nitrobenzene and its 'bridge-flipped'
analogues.
AB - The solid-state structures of three push-pull acceptor-pi-donor (A-pi-D) systems
differing only in the nature of the pi-spacer have been determined. (E)-1-Nitro-4
[2-(3,4,5-trimethoxyphenyl)ethenyl]benzene, C(17)H(17)NO(5), (I), and its 'bridge
flipped' imine analogues, (E)-3,4,5-trimethoxy-N-(4-nitrobenzylidene)aniline,
C(16)H(16)N(2)O(5), (II), and (E)-4-nitro-N-(3,4,5-trimethoxybenzylidene)aniline,
C(16)H(16)N(2)O(5), (III), display different kinds of supramolecular networks,
viz. corrugated planes, a herringbone pattern and a layered structure,
respectively, all with zero overall dipole moments. Only (III) crystallizes in a
noncentrosymmetric space group (P2(1)2(1)2(1)) and is, therefore, a potential
material for second-harmonic generation (SHG).
PMID- 21881189
TI - A 1:1 cocrystal of fluconazole with salicylic acid.
AB - The interaction of the antifungal pharmaceutical agent fluconazole with salicylic
acid in acetonitrile solution yields the 1:1 cocrystal 2-(2,4-difluorophenyl)-1,3
bis(1H-1,2,4-triazol-1-yl)propan-2-ol-2-hydroxybenzoic acid (1/1),
C(13)H(12)F(2)N(6)O.C(7)H(6)O(3). The asymmetric unit consists of one molecule of
fluconazole and one molecule of salicylic acid, both in their neutral forms. Both
crystal agents form head-to-tail hydrogen-bonded dimers, which are further
connected into hydrogen-bonded extended zigzag tapes propagating along the ac
diagonal.
PMID- 21881190
TI - [Development and aging of bone in the female life cycle].
AB - In Japan, where the society is fast aging at an unprecedented pace, osteoporosis
is estimated to affect more than 15 million individuals, thus representing a
"common" disease, which exactly meets the definition of a lifestyle-related
disease. As osteoporosis has a predominantly female prevalence and bone accounts
for the most marked gender difference all organs commonly affected in males and
females alike, to have an understanding of the development and aging of bone in
women represents an urgent task toward gaining a better understanding of the
pathophysiology of osteoporosis affecting them as well as its clinical stages. In
this context, the benefit of ensuring bone health has been identified as
maintenance of ADL/QOL in the elderly as well as prevention of osteoporotic
fractures which lead to affected individuals becoming bed-ridden and requiring
nursing care.
PMID- 21881191
TI - [Development of the skeletal system in utero].
AB - The skeletal system develops from mesenchyme originated from the mesodermal germ
layer and neural crest. In view of developmental bone biology, the skeletal
system can be divided into four parts : skull, limbs, vertebrae/the ventral
column, and ribs/sternum. Bone formation takes place in two ways. In most bones
including axial (vertebral column and ribs) and appendicular (limbs) skeletons, a
cartilage model first forms and is finally replaced with bone, which is called
endochondral ossification. In contrast, most flat bones, such as the majority of
bones of the skull, form directly from mesenchymal cells without the prior
formation of cartilage ; this type of osteogenesis is called intramembranous
ossification. I here discuss development of the skeletal system focusing on its
time line in utero.
PMID- 21881192
TI - [Bone mass accrual during infancy].
AB - Bone mass during infantile period relates closely to adult bone mass and small
bone mass in infancy has been thought as one of the risk factors for
osteoporosis. Although bone mass accrual during infantile period is determined by
perinatal condition, nutrition and endocrine factors, among these factors, infant
growth at third trimester of pregnancy is important to determine life-long bone
health. For nutritional factors, vitamin D and calcium are important. The effects
of estrogen on infant bone are also discussed.
PMID- 21881193
TI - [Perimenarchial growth spurt of the bone].
AB - Peak bone mass negatively predicts the lifetime cumulative incidence of
osteoporosis and fractures. Osteoporosis is a highly prevalent disease and
fractures at an advanced age worsen life expectancy. It is therefore important to
prevent progression of this disease. However, curbing the loss of already
osteoporotic bone is not an easy task. Adolescence is a critical period for bone
acquisition. Maximizing peak bone mass during puberty will ultimately guard
against osteoporosis. In this review, we focus on the hormonal effects of puberty
on bone growth and the importance of appropriate exercise and nutrition to
optimize bone accrual.
PMID- 21881194
TI - [Effect of exercise on developing bone mass and cortical bone geometry].
AB - Mechanical load which comes mainly from muscle force as well as gravitational
force associated with body weight plays an important role in increasing bone mass
and bone strength. The greater is mechanical load to the bone, the larger are the
increases in bone mass and bone strength. In particular, jumping exercise
produces a large amount of mechanical forces loaded to the bone through muscle
force. Jumping exercise together with calcium supplementation during the pre- and
peri-pubertal periods is reported to be effective in stimulating bone growth and
thereby increasing bone mineral content. In girls, interventions must be
initiated during the pre-menarcheal period to effectively maximize peak bone
mass.
PMID- 21881195
TI - [Nutrition in bone growth and development].
AB - The greatest increase in bone density was observed around ages 10-14 years in
girls and thereafter peak bone mass was attained. Maximizing peak bone mass
during adolescence is one of the most important strategies to decrease
osteoporotic fractures later in life. Initial bone mass adjusted by height and
weight in 10-year-old girl was associated positively with intakes of dairy
products and small fish. Annual increase in bone mass from age 10 years to 11
years was associated positively with increased intake of fish, fruit, vegetables,
and soybeans. Thus, not only calcium but also adequate dietary patterns such as
increased intake of fish, fruit, vegetables, and soy products lead to higher peak
bone mass in adolescent and will decrease the risk of bone fracture in
postmenopausal age.
PMID- 21881196
TI - [Metabolic changes in bone and calcium in pregnancy and puerperium].
AB - Calcium transfer from the mother to the fetus and neonate during pregnancy and
lactation plays an extremely important role in the bone health of the mother and
infant. Calcium aids in bone health through all ages but is especially crucial
during pregnancy and lactation. Despite facing similar demands for calcium in
pregnancy and puerperium, the maternal adaptations differ significantly between
these two reproductive periods. Although the studies are underway to establish
the conclusion, the changes in the structure and metabolism of bone and calcium
during pregnancy and the early stage of postpartum are evaluated by investigating
bone mineral density (BMD) , bone histomorphometry and bone markers of human or
animal models. The bone resorption increased at the end of pregnancy and
lactation, and the bone formation increases and the bone structure is almost
recovered after cessation of lactating in postpartum. Vitamin D and parathyroid
hormone-related protein (PTHrP) status especially becomes crucial for optimal
maternal and fetal outcomes, fetal and neonatal skeletal growth, and maternal
health in later life.
PMID- 21881197
TI - [Bone loss in lactating women and post-pregnancy osteoporosis].
AB - Measurement of the bone mineral density have shown that lactating women had 1 to
3% decrease in bone mineral density. Post pregnancy osteoporosis is rare
condition that causes fragile fracture mostly in vertebrae. The bone loss in
lactating women is caused by calcium loss, decrease in estrogen level, and
increase in PTHrP (parathyroid hormone related protein) level. Some data have
shown that extended lactation and amenorrhea had an association with the degree
of bone loss. Mostly, the bone loss of the lactating women recovers to the
baseline level, soon after the weaning, and there is no long term effect. Post
pregnancy osteoporosis should be concerned, when we see a lactating woman with
fragile fracture of the vertebrae.
PMID- 21881198
TI - [Bone and calcium metabolism in menopause transition].
AB - Menopause, or estrogen deficiency, predispose women towards fragility fractures
resulting from impaired bone strength, as the composite consequence of : (1)
increased bone resorption caused by proliferation and activation of osteoclasts ;
(2) dissociation of coupling bone formation with resorption ; (3) deterioration
of bone quality ; (4) decreased calcium absorption from intestines and resorption
from renal tubules. The current explanation of each phenomenon is described in
this article, although the exact mechanisms have not been unanimously defined.
PMID- 21881200
TI - [Bone and calcium metabolism in patients with rheumatoid arthritis].
AB - Rheumatoid arthritis (RA) is an autoimmune disease that is more common in women
than in men. The peak incidence in females coincides with menopause when the
production of estrogen drops markedly. Disease activity in patients with RA
decreases during pregnancy and increases postpartum. RA is characterized by
skeletal manifestations where production of pro-inflammatory mediators, connected
to the inflammation in the joint, leads to bone loss. Animal studies have
revealed beneficial effects of estrogen and raloxifene on arthritis and a
positive effect of hormone replacement therapy has been reported in women with
postmenopausal RA. This review will focus on the influence of estrogen in the
pathogenesis and progression of RA.
PMID- 21881199
TI - [Bone and calcium metabolism in elderly women].
AB - Bone mass of elderly women reduces after menopause, but the rate of reduction
differ individually especially in the elderly, because bone metabolism of the
elderly is influenced by many factors such as hormones, fractures, drugs, ability
of exercise and/or nutritional level. Therefore, it is quite difficult to
determine the normal ranges of the metabolism. As a whole, aging accelerates bone
turnover slowly with wide range of individual variation. Subnormal renal function
may effect on the excretion or metabolism of bone markers, such as osteocalcin.
Urinary markers such as NTX corrected by urinary creatinine may be higher than
the real level, because of low creatinine production in the elderly. These
factors may influence on the elevated bone metabolism resulting in the
discrepancy with morphometric evaluation.
PMID- 21881201
TI - [Difference in osteoporosis in men and women].
AB - Burden of osteoporosis in men on our society is increasing with aging in
population. Prevalence of osteoporosis and incidence of osteoporotic fracture are
less frequent in men than in women since bone mineral density is higher, bone
size is greater, and hence the bone is stronger in men than in women. In spite of
these facts, the number of male patients with osteoporosis was projected to be 3
million in 2005 and is increasing thereafter. Hip fracture was estimated to occur
in 33,100 men in 2007 and is increasing as well. Once a man suffers from hip
fracture, prognosis is worse in men than in women. However, screening methods for
osteoporosis in men are not established, and this causes delay in diagnosis and
treatment. Further studies are necessary to answer whether the current young
adult value of bone density necessary for diagnosis is appropriate, whether
therapeutic regimens are effective in men, whether the cost for diagnosis and
treatment is comparable to benefit, whether a valid screening method for
osteoporosis in men exists, and whether the screening method is cost-effective.
PMID- 21881202
TI - [Is bone biopsy necessary for the diagnosis of metabolic bone diseases? Necessity
of bone biopsy].
AB - Histological analysis of undecalcified bone biopsy specimens is a valuable
clinical and research tool for studying the etiology, pathogenesis and treatment
of metabolic bone diseases. In case of osteoporosis, bone biopsy is not usually
required for the diagnosis ; however, bone histomorphometry may be useful in rare
cases with unusual skeletal fragility. Bone histomorphometry also provides
valuable information on the mechanism of action, safety and efficacy of new anti
osteoporosis drugs. Bone histomorphometry is useful for the diagnosis and the
assessment of treatment response in rickets/osteomalacia and in CKD-MBD (chronic
kidney disease-mineral and bone disorders) . In Japan, bone biopsy is often
performed to establish the diagnosis of Paget's disease of bone, especially to
differentiate it from metastatic bone disease.
PMID- 21881203
TI - [Is bone biopsy necessary for the diagnosis of metabolic bone diseases? Non-
invasive assessment of bone turn over markers could define the cause of metabolic
bone diseases].
AB - Recent advances of the measurement of bone turn over markers contribute to non
invasive assessment of bone-metabolic disorders. We can detect the cause of the
metabolic disorders with bone turn over markers and hormonal profiles more easily
than before. Today, we can diagnose and treat metabolic bone diseases without
invasive procedure such as bone biopsy.
PMID- 21881204
TI - Protective antiviral antibody responses in a mouse model of influenza virus
infection require TACI.
AB - Antiviral Abs, for example those produced in response to influenza virus
infection, are critical for virus neutralization and defense against secondary
infection. While the half-life of Abs is short, Ab titers can last a lifetime due
to a subset of the Ab-secreting cells (ASCs) that is long lived. However, the
mechanisms governing ASC longevity are poorly understood. Here, we have
identified a critical role for extrinsic cytokine signals in the survival of
respiratory tract ASCs in a mouse model of influenza infection. Irradiation of
mice at various time points after influenza virus infection markedly diminished
numbers of lung ASCs, suggesting that they are short-lived and require extrinsic
factors in order to persist. Neutralization of the TNF superfamily cytokines B
lymphocyte stimulator (BLyS; also known as BAFF) and a proliferation-inducing
ligand (APRIL) reduced numbers of antiviral ASCs in the lungs and bone marrow,
whereas ASCs in the spleen and lung-draining lymph node were surprisingly
unaffected. Mice deficient in transmembrane activator and calcium-modulator and
cyclophilin ligand interactor (TACI), a receptor for BLyS and APRIL, mounted an
initial antiviral B cell response similar to that generated in WT mice but failed
to sustain protective Ab titers in the airways and serum, leading to increased
susceptibility to secondary viral challenge. These studies highlight the
importance of TACI signaling for the maintenance of ASCs and protection against
influenza virus infection.
PMID- 21881205
TI - A mutation in the immunoproteasome subunit PSMB8 causes autoinflammation and
lipodystrophy in humans.
AB - Proteasomes are multisubunit proteases that play a critical role in maintaining
cellular function through the selective degradation of ubiquitinated proteins.
When 3 additional beta subunits, expression of which is induced by IFN-gamma, are
substituted for their constitutively expressed counterparts, the structure is
converted to an immunoproteasome. However, the underlying roles of
immunoproteasomes in human diseases are poorly understood. Using exome analysis,
we found a homozygous missense mutation (G197V) in immunoproteasome subunit, beta
type 8 (PSMB8), which encodes one of the beta subunits induced by IFN-gamma in
patients from 2 consanguineous families. Patients bearing this mutation suffered
from autoinflammatory responses that included recurrent fever and nodular
erythema together with lipodystrophy. This mutation increased assembly
intermediates of immunoproteasomes, resulting in decreased proteasome function
and ubiquitin-coupled protein accumulation in the patient's tissues. In the
patient's skin and B cells, IL-6 was highly expressed, and there was reduced
expression of PSMB8. Downregulation of PSMB8 inhibited the differentiation of
murine and human adipocytes in vitro, and injection of siRNA against Psmb8 in
mouse skin reduced adipocyte tissue volume. These findings identify PSMB8 as an
essential component and regulator not only of inflammation, but also of adipocyte
differentiation, and indicate that immunoproteasomes have pleiotropic functions
in maintaining the homeostasis of a variety of cell types.
PMID- 21881206
TI - PGC-1alpha promotes recovery after acute kidney injury during systemic
inflammation in mice.
AB - Sepsis-associated acute kidney injury (AKI) is a common and morbid condition that
is distinguishable from typical ischemic renal injury by its paucity of tubular
cell death. The mechanisms underlying renal dysfunction in individuals with
sepsis-associated AKI are therefore less clear. Here we have shown that
endotoxemia reduces oxygen delivery to the kidney, without changing tissue oxygen
levels, suggesting reduced oxygen consumption by the kidney cells. Tubular
mitochondria were swollen, and their function was impaired. Expression profiling
showed that oxidative phosphorylation genes were selectively suppressed during
sepsis-associated AKI and reactivated when global function was normalized.
PPARgamma coactivator-1alpha (PGC-1alpha), a major regulator of mitochondrial
biogenesis and metabolism, not only followed this pattern but was proportionally
suppressed with the degree of renal impairment. Furthermore, tubular cells had
reduced PGC-1alpha expression and oxygen consumption in response to TNF-alpha;
however, excess PGC-1alpha reversed the latter effect. Both global and tubule
specific PGC-1alpha-knockout mice had normal basal renal function but suffered
persistent injury following endotoxemia. Our results demonstrate what we believe
to be a novel mechanism for sepsis-associated AKI and suggest that PGC-1alpha
induction may be necessary for recovery from this disorder, identifying a
potential new target for future therapeutic studies.
PMID- 21881207
TI - The ALS-associated proteins FUS and TDP-43 function together to affect Drosophila
locomotion and life span.
AB - The fatal adult motor neuron disease amyotrophic lateral sclerosis (ALS) shares
some clinical and pathological overlap with frontotemporal dementia (FTD), an
early-onset neurodegenerative disorder. The RNA/DNA-binding proteins fused in
sarcoma (FUS; also known as TLS) and TAR DNA binding protein-43 (TDP-43) have
recently been shown to be genetically and pathologically associated with familial
forms of ALS and FTD. It is currently unknown whether perturbation of these
proteins results in disease through mechanisms that are independent of normal
protein function or via the pathophysiological disruption of molecular processes
in which they are both critical. Here, we report that Drosophila mutants in which
the homolog of FUS is disrupted exhibit decreased adult viability, diminished
locomotor speed, and reduced life span compared with controls. These phenotypes
were fully rescued by wild-type human FUS, but not ALS-associated mutant FUS
proteins. A mutant of the Drosophila homolog of TDP-43 had similar, but more
severe, deficits. Through cross-rescue analysis, we demonstrated that FUS acted
together with and downstream of TDP-43 in a common genetic pathway in neurons.
Furthermore, we found that these proteins associated with each other in an RNA
dependent complex. Our results establish that FUS and TDP-43 function together in
vivo and suggest that molecular pathways requiring the combined activities of
both of these proteins may be disrupted in ALS and FTD.
PMID- 21881208
TI - T cell killing by tolerogenic dendritic cells protects mice from allergy.
AB - It is well established that allergy development can be prevented by repeated low
dose exposure to contact allergens. Exactly which immune mechanisms are
responsible for this so-called low zone tolerance (LZT) is not clear, although
CD8+ suppressor T cells are known to have a role. Here, we show that TNF released
by tolerogenic CD11+CD8+ DCs located in skin-draining lymph nodes is required and
sufficient for development of tolerance to contact allergens in mice. DC-derived
TNF protected mice from contact allergy by inducing apoptosis in allergen
specific effector CD8+ T cells via TNF receptor 2 but did not contribute to the
generation and function of the regulatory T cells associated with LZT. The TNF
mediated killing mechanism was induced in an allergen-specific manner. Activation
of tolerogenic DCs by LZT CD8+ suppressor T cells and enhanced TNF receptor 2
expression on contact allergen-specific CD8+ effector T cells were required for
LZT. Our findings may explain how tolerance protects from allergic diseases,
which could allow for the development of new strategies for allergy prevention.
PMID- 21881209
TI - Cerebral amyloid-beta proteostasis is regulated by the membrane transport protein
ABCC1 in mice.
AB - In Alzheimer disease (AD), the intracerebral accumulation of amyloid-beta (Abeta)
peptides is a critical yet poorly understood process. Abeta clearance via the
blood-brain barrier is reduced by approximately 30% in AD patients, but the
underlying mechanisms remain elusive. ABC transporters have been implicated in
the regulation of Abeta levels in the brain. Using a mouse model of AD in which
the animals were further genetically modified to lack specific ABC transporters,
here we have shown that the transporter ABCC1 has an important role in cerebral
Abeta clearance and accumulation. Deficiency of ABCC1 substantially increased
cerebral Abeta levels without altering the expression of most enzymes that would
favor the production of Abeta from the Abeta precursor protein. In contrast,
activation of ABCC1 using thiethylperazine (a drug approved by the FDA to relieve
nausea and vomiting) markedly reduced Abeta load in a mouse model of AD
expressing ABCC1 but not in such mice lacking ABCC1. Thus, by altering the
temporal aggregation profile of Abeta, pharmacological activation of ABC
transporters could impede the neurodegenerative cascade that culminates in the
dementia of AD.
PMID- 21881210
TI - Smad4 deficiency in T cells leads to the Th17-associated development of
premalignant gastroduodenal lesions in mice.
AB - While there is evidence that specific T cell populations can promote the growth
of established tumors, instances where T cell activity causes neoplasms to arise
de novo are infrequent. Here, we employed two conditional mutagenesis systems to
delete the TGF-beta signaling pathway component Smad4 in T cells and observed the
spontaneous development of massive polyps within the gastroduodenal regions of
mice. The epithelial lesions contained increased levels of transcripts encoding
IL-11, IL-6, TGF-beta, IL-1beta, and TNF-alpha, and lamina propria cells isolated
from lesions contained abundant IL-17A+CD4+ T cells. Furthermore, we found that
Smad4 deficiency attenuated TGF-beta-mediated in vitro polarization of FoxP3+CD4+
T cells, but not IL-17A+CD4+ T cells, suggesting that the epithelial lesions may
have arisen as a consequence of unchecked Th17 cell activity. Proinflammatory
cytokine production likely accounted for the raised levels of IL-11, a cytokine
known to promote gastric epithelial cell survival and hyperplasia. Consistent
with IL-11 having a pathogenic role in this model, we found evidence of Stat3
activation in the gastric polyps. Thus, our data indicate that a chronic increase
in gut Th17 cell activity can be associated with the development of premalignant
lesions of the gastroduodenal region.
PMID- 21881211
TI - A sodium channel knockin mutant (NaV1.4-R669H) mouse model of hypokalemic
periodic paralysis.
AB - Hypokalemic periodic paralysis (HypoPP) is an ion channelopathy of skeletal
muscle characterized by attacks of muscle weakness associated with low serum K+.
HypoPP results from a transient failure of muscle fiber excitability. Mutations
in the genes encoding a calcium channel (CaV1.1) and a sodium channel (NaV1.4)
have been identified in HypoPP families. Mutations of NaV1.4 give rise to a
heterogeneous group of muscle disorders, with gain-of-function defects causing
myotonia or hyperkalemic periodic paralysis. To address the question of
specificity for the allele encoding the NaV1.4-R669H variant as a cause of HypoPP
and to produce a model system in which to characterize functional defects of the
mutant channel and susceptibility to paralysis, we generated knockin mice
carrying the ortholog of the gene encoding the NaV1.4-R669H variant (referred to
herein as R669H mice). Homozygous R669H mice had a robust HypoPP phenotype, with
transient loss of muscle excitability and weakness in low-K+ challenge,
insensitivity to high-K+ challenge, dominant inheritance, and absence of
myotonia. Recovery was sensitive to the Na+/K+-ATPase pump inhibitor ouabain.
Affected fibers had an anomalous inward current at hyperpolarized potentials,
consistent with the proposal that a leaky gating pore in R669H channels triggers
attacks, whereas a reduction in the amplitude of action potentials implies
additional loss-of-function changes for the mutant NaV1.4 channels.
PMID- 21881213
TI - Politicizing NIH funding: a bridge to nowhere.
AB - We live in a time of increased spending, mounting debt, and few remedies for
balancing the federal budget that have bipartisan support. Unfortunately, one
recent target for decreased allocations of the federal budget is the NIH; the
discussion of the awarded grants and the grant funding process has been skewed
and altered to present medical research in an unfriendly light, and this can have
very damaging repercussions. Politicizing this process could ultimately challenge
human health, technology, and economic growth.
PMID- 21881212
TI - Mice overexpressing BAFF develop a commensal flora-dependent, IgA-associated
nephropathy.
AB - B cell activation factor of the TNF family (BAFF) is a potent B cell survival
factor. BAFF overexpressing transgenic mice (BAFF-Tg mice) exhibit features of
autoimmune disease, including B cell hyperplasia and hypergammaglobulinemia, and
develop fatal nephritis with age. However, basal serum IgA levels are also
elevated, suggesting that the pathology in these mice may be more complex than
initially appreciated. Consistent with this, we demonstrate here that BAFF-Tg
mice have mesangial deposits of IgA along with high circulating levels of
polymeric IgA that is aberrantly glycosylated. Renal disease in BAFF-Tg mice was
associated with IgA, because serum IgA was highly elevated in nephritic mice and
BAFF-Tg mice with genetic deletion of IgA exhibited less renal pathology. The
presence of commensal flora was essential for the elevated serum IgA phenotype,
and, unexpectedly, commensal bacteria-reactive IgA antibodies were found in the
blood. These data illustrate how excess B cell survival signaling perturbs the
normal balance with the microbiota, leading to a breach in the normal mucosal
peripheral compartmentalization. Such breaches may predispose the nonmucosal
system to certain immune diseases. Indeed, we found that a subset of patients
with IgA nephropathy had elevated serum levels of a proliferation inducing ligand
(APRIL), a cytokine related to BAFF. These parallels between BAFF-Tg mice and
human IgA nephropathy may provide a new framework to explore connections between
mucosal environments and renal pathology.
PMID- 21881216
TI - Translational research in neurodevelopmental disorders: development of etiology
based animal models. Forward.
PMID- 21881214
TI - Genetics of kidney failure and the evolving story of APOL1.
AB - Chronic kidney disease (CKD) results from a wide array of processes that impair
the kidney's ability to perform its major functions. As many as 20 million
Americans suffer from CKD and nearly a half million from end-stage renal disease,
but there are also examples of centenarians with adequate renal function. Family
based and genome-wide studies suggest that genetic differences substantially
influence an individual's lifetime risk for kidney disease. One emerging theme is
that evolution of genes related to host defense against pathogens may limit
kidney longevity. The identification of these genetic factors will be critical
for expanding our understanding of renal development and function as well as for
the design of novel therapeutics for kidney disease.
PMID- 21881217
TI - Genetic animal models of schizophrenia related with the hypothesis of abnormal
neurodevelopment.
AB - Accumulating evidence supports the existence of an overlap in genetic
susceptibility with schizophrenia. Translation of human genetic mutations into
animals is one of the most important strategies to study the pathogenesis of
schizophrenia, identify potential drug targets, and test new medicines for
antipsychotic treatment. Recent discoveries of susceptibility genes for
schizophrenia make the possibility to develop newer genetic mouse models based on
the neurodevelopmental hypotheses of schizophrenia. Although it is not possible
to mimic all schizophrenic symptoms by these animal models, the genetic mouse
models based on the neurodevelopmental hypothesis are widely developed to
reproduce several schizophrenia-like behavioral and biochemical changes in
humans. In this mini review, we will discuss the neuropathological and behavioral
manifestations of representative genetic mouse models for schizophrenia,
associated with the hypothesis of abnormal neurodevelopment.
PMID- 21881218
TI - Animal model for schizophrenia that reflects gene-environment interactions.
AB - Schizophrenia is a devastating psychiatric disorder that impairs mental and
social functioning and affects approximately 1% of the population worldwide.
Genetic susceptibility factors for schizophrenia have recently been reported,
some of which are known to play a role in neurodevelopment; these include
neuregulin-1, dysbindin, and disrupted-in-schizophrenia 1 (DISC1). Moreover,
epidemiologic studies suggest that environmental insults, such as prenatal
infection and perinatal complication, are involved in the development of
schizophrenia. The possible interaction between environment and genetic
susceptibility factors, especially during neurodevelopment, is proposed as a
promising disease etiology of schizophrenia. Polyriboinosinic-polyribocytidilic
acid (polyI : C) is a synthetic analogue of double-stranded RNA that leads to the
pronounced but time-limited production of pro-inflammatory cytokines. Maternal
immune activation by polyI : C exposure in rodents is known to precipitate a wide
spectrum of behavioral, cognitive, and pharmacological abnormalities in adult
offspring. Recently, we have reported that neonatal injection of polyI : C in
mice results in schizophrenia-like behavioral alterations in adulthood. In this
review, we show how gene-environment interactions during neurodevelopment result
in phenotypic changes in adulthood by injecting polyI : C into transgenic mice
that express a dominant-negative form of human DISC1 (DN-DISC1). Our findings
suggest that polyI : C-treated DN-DISC1 mice are a well-validated animal model
for schizophrenia that reflects gene-environment interactions.
PMID- 21881215
TI - Therapeutic strategies for the clinical blockade of IL-6/gp130 signaling.
AB - The successful treatment of certain autoimmune conditions with the humanized anti
IL-6 receptor (IL-6R) antibody tocilizumab has emphasized the clinical importance
of cytokines that signal through the beta-receptor subunit glycoprotein 130
(gp130). In this Review, we explore how gp130 signaling controls disease
progression and examine why IL-6 has a special role among these cytokines as an
inflammatory regulator. Attention will be given to the role of the soluble IL-6R,
and we will provide a perspective into the clinical blockade of IL-6 activity in
autoimmunity, inflammation, and cancer.
PMID- 21881219
TI - CD38 gene knockout juvenile mice: a model of oxytocin signal defects in autism.
AB - Oxytocin (OXT) in the hypothalamus is the biological basis of social recognition,
trust, and bonding. We showed that CD38, a leukaemia cell marker, plays an
important role in the hypothalamus in the process of OXT release in adult mice.
Disruption of Cd38 (Cd38(-/-)) produced impairment of maternal behavior and male
social recognition in mice, similar to the behavior observed in Oxt and OXT
receptor (Oxtr) gene knockout (Oxt(-/-) and Oxtr(-/-), respectively) mice.
Locomotor activity induced by separation from the dam was higher and the number
of ultrasonic vocalization (USV) calls was lower in Cd38(-/-) than Cd38(+/+)
pups. These phenotypes seemed to be caused by the high plasma OXT levels during
development from neonates to 3-week-old juvenile mice. ADP-ribosyl cyclase
activity was markedly lower in the knockout mice from birth, suggesting that
weaning for mice is a critical time window of differentiating plasma OXT.
Contribution by breastfeeding was an important exogenous source for regulating
plasma OXT before weaning by the presence of OXT in milk and the dam's mammary
glands. The dissimilarity of Cd38(-/-) infant behaviour to Oxt(-/-) or Oxtr(-/-)
mice can be explained partly by this exogenous source of OXT. These results
suggest that secretion of OXT into the brain in a CD38-dependent manner may play
an important role in the development of social behavior, and mice with OXT
signalling deficiency, including Cd38(-/-), Oxt(-/-) and Oxtr(-/-) mice are good
animal models for developmental disorders, such as autism.
PMID- 21881220
TI - Animal models of attention-deficit/hyperactivity disorder.
AB - Attention-deficit hyperactivity disorder (AD/HD) is a clinically heterogenous
disorder including hyperactivity, impulsivity, and inattention. Both
psychostimulant and non-psychostimulant drugs such as methylphenidate and
atomoxetine, respectively, to modulate catecholeamine neurotransmission are used
as current pharmacotherapies for AD/HD. Multiple lines of evidence suggest that
genetic factors play major roles in the etiology of AD/HD. meta-Analyses and
pooled data analyses have suggested associations between AD/HD and polymorphisms
in genes encoding monoamine neurotransmission molecules. There has been
considerable research on this disorder using genetic, pharmacological, and
neuroimaging approaches, and several animal models of AD/HD such as spontaneously
hypertensive rat (SHR), dopamine transporter (DAT) knockout mice, coloboma mutant
mouse, and Grin1 mutant mouse have been reported. These animal models are
valuable tools for investigating molecular, cellular, and behavioral mechanisms
as well as the neural development and circuit mechanisms of AD/HD. Here, we
review the recent literature on animal models of AD/HD and discuss their
advantages and limitations.
PMID- 21881222
TI - Effects of serine palmitoyltransferase inhibitor ISP-I on the stratum corneum of
intact mouse skin.
AB - Serine palmitoyltransferase (SPT) is involved in the ceramide synthesis pathway.
We investigated the effects of ISP-I, a potent inhibitor of SPT, on the stratum
corneum (SC) of hairless mouse skin. Application of ISP-I for one week resulted
in a significant decrease in the amount of ceramide, which was associated with a
decrease in SC hydration. However, there was an increase in the number of SC
layers and less transepidermal water loss than control. Transmission Electron
Microscopy observation revealed that the number of desmosome-like structures in
the layers immediately above the stratum granulosum (SG) was significantly
increased in ISP-I-treated skin compared to vehicle-treated skin. The activity of
serine protease-an enzyme associated with the process of desquamation-was lower
in the SC of ISP-I-treated skin than control. Furthermore,
immunoelectronmicroscopy revealed that glucosylceramide and corneodesmosin tended
to remain in corneocytes and were not secreted into the intercellular spaces of
the SC in the ISP-I-treated skin. These results indicate that the application of
ISP-I decreases ceramide and skin hydration, while at the same time increases the
number of SC layers. The accumulation of corneocyte layers may originate from an
aberrant desquamation process related to the decrease in the serine protease
activity as well as an alteration in the transport of desquamation-related
proteases by lamellar bodies.
PMID- 21881221
TI - Antinociceptive efficacy of verticinone in murine models of inflammatory pain and
paclitaxel induced neuropathic pain.
AB - Verticinone, an isosteroidal alkaloid separated from Bulbus Fritillaria (Chinese
name "Bei-mu"), was evaluated for its analgesic activities in murine models of
inflammatory and neuropathic pain. It was shown that oral administarion of
verticinone could significantly inhibit acetic acid-induced writhing response in
a dose-dependent way, and the writhing inhibition of 3 mg/kg verticinone was
66.2%, which was approximately higher than that of 200 mg/kg aspirin. In the
formalin test, a high dose of (3 mg/kg) verticinone could inhibit the nociceptive
response of both phases, but the lower dose (1.5 mg/kg) could only inhibit the
second phase response, which suggested that verticinone might exert its analgesic
effect through both central and peripheral mechanisms. In addition, in formalin
and acetic acid tests, the spontaneous locomotive activities of the mice treated
with verticinone were transiently greatly decreased when compared with the
vehicle group. In the rat model of paclitaxel induced neuropathic pain, in
contrast to the declined analgesic effect of morphine after repeated
administration with the same dose, a relatively constant analgesic effect of
verticinone was observed. These investigations suggested that verticinone could
exert a good antinociceptive effect on inflammatory pain and cancer-related
neuropathic pain probably through both peripheral and central mechanisms, and it
might be partly involved with some sedation effects. Verticinone is expected to
become a potentially novel sedative-analgesic agent without producing tolerance
and dependence, but further studies are still urgently needed to elucidate the
precise mechanisms and activities of it.
PMID- 21881223
TI - The effects of 2-aminoethoxydiphenyl borate and diphenylboronic anhydride on gap
junctions composed of Connexin43 in TM4 sertoli cells.
AB - 2-Aminoethoxydiphenyl borate (2-APB) has recently been demonstrated to inhibit
gap junction (GJ) channels, whereas the underlying mechanisms are still unknown.
Using mouse TM4 Sertoli cell which expresses connexin43 (Cx43), we explored the
effects of 2-APB and its analogues on dye-coupling through junctional channels
formed by Cx43 and on expression of Cx43. Exposure of the cells to 2-APB (1-50
uM) and one of its analogues diphenylboronic anhydride (DPBA) (1-30 uM) for 4 h
leads to a significant decrease in dye coupling of GJ in a concentration
dependent manner. The inhibitory effects of 2-APB and DPBA are reversible since
decreased GJ coupling resumes after the two compounds are washed out. The
disfunction of GJ induced by 2-APB and DPBA is associated with a decrease in
total amount of Cx43 protein and number of GJs on the cell membrane. 2-APB and
DPBA do not alter Cx43 phosphorylation state and the level of Cx43 mRNA
expression. The loss of Cx43 protein is prevented by either lysosomal or
proteasomal inhibitor, suggesting that the decrease in Cx43 results from a 2-APB
or DPBA-enhanced degradation of Cx43. The present results indicate that 2-APB and
DPBA inhibit GJ communication through decreasing Cx43 expression in TM4 cells.
PMID- 21881224
TI - Angiotensin-converting enzyme and Angiotensin-converting enzyme 2 are involved in
sinoaortic denervation-induced cardiovascular hypertrophy in rats.
AB - The balance of angiotensin-converting enzyme (ACE) and angiotensin-converting
enzyme 2 (ACE2) in high blood pressure variability (BPV) induced cardiovascular
hypertrophy remains elusive. The aim of the present work was to investigate
expression and activity of ACE and ACE2 in the heart and aorta of sinoaortic
denervation (SAD) rats with high BPV and normal BP, and explore the potential
role of ACE and ACE2 in high BPV-induced cardiovascular damage. Hemodynamics,
cardiovascular hypertrophy, angiotensin II (Ang II) concentrations, ACE and ACE2
activity were determined. Cardiac-tissue ACE and ACE2 expression were assayed by
real-time polymerase chain reaction and Western blot. Compared with sham-operated
rats, systolic BPV and diastolic BPV increased and baroreflex sensitivity
decreased significantly in SAD rats. SAD rats presented with obvious
cardiovascular hypertrophy characterized by increased ratio of left ventricle
weight to body weight and aortic weight to the length of aorta. There was no
difference in plasma Ang II concentration between sham-operated and SAD rats. The
cardiac and aortic ACE expression, aortic ACE2 expression and ACE activity were
elevated in SAD rats. There was no significant difference in cardiac ACE2
expressions between sham-operated and SAD rats. The present work demonstrated
that cardiac and aortic ACE expression, aortic ACE2 expression and ACE activity
were increased in SAD rats. It is the tissue rather than the circulating renin
angiotensin system that contributes to high BPV-induced cardiovascular
hypertrophy.
PMID- 21881225
TI - Study of the relationship between genetics and geography in determining the
quality of Astragali Radix.
AB - Astragali Radix (AR), prepared from the roots of Astragalus membranaceus (FISCH.
ex LINK) BUNGE or its variey, A. membranaceus (FISCH. ex LINK) BUNGE var.
mongholicus (BUNGE) HSIAO., is one of the most used and valuable traditional
Chinese medicines (TCMs). Historically, Hunyuan, Shanxi Province in China is the
geo-authentic producing area of AR and crude AR from here called "geo-authentic."
According to tradition, geo-authentic TCMs define both authenticity and quality.
However, no scientific investigation has ever determined whether the superior
quality of Hunyuan AR is due to the genetic characteristics or to the local
environment. In our study, seeds of 30 AR samples representing the two varieties
from different regions were cultivated in Hunyuan under the same conditions. A
method, using ultra-performance liquid chromatography coupled with photodiode
array detector and evaporative light scattering detectors, was developed to
evaluate the quality through a simultaneous determination of four major
isoflavonoids and four major saponins. The two AR varieties were successfully
distinguished by principal component analysis while samples of the same species
with different seeds origins could not be distinguished. A genetic study
demonstrated that the internal transcribed spacer sequences of the nuclear
ribosomal DNA in A. membranaceus var. mongholicus samples from different
geographical regions were highly conservative. These results indicate that the
content of active components in AR depends on the interaction of genotype and
environment. At the varietal level, genetic properties appear to be more
important for pharmaceutical quality than environmental factors, while on the
intraspecific level environmental factors might be more important than genetic
properties.
PMID- 21881226
TI - Executive functions of postweaning protein malnutrition in mice.
AB - It is well known that nutritional status during the fetal and/or lactation period
is important for the development of the central nervous system (CNS). In
contrast, the effect of malnutrition on postweaning development has not yet been
thoroughly investigated. In the present study, we analyzed the behavioral and
neuroanatomical effects of protein malnutrition (PM) postweaning in mice.
Starting at 20-21 d of age, male ddY mice were maintained on a 5% casein diet (PM
group) or 20% casein diet (control group) for 20 d. On the 20th d, body and brain
weights of PM mice were lower than those of the control group. PM mice exhibited
excessive alertness and spontaneous activity under novel conditions in the Irwin
test. In addition, PM mice showed increased open arm exploration in the elevated
plus maze compared to control mice. These results suggest that hyperactivity and
reduced anxiety behavior or higher impulsiveness in PM mice could be due to an
immature brain.
PMID- 21881227
TI - Effect of 5-fluorouracil treatment on SN-38 absorption from intestine in rats.
AB - 5-Fluorouracil (5-FU)-based chemotherapies with irinotecan have been applied for
the treatment of cancers, and a common dose-limiting toxicity is neutropenia and
diarrhea. In this study, we investigated the effect of 5-FU treatment on
expression levels of drug transporters for SN-38 transportation and SN-38
absorption from the intestine following 5-FU treatment. Expression levels of
several drug transporters and nuclear receptors in rats after 5-FU treatment were
evaluated. SN-38 absorption from the intestine was evaluated by SN-38
concentration levels in serum following SN-38 injection into the intestine of 5
FU treated rats. The levels of renal multidrug resistance protein 2 (Mrp2) on day
4 after treatment (400 mg/kg) showed significant upregulation, 359.2 +/- 33.2%
(mean +/- S.E.) of control. Mrp2 levels in the intestine were downregulated to
26.2 +/- 8.4% of control. 5-FU treatment (400 mg/kg) also significantly
downregurated expression levels of P-glycoprotein (P-gp) and breast cancer
resistance protein (Bcrp) to 41.2 +/- 14.7%, 15.7 +/- 4.3% of control,
respectively. To evaluate SN-38 absorption from the intestine, SN-38 was loaded
in to the intestine on day 4 after 5-FU treatment. Pretreatment with 5-FU
significantly increased SN-38 concentration in the blood 30, 60 and 90 min after
SN-38 administration. The area under the curve for SN-38 in the 5-FU group was
significantly higher than in vehicle groups. 5-FU treatment decreased expression
levels of P-glycoprotein and Bcrp in intestine. The present study suggests that
combination chemotherapy of 5-FU with irinotecan (CPT-11) may elevate SN-38
absorption from intestine.
PMID- 21881228
TI - Fibrinolytic activation promoted by the cyclopentapeptide malformin: involvement
of cytoskeletal reorganization.
AB - Malformin A1, a cyclopentapeptide of fungal origin, enhances cellular
fibrinolytic activity depending on the existence of a cofactor in blood plasma.
However, the nature of this cofactor remains unknown. Here, we report that
vitronectin acts as a plasma cofactor of malformin A1. We purified the cofactor
from bovine plasma by activity-based fractionation, and confirmed that
vitronectin in conjunction with plasminogen supports the activity of malformin A1
to promote the fibrinolytic activity of U937 cells. Malformin A1 action was
abolished by Arg-Gly-Asp peptide (a competitor of vitronectin-integrin binding),
wortmannin (an inhibitor of signaling kinases), and cytochalasin B (an inhibitor
of actin polymerization). Changes in actin organization and a decrease in
filopodia were observed in cells treated with malformin A1 and plasma. A focal
localization of plasminogen on the cell surface was augmented by malformin A1,
whereas the amount of cell-surface-bound plasminogen was minimally altered by the
treatment. Our results suggest the involvement of cytoskeletal reorganization via
vitronectin signaling in the cellular fibrinolytic activity-enhancing action of
malformin A1.
PMID- 21881229
TI - Emodin inhibits proinflammatory responses and inactivates histone deacetylase 1
in hypoxic rheumatoid synoviocytes.
AB - Chronic inflammation of rheumatoid arthritis (RA) is promoted by proinflammatory
cytokines and closely linked to angiogenesis. In the present study, we
investigated the anti-inflammatory effects of emodin (1,3,8-trihydroxy-6-methyl
anthraquinone) isolated from the root of Rheum palmatum L. in interleukin 1 beta
(IL-1beta) and lipopolysaccharide (LPS)-stimulated RA synoviocytes under hypoxia.
Emodin significantly inhibited IL-1beta and LPS-stimulated proliferation of RA
synoviocytes in a dose-dependent manner under hypoxic condition. Also, enzyme
linked immunosorbent assay (ELISA) revealed that emodin significantly reduced the
production of pro-inflammatory cytokines [tumor necrosis factor-alpha (TNF
alpha), IL-6 and IL-8], mediators [prostagladin E(2) (PGE(2)), matrix
metalloproteinase (MMP)-1 and MMP-13] and vascular endothelial growth factor
(VEGF) as an angiogenesis biomarker in IL-1beta and LPS-treated synoviocytes
under hypoxia. Consistently, emodin attenuated the expression of cyclooxygenase 2
(COX-2), VEGF, hypoxia inducible factor 1 alpha (HIF-1alpha), MMP-1 and MMP-13 at
mRNA level in IL-1beta and LPS-treated synoviocytes under hypoxia. Furthermore,
emodin reduced histone deacetylase (HDAC) activity as well as suppressed the
expression of HDAC1, but not HDAC2 in IL-1beta and LPS-treated synoviocytes under
hypoxia. Overall, these findings suggest that emodin inhibits proinflammatory
cytokines and VEGF productions, and HDAC1 activity in hypoxic RA synoviocytes.
PMID- 21881230
TI - The influence of glycyrrhiza and antibiotics on the purgative action of sennoside
a from Daiokanzoto in mice.
AB - Daiokanzoto (DKT), a Kampo medicine that includes the combination of two crude
drugs (rhubarb and glycyrrhiza), is clinically effective for constipation. The
aim of this study is to clarify the influence of glycyrrhiza, three glycyrrhiza
constituents (glycyrrhizin, liquiritin, and liquiritin apioside), and eight
antibiotics on the purgative action of DKT, rhubarb, or sennoside A, a
constituent of rhubarb, in mice. The purgative actions of rhubarb and sennoside A
were significantly intensified when glycyrrhiza was co-administered orally to
mice. Liquiritin and liquiritin apioside but not glycyrrhizin showed significant
amplification of the purgative action in a dose-dependent manner. The purgative
actions of DKT and sennoside A were significantly reduced by the pre
administration of ampicillin, cefcapene pivoxil, faropenem, fosfomycin, or
kanamycin, but were not affected by the pre-administration of clarithromycin or
levofloxacin. On the other hand, the purgative action of sennoside A was
significantly reduced by the pre-administration of minocycline, whereas that of
DKT was not affected. The effect of minocycline on the purgative action of
sennoside A was lost when glycyrrhiza was co-administered. These results suggest
that liquiritin and liquiritin apioside contribute as active substances for the
purgative action of DKT, and some antibiotics reduce the purgative action of DKT
and sennoside A. Furthermore, glycyrrhiza has the ability to recover the
purgative action of sennoside A suppressed by minocycline via an unknown
mechanism.
PMID- 21881231
TI - Extracellular-superoxide dismutase expression in COS7 cells exposed to cadmium
chloride.
AB - Cadmium (Cd), an industrial and environmental pollutant, preferentially
accumulates in the kidney, a major target for Cd-related toxicity. It has been
reported that Cd exposure produces reactive oxygen species (ROS) and induces
cytotoxicity. Extracellular-superoxide dismutase (EC-SOD) is an antioxidant
enzyme that protects the cells from damaging effects of ROS; however, the effect
of Cd on the expression of EC-SOD in COS7 cells remains unclear. In this study,
exposure to cadmium chloride (CdCl2) enhanced intracellular ROS generation and
induced COS7 cell death. Moreover, exposure to Cd decreased the expression of EC
SOD at mRNA and protein levels, but not of other SOD isozymes, copper-and zinc
containing SOD and manganese-containing SOD. The reduction of EC-SOD and cell
viability was partially attenuated by pretreatment with an antioxidant, N
acetylcysteine. Further, we determined the involvement of p38-mitogen-activated
protein kinase (p38-MAPK) in the reduction of EC-SOD. From these observations,
p38-MAPK signaling cascades activated by ROS play a pivotal role in the reduction
of EC-SOD, and it is concluded that the reduction of EC-SOD leads to a decrease
in the resistance to oxidative stress of Cd-exposed COS7 cells.
PMID- 21881232
TI - Protective effect of soy isoflavone genistein on ischemia-reperfusion in the rat
small intestine.
AB - Ischemia-reperfusion (I/R) injury of the intestine is an important factor
associated with high rates of morbidity and mortality. Intestinal I/R is a common
clinical problem in the settings of severe burns, circulatory shock and
strangulation ileus. Intestinal I/R damages remote organs and promotes multi
organ failure. It has been shown that enteral feeding before ischemic insults is
beneficial for reducing organ injury and improving survival after intestinal I/R.
In that study, the authors used a standard complex enteral diet and they
suggested that it is important to find new nutrient formulas. Since reactive
oxygen species are responsible for intestinal I/R injury, we focused on a dietary
polyphenol, the soy isoflavone genistein. Genistein has a wide spectrum of
biochemical and pharmacological activities. However, the possibility of a
protective effect of genistein as enteral nutrition on I/R injury has not been
investigated. We therefore investigated the protective effect of genistein on
oxidative injury using intestinal I/R model rats. We found that genistein, which
has combined antioxidant activity from radical scavenging, xanthine oxidase
inhibition and chain-breaking effects, exhibits a protective effect on intestinal
I/R injury. The results suggest that genistein, a soy isoflavone, has the
possibility as a new nutrient formula of enteral feeding.
PMID- 21881233
TI - beta-Citryl-L-glutamate acts as an iron carrier to activate aconitase activity.
AB - The compound beta-citryl-L-glutamate (beta-CG) was initially isolated from
developing brains, though its functional roles remain unclear. In in vitro
experiments, the [Fe(II)(beta-CG)] complex activated aconitase in the presence of
reducing reagents, whereas no Fe complex with citrate, glutamate, or deferoxamine
displayed such an effect. beta-CG and [Fe(II)(beta-CG)] both bound to the fourth
labile Fe atom (Fe(a)) in the [4Fe-4S] cluster of aconitase. Furthermore,
[Fe(II)(beta-CG)] reactivated aconitase damaged by ammonium peroxodisulfate
(APS), while beta-CG and citrate had no effect. These findings suggest that
[Fe(II)(beta-CG)] can transfer Fe to aconitase disassembled by APS. In intact
mitochondria, both beta-CG and [Fe(II)(beta-CG)] bound to Fe(a) of aconitase,
whereas only [Fe(II)(beta-CG)] reactivated the enzyme disassembled by APS. In
cultured neuronal cells, beta-CG significantly enhanced cell viability by
accelerating mitochondrial activity in primary cultures of neurons from newborn
mouse cerebrum tissues. Thus, the beta-CG plays a role as an Fe-carrier for
mitochondrial aconitase, and then activates it. Taken together, these findings
suggest that beta-CG is an endogenous low molecular weight Fe chaperone for
aconitase.
PMID- 21881234
TI - Correction of frameshift mutations with tailed duplex DNAs.
AB - Tailed duplex (TD) DNAs, prepared by annealing an oligonucleotide to a several
hundred-base single-stranded (ss) DNA fragment, correct a base-substitution
mutation with high efficiency. In the present study, the abilities of TD
fragments to correct single-base insertion and deletion mutations were examined,
using hygromycin-resistance and enhanced green fluorescent protein fusion (Hyg
EGFP) genes inactivated by +G and -C frameshift mutations. The 5'-TD and 3'-TD
DNA fragments were co-transfected with plasmid DNA containing the inactivated Hyg
EGFP gene into CHO-K1 cells, and the gene correction efficiencies were determined
by introducing the plasmid DNA recovered from the transfected cells into
Escherichia coli cells. In contrast to their efficiencies for the substitution
mutation, the gene correction abilities of the TD fragments were relatively low.
The correction efficiencies by the TD fragments were apparently higher than that
by a ss DNA fragment, one of the DNA fragments employed for gene correction.
These results suggest that the TD fragments have the potential to correct
frameshift mutations, although further improvement is required.
PMID- 21881235
TI - Characterization of enterococcus strains contained in probiotic products.
AB - Probiotics are additives containing live microbes that beneficially affect a host
by improving the properties of the host intestinal microflora. Recently, advances
in medical treatments have led to increased numbers of immunocompromised
patients; some patients contract opportunistic infections of Enterococcus
species, which are considered non-pathogenic bacteria. To evaluate the safety of
probiotics containing Enterococcus strains, we isolated Enterococcus from six
probiotic products and compared the pathogenic genes and antimicrobial
susceptibility of the probiotic strains to those of clinical isolates. Our study
showed that all Enterococcus strains contained in probiotic products were E.
faecium, and no vancomycin-resistant strains were found. In addition, no
pathogenic genes, such as ace, agg, gelE, cylM, cylB, cylA, cpd, cob, ccf,
efaA(fs), efaA(fm), esp(fs), or esp(fm), were found in the probiotic strains.
Pulsed-field gel electrophoresis (PFGE) analysis showed obvious genetic
differences between the probiotic strains and the clinical isolates. The data
suggested that the probiotic Enterococcus strains were not transmitted to
hospitalized patients. Therefore, our results strongly suggest that probiotic
products are unlikely agents for causing opportunistic infections.
PMID- 21881236
TI - Novel effects of extracts from poisonous mushrooms on expression and function of
the human ether-a-go-go-related gene channel.
AB - The human ether-a-go-go-related gene (hERG) encodes the alpha subunit of the
potassium current I(Kr), which plays a pivotal role in cardiac action potential
repolarization. Inherited mutations of this gene cause Long QT syndrome type 2.
hERG expression is altered by several types of drugs as well as by temperature.
Heat shock protein 70 (Hsp70) and Heat shock cognate protein 70 (Hsc70) have
reciprocal effects on hERG proteins. We examined the effects of poisonous
mushrooms on hERG protein expression and its channel function. METHODS: We
evaluated the effects of several types of poisonous mushrooms on the expression
and function of wild-type hERG by Western blotting, reverse transcription
polymerase chain reaction (PCR), and patch clamping in transfected HEK293 cells
and mouse HL-1 cardiomyocytes. RESULTS: Extracts of Gymnopilus junonius
(junonius) increased expression of both hERG and Hsp70 in HEK293 cells with
concomitant decrease in Hsc70, whereas extracts of Amanita ibotengutake
(ibotengutake) decreased hERG proteins with increase in Hsc70. Knockdown of Hsp70
and Hsc70 by small interfering RNA abolished the effects of the two mushrooms on
hERG, respectively. Certain fractions of junonius increased expression of hERG
proteins. hERG currents were increased by extracts of junonius, resulting in
shortening of action potential duration (APD). In contrast, hERG currents were
decreased and APD was prolonged by extracts of ibotengutake. CONCLUSION: junonius
enhanced the expression and function of hERG by increasing Hsp70 and decreasing
Hsc70. Ibotengutake decreased hERG expression via increase in Hsc70. Constituents
of junonius may have the potential for use in treatment of arrhythmia.
PMID- 21881237
TI - Luteolin shows an antidepressant-like effect via suppressing endoplasmic
reticulum stress.
AB - Depression is a significant public health problem and some reports indicate an
association between depression and endoplasmic reticulum stress. Luteolin is a
flavonoid contained in many plants and with a variety of known pharmacological
properties such as anti-inflammatory, anti-anxiety, and memory-improving effects,
suggesting that luteolin penetrates into the brain. In the present study, we
investigated the effects of luteolin on endoplasmic reticulum stress-induced
neuronal cell death. Luteolin significantly suppressed tunicamycin-induced cell
death at 1 to 10 uM in human neuroblastoma cells. Luteolin increased in the
expression of the 78 kDa glucose-regulated protein and 94 kDa glucose-regulated
protein and decreased in the cleavage activation of caspase-3. Additionally, to
investigate whether chronic luteolin treatment has an antidepression effect, we
performed some behavioral tests. Chronic luteolin treatment showed antidepressant
like effects in behavioral tests and, luteolin attenuated the expression of
endoplasmic reticulum stress-related proteins in the hippocampus of
corticosterone-treated depression model mice. These findings indicate that
luteolin has antidepressant-like effects, partly due to the suppression of
endoplasmic reticulum stress.
PMID- 21881238
TI - Pharmacodynamic characterization of nitric oxide-mediated vasodilatory activity
in isolated perfused rat mesenteric artery bed.
AB - Vasodilation profiles following a short-term infusion of nitric oxide (NO),
acetylcholine (ACh), and sodium nitroprusside (SNP) into an isolated perfused
mesenteric artery bed were analyzed in rats to examine their vasodilatory
efficacy under physiological conditions. These compounds commonly increase the
intracellular NO concentration to exert vasodilatory activity. In an experiment
with exogenous NO infusion where 100 ul of 1 : 300 diluted NO-saturated solution
(approx. 53 pmol of NO) was applied, the infusion caused transient vasodilation
in a dose-dependent manner, with the peak vasodilation value being 74.7% of the
maximum relaxation value. In experiments with ACh, the peak vasodilation value
was 81.5% of the maximum at a dose of 60 pmol. The vasodilation profile of ACh
was similar to that of NO infusion, but the ACh-induced vasodilation reduced at a
slower rate than that induced by NO infusion. The vasodilatory activity of SNP
was less potent than that of ACh, and its peak value was 62.8% of the maximum at
a dose of 2000 pmol. However, SNP activity was augmented by removing the vascular
endothelia of the mesenteric artery bed, and the peak value reached 67.3% of the
maximum at a dose of 60 pmol. Pharmacodynamic analysis indicated that NO and ACh
are equivalent regarding their vasodilatory efficacy, while the efficacy of SNP
was less than 1% of theirs, as the arterial vascular endothelium impeded
intracellular SNP-related NO generation, by which 95% of SNP's vasodilatory
efficacy was negated. These findings will be helpful to understand factors
influencing the therapeutic efficacy of vasodilators.
PMID- 21881240
TI - Endothelial nitric oxide-dependent vasorelaxant effect of isotirumalin, a
dihydroflavonol from Derris urucu, on the rat aorta.
AB - The present work aimed to investigate the vasorelaxant effect of isotirumalin, a
dihydroflavonol isolated from Derris urucu (Leguminosae). The vasorelaxant effect
of isotirumalin was investigated in the rat aorta, in the presence and in the
absence of a functional endothelium. The production of nitric oxide (NO) induced
by isotirumalin was measured simultaneously with its vasorelaxation using carbon
microsensors. In endothelium-intact aortic rings, isotirumalin induced a
concentration-dependent vasodilator effect the concentration required to produce
30% of relaxation (pIC30=4.84+/-0.24) that was abolished in endothelium-denuded
aortic rings or in the presence of Nomega-nitro-L-arginine-methyl-ester (L-NAME;
300 uM). In addition, isotirumalin (100 uM) induced a simultaneous and
significant increase on NO production, which was blunted in the presence of L
NAME. The present results demonstrate that isotirumalin is a vasodilator in the
rat aorta and act by a mechanism dependent on the presence of a functional
endothelium and on NO production.
PMID- 21881239
TI - Diosgenin from Dioscorea nipponica ameliorates diabetic neuropathy by inducing
nerve growth factor.
AB - Diabetic neuropathy is characterized by axonal degeneration, demyelination, and
atrophy in association with failed axonal regeneration, remyelination, and
synaptogenesis. Recent reports suggest that reduced levels of nerve growth factor
(NGF) may play a significant role in the pathogenesis of diabetic polyneuropathy.
In this study, we investigated the regulation of NGF by steroid diosgenin (DG) in
a diabetic neuropathy rodent model. We found that DG, the primary spirostane-type
steroid in several Dioscorea species, increased NGF levels in the sciatic nerve
of diabetic rats. Additionally, DG increased neurite outgrowth in PC12 cells and
enhanced nerve conduction velocities in the diabetic neuropathy mouse model. DG
treated diabetic mice showed reduced disarrangement of the myelin sheath and
increased area of myelinated axons by electron microscope studies and exhibited
improvement in the damaged axons. Our data further suggest that DG increased the
nerve conduction velocity through induction of NGF. Thus, our findings indicate
that DG, a major sapogenin obtained from Dioscorea nipponica, reverses functional
and ultrastructural changes and induces neural regeneration in a diabetic
neuropathy model.
PMID- 21881241
TI - cis-Ampelopsin E, a stilbene isolated from the seeds of Paeonia suffruticosa,
inhibits lipopolysaccharide-stimulated nitric oxide production in RAW 264.7
macrophages via blockade of nuclear factor-kappa B signaling pathway.
AB - Stilbenes are a class of compounds that has been reported to inhibit a variety of
pathological processes during inflammatory reactions. In this study, cis
ampelopsin E, a stilbene isolated from the seeds of Paeonia suffruticosa, was
shown to dose-dependently reduce the nitric oxide (NO) production from
lipopolysaccharide (LPS)-stimulated RAW 264.7 cells. The reduction in the nitric
oxide release occurred in parallel with the comparable inhibition of inducible
nitric oxide synthase (iNOS) enzyme expression, which was achieved by cis
ampelopsin E's suppressive effect on nuclear factor-kappa B (NF-kappaB) signaling
activation. By inhibiting LPS-induced inhibitor kinase (IKKalpha/beta)
phosphorylation, cis-ampelopsin E significantly decreased LPS-induced
IkappaBalpha phosphorylation, prevented IkappaBalpha degradation, and
subsequently reduced the translocating of transcription factor p65 into the
nucleus. As a result, the LPS-induced upregulation of NF-kappaB transcriptional
activity was efficiently inhibited. Moreover, it is revealed that cis-ampelopsin
E inhibited LPS-induced cyclooxygenase-2 (Cox-2) expression, cPLA2 activation and
prostaglandin E2 (PGE2) production. These results, taken together, suggested that
cis-ampelopsin E might exert potential anti-inflammatory effects via blockage of
the NF-kappaB signaling pathway.
PMID- 21881242
TI - The ameliorative effect of 23-hydroxytormentic acid isolated from Rubus coreanus
on cisplatin-induced nephrotoxicity in rats.
AB - Previously, the authors demonstrated that the triterpenoid glycoside niga
ichigoside F1 (NIF1) and its aglycone 23-hydroxytormentic acid (23-HTA) isolated
from the unripe fruits of Rubus coreanus (Rosaceae) ameliorate cisplatin-induced
toxicity in renal epithelial LLC-PK1 cells. In the present study, the
nephroprotective effects of NIF1 and 23-HTA were investigated in Sprague-Dawley
rats with acute renal injury induced by a single intraperitoneal (i.p.) injection
of cisplatin (7 mg/kg). Pretreatment with 23-HTA (10 mg/kg/d, per os (p.o.))
significantly reduced cisplatin-induced elevations in blood urea nitrogen (BUN)
and serum creatinine level, whereas NIF1 (10 mg/kg, p.o.) slightly reduced these
levels. In addition, pretreatment with 23-HTA prevented cisplatin-induced
hydroxyl radical generation, malondialdehyde (MDA) production, glutathione (GSH)
depletion, and cisplatin-induced changes in the activities of oxidant and
antioxidant enzymes in rat renal tissues. In addition, histopathological
examinations showed that 23-HTA pretreatment reduced cisplatin-induced acute
tubular necrosis and histological changes. In contrast, NIF1 was found to have a
slight or no influence on cisplatin-induced oxidative enzymes and acute tubular
necrosis. Taken together, these results suggest that protective effect of 23-HTA
pretreatment on cisplatin-induced renal damage is associated with the attenuation
of oxidative stress and the preservation of antioxidant enzymes.
PMID- 21881243
TI - Rubbing gastric serosal surface enhances naked plasmid DNA transfer in rats and
mice.
AB - We have developed in vivo gene transfer to mesothelial cells on the peritoneal
organs, including the stomach. Simple instillation of naked plasmid DNA onto the
gastric serosal surface in mice resulted in effective but transient transgene
expression. Here, we developed a simple method to improve not only the
transfection efficiency but also the duration of transgene expression. Rubbing
the gastric serosal surface using a medical spoon immediately after instillation
of naked plasmid DNA onto the gastric serosal surface resulted in 59-fold higher
transgene expression 24 h after administration in rats. Without rubbing,
transgene expression decreased under the detection limit 7 d after
administration. On the other hand, rubbing the gastric serosal surface with a
medical spoon after instillation of plasmid DNA prolonged transgene expression
for one month. Mechanistic study in mice revealed that improved transfection
should not be due to stimulation of cell function such as macropinocytosis by
rubbing because rubbing before instillation of plasmid DNA did not improve
transfection. Plasmid DNA should enter effectively into cells during rubbing.
These findings are valuable to develop an effective method of in vivo gene
transfer into peritoneal organs.
PMID- 21881244
TI - Change in blood kinin and plasma porcine pancreatic kallikrein concentrations
after oral administration of kallikrein formulation in dog.
AB - Oral formulation of tissue kallikrein consists primarily of porcine pancreatic
kallikrein (PPK) and is used to improve peripheral circulation, menopausal
symptoms, and impaired chorioretinal circulation. Although gastrointestinal
absorption of tissue kallikrein after oral administration has been reported in
nonclinical and clinical studies, the increase in the concentration of
pharmacologically active kinins, which are produced from kininogens by tissue
kallikrein, has not been investigated. In this study, kallikrein formulation was
orally administered to dogs and an increase in PPK in plasma was confirmed, along
with an increase in the blood kinin level. After oral administration of
kallikrein formulation (10 U/kg or 20 U/kg PPK) to dogs, PPK concentration in
plasma reached maximum 3 h after administration, and then decreased time
dependently. The maximum concentration was 6.01 +/- 1.44 pg/ml in the 10 U/kg
group and 10.88 +/- 3.59 pg/ml in the 20 U/kg group (mean +/- S.E.M, n = 5).
After oral administration of kallikrein formulation (40 U/kg PPK) to dogs, the
blood kinin concentration in the PPK-treated group was significantly increased 2
h after administration as compared to the purified water-treated group (before
administration: 48.8 +/- 2.1 ng/ml vs. 48.1 +/- 1.9 ng/ml, 2 h after
administration: 55.5 +/- 1.6 ng/ml vs. 49.6 +/- 1.4 ng/ml; mean +/- S.E.M, n = 4,
p < 0.05). In conclusion, PPK was considered to be absorbed after oral
administration and to exert its pharmacological action via kinins produced by
kininogen degradation in dogs.
PMID- 21881245
TI - Recent advances in adult congenital heart disease.
AB - As a result of major achievements in pediatric cardiac care, a growing number of
patients with congenital heart disease (CHD) are flourishing well into adulthood.
This heterogeneous and aging population of patients, many of whom represent the
first generation of middle-age survivors, faces unique issues and challenges. As
a field, adult CHD has evolved markedly during the past decade on several fronts,
including imaging, arrhythmia management, percutaneous interventions, surgical
techniques, research, and multidisciplinary care that extends beyond the cardiac
realm. This review highlights recent advances across the wide spectrum of key
issues encountered by adults with CHD.
PMID- 21881246
TI - Right atrial late gadolinium enhancement on cardiac magnetic resonance imaging in
pulmonary hypertension.
PMID- 21881247
TI - Prevalence and correlates of physiological valvular regurgitation in healthy
subjects.
AB - BACKGROUND: Although echo Doppler machines have consistently advanced within a
quarter of a century, age related prevalence of valvular regurgitation detected
by currently available echo machines remains uncertain. The aim of this study was
to investigate the prevalence and correlates of valvular regurgitation in healthy
individuals. METHODS AND RESULTS: A total of 1,333 apparently healthy individuals
were enrolled in this study. Echocardiographic examinations were performed using
a currently available echo machine. Aortic regurgitation (AR) was detected less
frequently (<10%) in younger subjects. Prevalence of aortic regurgitation
increased with advancing age and reached 46% in their 9th decade. Mitral
regurgitation (MR) was detected in two-thirds of the subjects >30 years old.
Tricuspid regurgitation (TR) was frequently (>80%) detected in all age groups. In
general, prevalence of valvular regurgitation was higher than those reported
previously, except for a relatively lower prevalence of AR in the elderly
population. Age was an independent correlate of AR and MR, but not of TR. The
presence of AR and MR were independent correlates of TR. CONCLUSIONS: In healthy
subjects, AR, MR or TR are commonly detected by using a current echo machine.
These "physiological" valvular regurgitations should not be considered as a
"pathological" valvular heart disease.
PMID- 21881248
TI - A study of anti-inflammatory and analgesic activity of new 2,4,6-trisubstituted
pyrimidines.
AB - Chalcone derivatives (3a-m) were prepared by condensing 4-aminoacetophenone with
various substituted aromatic and hetero aromatic aldehydes according to Claisen
Schmidt condensation. These chalcones, on reaction with guanidine hydrochloride
under basic alcoholic conditions gave 2,4,6-trisubstituted pyrimidines (5a-m) in
quantitative yields. All the newly synthesized pyrimidines were characterized by
means of IR, 1H- and 13C-NMR, Electron Ionization (EI)-mass and elemental
analyses and screened for anti-inflammatory and analgesic activities by in vivo.
2-amino-4-(4-aminophenyl)-6-(2,4-dichlorophenyl)pyrimidine (5b) and 2-amino-4-(4
aminophenyl)-6-(3-bromophenyl) pyrimidine (5d) were found to be the most potent
anti-inflammatory and analgesic activity compared with ibuprofen, reference
standard. And also it was found that compound 5b identified as lead structure
among all in both the activities. Pyrimidines which showed good anti-inflammatory
activity also displayed better analgesic activity.
PMID- 21881249
TI - Rapid and sensitive determination of udenafil in plasma by LC-MS/MS for
intranasal pharmacokinetic study in rats.
AB - A rapid and sensitive analytical method for udenafil in rat plasma was developed
and validated using liquid chromatography-tandem mass spectrometry (LC-MS/MS).
This chromatographic procedure was then applied to the in vivo pharmacokinetic
studies in rats for determining the advantages of intranasal administration of
the drug over oral administration. Using liquid-liquid extraction (LLE), udenafil
and the internal standard (IS) sildenafil were extracted with dichloromethane
from 100 MUl of plasma samples. Chromatographic separation was performed using
Pursuit XRS C18 column (50 mm * 2.1 mm, i.d., 3 MUm, Varian Inc., CA, U.S.A.)
with an isocratic mobile phase consisting of acetonitrile and 10 mM ammonium
acetate (90 : 10, v/v) at a flow rate of 0.2 ml/min over a total run time of 2.5
min. Detection and quantification was performed by mass spectrometry using the
multiple reaction-monitoring mode at m/z 517.4->283.1 for udenafil and m/z 475.3
>100.0 for IS. Results showed that the developed method was sensitive and
specific for udenafil. Linearity was obtained in the range of 0.5-1000 ng/ml. The
coefficient of variation of both intra- and inter-day validation were below 11.6%
and the intra- and inter-day accuracy ranged from 91.5 to 109.9%. Udenafil
concentration was successfully measured from plasma after intranasal as well as
after intravenous or oral administration at clinical dose (1.67 mg/kg) in rats.
Moreover, the T(max) values obtained from pharmacokinetic studies suggested that
administration of udenafil intranasally could be more effective than by the oral
route.
PMID- 21881250
TI - New green synthesis and formulations of acyclovir prodrugs.
AB - Different green synthesis of alkyl esters of acyclovir (acyclovir prodrugs) is
described. Hexanoic, decanoic, dodecanoic and tetradecanoic acyclovir esters were
synthesized reacting acyclovir and the respective acid anhydride in dimethyl
sulfoxide (DMSO), in solvents from renewable sources and without solvent (T=30
degrees C). Yields in prodrugs after 10 min of reaction were >95% using DMSO as
solvent. The purification methodology was very simple, shorter and greener than
previously described. The biosolvent, N,N-dimethylamide of decanoic acid, let us
to obtain >95% yield at 24 h. This oily biosolvent is not dermotoxic and the
reaction crude can directly be used in topic formulations. Syntheses without
solvent proceeded successfully for acyclovir esters. Indeed, dodecanoate and
tetradecanoate yielding >98% conversion of reactants in 30 min. In spite of
requiring mild temperature (65 degrees C), substrate molar ratios were lowered
to 1 : 1, thus conducing to a more efficient use of raw materials. The synthetic
procedures were scaled up to a 300 g batch (yield 98-99% isolated ester). These
esters can be used as acyclovir prodrugs in topic formulations. The esters
release from an oil/water micro-emulsion and a hydrogel formulation were tested
with good results.
PMID- 21881251
TI - High-molecular-weight polyethyleneimine conjuncted pluronic for gene transfer
agents.
AB - In order to enhance the gene delivery efficiency and decrease cytotoxicity of
polyplexes, copolymers consisting of branched polyethyleneimine (PEI) 25 kDa
grafted with Pluronic (F127, F68, P105) were successfully synthesized using a
simple two-step procedure. The copolymers were tested for cytotoxicity and DNA
condensation and complexation properties. Their polyplexes with plasmid DNA were
characterized in terms of DNA size and surface charge and transfection
efficiency. The complex sizes were below 300 nm, which implicated their potential
for intracellular delivery. The Pluronic-g-PEI exhibited better condensation and
complexation properties than PEI 25 kDa. The cytotoxicity of PEI was strongly
reduced after copolymerization. The Pluronic-g-PEI showed lower cytotoxicity in
three different cell lines (Hela, MCF-7, and HepG2) than PEI 25 kDa. pGL3-lus was
used as a reporter gene, and the transfection efficiency was in vitro measured in
HeLa cells. Compared with unmodified PEI 25 kDa Pluronic-g-PEI showed much higher
transfection efficiency. These results demonstrate that polyplexes prepared using
a combined strategy of surface crosslinking and grafted with Pluronic seem to
provide promising properties as stable, high transfection efficiency vectors.
PMID- 21881252
TI - Anti-hepatitis B virus and cytotoxic diterpenoids from Isodon lophanthoides var.
gerardianus.
AB - Four new diterpenoides, isolophanthins A-D (1-4) together with seven known
abietane diterpenoides (5-11), have been isolated from Isodon lophanthoides var.
gerardianus. The new diterpenoides were elucidated by spectroscopic analysis.
Some of them showed significant activities against HBsAg and HBeAg of hepatitis B
virus in Hep G 2.2.15 cells, as well as the human tumor cell lines, HL-60, A-549,
MOLT-4, and BEL-7402.
PMID- 21881253
TI - High-performance liquid chromatographic determination and metabolic study of
sennoside a in daiokanzoto by mouse intestinal bacteria.
AB - Daiokanzoto (DKT, combination of rhubarb and glycyrrhiza), a Kampo medicine, is
clinically effective for constipation. Sennoside A is well known to induce
diarrhea. Sennoside A is a prodrug that is transformed into an active metabolite,
rheinanthrone, by intestinal bacteria. In this study, we investigated the effects
of glycyrrhiza on the activity of sennoside A metabolism in intestinal bacteria
using mouse feces. A high-performance liquid chromatography (HPLC) method for the
determination of sennoside A in incubation mixture of DKT with mouse feces was
established. The retention time of sennoside A was 9.26+/-0.02 min with a TSKgel
ODS-80TsQA column by linear gradient elution using a mobile phase containing
aqueous phosphoric acid and acetonitrile and detection at 265 nm. We found that
the activity of sennoside A metabolism in intestinal bacteria was significantly
accelerated when glycyrrhiza, liquiritin or liquiritin apioside coexisted with
sennoside A, whereas that of glycyrrhizin was not altered. This method is
applicable for determination of the activity of sennoside A metabolism by
anaerobic incubation of DKT with mouse feces.
PMID- 21881254
TI - A risk assessment of human ether-a-go-go-related gene potassium channel
inhibition by using lipophilicity and basicity for drug discovery.
AB - The blockade of human ether-a-go-go-related gene (hERG) potassium channels is
widely regarded as the predominant cause of drug-induced QT prolongation. The
correlation analysis between the inhibition of the hERG channel (hERG inhibition)
and physicochemical properties was investigated by use of in-house quinolone
antibiotics as model compounds. In order to establish a simple prediction model
of hERG inhibition, we focused on the comprehensible physicochemical parameters
such as lipophilicity (log P) and basicity (pK(a)). At first, the risk associated
with increasing log P and pK(a) was examined by statistical analysis. It was
demonstrated that the risk associated with increasing log P and pK(a) by one
unit, respectively, almost identically increased. Consequently, equal attention
should be paid to both parameters on hERG inhibition. Next, a prediction model of
hERG inhibition which was represented by log P and pK(a) was investigated. As a
result, we built the stepwise discriminant prediction model which took advantage
of the risk judgment by zone classification. In conclusion, the impact of log P
and pK(a) on hERG inhibition was clarified relatively and quantitatively. The
quantitative risk assessment established based on both parameters, was considered
to be a practical and useful tool in avoiding hERG inhibition and in the rational
drug design for drug discovery, especially in lead optimization. Moreover, we
also carried out a trend analysis using a different derivative and demonstrated
that both parameters were equally significant for hERG inhibition.
PMID- 21881255
TI - Short- and long-term stability of lyophilised melatonin-loaded lecithin/chitosan
nanoparticles.
AB - The aim of this study was to establish a freeze-drying process for melatonin
loaded lecithin/chitosan nanoparticles (NPs) to preserve their chemical and
physical stability for a longer time period that what is possible in an aqueous
suspension. Glucose and trehalose were investigated as potential excipients
during freeze-drying of NP suspensions. Lecithin/chitosan NPs were characterised
by mean diameter and zeta potential, ranging between 117.4 and 328.5 nm and 6.7
and 30.2 mV, respectively, depending on the lecithin type and chitosan content in
the preparation. Melatonin loadings were up to 7.1%. For all lecithin/chitosan
NPs, no notable differences in the mean particle size, size distribution, zeta
potential or melatonin content were observed before or immediately after the
lyophilisation process or after 7 months of storage at 4 degrees C. The residual
moisture contents of lyophilisates with glucose and trehalose immediately after
the lyophilisation process varied between 4.0-4.8% and 2.4-3.0%, respectively.
All lecithin/chitosan NPs had a fully amorphous nature after the freeze-drying
process, as indicated by modulated differential scanning calorimetry. NP
lyophilisates with glucose had a low glass transition temperature (ca. 5 degrees
C), confirming that lyophilisation with glucose as a cryoprotectant was not
appropriate. All lyophilisates with trehalose had a glass transition temperature
above the room temperature, allowing formation of the cake without a collapse of
the structure, which was capable of preserving its characteristics and appearance
following 7 months of storage at 4 degrees C.
PMID- 21881256
TI - Novel diphenylamine 2,4'-dicarboxamide based azoles as potential epidermal growth
factor receptor inhibitors: synthesis and biological activity.
AB - Several hybrid molecules of diphenylamine-2,4'-dicarboxamide with various
azolidinones and related heterocyclic rings have been synthesized and explored as
epidermal growth factor receptor (EGFR) kinase inhibitors. Most of them displayed
promising in vitro tyrosine kinase inhibition as well as potent cellular
antiproliferative activity in the EGFR over-expressing breast cancer cell line
(MCF-7). Compounds 12b and 13b that exhibited the highest inhibition in the
kinase assay (89, 81% inhibition at 10 MUM, respectively), showed potent
antiproliferative effect against MCF-7 tumor cell line (IC(50) 1.04, 0.91 MUM
respectively). Molecular docking studies revealed that these compounds can bind
to ATP binding site of the EGFR kinase domain and were involved in H-bonding with
Met 793, in analogy to the known EGFR tyrosine kinase inhibitors. Moreover,
compounds 15a-c possessed profound antitumor activity (IC(50) 0.59-0.73 MUM) and
significant EGFR-TK inhibition, making them of particular interest. In summary,
the newly synthesized compounds provide promising new lead for the future design
and development of anticancer agents of potential EGFR-TK inhibitory activity.
PMID- 21881257
TI - Lewis acid-catalyzed propargylic etherification and sulfanylation from alcohols
in MeNO2-H2O.
AB - Direct scandium- and lanthanum-catalyzed etherifications of propargyl alcohols 1
and 6 in MeNO2-H2O provided propargyl ethers 3, 4 and 7 in high yields. In
addition, reactions of 1 and 6 with thiols exclusively yielded the corresponding
propargyl sulfides.
PMID- 21881258
TI - Dianthosaponins A-F, triterpene saponins, flavonoid glycoside, aromatic amide
glucoside and gamma-pyrone glucoside from Dianthus japonicus.
AB - From aerial parts of Dianthus japonicus, six new and seven known oleanane-type
triterpene saponins were isolated. The structures of the new saponins, named
dianthosaponins A-F, were elucidated by means of high resolution mass
spectrometry, and extensive inspection of one- and two-dimensional NMR
spectroscopic data. A new C-glycosyl flavone, a glycosidic derivative of
anthranilic acid amide and a maltol glucoside were also isolated.
PMID- 21881259
TI - Catecholthioether derivatives: preliminary study of in-vitro antimicrobial and
antioxidant activities.
AB - In this research, synthesis, antimicrobial and antioxidant activities of a series
of catecholthioethers having benzoxazole and tetrazole moieties are described.
Antimicrobial activity was evaluated by minimum inhibitory concentration (MIC)
assay. The synthesized compounds were tested in vitro against three Gram-positive
bacteria including Staphylococcus aureus (clinical isolated), Staphylococcus
aureus ATCC 25922, Enterococcus faecium (clinical isolated), and two Gram
negative bacteria including Klebsiella pneumoniae (clinical isolated) and
Pseudomonas aeruginosa 27853 and the yeast Candida albicans in comparison with
control drugs. Microbiological results indicated that the synthesized compounds
possessed a broad spectrum of activity against the tested microorganisms at MIC
values between 4-256 MUg/ml. This shows compounds having tetrazole moiety were
the most active against Gram-negative strains, whereas compounds having
benzoxazole moiety were more active against Gram-positive ones. Also both of them
showed significant antifungal activity against Candida albicans and had lower
activity than the compared control drugs (Sulfamethoxazole and Fluconazole). The
antioxidant activity was assessed using two methods, including, 1,1-biphenyl-2
picrylhydrazyl (DPPH) radical scavenging, and reducing power assays. Some of the
catecholthioether derivatives showed antioxidant activity more than Trolox and
butylated hydroxyanisole (BHA) as reference antioxidants.
PMID- 21881260
TI - Synthesis and evaluation of antioxidant, anti-inflammatory and antiulcer activity
of conjugates of amino acids with nifedipine.
AB - A new series of novel (2S)-2-({2-[1,4-dihydro-3,5-bis(methoxycarbonyl)-2,6
dimethyl-4-(2-nitrophenyl)pyridin-1-yl]-2-oxoethyl}amino)-3-(4-hydroxyphenyl)
propanoic acid (3a) and its analogues 3b-j has been synthesized. These compounds
were evaluated for their in vitro antioxidant activity, anti-inflammatory
activity and antiulcer activity. Compounds 3b and f exhibited significant
antioxidant action comparable with that of standard. Efficacy against
inflammation and ulceration was also found to be significant. The chemical
structures of these compounds were confirmed on the basis of spectral data.
PMID- 21881261
TI - Pimarane diterpenes from the endophytic fungus Eutypella sp. BCC 13199.
AB - Two new pimarane-type diterpenes, eutypellones A (1) and B (2), were isolated
from the endophytic fungus Eutypella sp. BCC 13199. Cytotoxic activities of the
pimaranes 1-5, isolated from this fungus, were evaluated.
PMID- 21881262
TI - 3-Hydroxydihydrobenzofuran glucosides from Gnaphalium polycaulon.
AB - A new 3-hydroxydihydrobenzofuran glucoside, gnaphaliol 9-O-beta-D-glucopyranoside
(2), was isolated from the aerial parts of Gnaphalium polycaulon together with 1
{(2R*,3S*-3-(beta-D-glucopyranosyloxy)-2,3-dihydro-2-[1-(hydroxyl methyl)vinyl]-1
benzofuran-5-yl}-ethanone or gnaphaliol 3-O-beta-D-glucopyranoside (1), (Z)-3
hexenyl O-beta-D-glucopyranoside (3) and adenosine (4). The absolute
configurations at C-2 and C-3 positions of compound 1 were determined to be 2R
and 3R. The structures of these compounds were elucidated on the basis of their
physical and spectroscopic data.
PMID- 21881263
TI - Identification and characterization of component organic and glycosidic acids of
crude resin glycoside fraction from Calystegia soldanella.
AB - Alkaline hydrolysis of the crude resin glycoside fraction of the leaves, stems,
and roots of Calystegia soldanella ROEM. et SCHULT. (Convolvulaceae) gave four
new glycosidic acids, named calysolic acids A, B, C, and D, along with one known
glycosidic acid, soldanellic acid B, and three organic acids, 2S-methylbutyric,
tiglic, and 2S,3S-nilic acids. The structures of the new glycosidic acids were
characterized on the basis of spectroscopic data and chemical evidence.
PMID- 21881264
TI - Application of ring-closing metathesis for the synthesis of benzo[3,4]azepino[1,2
b]isoquinolin-9-ones.
AB - Cycloaddition reaction between toluamides and benzonitriles was applied to
prepare the 3-arylisoquinolines, and their chemical transformation to the dienes
4 was performed. The ring-closing metathesis (RCM) reaction afforded the desired
heterocyclic compounds, benzo[3,4]azepino[1,2-b]isoquinolinones 5 in good yield.
PMID- 21881265
TI - Flavusides A and B, antibacterial cerebrosides from the marine-derived fungus
Aspergillus flavus.
AB - Flavusides A (1) and B (2), two new antibacterial cerebroside derivatives, and
the previously described phomaligol A (3), kojic acid (4), methyl kojic acid (5),
and dimethyl kojic acid (6) have been isolated from the extract of a marine
isolate of the fungus Aspergillus flavus. The structure and absolute
stereochemistry of two cerebrosides were assigned on the basis of NMR and Tandem
FAB-MS/MS experiments. Compounds 1, 2, and 3 exhibited a mild antibacterial
activity against Staphylococcus aureus, methicillin-resistant S. aureus, and
multidrug-resistant S. aureus. The minimum inhibitory concentration (MIC) values
for each strain are as follows: compounds 1 and 2 showed 15.6 MUg/ml for S.
aureus and 31.2 MUg/ml for methicillin-resistant S. aureus and multidrug
resistant S. aureus, and compound 3 exhibited 31.2 MUg/ml for S. aureus and
methicillin-resistant S. aureus and 62.5 MUg/ml for multidrug-resistant S.
aureus.
PMID- 21881266
TI - A new hypoxia inducible factor-2 inhibitory pyrrolinone alkaloid from roots and
stems of Piper sarmentosum.
AB - A new trimethoxycinnamoyl-2-pyrrolinone alkaloid, langkamide (1), along with the
known compounds piplartine (2) and 3,4,5-trimethoxycinnamic acid (3) were
isolated from the roots and stems of the shrub Piper sarmentosum ROXB. The
structures were established by spectroscopic analyses and comparison of their
spectral data with values reported in the literature. The compounds were tested
for their ability to modulate hypoxia inducible factor-2 (HIF-2) transcription
activity and all three showed HIF-2 inhibitory activity with EC50 values of 14.0,
4.8, and 60.6 MUM, respectively, for compounds 1, 2, and 3.
PMID- 21881267
TI - Biotransformation of ursolic acid by an endophytic fungus from medicinal plant
Huperzia serrata.
AB - Endophytic fungi were used not only for their producing bioactive products but
also for their ability to transform natural compounds. An endophytic fungus,
isolated from medicinal plant Huperzia serrata, was identified as Umbelopsis
isabellina based on the internal transcribed spacer of ribosomal DNA (rDNA-ITS)
region. It was used to transform ursolic acid (1), a pentacyclic triterpene.
Incubation of ursolic acid with U. isabellina afforded three products, 3beta
hydroxy-urs-11-en-28,13-lactone (2), 3beta,7beta-dihydroxy-urs-11-en-28,13
lactone (3), 1beta,3beta-dihydroxy-urs-11-en-28,13-lactone (4). Although product
2 was a known compound, it was first obtained by microbial transformation.
Products 3 and 4 were new compounds. The structural elucidation of the three
compounds was achieved mainly by the 1D- and 2D-NMR, MS, IR data. The endophytic
fungus U. isabellina can hydroxyate the C12-C13 double bond at position 13 of
ursolic acid 1 and form a five-member lactone effectively. In the meantime, this
fungus can also introduce the hydroxyl group at C-1 or C-7 of ursolic acid 1.
PMID- 21881268
TI - Biomimetic one-pot preparation of a black tea polyphenol theasinensin A from
epigallocatechin gallate by treatment with copper(II) chloride and ascorbic acid.
AB - Chromatographic separation of black tea polyphenols is too difficult to supply
sufficient quantities of pure compounds for biological experiments. Thus, facile
methods to prepare black tea constituents were desired. Treatment of
epigallocatechin gallate with copper(II) chloride efficiently afforded an
unstable quinone dimer, dehydrotheasinensin A, and subsequent treatment with
ascorbic acid stereoselectively yielded theasinensin A. The latter is a dimer
with an R-biphenyl bond, one of the major polyphenols found in black tea. The
method is simpler and more effective than enzymatic preparation.
PMID- 21881269
TI - Synthesis and cytotoxic evaluation of eremophilane sesquiterpene 07H239-A
derivatives.
AB - Nine new derivatives (6-14) of the eremophilane sesquiterpene 07H239-A (5) were
designed and semisynthesized with two types of R-groups by amidation. Most of
them were active against five human tumor cell lines, and compounds 6-10 were
more potent than the natural product 5. In particular, compounds 6 and 9
exhibited the strongest cytotoxic activity against MDA-MB-435 with IC50 values of
0.91 and 0.96 MUM, respectively. Preliminary structure-activity relationships
(SARs) analysis indicated that the 14-carboxyl in 5 was an ideal target for
chemical modification, and the side chain of 5 might play a necessary role in
facilitating their cytotoxic potencies.
PMID- 21881270
TI - Formal (3+3) cycloaddition of silyl enol ethers catalyzed by trifric imide:
domino Michael addition-claisen condensation accompanied with isomerization of
silyl enol ethers.
AB - We describe here a Tf2NH-catalyzed formal (3+3) cycloaddition of silyl enol
ethers with acrylates as a new domino reaction. In the domino sequence, the
catalyst activates Michael addition, deprotonation of the resulting silyloxonium
cation and intramolecular Claisen condensation. It was found that reaction modes
significantly depend on the reaction temperature. We also examined the
mechanistic detail of the reaction by 1H-NMR experiment.
PMID- 21881271
TI - Two new cytotoxic phenylallylflavanones from Mexican propolis.
AB - Two new phenylallylflavanones, (2R,3R)-6-[1-(4'-hydroxy-3'-methoxyphenyl)prop-2
en-1-yl]pinobanksin (1) and (2R,3R)-6-[1-(4'-hydroxy-3'-methoxyphenyl)prop-2-en-1
yl]pinobanksin 3-acetate (2) were isolated from a methanolic extract of Mexican
propolis. Their structures were elucidated with spectroscopic analysis. Both
compounds (1, 2) exhibited preferential cytotoxic activity against PANC-1 human
pancreatic cancer cells in a nutrient-deprived medium with the concentration at
which 50% cells died preferentially in NDM (PC50) values of 17.9 MUM and 9.1 MUM,
respectively.
PMID- 21881272
TI - Prediction of three-independent scales endowed on poliovirus proteinase 2A
sequence.
AB - Mulliken's electronegativity (M) scale was found as a parameterization to predict
(elucidate) a virtually specific interaction between Poliovirus proteinase 2A and
mitogen-activated protein (MAP) kinase p38alpha, as well as that between the 2A
and apoptotic protein activating factor 1c (Apaf 1c) (or prion) with
intermolecular frequency symmetry (IFS) rule. Also, Lacey's hydropathical (H)
scale and Garel's (G) one could be found in the specific relationship between the
2A and the extracellular signal-regulated kinase 2 (ERK2) [or fibroblast growth
factor receptor 3 (FGFR3)], and that between the 2A and the c-Jun N-terminal
kinase 2 (JNK2) [or forkhead box P2-1 (FOXP2-1)], respectively. Based on these,
both the same physicochemical scale and almost the same resonant frequency (f)
value would be conserved in the same succession of a signal transduction process
in a Poliovirus-infected cell. Furthermore, the 2A could play a trigger role to
cause cancer, prion disease, bone disease, or speech and language disorder.
PMID- 21881273
TI - Characterization of novel pH-sensitive polymeric micelles prepared by the self
assembly of amphiphilic block copolymer with poly-4-vinylpyridine block
synthesized by mechanochemical solid-state polymerization.
AB - We fabricated novel pH-sensitive polymeric micelles consisting of amphiphilic
block copolymer containing pyridyl groups as side chains in the hydrophobic
block. The number average particle diameter of the polymeric micelles at pH 7 was
approximately 200 nm. A decrease in pH resulted in deformation of the polymeric
micelles over a very narrow pH range (between pH 5.7 and 5.6). Interestingly,
micellization and demicellization occurred reversibly in this narrow pH range.
Polymeric micelles incorporating 5-fluorouracil (5FU) were also prepared.
Decreasing the pH of this polymeric micelle solution from 7 to 5.5 resulted in
the rapid release of 5FU at pH 5.6; the drug was completely released within 30
min. These results suggest that deformation of the polymeric micelles caused the
rapid release of 5FU.
PMID- 21881274
TI - Identification of a novel cannabimimetic phenylacetylindole,
cannabipiperidiethanone, as a designer drug in a herbal product and its affinity
for cannabinoid CB1 and CB2 receptors.
AB - A new cannabimimetic phenylacetylindole (cannabipiperidiethanone, 1) has been
found as an adulterant in a herbal product which contains two other known
synthetic cannabinoids, JWH-122 and JWH-081, and which is distributed illegally
in Japan. The identification was based on analyses using GC-MS, LC-MS, high
resolution MS and NMR. Accurate mass spectrum measurement showed the protonated
molecular ion peak of 1 at m/z 377.2233 [M+H]+ and the molecular formula of 1 was
C24H29N2O2. Both mass and NMR spectrometric data revealed that 1 was 2-(2
methoxyphenyl)-1-{1-[(1-methylpiperidin-2-yl)methyl]-1H-indol-3-yl}ethanone.
Compound 1 has a mixed structure of known cannabimimetic compounds: JWH-250 and
AM-2233. Namely, the moiety of phenylacetyl indole and N-methylpiperidin-2-yl
methyl correspond to the structure of JWH-250 and AM-2233, respectively. However,
no synthetic, chemical or biological information about 1 has been reported. A
binding assay of compound 1 to cannabinoid receptors revealed that 1 has affinity
for the CB1 and CB2 (IC50=591, 968 nM, respectively) receptors, and shows 2.3-
and 9.4-fold lower affinities than those of JWH-250. This is the first report to
identify cannabimimetic compound (1) as a designer drug and to show its binding
affinity to cannabinoid receptors.
PMID- 21881275
TI - Palladium-catalyzed cross coupling reaction of N-alkoxyimidoyl bromides and its
application to one-pot synthesis of N-arylamines.
AB - The synthetic utility of N-alkoxyimidoyl halides is demonstrated using the
palladium-catalyzed cross-coupling reaction. The Sonogashira and Suzuki-Miyaura
coupling reactions of N-alkoxyimidoyl bromides produced versatile ketoxime ethers
in good to excellent yields. A one-pot reaction of the imidoyl bromides with
arylboronic acid and allylmagnesium bromide to produce N-arylamines via Suzuki
Miyaura coupling followed by domino reaction involving sequential addition
eliminative rearrangement-addition reactions was developed.
PMID- 21881276
TI - Circulating microRNAs are promising novel biomarkers of acute myocardial
infarction.
AB - OBJECTIVE: Recent studies have revealed that microRNAs (miRNAs) are involved in
the regulation of cardiac development, physiologic, and pathologic processes via
post-transcriptional control of gene expression. The stable circulating miRNAs
offer unique opportunities for the early diagnosis of several diseases. In this
study, we examined the circulating miR-133 and miR-328 levels from patients with
acute myocardial infarction (AMI). PATIENTS AND METHODS: Twenty-eight control
subjects and fifty-one consecutive AMI patients were enrolled. The plasma and
whole blood samples from AMI patients were obtained within 24 hours (n=51) and 7
days (n=6) after the onset of AMI symptoms. The circulating miR-133 and miR-328
levels were analyzed using quantitative real-time PCR. RESULTS: The miR-133
levels in plasma from AMI patients exhibited a 4.4-fold increase compared with
control subjects (p=0.006). Moreover, the increased miR-133 levels in whole blood
were comparable with those in plasma samples. In contrast, the miR-328 levels in
plasma and whole blood of AMI patients were markedly increased by 10.9-fold and
16.1-fold, respectively, compared to those in control subjects (p=0.033 and
p<0.001). The elevated circulating miR-133 and miR-328 levels were recovered to
the control levels at 7 days after AMI. In addition, there was a correlation
between circulating miR-133 or miR-328 levels and cardiac troponin I.
Furthermore, circulating miR-133 or miR-328 showed no significant changes in AMI
patients with tachyarrhythmia (n=24) or bradyarrhythmia (n=26) compared to those
in patients without arrhythmias. Receiver operating characteristic curve analysis
revealed that the areas under the curve of miR-133 or miR-328 in plasma and whole
blood were 0.890, 0.702 and 0.810, 0.872, respectively (all p<0.05). CONCLUSION:
The miR-133 and miR-328 levels in plasma and whole blood in AMI patients were
increased compared to those in control subjects. These miRNAs may represent novel
biomarkers of AMI.
PMID- 21881277
TI - Analysis of cardiovascular disease in Chinese inpatients with chronic kidney
disease.
AB - OBJECTIVE: To investigate the prevalence of cardiovascular disease (CVD) in a
Chinese patient population with different stages of chronic kidney disease (CKD).
METHODS: Six hundred and two CKD patients who were hospitalized in Ruijin
Hospital between Jan. 2004 and Jan. 2006 were selected. Patients' medical
histories and the results of laboratory tests were reviewed. RESULTS: The
prevalence of CVD in 602 patients with CKD stages 1 to 5 was 1.28%, 17.24%,
22.86%, 33.33%, 56.2% respectively. The prevalence of CVD in CKD stage 5 patients
with dialysis was 78.51%. In all the patients, the prevalence of coronary artery
disease (CAD), left ventricular hypertrophy (LVH), and congestive heart failure
(CHF) was 8.64% (52/602), 26% (154/602), and 13% (78/602), respectively.
Regarding co-morbidities of CVD, 34.52% of patients had 2 or more of the above
abnormalities. The prevalence of CAD in patients with CKD stages 1 to 5
respectively was 1.28%, 5.75%, 7.86%, 10.26%, 12.33%;LVH was 0%, 11.49%, 16.43%,
29.49%, 44.75%; and CHF was 0%, 3.45%, 3.57%, 8.97%, 28.77%. CONCLUSION: The
occurrence of CVD started from CKD stage 1 and increased with the progression of
CKD. The screening and prevention of CVD should begin at CKD stage 1.
PMID- 21881278
TI - Prognosis in adult patients with idiopathic pulmonary hemosiderosis.
AB - BACKGROUND: Diffuse alveolar hemorrhage (DAH) of unknown cause has been
characterized as idiopathic pulmonary hemosiderosis (IPH). IPH is a rare disease,
which has a high prevalence in children and shows a poor prognosis. However, in
adults, since there are few reports about collective cases, the details remain to
be determined. METHODS: Between January 2003 and June 2008, consecutive adult
patients strictly defined as unknown cause DAH by chest images, fiberoptic
bronchoscopy, autoantibody testing, and exclusion of systemic disease were
enrolled. We investigated the clinical characterization and course of the
enrolled patients. RESULTS: Nine patients were included. All patients were middle
aged men (56.1 +/- 4.2 year-old) with sudden onset. They did not present with
anemia (the hemoglobin level was 13.9 +/- 0.5 g/dL) despite the quantity of
bleeding. In bronchoalveolar-lavage fluid analysis, the cell count was increased
(7.6 +/- 1.6*10(5) cells/mL) with neutorophilia (33.3 +/- 13.3%). The illness
resolved within 2 weeks with or without corticosteroid therapy. All of the
patients were alive without recurrence during the follow-up period (45.2 +/- 6.2
months) after diagnosis. CONCLUSION: Adult IPH patients showed good prognosis.
However, the present patients are clinically slightly different from the
previously characterized IPH.
PMID- 21881279
TI - Relationship between computed tomography findings and nutritional status in
elderly patients with pulmonary tuberculosis.
AB - OBJECTIVE: This study was aimed to identify the relationship between radiographic
features of elderly pulmonary TB patients and nutritional deficiency. METHODS:
Ninety-two patients older than 70 years of age with pulmonary TB were
retrospectively enrolled. The influence of nutritional parameters, such as serum
albumin concentration and peripheral blood total lymphocyte count on CT findings
was examined. CT findings of pulmonary TB patients were classified as those
including atypical findings (segmental or lobar consolidation in an unusual
location, miliary nodules, and hilar and mediastinal lymphadenopathy) or not. The
number of segments involved by TB was also counted. RESULTS: Age- and gender
adjusted analyses for the nutritional parameters and confounders revealed that
hypoalbuminemia, lymphocytopenia, and steroid therapy were significantly related
to the presence of atypical CT findings. Furthermore, hypoalbuminemia,
lymphocytopenia, and the amount of acid-fast bacilli in sputum smears were
significantly related to an increased number of involved segments. In
multivariate analysis, only hypoalbuminemia was significantly related to the
presence of atypical CT findings (OR: 0.335, 95% CI: 0.142-0.794, p = 0.013) and
an increased number of involved segments (OR: 0.145, 95% CI: 0.047-0.453, p =
0.0009). Among the CT findings, the tree-in-bud pattern was the most common in
all patients (79.3%). However, the presence of the tree-in-bud pattern was not
significantly related to any nutritional parameter. CONCLUSION: Elderly TB
patients of poor nutritional status, in particular those with hypoalbuminemia,
tended to show atypical CT findings and widespread lesions. The tree-in-bud
pulmonary pattern could be observed in TB patients in any nutritional state.
PMID- 21881280
TI - A case of pyogenic liver abscess infected with Fusobacterium necrophorum depicted
by microscopy and confirmed by tissue culture.
AB - A 40-year-old man was admitted with a continuous high grade fever accompanying a
relatively large solitary liver abscess with septations. A puncture of the
abscess revealed gram-negative rods that could be identified histologically as
Fusobacterium necrophorum, which was later confirmed by tissue culture. The
patient was switched to meropenem and penicillin, and cured of the infection.
Fusobacterium necrophorum is a rare bacterium causing potentially fatal liver
abscesses in humans. Clinicians should bear Fusobacterium necrophorum in mind
when treating patients with an enlarged solitary liver abscess.
PMID- 21881281
TI - Treatment of a case of mesangioproliferative glomerulonephritis secondary to
Echinococcus alveolaris with albendazole.
AB - Parasitic infections lead to significant morbidity and mortality, especially in
tropical regions. The renal damage caused by these infections occurs via various
mechanisms. Two forms of parasitic echinococcus infection widely responsible for
infection in humans are Echinococcus granulosus and Echinococcus multilocularis.
E. multilocularis causes Alveolar echinococcus infection in humans. Alveolar
echinococcus has high mortality, and the possible limits of surgery are generally
exceeded by the time of diagnosis. The literature contains no case reports of
comorbidity of alveolar echinococcus and glomerulonephritis. Here we discuss the
treatment of a patient with comorbid mesangioproliferative glomerulonephritis and
alveolar echinococcus, behaving like a tumor, using albendazole since there was
no possibility of surgery. This is the first ever such case report.
PMID- 21881282
TI - Thrombotic microangiopathy associated with tuberculous infection.
AB - A 32-year-old man was diagnosed as having thrombotic microangiopathy (TMA) and
treated by plasma exchange (PE). During the course of admission, he was also
newly diagnosed with pulmonary tuberculosis, tuberculous peritonitis and
pleuritis, which was thought to be the cause of the TMA. There are only a few
previous reports on TMA associated with tuberculous infection. Although its
pathogenetic mechanism is not well understood, it would be valuable to recognize
that this worldwide infectious disease could cause TMA.
PMID- 21881283
TI - Swyer-James syndrome with peculiar course and ipsilateral pulmonary vein defect.
AB - Swyer-James syndrome (SJS) is a rare disease probably resulting from
bronchiolitis obliterans. The radiological findings of this entity are
characterized by hyperlucent appearance of one or more lobes of a unilateral
lung, decreased lung volume, diminished ipsilateral hilar shadow and hardly
visible arterial structure on chest radiography. We report a 50-year-old patient,
who had unilateral right lower lung hyperlucency on chest radiography. However,
the patient reported a history of pulmonary atelectasis of lobus centralis dexter
in the course and the corresponding affliction of ipsilateral pulmonary vein,
that rendered the diagnosis more complicated. The radiological and pathological
features of this syndrome, as well as differential diagnosis were also discussed.
PMID- 21881284
TI - Intestinal perforation due to concomitant cytomegalovirus infection during
treatment for Pneumocystis jirovecii pneumonia in a patient with rheumatoid
arthritis.
AB - A 78-year-old woman with rheumatoid arthritis treated with methotrexate and
corticosteroid was admitted to our hospital for dry cough and dyspnea. She was
diagnosed as having Pneumocystis pneumonia based on elevated beta-D-glucan and
positive PCR analysis of bronchoalveolar lavage fluid for Pneumocystis jirovecii.
We started trimethoprim-sulfamethoxazole and high-dose corticosteroid therapy.
Her pulmonary lesions gradually improved; however, she developed perforation of
the ileum and subsequently died from sepsis. Histology of the perforated site was
compatible with cytomegalovirus enterocolitis.
PMID- 21881285
TI - Spinal segmental myoclonus during postural maintenance in a patient with cervical
spondylosis: a case report.
AB - Spinal segmental myoclonus is defined as a rare involuntary movement
characterized by myoclonic jerks of spinal origin. We describe the case of a 62
year-old woman who developed spinal segmental myoclonus 4 months after undergoing
cervical laminoplasty for ossification of the posterior longitudinal ligament.
Myoclonic jerks were observed in the upper trapezius innervated by C3-4, which
corresponded to the level of myelomalacia. These jerks were elicited and
aggravated in the sitting and standing positions but were completely suppressed
in the supine position. The myoclonus was refractory to medication but improved
with the use of a soft neck brace.
PMID- 21881286
TI - Etanercept-induced lupus accompanied by hemophagocytic syndrome.
AB - Hemophagocytic syndrome (HPS) is a severe, potentially life-threatening disorder
characterized by an excessive activation of macrophages, such as may occur in the
setting of lupus. A 62-year-old Japanese woman treated with etanercept for
rheumatoid arthritis developed persistent fever, cytopenia, coagulopathy, and
hyperferritinemia. Simultaneously, lupus-like features including pleuritis,
hypocomplementemia, and positive autoantibodies were observed. She was diagnosed
with HPS related to etanercept-induced lupus, and underwent immunosuppressive
therapy with successful recovery. To our knowledge, this is the first case of
etanercept-induced lupus accompanied by HPS. This case suggests that HPS should
be considered as a complication during TNF-alpha inhibitor therapy.
PMID- 21881287
TI - Successful treatment of HTLV-1-related overlap syndrome using tacrolimus.
AB - A 56-year-old HTLV-I-positive woman, initially diagnosed as having Sjogren's
syndrome, presented with muscle weakness, myalgia, face erythema and leg edema.
Based on the presence of various autoantibodies, the diagnosis of overlap
syndrome (dermatomyositis/Sjogren's syndrome) was made. Treatment with high-dose
corticosteroid plus cyclosporine improved her symptoms. However, three months
after the start of these treatments, exacerbation of myositis occurred. A muscle
biopsy revealed prominent perivascular accumulation of mononuclear cells with
perifascicular atrophy, which were consistent with dermatomyositis. Tacrolimus,
which was substituted for cyclosporine led to marked improvement of the myositis
symptoms.
PMID- 21881288
TI - Small muco-submucosal elongated polyp of the sigmoid colon.
PMID- 21881289
TI - Intramyocardial calcification with mitral annular calcification.
PMID- 21881290
TI - Sudden cardiac arrest after subarachnoid hemorrhage.
PMID- 21881291
TI - Callus formation in a patient with Cushing's syndrome.
PMID- 21881292
TI - Isolated lateropulsion caused by a paramedian midbrain infarction.
PMID- 21881293
TI - Pure sensory stroke due to pontine tegmentum infarction.
PMID- 21881294
TI - Hot liver sign: an indicator of a grave prognosis.
PMID- 21881295
TI - Preventive effects of an enriched environment on rodent psychiatric disorder
models.
AB - Interplay between genetic and environmental factors plays a key role in
psychiatric disorders, as well as other brain diseases, cancer, and metabolic
syndrome. In accordance with epidemiological findings, animal studies have
pointed out the importance of a variety of environmental factors, such as viral
infection during pregnancy or infancy, early parental loss or separation, and
physical or sexual abuse in early life, in the etiology of psychiatric disorders.
Conversely, positive effects of environmental factors against the pathogenesis of
psychiatric disorders are also demonstrated, in which most of the animals are
exposed to an "enriched environment". This review summarizes recent progress of
research in this field focusing on the preventive effects of an "enriched
environment" against the expression of behavioral abnormalities in rodent models
of psychiatric disorders.
PMID- 21881296
TI - Acquired radioresistance of cancer and the AKT/GSK3beta/cyclin D1 overexpression
cycle.
AB - Fractionated radiotherapy (RT) is widely used in cancer therapy for its
advantages in the preservation of normal tissues. However, repopulation of
surviving tumor cells during fractionated RT limits the efficacy of RT. In fact,
repopulating tumors often acquire radioresistance and this is the major cause of
failure of RT. We have recently demonstrated that human tumor cells acquire
radioresistance when exposed to fractionated radiation (FR) of X-rays every 12
hours for 1 month. The acquired radioresistance was associated with
overexpression of cyclin D1, a result of a series of molecular changes;
constitutive activation of DNA-PK and AKT with concomitant down-regulation of
glycogen synthase kinase-3beta (GSK3beta) which results in suppression of cyclin
D1 proteolysis. Aberrant cyclin D1 overexpression in S-phase induced DNA double
strand breaks which activated DNA-PK and established the vicious cycle of cycling
D1 overexpression. This overexpression of cyclin D1 is responsible for the
radioresistance phenotype of long-term FR cells, since this phenotype was
completely abrogated by treatment of FR cells by the API-2, an AKT inhibitor or
by a Cdk4 inhibitor. Thus, targeting the AKT/GSK3beta/cyclin D1/Cdk4 pathway can
be an efficient modality to suppress acquired radioresistance of tumor cells. In
this article, I overview the newly discovered molecular mechanisms underlying
acquired radioresistance of tumor cells induced by FR, and propose a strategy for
eradication of tumors using fractionated RT by overcoming tumor radioresistance.
PMID- 21881297
TI - The radiotherapy with methotrexate, vinblastine, doxorubicin, and cisplatin
treatment is an effective therapeutic option in patients with advanced or
metastatic bladder cancer.
AB - The objectives of this study were to determine the tolerability of combined use
of methotrexate, vinblastine, doxorubicin, and cisplatin (MVAC) with external
beam radiation therapy (EBRT) and to access the efficacy in patients with locally
advanced or metastatic bladder cancer. From December 2000 to November 2010, 30
eligible patients were enrolled in this study. After receiving one cycle of MVAC
treatment, all patients received EBRT with a half dose of MVAC treatment followed
by two more cycles of chemotherapy. A standard fractionation with daily dose of
1.8-2.0 Gy was used, with the total dose up to 60 Gy over 5-6 weeks. The four
field box technique was utilized for radiation fields. Thirteen patients (43%)
had complete response and 11 (37%) had partial response, with an overall response
rate of 80%. The median overall survival and progression-free survival was 25.5
months and 12.8 months, respectively. In the complete-response patients, median
overall survival was 37.1 months. Grade 3 or 4 neutropenia occurred in 25
patients (83%), but there were no severe infections. One patient (3%) had
hemorrhagic radiation cystitis. There were no treatment-related deaths. Combined
use of MVAC treatment with EBRT is a favorable therapeutic option for patients
with advanced or metastatic bladder carcinoma. Given the safety and benefit
profile found in this study, appropriate case selection is warranted in the
future.
PMID- 21881298
TI - Intrafractional gastric motion and interfractional stomach deformity using CT
images.
AB - To evaluate the intra- and interfractional gastric motion using repeated CT
scans, six consecutive patients with gastric lymphoma treated at our institution
between 2006 and 2008 were included in this study. We performed a simulation and
delivered RT before lunch after an overnight fast to minimize the stomach volume.
These patients underwent repeated CT scanning at mild inhale and exhale before
their course of treatment. The repeated CT scans were matched on bony anatomy to
the planning scan. The center of stomach was determined in the X (lateral), Y
(superior-inferior), and Z (ventro-dorsal) coordinate system to evaluate the
intra- and interfractional motion of the stomach on each CT scan. We then
calculated the treatment margins. Each patient was evaluated four to five times
before their course of RT. The average intrafractional motions were -12.1, 2.4
and 4.6 mm for the superior-inferior (SI), lateral (LAT), and ventro-dorsal (VD)
direction. The average interfractional motions of the center of the stomach were
4.1, 1.9 and 1.5 mm for the SI, LAT and VD direction. The average of the vector
length was 13.0 mm. The systematic and random errors in SI direction were 5.1,
and 4.6 mm, respectively. The corresponding figures in LAT and VD directions were
10.9, 5.4, 10.0, and 6.5 mm, respectively. Thus, the 15.9, 31.0 and 29.6 mm of
margins are required for the SI, LAT, and VD directions, respectively. We have
demonstrated not only intrafractional stomach motion, but also interfractional
motion is considerable.
PMID- 21881299
TI - Investigation on traditional medicines of Guarany Indio and studies on diterpenes
from Scoparia dulcis.
AB - In interviews on the traditional herbal medicines of Tupi-Guarany Indians at the
herbal market of Asuncion and questionnaire from their users, it was clarified
that various useful medicinal plants are available in Paraguay and most of them
are generally used without drying. In the search for bioactive substances from
those plants, a beta-glucuronidase-inhibitory diterpene called scoparic acid A
(SA) was isolated from Scoparia dulcis L. together with scoparic acid B, scoparic
acid C, and the aphidicolin-like tetracyclic diterpenes scopadulcic acid A (SDA)
and scopadulcic acid B (SDB). HPLC analysis of diterpenes in the individual
plants of Paraguayan and Asian S. dulcis revealed the presence of three
chemotypes based on major component, i.e., SA type, SDB type, and SDX type
containing mainly scopadiol and scopadulciol (SDC). SA and SDB were elucidated to
be mainly biosynthesized in the leaves via 2-C-methyl-D-erythritol- 4-phosphate
pathway, and a leaf organ culture system containing methyl jasmonate 10 uM was
found to enhance the production of diterpenes by activation of Ca-signal
transduction systems such as calmodulin and protein kinase C. On the other hand,
SDB and SDC were found to show multifaceted pharmacological effects such as
inhibitory effects on gastric acid excretion, bone resorption, replication of
herpes simplex virus type 1 (HSV-1), etc. In addition, SDC was suggested to be
applicable to cancer gene therapy using ganciclovir or acyclovir and the HSV-1
thymidine kinase gene called the suicide gene.
PMID- 21881300
TI - Drug discovery by formulation design and innovative drug delivery systems (DDS).
AB - This review describes studies on drug discovery using a rational formulation
design and innovative, drug delivery systems (DDS) for biomaterials such as
therapeutic peptides and nucleotides. The microcapsules of the LH-RH superagonist
leuprorelin acetate prepared using the new in-water drying method and
biodegradable polymers, such as PLGA and PLA, could achieve a long-term sustained
release for 1-6 months thereby facilitating easily treatment of hormone-dependent
diseases, prostate cancer, endometriosis, and precocious puberty. This DDS
technology showed an improvement in patient QOL and highly promoted the clinical
value of the agonist. Moreover, PLGA microcapsules of siRNAs against VEGF, cFLIP,
Raf-1, and Int6 have also been developed to treat various cancers and
arteriosclerosis obliterans. To develop therapeutic nucleotides, a particle
design is created using functional peptides, such as cell penetrating peptides
(CPP), nuclear localizing signals (NLS), tight junction reversible openers
(AT1002), bombesin, and dynein light chain-associated sequences. siRNA use should
lead to a paradigm shift in drug discovery against various diseases. Tat analog
with NLS could enhance the potency of a vaginal DNA vaccine. The artificial Tat
CPP of STR-CH(2)R(4)H(2)C synthesized in our laboratory could efficiently deliver
siRNAs into many types of cells and enhance the therapeutic effects for treating
sarcoma, atopic dermatitis, allergic rhinitis, and asthma by intratumor injection
and inhalation of the nanoparticles. Tat and AT1002 analogs used to treat atopic
dermatitis in mice increased cell membrane permeability to siRelA, a siRNA
against a subclass of NF-kappaB, and exhibited striking therapeutic and
preventive effects.
PMID- 21881301
TI - Novel approach to curatives of Mibyou (presymptomatic diseases).
AB - The traditional Oriental medicine and health supplement have been empirically
practiced but most of them have not come through objective examination to prove
their efficacy. From pharmacological aspect, we have been investigating the
medical benefits of traditional Oriental medicines and health supplements as
curatives and their varied actions and mechanisms. The study on airway
inflammation has shown that even a Kampo preparation, Bakumondo-to, has anti
inflammatory, anti-allergic, immunomodulatory, secretory-modulating and metabolic
regulatory actions. The base of all its actions is founded on the restoration of
normal molecular and cellular functions through DNA transcriptional regulation.
In other previous studies, we showed that a health supplement, royal jelly (RJ)
has weak estrogenic activity. RJ competes with 17beta-estradiol for binding to
the human estrogen receptors alpha and beta, though it is much weaker than
diethylstilbestrol in binding affinity. Treatment of MCF-7 cells with RJ enhances
proliferation, and concomitant treatment with tamoxifen blocked this effect. A
reporter gene assay showed that RJ enhanced transcription of the luciferase gene
through the estrogen-responsive element in MCF-7 cells. Furthermore, subcutaneous
injection of RJ restored the expression of vascular endothelial growth factor
gene in the uteri of ovariectomized rats. We suggest that the diverse
pharmacological functions of RJ can be ascribed, in part, to its estrogenic
effects. We hypothesize that polyherbal medicines and health supplements, which
have multiple actions, may be better than Western medicine of single component to
treat various diseases including 'Mibyou' (presymptomatic disease). Our findings
provide us with a new idea on the nature of disorder and disease-state
development which involve complicated mechanisms and will contribute to novel
principles to prevent diseases and establish new treatment. Adoption of means of
translational research should provide objective background for efficacy and
stimulate broader application and usage of traditional medicines and health
supplements as curatives of Mibyou.
PMID- 21881302
TI - Inhibition mechanism of trypsin by Schiff base metal chelate inhibitors.
AB - Studies on trypsin-specific compounds are useful for the design of clinically
useful compounds. It is well known that several benzamidine derivatives are
potent competitive inhibitors of trypsin and trypsin-like enzymes. Many kinds of
Schiff base metal chelate containing either amidine or guanidine have been
synthesized and their inhibitory activities against trypsin have been
characterized. Recently, the interactions of the Schiff base metal chelate
inhibitors with trypsin enzyme have been determined by X-ray crystal structure
analysis. The structural information and inhibitory activity data for amidine-
and guanidine-containig Schiff base metal chelate inhibitors provide new avenues
for designing novel inhibitors against physiologically important trypsin-like
serine proteases.
PMID- 21881303
TI - Mass spectrometry-based quantitative analysis and biomarker discovery.
AB - Mass spectrometry-based quantitative analysis and biomarker discovery using
metabolomics approach represent one of the major platforms in clinical fields
including for the prognosis or diagnosis, assessment of severity and response to
therapy in a number of clinical disease states as well as therapeutic drug
monitoring (TDM). This review first summarizes our mass spectrometry-based
research strategy and some results on relationship between cysteinyl leukotriene
(cysLT), thromboxane (TX), 12-hydroxyeicosatetraenoic acid (12-HETE) and other
metabolites of arachidonic acid and diseases such as atopic dermatitis,
rheumatoid arthritis and diabetes mellitus. For the purpose of evaluating the
role of these metabolites of arachidonic acid in disease status, we have
developed sensitive determination methods with simple solid-phase extraction and
applied in clinical settings. In addition to these endogenous compounds, using
mass spectrometry, we have developed actually applicable quantitative methods for
TDM. Representative example was a method of TDM for sirolimus, one of the
immunosuppressant agents for a recipient of organ transplant, which requires
rigorous monitoring of blood level. As we recognized great potential in mass
spectrometry during these researches, we have become interested in metabolomics
as the non-targeted analysis of metabolites. Now, established strategy for the
metabolomics investigation applies to samples from cells, animals and humans to
separate groups based on altered patterns of metabolites in biological fluids and
to identify metabolites as potential biomarkers discriminating groups. We would
be honored if our research using mass spectrometry would contribute to provide
useful information in the field of medical pharmacy.
PMID- 21881304
TI - Functional glutamate signaling in neural progenitor cells.
AB - In this review, we have summarized our recent studies on the functionality of
ionotropic (iGluR) and metabotropic (mGluR) glutamate receptors expressed by
undifferentiated neural progenitor cells (NPC) isolated from embryonic rat and
mouse brains. NPC are primitive cells with the self-renewal capacity as well as
the multipotentiality to generate different neural lineages including neurons,
astrocytes, and oligodendrocytes. Isolated cells were cultured in the presence of
growth factors for the formation of round spheres by clustered cells so-called
'neurospheres' under floating conditions. Reverse transcription polymerase chain
reaction analyses revealed expression of mRNA for particular iGluR and mGluR
subtypes in NPC. Moreover, sustained exposure to an agonist for the N-methyl-D
aspartate receptor (NMDAR) not only inhibited the formation of neurospheres but
also promoted differentiation of NPC into cells immunoreactive to a neuronal
marker protein on immunocytochemistry and western blot analyses. On the other
hand, sustained exposure to an agonist for the group III mGluR subtype led to
suppression of proliferation activity in these neurospheres along with
facilitation of the subsequent differentiation into astrocytes. Accordingly,
glutamate could play a pivotal role in the mechanisms underlying proliferation
for self-replication, together with determination of the subsequent
differentiation fate toward particular progeny lineages through activation of
NMDAR and group III mGluR subtypes in NPC.
PMID- 21881305
TI - Effect of environmental factor influencing the development of mouse cerebral
cortex.
AB - The cerebral cortex is organized into six cell layers, each of which contains
neurons with similar morphology, functions, gene-expression profiles, and
projection patterns. These layer-specific neuronal phenotypes are sequentially
generated from common cortical progenitor cells in the ventricular zone of dorsal
telencephalon. Although recent investigations have clarified important roles of
intrinsic factors such as transcription factors and regulators of the cell cycle
for the maturation of cortical progenitors, growth factors and neurotrophic
factors environmentally supplied by the cerebral cortex are thought to regulate
proliferation and neural development and determine neuronal differentiation in
the cerebral cortex. In this review, I focus on the function of neurotrophin
family neurotrophic factor, including nerve growth factor, brain-derived
neurotrophic factor (BDNF), neurotropin-3 (NT-3) and neurotrophin-4 in the
formation of the neuronal layer of the cerebral cortex. Especially, BDNF and NT-3
are expressed in the proliferating cortical progenitors and influence the
biological properties of cortical progenitors prior to neurogenesis and play
distinct roles in generation of cortical neuronal subtypes.
PMID- 21881306
TI - Novel iodocyclization method based on the controlling of oxidative aromatization.
AB - We have developed a new method of iodocyclization based on reagent-controlled
oxidative aromatization. Our strategy takes advantage of the dual nature of
iodine as both an iodinating and an oxidizing agent. This approach enabled
"product switch" and enhanced the flexibility of the synthetic pathway toward
pyrazoles and isoxazoles. In addition, the iodo moiety of the cyclized product
could create further diversity. The utility of our methodology was demonstrated
in the synthesis of valdecoxib and its 2,5-dihydro analogs.
PMID- 21881307
TI - Molecular regulation of muscle stem cells by 'quiescence genes'.
AB - Skeletal muscle has great regenerative potential that depends on muscle stem
cells, called satellite cells. In uninjured muscle, satellite cells reside
beneath the basal lamina and are maintained in quiescent and undifferentiated
state. This state is considered a requisite for sustaining the satellite cell
pool, but the molecular mechanism is still unknown. In our previous study, we
developed a novel monoclonal antibody that specifically recognized muscle
satellite cells in skeletal muscle. Using this monoclonal antibody, we purified
satellite cells and performed genome-wide transcriptome analysis. In these
analyses, we found that satellite cells expressed Hesr1/Hey1 and Hesr3/HeyL genes
known as down stream target of Notch signaling. Although each single knock out
mice did not indicate obvious phenotype in skeletal muscle, Hesr1/Hesr3 double
knock out mice showed remarkably decreased number of satellite cells.
Intriguingly, dKO satellite cells were not kept in quiescent and differentiated
state in adult skeletal muscle. This dysregulated state of satellite cells lead
to gradually decreased number of satellite cells and age-dependent regeneration
defect. These results indicate that Hesr1/3 is essential for muscle stem cell
biology and will facilitate this research field.
PMID- 21881309
TI - Metal-free direct biaryl coupling reaction of heteroaromatic compounds via
iodonium intermediate.
AB - Biaryl compounds are versatile building blocks in the synthesis of natural
products, pharmaceuticals, agricultural chemicals and pi-conjugated organic
materials. This review describes a recent progress for the biaryl cross-coupling
reaction of heteroaromatic compounds using hypervalent iodine reagent. Our novel
biaryl coupling reaction is a unique method for constructing various
heteroaromatic biaryls without use of transition metal catalysts. From
mechanistic point of view, the coupling reaction was realized through stable
iodine intermediate generated from heteroaroamatic compound and iodine (III)
reagent.
PMID- 21881308
TI - Optimization of adenovirus vectors for transduction in embryonic stem cells and
induced pluripotent stem cells.
AB - Because embryonic stem (ES) cells and induced pluripotent stem (iPS) cells can
differentiate into various types of cells in vitro, they are considered as a
valuable model to understand the processes involved in the differentiation into
functional cells as well as an unlimited source of cells for therapeutic
applications. Efficient gene transduction method is one of the powerful tools for
the basic researches and for differentiating ES and iPS cells into lineage
committed cells. Recently, we have developed an adenovirus (Ad) vector for
efficient transduction into ES and iPS cells. We showed that Ad vectors
containing the cytomegalovirus enhancer/beta-actin promoter with beta-actin
intron (CA) promoter or the elongation factor (EF)-1alpha promoter were the
appropriate for the transduction into ES and iPS cells. We also found that
enforced expression of a PPARgamma gene or a Runx2 gene into mouse ES and iPS
cells by an optimized Ad vector markedly augmented the differentiation of
adipocytes or osteoblasts, respectively. Thus, a gene transfer technique using an
Ad vector could be an advantage for the regulation of stem cell differentiation
and could be applied to regenerative medicine based on ES and iPS cells.
PMID- 21881310
TI - Drug discovery for improvement of chronic kidney disease and cardiovascular
disease.
AB - Chronic kidney disease (CKD) has been increasingly recognized as a major public
health problem in the world. Recent studies have showed that CKD is an
independent risk factor for the occurrence of cardiovascular disease (CVD).
Reactive oxygen species (ROS), generated by reduction-oxidation actions, have
been generated by reduction-oxidation actions, recognized as the important
chemical mediators that regulate signal transduction in various cells including
vascular smooth muscle cells (VSMC) and mesangial cells (MC). It has been showed
that increase in ROS generation may relate to a risk for CVD and CKD. In
addition, ROS mediate activation of mitogen-activated protein (MAP) kinases,
extracellular signal-regulated kinase 1/2, c-Jun N-terminal kinase, p38, and big
MAP kinase 1, in various cells leading to change in gene expressions. Control of
the oxidative stress and ROS-mediated alterations of signaling molecules
including MAP kinases may provide new therapeutic strategy against CKD and CVD.
In this review, we summarize mainly our data regarding the pharmacological
effects of renin-angiotensin-aldosterone system blockers, bioflavonoids and
adiponectin in VSMC and MC. Also we review the data on a possible new class drug
against oxidative stress to improve CKD and CVD.
PMID- 21881311
TI - Development of an online incident-reporting system for management of medical
risks at hospital.
AB - To minimize their occurrence, it is important to gather and analyze data
regarding cases of not only medical accidents but also of incidents involving
potential harm to patients. In gathering data, we have separated reporting
between the details of such incidents and information about their occurrence. We
have implemented a system involving a first report to achieve prompt notification
and a second report to provide details. An online report input system has been
established taking into consideration both ease of input and promptness of
information sharing. We discuss the input of the first and second reports in a
total of 951 cases over a period of 6 months. From the data regarding the timing
of the first report, 307 and 789 cases were reported within 24 h and 48 h,
respectively, indicating that the first report was input mostly without delay in
accordance with the operational guidelines. On the other hand, it took 14 days to
surpass a second report rate of 80%. Cases that took more than 2 weeks to be
reported would likely have gone unreported had there not been a first report to
indicate and confirm that an incident had even occurred. Investigation is needed,
especially for problematic cases, so we assume that discovering important
incidents via the first report has been successful. In addition, details of
incidents can be input into this system in free-text, yielding information that
cannot be acquired with multiple choice input as in standard reporting systems.
PMID- 21881312
TI - Evaluation of brilliance and visibility of fluorescence and chemiluminescence
solution for training of preparing injections.
AB - Personnel who prepare and administer chemotherapeutic agents have been reported
to develop untoward effects. The use of appropriate techniques for preparing
these agents is encouraged, and educational training systems that involve the use
of a fluorescent or chemiluminescence reagent as placebos have been established
to minimize potential exposure to these agents. However, the optimum conditions
for the use and visibility of these placebos remain obscure. In this study, our
results indicated that the fluorescence intensity of fluorescent reagent
decreased when it was used at a concentration greater than 0.01%. Because drops
created due to splashes and leaks are extremely small and easily evaporate, it is
possible that the fluorescence resulting from such drops readily disappears
despite using an anti-evaporation reagent. We also developed a method to evaluate
the visibility of the small drop; using this method, we determined the distance
at which the drop present on the pin could be seen by the observer. The distance
at which the drop was clearly recognized as a pinpoint by using the fluorescence
method was almost comparable to that for the chemiluminescence method. In the
chemiluminescence method, the drop on the pin was faintly visible as a slightly
bright area because of low background when observed at a certain distance that
was much greater than that at which the drop was clearly visible; however, such
an area was not observed in the fluorescence method. The results of our study
will help in the selection of a training method depending on the situation.
PMID- 21881313
TI - Effects of implementation of problem-based learning tutorials on fifth-year
pharmacy students and future issues.
AB - At Tohoku Pharmaceutical University, problem-based learning (PBL) tutorials were
incorporated into "prescription analysis" and "case analysis" for fifth-year
students in 2010 with the following objectives: 1 application and confirmation of
acquired knowledge and skills, and acquisition of 2 communication ability, 3
presentation ability, 4 cooperativeness through groupwork, and 5 information
collecting ability. In the present study, we conducted a questionnaire survey on
a total of 158 fifth-year students in order to investigate the educational
benefits of PBL tutorials. The results showed that the above five objectives of
PBL tutorials were being achieved, and confirmed the educational benefits
expected of PBL tutorials. In contrast, it was found to be necessary to improve
the contents of scenarios and lectures, time allocation regarding schedules, the
learning environment, the role of tutors, and other matters. In order to maximize
the educational benefits of PBL tutorials, it will be necessary in the future to
continue to conduct surveys on students and make improvements to the curriculum
based on survey results.
PMID- 21881314
TI - Induction of caspase-3-dependent apoptosis in human leukemia HL-60 cells by delta
elemene.
AB - delta-Elemene, an antitumor component, is a chemical compound isolated from
Curcuma wenyujin, a Chinese traditional herb. We examined whether delta-elemene
could inhibit cell growth and cell cycle progression and induce apoptosis in
human leukemia HL-60 cells. The results demonstrated that delta-elemene induces
significant apoptosis of HL-60 cells, as shown by MTT assay, annexin V (AnV)
binding of externalized phosphatidylserine (PS), and the mitochondrial probe JC-1
using flow cytometry. HL-60 cells treated with delta-elemene showed high
percentages in the early apoptotic and late apoptoctic/necrotic stages, as well
as caspase-3 activation of HL-60 cells. By monitoring the changes in cell cycle
profiles, we confirmed that delta-elemene could interfere with the cell cycle in
the G2/M phase and induce apoptosis in HL-60 cells in a time-dependent manner.
Caspase-3 plays a direct role in proteolytic cleavage of the cellular proteins
responsible for progression to apoptosis. Therefore we examined apoptosis in HL
60 cells after exposure to delta-elemene and measured caspase-3 activities with
or without Z-Val-Ala-Asp-fluoromethylketone (z-VAD-fmk, a broad-spectrum caspase
inhibitor) pretreatment using flow cytometric analysis. The results showed that
delta-elemene could induce caspase-3 activation as detected by the decrease in
delta-elemene-induced caspase-3 activities after treatment with z-VAD-fmk. In the
present study, delta-elemene activated typical caspase-dependent apoptosis in HL
60 cells, as demonstrated by an inhibitory effect of z-VAD-fmk on this cell
death. During delta-elemene-induced apoptosis, cytochrome c and apoptosis
inducing factor were released into the cytosol and BAX was translocated from the
cytosol to mitochondria. However, these were not prevented by z-VAD-fmk. In
conclusion, our study demonstrated that delta-elemene could induce G2/M cell
cycle transition and trigger apoptosis through a caspase-3-dependent pathway.
PMID- 21881315
TI - Effect of hemopurification rate on doripenem pharmacokinetics in critically ill
patients receiving high-flow continuous hemodiafiltration.
AB - Hemopurification is an effective therapy for acute kidney injury, defined as
creatinine clearance less than 30 ml/min, which occurs frequently in the
intensive care unit. These critically ill patients often have severe infectious
complications and are thus often treated with antibiotics. However, the effect of
hemopurification on the pharmacokinetics of antibiotics is not well understood.
In this study, we investigated the pharmacokinetics of doripenem (DRPM) in
critically ill patients with accompanying renal dysfunction undergoing continuous
hemodiafiltration by high-volume filtration/high-flow dialysis (high-flow CHDF)
and compared it to the pharmacokinetics of DRPM during conventional CHDF. We
studied 8 patients (2 in the high-flow group and 6 in the conventional group) in
whom DRPM was administered while performing CHDF for acute kidney injury. DRPM
(250 mg) was intravenously infused over 1 h. For the conventional group, CHDF was
performed at a blood flow rate (Q(B)) of 100 ml/min, dialysate flow rate (Q(D))
of 500 ml/h, and filtration flow rate (Q(F)) of 300 ml/h. For the high-flow
group, CHDF was performed at a blood flow rate (Q(B)) of 100 ml/min, dialysate
flow rate (Q(D)) of 1500 ml/h, and filtration flow rate (Q(F)) of 900 ml/h. For
both groups, a polysulfonehemofilter with a membrane area of 1.0 m(2) was used.
Mean half-life, total body clearance, and clearance via hemodiafiltration of DRPM
were 2.9 h, 118 ml/min, and 41.9 ml/min, respectively, in the high-flow group,
and 7.9 h, 58 ml/min, and 13.5 ml/min in the conventional group. Clearance via
hemodiafiltration increased approximately 3-fold by tripling the hemopurification
rate. Therefore, CHDF parameters greatly affected DRPM pharmacokinetics in
patients receiving CHDF. These results suggest that clearance via
hemodiafiltration increases proportionally to the hemopurification rate. Thus, it
is reasonable to conclude that DRPM dose must be increased to 1.0-1.5 g/day when
performing high-flow CHDF.
PMID- 21881316
TI - Reflecting the thoracic fellowship in Canada as a Japanese thoracic surgeon: is
there anything we should follow?
AB - In Japanese surgical society, there have been urgent discussions as to the
decrease in the number of junior doctors who want to be surgical specialists.
This problem seems to have originated from the loss of attractiveness of surgery.
One of the counter-measures to regain the attractiveness of surgical specialties
might be a well-organized training system, for which the Japanese Board of
General Thoracic Surgery (JBGTS), as well as those of other surgical
subspecialties, has struggled. Fortunately, I had an opportunity of general
thoracic surgery training in Canada, and had a chance to reflect on the thoracic
training programs of both countries. Based on my experience as a thoracic fellow
in Canada, I would like to introduce the Canadian way of thoracic surgery
training, referring to the differences between each program.
PMID- 21881317
TI - Valve-sparing aortic root replacement.
AB - The aortic root has a unique 3-dimensional configuration and the distinctive
function of supporting the aortic valve and blood vessels. The sinuses of
Valsalva are crucial to create appropriate eddy currents that are important in
initiating and coordinating aortic valve closure and promoting coronary artery
blood flow. Most aneurysms in the aortic root are associated with degenerative
changes in the elastic media rather than atherosclerosis. Valve-sparing root
repair has become widely accepted, although the Bentall procedure remains the
gold standard. Because reimplantation using the Valsalva graft allows root
geometry to be retained and theoretically and practically prevents recurrent
aortic valve regurgitation, it is considered the most reliable and preferred
technique among various valve-sparing aortic root repair procedures.
PMID- 21881318
TI - Extended thymectomy via videothoracoscopy-assisted stepwise-access sternotomy.
AB - A method of videothoracoscopy-assisted extended thymectomy procedure performed
through a movable small access window is introduced. The access window can be
moved stepwise and longitudinally alongside full sternotomy to be upon the
dissection site. The majority of the thymectomy procedure can be directly viewed
and operated from the moving window. However, partial and complete thoracoscopic
maneuvers are required for dissection of the lateral-most region near the phrenic
nerve and the upper poles of the thymus, respectively.
PMID- 21881319
TI - Ki-67 labeling index is associated with recurrence after segmentectomy under
video-assisted thoracoscopic surgery in stage I non-small cell lung cancer.
AB - OBJECTIVES: Video-assisted thoracoscopic surgery (VATS) segmentectomy for small
or early stage non-small cell lung cancer (NSCLC) remains controversial. Here, we
investigated the clinical importance of predicting recurrence by Ki-67 in VATS
segmentectomy for stage I NSCLC. METHODS: In a retrospective study, 44
consecutive patients in p-stage I underwent VATS segmentectomy between September
2003 and April 2009. After clinicopathological factors were compared with Ki-67
expression, the relationship between Ki-67 labeling indexes (LI) or mRNA
expression by quantitative RT-PCR and prognosis was investigated. RESULTS: Five
of 44 VATS segmentectomy patients relapsed. In the relapsed patients, 3 (6.8%)
were local recurrences and 2 (4.5%) were distant metastases. There was no
significant difference between clinicopathological factors and recurrence;
however, patients with Ki-67 LI less than 5% showed better disease-free survival
than patients with Ki-67 LI over 5% (p = 0.04). In multivariate Cox regression
analysis, although there was no significantly different in disease-free survival
by age, histology, tumor size, only Ki-67 LI showed a significant prognostic
factor of recurrence (HR = 12.5, 95% CI = [1.1-1407], p = 0.04). CONCLUSIONS: Ki
67 LI after VATS segmentectomy was a prognostic factor of disease-free survival
in NSCLC and the treatment of choice for patients with positive LI may be
considered, in addition to adjuvant chemotherapy, or lobectomy.
PMID- 21881320
TI - Complex reconstruction of supraaortic branches.
AB - OBJECTIVE: The present paper exemplary describes several severe stenoses of
supraaortic branches with its symptoms and operative treatments. METHODS: Eight
patients, two female (68 +/- 5 y), six male (73 +/- 4 y), were retrospectively
evaluated. Patients showed neurological signs as followed: recurring attacks of
vertigo (80%), temporary paresis of extremity (20%), speech disorders (20%) and
subclavian and/or carotic-steel-syndrome (15%). Seven patients have already been
previously treated with revascularization of the supraaortic branches in the
past. The surgical techniques used were thrombendarterectomy of the internal
carotid artery, carotid-subclavian bypass and complex aorto-truncal, aorto
carotid and aorto-subclavian-bypass. RESULTS: One patient died nine days
postoperatively due to myocardial infarction. Mean duration of stay on intensive
care unit was 1.5 days. Mean duration of postoperative ventilation was six hours.
Average duration of stay on normal ward was nine days. CONCLUSION: This study
presents several complex reconstructions of supraaortic branches, which were
indicated in cases with severe stenoses of supraaortic branches. Even though
treatment strategies were complex the peri- and postoperative complication rates
are quite low. These therapeutic strategies were necessary to avoid severe
neurological complications in these patients.
PMID- 21881322
TI - Mid-term results for the Maze procedure in patients with non-mitral valvular
atrial fibrillation.
AB - BACKGROUND: The Maze procedure in patients without mitral valve disease remains
controversial, because of the increased invasiveness and operation time required
to create additional incisions in the atria. The aim of this study was to assess
prognosis following the Maze procedure in patients without mitral valve disease.
METHODS AND RESULTS: One hundred and seven consecutive patients who underwent the
Maze procedure between 2002 and 2008 was enrolled in this study. Patients were
divided into two groups based on the presence or absence of mitral valve disease.
Freedom from atrial fibrillation was compared by multivariate logistic regression
analysis at discharge. The Kaplan-Meier method and Cox-proportional hazard
analysis adjusted for other predictors were estimated to compare freedom from
atrial fibrillation at follow-up. Follow-up was 98% complete and mean duration of
follow-up was 457 days. Operation and aorta cross-clamp times were similar
between groups. No differences were identified in freedom from atrial
fibrillation at discharge (non-mitral valve surgery, 55% vs. mitral valve
surgery, 66%) or follow-up (57% vs. 61%, respectively). In multivariate Cox
proportional hazard modelling, the presence of mitral valve disease was not
associated with a poor success rate of conversion. CONCLUSIONS: Results of the
Maze procedure for atrial fibrillation without mitral valve disease were
acceptable. The Maze procedure could be a beneficial option for these patients to
avoid adverse events of atrial fibrillation.
PMID- 21881321
TI - Zero mortality of continuous veno-venous hemodiafiltration with PMMA hemofilter
after pediatric cardiac surgery.
AB - OBJECTIVE: Continuous veno-venous hemodiafiltration (CVVH) is used as one of the
modalities of continuous renal replacement therapy (CRRT) in pediatric intensive
units. The aim of our study was to investigate the use of CVVH in small children
with acute renal failure (ARF) after cardiac surgery. PATIENT AND METHODS:
Between June 2005 and June 2008, 7 patients who required dialysis after pediatric
cardiac surgery without ECMO underwent CVVH with polymethylmethacrylate membrane
(PMMA) treatment. The definition of ARF was based on a 100% rise in serum
creatinine (Cr) concentration, oliguria. On the other hand, PMMA-CVVH was weaned
in patients with satisfactory urine output, stable biochemical markers of renal
function and adequate fluid balance. RESULTS: All patients treated with PMMA-CVVH
alone (4 boys, 3 girls) had a median age of 36 months and a median body weight of
11 kg. The averaged established time from cardiac operation to CVVH was 2.6 days.
There was a significant decrease in the post-filter compared with pre-filter
levels of BUN, Cr, potassium concentration. There were no significant changes in
systolic blood pressure, lactate level and CRP; however, it was unnecessary for
all patients to use epinephrine. CONCLUSIONS: Continuous veno-venous
hemodiafiltration with PMMA-CVVH without ECMO achieved a surprisingly Zero
mortality.
PMID- 21881323
TI - Low-dose atrial natriuretic peptide for chronic kidney disease in coronary
surgery.
AB - PURPOSE: Chronic kidney disease (CKD) is an independent risk factor for
cardiovascular disease. We investigated the effectiveness of human atrial
natriuretic peptide (hANP) infusion in CKD patients undergoing coronary artery
bypass grafting (CABG). PATIENTS AND METHODS: We analyzed 134 consecutive cases
in which CABG had been performed in our hospital from 2002 to 2005. They were
divided into four groups: Group A (n = 19) was CKD + placebo, Group B (n = 30)
was non-CKD + placebo, Group C (n = 22) was CKD + hANP, and Group D (n = 63) was
non-CKD + hANP). The serum creatinine (mg/dl) and estimated glomerular filtration
rate (ml/min/1.73 m2) were measured as evaluation values. RESULTS: The value of
sCr changed preoperatively and at 1 year postoperatively from 1.09 +/- 0.09, 51.3
+/- 4.4 to 1.26 +/- 0.42, 49.4 +/- 14.4 in Group A, from 0.77 +/- 0.14, 75.5 +/-
12.1 to 0.91 +/- 0.40, 72.3 +/- 19.5 in Group B, from 0.99 +/- 0.12, 54.8 +/- 3.0
to 0.93 +/- 0.16, 64.2 +/- 12.3 in Group C and from 0.77 +/- 0.13, 77.7 +/- 13.4
to 0.83 +/- 0.17, 75.9 +/- 16.2 in Group D, respectively. There was a significant
difference between Group A and Group C regarding the change of creatinine (p
=0.0022). CONCLUSION: Our study has confirmed that an infusion of hANP during
CABG in patients with CKD not only improves perioperative renal function, but
also prevents the progression of CKD.
PMID- 21881324
TI - The effect of sivelestat sodium hydrate on severe respiratory failure after
thoracic aortic surgery with deep hypothermia.
AB - Patients who undergo thoracic aortic surgery with deep hypothermia frequently
have postoperative respiratory failure as a complication. Severe lung injury in
these patients results in a fatal outcome. A specific neutrophil elastase
inhibitor, sivelestat sodium hydrate, is an innovative therapeutic drug for acute
lung injury. We evaluated the protective effects of sivelestat sodium hydrate on
severe lung injury after thoracic aortic surgery with deep hypothermia. From
January 2002 to July 2007, 71 consecutive patients underwent thoracic aortic
surgery with deep hypothermia. Of these patients, 22 had postoperative
respiratory failure with PaO2/FiO2 ratios of less than 150. They were randomly
assigned to one of two groups. The first group (Group S, n = 10) was administered
sivelestat sodium hydrate continuously at 0.2 mg/kg/h until weaning from
mechanical ventilation; the second group (Group C, n = 12) was not administered
sivelestat sodium hydrate. The groups were comparable with respect to clinical
data. There were no significant differences between the two groups in age,
operation duration, total cardiopulmonary bypass time, circulatory ischemia time,
cardiac arrest time, intraoperative blood loss, and total transfusion volume. The
improvement of pulmonary function was observed in the both groups, but more
marked in Group S by statistical analysis using analysis of variance for repeated
measurements. Especially, in the early phase, pulmonary function improvement was
more marked in Group S. The duration of mechanical ventilation, the length of
stay in the intensive care unit, and the length of hospital stay were shorter in
Group S, but not significantly. Sivelestat sodium hydrate is a specific
neutrophil elastase inhibitor that improves pulmonary function in patients with
severe postoperative respiratory failure following thoracic aortic surgery with
deep hypothermia. The drug may shorten the duration of postoperative ventilation,
intensive care unit stay, and hospital stay.
PMID- 21881325
TI - Do preoperative statins reduce atrial fibrillation after coronary artery bypass
grafting?
AB - OBJECTIVE: Recent studies have demonstrated that statins have pleiotropic
effects, including anti-inflammatory effects and atrial fibrillation (AF)
preventive effects. The objective of this study was to assess the efficacy of
preoperative statin therapy in preventing AF after coronary artery bypass
grafting (CABG). METHODS: 221 patients underwent CABG in our hospital from 2004
to 2007. 14 patients with preoperative AF and 4 patients with concomitant valve
surgery were excluded from this study. Patients were divided into two groups to
examine the influence of statins: those with preoperative statin therapy (Statin
group, n = 77) and those without it (Non-statin group, n = 126). In addition,
patients were divided into two groups to determine the independent predictors for
postoperative AF: those with postoperative AF (AF group, n = 54) and those
without it (Non-AF group, n = 149). Patient data were collected and analyzed
retrospectively. RESULTS: The overall incidence of postoperative AF was 26%.
Postoperative AF was significantly lower in the Statin group compared with the
Non-statin group (16% versus 33%, p = 0.005). Multivariate analysis demonstrated
that independent predictors of AF development after CABG were preoperative statin
therapy (odds ratio [OR] 0.327, 95% confidence interval [CI] 0.107 to 0.998, p =
0.05) and age (OR 1.058, 95% CI 1.004 to 1.116, p = 0.035). CONCLUSION: Our study
indicated that preoperative statin therapy seems to reduce AF development after
CABG.
PMID- 21881326
TI - Encouraging experience with intracardiac transplantation of unselected autologous
bone marrow cells concomitant with coronary artery bypass surgery after
myocardial infarction.
AB - BACKGROUND: Chronic heart failure after myocardial infarction is still a serious
problem without a fundamental therapy. Experimental transplantation of bone
marrow cells (BMC) into infarcted myocardium resulted in regeneration and
functional improvement. OBJECTIVE: Clinical investigation of safety and efficacy
of intracardiac transplantation of unselected autologous BMC. METHOD: 22 patients
scheduled for elective and isolated coronary artery bypass grafting (CABG) with a
reduced LVEF due to myocardial infarction were included. Intraoperatively,
sternal bone marrow blood was aspirated, and a sterile buffy coat was prepared
and applicated. 19 age, LVEF and coronary disease matched patients served as
controls. Heart function, geometry, and scar proportion were assessed by
echocardiography and Gadolinium-MRI at the time of the operation and 6 months
thereafter. RESULTS: Transplanted patients received a mean number of 360 * 106
BMC. We did not notice any significant differences in early or late complications
in the transplant group as compared to controls. At six months follow up only the
transplanted patients showed a significant improvement of NYHA classes from 2.7
to 1.5 and of LVEF from 36 to 43 %, (p < 0.05). Furthermore, only CABG
concomitant with BMC-TX led to a significant reduction of left ventricular end
diastolic diameter (LVEDD) from 59 to 54 mm and of scar proportion of the
infarcted segments from 2.53 to 2.42, (p < 0.05). CONCLUSION: Intracardiac
transplantation of unselected, autologous BMC is safe and feasible. In adjunct
with coronary revascularization it leads to an improvement of ventricular
geometry and function. Moreover, it reduces myocardial scar proportion and heart
failure symptoms.
PMID- 21881327
TI - Adalimumab-associated pulmonary cryptococcosis.
AB - This is the first report of adalimumab-associated pulmonary cryptococcosis. A 56
year-old female with rheumatoid arthritis without a history of pulmonary disease
was simultaneously administered adalimumab (40 mg/2 wks), methotrexate (4 mg/wk),
and isoniazid (200 mg/day). Five months later, chest radiography revealed a small
spiculated pulmonary nodule, and the laboratory test results, including levels of
tumor markers and plasma beta-D-glucan, were within normal ranges. Since the
lesion continued to grow, even after discontinuing adalimumab, it was surgically
resected. Grocott staining of the tissue sample revealed black-brown fungi,
identified as Cryptococcus neoformans in culture. The patient now remains well,
without adalimumab therapy.
PMID- 21881328
TI - Preferred surgical approach for dumbbell-shaped tumors in the posterior
mediastinum.
AB - We present the case of a 67-year-old male smoker with a posterior mediastinal
hemangioma. Radiological findings revealed a dumbbell-shaped tumor with a
neuroforaminal extension in the right paravertebral space. Under the preoperative
diagnosis of a neurogenic tumor, surgery was performed using a combined anterior
and posterior approach. During the thoracotomy, the tumor was found to be a
hemangioma. We ligated the involved vessels before performing laminectomy, thus
ensuring that complete tumor resection was achieved without massive bleeding in
the spinal canal. Dumbbell-shaped hemangiomas are rare, and preoperative
confirmation of the diagnosis is challenging. Thoracotomy before laminectomy is
optimal for the resection of dumbbell-shaped tumors of the mediastinum,
especially with marked vascularity, given that the initial thoracotomy procedures
facilitate the subsequent laminectomy procedures.
PMID- 21881329
TI - Extra-corporeal membrane oxygenation in a patient with Fusobacterium sepsis: a
case report and review of literature.
AB - An adolescent female was admitted to the pediatric intensive care unit in septic
shock. She developed multisystem organ dysfunction including pancreatitis with
myocardial dysfunction and hemodynamic instability unresponsive to medical
management necessitating veno-arterial extracorporeal support. Streptococcus
Constellata and Fusobacterium necrophorum were isolated from blood cultures. This
is the first report of extra-corporeal cardiac support in fusobacterium sepsis.
PMID- 21881330
TI - Giant solitary fibrous tumour of pleura -an uncommon intrathoracic entity- a case
report and review of the literature.
AB - A 43-year-old woman presented to us with progressive breathlessness, dry cough
and weight loss. A chest radiograph showed homogeneous opacification of the
entire left hemithorax. A contrast enhanced computed tomography (CECT) scan of
the thorax showed a large intrathoracic mass occupying almost the entire left
hemithorax and appeared grossly inoperable. A transcutaneous CT guided tru-cut
biopsy revealed a solitary fibrous tumour. We reviewed the CT scans based on the
biopsy report, and, in retrospect, the mediastinal vessels seemed more stretched
and pushed by the tumor rather than directly infiltrated by it. We performed an
exploratory thoracotomy and to our surprise, were able to dissect the mass quite
easily off the mediastinum. She had an uneventful postoperative recovery, and the
final histopathology confirmed a solitary fibrous tumor. We report this case to
emphasize that a cursory clinico-radiological interpretation can dissuade
surgical intervention in these patients.
PMID- 21881332
TI - A case of sclerosing hemangioma forming a pedunculated mass.
AB - We report our experience with an unusual case of sclerosing hemangioma (SH) that
formed a pedunculated mass protruding into the thoracic cavity. A pulmonary tumor
was found in a 60-year-old female during the medical examination. Computed
tomography showed a 19 * 17-mm nodule with a clear border and smooth margin
contiguous with the diaphragm in the right S8 segment. Uneven enhancement
following contrast medium administration was observed. We performed a 3-port
thoracoscopic wedge resection of the right lower lobe. We observed a yellow
pedunculated tumor protruding from the diaphragmatic surface of the right lower
lobe. The surface of the tumor was smooth and encapsulated. Microscopically, we
diagnosed it as a SH. SHs usually exist adjacent to the visceral pleura, but
rarely form pedunculated tumors protruding into the cavity as seen in this case.
By thoracoscopic surgery, we successfully diagnosed and treated the patient in a
minimally invasive manner. Since there have been reported cases of recurrence, we
anticipate that periodic follow-up observations will be required.
PMID- 21881331
TI - Solitary pulmonary metastasis from carcinoma of the papilla of vater.
AB - Pulmonary metastasis from carcinoma of the papilla of Vater is considered to be a
late event, and patients can be treated with radiotherapy, chemotherapy, or
palliative surgery. However, surgical treatment of an isolated lung metastasis
has not been reported. We present a surgical case of solitary pulmonary
metastasis from carcinoma of the papilla of Vater. A 51-year-old man underwent
pylorus-preserving pancreaticoduodenectomy for Vater carcinoma. During follow-up,
chest computed tomography revealed a nodular shadow in the right lung. The
pathological examination demonstrated the appearance of the pulmonary tumor
resembled that of the previously resected Vater carcinoma, and both tumors showed
similar immunostaining properties, leading to the pathological diagnosis of
pulmonary metastasis from carcinoma of the papilla of Vater. Isolated pulmonary
metastasis from carcinoma of the papilla of Vater is extremely rare, but surgery
could be the treatment of choice.
PMID- 21881333
TI - Left atrial intramural hematoma after resection of myxoma: report of a case.
AB - A 73-year-old woman was referred for treatment of left atrial (LA) myxoma. At
surgery, a myxoma was attached to the left atrial side of the fossa ovalis in the
atrial septum by a stalk and was transmurally excised with a margin of the atrial
septum. The atrial septum was closed without any prosthetic materials under mild
to moderate tension. Although she was asymptomatic, postoperative transesophageal
echocardiography (TEE) revealed an abnormal cavity, containing heterogeneous
echogenesity without blood flow, in the posterior LA wall. Magnetic resonance
imaging (MRI) demonstrated a mass without significant enhancement. It was
considered to be an intramural hematoma, and the diagnosis of LA dissection was
made. Follow-up echocardiography showed disappearance of the dissected lumen
without surgical intervention. Both TEE and MRI are useful for the correct
diagnosis of an LA dissection; and surgical intervention, entry closure or
internal drainage, may not always be necessary in the absence of a hemodynamic
compromise with an LA dissection.
PMID- 21881334
TI - Septic embolic occlusion of the superior mesenteric artery induced by mitral
valve endocarditis.
AB - A 75-year-old woman, who had been treated for rheumatic arthritis, was
transferred to our hospital because of acute abdomen and continuous fever for
several weeks. She had peritonitis, and abdominal computed tomography detected a
thrombus occluding the proximal superior mesenteric artery and infarctions of the
kidneys and spleen. Echocardiography showed a large vegetation on the anterior
leaflet of the mitral valve. The necrotic small bowel and ascending colon were
resected, and mitral valve replacement was performed 5 days later. She suffered
from hyperbilirubinemia and pneumonia for several weeks after the operation but
recovered successfully thereafter.
PMID- 21881335
TI - Quadricuspid aortic valve with ascending aortic aneurysm: a case report and
histopathological investigation.
AB - We describe the case of a 69 year-old woman with a dilated ascending aorta, who
presented with aortic valve regurgitation due to a quadricuspid aortic valve
(QAV). There are only a few reports in the literature describing aortic
replacement and subsequent aortic valve replacement for a malfunctioning QAV. We
discuss the pathogenesis of the dilated ascending aorta in this patient and the
indication for ascending aorta replacement in such cases.
PMID- 21881336
TI - Acute occlusion of the abdominal aorta with concomitant internal iliac artery
occlusion.
AB - Acute aortic occlusion is a rare but catastrophic pathology with high mortality
even after revascularization. We describe four patients who underwent
thrombectomy or bypass surgery for acute aortic occlusion with concomitant
internal iliac artery occlusion. Two patients (82- and 75-year-old men), who had
insufficient reperfusion of bilateral internal iliac arteries after treatment
(thrombectomy alone and axillobifemoral bypass, respectively), died on
postoperative day three of uncontrollable hyperkalemia and multiple organ
failure, respectively (mortality: 50%). The third patient (74-year-old man), in
whom the left internal iliac artery was reperfused after an axillobifemoral
bypass, underwent right lower limb amputation but survived. The fourth patient
(63-year-old man) with sufficient internal iliac artery reperfusion bilaterally
after aortobifemoral and right internal iliac artery reconstruction, had an
uneventful postoperative course. Elevated creatine phosphokinase and
myoglobinuria levels were observed in all four patients but were notably higher
in the two patients with no reperfusion in either of the internal iliac arteries.
Our results suggest that reperfusion of one or more internal iliac arteries may
be a crucial factor in reducing mortality in revascularization treatment of acute
aortic occlusion with concomitant internal iliac artery occlusion.
PMID- 21881337
TI - A successful surgical case of an 80-year-old patient with type A acute aortic
dissection complicated by preoperative multiple organ failure.
AB - An 80-year-old woman was hospitalized in a state of shock accompanied by blood
acidosis due to type A acute aortic dissection complicated by respiratory, liver,
and kidney failure. A warning was given to her family that lack of intervention
may possibly lead to an early death, and permission for the intervention was
obtained. After undergoing a "less invasive quick replacement (LIQR)," a newly
modified procedure that we had developed, the patient improved gradually, went
home without any complications, and continues to be well. Emergency surgery for
octogenarians remains controversial, particularly for patients with a
preoperative, compassionate indication. Here, we report a survival case for an
emergency operation using LIQR to treat an octogenarian diagnosed with type A
acute aortic dissection complicated by multiple organs failure.
PMID- 21881338
TI - Extremely localized aortic dissection and intussusception of the intimal flap
into the left ventricle.
AB - Stanford type A aortic dissection frequently deforms the aortic root and causes
aortic regurgitation (AR). On the rare occasion, massive AR can occur due to
circumferential intimal disruption and prolapse of the cylinder-shaped intimal
flap into the left ventricle. Because of the critical, general, and hemodynamic
state of such patients, surgery for this condition carries a high risk. A 62-year
old woman suffered acute chest pain and fell into cardiogenic shock. Computed
tomography and transthoracic echocardiography failed to identify the etiology of
this rapid hemodynamic collapse. Transesophageal echocardiography (TEE)
demonstrated circumferential intimal disruption, 3 centimeters above the aortic
valve annulus; a very localized aortic dissection in the proximal ascending
aorta; and a to-and-fro motion of cylinder-shaped intima causing severe AR. The
dissection did not affect the aorta beyond the intimal tear, and TEE was the only
useful modality for the diagnosis. Emergency replacement of the ascending aorta
and resuspension of the aortic valve was successfully performed. Residual AR was
absent, and the postoperative course was uneventful.
PMID- 21881339
TI - Unification of T2a and T2b tumors to T2 tumors in non-small cell lung cancer
staging.
AB - INTRODUCTION: We investigated the validation of the seventh edition of the TNM
staging (2009) system for lung cancer, retrospectively. METHODS: From January
1990 to March 2004, 1629 patients who underwent lung resection with systemic
lymph node dissection for non-small cell lung cancer at Nippon Medical School and
Saitama Cancer Center were included. The overall survivals after surgery by each
pathological stage according to the 1997 and 2009 systems were statistically
analyzed using Kaplan-Meier estimated survival curves, and the significance of
the difference was analyzed by the log-rank test. RESULTS: The 2009 system had
significant prognostic distinction between each T descriptor except for T2a and
T2b, and between each M descriptor. The 2009 system had better prognostic
distinction between each pathological stage except for stages IB and IIA, and
stages IIIB and IV. In the simulation, we unified T2a and T2b tumors into T2
tumors, and T2bN0M0 and T2bN1M0 were moved to stages IB and IIA, respectively.
This proposed system had significant prognostic distinction between the proposed
IB, IIA, and IIB stages. CONCLUSIONS: The 2009 system provides better patient
selection for surgery and prognostic distinction between each stage except for
stages IB and IIA, and stages IIIB and IV, compared with the 1997 system.
Unification of T2a and T2b tumors to T2 tumors can improve prognostic distinction
between stages IB and IIA.
PMID- 21881340
TI - Non-invasive evaluation of internal thoracic artery anastomosed to the left
anterior descending artery with 320-detector row computed tomography and
adenosine thallium-201 myocardial perfusion scintigraphy.
AB - PURPOSE: We evaluated the relationship between internal thoracic artery (ITA)
stenosis anastomosed to the left anterior descending artery (LAD) and the degree
of LAD stenosis using 320-detector row computed tomography (320-ADCT) and
adenosine thallium-201 myocardial perfusion scintigraphy (Tl-201-MPS). METHODS:
We included 101 patients who underwent coronary artery bypass grafting (CABG)
using ITA grafts; 320-ADCT and adenosine Tl-201-MPS were performed 2-3 months
after CABG. Clinical parameters, degree of LAD stenosis, and regional myocardial
ischemia of the LAD territory were compared between patients without ITA stenosis
(Group A) and with ITA stenosis (Group B). RESULTS: Thirty patients (30%) had
<=75% LAD stenosis, and 9 patients (30%) showed significant ITA stenosis.
Regional ischemia was noted in 23 patients (23%). There were no differences in
clinical parameters between the 2 groups. Twenty-two patients (24%) in Group A
and 8 patients (89%) in Group B had <=75% LAD stenosis (P <0.002). No Group B
patients had regional myocardial ischemia of the LAD territory. CONCLUSION: We
concluded that <=75% LAD stenosis significantly influences ITA stenosis, without
associated regional myocardial ischemia of the LAD territory. Non-invasive 320
ADCT and adenosine Tl-201-MPS for ITA evaluation may be useful for long-term
follow-up of patients after CABG.
PMID- 21881341
TI - A case of Mullerian cyst arising in posterior mediastinum.
AB - A mediastinal Mullerian cyst was initially reported as a new category of
congenital cyst by Hattori, et al. in 2005. We treated a 53-year-old female
referred to us with a posterior mediastinal tumor found at the Th5 prevertebral
level by chest-computed tomography during a medical check-up. She had a history
of mediastinal teratoma, which was removed at the age of 35. Chest magnetic
resonance imaging revealed homogenous, high-intensity signals in T2-weighted
images. The lesion was resected using a thoracoscopic procedure, and histologic
and immunohistochemical staining revealed a ciliated cyst of Mullerian origin.
The newly established mediastinal Mullerian cyst should be included in the
differential diagnosis of posterior mediastinal cysts.
PMID- 21881342
TI - The cervical anterior approach for the resection of superior posterior neurogenic
tumor: a case report.
AB - Many approaches for resection of the superior mediastinal tumors have been
reported. We introduce an approach, which we call the cervical anterior approach.
This approach is only cervical and does not require a sternotomy. Merits of this
approach include the ability to remove the tumor without opening the mediastinal
or parietal pleura, as well as obviating draining the thoracic cavity. The tumor
is also directly visible, and the surgeon can avoid injury to the great vessels.
This approach is recommended when the tumor is located superior to the third
thoracic vertebra level, when it borders the great vessels, and when it does not
border the trunk of the brachial plexus or nerve root. This approach is easy and
safe for surgical procedures.
PMID- 21881343
TI - Successful resection of mediastinal seminoma evaluated the response to induction
chemotherapy with fluorodeoxyglucose-positron emission tomography.
AB - Mediastinal seminoma is a rare malignant tumor, and the current strategy for
primary mediastinal seminomas is making a prompt diagnosis and achieving an
appropriate chemotherapy. However, consensus regarding the optimal post
chemotherapy management has not been reached. We experienced a case of 26-year
old man who was diagnosed mediastinal seminoma and evaluated the response to
induction chemotherapy with fluorodeoxyglucose-positron emission
tomography/computed tomography (FDG-PET/CT). Complete surgical excision of the
tumor was performed. Pathologic findings of the surgical specimen showed no
viable cells in the tumor.
PMID- 21881344
TI - Coronary artery and mitral valve surgery in Takayasu's arteritis: a case report.
AB - Concomitant coronary artery disease and mitral valve disease are rare in
Takayasu's Arteritis. Our patient had Takayasu's Arteritis diagnosed 9 years ago.
She had an inferior myocardial infarction and double stent implantation 8 months
ago. She was admitted to the hospital for chest pain, and 3 vessel diseases were
diagnosed with significant mitral regurgitation due to anterior leaflet prolapse.
In this report, we present perioperative management of our patient who underwent
coronary artery bypass grafting and mitral valve replacement.
PMID- 21881345
TI - Deformities of pulmonary and aortic annulus 42 years after repair of tetralogy of
Fallot.
AB - We report an extremely rare case of deformity of the pulmonary sinus of Valsalva
with pulmonary valvular stenosis 42 years after a pulmonary annular-sparing
operation for tetralogy of Fallot. Aortic regurgitation with deformity of the
sinus is also noted. At the previous operation, the right ventricular outflow
tract was augmented by a prosthetic subvalvular patch. Through the years, the
pulmonary valve and sinus were distorted because the patch was pulled over toward
the right ventricle.
PMID- 21881346
TI - Surgical ventricular restoration improves the left ventricle basal wall function
using quantitative gated SPECT.
AB - OBJECTIVE: It is not clear whether surgical ventricular restoration (SVR) or
procedures approaching mitral complex for controlling functional mitral
regurgitation (MR) affect the regional left ventricular wall function. The
purpose of the present study was to evaluate the regional LV function after SVR
using overlapping left ventriculoplasty (OLVP) using quantitative gated
myocardial perfusion SPECT (QGS). PATIENTS AND METHOD: Forty-one heart failure
patients, including those with ischemic cardiomyopathy (ICM) (n = 25) and non-ICM
(NICM) (n = 16), underwent SVR and/or papillary muscle approximation (PMA). The
rest myocardial perfusion SPECT were performed before and early after operation
(mean 25.8 +/- 10.6 days). These patients were divided into 4 groups based on the
surgical procedures (SVR and/or PMA) and etiology of patients (ICM or NICM) as
follows: SVR (with or without PMA) of ICM, SVR of NICM, PMA of ICM and PMA of
NICM groups. The regional wall thickening was compared before and after the
operation between the four groups. RESULTS: NYHA functional classes were improved
after the operation in all four groups. MR grade was also improved in three
groups other than SVR of the ICM group. The left ventricular basal wall
thickening was improved postoperatively in following three groups (SVR of ICM:
12.7 +/- 3.8% to 16.5 +/- 4.6% p <0.05, PMA of ICM: 11.1 +/- 4.3% to 14.9 +/-
4.8% p <0.05, SVR of NICM: 5.8 +/- 6.6% to 12.3 +/- 6.4% p <0.05), whereas PMA of
the NICM group did not show an improvement. Wall thickening in the middle and
distal levels was not improved in all groups. CONCLUSION: OLVP improved NYHA
functional classes, and also improved the regional wall function at the basal
level of the left ventricle. In contrast, lone PMA did not improve or impair the
regional wall function at any of the levels.
PMID- 21881348
TI - Intrapericardial diaphragmatic hernia after coronary artery bypass grafting using
the right gastroepiploic artery graft: report of a case.
AB - Surgeons should be aware of diaphragmatic hernia in obese patients who have
undergone coronary artery bypass grafting (CABG) using a gastroepiploic artery
graft (GEA), even if the antegastric route is utilized.We report a case of
diaphragmatic hernia, which occurred 88 months after initial CABG. A 64-year-old
obese man underwent surgical repair of a diaphragmatic hernia. At initial
surgery, the diaphragm was incised vertically and re-sutured, leaving a route for
GEA graft. Both the stomach and the lateral segment of the liver were dislocated
in the pericardial space. The diaphragmatic defect was closed with a
polytetrafluoroethylene patch.
PMID- 21881347
TI - Major airways trauma, management and long term results.
AB - PURPOSE: The number of patients with traumatic and iatrogenic tracheobronchial
injuries is increasing. Early diagnosis, prompt establishment of a secure airway,
and appropriate management could prevent sequelae and lead to a good outcome.
METHODS: Between "1994-2007", 35 patients with major airways trauma were managed.
This descriptive and retrospective study evaluates clinical findings, diagnostic
approaches, initial managements, definitive surgical or nonsurgical treatments
and follow-up results. SPSS was used for descriptive outcomes. RESULTS: There
were 27 males (77%) and 8 females, with a mean age of 28.2. There were 16 blunt,
11 penetrating and 8 iatrogenic traumas, at the level of the larynx in 1, larynx
and hypopharynx in 3, laryngotracheal in 12, tracheal in 13, tracheobronchial in
1, and main bronchi in 5 patients. Fourteen patients (40%) were initially
managed, and 21 patients were referred to us after their initial managements at
outside hospitals. There were 7 complications (20%); one resulted in mortality
(2.9%). The overall final results were good in 57.1%, acceptable in 31.4% and
poor in 5.7% of patients, (mean follow-up time, 58.2 months). The respiratory
status and the phonation looked better in the initially managed than the delayed
managed group. CONCLUSION: We recommend that, patients only become respiratory
stable with minimum intervention and then be referred to centers with sufficient
experience in airway surgery.
PMID- 21881349
TI - A surgical case of prosthetic valve endocarditis with a difficult diagnosis.
AB - Early diagnosis and treatment of prosthetic valve endocarditis (PVE) is important
because it has a high mortality rate. We report a case of PVE which was difficult
to diagnose. A 36-year-old man, who had undergone an aortic valve replacement
(AVR) 7 years prior, was hospitalized with a high fever of unknown origin. We
could not detect a stuck valve, vegetations or abscesses using echocardiography,
and the peak aortic transvalvular pressure gradient had increased to 81 mmHg. We
suspected PVE and initiated intravenous antibiotic therapy immediately. On day 5,
echocardiography demonstrated an abnormal shadow directly under the prosthesis,
and we definitively diagnosed PVE and performed an operation. Intraoperatively,
the prosthesis was not vegetative, but the left ventricular outflow tract was
filled with vegetation that was nearly obstructing it. After dissecting the
infectious focus, we performed a re-AVR. Postoperative echocardiography showed
that the peak left ventricular aortic pressure gradient decreased to 30 mmHg.
Obstructive vegetation is difficult to diagnose by preoperative echocardiography.
PMID- 21881350
TI - Successful closure of a patent ductus arteriosus using an aortic stent graft.
AB - Closure of patent ductus arteriosus (PDA) in the elderly is a high-risk procedure
due to the fragility of the aorta and aneurysmal changes in the ductus. Stent
grafting has emerged as a method for treating aortic disease. We describe a case
in which this endovascular technique was successfully performed for closure of a
PDA with aneurismal change in a high-risk patient. This approach may comprise the
armamentarium for treating this pathology in adults.
PMID- 21881351
TI - A case of diffuse large B-cell lymphoma of the lung demonstrating diffuse ground
glass shadows.
AB - We report a case of 77-year-old woman suffering from breathlessness on exertion
and dry cough. Chest computed tomography (CT) showed diffuse ground-glass
shadows. A video-assisted thoracoscopic lung biopsy resulted in the diagnosis of
diffuse large B-cell lymphoma (DLBCL). Gene rearrangement analysis using
polymerase chain reaction (PCR) technique was performed on the cells in
bronchoalveolar lavage (BAL) fluid, and showed the clonality of the
immunoglobulin heavy chain (IgH) gene, supporting the diagnosis. DLBCL should be
considered in the differential diagnosis of diffuse ground-glass shadows in the
chest CT, and gene rearrangement analysis may have an impact on the diagnosis of
pulmonary DLBCL.
PMID- 21881352
TI - Acute aortic dissection with intestinal ischemia: what to do first.
AB - PURPOSE: In the case of an acute aortic dissection, a surgical aortic procedure
is usually the priority in order to restore the perfusion of vital organs.
Afterwards, associated ischemic abdominal visceral lesions can be resected. For
particular patients, it could be highly beneficial to perform the abdominal
surgery before surgically addressing the aorta. The aim of this paper is to
contribute to the therapeutic choice in cases of acute aortic dissection with
acute abdomen. CASE REPORT: The case is reported of a 38-year-old patient,
affected by an acute aortic dissection (Stanford type A) and
peritonitis.Suspecting the necessity for a complex combined surgical procedure,
the patient underwent emergency diagnostic laparoscopy, which showed an
infarctual necrosis of the distal ileum and right colon. Therefore, he
immediately underwent a wide right hemicolectomy. Afterwards, an ascending aortic
substitution was performed.The patient was discharged on the 15th post-operative
day, and he is doing well, 1 year and 3 months after the operation. CONCLUSION:
In the case of an acute aortic dissection with acute abdomen, emergency
laparoscopy is a precious surgical technique to identify criteria that can lead
to therapeutic decisions, including timing.
PMID- 21881353
TI - Effects of olmesartan on the renin-angiotensin-aldosterone system for patients
with essential hypertension after cardiac surgery--investigation using a
candesartan change-over study.
AB - BACKGROUND: Various angiotensin II receptor blockers are widely used for the
treatment of hypertension in recent years. The results of large-scale clinical
studies have shown that they have various efficacies: not only hypotensive
effects but also organ protective effects. In this study, the effects of a change
over from candesartan to olmesartan on renin-angiotensin-aldsterone system,
cardiomegaly and peripheral circulation were studied. METHODS: Participants
enrolled in this trial were outpatients with essential hypertension after cardiac
surgery who had received candesartan for more than one year. Fifty-six patients
switched from candesartan to olmesartan. The primary endpoints were 1) renin
activity, angiotensin II, aldosterone, and 2) left ventricular mass index (LVMI).
RESULTS: It was clear that angiotensin II and aldosterone are decreased by the
potent hypotensive effects of olmesartan in a change-over from candesartan to
olmesartan. Since LVMI and BNP were decreased, inhibitory effects on myocardial
hypertrophy were also confirmed. CONCLUSION: In the present study, left
ventricular hypertrophy and on arterial compliance were inhibited by a decrease
in angiotensin II and aldosterone due to the change-over to olmesartan. In the
future, protective effects on organs will be clarified by long-term observations.
PMID- 21881355
TI - Emergency coronary artery bypass grafting for left main shock syndrome.
AB - OBJECTIVE: Acute myocardial infarction (AMI) complicated by cardiogenic shock and
left main coronary artery disease (left main shock syndrome) shows high
morbidity, and whether early coronary artery bypass grafting (CABG) improves the
clinical outcome remains unclear. METHODS: Six consecutive patients (mean age,
61.6 years) with MI complicated by left main shock syndrome underwent emergency
CABG. Patients were divided into 2 groups according to the time from MI to
reperfusion; within 8 hours in 3 patients and beyond 8 hours in the remaining.
Average postoperative peak creatinine kinase (CK) and creatinine kinasemyosin
band (CK-MB) levels were recorded, and the ejection fraction (EF) was measured
with ultrasound cardiography. RESULTS: Significant differences in postoperative
EF and CPK-MB were observed between the 2 groups. The 30-day survival rate was
100%. Five patients left the hospital alive, while 1 died on postoperative day
78. CONCLUSIONS: AMI complicated by cardiogenic shock and left main coronary
artery disease can be effectively treated with emergency CABG, with acceptable
mortality and morbidity. Emergency CABG for MIs within 8 hours can improve
survival in patients with left main shock syndrome.
PMID- 21881354
TI - A clinical study of the prognostic factors for postoperative early recurrence in
patients who underwent complete resection for pulmonary adenocarcinoma.
AB - PURPOSE: The 2-[F-18]-Fluoro-2-deoxy-D-glucose (FDG) uptake in positron emission
tomography (PET) and serum neutrophil/lymphocyte ratio (NLR) are recently
noteworthy prognostic factors. We studied the prognostic factor to predict early
recurrence after curative resection for pulmonary adenocarcinoma including FDG
uptake and NLR. METHODS: We performed a retrospective review of 23 patients who
underwent a complete resection for pulmonary adenocarcinoma. The patients were
divided into 2 groups: 19 patients in the disease-free group, and 4 patients in
the recurrent group. Clinical and pathological factors concerning the recurrence
within 1 year of surgery were analyzed between two groups. RESULTS: No
significant differences between the recurrent group and disease-free group was
seen in age, gender, CEA, NLR, CRP, pathological stage, pleural invasion,
pathological grading, Ki-67 expression, venous invasion and lymphatic invasion.
The SUVmax was significantly elevated in the recurrent group (12.5 +/- 2.01 vs.
5.70 +/- 3.97, p = 0.0094). Tumor size was significantly larger in the recurrent
group (5.58 +/- 0.71 vs. 3.62 +/- 1.33 cm, p = 0.0058). The first, recurrent
sites in 4 patients were brain, in 3 patients; and lung, in 1 patient.
CONCLUSION: Both tumor size and SUVmax are possible predictors of early
recurrence after curative resection in patients with pulmonary adenocarcinoma.
Although it is impossible to determine the SUVmax as an independent prognostic
factor, the SUVmax may be one of the predictors of early hematogenous recurrence
in surgically treated pulmonary adenocarcinoma.
PMID- 21881357
TI - Teratoma with naturally occurring malignant transformation in a child.
AB - We present a 12-year-old girl with a teratoma with malignant transformation (TMT)
of the mediastinum. Computed tomography showed a cystic mass (5.0 cm * 4.0 cm)
with a thick solid portion, in the anterior mediastinum. Six months later, the
solid portion of the mass had enlarged, and surgical resection was performed. The
resected tumor was 7.0 * 5.0 * 4.0 cm in size. The cystic portion was a mature
teratoma, and the solid portion predominantly comprised a viable embryonal
rhabdomyosarcoma. There were no immature teratomatous elements or other germ-cell
components. The histopathologic diagnosis was a mature teratoma with embryonal
rhabdomyosarcoma, a so-called TMT. The tumor recurred, despite adjuvant
chemotherapy. The patient died of progressive disease 16 months postoperatively.
To the best of our knowledge, no naturally occurring TMT of the mediastinum has
previously been reported in a child. Surgical resection at an early stage is
necessary.
PMID- 21881356
TI - Female gender is an independent prognostic factor in non-small-cell lung cancer:
a meta-analysis.
AB - PURPOSE: It is not clear whether women with non-small-cell lung cancer (NSCLC)
live significantly longer than men. Thus, we conducted a meta-analysis of
published studies to quantitatively compare NSCLC survival data between genders.
MATERIALS AND METHODS: A MEDLINE Web search for computer-archived bibliographic
data regarding overall survival differences between genders was performed.
DerSimonian-Laird random effects analysis was used to estimate the pooled hazard
ratio (HR). RESULTS: We selected 39 articles as appropriate data sources,
involving 86 800 patients including 32 701 women and 54 099 men. Combined HRs for
women vs. men in studies using univariate and multivariate analyses respectively
were 0.79 (p <0.0001) and 0.78 (p <0.0001). Pooled HRs for 3 study subgroups
having (1) fewer than 30% stage I cases, (2) fewer than 50% adenocarcinoma cases,
and (3) statistical adjustment for smoking status all indicated the survival
advantage of women. CONCLUSION: This meta-analysis of published data concerning
NSCLC patients indicated significantly better survival for women.
PMID- 21881358
TI - Two lung adenocarcinomas in the same lobe: multiple primaries or intrapulmonary
metastasis?
AB - Abnormal nodules were found in the left lung of a 52-year-old woman in segments 6
and 10 on a chest CT. These nodules showed no changes for 18 months, and we
discontinued follow-up. Almost 5 years later, an abnormal shadow was found in her
left lower lung field on a medical check-up chest X-ray. Chest CT revealed that
the left segments 6 and 10 nodules had grown. We diagnosed these lesions as
synchronous double primary lung cancers in the same lobe based on the disease
history and performed a left lower lobectomy and lymph node dissection.
Pathological examination of both tumors revealed adenocarcinoma of a mixed
subtype with papillary and bronchioloalveolar carcinoma. Epidermal growth factor
receptor gene mutations were examined, and the 2 lesions shared an L858R
mutation. Although we expected EGFR gene mutation analysis would help us
distinguish the 2 lesions from each other, it was of little help. Disease history
can be more important in evaluating multiple pulmonary cancers.
PMID- 21881359
TI - A surgical case of mitral regurgitation due to active infective endocarditis with
idiopathic thrombocytopenic purpura.
AB - A 71-year-old woman with idiopathic thrombocytopenic purpura (ITP), who had been
treated with steroid and cyclosporine, was admitted in an emergency with fever
and dyspnea. The diagnosis was mitral regurgitation due ton infective
endocarditis. Although she received treatments for infection and cardiac failure,
the cardiac failure could not be controlled. After high-dose gamma-globulin
therapy, an emergency operation was performed during the active phase of
infective endocarditis. Rapid platelet transfusion was administered after weaning
from extracorporeal circulation. She recovered and was discharged without
postoperative bleeding and re-infection.The treatment course of elective cardiac
surgery complicated with ITP has been established, but the course of emergency
surgery has not been established because of the small number of cases reported.
Since few patients have undergone emergency surgery for active infective
endocarditis, we had difficulty in deciding the time of surgery and treatment for
increasing the number of platelets before surgery, it was considered that the
case provided us with useful suggestion for the future treatment for urgent
surgery complicated with ITP.
PMID- 21881360
TI - Intrapulmonary-located Castleman's disease, which was surgically resected without
pulmonary resection.
AB - Castleman's disease (CD) is a rare disease with unknown aetiology. It is
characterised by benign lymph node hyperplasia that may involve all lymph nodes.
The most common locations are the mediastinum and abdomen. CD arising from
intrapulmonary lymph nodes has been reported in five cases, in the English
language literature to date. Tumours in these patients are usually resected
during lung surgery. An asymptomatic 29-year-old male patient was evaluated due
to a mass lesion with a diameter of 55 mm located in the infrahilar region of the
right lung with a high degree of contrast enhancement on thoracic computed
tomography (CT). Vascularity of this central lesion was excluded by pulmonary
angiography. Thoracotomy was performed due to the inability to obtain a diagnosis
with percutaneous fine needle aspiration biopsies. A frozen section examination
of the mass revealed a benign lesion, arising from the intrapulmonary lymph nodes
and protruding to the lower-lobe parenchyma. The mass was then extracted from the
parenchyma. After histopathological evaluation of the mass, CD involving the
lymph nodes was diagnosed. CD rarely involves the intrapulmonary lymph nodes.
Diagnosis is difficult in these patients, and thoracotomy may be required. After
obtaining benign results by mass sampling, limited resection of these masses,
while sparing the lung parenchyma, may be possible.
PMID- 21881362
TI - Spontaneous regression of primary lung cancer arising from an emphysematous
bulla.
AB - Bullous emphysema is an important risk factor for lung cancer. Here, we report
the case of a 56-year-old man who underwent surgical treatment for primary lung
cancer arising from the wall of a bulla. Chest computed tomography (CT) had
revealed a nodule arising from the bulla wall. This nodule showed positive uptake
of (18)fluorodeoxyglucose (FDG) during positron emission tomography (PET)-CT.
However, repeat CT performed after 2 months showed a spontaneous decrease in the
tumor size. Exploratory resection revealed non-small cell lung cancer, which was
confirmed by the findings of intraoperative frozen-section analysis; therefore,
right upper lobectomy and mediastinal lymph node dissection were performed. The
postoperative, pathological diagnosis was squamous cell carcinoma arising from
the wall of a bulla. From this case, we infer that lung cancer arising from the
wall of a bulla may spontaneously regress, and FDG/PET is a useful tool to
diagnose lung tumor in patients with pulmonary bullous disease.
PMID- 21881363
TI - Long-term extracorporeal membrane oxygenator support in resuscitation for
intractable hibernating myocardium after coronary artery bypass grafting.
AB - We report our experience of long-term extracorporeal membrane oxygenator (ECMO)
support to resuscitate a 62-year-old man who had critical three-vessel disease of
coronary artery complicating intractable hibernating myocardium (HM) and sudden
cardiogenic shock. Intra-aortic balloon pump and ECMO were deployed to restore
the circulatory support while emergent revascularization surgery was
performed.The patient was weaned from ECMO successfully after 15 days of support
and discharged with recovered left ventricular function. ECMO is effective in
resuscitation of patients with cardiogenic shock and HM. To our knowledge the
present case necessitated the longest term of ECMO support to get rid of HM.
PMID- 21881361
TI - Mitral valve repair in a patient with myelodysplastic syndrome.
AB - Open heart operations for patients with myelodysplastic syndrome (MDS) are
associated with infective and bleeding complications. We report a 67-year-old
woman with rheumatic, severe mitral regurgitation and mitral stenosis associated
with MDS who underwent a mitral valve (MV) repair. Commissurotomy was performed
in the anterior commissure. Autologous pericardial patch treated with
glutaraldehyde solution was prepared. The anterior leaflet was completely
detached from the posterior to the anterior commissure. The anterior leaflet was
augmented by autologous pericardial patch treated with glutaraldehyde solution
and three pairs of artificial chordae were implanted. Postoperative
transesophageal echocardiography showed an increase in the MV orifice and less
than trivial mitral regurgitation. Two years after the operation, the patient has
normal sinus rhythm with no deterioration of the MV lesion by transthoracic
echocardiography. Although the feasibility of MV repair is low in patients with
restrictive pathology due to rheumatic disease, MV repair may be preferred in
patients with MDS.
PMID- 21881364
TI - Off-pump coronary artery bypass grafting as re-do surgery in two cases in which
the right gastroepiploic artery was grafted to the right coronary artery.
AB - Transdiaphragmatic off-pump coronary artery bypass grafting (OPCAB) to the right
coronary artery, is an effective way to reduce the risks of second bypass surgery
as well as the risk of graft injury after coronary artery bypass grafting (CABG).
We report two cases of successful OPCAB as re-do surgery in which the right
gastroepiploic artery (RGEA) was grafted to the right coronary artery. The first
case was a 58-year-old woman, who underwent CABG 10 years ago. OPCAB (RGEA to
right coronary artery) was performed since myocardial perfusion scintigraphy
revealed ischemia in the inferior wall. The second case was a 67-year-old man who
had hypertension, hyperlipidemia, peripheral arterial disease, and was undergoing
dialysis (for 6 years). Six years previously, he developed a mycotic aneurysm of
the right coronary artery and underwent open-heart surgery. He often had episodes
of angina at night or during dialysis, and then developed congestive heart
failure and was hospitalized. Since ischemia was considered to be in the inferior
wall, the RGEA was grafted to the right coronary artery.
PMID- 21881365
TI - Acute exacerbation of idiopathic pulmonary fibrosis of microscopic usual
interstitial pneumonia pattern after lung cancer surgery.
AB - A 78-year-old man underwent right lower lobectomy for lung cancer.
Histopathological examination led to the diagnosis of adenosquamous cell
carcinoma. The background lung adjacent to the pleura showed idiopathic pulmonary
fibrosis of microscopic usual interstitial pneumonia pattern, although
preoperative computed tomography showed no apparent findings of interstitial
pneumonia. The patient showed an acute exacerbation of idiopathic pulmonary
fibrosis on the third postoperative day. We herein report a case of acute
exacerbation of idiopathic pulmonary fibrosis of microscopic usual interstitial
pneumonia pattern after lung cancer surgery.
PMID- 21881366
TI - Aortic valve replacement combined with the endoventricular patch technique for
aortic valve stenosis complicated by ischemic heart disease.
AB - The indication for aortic valve replacement (AVR) combined left ventricular (LV)
plasty in the patient with aortic valve stenosis (AS) complicated by ischemic
heart disease is controversial. We describe a case of AS with ischemic heart
disease of a patient who underwent a successful surgical treatment, AVR combined
with the endoventricular patch technique. The patient was an 82-year-old woman
who suffered from heart failure, New York Heart Association (NYHA) class III. The
heart failure derived from AS and ischemic heart disease with severely
compromised LV function. She underwent AVR combined with the endoventricular
patch technique and the postoperative course was uneventful. She has been well
with NYHA class I for about 5 years after the operation without heart failure.
PMID- 21881368
TI - A case of atherosclerotic aneurysm of the right subclavian artery with the right
axillary arterial stenosis and enlargement of the ascending aorta.
AB - A 54-year-old man presented with neck pain and hoarseness. Angiography showed a
rare right subclavian artery aneurysm, enlargement of the ascending aortic
aneurysm, and axillary artery stenosis. These aneurysms would normally be treated
with end-to-end anastomosis, but due to the complexity of the lesion in this
case, we performed more extensive surgery. This consisted of successful
reconstruction of the subclavian artery and replacement of the ascending aorta
and aortic arch.
PMID- 21881367
TI - Thoracic endovascular aortic repair and off-pump coronary artery bypass grafting
after renal transplantation: a case report.
AB - Twelve years after receiving a renal transplant, a 50-year-old woman developed
asthmatic symptoms. Chest CT revealed a descending thoracic aortic aneurysm. She
had undergone percutaneous coronary intervention to treat the left anterior
descending artery 10 years earlier. Coronary artery angiography revealed
restenosis of the left anterior descending artery (99%, #6 in-stent). Because
cardiopulmonary bypass may cause problems for transplanted kidney, we performed
off-pump coronary artery bypass grafting (left internal thoracic artery to left
anterior descending artery) and thoracic endovascular graft placement to treat
the aortic aneurysm. Considering that the artery of the transplanted kidney was
attached to the right iliac artery, and then the left common femoral artery was
selected as the access root for GORE TAG((r)) endografts (34 * 200 and 34 * 150
mm) (stentgrafts were deployed for the descending aortic artery). Postoperative
angiography showed a patent bypass graft. Postoperative CT confirmed the absence
of endoleaks. The postoperative course was uneventful, and she was discharged
without complications. Ischemic heart disease and descending thoracic aortic
aneurysm in recipients of kidney transplants can be treated using off-pump
coronary bypass grafting and thoracic endovascular graft placement. The
transplanted kidney was protected without using cardiopulmonary bypass (CPB).
PMID- 21881369
TI - Successful excision of an isolated mediastinal cystic lymphangioma with bilateral
thoracoscopic surgery.
AB - Lymphangioma is a well-known benign tumor and its cystic abnormalities of the
lymph vessels are predominantly congenital. Cystic lymphangioma usually occurs in
the neck, axillary region, and rarely in the mediastinum, which frequently occurs
in children and young adults. A 20-year-old woman had symptoms of palpitation,
cough, and dyspnea during the recent 1 month. Both chest comuted tomography and
magnetic resonance imaging of the chest revealed a well-defined, 13 * 10-cm
cystic lesion in the anterior mediastinum. The patient underwent bilateral video
assisted thoracoscopic excision of the cyst and lymphangioma was confirmed based
on histopathologic examination. Here, we report a rare case of isolated
mediastinal cystic lymphangioma that was successfully excised using a minimally
invasive technique.
PMID- 21881370
TI - Thoracoscopic lobectomy for treating cancer in a patient with an unusual vein
anomaly.
AB - Various anatomical variants in pulmonary veins can have a serious effect on
patients undergoing lung surgery. We present a case of a patient with an unusual
pulmonary vein variation. Preoperative review of the patient's three-dimensional
64-row multidetector computed tomography imaging allowed us precise simulation
and good orientation of the patient's vascular variant anatomy during surgery.
Upper lobectomy through thoracoscopic approach was performed successfully in the
case where the middle lobe vein might have been divided without preoperative
anatomical evaluation by 3D CT images.
PMID- 21881371
TI - The effects of preoperative short-term intense physical therapy in lung cancer
patients: a randomized controlled trial.
AB - BACKGROUND: We planned to investigate the effect of preoperative short period
intensive physical therapy on lung functions, gas-exchange, and capacity of
diffusion, and ventilation-perfusion distribution of patients with non-small cell
lung cancer. METHODS: Sixty patients with lung cancer, who were deemed operable,
were randomly allocated into two groups. Intensive physical therapy was performed
in patients in the study group before operation. Both groups received routine
physical therapy after operation. RESULTS: There was no difference in pulmonary
function tests between the two groups. Intensive physical therapy statistically
significantly increased peripheral blood oxygen saturation. At least one
complication was noted in 5 patients (16.7%) in the control group, and 2 (6.7%),
in the study group. However, there was no statistically significant difference (p
= 0,4). The hospital stay has been found to be statistically significantly
shortened by intensive physical therapy (p <0.001). Ventilation-perfusion
distribution was found to be significantly effected by intensive physical
therapy. The change was prominent in the the contralateral lung (p <0.001).
CONCLUSIONS: Intensive physical therapy appeared to increase oxygen saturation,
reduce hospital stay, and change the ventilation/perfusion distribution. It had a
significant, positive effect on the exercise capacity of patients.
PMID- 21881372
TI - Pulmonary thromboendarterectomy for chronic thromboembolic pulmonary hypertension
: a systematic review.
AB - PURPOSE: Pulmonary thromboendarterectomy (PTE) is a treatment option for patients
with chronic thromboembolic pulmonary hypertension (CTEPH). The present
systematic review was performed to assess the safety and efficacy of PTE for
CTEPH. METHODS: A systematic review was performed, and six electronic databases
were searched for published studies from January 1999 to February 2010. All
articles that presented morbidity and mortality data, survival data or
preoperative and postoperative pulmonary hemodynamic indices were included. The
primary outcome measures extracted were early morbidity and mortality, pulmonary
hemodynamic and functional outcome indices prior to and after the operation, and
survival data. RESULTS: Of the 654 publications retrieved, 19 relevant papers
(total number of 2729 patients) representing the most recent and complete data
set from each institute, were included for appraisal and data extraction. No
randomized controlled trials or matched comparative studies were identified.
Thirty-day mortality ranged from 1.3% to 24% (median 8%). Residual pulmonary
hypertension was reported in 11%-35% of patients after PTE. Pulmonary artery
pressure and pulmonary vascular resistance significantly decreased after PTE in
all studies. Before PTE, 60%-100% of patients were in NYHA functional class III
or IV. This percentage decreased to 0%-21% after PTE. Five-year survival ranged
from 74% to 89%. CONCLUSIONS: The current literature suggests that PTE for
patients with CTEPH is associated with acceptable perioperative morbidity and
mortality rates and improved hemodynamic indices and survival when viewed against
the prognosis associated with historical controls using medical therapy.
PMID- 21881373
TI - Optimal culture conditions for constructing durable biografts for repairing the
impaired heart--dynamic cell culture with pre-seeding.
AB - BACKGROUND: Tissue engineering with cell seeded biodegradable material has
attracted attention as a novel means of treating the severely impaired heart.
Here, we consider optimal preparation of a durable biograft using dynamic and
static cultures. METHODS: Vascular smooth muscle cells (VSMCs) derived from the
rat aorta were seeded onto biodegradable material P (LA/CL) (poly-L-lactide
epsilon-caprolactone copolymer) and cultured as follows: a) Static culture (n =
11), b) dynamic culture (n = 12), c) 0 h pre-seeding (n = 12), d) 24 h pre
seeding (n = 5) and e) 1 week pre-seeding (n = 12). Dynamic culture: Cells were
cultured in spinner flasks. Pre-seeding: Static cell seeding and culture before
dynamic culture. EVALUATION: The conditions of the P (LA/CL) in the five groups
were evaluated as cell proliferation and by histological studies. RESULTS: VSMCs
proliferated both in and on the biodegradable materials. The quality of the
dynamic culture cell with pre-seeding increased. Although the duration of pre
seeding exerted no significantly different effects, cell attachment and
proliferation were widely scattered in the 0 h pre-seeding group, whereas cells
proliferating on the front of the scaffold obstructed proliferation inside the
biodegradable material in the 1 week pre-seeding group . CONCLUSIONS: Dynamic
cell culture with 24 h pre-seeding is effective for constructing ideal biografts.
PMID- 21881374
TI - Does postoperative serum interleukin-6 influence early recurrence after curative
pulmonary resection of lung cancer?
AB - PURPOSE: We examined the influence of inflammatory cytokine levels on
postoperative early recurrence in patients who underwent curative lung cancer
surgery. METHODS: In 107 patients who underwent curative pulmonary resections for
non-small cell lung cancer (NSCLC) from November 2007 to June 2008, we measured
serum interleukin-6 (IL-6) levels preoperatively, and on postoperative day (POD)
0, 1, and 2. Between July 2009 and August 2009, 1 year after the date of
enrollment of the last patient, we investigated survival status of each patient
and identified a group with recurrence. RESULTS: Among 107 patients, 29 patients
developed recurrence with a mean follow-up of 18.1 months (range 14 to 21). P
stage was significantly more advanced in the recurrence group than in the non
recurrence group (p = 0.005). Serum IL-6 levels on POD 1 were significantly
higher in the recurrence group than in the non-recurrence group (p = 0.007). In
Cox's proportional hazards regression, P-stage and serum IL-6 levels on POD 1
were significant independent predicting factors for postoperative early
recurrence (p = 0.006, p = 0.003). CONCLUSIONS: The higher the serum IL-6 levels
on POD 1, the higher the risk of early postoperative recurrence, even when
curative pulmonary resection can be accomplished in lung cancer patients.
PMID- 21881375
TI - Training in robotic surgery using the da Vinci(r) surgical system for left
pneumonectomy and lymph node dissection in an animal model.
AB - OBJECTIVES: In Japan, as of March 2010, only 13 hospitals were using the da
Vinci(r) system and only for selected cases. Few clinical robotic lung surgery
has been done in Japan, and there are no standardized training programs, although
some exist in the U.S. and are under consideration by the Japanese society for
thoracic surgery. We have used the da Vinci S(r) Surgical System for
pneumonectomy and lymph node dissection in pigs. We report and review future
possibilities and problems of robotic surgery, especially concerning education,
training, safety management and ethical considerations for pneumonectomy and
lymph node dissection in clinical practice. METHODS: The da Vinci(r) system
consists of a surgeon's console connected to a patient-side cart, a manipulator
unit with three instrument arms and a central arm to guide the endoscope. The
surgeon, sitting at the console, triggers highly sensitive motion sensors that
transmit the surgeon's movements to the instrument arm. RESULTS: We experienced
exactly the same sensation as when performing standard open thoracotomy. Visual
recognition is 3-D, and the high manipulation potential allows free movement of
the various accessory instruments, exceeding the capacity of a surgeon's hands in
video-assisted thoracic surgery (VATS) or even standard thoracotomy. CONCLUSIONS:
Robotic surgery achieves at least the same level of operation technique for
pneumonectomy and lymph node dissection under standard open thoracotomy, and it
seemed as safe and easily performed as conventional VATS. The training program
using pigs was effective and holds promise as a system to train thoracic surgeons
in robotic lung surgery.
PMID- 21881376
TI - Hyperparathyroidism due to eutopic PTH secretion from an ectopic intrathymic
parathyroid cyst.
AB - Parathyroid cysts rarely cause hyperparathyroidism. In addition, they rarely
occur in the thymus. We report a 56-year-old woman with hypertension on
telmisartan and hydrochlorothiazide, who developed symptomatic hypercalcemia for
a month. Initial serum calcium was 15.6 mg/dL, together with inappropriately
elevated intact PTH at 437 pg/mL. Coincidentally, an anterior mediastinal mass on
chest imaging was found. Biopsy of the mass revealed parathyroid tissue. She was
treated sequentially with saline rehydration and frusemide calciuresis,
intravenous pamidronate and calcitonin, which lowered her calcium to 11.1 mg/dL.
Normocalcemia was finally achieved following surgical extirpation of the mass.
Histology confirmed an intrathymic parathyroid cyst. Definitive treatment by
resection of the mass is potentially curative.
PMID- 21881377
TI - Microscopic sclerosing hemangioma diagnosed by histopathological examination
after lung cancer surgery.
AB - A 44-year-old woman underwent surgery for lung cancer. Although preoperative
computed tomography did not reveal a tiny nodule, pathological examination of the
background lung showed that type II pneumocyte-like tumor cells grew papillary in
an area of approximately 2.3 * 1.2 mm. This lesion exhibited hemorrhage,
hemosiderosis, calcification, and varying degrees of fibrosis, leading to the
diagnosis of sclerosing hemangioma. This is the first reported case of
microscopic sclerosing hemangioma undetectable by chest computed tomography.
PMID- 21881378
TI - Single-stage operation for giant substernal goiter with severe coronary artery
disease.
AB - A 76-year-old female, with a history of asthma and tracheal bronchitis, presented
with a non-ST elevation, myocardial infarction. Chest x-ray on admission showed a
widened mediastinum, which was further evaluated with a computed tomography (CT)
scan. It disclosed a giant substernal goiter compressing the trachea and the
ascending aorta. Cardiac catheterization showed significant coronary disease
unsuitable for percutaneous intervention; thus, the patient was scheduled for
coronary artery bypass grafting. Single stage thyroidectomy immediately followed
by coronary artery bypass was performed. After surgery, her upper airway symptoms
were improved, and no cardiac events were noted. Collaboration between
otolaryngology and thoracic surgery teams contributed to good outcomes for this
patient with substernal goiter and severe cardiac disease.
PMID- 21881379
TI - Preoperative computed tomographic diagnosis of an aortocaval fistula associated
with aneurysm of the abdominal aorta.
AB - Aortocaval fistula is a rare but life-threatening complication of ruptured
abdominal aortic aneurysm. We present a case of an aortocaval fistula with acute
right heart failure. The condition was accurately diagnosed before operation by
physical examination, echo, and especially by computed tomography (CT), thereby
enabling proper planning of the operative strategy. At surgery, not only the
infrarenal aorta and common iliac arteries on both sides but the inferior vena
cava and iliac veins on both sides were also controlled to avoid massive venous
bleeding through the fistula. Aortocaval fistula repair was easy, and
conventional bifurcated Dacron graft replacement for abdominal aortic aneurysm
was successfully performed. Innovative CT images give us prompt preoperative
diagnoses and elaborate surgical strategies.
PMID- 21881380
TI - Usefulness of a partial median sternotomy for acute infectious endocarditis in
patients with tracheostoma.
AB - Infectious endocarditis patients occasionally need emergency cardiac surgery even
if they have a tracheostoma. However, a median full-sternotomy approach carries
increased risk for sternal infection and lethal mediastinitis in cardiac surgery
for patients with tracheostomas. We successfully performed valve replacement
procedures using a lower partial median sternotomy approach in 6 infectious
endocarditis patients with tracheostomas. There were neither operative deaths nor
complications related to wound infection in these cases. The partial sternotomy
approach represents a safe alternative in cardiac surgery for acute infectious
endocarditis patients with tracheostomas who need emergent surgery.
PMID- 21881381
TI - Successful management of acute necrotizing mediastinitis with trans-cervical
drainage.
AB - Acute necrotizing mediastinitis (ANM) is a lethal disease which without
antibiotic therapy and surgical intervention can lead to about 40% mortality.
With the development of imaging technology, spiral computed tomography (CT)
scanning and shortening of the time of diagnosis and surgery, the prognosis of
these patients is excellently improved. This study describes the clinical
presentation, management and outcome of 4 patients (mean age: 35 years) with ANM.
All patients were operated on by a trans-cervical approach, and only one patient
was operated on by a trans-thoracic one. After surgery, patients were transferred
to the intensive care unit and underwent daily washing and debridement with
antibiotic treatments. Odontogenic infection (2 cases), pharyngeal perforation
and cervical esophageal perforation were the causes of the ANM. Infection of
cervical space (perivisceral spaces) and superior Mediastinum were found in all
patients, and Infection below the carina was found in two. All patients were
discharged with a good, general condition after an average of 24 days. Early
diagnosis of ANM with clinical presentation and on-time CT scanning, early
drainage and careful post operation care are very important in the management of
patients with ANM and can improve the outcome of trans-cervical drainage to an
acceptable technique.
PMID- 21881382
TI - Primary ependymoma in the posterior mediastinum.
AB - A 46-year-old woman was referred to our hospital because of back pain and an
abnormality on chest imaging. Chest computed tomography showed a well-delineated
tumor in the left paravertebral space. Histological analysis of the resected
tumor revealed perivascular pseudorosettes, and immunoreactivity for glial
fibrillary acidic protein established the diagnosis of ependymoma. A few cases
have been reported in the ovary, broad ligament, sacrococcygeal region, lungs,
and mediastinum, but the pathogenesis has not yet been clarified. Female
predominance in these tumors and organogenesis of the sites may suggest a key to
the pathogenesis.
PMID- 21881383
TI - A case of successful valve repair for aortic insufficiency associated with
discrete subaortic stenosis.
AB - Discrete subaortic stenosis (DSS) is an uncommon form of left ventricular outflow
tract obstruction especially in adulthood. Moreover, aortic regurgitation (AR),
which is a common sequence of DSS, requiring surgical correction is extremely
rare. We report the case of a 33-year old man who had severe DSS accompanied with
moderate aortic insufficiency. He underwent successful surgery including relief
of DSS and aortic valve repair. Although careful follow-up is mandatory for
recurrent AR and DSS, our approach was thought to be feasible for a young adult
patient with DSS complicated with AR.
PMID- 21881384
TI - A hamartoma located in the trachea.
AB - Hamartoma is rarely found to be localized in the trachea. In the literature, only
about ten cases have been reported. A 52-year-old male who was being treated for
asthma for 15 years applied to our hospital with a progressive dyspnea complaint.
During his physical examination, stridor was heard, after which a computed
tomography of his chest revealed a tracheal mass. Fiberoptic bronchoscopy
revealed a mass which obstructed 80% of the tracheal lumen attached to the
posterior tracheal wall with a broad base. The mass was removed surgically with
segmentary resection of the trachea. histopathological examination of the lesion
indicated that it was a hamartoma. Hamartomas can localize in the trachea very
rarely, causing serious obstruction.
PMID- 21881385
TI - Papillary fibroelastoma of the aortic valve visualized by 320-slice computed
tomography: report of a case.
AB - A 72-year-old man presented with a papillary fibroelastoma on the non-coronary
cusp of the aortic valve. He was asymptomatic, with a history of hypertension and
paroxysmal atrial fibrillation. Echocardiography revealed a mobile, round mass
(13 * 15 mm) on the non-coronary cusp of the aortic valve. Scanning with 320
slice multi-detector row computed tomography (MDCT) also revealed a mass on the
non-coronary cusp of the aortic valve. The tumor was subsequently excised from
the aortic valve. In this case, the MDCT images were extremely clear and provided
useful information like that obtained with echocardiography.
PMID- 21881386
TI - Sinus node artery-preserving superior transseptal approach: a simple technique.
AB - With the use of the superior transseptal approach during mitral valve surgery,
good exposure of the mitral valve can be achieved with simple traction sutures,
which minimize the risk of deformation of the mitral valve. For this reason, we
routinely perform mitral valvoplasty using the superior transseptal approach;
however, we, occasionally encounter cases that develop postoperative atrial
dysrhythmia. We have therefore, devised a very simple technique for preservation
of the sinus node artery in the superior transseptal approach, which is effective
for reducing the incidence of postoperative sinus node dysfunction. In this
technique, during incision of the dome of the left atrium, the sinus node artery
is carefully dissected and preserved.
PMID- 21881387
TI - Venous thromboembolism prophylaxis after hospital discharge: transition to
preventive care.
AB - Deep vein thrombosis and pulmonary embolism, the common clinical manifestations
of venous thromboembolism (VTE), are among the most preventable complications of
hospitalized patients. However, survey data repeatedly show poor rates of
compliance with guideline-based preventive strategies. This has led the Centers
for Medicare and Medicaid Services to deny reimbursement for hospital readmission
for thromboembolic complications in patients undergoing total hip or knee
arthroplasty. Multiple strategies and national initiatives have been developed to
improve rates of VTE prophylaxis during hospitalization; however, most VTE occurs
in the outpatient setting. Epidemiologic data suggest that recent surgery or
hospitalization is a strong risk factor for the development of VTE and that this
risk may persist for up to 6 months. These observations call into question
whether VTE prophylaxis should be administered only during hospitalization or if
this preventive strategy should be continued after hospital discharge. Many of
the randomized trials showing efficacy of VTE prophylaxis have used longer
durations of prophylaxis than are typical for current length of hospital stay,
highlighting the issue of how long the duration of prophylaxis should be. Several
patient groups have undergone formal testing to evaluate the risks and benefits
of extended-duration VTE prophylaxis, but this issue is less clear for other
categories of patients. Although there is clear consensus that most hospitalized
patients should receive VTE prophylaxis, there is uncertainty about whether to
continue VTE prophylaxis in the immediate post-hospital period or for an extended
duration. The transition from inpatient to outpatient care is a key event in the
coordination of continuity of care, but VTE-specific care transition guidance is
limited. In this article, we review the evidence for both standard- and extended
duration VTE prophylaxis and discuss the difficulties in effectively maintaining
VTE prophylaxis during the transition from inpatient to outpatient care.
PMID- 21881388
TI - Impact of dyspnea on medical utilization and affiliated costs in patients with
acute coronary syndrome.
AB - BACKGROUND: Current clinical practice guidelines recommend dual antiplatelet
therapy with aspirin and clopidogrel or prasugrel for patients with acute
coronary syndrome (ACS). Ticagrelor, an experimental antiplatelet therapy, has
been shown to be associated with significantly higher rates of dyspnea than
clopidogrel in clinical trials. Patients with ACS presenting with dyspnea require
additional medical attention to rule out possible heart failure or other serious
diagnoses. This study used real-world data to quantify the direct medical costs
of dyspnea among patients with a history of ACS. OBJECTIVE: To determine the
clinical and economic impact of a dyspnea episode for patients with a history of
ACS using commercial and Medicare supplemental claims data. METHODS: Patients
with an emergency room (ER) visit with a primary diagnosis of dyspnea
(International Classification of Diseases, Ninth Revision, Clinical Modification
[ICD-9-CM] diagnosis code, 786.0x) in 2008 or 2009 were identified using Thomson
Reuters MarketScan((r)) Research Databases. Patients were required to have 6
months of continuous medical enrollment prior to an ER visit and a history of ACS
(ie, >= 1 inpatient claim, >= 1 ER visit, or >= 2 outpatient claims, with an ICD
9-CM diagnosis code for ACS [410.xx or 411.1x] in any position on the outpatient
claim during either the baseline period or on the index date). An episode of
dyspnea was defined as all ER and outpatient services on the day of an ER claim
with a primary diagnosis of dyspnea, and any inpatient admissions occurring on
the day of or day following the ER visit. Procedure utilization and expenditures
were evaluated for the ER visit and associated outpatient services, as well as
the proportion of ER visits that led to an inpatient stay. Costs were allowed
charges (ie, provider payment plus member cost-share) adjusted to 2009 US
constant dollars. RESULTS: A total of 8433 ER visits for dyspnea were identified
during 2008 to 2009 from these databases of approximately 74 million
beneficiaries. The average cost per dyspnea episode was $6958, of which $1621
were outpatient costs associated with the ER visit (standard deviation, $3269).
Along with physician services, assessment of dyspnea often included
electrocardiogram (71.3%), chest radiograph (75.9%), and, occasionally, a B-type
natriuretic peptide test (14.9%) or chest computed axial tomography scan (12.2%).
More than one-fourth (25.8%) of dyspnea ER visits preceded an inpatient stay,
with an average cost of $20 693 per patient. CONCLUSIONS: Dyspnea is a
significant event associated with high medical resource utilization and hospital
costs. Ticagrelor, an experimental antiplatelet agent not yet available on the
market, has been shown to be associated with significantly higher rates of
dyspnea than clopidogrel in clinical trials. Considering that the increased risk
of dyspnea for ticagrelor is well documented, these costs may be important to
health plan decision-makers when evaluating costs associated with each
antiplatelet therapy.
PMID- 21881389
TI - Hospital-based clinical implications of the novel oral anticoagulant, dabigatran
etexilate, in daily practice.
AB - Dabigatran etexilate is an oral direct thrombin inhibitor that has been approved
by the US Food and Drug Administration for the prevention of stroke and systemic
embolization in patients with nonvalvular atrial fibrillation. It has also been
studied for the prevention of venous thromboembolism in patients after hip and
knee arthroplasty and for treatment of venous thromboembolism. Although routine
laboratory monitoring is not needed, there are clinical scenarios in which
physicians will need to have a clear understanding of drug pharmacology,
laboratory assessment, and reversibility of this drug to make appropriate
clinical decisions. We review the pharmacology of dabigatran etexilate, pertinent
clinical trials, and the effects of dabigatran etexilate on prothrombin time,
activated partial thromboplastin time, thrombin time, and ecarin clotting time.
We also provide an approach to patients on dabigatran etexilate who are bleeding,
have a suspected therapeutic failure, or require periprocedural management.
PMID- 21881390
TI - Protecting the heart from ischemia: an update on ischemic and pharmacologic
conditioning.
AB - The attempt to find treatments that will reduce myocardial cell death during
periods of ischemia and subsequent reperfusion has spanned nearly 40 years.
Although many therapies have shown promise in animal models, relatively few have
been successful in clinical trials. Some of the most effective clinical therapies
involve techniques designed to elicit the heart's own innate capacity to protect
itself. The ability of the heart to render itself more resistant to
ischemia/reperfusion injury was not appreciated until the description of ischemic
preconditioning in 1986. Following the discovery that brief, nonlethal episodes
of ischemia conditioned the heart to better tolerate a subsequent prolonged
episode of ischemia, alternative ways of evoking this endogenous cardioprotection
were described. Ischemic postconditioning and remote conditioning are potentially
useful tools for protecting ischemic myocardium, and have been shown to be
beneficial in small clinical trials. Several pharmacologic agents have the
ability to mimic the effects of ischemic conditioning and can also reduce the
amount of cell death during ischemia/reperfusion. This article provides the
clinician with an overview of the different techniques of ischemic conditioning
and how they can protect the myocardium from ischemia/reperfusion injury.
Additionally, several pharmacologic agents that can protect the heart in a
similar manner are discussed.
PMID- 21881391
TI - Adequacy of chest compressions performed by medical housestaff.
AB - BACKGROUND: Chest compressions (CCs) are a critical part of cardiopulmonary
resuscitation. We studied the presence and duration of adequate CCs performed by
medical housestaff, and correlated our findings with gender and body mass index.
METHODS: Fifty-eight first-postgraduate-year medical housestaff performed CCs on
a computerized patient simulator equipped with a calibrated CC measurement
device. Following initial testing, subjects were trained to perform adequate CCs.
Subjects were retested 2 weeks later. Presence and duration of adequate CCs were
measured during a 120-second endurance test. RESULTS: Before training, 14/28
(50%) of the male housestaff performed adequate CCs and 0/30 (0%) of the female
housestaff performed adequate CCs. After training, 25/28 (89%) of the male
housestaff and 16/30 (53%) of the female housestaff performed adequate CCs. Body
mass index and height were not related to adequacy of CCs. After training, 7/28
(25%) of the male subjects and 1/30 (3%) of the female subjects were able to
maintain adequate CCs for 120 seconds. CONCLUSIONS: Training housestaff on a
patient simulator is an effective means of improving the adequacy of CCs. Despite
training, a significant number of women were unable to perform adequate CCs
compared with men; body mass index and height were not determining factors. Very
few housestaff were able to sustain 120 seconds of adequate CCs, despite
training.
PMID- 21881392
TI - Evaluation and management of chronic pulmonary thromboembolic disease.
AB - Pulmonary embolism (PE) is common and the majority of patients survive the acute
event. Survivors are at increased risk for adverse outcomes, including persistent
thrombi, recurrent embolism, chronic thromboembolic pulmonary hypertension
(CTEPH), and death. Anticoagulation protects against recurrence, which has a high
mortality rate. The recommended duration of anticoagulation for patients with
reversible PE risk factors is 3 months. For patients with idiopathic PE or
persistent risk factors, extended duration of anticoagulation is preferred,
balanced with an individual patient's risk of hemorrhage, which in itself is a
major cause of morbidity and mortality. Among patients with malignancy who
develop venous thromboembolism (VTE), low-molecular-weight heparin is preferred
over oral vitamin K antagonists in the first 6 months. Thereafter,
anticoagulation should be continued indefinitely with either low-molecular-weight
heparin or oral vitamin K antagonists. Inferior vena cava filters are not
routinely recommended and should only be used in patients who have a
contraindication to anticoagulation. Patients who have had VTE and with
persistent or recurrent dyspnea should be evaluated for recurrence of VTE or
development of CTEPH. Patients with recurrent VTE should be anticoagulated
indefinitely. Routine screening for CTEPH in asymptomatic patients is not
recommended. Echocardiography often provides the first indication of the presence
of pulmonary hypertension. Once presence of CTEPH is established by right-sided
heart catheterization and perfusion imaging (ie, ventilation/perfusion
scintigraphy, computed tomography angiography, or pulmonary angiography),
patients should be referred early to a center with expertise, as it is
potentially surgically curable by pulmonary endarterectomy. Those who are deemed
inoperable after being evaluated may gain symptomatic benefit from drugs approved
for idiopathic pulmonary arterial hypertension. Lung transplantation may also be
an option for patients who are not candidates for pulmonary endarterectomy.
PMID- 21881393
TI - Carotid angioplasty and stenting: evolution and current status.
AB - The management of carotid stenosis is in evolution. Carotid endarterectomy has
been the gold standard for the treatment of carotid stenosis for many years.
However, recently, carotid angioplasty and stenting has emerged as a feasible and
relatively safe management alternative. The appropriate clinical setting for its
preferential use over carotid endarterectomy continues to be the subject of
ongoing clinical trials. In this article, we review the evolution of carotid
angioplasty and stenting, the evidence behind the current indications, and
limitations of this procedure, as well as provide an overview of preprocedural
evaluations and periprocedural management.
PMID- 21881394
TI - Inferior vena cava filters: a concise review.
AB - Venous thromboembolic disease is associated with significant morbidity and
mortality. Anticoagulation has been the mainstay of treatment and prevention.
Unfortunately, anticoagulation frequently fails or is contraindicated. Use of
inferior vena cava filters can be an effective alternative in these scenarios.
Though inferior vena cava filters have been used for > 4 decades, the evidence
behind their use is limited. Use of IVC filters is associated with both minor and
major complications. More randomized prospective trials are needed to evaluate
these devices. In this article, we review issues concerning the use of inferior
vena cava filters.
PMID- 21881395
TI - Inferior vena cava filter thrombosis: a review of current concepts, evidence, and
approach to management.
AB - The increased risk of venous thrombosis within and below the inferior vena cava
(IVC) is the main long-term complication of IVC filter placement. In this
article, we discuss current concepts regarding the incidence, risks, and
management of IVC filter thrombosis. Evidence of the association of each of the
following factors is reviewed: type and design of the filter device, population
demographics, underlying hypercoagulable states/anticoagulation, modality used to
assess for thrombosis, and length of time elapsed since filter placement. Certain
double-basket filter designs and a hypercoagulable state are associated with
increased incidence of IVC filter thrombosis. Most cases of IVC filter thrombosis
are asymptomatic. While large series data on the use of magnetic resonance
imaging for the detection of filter thrombosis remain unavailable, evidence
suggests that contrast-enhanced computed tomography is preferable to Doppler
sonography. A proposed algorithm for the management of IVC filter thrombosis is
provided.
PMID- 21881396
TI - Hyponatremia in hospitalized patients: the potential role of tolvaptan.
AB - Hyponatremia (typically defined as serum sodium level < 135 mEq/L) is a common
electrolyte abnormality among hospitalized patients. Whether present at admission
or acquired during hospitalization, hyponatremia is associated with higher
mortality and longer hospital stays. Failure to adequately investigate and treat
hyponatremia may also be associated with adverse outcomes. The presence and
severity of clinical symptoms largely depend on the rate and extent of the
decline in serum sodium; rapid or large decreases may cause serious neurologic
complications. The approach to treatment depends on the presence and severity of
symptoms, the timing of their onset, the underlying etiology, and the patient's
volume status. Patients with euvolemic or hypervolemic hyponatremia usually have
inappropriately elevated levels of arginine vasopressin, which stimulates water
reabsorption even in the presence of low serum osmolality. Tolvaptan is an orally
active, selective V2-receptor antagonist that blocks the effects of arginine
vasopressin in the renal collecting duct to promote aquaresis without increasing
sodium or potassium excretion; as a result, it increases serum sodium in a
controlled manner. Tolvaptan offers a mechanism-based treatment option for
patients with euvolemic or hypervolemic hyponatremia who have serum sodium levels
< 125 mEq/L or persistent symptoms resistant to fluid restriction.
PMID- 21881397
TI - Sepsis: the inflammatory foundation of pathophysiology and therapy.
AB - Sepsis, defined as an infection accompanied by inflammation, is a complex disease
process wherein the body's response to a pathogen is amplified far beyond the
initial site of infection. The process begins when pathogen-associated molecular
patterns on the bacteria or other pathogens induce an inflammatory cascade in the
host. In the United States, it is estimated that every minute a patient with
severe sepsis or septic shock presents to an emergency department and that > 751
000 cases of severe sepsis occur annually, resulting in an estimated 215 000
deaths. A rapid progression of illness severity from sepsis to severe sepsis to
septic shock frequently occurs, driven by the body's inflammatory and anti
inflammatory responses to a pathogen, making sepsis a condition requiring timely
intervention. The clinical management of severe sepsis and septic shock has
evolved dramatically over the past decade and these new therapeutic approaches
have been built on a deeper understanding of the natural evolution of sepsis.
This article examines the underlying pathophysiological mechanisms of sepsis to
help explain the clinical signs and symptoms manifested by severe sepsis
patients. It also examines the significance of current proposed treatment
strategies, including early goal-directed therapy, from a pathophysiological and
inflammatory perspective.
PMID- 21881398
TI - Impact of intelligent intravenous infusion pumps on directing care toward
evidence-based standards: a retrospective data analysis.
AB - INTRODUCTION: Published literature has successfully demonstrated the impact of
intravenous (IV) infusion pump safety software on improving the quality of health
care delivery. Much of this literature has focused solely on the ability of these
devices to prevent potential medication errors, while overlooking the devices'
additional valuable advantages. One non-reported benefit is the ability of IV
infusion pump safety software to consistently administer doses of IV medication,
which are based on evidence. This article describes the process undertaken to
implement and evaluate the impact of IV infusion pump safety software on driving
care toward evidence-based standards. METHODS: An advisory group of expert users
was convened for a 2-day session to develop consensus recommendations of best
practices for IV infusion pump safety software. Using these recommendations,
administrative data were collected from a community hospital to assess the
endpoints identified by the advisory panel. RESULTS: Data analysis of rescue
agents (ie, flumazenil, glucagon, and protamine sulfate) showed reductions in
utilization in the post-implementation period of the safety software. The
decreased requirement for blood transfusions in patients receiving heparin
infusions suggests that heparin infusions were more safely administered in the
post-implementation period. The decreased length of stay and mortality rate
observed in patients with complex respiratory infections during the post
implementation period suggests that by correctly infusing antibiotics
consistently, patient outcomes may be improved. Additionally, alert and edit data
from the pumps demonstrated that the IV infusion pump safety software alerted to
and influenced edits on many critical dose rate errors for benzodiazepines,
heparin, and several antibiotics. CONCLUSION: Intravenous infusion pump safety
software improves clinical outcomes through consistent application of evidence
based standards of dose rates for IV drugs.
PMID- 21881399
TI - Design and implementation of a low-cost multimodal procedure cart for an internal
medicine ward.
AB - INTRODUCTION: General internists perform a large number and variety of procedures
in the ward and emergency department settings. Initiatives are needed to
facilitate learning and increase efficiency of procedural skill acquisition by
trainees. In this article, we describe our experience developing and implementing
a low-cost multimodal procedure cart in an academic health sciences center. We
also provide pilot data on perceived usefulness by trainees. METHODS: We
performed a needs-assessment survey of residents, which indicated that the
inconsistent location of materials and time needed to gather them were
frustrating. Furthermore, residents reported that having easily accessible
educational materials would improve their experience performing procedures. Based
on this information, a portable cart was designed and implemented that had all
materials required for common ward procedures, as well as multimodal educational
materials, including evidence-based checklists, a hard-copy procedure manual, and
a portable computer for viewing videos and logging procedures. The cart was
equipped for the most commonly performed bedside procedures. After 2 months, we
electronically surveyed residents on their experience with the intervention.
Measures included self-reported frequency of use, satisfaction, perceived
improvement in efficiency, and perceived improvement in patient safety. RESULTS:
Residents perceived that the cart increased efficiency. The ready availability of
educational materials was also noted as an advantage. Despite its perceived
usefulness, trainees did not use the cart as frequently as anticipated. The cart
continues to be in use > 1 year later, with modifications made based on feedback.
CONCLUSIONS: In this article, we outline suggestions for successful
implementation of a similar initiative based on our experience. We describe how a
procedure cart can be inexpensively designed and instituted to facilitate more
efficient performance of medical procedures and enhance education. Such an
intervention may be beneficial in an academic as well as a community setting.
PMID- 21881400
TI - Care transitions from inpatient to outpatient settings: ongoing challenges and
emerging best practices.
AB - Care transitions occur every time a patient changes levels of service, location,
or with each shift change or transfer of care. The complexities involved in
transitions of care make these time periods particularly susceptible to medical
errors, placing patients at risk. Improving care transitions affects all patients
in all settings, and has the potential to reduce adverse events, improve quality
of care, and produce medical cost savings. This article is a focused review of
transitions in care from the inpatient to ambulatory care settings. Underlying
challenges and sources of errors are identified, and possible solutions and
interventions are explored. Specific challenges to the pediatric population are
also examined in detail.
PMID- 21881401
TI - Accountable Care Organizations: roles and opportunities for hospitals.
AB - Federal health reform has established Medicare Accountable Care Organizations
(ACOs) as a new program, and some states and private payers have been
independently developing ACO pilot projects. The objective is to hold provider
groups accountable for the quality and cost of care to a population. The
financial models for providers generally build off of shared savings between the
payers and providers or some type of global payment that includes the possibility
of partial or full capitation. For ACOs to achieve the same outcomes with lower
costs or, better yet, improved outcomes with the same or lower costs, the
delivery system will need to become more oriented toward primary care and care
coordination than is currently the case. Providers of clinical services, in order
to be more effective, efficient, and coordinated, will need to be supported by a
variety of shared services, such as off-hours care, easy access to specialties,
and information exchanges. These services can be organized by an ACO as a medical
neighborhood or community. Hospitals, because they have a management structure,
history of developing programs and services, and accessibility 24/7/365, are
logical leaders of this enhancement of health care delivery for populations and
other providers.
PMID- 21881403
TI - Tracheostomy care: a clinician's guide.
AB - Tracheostomies have become a typical component of the management of patients with
prolonged respiratory failure. There are, however, relatively few studies from
which to establish an accepted standard of care with regard to the specific
features, daily care, and removal of tracheostomy tubes. Consequently, these
decisions are sometimes guided by myth and misconception. In this article, we
review the different types of tracheostomy tubes with their respective advantages
and disadvantages, basic principles of care, recognition of complications, speech
with a tracheostomy tube, and the process by which they may sometimes be removed.
PMID- 21881402
TI - Nuclear medicine imaging in dementia: a practical overview for hospitalists.
AB - Dementia is a clinical syndrome with diverse presentation, a challenging
differential diagnosis, and time-sensitive therapy. The most common cause of
dementia in patients aged > 65 years is Alzheimer's disease, which now affects 4
million people in the United States, but is often underrecognized, especially in
the inpatient population. The hospitalist may have the opportunity to evaluate a
patient's initial presentation of dementia. Addressing the inpatient's dementia
symptoms can improve overall care and outcomes, so it is imperative that the
hospitalist is abreast of recent developments in the dementia workup. The focus
of this article is to overview how nuclear medicine imaging of the brain can aid
in this process, with perfusion single-photon emission computed tomography
(SPECT) and fludeoxyglucose F 18 ((18)F-FDG) positron emission tomography (PET)
as the 2 most common modalities. Our discussion focuses on Alzheimer's disease,
as this the most common etiology of dementia in patients aged > 65 years;
however, we also touch on the other common neurodegenerative dementias (eg,
dementia with Lewy bodies, vascular dementia, and frontotemporal dementia) for
completeness. We begin with a summary of the most recent published guidelines for
each of these neurodegenerative diseases, and then expand on the role that
nuclear imaging plays in each. We provide a basic overview of the principles of
these nuclear medicine techniques, and then illustrate findings in perfusion
SPECT and (18)F-FDG PET for typical patterns of dementia, with emphasis on
evidence regarding diagnostic accuracy of each modality, in comparison with
accepted gold standards. Finally, we outline some future research topics within
the field of nuclear medicine in dementia, including amyloid plaque imaging and
dopamine transporter imaging.
PMID- 21881404
TI - A case-based approach to noninvasive positive pressure ventilation.
AB - Noninvasive positive pressure ventilation (NIPPV) has revolutionized the concept
of mechanical ventilation with the major benefit of avoiding invasive mechanical
ventilation in specific situations, thereby preventing associated complications.
Noninvasive positive pressure ventilation has emerged as the first line of
management of hypercapnic respiratory failure (due to chronic obstructive
pulmonary disease and neuromuscular weakness) and cardiogenic pulmonary edema in
addition to standard therapy in the acute setting. There is improvement in gas
exchange, relief of respiratory muscle fatigue, and clinical outcome with reduced
morbidity and mortality. Nevertheless, contraindications and failures need to be
identified early, as delaying endotracheal intubation is associated with
increased morbidity and mortality. Despite overwhelming evidence to support its
use, NIPPV is underused. Residents and hospitalists need to identify NIPPV as a
treatment option in acute respiratory failure.
PMID- 21881405
TI - Analyzing RNA polymerase III by electron cryomicroscopy.
AB - Recent electron cryomicroscopy reconstructions have provided new insights into
the overall organization of yeast RNA polymerase (Pol) III, responsible for the
synthesis of small, non-translated RNAs. The structure of the free Pol III enzyme
at 10 A resolution provides an accurate framework to better understand its
overall architecture and the structural organization and functional role of two
Pol III-specific subcomplexes. Cryo-EM structures of elongating Pol III bound to
DNA/RNA scaffolds show the rearrangement of the Pol III-specific subcomplexes
that enclose incoming DNA. In one reconstruction downstream DNA and newly
transcribed RNA can be followed over considerably longer distances as in the
crystal structure of elongating Pol II. The Pol III transcription machinery is
increasingly recognized as a possible target for cancer therapy. The recent cryo
EM reconstructions contribute to the molecular understanding of Pol III
transcription as a prerequisite for targeting its components.
PMID- 21881406
TI - mirExplorer: detecting microRNAs from genome and next generation sequencing data
using the AdaBoost method with transition probability matrix and combined
features.
AB - microRNAs (miRNAs) represent an abundant group of small regulatory non-coding
RNAs in eukaryotes. The emergence of Next-generation sequencing (NGS)
technologies has allowed the systematic detection of small RNAs (sRNAs) and de
novo sequencing of genomes quickly and with low cost. As a result, there is an
increased need to develop fast miRNA prediction tools to annotate miRNAs from
various organisms with a high level of accuracy, using the genome sequence or the
NGS data. Several miRNA predictors have been proposed to achieve this purpose.
However, the accuracy and fitness for multiple species of existing predictors
needed to be improved. Here, we present a novel prediction tool called
mirExplorer, which is based on an integrated adaptive boosting method and
contains two modules. The first module named mirExplorer-genome was designed to
de novo predict pre-miRNAs from genome, and the second module named mirExplorer
NGS was used to discover miRNAs from NGS data. A set of novel features of pre
miRNA secondary structure and miRNA biogenesis has been extracted to distinguish
real pre-miRNAs from pseudo ones. We used outer-ten-fold cross-validation to
verify the mirExplorer-genome computation, which obtained a specificity of 95.03%
and a sensitivity of 93.71% on human data. This computation was made on test data
from 16 species, and it achieved an overall accuracy of 95.53%. Systematic outer
ten-fold cross-validation of the mirExplorer-NGS model achieved a specificity of
98.3% and a sensitivity of 97.72%. We found that the good performance of the
mirExplorer-NGS model was upheld across species from vertebrates to plants in
test datasets. The mirExplorer is available as both web server and software
package at http://biocenter.sysu.edu.cn/mir/.
PMID- 21881407
TI - Two covariance models for iron-responsive elements.
AB - Iron-responsive elements (IREs) function in the 5' or 3' untranslated regions
(UTRs) of mRNAs as post-transcriptional structured cis-acting RNA regulatory
elements. One known functional mechanism is the binding of Iron Regulatory
Proteins (IRPs) to 5' UTR IREs, reducing translation rates at low iron levels.
Another known mechanism is IRPs binding to 3' UTR IREs in other mRNAs, increasing
RNA stability. Experimentally proven elements are quite small, have some
diversity of sequence and structure, and functional genes have similar
pseudogenes in the genome. This paper presents two new IRE covariance models,
comprising a new IRE clan in the RFAM database to encompass this variation
without over-generalisation. Two IRE models rather than a single model is
consistent with experimentally proven structures and predictions. All of the IREs
with experimental support are modelled. These two new models show a marked
increase in the sensitivity and specificity in detection of known iron-responsive
elements and ability to predict novel IREs.
PMID- 21881409
TI - The oncogenic RNA-binding protein Musashi1 is regulated by tumor suppressor
miRNAs.
AB - Musashi1 (Msi1) is an evolutionarily conserved RNA-binding protein that has been
implicated in processes like stem cell fate, nervous system development, and
tumorigenesis via its activities as a specific regulator of translation. While
Msi1 is barely detected in normal adult tissue, it has been observed to be highly
expressed in numerous tumor types (e.g. breast, colon, medulloblastoma,
glioblastoma, and et cetera). Unfortunately, the molecular cues that are
responsible for Msi1 upregulation in cancer cells are largely unknown. Tumor
suppressor microRNAs (miRNAs) are known for targeting genes with oncogenic
properties like Msi1 and for being either downregulated or deleted in tumor
tissue. We observed that Msi1 long 3'UTR region is potentially targeted by
several tumor suppressor miRNAs (miR-34a, -101, -128, -137, and -138). Western
blotting of endogenous Msi1 protein as well as luciferase assays confirmed Msi1
regulation by these tumor suppressor miRNAs. Furthermore, we observed when
examining different cellular states that these miRNAs and Msi1 have opposite
expression profiles. Cell proliferation inhibition induced by the tumor
suppressor miRNAs was partially rescued by Msi1 transgenic expression. We
conclude that tumor suppressor miRNAs are direct and influential regulators of
Msi1, affecting its expression pattern during tumorigenesis of malignant nervous
system tumors.
PMID- 21881410
TI - In vivo and in vitro analysis of 6S RNA-templated short transcripts in Bacillus
subtilis.
AB - By differential high-throughput RNA sequencing (dRNA-seq) we have identified
"product RNAs" (pRNAs) as short as 8-12 nucleotides that are synthesized by
Bacillus subtilis RNA polymerase (RNAP) in vivo using the regulatory 6S-1 RNA as
template. The dRNA-seq data were confirmed by in vitro transcription experiments
and Northern blotting. In our libraries, we were unable to detect statistically
meaningful numbers of reads potentially representing pRNAs derived from 6S-2 RNA.
However, pRNAs could be synthesized in vitro from 6S-2 RNA as template by the B.
subtilis sigma(A) RNAP. 6S-1 pRNA levels are low during exponential, increase in
stationary, and burst during outgrowth from stationary phase, demonstrating that
pRNA synthesis is a conserved regulatory mechanism, but a more dynamic and fine
tuning process than previously thought. Most pRNAs have a length of 8-15 nt, very
few up to 24 nt. The average length of pRNAs tended to increase from stationary
to outgrowth conditions. Synthesis of pRNA is initiated at C40 of 6S-1 RNA and
U41 of 6S-2 RNA, yielding pRNAs with a 5'-terminal G or A residue, respectively.
A B. subtilis 6S-1 RNA mutant strain encoding a pRNA with a 5'-terminal A residue
showed the same relative distribution of ~14-nt pRNAs between the different
growth states, but generally displayed lower pRNA levels than the reference
strain encoding wild-type 6S-1 RNA. A ~two-fold lower affinity of the C40U mutant
6S-1 RNA towards sigma(A) RNAP may have contributed to this reduction in pRNA
levels. We infer that 6S-1 pRNA synthesis, although evolutionarily optimized for
initiation with a +1G residue, is not primarily regulated at the transcription
initiation level via growth phase-dependent variations in the cellular GTP pool.
PMID- 21881408
TI - The structure of human cleavage factor I(m) hints at functions beyond UGUA
specific RNA binding: a role in alternative polyadenylation and a potential link
to 5' capping and splicing.
AB - 3'-end cleavage and subsequent polyadenylation are critical steps in mRNA
maturation. The precise location where cleavage occurs (referred to as poly(A)
site) is determined by a tripartite mechanism in which a A(A/U)UAAA hexamer, GU
rich downstream element and UGUA upstream element are recognized by the cleavage
and polyadenylation factor (CPSF), cleavage stimulation factor (CstF) and
cleavage factor I(m) (CFI(m)), respectively. CFI(m) is composed of a smaller 25
kDa subunit (CFI(m)25) and a larger 59, 68 or 72 kDa subunit. CFI(m)68 interacts
with CFI(m)25 through its N-terminal RNA recognition motif (RRM). We recently
solved the crystal structures of CFI(m)25 bound to RNA and of a complex of
CFI(m)25, the RRM domain of CFI(m)68 and RNA. Our study illustrated the molecular
basis for UGUA recognition by the CFI(m) complex, suggested a possible mechanism
for CFI(m) mediated alternative polyadenylation, and revealed potential links
between CFI(m) and other mRNA processing factors, such as the 20 kDa subunit of
the cap binding protein (CBP20), and the splicing regulator U2AF65.
PMID- 21881411
TI - The miR-10 microRNA precursor family.
AB - The miR-10 microRNA precursor family encodes a group of short non-coding RNAs
involved in gene regulation. The miR-10 family is highly conserved and has
sparked the interest of many research groups because of the genomic localization
in the vicinity of, coexpression with and regulation of the Hox gene
developmental regulators. Here, we review the current knowledge of the evolution,
physiological function and involvement in cancer of this family of microRNAs.
PMID- 21881412
TI - Revisiting the function of nuclear scaffold/matrix binding proteins in X
chromosome inactivation.
AB - Mammalian females repress gene expression from one of their two X chromosomes to
compensate for the gene dosage difference between females and males, via a
process called X chromosome inactivation (XCI). Since the first discovery of XCI
50 years ago, the knowledge of this phenomenon has greatly contributed to a
better understanding of the molecular mechanism that controls the epigenetic
regulation of gene expression. The key molecule that organizes the chromatin
level repression is an X-linked 17-kb non-coding RNA named Xist. The transcripts
of Xist are localized along the entire length of the X chromosome and
subsequently recruit a chromatin remodeling complex that introduces the
repressive epigenetic modifications. In the present review, we will highlight the
recent findings that have illustrated the close relationship between XCI and the
structural component of the nucleus called the nuclear scaffold/matrix, with an
emphasis on the function of the bona-fide scaffold/matrix-binding protein hnRNP
U/SAF-A.
PMID- 21881415
TI - Developing a family-based diabetes program for Latino immigrants: do men and
women face the same barriers?
AB - This study examined barriers and facilitators to diabetes self-management among
Latino immigrants with diabetes and whether similarities and differences were
observed by gender. Eight focus groups were conducted with 24 women and 21 men
Latinos; four focus groups involved women only and four involved men only. Themes
were identified using a combined deductive/inductive approach and an iterative
process of consensus coding. Gender similarities and differences emerged.
Barriers to self-management were primarily social for the women, whereas for men,
structural aspects related to work were prominent. Interventions aimed at
improving diabetes self-management among US Latino immigrants should consider
tailored approaches to help men and women overcome distinct barriers.
PMID- 21881416
TI - Attitudes, concerns, and likelihood for action related to young children's
overweight among early childhood program staff.
AB - For obesity prevention planning in early childhood programs, attitudes and
actions of staff related to communicating with parents about young children's
eating and weight need to be assessed. Early childhood program teachers (n = 271)
working with children aged 3 to 5 years were surveyed by mail. Factor analysis
provided patterns of response for multi-item questions. Respondents reported
different levels of agreement and comfort regarding communicating concerns to
parents about their child's weight and eating versus observations about the
child's daily eating. Feelings about parent communication varied (worried,
hesitant, responsible, and willing). Findings suggest opportunities for
communication between program staff and parents.
PMID- 21881417
TI - Knowledge, attitudes, and beliefs about nutrition and childhood overweight among
WIC participants.
AB - This study assessed knowledge, attitudes, and beliefs about nutrition, physical
activity, and health risks related to childhood overweight among Women, Infants,
and Children participants. Most (n = 165) were knowledgeable about causes/health
risks of overweight (90%). The belief that "some people are born big or thin . .
. " varied by education level (P < 0.05). Concerning activity, many (white non
Hispanic, 53%; African-American 69%) reported their children spending 2 to 3
hours per day watching television and playing video games, with 10% white non
Hispanic and 21% of African-American reporting 4 hours or more (P < 0.05).
Beliefs related to the risk of overweight and activity level of the children
differed among ethnic groups.
PMID- 21881418
TI - Engaging community-based veterans' organizations in health promotion programs.
AB - Community organizations, such as churches, clubs, and senior centers, can be
important locations for health programs. However, little is known about the
organizational factors that influence participation and engagement in health
programs. To learn more, we evaluated a community-based program designed to help
US military veterans better manage their high blood pressure. The program
involved training a pair of veterans to deliver health-related presentations at
their local units. We found that factors such as larger meeting attendance size,
rural location, age diversity, and member enthusiasm were positively associated
with both a willingness to participate and a high level of engagement in program
activities.
PMID- 21881419
TI - Employing a youth-led adult-guided framework: "Why Drive High?" social marketing
campaign.
AB - The "Drugged Driving Kills project: Why Drive High?" social marketing campaign
was developed and implemented by youth leaders and adult facilitators from public
and community health to increase youth awareness of the adverse effects of
marijuana on driving. The youth-led adult-guided project was founded on the
Holden's youth empowerment conceptual model. This article reports on the results
of the focus group evaluation, conducted to determine to what extent the tailored
youth-led adult-guided framework for the "Why Drive High?" social marketing
campaign provided an environment for youth leadership development.
PMID- 21881420
TI - Health-related quality of life profiles among family caregivers of patients with
schizophrenia.
AB - This cross-sectional study aims to determine and compare health-related quality
of life profiles of schizophrenia family caregivers on the basis of their
sociodemographic characteristics. Thirty outpatient family caregivers completed
the generic 36-Item Short Form Health Survey instrument (male = 53.5%; mean age =
51 years; married = 76.7%). The highest mean score was reported for social
functioning while role limitation-emotional was rated the worst. Significantly
better health-related quality of life profiles were demonstrated by caregivers
who were male, younger than 50 years, adequately educated, employed, and without
health problems and were receiving monthly income. Understanding of on health
related quality of life matters for family caregivers is crucial to improve the
quality of care for schizophrenia patients.
PMID- 21881421
TI - Starting over from scratch: social support and youth coping with internal
displacement.
AB - This article presents findings from a qualitative research study with daughters
of internally displaced families, more than a decade and half after the end of
the Lebanese civil war. In-depth interviews with these adolescent girls indicate
that in the absence of universal coverage of social security nets for the
Lebanese, the effects of impoverishment and continuous mobility in the suburbs
have adverse effects on their sense of stability, schooling, and coping. The
article argues that although the effects of impoverishment are not new to similar
urban youth populations, the quality of social support networks (ties to rural
areas and support from welfare agency services) is a determining factor in the
way they cope with adversity. Implications for policy are also presented.
PMID- 21881422
TI - Nonmedical healing methods: knowledge and behavior among parents of children with
mental health problems.
AB - This study examined knowledge and behavior concerning nonmedical healing methods
used by parents whose children present with mental health problems and to
determine the factors affecting this behavior. Knowledge of a variety of
nonmedical health methods was found to be more common than use of such methods.
Among those expressing knowledge of such methods, religious procedures were found
to be more common than those that are nutrition related. Also, nonmedical healing
method use increased as the mother's age decreased. We found a significant
relationship between nonmedical healing method--using behavior and a positive
family history of nonmedical healing method usage. Education about mental health
problems and their treatment is very important, especially for young mothers.
Increased understanding about nonmedical healing methods among health care
providers will improve the patient-family-provider relationship.
PMID- 21881426
TI - My call to action: the hidden problem of urinary incontinence: you can make a
difference!
PMID- 21881427
TI - The intersection of the Medicare end-stage renal disease (ESRD) benefit and
hospice: an overview for home care and hospice clinicians.
AB - End-of-life care is underutilized in patients with kidney failure despite high
mortality and multiple comorbid conditions. Recent revisions in the Medicare
Benefit Policy Manual and the Conditions for Coverage for End-Stage Renal Disease
provide a clearer understanding for referral to palliative and hospice care.
There are recommendations to improve end-of-life care in this complicated
population.
PMID- 21881431
TI - The pediatric renal transplant process: a guide for home health clinicians.
AB - Kidneys are one of the most commonly transplanted solid organs in children. In
2008, 16,067 renal transplants were performed in the United States; of those, 773
were performed on patients under the age of 18 (2009 OPTN/SRTR Annual Report 1999
2008, 2009). The process of renal transplantation can be a long one and children
and their families often endure many challenges on the road to the transplant,
not to mention the adjustments that lie ahead afterward. For this reason, and
because these patients benefit from home health follow-up after their transplant,
it is important for home health clinicians to be knowledgeable about the renal
transplant process in addition to posttransplant care.
PMID- 21881433
TI - Understanding the physical therapy evaluation in home healthcare: practical
pointers for the nurse clinical record reviewer.
AB - Nurses are often called upon to audit therapy documentation but may struggle to
interpret the "language" of other disciplines and apply Medicare's coverage
guidelines for appropriate, reasonable, and necessary services. This article
seeks to provide the nurse reviewer with insight into the most critical aspect of
establishing medical necessity for physical therapy services, the initial patient
evaluation.
PMID- 21881429
TI - Depression Care for Patients at Home (Depression CAREPATH): home care depression
care management protocol, part 2.
AB - High levels of depressive symptoms are common and contribute to poorer clinical
outcomes even in geriatric patients who are already taking antidepressant
medication. The Depression CARE for PATients at Home (Depression CAREPATH)
intervention was designed to meet the needs of medical and surgical patients who
suffer from depression. The intervention's clinical protocols are designed to
guide clinicians in managing depression as part of routine home care.
PMID- 21881434
TI - Healthcare reform and vulnerable home health patients: building the case for a
stronger safety net.
PMID- 21881435
TI - The International Home Care Nurses Organization (IHCNO) goes global.
PMID- 21881436
TI - Selecting the best design team partners.
AB - Choosing the right design partner is a critical step in planning a new hospital,
new addition or in remodeling an existing facility. This facility design
department aims to expand nurse leaders' knowledge and competencies in health
facility design and enables them to take leadership roles in design efforts. This
article focuses on the nurse leaders' role in selecting the best possible design
partner to ensure a collaborative working relationship throughout the project.
PMID- 21881437
TI - Improving processes through evolutionary optimization.
AB - As systems evolve over time, their natural tendency is to become increasingly
more complex. Studies on complex systems have generated new perspectives on
management in social organizations such as hospitals. Much of this research
appears as a natural extension of the cross-disciplinary field of systems theory.
This is the 18th in a series of articles applying complex systems science to the
traditional management concepts of planning, organizing, directing, coordinating,
and controlling. In this article, I discuss methods to optimize complex
healthcare processes through learning, adaptation, and evolutionary planning.
PMID- 21881439
TI - Perspectives on executive relationships: influence.
AB - This department, sponsored by AONE, presents information to assist nurse leaders
in shaping the future of healthcare through creative and innovative leadership.
The strategic priorities of AONE anchor the editorial content. They reflect
contemporary healthcare and nursing practice issues that challenge nurse
executives as they strive to meet the needs of patients. In this article, the
author presents the views of 6 Magnet hospital chief nursing executives regarding
their relationships with executive-level colleagues.
PMID- 21881438
TI - County and state quality data to inform expanded roles for nursing's future.
AB - In this department, Dr Newhouse highlights hot topics in nursing outcomes,
research, and evidence-based practice relevant to the nurse administrator. The
goal is to discuss the practical implications for nurse leaders in diverse
healthcare settings. Content includes evidence-based projects and decision
making, locating measurement tools for quality improvement and safety projects,
using outcome measures to evaluate quality, practice implications of
administrative research, and exemplars of projects that demonstrate innovative
approaches to organizational problems. In this article, the author describes
sources to identify major issues in healthcare quality, nursing's role in
addressing quality issues, and Web-based resources for county and state quality
data to guide nurses' future engagement.
PMID- 21881440
TI - Adoption of national quality forum safe practices by Magnet(r) hospitals.
AB - BACKGROUND: : Magnet hospitals (MHs) are known for their high retention rates of
nurses and positive work environment, yet little is known about whether MHs also
have higher levels of safe practice adoption rates compared with non-Magnet
hospitals (NMHs). METHODS: : In this study, we investigate adoption of National
Quality Forum (NQF) Safe Practices in 34 regions during 2004 to 2006 that were
part of the Leapfrog Group initiative to improve quality of hospital care. We
conducted a secondary data analysis by combining multiple data sets from the
American Hospital Association Annual Survey, Healthcare Cost Reports Information
System, and Leapfrog Group Annual Hospital Survey. A composite safe practice
score (CSPS) was constructed from the Leapfrog annual survey and ranged from 0
(no adoption) to 1,000 (complete adoption) of the 30 NQF Safe Practices. A
descriptive analysis and a regression with Heckman correction to control for
selection bias were used to determine the effect of Magnet status and other
hospital and market characteristics on differences in CSPS over the 3-year
period. RESULTS: : There were 140 MHs and 1,320 NMHs reporting data for the CSPS.
In 2004, MHs had a mean CSPS of 865 versus 774 for NMHs (P < .001). By 2006, NMHs
improved their CSPS from 774 to 872 (98 points), whereas MHs improved their CSPS
from 865 to 925 (60 points, P < .001). Regression analysis showed a positive and
significant effect of Magnet status of hospitals on the adoption rates of NQF
Safe Practices as measured by the CSPS. Our results also indicated that smaller
hospitals (in bed size), hospitals with larger share of Medicare patients, higher
nurse intensity levels (mean hours of nursing care per day), and higher levels of
competition among hospitals in Leapfrog rollout regions were associated with
higher CSPS. CONCLUSION: : Magnet hospitals in the urban areas of 34 Leapfrog
rollout regions were more likely to have higher adoption rates of NQF Safe
Practices in comparison to NMHs in the same demographic areas during the time
frame of the study, but other hospitals nearly closed the gap by 2006.
PMID- 21881441
TI - Health information technology in the workplace: findings from a 2010 national
survey of registered nurses.
AB - The objective of this study was to examine RNs' experiences with health
information technology (HIT) and their perceptions of the effect of this
technology on quality of care and daily work. The adoption and use of HIT are
expected to increase substantially over the next 5 years because of policy
efforts at the federal and state levels. Given the size of the RN workforce and
their critical role in healthcare delivery, their experiences with HIT could help
adoption efforts. The method used was a nationally representative survey of 1500
nurses with a 56% response rate. Findings suggest wide variation in the
availability of HIT functionality, with functions more likely available to
hospital RNs. Overall, RNs perceived the effect of these technologies on quality
of care and their daily work as positive. Ensuring that HIT systems are relevant
to and usable for RNs will be a critical component in achieving the meaningful
use of these systems.
PMID- 21881442
TI - Hands-free communication technology: a benefit for nursing?
AB - The introduction of mobile communication devices (MCDs) has dramatically altered
how nurses communicate. It is critical to assess whether these technologies
contribute to stress and complicate the work of the nurse or if the devices are
perceived as assisting in the provision of efficient and higher-quality patient
care. The authors discuss a study that assessed the perceptions of nurses on a
medical unit after MCDs were implemented.
PMID- 21881443
TI - Visioning as a hiring strategy for quality outcomes.
AB - Mutual trust and shared nurse leadership behaviors between the chief nurse
executive and nursing staff are linked to improved clinical outcomes through an
initial visioning process. The authors outline a hospital's strategy to improve
nurse-driven outcomes by using visioning in the hiring process. Visioning,
implemented in the hiring process, is a unique application of this tool for
creating a desired future.
PMID- 21881444
TI - Translating caring theory into practice: the Carolina Care Model.
AB - This article describes how one organization operationalized Swanson Caring Theory
and changed practice to ensure consistently high standards of performance. The
Carolina Care Model developed at the University of North Carolina Hospitals is
designed to actualize caring theory, support practices that promote patient
satisfaction, and transform cultural norms. Evaluation suggests that this
approach to care delivery enhances patients' and families' hospital experience
and facilitates desired outcomes. The authors outline the Professional Practice
Model, key characteristics of Carolina Care, links to caring theory, and
development and implementation methodologies.
PMID- 21881445
TI - A nurse practitioner residency pilot program: a journey of learning.
AB - A collaborative team developed a year long residency experience for a staff nurse
transitioning to a nurse practitioner role in the Veterans Affairs system. To
assist others desiring to provide support, networking, and infrastructure to
those transitioning into new roles, the authors discuss strengths and weaknesses
of the pilot and lessons learned related to defining trainee versus resident,
credentialing and privileging, and specific mentoring needed for the role of the
nurse practitioner.
PMID- 21881446
TI - 'Mild' nonobstructive coronary artery disease is often anything but.
PMID- 21881447
TI - Prevalence, clinical characteristics and treatment patterns of low high-density
lipoprotein cholesterol in the US population: National Health and Nutrition
Examination Survey 2005-2008.
AB - AIMS: The aims of this study were to estimate the prevalence of low high-density
lipoprotein cholesterol (HDL-C) in US adults, assess the association between low
HDL-C levels and clinical characteristics, and quantify the utilization of
dyslipidemic agents as it relates to the distribution of HDL-C. METHODS: We
analyzed a sample of 4129 adults (>20 years) who underwent fasting blood
evaluations in the National Health and Nutrition Examination Survey (NHANES) 2005
2008. Sex-specific crude and adjusted logistic models were developed to evaluate
the association between individual characteristics and low HDL-C, in which low
HDL-C was defined as less than 40 mg/dl for men and less than 50 mg/dl for
women. RESULTS: Approximately 24% of men and 27% of women had low HDL-C levels.
Factors most strongly associated with low HDL-C levels for men included being
obese [odds ratio (OR) = 3.27, 95% confidence interval (CI): 1.98-5.40], having
elevated triglyceride levels (>200 mg/dl: OR = 8.17, 95% CI: 5.54-12.03) and
having apolipoprotein B levels more than 117 mg/dl (OR = 5.99, 95% CI: 2.74
13.13). The same factors were associated with low HDL-C levels among women: being
obese (OR = 2.89, 95% CI: 1.78-4.71), having elevated triglyceride levels (>200
mg/dl: OR = 13.35, 95% CI: 7.49-23.77) and having apolipoprotein B levels more
than 117 mg/dl (OR = 5.88, 95% CI: 2.29-15.11). Approximately 82% of men and 79%
of women with low HDL-C levels reported not using any dyslipidemic medication.
CONCLUSION: Although having low HDL-C was common among US adults, few reported
taking a dyslipidemic agent. Our study also confirmed some of the known risk
factors associated with low HDL-C levels in the general US population.
PMID- 21881448
TI - Giant left atrium syndrome.
AB - Giant left atrium syndrome can occasionally occur in patients with rheumatic
mitral valve regurgitation and can be responsible for oesophagus and/or airways
compression. Abnormally enlarged left atriomegaly creates unusual right chest
opacification on radiographs.
PMID- 21881450
TI - Effects of add-on cilostazol on cognition in patients with schizophrenia: an open
label pilot trial.
PMID- 21881451
TI - Ibogaine-associated psychosis in schizophrenia: a case report.
PMID- 21881452
TI - Haloperidol versus second-generation antipsychotics in the long-term treatment of
schizophrenia: a 4-year follow-up naturalistic study.
PMID- 21881453
TI - Antipsychotic drugs increase adipose stem cell differentiation--implications for
treatment with antipsychotic drugs.
PMID- 21881454
TI - Late-onset agranulocytosis in a patient treated with clozapine and lamotrigine.
PMID- 21881455
TI - A self-limiting case of atypical neuroleptic malignant syndrome associated with
zotepine.
PMID- 21881456
TI - The effect of long-term use of risperidone on body weight of children with an
autism spectrum disorder.
PMID- 21881457
TI - A cytochrome P450 inhibitor in a stable schizophrenic patient: a drug
interaction.
PMID- 21881458
TI - Hyperosmolar hyperglycemic state associated with ziprasidone treatment: a case
report.
PMID- 21881459
TI - Memantine for comorbid obsessive-compulsive disorder and Asperger disorder
suggests a link in glutamatergic dysregulation.
PMID- 21881460
TI - Pregabalin augmentation in treatment-resistant obsessive-compulsive disorder: a
16-week case series.
PMID- 21881461
TI - Six-year mortality rates of patients in methadone and buprenorphine maintenance
therapy: results from a nationally representative cohort study.
PMID- 21881462
TI - Carnitine supplementation for valproate-related hyperammonemia to maintain
therapeutic valproate level.
PMID- 21881463
TI - Effects of baseline depression severity on remission rates with duloxetine and
placebo in anxious and nonanxious patients with major depression.
PMID- 21881464
TI - An update on brain death criteria: a simple algorithm with complex questions.
AB - Brain death criteria have been based on 3 cardinal features throughout history:
coma, brainstem areflexia, and apnea, and thus have undergone little change. In
1995, the American Academy of Neurology (AAN) detailed these criteria in a step
by-step fashion that included meeting prerequisites, performing the clinical
examination, performing ancillary testing, and documentation. Fifteen years
later, many questions still remain regarding the diagnosis of brain death. The
Quality Standards Subcommittee of the AAN sought to answer 5 of these outstanding
questions. Ultimately, their data supported the utility of the 1995 criteria and
warned against the use of new technologies before proper validation. This review
briefly tells the story of brain death criteria, making mention of the steps
outlined by the AAN in 1995 and discussing the recent evidence released by the
Quality Standards Subcommittee in the new 2010 Practice Parameter Update.
PMID- 21881465
TI - Neurologic prognosis in cardiac arrest patients treated with therapeutic
hypothermia.
AB - BACKGROUND: Therapeutic hypothermia is now commonly used to improve neurologic
outcomes in eligible patients after cardiac arrest. The physiologic effects of
cooling and pharmacologic effects of sedatives and neuromuscular blocking agents
can affect the clinical exam and neurophysiologic findings. This can lead to
uncertainty in neurologic prognostication. In this article, we review data on
assessing prognosis in patients treated with therapeutic hypothermia. REVIEW
SUMMARY: Features of the clinical examination, neurophysiologic testing
(including somatosensory-evoked potentials and electroencephalography),
serum/cerebrospinal fluid biomarkers and neuroimaging can be used to help predict
prognosis. However, no single test can predict poor prognosis with absolute
certainty. Given the features that help to predict poor, indeterminate, or good
outcome, we provide practical advice in assessing neurologic prognosis after
cardiac arrest in patients treated with therapeutic hypothermia. CONCLUSIONS: The
American Academy of Neurology practice parameters for assessing prognosis after
cardiac arrest may not be accurate for patients treated with therapeutic
hypothermia. Application of these guidelines may lead to overly pessimistic
prognostication and premature withdrawal of care. If uncertainty exists regarding
the prognosis in a given patient after cardiac arrest, additional time should be
allowed to pass, as patients may ultimately recover with good neurologic outcome.
PMID- 21881466
TI - Refractory epilepsy associated with microglial activation.
AB - BACKGROUND: Experimental and clinical studies support a pathogenic role of
microglial activation and proliferation (MAP) in epileptogenesis. METHODS: From a
consecutive series of 319 surgically treated epilepsy cases, we retrospectively
reviewed the histopathological sections of 92 cases to define the prevalence and
severity of MAP after excluding the other 227 because of coexisting disorders
that might contribute to MAP. Severity of MAP was compared with underlying
abnormalities. We assessed the response to intravenous immunoglobulin and
plasmapheresis in one patient with severe MAP who had failed multiple
antiepileptic drugs and epilepsy surgery. RESULTS: MAP was detected with routine
(hematoxylin and eosin) stain in 46 of 92 cases (50%). MAP was mild in 32 cases
(69.6%), moderate in 12 (26.1%), and severe in 2 (4.3%). The prevalence and
severity of MAP were independent of underlying abnormalities. Immunomodulatory
therapy was followed by a greater than 90% reduction in seizure activity in the
treated patient. CONCLUSION: MAP is prevalent in resected human epilepsy tissue.
Failure to down-regulate MAP contributes to chronic neuronal hyperexcitability.
We hypothesize that MAP initiates a cycle of inflammation-induced seizures and
seizure-induced inflammation. Microglia-driven epilepsy may be a primary
pathogenic process in a small number of cases, as suggested by the pathology and
therapeutic response in our patient, but may contribute to epileptogenesis in
many more.
PMID- 21881467
TI - New insights in antiplatelet therapy for patients with ischemic stroke.
AB - BACKGROUND: Acute treatment and long-term secondary prevention of
noncardioembolic ischemic stroke and transient ischemic attack (TIA) include
initiation of antiplatelet therapy. Antiplatelet agents currently used in the
treatment of ischemic stroke and TIA are aspirin, clopidogrel, and dipyridamole.
REVIEW SUMMARY: The safety and efficacy of antiplatelet therapy in patients with
ischemic stroke, including a discussion of recent trial data and its influence on
treatment guidelines, are presented. A brief discussion of the use of
antiplatelet therapy in preventing stroke and embolism in patients with atrial
fibrillation is also presented. For secondary prevention of ischemic events in
patients with a history of stroke, clinical trials have shown the addition of
dipyridamole to aspirin to be more effective than aspirin alone. The therapies
are also similar from a standpoint of bleeding. The combination of aspirin and
clopidogrel was not shown to be more efficacious and caused more bleeding than
aspirin alone when evaluated for secondary prevention. However, dual antiplatelet
therapy with aspirin and clopidogrel may have some benefit in the acute stroke
setting or in the prevention of thrombotic events in patients with atrial
fibrillation who cannot or will not take warfarin. CONCLUSIONS: Antiplatelet
therapy is an important component of acute and long-term treatment of ischemic
stroke and TIA. Ongoing clinical trials may help to refine what treatment
regimens are best suited for acute and long-term therapy.
PMID- 21881468
TI - DBS candidates that fall short on a levodopa challenge test: alternative and
important indications.
AB - INTRODUCTION: Candidacy for deep brain stimulation (DBS) in Parkinson disease
(PD) is typically assessed by the preoperative motor response to levodopa along
with an interdisciplinary evaluation. However, recent cases treated at our
institution have achieved good outcomes with DBS despite a sub-30% improvement in
motor scores. The aim of this study was to examine the outcomes of DBS in a
subset of patients who failed to reach the 30% motor improvement threshold.
METHODS: A review of all DBS patients treated at the University of Florida
Movement Disorders Center between 2002 and 2009 was performed utilizing a DBS
database. All patients with sub-30% improvement in Unified Parkinson Disease
Rating Scale Part III after dopaminergic medication administration were included.
RESULTS: Nine patients were identified; DBS was performed for severe dyskinesia
(n=5), "on/off motor" fluctuations (n=1) and medication-refractory tremor (n=3).
The target symptoms were improved in all patients. Postoperatively, scores on the
Unified Parkinson Disease Rating Scale Part II and III and subscores on Parkinson
disease questionnaire-39 improved (P<0.05). CONCLUSIONS: Although motor response
to levodopa remains the primary selection criteria for DBS candidacy in Parkinson
disease, patients who do not meet the 30% threshold and have disabling symptoms
may still benefit from DBS. Select patients with severe dyskinesia, "on/off"
motor fluctuations, and/or medication-refractory tremor may experience
significant benefits from DBS and should be considered on a case by case basis
through an interdisciplinary team evaluation.
PMID- 21881469
TI - Gliomatosis cerebri diagnostic challenge: two case reports.
AB - BACKGROUND: Gliomatosis cerebri is a specific entity defined as a diffuse
neoplastic glial cell infiltration of the brain, preserving the architecture of
the normal surrounding tissues, involving more than 2 cerebral lobes. Clinical
symptoms or radiologic features are nonspecific, and patients are often
misdiagnosed with other neurologic diseases. REVIEW SUMMARY: Here, we report the
diagnostic workup of 2 patients with gliomatosis cerebri, discussing the
clinical, radiologic, and pathologic findings. Case 1: a 64-year-old woman who
presented with an intracranial hypertension syndrome and had symmetrical white
matter T2-weighted and fluid-attenuated inversion recovery hyperintensities
pattern on magnetic resonance imaging; and case 2: a 54-year-old man with the
diagnosis of multiple sclerosis for 8 years who presented with de novo cognitive
impairment and focal deficits. CONCLUSIONS: This report highlights the difficulty
of this differential diagnosis and the need of considering it also in the
presence of a symmetrical pattern of white matter involvement. Cerebral biopsy
remains crucial for the correct diagnosis and treatment approach.
PMID- 21881470
TI - Nonconvulsive status epilepticus related to posterior reversible
leukoencephalopathy syndrome induced by cetuximab.
AB - BACKGROUND: Reversible posterior leukoencephalopathy syndrome (PRES) is a
relatively uniform clinical and neuroradiologic manifestation of central nervous
system toxicity. The clinical features are headache, altered mental status, and
visual disturbances. PRES is often associated with arterial hypertension but it
is most usually related to drug toxicity. In fact, it has been related to
immunosupressants, cytotoxic, and new antineoplastic-targeted therapies such as
sorafenib, sunitinib, bevacizumab, bortezomib, rituximab, and etanercept. CASE
REPORT: We describe a most unusual case of nonconvulsive status epilepticus
related to PRES induced by cetuximab in a patient with metastatic squamous cell
carcinoma of the penis. DISCUSSION: This case emphasizes that in any patient
receiving treatment with anti-epidermal growth factor receptor agents and showing
a compatible clinical syndrome, PRES should be suspected. We also review the
clinical and neuroradiologic features of PRES, discuss its' pathogenesis, and
highlight the importance of rapid recognition and withdrawal of the causative
agent.
PMID- 21881471
TI - Acute disseminated encephalomyelitis after mixed malaria infection (Plasmodium
falciparum and Plasmodium vivax) with MRI closely simulating multiple sclerosis.
AB - INTRODUCTION: Acute disseminated encephalomyelitis (ADEM) is a monophasic,
inflammatory, immune-mediated disorder of the central nervous system. It is
particularly difficult to distinguish between ADEM and an initial attack of
multiple sclerosis (MS) clinically and based on magnetic resonance imaging (MRI)
or cerebrospinal fluid. ADEM is quite rare after malaria infection. Our patient,
although diagnosed provisionally of ADEM after mixed malaria infection, had
neuroimaging closely simulating MS. CASE REPORT: We report a case of a woman with
an adult type 2 diabetes presenting with fever and diagnosed by antigen assay to
be suffering from mixed malaria infection (Plasmodium falciparum, Plasmodium
vivax). While recovering with artesunate and doxycycline therapy, she developed
acute onset bladder retention followed by paraparesis. On examination she had
evidence of Upper Motor Neuron (UMN) signs in all the 4 limbs along with truncal
sensory loss. DISCUSSION: Her MRI of spine showed T2 hyperintensities suggestive
of resolving myelitis. MRI of the brain showed multifocal and confluent areas of
demyelination mostly involving the corpus callosum and periventricular region.
Lesions, particularly the callosal ones, closely simulated MS. In accordance with
the McDonald Criteria and Barkhof's MRI Criteria, this patient did not fit into
the diagnosis of MS. Our provisional diagnosis was ADEM.
PMID- 21881472
TI - Lamotrigine withdrawal may worsen RBD symptoms.
AB - BACKGROUND: Rapid eye movement (REM) behavior disorder (RBD) is a rapid eye
movement parasomnia, which in its symptomatic type could be induced by the
introduction or the discontinuation of several drugs and substances. No
references for antiepileptic drugs and especially for lamotrigine are known
regarding pharmacologically induced RBD. CASE REPORT: We report a case of a 68
year-old man already suffering from RBD, who developed very brief episodes of
epigastric discomfort followed by impaired consciousness and was given a
diagnosis of epilepsy. Lamotrigine was started at 25 mg/d, reached a maximum of
100 mg/d after 2 months and was retained at this dose for 1 more month. During
this period there was a slight decrease in the episodes of impaired consciousness
and no appreciable changes in the frequency and intensity of RBD symptomatology.
Immediately following the abrupt discontinuation of lamotrigine, RBD
symptomatology was severely aggravated, with dreams becoming more vivid and
frightening and occurring almost every night. RBD symptomatology gradually
subsided over 2 months, reaching levels comparable to those before lamotrigine.
The impaired consciousness episodes remained unaffected and were considered to be
nonepileptic. CONCLUSIONS: Our findings suggest that abrupt withdrawal of
lamotrigine may worsen symptoms of comorbid idiopathic RBD.
PMID- 21881473
TI - Unilateral GPi-DBS as a treatment for levodopa-induced respiratory dyskinesia in
Parkinson disease.
AB - BACKGROUND: Respiratory dyskinesia is a rare but disabling complication of
levodopa therapy for Parkinson disease; however, its treatment has been limited
to medication optimization. CASE REPORT: A 72-year-old woman with a 6-year
history of Parkinson disease presented with severe and debilitating levodopa
induced respiratory dyskinesia, which manifested with a short and shallow
breathing pattern and panting. These symptoms were observed coincident with limb
and truncal dyskinesias. Both respiratory and limb/trunk dyskinesias were
addressed by the implantation of a unilateral globus pallidus interna deep brain
stimulator (GPi-DBS). CONCLUSIONS: Although the mechanism of involvement of the
respiratory system in dyskinesia is unknown, GPi-DBS seems to be a potentially
viable treatment option for these patients.
PMID- 21881474
TI - A coincidental case of young-onset Parkinson disease and multiple sclerosis.
AB - BACKGROUND: Parkinsonism in patients with multiple sclerosis is rare. Some
patients have 2 coincidental diseases, whereas others have a Parkinsonian
syndrome symptomatic to demyelinating lesions. CASE REPORT: We describe a 42-year
old female patient who developed left akinetic-rigid Parkinsonian syndrome at the
age of 38 years. Brain magnetic resonance imaging revealed multiple white matter
hyperintense T2-weighted lesions. DaTSCAN revealed reduced uptake of dopamine
transporter in the right striatum. Intravenous corticosteroids were
inefficacious. She had major clinical improvement with levodopa and 6 months
later developed peak-dose dyskinesias. At the age of 41 years, she presented with
a brainstem attack, with complete symptom resolution after intravenous
corticosteroids. Subsequent brain magnetic resonance imagings disclosed new
inflammatory lesions. Immunomodulatory treatment was started with beta
interferon. COMMENTS: In this patient, the presence of an asymmetrical
Parkinsonian syndrome, with good response to levodopa, peak-dose dyskinesias, and
abnormal DaTSCAN, supports the diagnosis of young-onset Parkinson disease. The
multiple sclerosis diagnosis was established based on clinical evidence of time
and space dissemination of demyelinating lesions.
PMID- 21881475
TI - Sleep apnea and white matter disease in hypertensive patients: a case series.
AB - Obstructive sleep apnea (OSA) is associated with hypertension (HTN) and
cardiovascular disease. Transient episodes of hypoxia, hypercapnia, and blood
pressure elevation during OSA may lead to neural damage and subsequently white
matter disease (WMD). As WMD is usually the result of chronic small vessel
ischemia, a relationship between OSA and cerebrovascular disease may exist. This
case series aimed to establish a relationship between OSA and WMD. Sixty-two
patients without cerebrovascular disease who had both a polysomnogram and brain
magnetic resonance imaging were identified. All patients carried the diagnosis of
HTN. WMD was evaluated using the age-related white matter changes scale. Although
half of the study population had WMD on magnetic resonance imaging, no
association was found between WMD with severity of OSA (P=0.9). Our results are
limited by the small sample size and by coexistent HTN in all patients. Further
studies are needed to elucidate the relationship between OSA and WMD, especially
among nonhypertensive patients. Future research should also address if OSA
treatment has any effect on WMD.
PMID- 21881476
TI - Intracerebral hemorrhage: an unusual presentation of neurosarcoidosis.
AB - Neurosarcoidosis has a variety of clinical presentations. Common manifestations
include leptomeningeal inflammation with seizures, headache, cranial nerve
palsies, hydrocephalus, or focal neurological deficits with white matter lesions
or mass lesions. Stroke is relatively rare, and hemorrhage is much less common
than ischemia due to vasculitis. We present a patient with histopathologically
confirmed neurosarcoidosis presenting with headache, seizures, and cognitive
decline with multiple recurrent primary intracerebral hemorrhages.
PMID- 21881477
TI - Risk of development of medication overuse headache with nonsteroidal anti
inflammatory drug therapy for migraine: a critically appraised topic.
AB - BACKGROUND: The development of medication overuse headache (MOH) is associated
with frequent use of analgesics, especially opiates, for treatment of primary
headache disorders, particularly migraine. Nonsteroidal anti-inflammatory drugs
(NSAIDs) are commonly used to treat migraine. OBJECTIVE: To critically evaluate
evidence estimating the risk of MOH associated with NSAID therapy in patients
with migraine. METHODS: The objective was addressed through the development of a
structured, critically appraised topic. This included a clinical scenario,
structured question, literature search strategy, critical appraisal, results,
evidence summary, commentary, and bottom line conclusions. Participants included
consultant and resident neurologists, a medical librarian, clinical
epidemiologists, and headache neurology content experts. RESULTS: The 1-year
incidence of MOH was 2.5%. In patients with low (0 to 4 d monthly) to moderate (5
to 9 d monthly) baseline headache frequency, NSAIDs were not associated with
progression to MOH and may be protective (odds ratio=0.31; 95% confidence
interval, 0.27-0.34). However, in patients with a high baseline headache
frequency (10 to 14 d monthly), NSAIDs are associated with progression to MOH
(odds ratio=1.93; 95% confidence interval, 1.82-2.06). CONCLUSIONS: Acute NSAID
therapy is associated with progression to MOH in migraineurs with a high baseline
migraine frequency but may be protective in patients with low baseline headache
frequency. However, a causal role for NSAIDs in progression from episodic to
chronic headache has not been established.
PMID- 21881478
TI - Phase 2 double-blind, randomized trial of etravirine versus efavirenz in
treatment-naive patients: 48-week results.
AB - BACKGROUND: The Study of Etravirine Neuropsychiatric Symptoms versus Efavirenz
(SENSE) trial compared etravirine with efavirenz in treatment-naive patients. The
primary endpoint was neuropsychiatric adverse events up to week 12; HIV RNA
suppression at week 48 was a secondary endpoint. METHODS: Patients with HIV RNA
more than 5000 copies/ml were randomized to etravirine 400 mg once daily (n =
79) or efavirenz (n = 78), plus two nucleoside analogues. HIV RNA less than 50
copies/ml at week 48 was analysed using the time to loss of virological response
(TLOVR) algorithm. Drug resistance at treatment failure and safety endpoints were
also evaluated. RESULTS: At baseline, the median CD4 cell count was 302
cells/MUl and HIV RNA was 4.8 log10 copies/ml. In the intent to treat TLOVR
analysis at week 48, 60 of 79 (76%) patients on etravirine versus 58 of 78 (74%)
on efavirenz had HIV RNA less than 50 copies/ml. In the on-treatment analysis,
60 of 65 (92%) taking etravirine had HIV RNA les than 50 copies/ml versus 58 of
65 (89%) for efavirenz: etravirine showed noninferior efficacy versus efavirenz
in both analyses (P < 0.05). Four patients had virological failure in the
etravirine arm: none developed resistance to nucleoside analogues or
nonnucleosides. Seven patients had virological failure in the efavirenz arm:
three developed treatment-emergent resistance to nucleoside analogues and/or
nonnucleosides. At the week 48 visit, the percentage with ongoing
neuropsychiatric adverse events was 6.3% for etravirine and 21.5% for efavirenz
(P = 0.011). CONCLUSION: First-line treatment with etravirine 400 mg once daily
and two nucleoside reverse transcriptase inhibitors (NRTIs) led to similar rates
of HIV RNA suppression, compared with efavirenz and two NRTIs. None of the
patients with virological failure in the etravirine arm developed resistance to
nonnucleosides.
PMID- 21881479
TI - HIV seroprevalence among orphaned and homeless youth: no place like home.
AB - OBJECTIVES: We evaluated the combined influences of orphaned status and
homelessness on HIV seroprevalence and risk among street-involved Ukrainian youth
in 2008. DESIGN: Systematic, multicity, community-based, cross-sectional
assessment. METHODS: Time-location sampling was used to identify eligible youth
aged 15-24 after city-wide mapping of 91 sites where street-involved youth
gathered in Odessa, Kiev, and Donetsk. Universal sampling identified 961 youth in
74 randomly selected sites; 97% consented. Youth reporting one or both parents
dead were classified as orphaned; those without a stable residence or sleeping
outside their residence at least two nights per week were classified as homeless.
Trained staff provided HIV counseling and rapid testing via mobile vans. Adjusted
odds ratios (AORs) were calculated using logistic regression, accounting for
intracluster homogeneity. RESULTS: We found 32% (300 of 929) were both orphaned
and homeless; 48% either (but not both) homeless [37% (343 of 929)] or orphaned
[11% (104 of 929)]; and [20% (182 of 929)] neither orphaned nor homeless. HIV
seroprevalences were 7% for neither orphaned/homeless; 16 and 17%, respectively,
for either orphaned/homeless; 28% for both orphaned/homeless (P for trend
<0.0001). AORs for HIV infection were 1 for neither; 2.3 and 2.4 for either
homeless [95% confidence interval (CI) 1.7-2.9] or orphaned (CI 1.8-3.3); 3.3 for
both orphaned/homeless (CI 2.3-4.4). Ever-use of injection drugs increased from
15 to 32 to 48% for those who neither, either, or both orphaned and homeless,
respectively (P for trend <0.0001). CONCLUSIONS: One of four youths who were both
homeless and orphaned was HIV-infected; these youths were significantly more
likely to be HIV infected and to report injection drug use than those with
adequate housing and living parents.
PMID- 21881480
TI - Mortality and immunovirological outcomes on antiretroviral therapy in HIV-1 and
HIV-2-infected individuals in the Gambia.
AB - OBJECTIVES: This study's objective was to assess outcomes in HIV-1 and HIV-2
infected antiretroviral therapy (ART)-naive patients starting ART in the Gambia,
West Africa. DESIGN: A cohort design was used to estimate survival in ART
patients and determine whether survival and time to virologic failure varied
across patient subgroups. METHODS: Mortality, virologic failures and CD4(+) cell
recovery were assessed in a clinical cohort of patients from the Genito-Urinary
Medicine (GUM) clinic of the MRC Laboratories in the Gambia. Kaplan-Meier
estimates of survival were determined for mortality and virologic failure. A Cox
proportional hazards model was used to identify baseline demographic, clinical,
immunologic and virologic factors associated with increased risk of death.
RESULTS: The overall Kaplan-Meier estimate of survival to 36 months was 73.4%
(66.5, 80.3). Survival was marginally higher in HIV-2-infected patients compared
to HIV-1-infected patients; it was significantly higher in patients with a
baseline CD4(+) lymphocyte cell count of greater than 50 cells/MUl compared to
those with a baseline CD4(+) count of less than 50 cells/MUl. CD4(+) cell
recovery was faster in HIV-1-infected individuals compared to HIV-2-infected
patients up to 24 months, although this did not result higher mortality in the
latter group. No differences in virologic failure were observed by HIV type.
CONCLUSION: HIV-1 and HIV-2-infected patients receiving ART in a clinical setting
in the Gambia had good survival to 36 months. HIV-2-infected patients did as well
as HIV-1-infected patients in terms of long-term immunological and virological
responses and overall survival.
PMID- 21881481
TI - Impact of CD8+ T-cell activation on CD4+ T-cell recovery and mortality in HIV
infected Ugandans initiating antiretroviral therapy.
AB - OBJECTIVES: To assess whether T-cell activation independently predicts the extent
of CD4(+) T-cell recovery and mortality in HIV-infected Ugandans initiating
antiretroviral therapy (ART). DESIGN: Prospective cohort study. METHODS: HIV
infected adults starting ART and achieving a plasma HIV RNA level (VL) less than
400 copies/ml by month 6 were sampled from the Uganda AIDS Rural Treatment
Outcomes (UARTO) cohort in Mbarara, Uganda. CD4 count, VL, and the percentage
activated (CD38(+)HLA-DR(+)) T cells were measured every 3 months. RESULTS: Of
451 HIV-infected Ugandans starting ART, most were women (70%) with median pre-ART
values: age, 34 years; CD4 count, 135 cells/MUl; and VL, 5.1 log(10) copies/ml.
Of these, 93% achieved a VL less than 400 copies/ml by month 6 and were followed
for a median of 24 months, with 8% lost to follow-up at 3 years. Higher pre-ART
CD8(+) T-cell activation was associated with diminished CD4 recovery after year
1, after adjustment for pre-ART CD4 count, VL, and sex (P = 0.017). Thirty-four
participants died, 15 after month 6. Each 10% point increase in activated CD8(+)
T cells at month 6 of suppressive ART was associated with a 1.6-fold increased
hazard of subsequent death after adjusting for pretherapy CD4 count (P = 0.048).
CONCLUSIONS: Higher pre-ART CD8(+) T-cell activation independently predicts
slower CD4(+) T-cell recovery and higher persistent CD8(+) T-cell activation
during ART-mediated viral suppression independently predicts increased mortality
among HIV-infected Ugandans. Novel therapeutic strategies aimed at preventing or
reversing immune activation during ART are needed in this setting.
PMID- 21881482
TI - Neurological manifestations of coinfection with HIV and human T-lymphotropic
virus type 1.
AB - HIV-individuals are at risk for human T-lymphotropic virus (HTLV) coinfection and
neurological diseases. Little is known about the impact of HAART among coinfected
patients. In this study, 47 out of 428 HIV individuals were coinfected with HTLV
(10.9%). Coinfection was an independent variable associated with neurological
outcome (odds ratio 8.73). Coinfection was associated with myelopathy [chi square
(X(2)) = 93, P < 0.001], peripheral neuropathy (X(2) = 6.5, P = 0.01), and
hepatitis C virus infection (X(2) = 36.5, P < 0.001). HAART did not appear to
protect against neurological diseases and had no impact on HTLV proviral load.
PMID- 21881483
TI - Clinical relevance of detection of lymphovascular invasion in primary melanoma
using endothelial markers D2-40 and CD34.
AB - Immunohistochemistry (IHC) using endothelial markers may facilitate the detection
of lymphovascular invasion (LVI) in primary melanoma; however, the clinical
implications of enhanced detection are unknown. We evaluated whether the use of
lymphatic endothelial marker D2-40 and panvascular marker CD34 increases LVI
positivity relative to routine histology alone and then evaluated the prognostic
relevance of LVI detected using these markers in terms of disease-free (DFS) and
overall survival (OS). A total of 246 primary melanomas were assessed for LVI
using D2-40, CD34, and routine histology. Associations between LVI positivity and
clinicopathologic variables, DFS, and OS were compared using univariate and
multivariate analyses. The use of endothelial markers increased the rate of LVI
positivity (18% using D2-40 and/or CD34 vs. 3% by routine histology, P<0.0001).
On univariate analysis, IHC-detected LVI was significantly associated with more
adverse clinicopathologic variables (thickness, ulceration, mitoses, and nodular
subtype) compared with LVI detected by routine histology (thickness and
ulceration only). In a multivariate model controlling for stage, LVI detected
using IHC markers remained a significant marker of both reduced DFS [hazard ratio
(HR), 2.01; 95% confidence interval (CI): 1.27-3.18; P=0.003] and OS (HR, 2.08;
95% CI: 1.25-3.46; P=0.005). Results show that D2-40 and CD34 increase the
detection of LVI in primary melanoma and that cases missed by routine histology
have prognostic relevance.
PMID- 21881484
TI - Important histologic outcome predictors for patients with invasive ductal
carcinoma of the breast.
AB - The pathologic diagnosis is regarded as the final diagnosis of a disease, and
pathologic examination based on tumor histology is very important for the
accurate assessment of the biological characteristics of tumors. The purpose of
this study was to investigate the histologic factors that accurately predict
patient outcome among 1042 patients with invasive ductal carcinoma of the breast.
Both well-known histologic factors and our proposed histologic factors were
examined according to several tumor statuses using multivariate analysis. This
study clearly demonstrated that type 4 invasive ductal carcinomas having fibrotic
foci and atypical tumor-stromal fibroblasts within the fibrotic foci are
significant outcome predictors for lymph node-negative and lymph node-positive,
the pathologic UICC-TNM stage II and III, luminal A-subtype, luminal B-subtype,
and equivocal HER2 subtype invasive ductal carcinoma patients. Lymph vessel tumor
embolus grades 2 and 3 were significant outcome predictors for lymph node
positive, UICC pTNM stages II and III, luminal A-subtype, and triple-negative
invasive ductal carcinoma patients (except lymph vessel tumor embolus grade 2 in
luminal A-subtype patients). More than 5 mitotic figures in metastatic carcinoma
to the lymph nodes was a significant outcome predictor for lymph node-positive,
UICC pTNM stage II, and luminal A-subtype invasive ductal carcinoma patients. A
fibrotic focus diameter >8 mm was a significant outcome predictor for UICC pTNM
stages I and III invasive ductal carcinoma patients. These findings strongly
suggest that these histologic factors are very useful for accurately predicting
the outcomes of patients with invasive ductal carcinoma of the breast.
PMID- 21881485
TI - Diandric triploid hydatidiform mole with loss of maternal chromosome 11.
AB - Distinction of hydatidiform moles (HM) from nonmolar specimens and their
subclassification as complete (CHM) versus partial hydatidiform mole (PHM) are
important for clinical practice and investigational studies to refine
ascertainment of risk of persistent gestational trophoblastic disease (GTD),
which differs among these entities. Immunohistochemical analysis of p57
expression, a paternally imprinted maternally expressed gene on 11p15.5, and
molecular genotyping are useful for improving diagnosis. CHMs are characterized
by androgenetic diploidy, with loss of p57 expression due to lack of maternal
DNA. Loss of p57 expression distinguishes CHMs from both PHMs (diandric
triploidy) and nonmolar specimens (biparental diploidy), which retain expression.
We report a unique HM characterized by morphologic features suggesting an early
CHM, including lack of p57 expression by immunohistochemistry, but with genetic
features more in keeping with a PHM. Specifically, molecular genotyping by short
tandem repeat markers provided evidence to support interpretation as a PHM by
demonstrating allele patterns and ratios most consistent with diandric triploidy,
with evidence of loss of the maternal copy of chromosome 11 to explain the lack
of p57 expression. This case illustrates the value of combined traditional
pathologic and ancillary molecular techniques for refined diagnosis of molar
specimens. It also raises questions regarding which modalities should be used to
ultimately define the subtypes of HMs and whether chromosomal losses or gains,
particularly involving imprinted genes such as p57, might play a role in
modifying risk of persistent GTD.
PMID- 21881487
TI - Combined melanocytic nevi: histologic variants and melanoma mimics.
AB - Combined melanocytic nevi are composed of 2 or more distinct populations of
nevomelanocytes. Most commonly used to describe the combination of blue nevi with
common nevi, it may also be applied to other combinations of benign melanocytic
proliferations, including Spitz nevi and nevi with deep dermal pigmented
nevomelanocytes. We report the incidence and distribution of these tumors at the
Massachusetts General Hospital over the past decade and review guidelines for
diagnostic criteria and nomenclature. Between 2000 and 2010 we identified 511
cases of combined nevi, represented by 4 histologically distinct diagnostic
categories: (1) blue nevus, (2) nevi with deep dermal pigmented nevomelanocytes
(plexiform/deep penetrating, inverted type A/clonal), (3) Spitz or pigmented
spindled cell nevus, combined with another type of nevus (usually common or
dysplastic), and (4) other combinations including 2 or more nevus types. Nearly
one fifth of these tumors displayed atypical features; atypia was observed more
often in combined nevi with Spitz or deep pigmented elements (26 of 55, 47%, and
25 of 98, 26%, respectively) than in combined common and blue nevi (37 of 336,
11%). Clinical follow-up data were available for 83% of the patients with
atypical combined nevi; none developed recurrence or metastasis with a mean
follow-up of over 4 years.
PMID- 21881486
TI - Immunoexpression status and prognostic value of mTOR and hypoxia-induced pathway
members in primary and metastatic clear cell renal cell carcinomas.
AB - The need for effective targeted therapies for renal cell carcinomas (RCCs) has
fueled the interest for understanding molecular pathways involved in the
oncogenesis of kidney tumors. Aiming to analyze the expression status and
prognostic significance of mTOR and hypoxia-induced pathway members in patients
with clear cell RCC (ccRCC), tissue microarrays were constructed from 135 primary
and 41 metastatic ccRCCs. Immunoexpression levels were compared and correlated
with clinicopathologic parameters and outcome. PTEN levels were significantly
lower in primary and metastatic ccRCCs compared with benign tissues (P<0.001).
Levels of phos-AKT, phos-S6, and 4E-binding protein-1 (4EBP1) were higher in
metastatic ccRCC (P<=0.001). For phos-S6 and 4EBP1, levels were higher in primary
ccRCC compared with benign tissues (P<0.001). c-MYC levels were higher in
metastatic ccRCC (P<0.0001), and incremental p27 levels were observed in benign,
primary ccRCC, and metastatic ccRCC (P<0.0001). HIF-1alpha levels were
significantly higher in primary and metastatic ccRCCs compared with benign
tissues (P<0.0001). In primary ccRCC, levels of all mTOR and hypoxia-induced
pathway members were significantly associated with pT stage (P<=0.036), p27
levels with Fuhrman grade (P=0.031), and 4EBP1, p27, and HIF-1alpha levels with
tumor size (P<=0.025). Tumor size, HIF-1alpha, and phos-S6 levels were associated
with disease-specific survival (DSS) (P<=0.032) and tumor progression (P<=0.043).
In conclusion, both mTOR and hypoxia-induced pathways were activated in primary
and metastatic ccRCC. PTEN loss seems to be an early event during tumorigenesis.
Tumor size, HIF-1alpha, and phos-S6 expression were found to be independent
predictors of both DSS and tumor progression in primary ccRCC.
PMID- 21881488
TI - beta-catenin (CTNNB1) S33C mutation in ovarian microcystic stromal tumors.
AB - Microcystic stromal tumor (MCST) is a recently described subtype of ovarian tumor
characterized by prominent microcystic histologic pattern and diffuse
immunoreactivity for CD10 and vimentin. However, its pathobiology, particularly
its histogenesis, remains largely unclear. Here, we report 2 cases of ovarian
MCST, in which we have performed extensive histologic, immunohistochemical, and
genetic investigations to determine its distinct nature among ovarian neoplasms.
The patients were 32 and 41 years of age. Both tumors were solid and cystic
masses involving the right ovary. Microscopically, tumor cells with generally
bland, round-to-ovoid nuclei grew in microcystic, macrocystic, and solid
patterns. Intervening thick fibrous stroma was observed. Immunohistochemically,
tumor cells were diffusely and strongly positive for CD10, vimentin, and Wilms
tumor 1. Furthermore, we detected aberrant nuclear expression of beta-catenin
protein in both cases. Of interest, mutation analyses revealed the presence of an
identical point mutation, c.98C>G, in exon 3 of beta-catenin (CTNNB1) in both
tumors. This is an oncogenic mutation that causes replacement of serine with
cysteine at codon 33, leading to the loss of a phosphorylation site in the beta
catenin protein. The results of this study strongly suggest that dysregulation of
the Wnt/beta-catenin pathway plays a fundamental role in the pathogenesis of
ovarian MCST. Finally, by comparing the immunophenotype of MCST with its
histologic mimics and other ovarian sex cord-stromal tumors, we were able to
identify unique features of MCST and a panel of markers useful in differential
diagnosis.
PMID- 21881489
TI - Determining the site of origin of mucinous adenocarcinoma: an
immunohistochemical study of 175 cases.
AB - Mucinous adenocarcinomas (MAs) of various origins may have a similar histologic
appearance and frequently metastasize to distant sites, which often causes
diagnostic problems in surgical pathology practice. The immunohistochemical
profiles of MAs of various origins have not been well studied. We investigated
the expression of 10 immunohistochemical markers (CK7, CK20, CDX-2, beta-catenin,
MUC-1, MUC-2, MUC-6, ER, WT-1, and PAX-8) in 175 cases of MA, including 69 cases
from the lower gastrointestinal (GI) tract, 41 from the upper GI tract, 27 from
gynecologic organs, 4 from the urinary bladder, 18 from the breast, and 16 from
the lung. We found that lower GI MAs (colon, rectum, and anus) frequently
expressed CDX-2 (42 of 42, 100%; 33 of 42 with homogenous positivity, 79%), MUC-2
(42 of 42; 100%), CK20 (41 of 42; 98%), and beta-catenin (nuclear) (27 of 42;
64%) and rarely expressed MUC-6 (2 of 42; 5%) and CK7 (8 of 42; 19%). Most of the
CK7-positive cases were from the rectum and anus (7 of 8; 88%). The expression of
these markers in appendiceal MAs was similar to that of low GI tract MAs, except
for a lower percentage of homogenous CDX-2 (3 of 27; 11%) and nuclear beta
catenin (3 of 27; 11%) expression. Unlike their lower GI tract counterparts, the
upper GI tract MAs (ampulla, pancreas/biliary tree, and stomach/esophagus)
frequently expressed CK7 (38 of 41; 93%) and MUC-6 (31 of 41; 76%) and were
rarely homogenously positive for CDX-2 (4 of 41; 10%) and nuclear positive for
beta-catenin (8 of 41; 19%). Breast MAs were frequently positive for CK7 (18 of
18; 100%), MUC-1 (18 of 18; 100%), MUC-2 (18 of 18; 100%), ER (16 of 18; 89%),
MUC-6 (9 of 18; 50%), and WT-1 (9 of 18; 50%). Lung MAs were frequently positive
for CK7 (16 of 16; 100%) and MUC-1 (15 of 16; 94%). Gynecologic MAs were positive
for CK7 (25 of 27; 93%) and PAX-8 (13 of 27; 48%). We conclude that homogenous
CDX-2 and nuclear beta-catenin expressions are commonly seen in lower GI tract
MAs. In contrast, appendiceal MAs are usually heterogenously positive for CDX-2
and show cytoplasmic positivity for beta-catenin. Unlike lower GI tract MAs,
upper GI tract MAs are frequently positive for CK7 and MUC-6. As is the case in
appendiceal MAs, the upper GI tract MAs may also be heterogenously positive for
CDX-2. Breast MAs are positive for ER and WT-1, whereas gynecologic MAs are
positive for PAX-8 and negative for WT-1.
PMID- 21881490
TI - Posterior reversible encephalopathy syndrome as a cause of postoperative
blindness.
PMID- 21881491
TI - Structural studies of the actions of anesthetic drugs on the gamma-aminobutyric
acid type A receptor.
AB - The gamma-aminobutyric acid type A receptor is the major transmitter-gated
inhibitory channel in the central nervous system. The receptor is a target for
anesthetics, anticonvulsants, anxiolytics, and sedatives whose actions facilitate
the flow of chloride ions through the channel and enhance the inhibitory tone in
the brain. Both the kinetic and structural aspects of the actions of modulators
of the gamma-aminobutyric acid type A receptor are of great importance to
understanding the molecular mechanisms of general anesthesia. In this review, the
structural rearrangements that take place in the gamma-aminobutyric acid type A
receptor during channel activation and modulation are described, focusing on data
obtained using voltage-clamp fluorometry. Voltage-clamp fluorometry entails the
binding of an environmentally sensitive fluorophore molecule to a site of
interest in the receptor, and measurement of changes in the fluorescence signal
resulting from activation- or modulation-elicited structural changes. Detailed
investigations can provide a map of structural changes that underlie or accompany
the functional effects of modulators.
PMID- 21881492
TI - Gestational gigantomastia and anesthesia.
PMID- 21881493
TI - Laparoscopic versus open anterior abdominal wall hernia repair: 30-day morbidity
and mortality using the ACS-NSQIP database.
AB - OBJECTIVE: To compare short-term outcomes after laparoscopic and open abdominal
wall hernia repair. METHODS: Using the American College of Surgeons National
Surgical Quality Improvement Program (ACS-NSQIP) database (2005-2009), 71,054
patients who underwent an abdominal wall hernia repair were identified (17%
laparoscopic, 83% open). Laparoscopic and open techniques were compared.
Regression models and nonparametric 1:1 matching algorithms were used to minimize
the influence of treatment selection bias. The association between surgical
approach and risk-adjusted adverse event rates after abdominal wall hernia repair
was determined. Subgroup analysis was performed between inpatient/outpatient
surgery, strangulated/reducible, and initial/recurrent hernias as well as between
umbilical, incisional and other ventral hernias. RESULTS: Patients undergoing
laparoscopic repair were less likely to experience an overall morbidity (6.0% vs.
3.8%; odds ratio [OR], 0.62; 95% confidence interval [CI], 0.56-0.68) or a
serious morbidity (2.5% vs. 1.6%; OR, 0.61; 95% CI, 0.52-0.71) compared to open
repair. Analysis using multivariate adjustment and patient matching showed
similar findings. Mortality rates were the same. Laparoscopically repaired
strangulated and recurrent hernias, had a significantly lower overall morbidity
(4.7% vs. 8.1%, P < 0.0001 and 4.1% vs. 12.2%, P < 0.0001, respectively).
Significantly lower overall morbidity was also noted for the laparoscopic
approach when the hernias were categorized into umbilical (1.9% vs. 3.0%, P =
0.009), ventral (3.9% vs. 6.3%, P < 0.0001), and incisional (4.3% vs. 9.1%, P <
0.0001). No differences were noted between laparoscopic and open repairs in
patients undergoing outpatient surgery, when the hernias were reducible.
CONCLUSION: Laparoscopic hernia repair is infrequently used and associated with
lower 30-day morbidity, particularly when hernias are complicated.
PMID- 21881494
TI - Management of anterior cutaneous nerve entrapment syndrome in a cohort of 139
patients.
AB - OBJECTIVE: Anterior cutaneous nerve entrapment syndrome (ACNES) is generally
neglected as a source of chronic abdominal pain. The aim of this study was to
evaluate the efficacy of a diagnostic workup protocol and treatment regimen in
patients with suspected ACNES. METHODS: A cohort of all consecutive patients
presenting with chronic abdominal pain suggestive of ACNES between 2003 and 2008
was evaluated retrospectively. Patients were offered a single diagnostic
injection of 1% lidocaine into the trigger point followed by subsequent
therapeutic injections including corticosteroids. If pain was refractory, an
anterior neurectomy was performed. Pain scores were done using a visual analog
scale for evaluation of the injection regimen and Verbal Rating Scale (1-5) for
long-term efficacy. RESULTS: A total of 139 patients with suspected ACNES (77%
women, mean age 47 +/- 17 years) were evaluated. Eighty-one percent (n = 94)
demonstrated a visual analog scale reduction of at least 50% after the first
injection. Some 33% (n = 44) remained permanently pain-free after injection
therapy only. Sixty-nine patients underwent a neurectomy, which was successful in
49 (71%). Long-term efficacy revealed in 71% (very) satisfying visual rating
scale (1-2) results, whereas an additional 9% reported attenuated levels of pain
(visual rating scale 3). CONCLUSION: A regimen of consecutive local trigger point
injections is effective in one-thirds of patients with ACNES. Surgical neurectomy
is effective in about two-thirds of the injection regimen refractory patients.
Eighty percent of the entire ACNES population reports total or substantial pain
relief on the long term.
PMID- 21881495
TI - Safety and efficacy of long-term disulfiram aftercare.
AB - OBJECTIVES: Disulfiram is effective in relapse prevention in alcoholism. However,
the optimal therapeutic aftercare regimen for patients is still unclear, and
disulfiram is associated with numerous adverse events. Also, it is not clear if
supervised long-term disulfiram treatment is safe and sufficiently efficient in
the long run. METHODS: We evaluated the clinical outcome data of 10 alcohol
dependent patients who underwent supervised treatment with disulfiram for more
than 50 weeks. RESULTS: Seven of 10 patients remained completely abstinent during
the supervised disulfiram therapy for a mean (SD) period of 70.1 +/- 23.5 months.
For the 3 patients with relapse, the first relapse occurred after a mean (SD) of
34.7 +/- 15.5 months. Liver enzymes in the blood decreased markedly under
treatment with disulfiram. The overall tolerability was considered to be high;
only dizziness and fatigue were observed in 4 patients in the initial phase of
the therapy. No serious adverse events were recorded. CONCLUSIONS: Although case
observations should be interpreted with caution, the present results suggest that
disulfiram is safe and efficient in supervised long-term treatment of alcohol
dependent patients.
PMID- 21881496
TI - Deep anterior lamellar keratoplasty for corneal opacification in Maroteaux-Lamy
syndrome: is it the treatment of choice?
PMID- 21881497
TI - Multiple roles of angiopoietins in atherogenesis.
AB - PURPOSE OF REVIEW: The roles of angiopoietin-1 (Ang-1) and angiopoietin-2 (Ang-2)
during vascular development have been extensively investigated, as has been their
role in controlling the responsiveness of the endothelium to exogenous cytokines.
However, very little is known about the role of these vascular morphogenic
molecules in the pathogenesis of atherosclerosis. Here, we summarize the recent
research into angiopoietins in atherosclerosis. RECENT FINDINGS: Angiopoietin-2
is a context-dependent agonist that protects against the development of
arteriosclerosis in rat cardiac allograft. A recent study showed, contrary to
expectations, that a single systemic administration of adenoviral Ang-2 to apoE
mice, fed a Western diet, reduced atherosclerotic lesion size and LDL oxidation
in a nitric oxide synthase dependent manner. In contrast, overexpression of Ang-1
fails to protect from rat cardiac allograft due to smooth muscle cell activation.
The potential proatherogenic effect of Ang-1 is further supported by the
induction of chemotaxis of monocytes by Ang-1 in a manner that is independent of
Tie-2 and integrin binding. These studies highlight the need for extensive
research to better understand the role of angiopoietins in the cardiovascular
setting. SUMMARY: Ang-2 inhibits atherosclerosis by limiting LDL oxidation via
stimulation of nitric oxide production. In contrast, Ang-1 can promote monocyte
and neutrophil migration. The angiopoietin-Tie-2 system provides an important new
target for modulating vascular function.
PMID- 21881498
TI - Current understanding of the role of B cell subsets and intimal and adventitial B
cells in atherosclerosis.
AB - PURPOSE OF REVIEW: Inflammation, in addition to high cholesterol is a major
factor contributing to atherosclerosis-associated adverse cardiovascular events.
Thus, there is a pressing need for additional therapeutic strategies to reduce
inflammation, by targeting immune cells and cytokines. Here we review B cell
subsets and adventitial and intimal B cells in atherosclerosis development and
discuss potential B cell-targeted anti-inflammatory therapies for
atherosclerosis. RECENT FINDINGS: B cell subsets can have opposing proatherogenic
and atheroprotective roles in atherosclerosis. CD-20-targeted B cell depletion
has been shown to decrease murine atherosclerotic lesions. The accumulation of
intimal and adventitial B cells associated with atherosclerotic lesions is
consistent with their participation in local inflammatory responses. As B2 B
cells are proatherogenic, blocking its survival factor B cell activating factor
may selectively delete this proatherogenic subset. SUMMARY: Both intimal and
adventitial B cells appear important in atherosclerosis. B2 B cells are
proatherogenic and other subsets such as regulatory B cells are antiatherogenic.
Future B cell-targeted therapy for atherosclerosis should be customized to
selectively deplete damaging B2 B cells while sparing or expanding protective B
cell subsets.
PMID- 21881500
TI - HDLs, immunity, and atherosclerosis.
AB - PURPOSE OF REVIEW: HDLs possess several physiological activities that may explain
their antiatherosclerotic properties. Among them, the most relevant is the
ability of HDL to promote the efflux of excess cholesterol from peripheral
tissues to the liver for excretion. RECENT FINDINGS: The ability of HDL to
promote cholesterol efflux results also in the modulation of a series of
responses in the immune cells involved in atherosclerosis, including monocyte
macrophages, B and T lymphocytes. HDL also acts as a reservoir for a number of
biologically active substances that may impact the immune system, and as the HDL
composition varies to a large extent during inflammation. SUMMARY: The
understanding of how these interactions take place and how biologically active
substances can be delivered to relevant targets during atherogenesis is of great
interest and may provide a better understanding for the role of HDL in
atherogenesis.
PMID- 21881499
TI - Receptor-independent fluid-phase pinocytosis mechanisms for induction of foam
cell formation with native low-density lipoprotein particles.
AB - PURPOSE OF REVIEW: Because early findings indicated that native low-density
lipoprotein (LDL) did not substantially increase macrophage cholesterol content
during in-vitro incubations, investigators presumed that LDL must be modified in
some way to trigger its uptake by the macrophage. The purpose of this review is
to discuss recent findings showing that native unmodified LDL can induce massive
macrophage cholesterol accumulation mimicking macrophage foam cell formation that
occurs within atherosclerotic plaques. RECENT FINDINGS: Macrophages that show
high rates of fluid-phase pinocytosis also show similar high rates of uptake of
native unmodified LDL through nonreceptor mediated uptake within both
macropinosomes and micropinosomes. Nonsaturable fluid-phase uptake of LDL by
macrophages converts the macrophages into foam cells. Different macrophage
phenotypes demonstrate either constitutive fluid-phase pinocytosis or inducible
fluid-phase pinocytosis. Fluid-phase pinocytosis has been demonstrated by
macrophages within mouse atherosclerotic plaques indicating that this pathway
contributes to plaque macrophage cholesterol accumulation. SUMMARY: Contrary to
what has been believed previously, macrophages can take up large amounts of
native unmodified LDL by receptor-independent, fluid-phase pinocytosis converting
these macrophages into foam cells. Thus, targeting macrophage fluid-phase
pinocytosis should be considered when investigating strategies to limit
macrophage cholesterol accumulation in atherosclerotic plaques.
PMID- 21881501
TI - Innate immune recognition receptors and damage-associated molecular patterns in
plaque inflammation.
AB - PURPOSE OF REVIEW: To highlight critical advances achieved over the last year in
the study of endogenous proatherogenic danger signals and corresponding molecular
mechanism of innate immune signalling in atherosclerosis. RECENT FINDINGS: The
identity and signalling mechanisms of LDL-derived inflammatory components are
central in understanding the pathogenic role of modified LDL in the development
of atherosclerosis. Studies in the preceding years have revealed LDL-derived
phospholipids and cholesterol crystals as endogenous danger signals. These danger
signals trigger Toll-like receptors and nucleotide-binding oligomerization domain
like receptors inflammasome respectively, thereby instigating inflammatory
responses and promoting disease progression. SUMMARY: Recent understandings of
the causal role of LDL in atherosclerosis provide a new perspective on modified
LDL-derived danger signals. These insights suggest dysregulated Toll-like
receptor and nucleotide-binding oligomerization domain inflammasome signalling as
an important mechanism underlying atherogenesis.
PMID- 21881503
TI - Extracellular modifications of HDL in vivo and the emerging concept of
proteolytic inactivation of prebeta-HDL.
AB - PURPOSE OF REVIEW: Both quantity and quality of the circulating HDL particle
matter for the optimal antiatherogenic potential of HDL. This review summarizes
various mechanisms capable of inducing extracellular modifications of HDL and
reducing the function of HDL subclasses as cholesterol acceptors. Special
emphasis is laid on the proteolytic inactivation of lipid-poor prebeta-migrating
HDL (prebeta-HDL). RECENT FINDINGS: HDL particles can undergo functional
inactivation in vivo. During atherogenesis, different cell types in the arterial
intima release enzymes into the intimal fluid, potentially capable of causing
structural and chemical modifications of the various components present in the
lipid core or in the polar surface of the HDL particles. Enzymatic oxidation,
lipolysis and proteolysis, and nonenzymatic glycosylation are among the HDL
modifications that adversely affect HDL functionality. Proteolysis of prebeta-HDL
by various proteases present in the arterial intima has emerged as a potential
mechanism that impairs the efficiency of HDL to promote cholesterol efflux from
macrophage foam cells, the mast cell-derived neutral protease chymase being a
prime example of such impairment. A paradigm of proteolytic inactivation of
prebeta-HDL in vivo is emerging. SUMMARY: Several extracellular enzymes present
in the arterial intima may compromise various cardioprotective functions of HDL.
Observations on proteolysis of specific lipid-poor HDL subpopulations in vivo
constitute the basis for future studies evaluating the actual impact of
proteolytic microenvironments on the initiation and progression of
atherosclerotic lesions.
PMID- 21881504
TI - Whole exome and whole genome sequencing.
AB - PURPOSE OF REVIEW: The purpose of this review is to describe the new DNA
sequencing technologies referred to as next-generation sequencing (NGS). These
new methods are becoming central to research in human disease and are starting to
be used in routine clinical care. RECENT FINDINGS: Advances in instrumentation
have dramatically reduced the cost of DNA sequencing. An individual's entire
genome can now be sequenced for $7500. In addition, the software needed to
analyze and help interpret this data is rapidly improving. This technology has
been used by researchers to discover new genetic disorders and new disease
associations. In the clinic, it can define the etiology in patients with
undiagnosed genetic disorders and identify mutations in a cancer to help guide
chemotherapy. SUMMARY: Here we discuss how whole-exome sequencing and whole
genome sequencing are used in basic research and clinical care. These new
techniques promise to speed research and affect how healthcare is delivered.
PMID- 21881502
TI - The autoimmune concept of atherosclerosis.
AB - PURPOSE OF REVIEW: This review summarizes the recent data on the 'Autoimmune
Concept of Atherosclerosis', according to which the first stage of this disease
is due to an autoimmune reaction against arterial endothelial cells expressing
heat shock protein 60 (HSP60) and adhesion molecules when stressed by classical
atherosclerosis risk factors. Special emphasis is put on oxidized low-density
lipoproteins as early endothelial stressors. RECENT FINDINGS: Plasma cholesterol
and LDL levels considered 'normal' by the medical community are possibly too high
from an evolutionary viewpoint. The proinflammatory milieu at sites of early
atherosclerotic lesions could be conducive to oxidation of LDL in situ. LDL
oxidation can also take place at nonvascular sites or in the circulation under
general proinflammatory conditions explaining its proatherosclerotic role in
'normocholesterolemic' individuals. SUMMARY: We hypothesize that the plasma
cholesterol and LDL levels currently considered normal are evolutionarily too
high. Cholesterol and/or oxidized low-density lipoprotein, even as a mild HSP60
inducing endothelial stressor, function as a ubiquitous risk factor. If this
hypothesis is true, most members of developed societies might be at risk to
develop atherosclerotic plaques at anti-HSP60-immunity-triggered intimal
inflammatory foci, irrespective of the primary risk-factor(s).
PMID- 21881505
TI - An update on influenza vaccination in patients with egg allergy.
AB - PURPOSE OF REVIEW: Egg allergy is a common problem affecting young children
especially. There has been much debate over the past several decades on how to
approach the subject of influenza vaccination in children with egg allergy.
RECENT FINDINGS: Because of concern regarding the egg protein content in the
influenza virus vaccine, pediatricians have in the past typically taken a
conservative approach of avoiding influenza vaccination in egg-allergic patients.
Subsequently, it was shown that the influenza vaccine could be safely
administered to patients who had negative skin testing to the vaccine. Most
recently, studies have demonstrated a low risk of giving the vaccine either in
divided doses or as a single dose in a controlled setting without the need for
skin testing. SUMMARY: In considering vaccination in a patient with a history of
egg allergy, several factors should be weighed, including the severity of the egg
allergy, the egg content of vaccines, and the setting of vaccine administration.
However, it appears that the risk of anaphylaxis to the influenza vaccine in
patients with egg allergy may be much lower than previously thought, suggesting
that in many cases skin testing may not be necessary.
PMID- 21881506
TI - Surprised by echocardiography.
PMID- 21881507
TI - Impact of congenital heart disease on fetal brain development and injury.
AB - PURPOSE OF REVIEW: Advances in cardiac surgical techniques and intensive care
have led to improved survival in babies with congenital heart disease (CHD).
Although it is true that the majority of children with CHD today survive, many
have impaired neurodevelopmental outcome. Although continuing to improve short
term morbidity and mortality are important goals, recent research has focused on
defining the impact of CHD on brain development and brain injury in utero. RECENT
FINDINGS: The impact of CHD on the developing brain of the fetus and infant will
be discussed. Neurologic abnormalities detectable prior to surgery will be
described and postnatal progression of abnormalities will be highlighted.
Potential causes of these findings will be discussed, including altered cerebral
blood flow in utero, and brain development and risk for in-utero and postnatal
brain injury. Finally, neurologic and developmental outcome after surgical repair
of CHD will be reviewed. SUMMARY: Neurodevelopmental evaluation preoperatively
and postoperatively in CHD patients should be standard practice, not only to
identify those with impairments who would benefit from intervention services but
also to identify risk factors and strategies to optimize outcome. Fetal
management and intervention strategies for specific defects may ultimately play a
role in improving in-utero hemodynamics and increasing cerebral oxygen delivery
to enhance brain development.
PMID- 21881508
TI - Intrauterine devices in adolescents.
AB - PURPOSE OF REVIEW: The stubborn epidemic of teen pregnancy remains a major public
health problem in the United States. This review discusses the use of
intrauterine devices (IUDs) in United States teens as a potential strategy to
reduce teen pregnancy. Traditionally, the IUD was not thought of as an
appropriate teen contraceptive method. RECENT FINDINGS: Recently, IUDs have
become a recommended contraceptive option for adolescent females. This change
reflects an understanding of the safety of modern IUDs, the potential for this
highly effective method to reduce teen pregnancy, and the promotion of this
strategy in teens by national organizations/governmental agencies including the
Centers for Disease Control and Prevention, the World Health Organization, and
the American College of Obstetricians and Gynecologists. Current data do not
support that IUDs affect long-term fertility or increase sexually transmitted
diseases in teens. SUMMARY: IUDs are now considered by many experts as a first
line contraceptive option for teens in the United States.
PMID- 21881509
TI - Pulmonary exacerbations in cystic fibrosis.
AB - PURPOSE OF REVIEW: The chronic infection and inflammation of cystic fibrosis (CF)
lung disease causes a progressive decline of lung function resulting in daily
symptoms such as cough and sputum production. There are intermittent episodes of
acute worsening of symptoms, more commonly referred to as pulmonary
exacerbations. Despite this being a common event, there is still no standardized
definition of an exacerbation. A recent set of guidelines from the CF Foundation
Pulmonary Therapies Committee on the treatment of exacerbations noted the paucity
of data supporting commonly used therapies. This review describes our current
understanding of pulmonary exacerbations and the therapies used to treat them.
RECENT FINDINGS: The treatment of an exacerbation is intended to resolve the
worsened symptoms and to restore the lung function that is commonly lost in the
acute presentation. A most striking finding is the observation that for many
patients there is no restoration of lung function, suggesting we either need
better therapies to prevent exacerbations or better treatment of exacerbations.
SUMMARY: We have established recommendations on specific treatment of a pulmonary
exacerbation and have outlined the areas where we need better information on
appropriate therapies. Once we have a standardized definition of an exacerbation,
we can proceed with clinical trials of therapies specific for its treatment.
PMID- 21881510
TI - Liver disease in cystic fibrosis.
AB - PURPOSE OF REVIEW: This review looks at what is currently known about liver
disease in cystic fibrosis (CF) in light of the literature over the past year,
and what the ongoing challenges are from a clinical and research perspective for
the optimal management of cystic fibrosis liver disease (CFLD). RECENT FINDINGS:
Patients with CF who develop clinically significant liver disease have a worse
overall phenotype, and whereas there is no definite evidence that they have a
shorter life expectancy, longer follow-up is required to determine if liver
disease is a risk factor for mortality in CF.The development of the ferret and
pig animal models of CF with multiorgan involvement is an important breakthrough
which will enhance our understanding of the pathogenesis of CFLD, and with which
it is hoped novel therapeutic targets for the treatment of CFLD will be
identified. SUMMARY: Whereas there is still no effective treatment for liver
disease in CF, recent developments of animal models of CFLD will enhance our
capacity to develop new therapeutic targets and reduce the impact of liver
disease on mortality in CF.
PMID- 21881511
TI - Treating obstructive sleep apnea with hypoglossal nerve stimulation.
AB - PURPOSE OF REVIEW: Obstructive sleep apnea (OSA) is a common disorder
characterized by recurrent pharyngeal collapse secondary to sleep-induced
hypotonia of peri-pharyngeal structures. Therapy for OSA is sometimes poorly
tolerated and not always effective. The current study reviews a new treatment
modality, hypoglossus stimulation, recently evaluated by multiple physiological
studies and currently assessed by several clinical studies. RECENT FINDINGS: A
phase-I, implantable hypoglossus nerve stimulation multicenter study was
published in 2001. Significant reduction in apnea-hypopnea index (AHI) was
reported in seven of the eight implanted OSA patients, but technical faults
precluded prolonged follow-up. Over the past 2 years, three new hypoglossus nerve
stimulation systems have been evaluated in more than 60 OSA patients. In
adequately selected patients, a more than 50% reduction in AHI was observed.
Usually, a decrease in OSA severity from moderate-severe to mild-minimal can be
achieved. SUMMARY: Ongoing research, including recent initiation of a large
multicenter phase-III study, suggests that hypoglossus nerve stimulators are
likely to be available as a new treatment modality within a few years. Additional
data are needed to define which OSA patients are most likely to benefit from
hypoglossus nerve stimulation. Continuous refinement of electrodes design is
likely to improve stimulation efficacy in coming years.
PMID- 21881512
TI - Testing sleepiness and vigilance in the sleep laboratory.
AB - PURPOSE OF REVIEW: Excessive daytime sleepiness (EDS) is common and a potentially
devastating public health challenge. EDS has been implicated as a contributing
factor to workplace injury, motor vehicle accidents, cardiovascular disease, and
impaired quality of life. Subjective self-report measures have failed to
sufficiently quantify EDS. The use of objective tools found in sleep laboratories
is therefore fundamental in the management of patients with EDS. The purpose of
this review is to provide an overview of the current methods used to quantify
sleepiness, and to highlight recent advances. RECENT FINDINGS: The Multiple Sleep
Latency Test (MSLT), normally used for the diagnosis of narcolepsy, can be a
useful tool in recognizing other forms of sleepiness. The Maintenance of
Wakefulness Test (MWT) has also been confirmed as an important test to identify
EDS, as well as to provide an indicator of future risk of accidents.
Modifications and newer tests have been discussed with potential applications for
the future. SUMMARY: Objective tests such as the MSLT and MWT are useful in the
diagnosis and management of patients with EDS. However, the relatively high cost
can restrict their overall usefulness in clinical medicine. Newer simple tests
are under development.
PMID- 21881513
TI - The use of a cystic fibrosis patient registry to assess outcomes and improve
cystic fibrosis care in Germany.
AB - PURPOSE OF REVIEW: Cystic fibrosis (CF) patient registries have become an
important epidemiological tool for demography, networking, and quality
management. This review describes recent developments in patient registries,
outcome research, and pilot projects in quality improvement. RECENT FINDINGS:
National and international CF registry efforts have been producing consolidated
data. Classical outcome indicators describing nutritional status and lung
function are now being used in a more precise way, based on body mass index
percentiles and longitudinal data. Projects involving single centers and quality
groups, such as the German benchmarking project, have been showing that quality
improvement is possible and that negative developments do not necessarily have to
be accepted in CF. SUMMARY: CF provides a model of the link between healthcare
delivery and outcomes in patients. CF registry data can be used to improve
quality in CF healthcare. Quality improvement is a continuous endeavor involving
the reinforcement of standards and programs as well as individual attitudes and
soft skills. One particularly powerful technique is benchmarking, that is,
learning from the best.
PMID- 21881514
TI - The role of exercise in maintaining health in cystic fibrosis.
AB - PURPOSE OF REVIEW: Consensus statements about the care of people with cystic
fibrosis (CF) recommend exercise as part of a wider management strategy. Many of
these recommendations are based on high-quality evidence that regular exercise
improves some important clinical outcomes, such as lung function and quality of
life. However, the evidence about the effect of exercise on other clinical
outcomes is less extensive or lower in quality. This article will review the
physiological effects of exercise on a range of outcomes in people with CF, the
mechanisms by which exercise may improve these outcomes and the quality and
findings of clinical research into the effects of exercise in the management of
CF. RECENT FINDINGS: Substantial evidence confirms that exercise significantly
reduces the rate of decline in lung function in people with CF, at least in part
by increasing mucus clearance. Regular exercise training over 6 months improves
aerobic exercise capacity. Bone health is often poor in people with CF, but only
indirect evidence supports that increasing the amount of exercise will have a
beneficial effect on bone density. CF-related diabetes is also a common sequela
of the disease, but again only evidence from type-2 diabetes exists to support
exercise as a way of managing it. SUMMARY: Although its effects on some outcomes
are unclear, the overall effect of exercise on quality of life is substantially
beneficial and the evidence available for other specific outcomes is directly or
indirectly supportive, so it appears appropriate to recommend it in clinical
practice.
PMID- 21881515
TI - Hypertension and risk of renal cell carcinoma among white and black Americans.
AB - BACKGROUND: Renal cell carcinoma and hypertension (a well-established renal
cancer risk factor) are both more frequent among blacks than whites in the United
States. The association between hypertension and renal cell carcinoma has not
been examined in black Americans. We investigated the hypertension-renal cancer
association by race, and we assessed the role of hypertension in the racial
disparity of renal cancer incidence. METHODS: Participants were enrolled in a
population-based case-control study in Detroit and Chicago during 2002-2007
(number of cases: 843 whites, 358 blacks; number of controls: 707 whites, 519
blacks). Participants reported their history of hypertension and antihypertensive
drug use. We used unconditional logistic regression to calculate odds ratios
(ORs) and 95% confidence intervals (CIs), adjusted for demographic
characteristics, smoking, body mass index, and family history of cancer. RESULTS:
Hypertension doubled renal cancer risk (OR = 2.0 [CI = 1.7-2.5]) overall. For
whites, the OR was 1.9 (CI = 1.5-2.4), whereas for blacks it was 2.8 (2.1-3.8) (P
for interaction = 0.11). ORs increased with time after hypertension diagnosis (P
for trend <0.001), reaching 4.1 (CI = 2.3-7.4) for blacks and 2.6 (CI = 1.7-4.1)
for whites after 25 years. ORs for poorly controlled hypertension were 4.5 (CI =
2.3-8.8) for blacks and 2.1 (CI = 1.2-3.8) for whites. If these estimates
correctly represent causal effects and if, hypothetically, hypertension could be
prevented entirely among persons aged 50-79 years, the black/white disparity in
renal cancer could be reversed among women and reduced by two-thirds among men.
CONCLUSIONS: Hypertension is a risk factor for renal cancer among both blacks and
whites, and might explain a substantial portion of the racial disparity in renal
cancer incidence. Preventing and controlling hypertension might reduce renal
cancer incidence, adding to the known benefits of blood pressure control for
heart disease and stroke reduction, particularly among blacks.
PMID- 21881516
TI - Identification and treatment of bisphosphonate-associated actinomycotic
osteonecrosis of the jaws.
AB - Osteonecrosis of the jaws (ONJ) is a condition characterized by necrotic exposed
bone in the jaws of patients receiving intravenous or oral bisphosphonate
therapy. A review of the medical and dental literature reveals that the
pathoetiology of ONJ remains unknown and there is no established link that
bisphosphonates are the primary cause of this bone pathology. However, there is
clinical evidence that Actinomyces may play a critical role in the pathogenesis
of bisphosphonate-associated ONJ. Identification and a prolonged course of oral
antimicrobial therapy may lead to complete resolution of this actinomycotic
osteonecrosis.
PMID- 21881517
TI - Fatigue resistance of 2 different CAD/CAM glass-ceramic materials used for single
tooth implant crowns.
AB - PURPOSE: To evaluate the fatigue resistance of 2 different CAD/CAM in-office
monoceramic materials with single-tooth implant-supported crowns in functional
area. MATERIALS AND METHODS: A metal experimental model with a dental implant was
designed to receive in-office CAD/CAM-generated monoceramic crowns. Laterally
positioned axial dynamic loading of 300 N at 2 Hz was applied to implant
supported crowns machined from 2 different glass materials for 100,000 cycle.
Failures in terms of fracture, crack formation, and chipping were macroscopically
recorded and microscopically evaluated. RESULTS: Four of 10 aluminasilicate glass
ceramic crowns fractured at early loading cycles, the rest completed loading with
a visible crack formation. Crack formation was recorded for 2 of 10 leucite glass
ceramic crowns. Others completed test without visible damage but fractured upon
removal. DISCUSSION: Lack in chemical adhesion between titanium abutment and
dental cement likely reduces the fatigue resistance of machinable glass-ceramic
materials. However, relatively better fractural strength of leucite glass
ceramics could be taken into consideration. Accordingly, progress on
developmental changes in filler composition of glass-ceramics may be promising.
CONCLUSION: Machinable glass-ceramics do not possess sufficient fatigue
resistance for single-tooth implant crowns in functional area.
PMID- 21881518
TI - Temperature increase during CO(2) and Er:YAG irradiation on implant surfaces.
AB - PURPOSE: The purpose of this study was to evaluate the temperature gradient
(DeltaT) generated by laser irradiation of an implant surface using a carbon
dioxide (CO(2)) and an Erbium:yttrium aluminium garnet (Er:YAG) laser. MATERIALS
AND METHODS: A dental implant was irradiated with a CO(2) and an Er:YAG lasers,
with variable power settings. Temperature increase was measured in 2 locations of
the implant surface: in the cervical area (DeltaT(c)) and in the apical area
(DeltaT(a)). RESULTS: The CO(2) laser showed the following results: after 60
seconds of irradiation with continuous mode, DeltaT(c) was 13.9 degrees C and
DeltaTa was 12.5 degrees C. The Er:YAG laser showed the following results: after
60 seconds of irradiation with continuous mode, the temperature rise DeltaT(c)
was 50.6 degrees C and DeltaT(a) was 38.6 degrees C. The CO(2) laser with
continuous mode generated a temperature increase of 10 degrees C after only 36
seconds. The Er:YAG laser with continuous mode produced a more rapid temperature
increase and took only 10 seconds to reach the critical threshold. CONCLUSIONS:
The irradiation of implant surfaces with CO(2) and Er:YAG lasers may produce a
temperature increase above the critical threshold (10 degrees C) after 10 seconds
of continuous irradiation.
PMID- 21881519
TI - Bone regeneration in the maxillary sinus using an autologous fibrin-rich block
with concentrated growth factors alone.
AB - PURPOSE: The purpose of this study was to evaluate the predictability of new bone
formation in the maxillary sinus using an autologous fibrin-rich blocks with
concentrated growth factors (CGFs) alone as an alternative to graft material.
MATERIALS AND METHODS: A total of sixty-one sinus grafts were consecutively
performed using the lateral window approach. After making replaceable bony
window, the sinus membrane was elevated to make a new compartment. After 113
implants (average 13 mm high) with 11 different systems were placed
simultaneously, the collected fibrin-rich blocks with CGFs alone were inserted in
the sinus. To seal the lateral window, the bony window was repositioned.
Radiographic, clinical, and histologic evaluation was performed to verify sinus
augmentation. RESULTS: No significant postoperative complications developed. New
bone consolidation in all augmented maxillary sinus was observed along the
implants on plain radiographs and on cone-beam computed tomograms. The success
rate of implant was 98.2% after an average of 10 months loading. CONCLUSION:
Fibrin-rich blocks with CGFs act as an alternative to bone grafting and can be a
predictable procedure for sinus augmentation.
PMID- 21881520
TI - The association of albuminuria, arterial stiffness, and blood pressure status in
nondiabetic, nonhypertensive individuals.
AB - OBJECTIVE: Although several studies have reported an association between
microalbuminuria and pulse wave velocity in patients with hypertension or
diabetes, there have been no reports of their significance in apparently healthy
individuals. METHODS: Microalbuminuria and brachial-ankle PWV (baPWV) were
investigated in a sample of 3826 nonhypertensive, nondiabetic individuals (3598
men, mean age 50 +/- 11.3 years) at Kangbuk Samsung Health Promotion Center
between 2006 and 2009. The patients were classified into two groups according to
urinary albumin-creatinine ratio (UACR) in morning urine specimens:
normoalbuminuria (<30 MUg/mg) and microalbuminuria (30-300 MUg/mg). RESULTS: The
prevalence of prehypertension (preHT) and microalbuminuria was 52.5 and 4%,
respectively. Abnormal baPWV and microalbuminuria each has unfavorable
cardiometabolic profile. The absolute values of baPWV were correlated with those
of UACR (r = 0.220, P < 0.001). In multivariate regression analysis, the
microalbuminuria group showed an independent association of increasing baPWVs
irrespective of potential confounders, compared with the normoalbuminuria group
(standard beta = 0.049, P < 0.001). In the subspecified analyses, category III
(preHT + normoalbuminuria) and IV (preHT + microalbuminuria) had higher odds
ratio (ORs) [95% confidence interval (CI)] for the abnormal baPWV group, compared
with category I (normal BP + normoalbuminuria) [2.345 (2.010-2.735) and 3.822
(2.367-6.171), respectively]. CONCLUSION: These findings demonstrate
epidemiologic evidence for an independent association between arterial stiffness
and microalbuminuria, indices of subclinical target organ damage in
nonhypertensive, nondiabetic individuals, which suggests the possibility of a
similar pathophysiologic mechanism involved in these two indices of subclinical
target organ damage.
PMID- 21881521
TI - Evaluation of a direct prorenin assay making use of a monoclonal antibody
directed against residues 32-39 of the prosegment.
AB - BACKGROUND: Prorenin is an early marker of microvascular complications in
diabetes. However, it can only be measured indirectly (following its conversion
to renin), with a renin immunoradiometric assay (IRMA). Unfortunately, treatment
with a renin inhibitor interferes with this assay, because renin inhibitors
induce a conformational change in prorenin, thereby allowing its detection as
renin. METHODS: We evaluated Molecular Innovation's new direct prorenin ELISA,
which makes use of an antibody that recognizes an epitope near prorenin's
putative cleavage site (R 43 L 44), thus no longer requiring prorenin activation.
Plasma samples of 41 diabetic individuals treated with aliskiren (renin
inhibitor) or irbesartan were tested. Semi-purified recombinant prorenin was used
as standard, because the ELISA standard yielded approximately 10-fold lower
values in the renin IRMA following its conversion to renin. RESULTS: The ELISA
detected prorenin levels that were identical to those determined by the IRMA in
untreated and irbesartan-treated individuals. Yet, it yielded higher prorenin
levels in aliskiren-treated individuals. Aliskiren, at levels reached in plasma
during treatment, did not interfere with the ELISA, but allowed the detection of
up to 20-30% of prorenin as renin in the IRMA, thereby resulting in a significant
overestimation of renin and an underestimation of prorenin. The ELISA rendered
results within 2 h and did not require a pretreatment period of several days to
convert prorenin to renin. CONCLUSION: The new direct assay allows rapid prorenin
detection, is not hampered by aliskiren when used at clinically relevant doses,
and might be used to identify diabetic patients developing retinopathy and/or
nephropathy.
PMID- 21881522
TI - Association of ATP1B1, RGS5 and SELE polymorphisms with hypertension and blood
pressure in African-Americans.
AB - OBJECTIVE: Although an increasing number of hypertension-associated genetic
variants is being reported, replication of these findings in independent studies
has been challenging. Several genes in a human chromosome 1q linkage region have
been reported to be associated with hypertension. We examined polymorphisms in
three of these genes (ATP1B1, RGS5 and SELE) in relation to hypertension and
blood pressure in a cohort of African-Americans. METHODS: We genotyped 87 single
nucleotide polymorphisms (SNPs) from the ATP1B1, RGS5 and SELE genes in a well
characterized cohort of 968 African-Americans and performed a case-control study
to identify susceptibility alleles for hypertension and blood pressure
regulation. Single SNP and haplotype association testing was done under an
additive genetic model with adjustment for age, sex, BMI and ancestry-by-genotype
(principal components). RESULTS: A total of 12 SNPs showed nominal association
with hypertension and/or blood pressure. The strongest signal for hypertension
was for rs2815272 in the RGS5 gene (P = 9.3 * 10). For SBP, rs3917420 in the SELE
gene (P = 9.0 * 10) and rs4657251 in the RGS5 gene (P = 9.7 * 10) were the top
hits. Effect size for each of these variants was approximately 2-3 mmHg. A five
SNP haplotype in the SELE gene also showed significant association with SBP after
correction for multiple testing (P < 0.01). CONCLUSION: These findings provide
additional support for the genetic role of ATP1B1, RGS5 and SELE in hypertension
and blood pressure regulation.
PMID- 21881523
TI - Birth weight, weight change, and blood pressure during childhood and adolescence:
a school-based multiple cohort study.
AB - OBJECTIVE: We assessed the association between birth weight, weight change, and
current blood pressure (BP) across the entire age-span of childhood and
adolescence in large school-based cohorts in the Seychelles, an island state in
the African region. METHODS: Three cohorts were analyzed: 1004 children examined
at age 5.5 and 9.1 years, 1886 children at 9.1 and 12.5, and 1575 children at
12.5 and 15.5, respectively. Birth and 1-year anthropometric data were gathered
from medical files. The outcome was BP at age 5.5, 9.1, 12.5 or 15.5 years,
respectively. Conditional linear regression analysis was used to estimate the
relative contribution of changes in weight (expressed in z-score) during
different age periods on BP. All analyses were adjusted for height. RESULTS: At
all ages, current BP was strongly associated with current weight. Birth weight
was not significantly associated with current BP. Upon adjustment for current
weight, the association between birth weight and current BP tended to become
negative. Conditional linear regression analyses indicated that changes in weight
during successive age periods since birth contributed substantially to current BP
at all ages. The strength of the association between weight change and current BP
increased throughout successive age periods. CONCLUSION: Weight changes during
any age period since birth have substantial impact on BP during childhood and
adolescence, with BP being more responsive to recent than earlier weight changes.
PMID- 21881524
TI - Inappropriately high left ventricular mass in patients with type 2 diabetes
mellitus and no overt cardiac disease. The DYDA study.
AB - BACKGROUND: An inappropriately high left ventricular mass (iLVM) may be detected
in patients with diabetes mellitus. Several hemodynamic and nonhemodynamic
factors stimulating LVM growth may actively operate in these patients. In this
study, we assessed prevalence and factors associated with iLVM in patients with
diabetes mellitus. METHODS: We analyzed baseline data from 708 patients (61 +/- 7
years, 57% treated for hypertension) with type 2 diabetes mellitus without
evidence of cardiac disease enrolled in the left ventricular dysfunction in
diabetes study. iLVM was diagnosed by Doppler echocardiography as LVM more than
28% of the expected LVM predicted from height, sex and stroke work. RESULTS: iLVM
was detected in 166 patients (23%), irrespective of concomitant hypertension.
Patients with iLVM were more frequently women, had higher BMI and prevalence of
metabolic syndrome, higher serum triglyceride levels and were treated more
frequently with metformin and diuretics. In a multivariate model, female sex
[odds ratio (OR) 1.502 (95% confidence interval (CI) 1.010-2.231), P = 0.04],
higher serum triglyceride levels [OR 1.007 (95% CI 1.003-1.012), P < 0.001] and
BMI [OR 1.220 (95% CI 1.116-1.335), P < 0.001] emerged independently related to
iLVM. CONCLUSION: iLVM is detectable in about a quarter of patients with type 2
diabetes mellitus without evidence of cardiac disease and is unrelated to blood
pressure levels. The association between LVM and some components of metabolic
syndrome in these patients may have important practical implications.
PMID- 21881525
TI - Relatively lower central aortic pressure in patients with impaired insulin
sensitivity and resistance: the Toon Health Study.
AB - OBJECTIVE: Central aortic blood pressure (BP) has been postulated to correlate
more closely with cardiovascular disease risk than brachial cuff BP. However, the
effect of insulin sensitivity and resistance on central BP is not fully
understood. Here, we evaluated the associations between insulin
sensitivity/resistance and central BP using the oral glucose tolerance test.
METHODS: A total of 1034 Japanese participants were enrolled in this study. The
absolute pressure of the late systolic peak (SBP2) of the brachial BP obtained by
the radial waveform was considered to be the central systolic BP. Oral glucose
tolerance test was performed by administering 75 g of glucose, and blood samples
were obtained at 0, 60, 120 min after glucose loading. RESULTS: Mean SBP2 was
found to be lower than mean brachial systolic BP (SBP) (119 +/- 20, 126 +/- 19
mmHg, P < 0.001), and differences between SBP and SBP2 were significantly larger
in patients with reduced insulin sensitivity (-8.2 +/- 5.2, -7.2 +/- 5.3, -7.1 +/
5.1, and -6.5 +/- 4.9 mmHg, in the first, second, third and fourth quartiles,
respectively; P = 0.002) and increased insulin resistance (-6.6 +/- 5.1, -6.6 +/-
4.8, -7.3 +/- 4.8, -8.5 +/- 5.6 mmHg, P < 0.001). Multiple linear regression
analysis identified reduced insulin sensitivity (beta = 0.067, P = 0.033) and
increased insulin resistance (beta = -0.081, P = 0.009) as independent
determinants of the difference between SBP and SBP2. CONCLUSION: Given that both
insulin sensitivity and insulin resistance were found to be significant
determinants of the difference between SBP and SBP2 in a healthy general
population, we suggest measuring the SBP2 in individuals with impaired insulin
action in order to accurately assess their risk of developing cardiovascular
disease.
PMID- 21881526
TI - Angiotensin-II and rosuvastatin influence matrix remodeling in human mesangial
cells via metalloproteinase modulation.
AB - OBJECTIVE: Persistent inflammation and oxidative stress influence the progression
of diabetic nephropathy. Metalloproteinases (MMPs) participate in extracellular
matrix remodeling. Statins show favorable anti-inflammatory effects in chronic
kidney disease. We evaluated the effect of rosuvastatin on inflammatory and pro
fibrotic responses due to exposure to different glucose or free fatty acid (FFA)
concentrations. METHODS: Human mesangial cells (HMCs) grown at 5.5 (normal
glucose) or 22 mmol/l (high glucose) glucose or exposed to FFA were treated with
angiotensin-II in the presence or absence of rosuvastatin. We measured MMP-2, MMP
9, tissue inhibitor of metalloproteinase-1 (TIMP-1), and TIMP-2 expression and
activity, and quantified the fibrotic factors transforming growth factor-beta1
(TGF-beta1), fibronectin, and collagen IV. RESULTS: At normal glucose,
angiotensin-II induced a dose-dependent downregulation of MMP-2; rosuvastatin
reversed this effect. On the contrary, TIMP-2 and MMP-9 were upregulated by
angiotensin-II and downregulated by rosuvastatin; the effects on TIMP-1 were
negligible. Some of the angiotensin-II effects were potentiated in the presence
of high glucose and FFA; under both conditions, rosuvastatin was able to reverse
these effects. MMP-2 and MMP-9 activity followed the same trend of expression,
with rosuvastatin able to upregulate MMP-2 activity. The modulation of the
MMP/TIMP system was paralleled by an increase in TGF-beta1, fibronectin, and
collagen-IV; all were reduced by rosuvastatin treatment. Silencing the MMP-2 gene
confirmed its role in modulating some of these angiotensin-II effects.
CONCLUSION: Angiotensin-II induces a pro-fibrotic response in HMCs mainly via a
dysregulation of the MMP-2/TIMP-2 pattern. This effect, partially amplified in
the presence of high glucose and FFA, is reversed by rosuvastatin, suggesting
another potential therapeutic application for this 3-hydroxy-3-methylglutaryl
coenzyme A reductase inhibitor.
PMID- 21881527
TI - Left atrial size in hypertension and stroke.
AB - INTRODUCTION: An enlarged left atrium is associated with increased risk for
stroke. However, there are controversies regarding how left atrial size should be
measured. MATERIAL AND METHODS: Echocardiography and carotid artery ultrasound
were performed in 120 patients with essential hypertension (HT group) and in 64
hypertensive patients admitted with a first-ever ischemic stroke (HT-stroke
group). Left atrial size was measured as antero-posterior diameter (LAD) and as
left atrial volume (LAV) and indexed to body surface area (LADi/LAVi). All
patients were in sinus rhythm and without mitral valve disease. RESULTS: In the
HT-stroke group, LAVi and LADi were significantly larger as compared with the HT
group (P <= 0.03 for all). In bivariate correlations, larger left atrial size was
associated with higher SBPs and DBPs and significant carotid artery stenosis both
in HT and HT-stroke groups (all P < 0.05). In multivariate logistic regression
analysis, stroke was associated significantly with larger LAVi [odds ratio (OR)
1.73, 95% confidence interval (CI) 1.06-2.65]; left ventricular mass index (OR
1.11, 95% CI 1.03-1.21); significant carotid artery stenosis (OR 1.09, 95% CI
1.03-1.24); and any carotid artery stenosis (OR 1.07, 95% CI 1.03-1.14). Analysis
of receiver operating characteristic curves revealed that LAVi was the best left
atrial measurement for prediction of stroke (OR 0.77, 95% CI 0.70-0.84).
CONCLUSION: In hypertensive patients, a first-ever ischemic stroke was associated
with larger left atrial size, left ventricular mass index and internal carotid
artery stenosis. LAVi was the left atrial measurement most closely associated
with ischemic stroke.
PMID- 21881528
TI - Baseline predictors of resistant hypertension in the Anglo-Scandinavian Cardiac
Outcome Trial (ASCOT): a risk score to identify those at high-risk.
AB - BACKGROUND: Resistant hypertension is a well recognized clinical entity, which
has been inadequately researched to date. METHODS: A multivariable Cox model was
developed to identify baseline predictors of developing resistant hypertension
among 3666 previously untreated Anglo-Scandinavian Cardiac Outcome Trial (ASCOT)
patients and construct a risk score to identify those at high risk. Secondary
analyses included evaluations among all 19 257 randomized patients. RESULTS: One
third (1258) of previously untreated, and one-half (9333) of all randomized
patients (incidence rates 75.2 and 129.7 per 1000 person-years, respectively)
developed resistant hypertension during a median follow-up of 5.3 and 4.8 years,
respectively. Increasing strata of baseline SBP (151-160, 161-170, 171-180, and
>180 mmHg) were associated with increased risk of developing resistant
hypertension [hazard ratio 1.24 (95% confidence interval, CI 0.81-1.88), 1.50
(1.03-2.20), 2.15 (1.47-3.16), and 4.43 (3.04-6.45), respectively]. Diabetes,
left ventricular hypertrophy, male sex, and raised BMI, fasting glucose, and
alcohol intake were other significant determinants of resistant hypertension.
Randomization to amlodipine +/- perindopril vs. atenolol +/- thiazide [0.57 (0.50
0.60)], previous use of aspirin [0.78 (0.62-0.98)], and randomization to
atorvastatin vs. placebo [0.87 (0.76-1.00)] significantly reduced the risk of
resistant hypertension. Secondary analysis results were similar. The risk score
developed allows accurate risk allocation (Harrell's C-statistic 0.71), with
excellent calibration (Hosmer-Lemeshow chi statistics, P = 0.99). A 12-fold (8.4
17.4) increased risk among those in the highest vs. lowest risk deciles was
apparent. CONCLUSION: Baseline SBP and choice of subsequent antihypertensive
therapy were the two most important determinants of resistant hypertension in the
ASCOT population. Individuals at high risk of developing resistant hypertension
can be easily identified using an integer-based risk score.
PMID- 21881529
TI - Higher muscle performance in adolescents compared with adults after a resistance
training session with different rest intervals.
AB - The aim of the present study was to compare the effect of 3 different rest
intervals between sets on the total training volume, number of repetitions,
ratings of perceived exertion (RPE), and resistance to fatigue in adolescents and
adults during a resistance training session in the isoinertial chest press
exercise. Fifteen male adolescents (15.2 +/- 1.2 years; 20.7 +/- 2.0 kg.m(-2);
Tanner -4; 61.5 +/- 8.9, 10 repetition maximum [RM]) and 15 adults (22.2 +/- 2.7
years; 23.3 +/- 2.0 kg.m(-2); Tanner -5; 84.3 +/- 13.5, 10RM) without previous
experience with resistance training participated in the study. After 10RM test
retest on 3 different occasions, participants were randomly assigned to a
resistance training protocol with 30-, 60-, and 120-second rest interval between
sets. The protocol consisted of 3 sets with 10RM. In all studied variables, with
exception to total training volume and RPE, adolescents presented superior
results as compared with adults (p < 0.001). On the other hand, both adults and
adolescents exhibited a higher resistance to fatigue, total training volume, and
number of repetitions with a longer rest interval (120 > 60 > 30 seconds) (p <
0.01). Thus, these results indicate that adolescents present a higher recovery
capacity between sets in a resistance training session than adults and a longer
rest interval results in a higher number of repetitions completed, total training
volume, and resistance to fatigue.
PMID- 21881530
TI - Effects of an 18-week strength training program on low-handicap golfers'
performance.
AB - The purpose of this study was to determine the effects of an 18-week strength
training program on variables related to low-handicap golfers' performance. Ten
right-handed male golfers, reporting a handicap of 5 or less, were randomly
divided into two groups: the control group (CG) (N = 5, age: 23.9 +/- 6.7 years)
and the treatment group (TG) (N = 5, age: 24.2 +/- 5.4 years). CG players
followed the standard physical conditioning program for golf, which was partially
modified for the TG. The TG participated in an 18-week strength training program
divided into three parts: maximal strength training including weightlifting
exercises (2 days a week for 6 weeks), explosive strength training with combined
weights and plyometric exercises (2 days a week for 6 weeks), and golf-specific
strength training, including swings with a weighted club and accelerated swings
with an acceleration tubing system (3 days a week for 6 weeks). Body mass, body
fat, muscle mass, jumping ability, isometric grip strength, maximal strength
(RM), ball speed, and golf club mean acceleration were measured on five separate
occasions. The TG demonstrated significant increases (p < 0.05) in maximal and
explosive strength after 6 weeks of training and in driving performance after 12
weeks. These improvements remained unaltered during the 6-week golf-specific
training period and even during a 5-week detraining period. It may be concluded
that an 18-week strength training program can improve maximal and explosive
strength and these increases can be transferred to driving performance; however,
golfers need time to transfer the gains.
PMID- 21881531
TI - Optimizing power output by varying repetition tempo.
AB - The effects of varying interrepetition rest and eccentric velocity on power
output (PO) and the number of repetitions performed during a bench press set were
examined in 24 college-aged resistance trained men. On 6 separate occasions,
subjects performed a set of bench press at 80% 1 repetition maximum until
volitional fatigue. For each of the 6 repetition tempo trials, the bench press
set was paced by metronome to a unique repetition tempo involving a combination
of the following: interrepetition rest of 0 or 4 seconds; eccentric velocity of 1
or 4 seconds and bottom rest of 0 or 3 seconds. The velocity of concentric
contraction was maximal during all 6 tempo trials. During each trial, video data
were captured to determine PO variables and number of successful repetitions
completed at each tempo. One-way repeated measures analysis of variance showed
tempos with a fast eccentric phase (1 second), and no bottom rest produced
significantly greater (p <= 0.05) PO and repetitions than tempos involving slower
eccentric velocity (4 seconds) or greater bottom rest (4 seconds). This
combination of greater repetitions and PO resulted in a greater volume of work.
Varying interrepetition rest (1 or 4 seconds) did not significantly affect PO or
repetitions. The results of this study support the use of fast eccentric speed
and no bottom rest during acute performance testing to maximize PO and number of
repetitions during a set of bench press.
PMID- 21881532
TI - Effects of dynamic warm-up on lower body explosiveness among collegiate baseball
players.
AB - Debate exists between the benefits and effectiveness of a dynamic warm-up vs. a
static warm-up. This study was conducted to compare dynamic and static warm-ups
on lower body explosiveness as measured by stationary vertical jump (VJ) and
standing long jump (LJ) among collegiate baseball players. Participants (n = 17;
age = 19.59 +/- 1.37 years) progressed through 3 different warm-ups on weekly
testing dates over a 7-week period. After the warm-up routines, participants were
measured for VJ height and LJ distance in centimeters. The mean jump heights for
VJ were 66.49 +/- 8.28 cm for dynamic, 61.42 +/- 7.51 cm for static, and 62.72 +/
7.84 cm for the control condition. The mean jump distances for LJ were 231.99 +/
20.69 cm for dynamic, 219.69 +/- 20.96 cm for static, and 226.46 +/- 20.60 cm
for the control. Results indicated that the participants jumped significantly
higher in both experimental conditions while under the influence of the dynamic
warm-up (VJ-F = 22.08; df = 1.33, 21.345; p < 0.00 and LJ-F = 32.20; df = 2, 32;
p < 0.01). Additional LJ analysis determined that individuals jumped
significantly further after no warm-up compared to after a static warm-up (-6.78,
p < 0.05). Lower body explosiveness is critical in baseball and many other sports
as well. The results show that dynamic warm-up increases both VJ height and LJ
distance. Specifically, these findings indicate that athletes could gain nearly 2
in. on his or her vertical jump by simply switching from a static warm-up routine
to a dynamic routine.
PMID- 21881533
TI - Sun-dried raisins are a cost-effective alternative to Sports Jelly Beans in
prolonged cycling.
AB - The purpose of this study was to examine the effects of a natural carbohydrate
(CHO) source in the form of sun-dried raisins (SDRs) vs. Sports Jelly BeansTM
(SJBs) on endurance performance in trained cyclists and triathletes. Ten healthy
men (18-33 years) completed 1 water-only acclimatization exercise trial and 2
randomized exercise trials administered in a crossover fashion. Each trial
consisted of a 120-minute constant-intensity glycogen depletion period followed
by a 10-km time trial (TT). During each experimental trial, participants consumed
isocaloric amounts of SDRs or SJBs in 20-minute intervals. Measurements included
time to complete 10-km TT, power output during 10-km TT, blood glucose levels and
respiratory exchange ratio during glycogen depletion period, rate of perceived
exertion (RPE), 'flow' questionnaire responses, and a hedonic (i.e.,
pleasantness) sensory acceptance test. There were no significant differences in
endurance performance for TT time (SDRs vs. SJBs, 17.3 +/- 0.4 vs. 17.3 +/- 0.4
seconds) or power (229.3 +/- 13.0 vs. 232.0 +/- 13.6 W), resting blood glucose
levels (5.8 +/- 04 mmol.L(-1) for SDRs and 5.4 +/- 0.2 mmol.L(-1) for SJBs), RPE,
or flow experiences between SDR and SJB trials. However, the mean sensory
acceptance scores were significantly higher for the SDRs compared to the SJBs
(50.7 +/- 1.7 vs. 44.3 +/- 2.7). Consuming SDRs or SJBs during 120 minutes of
intense cycling results in similar subsequent TT performances and are equally
effective in maintaining blood glucose levels during exercise. Therefore, SDRs
are a natural, pleasant, cost-effective CHO alternative to commercial SJBs that
can be used during moderate- to high-intensity endurance exercise.
PMID- 21881534
TI - Short-term effects of resistance training frequency on body composition and
strength in middle-aged women.
AB - Although a dose-response relationship between resistance training frequency and
strength has been identified, there is limited research regarding the association
between frequency and body composition. This study evaluated the effects of 3 vs.
4 d.wk(-1) of resistance training on body composition and strength in middle-aged
women. Twenty-one untrained women (age 47.6 +/- 1.2 years) completed 8 weeks of
resistance training either 3 nonconsecutive days of the week using a traditional
total-body protocol (RT3) or 4 consecutive days of the week using an alternating
split-training protocol (RT4). The RT3 completed 3 sets of 8 exercises, whereas
RT4 completed 3 sets of 6 upper body exercises or 6 sets of 3 lower body
exercises. Both groups completed 72 sets per week of 8-12 repetitions at 50-80% 1
repetition maximum. Weekly training volume load was calculated as the total
number of repetitions * load (kg) completed per week. Body composition was
measured using air displacement plethysmography. At baseline and after 8 weeks of
resistance training, there were no significant between-group differences. Both
protocols resulted in significant increases in absolute lean mass (1.1 +/- 0.3
kg; p = 0.001), body weight (1.02 +/- 0.3 kg; p = 0.005), body mass index (0.3 +/
0.1 kg.m(-2); p = 0.006), strength (p < 0.001), and weekly training volume load
(p < 0.001). Correlation analysis revealed that weekly training volume load was
strongly and positively related to gains in lean mass (r = 0.56, p = 0.05) and
strength (r = 0.60, p = 0.006). In these untrained, middle-aged women, initial
short-term gains in lean mass and strength were not influenced by training
frequency when the number of training sets per week was equated.
PMID- 21881535
TI - Overcoming blocks in apoptosis with BH3-mimetic therapy in haematological
malignancies.
AB - Blocks in apoptosis are now widely regarded as key pathophysiological
maladaptations critical for tumour persistence. Importantly, it has also been
recognised that they confer resistance to cytotoxic therapy, and hence often
portend an adverse prognosis. The advent of BH3-mimetics represents a nascent
clinical capability to directly reverse the evasion of apoptosis, and indeed
exploit the very molecular abnormalities which have hitherto posed major
obstacles to therapeutic success. Clinical trials with BH3-mimetics have
demonstrated clear single agent anti-tumour activity in selected haematological
malignancies. These drugs also offer promise as adjuncts to existing or emerging
therapies in a broader range of cancers.
PMID- 21881536
TI - Molecular methods in diagnosis and monitoring of haematological malignancies.
AB - The use of the polymerase chain reaction (PCR) was a revolutionary step in
molecular biology, allowing for small amounts of genetic material to be amplified
and studied. The advent of real-time PCR was a further refinement that led to
reliable quantification of RNA and DNA. This allowed response monitoring and the
detection of minimal residual disease, which proved to have important
correlations with outcome in certain malignancies. The technology is
indispensable for physicians and pathologists caring for oncology patients. In
this article we will review the applications of molecular technology in the
diagnosis and management of malignancies. Using chronic myeloid leukaemia (CML)
as an example, technical aspects and clinical correlations will be discussed,
with emphasis on the importance of quality assurance and standardisation to allow
for comparability of results across laboratories. We will also examine emerging
technologies that allow for high throughput and rapid turnaround of specimens and
speculate how these would affect outcomes in future health care. The established
and emerging molecular technologies have applications in many fields of oncology.
PMID- 21881537
TI - Polychromatic flow cytometry in the clinical laboratory.
AB - Technological advances in flow cytometry include increasingly sophisticated
instruments and an expanding range of fluorochromes. These advances are making it
possible to detect an increasing number of markers on a single cell. The term
polychromatic flow cytometry applies to such systems that detect five or more
markers simultaneously. This review provides an overview of the current and
future impact of polychromatic flow cytometry in the clinical laboratory. The use
of multiple markers has several advantages in the diagnosis and monitoring of
haematological malignancies. Cell populations can be analysed more
comprehensively and efficiently, and abnormal populations can be distinguished
more readily when normal counterparts are present. Polychromatic flow cytometry
is particularly useful in the evaluation of plasma cells, and the role of flow
cytometry in the assessment of plasma cell disorders is reviewed in depth. There
is improved sensitivity in the assessment of small populations, which is critical
in the evaluation of minimal residual disease. Flow cytometry can also play a
role in assessment of circulating tumour cells in carcinoma. Introduction of
polychromatic flow cytometry is a complex process with many challenges including
design of antibody panels and instrument compensation. Developments in data
analysis are required to realise the full benefits of the other technical
advances. Standardisation of protocols may reduce inter-laboratory variation.
While the complexity of polychromatic flow cytometry creates challenges, it has
substantial potential to improve clinical analysis.
PMID- 21881538
TI - The epigenomics revolution in myelodysplasia: a clinico-pathological perspective.
AB - Rapid advances in molecular technologies are continually re-shaping the way we
view and understand the mechanisms driving oncogenesis. The last decade has
witnessed unparalleled change in the biology and therapy of the myelodysplastic
syndromes (MDS), a heterogeneous collection of clonal myeloid disorders
characterised by ineffective haematopoiesis and susceptibility to acute leukaemia
transformation. Pivotal studies demonstrating the positive effects of
hypomethylating agents on clinical outcome have brought an 'epigenomics
revolution' to this disease, emphasising the importance of epigenetic mechanisms
to the underlying pathogenesis of MDS. One of the most important future
challenges in the MDS field will be to determine whether epigenetic therapies can
be made more 'targeted' through identification of biomarkers which define subsets
of patients most likely to benefit from treatment. A wave of novel mutations have
recently been reported in MDS and other myeloid disorders, several of which
regulate endogenous methylation networks within cells (including TET2, DNMT3A,
IDH and EZH2). The relevance of these lesions in being able to predict response
to epigenetic modulators and their correlation with epigenetic signatures in MDS
are beginning to emerge.
PMID- 21881539
TI - Ramipril modulates circadian gene expression in skeletal muscle.
AB - OBJECTIVES: Treatment with angiotensin converting enzyme (ACE)-inhibitors
favorably affects glucose metabolism and the development of diabetes mellitus by
largely elusive mechanisms. To identify these mechanisms, we studied the effect
of ACE-inhibition on gene expression in skeletal muscle, a primary target tissue
for insulin in glucose homeostasis. METHODS: A subject-blinded and analyst
blinded, placebo-controlled study was conducted in nine healthy men. Two
consecutive muscle biopsies were conducted before and 9 h after a single dose of
either 10-mg ramipril (n=6) or placebo (n=3), (randomly allocated). Muscle
ribonucleic acid was subjected to transcriptome profiling. RESULTS: In both
ramipril-treated or placebo-treated individuals, the majority of genes with
differential expression between the two time points belonged to the family of
diurnally regulated genes, such as the NR1D1 and NR1D2 genes (nuclear receptor
subfamily 1, group D, members 1 and 2) or members of the period homolog family
(PER1-3). Ramipril significantly modulated the expression of other diurnally
regulated genes, such as aryl hydrocarbon receptor nuclear translocator-like
(ARNTL), encoding aryl hydrocarbon receptor nuclear translocator-like, a core
component of the circadian clock (P=0.02). Concomitant attenuation of NR1D1
downregulation (-2.4-fold compared with -4.1-fold in placebo; P=0.04), a
transcriptional repressor of ARNTL, supported the view that ramipril might
modulate glucose homeostasis pathways involving the NR1D1 ARNTL axis. CONCLUSION:
As circadian rhythms are deranged in patients who are diabetic, modulated
expression of circadian clock genes by ramipril could explain the favorable
metabolic effects of therapeutic ACE-inhibition.
PMID- 21881540
TI - Beta2-adrenergic receptor polymorphisms as a determinant of preferential
bronchodilator responses to beta2-agonist and anticholinergic agents in Japanese
patients with chronic obstructive pulmonary disease.
AB - BACKGROUND: Previous studies have shown that polymorphisms in the beta2
adrenergic receptor gene (ADRB2) may influence bronchodilator response (BDR) to
both beta2-agonists and anticholinergics, possibly by intracellular cross-talk,
but in opposite ways, in the Japanese population. We hypothesized that the
preferential response to either class of bronchodilators might be determined by
ADRB2 polymorphisms in patients with chronic obstructive pulmonary disease
(COPD). OBJECTIVE: To examine the association of ADRB2 polymorphisms and
preferential BDR to beta2-agonists and anticholinergics in patients with COPD.
DESIGN AND PARTICIPANTS: The participants had been enrolled in the Hokkaido COPD
cohort study. BDR to either class of bronchodilators (salbutamol or oxytropium,
0.4 mg) was measured every 6 months for 2 years. Considering the variation of BDR
within and between days, mean values of postbronchodilator increases in forced
expiratory volume in 1 s (DeltaFEV1) for the two agents measured at two different
visits were initially used for the primary analysis (N=189). To confirm the
results of the primary analysis, DeltaFEV1 measured at a single visit was also
used for secondary analyses. RESULTS: Although a significant correlation between
BDRs to salbutamol and to oxytropium was observed (P<0.001, r=0.36), there were
individuals who responded preferentially to one of the two agents. When the
participants were classified into two groups based on the bronchodilator causing
the better response (salbutamol-dominant group and oxytropium-dominant group),
Arg allele was significantly more common in the oxytropium-dominant group than in
the salbutamol-dominant group (0.0014 per day) in group 1 than in group 2 (p<0.001).
This was observed in both male and female patients. CONCLUSION: In our study
there were no significant differences between the patients registered in the
years 1980-1984 and 2000-2004 regarding the mean age of the patients, site
distribution and smoking habits. The male-female ratio has decreased. In the
recent group more patients were staged T1N0 and there was a strong decrease of
the patients who were heavy drinkers.
PMID- 21881545
TI - Office-related antibiotic prescribing for persons aged <= 14 years--United
States, 1993-1994 to 2007-2008.
AB - In 2003, the Institute of Medicine identified antibiotic resistance as a key
microbial threat to health in the United States and recommended promoting
appropriate antibiotic use as an important strategy to address this threat.
Antibiotic use contributes to development of antibiotic resistance on both the
individual and country level. To examine trends in pediatric antibiotic
prescribing in physician offices, CDC analyzed data from the National Ambulatory
Medical Care Survey (NAMCS) for the period 1993-1994 to 2007-2008. This report
summarizes the results of that analysis, which found that antibiotic prescribing
rates for persons aged <= 14 years who had visited physician offices decreased
24% from 300 antibiotic courses per 1,000 office visits in 1993-1994 to 229
antibiotic courses per 1,000 office visits in 2007-2008. Among the five acute
respiratory infections (ARIs) examined, antibiotic prescribing rates decreased
26% for pharyngitis and 19% for nonspecific upper respiratory infection (common
cold); prescribing rates for otitis media, bronchitis, and sinusitis did not
change significantly. Although the overall antibiotic prescribing rate for
persons aged <= 14 years has decreased, the rate remains inappropriately high.
Further efforts are needed to decrease inappropriate antibiotic prescribing for
persons aged <= 14 years.
PMID- 21881546
TI - National and state vaccination coverage among children aged 19-35 months--United
States, 2010.
AB - The National Immunization Survey (NIS) monitors vaccination coverage among
children aged 19-35 months using a random-digit-dialed sample of telephone
numbers of households to evaluate childhood immunization programs in the United
States. This report describes the 2010 NIS coverage estimates for children born
during January 2007-July 2009. Nationally, vaccination coverage increased in 2010
compared with 2009 for >= 1 dose of measles, mumps, and rubella vaccine (MMR),
from 90.0% to 91.5%; >= 4 doses of pneumococcal conjugate vaccine (PCV), from
80.4% to 83.3%; the birth dose of hepatitis B vaccine (HepB), from 60.8% to
64.1%; >= 2 doses of hepatitis A vaccine (HepA), from 46.6% to 49.7%; rotavirus
vaccine, from 43.9% to 59.2%; and the full series of Haemophilus influenzae type
b (Hib) vaccine, from 54.8% to 66.8%. Coverage for poliovirus vaccine (93.3%),
MMR (91.5%), >= 3 doses HepB (91.8%), and varicella vaccine (90.4%) continued to
be at or above the national health objective targets of 90% for these vaccines.*
The percentage of children who had not received any vaccinations remained low
(<1%). For most vaccines, no disparities by racial/ethnic group were observed,
with coverage for other racial/ethnic groups in 2010 similar to or higher than
coverage among white children. However, disparities by poverty status still
exist. Maintaining high vaccination coverage levels is important to reduce the
burden of vaccine-preventable diseases and prevent a resurgence of these diseases
in the United States, particularly in undervaccinated populations.
PMID- 21881547
TI - Human rabies--Wisconsin, 2010.
AB - In late December 2010, a male resident of Wisconsin, aged 70 years, sought
treatment for progressive right shoulder pain, tremors, abnormal behavior, and
dysphagia at an emergency department (ED). He was admitted for observation and
treated with benzodiazepines and haloperidol, a neuroleptic, for presumed alcohol
withdrawal syndrome. The next day, he had rhabdomyolysis, fever, and rigidity,
and neuroleptic malignant syndrome was diagnosed. The neuroleptic was
discontinued, but the patient's clinical status worsened, with encephalopathy,
respiratory failure, acute renal failure requiring hemodialysis, and episodes of
cardiac arrest. With continued clinical deterioration, additional causes were
considered, including rabies. On hospital day 12, rabies virus antigens and
nucleic acid were detected in the nuchal skin biopsy and rabies virus nucleic
acid in saliva specimens sent to CDC. A rabies virus variant associated with
silver-haired bats (Lasionycteris noctivagans) was identified. The patient died
on hospital day 13. His spouse reported that they had been selling firewood, and
bats had been present in the woodpile; however, the man had not reported a bat
bite. Two relatives and five health-care workers potentially exposed to the man's
saliva received postexposure prophylaxis. This case highlights the variable
presentations of rabies and the ease with which a diagnosis of rabies can be
missed in a clinically challenging patient with comorbidities. Clinicians should
consider rabies in the differential diagnosis for patients with progressive
encephalitis or neurologic illness of unknown etiology and caregivers should take
precautions to avoid exposure to body fluids. Continued public education
regarding risks for rabies virus exposure during interactions with wildlife,
particularly bats, is important.
PMID- 21881548
TI - Trends in in-hospital newborn male circumcision--United States, 1999-2010.
AB - The publication of three recent studies showing that circumcision of adult,
African, heterosexual men reduces their risk for acquiring human immunodeficiency
virus infection and other sexually transmitted infections has stimulated interest
in the practice of routine newborn male circumcision (NMC) and the benefits it
might confer for HIV prevention. In the United States, rates of in-hospital NMC
increased from 48.3% during 1988-1991 to 61.1% during 1997-2000. To monitor
trends in in-hospital NMC during 1999-2010, CDC used three independent data
sources (the National Hospital Discharge Survey [NHDS] from the National Center
for Health Statistics, the Nationwide Inpatient Sample [NIS] from the Agency for
Healthcare Research and Quality, and the Charge Data Master [CDM] from SDIHealth)
to estimate rates of NMC. Each system collects discharge data on inpatient
hospitalization.
PMID- 21881549
TI - Notes from the field: Measles outbreak--Indiana, June-July 2011.
AB - On June 20, 2011, an emergency department (ED) physician reported five
epidemiologically linked measles cases to the Indiana State Department of Health.
The subsequent investigation identified a total of 14 confirmed cases in
northeast Indiana. Of these, 10 were laboratory-confirmed, and four were among
household contacts of persons with laboratory-confirmed measles. Of the 14
patients, 13 were unvaccinated persons in the same extended family. The nonfamily
member was a child aged 23 months who had received 1 dose of measles, mumps, and
rubella vaccine 4 months before illness onset. Four of the 14 patients were
males; median age was 11.5 years (range: 15 months-27 years). One patient was a
woman in week 32 of pregnancy who was hospitalized for acute pneumonitis.
PMID- 21881550
TI - Mental illness surveillance among adults in the United States.
AB - Mental illnesses account for a larger proportion of disability in developed
countries than any other group of illnesses, including cancer and heart disease.
In 2004, an estimated 25% of adults in the United States reported having a mental
illness in the previous year. The economic cost of mental illness in the United
States is substantial, approximately $300 billion in 2002. Population surveys and
surveys of health-care use measure the occurrence of mental illness, associated
risk behaviors (e.g., alcohol and drug abuse) and chronic conditions, and use of
mental health-related care and clinical services. Population-based surveys and
surveillance systems provide much of the evidence needed to guide effective
mental health promotion, mental illness prevention, and treatment programs. This
report summarizes data from selected CDC surveillance systems that measure the
prevalence and impact of mental illness in the U.S. adult population. CDC
surveillance systems provide several types of mental health information:
estimates of the prevalence of diagnosed mental illness from self-report or
recorded diagnosis, estimates of the prevalence of symptoms associated with
mental illness, and estimates of the impact of mental illness on health and well
being. Data from the CDC 2005-2008 National Health and Nutrition Examination
Survey indicate that 6.8% of adults had moderate to severe depression in the 2
weeks before completing the survey. State-specific data from the CDC 2006
Behavioral Risk Factor Surveillance System (BRFSS), the most recent BRFSS data
available, indicate that the prevalence of moderate to severe depression was
generally higher in southeastern states compared with other states. Two other CDC
surveys on ambulatory care services, the National Ambulatory Medical Care Survey
and the National Hospital Ambulatory Medical Care Survey, indicate that during
2007-2008, approximately 5% of ambulatory care visits involved patients with a
diagnosis of a mental health disorder, and most of these were classified as
depression, psychoses, or anxiety disorders. Future surveillance should pay
particular attention to changes in the prevalence of depression both nationwide
and at the state and county levels. In addition, national and state-level mental
illness surveillance should measure a wider range of psychiatric conditions and
should include anxiety disorders. Many mental illnesses can be managed
successfully, and increasing access to and use of mental health treatment
services could substantially reduce the associated morbidity.
PMID- 21881551
TI - Clinical and behavioral characteristics of adults receiving medical care for HIV
infection --- Medical Monitoring Project, United States, 2007.
AB - PROBLEM: As of December 31, 2008, an estimated 663,084 persons were living with a
diagnosis of human immunodeficiency virus (HIV) infection in the 40 U.S. states
that have had confidential name-based HIV infection reporting since at least
January 2006. Although HIV surveillance programs in the United States collect
information about persons who have received a diagnosis of HIV infection and
acquired immunodeficiency syndrome (AIDS), supplemental surveillance projects are
needed to collect information about care-seeking behaviors, health-care use, and
other behaviors among persons living with HIV. Data on the clinical and
behavioral characteristics of persons receiving medical care for HIV infection
are critical to reduce HIV-related morbidity and mortality and for program
planning to allocate services and resources, guide prevention planning, assess
unmet medical and ancillary service needs, and help develop intervention programs
and health policies at the local, state, and national levels. REPORTING PERIOD
COVERED: Data were collected during June 2007-September 2008 for patients who
received medical care in 2007 (sampled from January 1-April 30). DESCRIPTION OF
THE SYSTEM: The Medical Monitoring Project (MMP) is an ongoing, multisite
supplemental surveillance project that assesses behaviors, clinical
characteristics, and quality of care of HIV-infected persons who are receiving
medical care. Participants must be aged >= 18 years and have received medical
care at sampled facilities that provide HIV medical care within participating MMP
project areas. Self-reported behavioral and selected clinical data are collected
using an in-person interview. A total of 26 project areas in 19 states and Puerto
Rico were funded to collect data during the 2007 MMP data collection cycle.
RESULTS: The results from the 2007 MMP cycle indicated that among 3,643
participants, a total of 3,040 (84%) had some form of health insurance or
coverage during the 12 months before the interview; of these, 45% reported having
Medicaid, 37% reported having private health insurance or coverage through a
health maintenance organization, and 30% reported having Medicare. A total of
3,091 (85%) of the participants were currently taking antiretroviral medications.
Among 3,609 participants who reported ever having a CD4 T-lymphocyte test, 2,996
(83%) reported having three or more CD4 T-lymphocyte tests in the 12 months
before the interview. Among 3,567 participants who reported ever having an HIV
viral load test, 2,946 (83%) reported having three or more HIV viral load tests
in the 12 months before the interview. Among 3,643 participants, 45% needed HIV
case management, 33% needed mental health counseling, and 32% needed assistance
finding dental services during the 12 months before the interview; 8%, 13%, and
25% of these participants who needed the services, respectively, had not received
these services by the time of the interview. Noninjection drugs were used for
nonmedical purposes by 1,117 (31%) participants during the 12 months before the
interview, and 122 (3%) participants had used injection drugs for nonmedical
purposes. Unprotected anal intercourse was reported by 527 (54%) of 970 men who
reported having anal sex with a man during the 12 months before the interview.
Unprotected anal or vaginal intercourse was reported by 176 (32%) of the 553 men
who reported having anal or vaginal intercourse with a woman during the 12 months
before the interview. Unprotected anal or vaginal intercourse was reported by 216
(42%) of the 516 women who reported having anal or vaginal intercourse with a man
during the 12 months before the interview. INTERPRETATION: The findings in this
report indicate that in 2007, most persons with HIV infection who were receiving
medical care were taking antiretroviral therapy and had some form of health
insurance or coverage; however, some persons were not receiving needed critical
ancillary services, such as HIV case management or help finding dental services.
In addition, some persons living with HIV infection engaged in behaviors, such as
unprotected sex, that increase the risk for transmitting HIV to sexual partners,
and some used noninjection or injection drugs for nonmedical purposes, which
might decrease adherence to antiretroviral therapy and increase health-risk
behaviors. PUBLIC HEALTH ACTIONS: MMP data can be used to monitor the national
HIV/AIDS strategy goal of increasing access to care and optimizing health
outcomes among persons living with HIV. Persons infected with HIV who are not
receiving needed ancillary services highlight missed opportunities for access to
care and other supportive services, information that can be used to advocate for
additional resources. Drug use among persons with HIV infection underscores the
continued need for substance abuse treatment services for this population. In
addition, prevention services and programs are needed to decrease the number of
HIV-infected persons engaging in unprotected sex. The data in this report can be
included in local, state, and national HIV/AIDS epidemiologic profiles and shared
with community stakeholders. Although data from the 2007 MMP cycle might not be
representative of all persons receiving medical care for HIV infection in the
United States or in the individual project areas, future MMP cycles are expected
to yield weighted national estimates representing all HIV-infected persons
receiving medical care in the United States.
PMID- 21881552
TI - A portable fiberoptic ratiometric fluorescence analyzer provides rapid point-of
care determination of glomerular filtration rate in large animals.
AB - Measurement of the glomerular filtration rate (GFR) is the gold standard for
precise assessment of kidney function. A rapid, point-of-care determination of
the GFR may provide advantages in the clinical setting over currently available
assays. Here we demonstrate a proof of principle for such an approach in a pig
and dogs, two species that approximate the vascular access and GFR results
expected in humans. In both animal models, a sub-millimeter optical fiber that
delivered excitation light and collected fluorescent emissions was inserted into
a peripheral vein (dog) or central venous access (pig) by means of commercial
intravenous catheters. A mixture of fluorescent chimeras of a small freely
filterable reporter and large non-filterable plasma volume marker were infused as
a bolus, excited by light-emitting diodes, and the in vivo signals detected and
quantified by photomultiplier tubes in both species in less than 60 min.
Concurrent standardized 6-h iohexol plasma kidney clearances validated the
accuracy of our results for both physiologic and a chronic kidney disease
setting. Thus, our ratiometric technique allows for both measurement of plasma
vascular volume and highly accurate real-time GFR determinations, enabling
clinical decision making in real time.
PMID- 21881553
TI - Dietary acid reduction with fruits and vegetables or bicarbonate attenuates
kidney injury in patients with a moderately reduced glomerular filtration rate
due to hypertensive nephropathy.
AB - The neutralization of dietary acid with sodium bicarbonate decreases kidney
injury and slows the decline of the glomerular filtration rate (GFR) in animals
and patients with chronic kidney disease. The sodium intake, however, could be
problematic in patients with reduced GFR. As alkali-induced dietary protein
decreased kidney injury in animals, we compared the efficacy of alkali-inducing
fruits and vegetables with oral sodium bicarbonate to diminish kidney injury in
patients with hypertensive nephropathy at stage 1 or 2 estimated GFR. All
patients were evaluated 30 days after no intervention; daily oral sodium
bicarbonate; or fruits and vegetables in amounts calculated to reduce dietary
acid by half. All patients had 6 months of antihypertensive control by
angiotensin-converting enzyme inhibition before and during these studies, and
otherwise ate ad lib. Indices of kidney injury were not changed in the stage 1
group. By contrast, each treatment of stage 2 patients decreased urinary albumin,
N-acetyl beta-D-glucosaminidase, and transforming growth factor beta from the
controls to a similar extent. Thus, a reduction in dietary acid decreased kidney
injury in patients with moderately reduced eGFR due to hypertensive nephropathy
and that with fruits and vegetables was comparable to sodium bicarbonate. Fruits
and vegetables appear to be an effective kidney protective adjunct to blood
pressure reduction and angiotensin-converting enzyme inhibition in hypertensive
and possibly other nephropathies.
PMID- 21881554
TI - Progressive histological damage in renal allografts is associated with expression
of innate and adaptive immunity genes.
AB - The degree of progressive chronic histological damage is associated with long
term renal allograft survival. In order to identify promising molecular targets
for timely intervention, we examined renal allograft protocol and indication
biopsies from 120 low-risk pediatric and adolescent recipients by whole-genome
microarray expression profiling. In data-driven analysis, we found a highly
regulated pattern of adaptive and innate immune gene expression that correlated
with established or ongoing histological chronic injury, and also with
development of future chronic histological damage, even in histologically
pristine kidneys. Hence, histologically unrecognized immunological injury at a
molecular level sets the stage for the development of chronic tissue injury,
while the same molecular response is accentuated during established and worsening
chronic allograft damage. Irrespective of the hypothesized immune or nonimmune
trigger for chronic allograft injury, a highly orchestrated regulation of innate
and adaptive immune responses was found in the graft at the molecular level. This
occurred months before histologic lesions appear, and quantitatively below the
diagnostic threshold of classic T-cell or antibody-mediated rejection. Thus,
measurement of specific immune gene expression in protocol biopsies may be
warranted to predict the development of subsequent chronic injury in
histologically quiescent grafts and as a means to titrate immunosuppressive
therapy.
PMID- 21881555
TI - Complement factor H variants I890 and L1007 while commonly associated with
atypical hemolytic uremic syndrome are polymorphisms with no functional
significance.
AB - Mutations and polymorphisms in the gene-encoding factor H (CFH) are associated
with atypical hemolytic uremic syndrome, dense deposit disease, and age-related
macular degeneration. Many of these CFH genetic variations disrupt the regulatory
role of factor H, supporting the concept that dysregulation of complement is a
unifying pathogenic feature of these disorders. Evidence of a causal relationship
with the disease is, however, not available for all CFH genetic variations found
in patients, which is a potential cause of misinterpretations with important
consequences for the patients and their relatives. CFH I890 and L1007 are two
genetic variations repeatedly associated with atypical hemolytic uremic syndrome
and also found in patients with dense deposit disease and age-related macular
degeneration. Here we report an extensive genetic and functional analysis of
these CFH variants. Our results indicate that I890 and L1007 segregate together
as part of a distinct and relatively infrequent CFH haplotype in Caucasians.
Extensive analysis of the S890/V1007 (control) and I890/L1007 (disease
associated) factor H protein variants failed to provide evidence that these amino
acid changes have functional implications. Thus, the presence of the I890 and
L1007 variants in healthy individuals and their high frequency in sub-Saharan
African and African-American populations strongly suggest that I890 and L1007 are
rare factor H polymorphisms unrelated to disease.
PMID- 21881556
TI - Pleiotrophin triggers inflammation and increased peritoneal permeability leading
to peritoneal fibrosis.
AB - Long-term peritoneal dialysis induces peritoneal fibrosis with submesothelial
fibrotic tissue. Although angiogenesis and inflammatory mediators are involved in
peritoneal fibrosis, precise molecular mechanisms are undefined. To study this,
we used microarray analysis and compared gene expression profiles of the
peritoneum in control and chlorhexidine gluconate (CG)-induced peritoneal
fibrosis mice. One of the 43 highly upregulated genes was pleiotrophin, a midkine
family member, the expression of which was also upregulated by the solution used
to treat mice by peritoneal dialysis. This growth factor was found in fibroblasts
and mesothelial cells within the underlying submesothelial compact zones of mice,
and in human peritoneal biopsy samples and peritoneal dialysate effluent.
Recombinant pleiotrophin stimulated mitogenesis and migration of mouse
mesothelial cells in culture. We found that in wild-type mice, CG treatment
increased peritoneal permeability (measured by equilibration), increased mRNA
expression of TGF-beta1, connective tissue growth factor and fibronectin, TNF
alpha and IL-1beta expression, and resulted in infiltration of CD3-positive T
cells, and caused a high number of Ki-67-positive proliferating cells. All of
these parameters were decreased in peritoneal tissues of CG-treated pleiotrophin
knockout mice. Thus, an upregulation of pleiotrophin appears to play a role in
fibrosis and inflammation during peritoneal injury.
PMID- 21881557
TI - Exosomes and the kidney: prospects for diagnosis and therapy of renal diseases.
AB - Exosomes are 40-100 nm membrane vesicles secreted into the extracellular space by
numerous cell types. These structures can be isolated from body fluids including
urine and plasma. Exosomes contain proteins, mRNAs, miRNAs, and signaling
molecules that reflect the physiological state of their cells of origin and
consequently provide a rich source of potential biomarker molecules. Aside from
diagnostic uses, exosome-mediated transfer of proteins, mRNAs, miRNAs, and
signaling molecules offer the promise that they may be used for therapeutic
purposes. In this review, we integrate new knowledge about exosomes from outside
the field of nephrology with recent progress by renal researchers in order to
provide a basis for speculation about how the study of exosomes may affect the
fields of nephrology and renal physiology in the next few years.
PMID- 21881560
TI - A close nuclear black-hole pair in the spiral galaxy NGC 3393.
AB - The current picture of galaxy evolution advocates co-evolution of galaxies and
their nuclear massive black holes, through accretion and galactic merging. Pairs
of quasars, each with a massive black hole at the centre of its galaxy, have
separations of 6,000 to 300,000 light years (refs 2 and 3; 1 parsec = 3.26 light
years) and exemplify the first stages of this gravitational interaction. The
final stages of the black-hole merging process, through binary black holes and
final collapse into a single black hole with gravitational wave emission, are
consistent with the sub-light-year separation inferred from the optical spectra
and light-variability of two such quasars. The double active nuclei of a few
nearby galaxies with disrupted morphology and intense star formation (such as NGC
6240 with a separation of about 2,600 light years and Mrk 463 with a separation
of about 13,000 light years between the nuclei) demonstrate the importance of
major mergers of equal-mass spiral galaxies in this evolution; such mergers lead
to an elliptical galaxy, as in the case of the double-radio-nucleus elliptical
galaxy 0402+379 (with a separation of about 24 light years between the nuclei).
Minor mergers of a spiral galaxy with a smaller companion should be a more common
occurrence, evolving into spiral galaxies with active massive black-hole pairs,
but have hitherto not been seen. Here we report the presence of two active
massive black holes, separated by about 490 light years, in the Seyfert galaxy
NGC 3393 (50 Mpc, about 160 million light years). The regular spiral morphology
and predominantly old circum-nuclear stellar population of this galaxy, and the
closeness of the black holes embedded in the bulge, provide a hitherto missing
observational point to the study of galaxy/black hole evolution. Comparison of
our observations with current theoretical models of mergers suggests that they
are the result of minor merger evolution.
PMID- 21881558
TI - Systems biology of kidney diseases.
AB - Kidney diseases manifest in progressive loss of renal function, which ultimately
leads to complete kidney failure. The mechanisms underlying the origins and
progression of kidney diseases are not fully understood. Multiple factors
involved in the pathogenesis of kidney diseases have made the traditional
candidate gene approach of limited value toward full understanding of the
molecular mechanisms of these diseases. A systems biology approach that
integrates computational modeling with large-scale data gathering of the
molecular changes could be useful in identifying the multiple interacting genes
and their products that drive kidney diseases. Advances in biotechnology now make
it possible to gather large data sets to characterize the role of the genome,
epigenome, transcriptome, proteome, and metabolome in kidney diseases. When
combined with computational analyses, these experimental approaches will provide
a comprehensive understanding of the underlying biological processes. Multiscale
analysis that connects the molecular interactions and cell biology of different
kidney cells to renal physiology and pathology can be utilized to identify
modules of biological and clinical importance that are perturbed in disease
processes. This integration of experimental approaches and computational modeling
is expected to generate new knowledge that can help to identify marker sets to
guide the diagnosis, monitor disease progression, and identify new therapeutic
targets.
PMID- 21881563
TI - Optimizing revascularization strategies in coronary artery disease for optimal
benefit to patients.
AB - Coronary artery bypass grafting (CABG) and percutaneous coronary intervention
(PCI) have undergone substantial technological advances, and revascularization is
an established therapeutic option in the treatment of coronary artery disease
(CAD). Here we focus on optimization of decision making in revascularization
strategies, as is being addressed in recent large clinical trials and the
guidelines issued by the European Society of Cardiology (ESC) and the European
Association for Cardio-Thoracic Surgery (EACTS).
PMID- 21881559
TI - Mirror extreme BMI phenotypes associated with gene dosage at the chromosome
16p11.2 locus.
AB - Both obesity and being underweight have been associated with increased mortality.
Underweight, defined as a body mass index (BMI) <= 18.5 kg per m(2) in adults and
<= -2 standard deviations from the mean in children, is the main sign of a series
of heterogeneous clinical conditions including failure to thrive, feeding and
eating disorder and/or anorexia nervosa. In contrast to obesity, few genetic
variants underlying these clinical conditions have been reported. We previously
showed that hemizygosity of a ~600-kilobase (kb) region on the short arm of
chromosome 16 causes a highly penetrant form of obesity that is often associated
with hyperphagia and intellectual disabilities. Here we show that the
corresponding reciprocal duplication is associated with being underweight. We
identified 138 duplication carriers (including 132 novel cases and 108 unrelated
carriers) from individuals clinically referred for developmental or intellectual
disabilities (DD/ID) or psychiatric disorders, or recruited from population-based
cohorts. These carriers show significantly reduced postnatal weight and BMI. Half
of the boys younger than five years are underweight with a probable diagnosis of
failure to thrive, whereas adult duplication carriers have an 8.3-fold increased
risk of being clinically underweight. We observe a trend towards increased
severity in males, as well as a depletion of male carriers among non-medically
ascertained cases. These features are associated with an unusually high frequency
of selective and restrictive eating behaviours and a significant reduction in
head circumference. Each of the observed phenotypes is the converse of one
reported in carriers of deletions at this locus. The phenotypes correlate with
changes in transcript levels for genes mapping within the duplication but not in
flanking regions. The reciprocal impact of these 16p11.2 copy-number variants
indicates that severe obesity and being underweight could have mirror
aetiologies, possibly through contrasting effects on energy balance.
PMID- 21881562
TI - The genome of the green anole lizard and a comparative analysis with birds and
mammals.
AB - The evolution of the amniotic egg was one of the great evolutionary innovations
in the history of life, freeing vertebrates from an obligatory connection to
water and thus permitting the conquest of terrestrial environments. Among
amniotes, genome sequences are available for mammals and birds, but not for non
avian reptiles. Here we report the genome sequence of the North American green
anole lizard, Anolis carolinensis. We find that A. carolinensis microchromosomes
are highly syntenic with chicken microchromosomes, yet do not exhibit the high GC
and low repeat content that are characteristic of avian microchromosomes. Also,
A. carolinensis mobile elements are very young and diverse-more so than in any
other sequenced amniote genome. The GC content of this lizard genome is also
unusual in its homogeneity, unlike the regionally variable GC content found in
mammals and birds. We describe and assign sequence to the previously unknown A.
carolinensis X chromosome. Comparative gene analysis shows that amniote egg
proteins have evolved significantly more rapidly than other proteins. An anole
phylogeny resolves basal branches to illuminate the history of their repeated
adaptive radiations.
PMID- 21881564
TI - Pharmacogenetics: call to action.
PMID- 21881565
TI - Paraoxonase 1 (PON1) gene variants are not associated with clopidogrel response.
AB - A common functional variant in paraoxonase 1 (PON1), Q192R, was recently reported
to be a major determinant of clopidogrel response. This variant was genotyped in
566 participants of the Amish Pharmacogenomics of Anti-Platelet Intervention
(PAPI) study and in 227 percutaneous coronary intervention (PCI) patients. Serum
paraoxonase activity was measured in a subset of 79 PAPI participants. PON1 Q192R
was not associated with pre- or post-clopidogrel platelet aggregation in the PAPI
study (P = 0.16 and P = 0.21, respectively) or the PCI cohort (P = 0.47 and P =
0.91, respectively). The Q192 allele was not associated with cardiovascular
events (hazard ratio (HR) 0.46, 95% confidence interval (CI) 0.20-1.06; P =
0.07). No correlation was observed between paraoxonase activity and post
clopidogrel platelet aggregation (r(2) < 0.01, P = 0.78). None of 49 additional
PON1 variants evaluated was associated with post-clopidogrel platelet
aggregation. These findings do not support a role for PON1 as a determinant of
clopidogrel response.
PMID- 21881566
TI - Specifying the neurobiological basis of human attachment: brain, hormones, and
behavior in synchronous and intrusive mothers.
AB - The mother-infant bond provides the foundation for the infant's future mental
health and adaptation and depends on the provision of species-typical maternal
behaviors that are supported by neuroendocrine and motivation-affective neural
systems. Animal research has demonstrated that natural variations in patterns of
maternal care chart discrete profiles of maternal brain-behavior relationships
that uniquely shape the infant's lifetime capacities for stress regulation and
social affiliation. Such patterns of maternal care are mediated by the
neuropeptide Oxytocin and by stress- and reward-related neural systems. Human
studies have similarly shown that maternal synchrony--the coordination of
maternal behavior with infant signals--and intrusiveness--the excessive
expression of maternal behavior--describe distinct and stable maternal styles
that bear long-term consequences for infant well-being. To integrate brain,
hormones, and behavior in the study of maternal-infant bonding, we examined the
fMRI responses of synchronous vs intrusive mothers to dynamic, ecologically valid
infant videos and their correlations with plasma Oxytocin. In all, 23 mothers
were videotaped at home interacting with their infants and plasma OT assayed.
Sessions were micro-coded for synchrony and intrusiveness. Mothers were scanned
while observing several own and standard infant-related vignettes. Synchronous
mothers showed greater activations in the left nucleus accumbens (NAcc) and
intrusive mothers exhibited higher activations in the right amygdala. Functional
connectivity analysis revealed that among synchronous mothers, left NAcc and
right amygdala were functionally correlated with emotion modulation, theory-of
mind, and empathy networks. Among intrusive mothers, left NAcc and right amygdala
were functionally correlated with pro-action areas. Sorting points into
neighborhood (SPIN) analysis demonstrated that in the synchronous group, left
NAcc and right amygdala activations showed clearer organization across time,
whereas among intrusive mothers, activations of these nuclei exhibited greater
cross-time disorganization. Correlations between Oxytocin with left NAcc and
right amygdala activations were found only in the synchronous group. Well-adapted
parenting appears to be underlay by reward-related motivational mechanisms,
temporal organization, and affiliation hormones, whereas anxious parenting is
likely mediated by stress-related mechanisms and greater neural disorganization.
Assessing the integration of motivation and social networks into unified neural
activity that reflects variations in patterns of parental care may prove useful
for the study of optimal vs high-risk parenting.
PMID- 21881561
TI - Antibiotic resistance is ancient.
AB - The discovery of antibiotics more than 70 years ago initiated a period of drug
innovation and implementation in human and animal health and agriculture. These
discoveries were tempered in all cases by the emergence of resistant microbes.
This history has been interpreted to mean that antibiotic resistance in
pathogenic bacteria is a modern phenomenon; this view is reinforced by the fact
that collections of microbes that predate the antibiotic era are highly
susceptible to antibiotics. Here we report targeted metagenomic analyses of
rigorously authenticated ancient DNA from 30,000-year-old Beringian permafrost
sediments and the identification of a highly diverse collection of genes encoding
resistance to beta-lactam, tetracycline and glycopeptide antibiotics. Structure
and function studies on the complete vancomycin resistance element VanA confirmed
its similarity to modern variants. These results show conclusively that
antibiotic resistance is a natural phenomenon that predates the modern selective
pressure of clinical antibiotic use.
PMID- 21881567
TI - Estradiol modulates effort-based decision making in female rats.
AB - Disorders of the dopamine system, such as schizophrenia or stimulant addiction,
are associated with impairments in different forms of cost/benefit decision
making. The neural circuitry (ie amygdala, prefrontal cortex, nucleus accumbens)
underlying these functions receives dopamine input, which is thought to have a
central role in mediating cost/benefit decisions. Estradiol modulates dopamine
activity, and estrogen receptors (ERs) are found within this neurocircuitry,
suggesting that decision making may be influenced by estradiol. The present study
examined the contribution of estradiol and selective ERalpha and beta agonists on
cost/benefit decision making in adult female Long-Evans rats. An effort
discounting task was utilized, where rats could either emit a single response on
a low-reward lever to receive two pellets, or make 2, 5, 10, or 20 responses on a
high-reward lever to obtain four pellets. Ovariectomy increased the choice on the
high-reward lever, whereas replacement with high (10 MUg), but not low (0.3 MUg),
levels of estradiol benzoate reduced the choice on the high-reward lever.
Interestingly, both an ERalpha agonist (propyl-pyrazole triol (PPT)) and an
ERbeta agonist (diarylpropionitrile (DPN)) increased choice on the high-reward
lever when administered independently, but when these two agonists were combined,
a decrease in choice for the high-reward lever was observed. The effects of
estradiol, PPT, and DPN were more pronounced 24 h post-administration, suggesting
that these effects may be genomic in nature. Together, these results demonstrate
that estradiol modulates cost/benefit decision making in females, whereby
concomitant activation of ERalpha and beta receptors shifts the decision criteria
and reduces preference for larger, yet more costly rewards.
PMID- 21881568
TI - Activation of nociceptin/orphanin FQ peptide receptors disrupts visual but not
auditory sensorimotor gating in BALB/cByJ mice: comparison to dopamine receptor
agonists.
AB - Nociceptin/orphanin FQ (N/OFQ) peptide and its receptor (NOP receptor) have been
implicated in a host of brain functions and diseases, but the contribution of
this neuropeptide system to behavioral processes of relevance to psychosis has
not been investigated. We examined the effect of the NOP receptor antagonists,
Compound 24 and J-113397, and the synthetic agonist, Ro64-6198, on time function
(2-2000 ms prepulse-pulse intervals) of acoustic (80 dB/10 ms prepulse) and
visual (1000 Lux/20 ms prepulse) prepulse inhibition of startle reflex (PPI), a
preattentive sensory filtering mechanism that is central to perceptual and mental
integration. The effects of the dopamine D1-like receptor agonist, SKF-81297, the
D2-like receptor agonist, quinelorane, and the mixed D1/D2 agonist, apomorphine,
were studied for comparison. When acoustic stimulus was used as prepulse,
BALB/cByJ mice displayed a monotonic time function of PPI, and consistent with
previous studies, apomorphine and SKF-81279 induced PPI impairment, whereas
quinelorane had no effect. None of the NOP receptor ligands was effective on
acoustic PPI. When flash light was used as prepulse, BALB/cByJ mice displayed a
bell-shaped time function of PPI and all dopamine agonists were active. Ro64-6198
was also effective in reducing visual PPI. NOP receptor antagonists showed no
activity but blocked disruptive effect of Ro64-6198. Finally, coadministration of
the typical antipsychotic, haloperidol, attenuated PPI impairment induced by Ro64
6198, revealing involvement of a dopaminergic component. These findings show that
pharmacological stimulation of NOP or dopamine D2-like receptors is more potent
in disrupting visual than acoustic PPI in mice, whereas D1-like receptor
activation disrupts both. They further suggest that dysfunction of N/OFQ
transmission may be implicated in the pathogenesis of psychotic manifestations.
PMID- 21881569
TI - A randomized trial on mineralocorticoid receptor blockade in men: effects on
stress responses, selective attention, and memory.
AB - Corticosteroids, released in high amounts after stress, exert their effects via
two different receptors in the brain: glucocorticoid receptors (GRs) and
mineralocorticoid receptors (MRs). GRs have a role in normalizing stress-induced
effects and promoting consolidation, while MRs are thought to be important in
determining the threshold for activation of the hypothalamic-pituitary-adrenal
(HPA) axis. We investigated the effects of MR blockade on HPA axis responses to
stress and stress-induced changes in cognitive function. In a double-blind,
placebo-controlled study, 64 healthy young men received 400 mg of the MR
antagonist spironolactone or placebo. After 1.5 h, they were exposed to either a
Trier Social Stress Test or a non-stressful control task. Responses to stress
were evaluated by hormonal, subjective, and physiological measurements.
Afterwards, selective attention, working memory, and long-term memory performance
were assessed. Spironolactone increased basal salivary cortisol levels as well as
cortisol levels in response to stress. Furthermore, spironolactone significantly
impaired selective attention, but only in the control group. The stress group
receiving spironolactone showed impaired working memory performance. By contrast,
long-term memory was enhanced in this group. These data support a role of MRs in
the regulation of the HPA axis under basal conditions as well as in response to
stress. The increased availability of cortisol after spironolactone treatment
implies enhanced GR activation, which, in combination with MR blockade,
presumably resulted in a decreased MR/GR activation ratio. This condition
influences both selective attention and performance in various memory tasks.
PMID- 21881570
TI - Abnormal glucocorticoid receptor mRNA and protein isoform expression in the
prefrontal cortex in psychiatric illness.
AB - Stress has been implicated in the onset and illness course of schizophrenia and
bipolar disorder. The effects of stress in these disorders may be mediated by
abnormalities of the hypothalamic-pituitary-adrenal axis, and its corticosteroid
receptors. We investigated mRNA expression of the glucocorticoid receptor (GR)
and mineralocorticoid receptor (MR), and protein expression of multiple GRalpha
isoforms, in the prefrontal cortex of 37 schizophrenia cases and 37 matched
controls. Quantitative real-time PCR, western blotting, and luciferase assays
were employed. In multiple regression analysis, schizophrenia diagnosis was a
significant predictor of total GR mRNA expression (p<0.05), which was decreased
(11.4%) in schizophrenia cases relative to controls. No significant effect of
diagnosis on MR mRNA was detected. At the protein level, no significant
predictors of total GRalpha protein or the full-length GRalpha isoform were
identified. However, schizophrenia diagnosis was a strong predictor (p<0.0005) of
the abundance of a truncated ~ 50 kDa GRalpha protein isoform, putative GRalpha
D1, which was increased in schizophrenia cases (80.4%) relative to controls. This
finding was replicated in a second cohort of 35 schizophrenia cases, 34 bipolar
disorder cases, and 35 controls, in which both schizophrenia and bipolar disorder
diagnoses were significant predictors of putative GRalpha-D1 abundance (p<0.05
and p=0.005, respectively). Full-length GRalpha was increased in bipolar disorder
relative to schizophrenia cases. Luciferase assays demonstrated that the GRalpha
D1 isoform can activate transcription at glucocorticoid response elements. These
findings confirm total GR mRNA reductions in schizophrenia and provide the first
evidence of GR protein isoform abnormalities in schizophrenia and bipolar
disorder.
PMID- 21881572
TI - Influenza A facilitates sensitization to house dust mite in infant mice leading
to an asthma phenotype in adulthood.
AB - The origins of allergic asthma, particularly in infancy, remain obscure.
Respiratory viral infections and allergen sensitization in early life have been
associated with asthma in young children. However, a causal link has not been
established. We investigated whether an influenza A infection in early life
alters immune responses to house dust mite (HDM) and promotes an asthmatic
phenotype later in life. Neonatal (8-day-old) mice were infected with influenza
virus and 7 days later, exposed to HDM for 3 weeks. Unlike adults, neonatal mice
exposed to HDM exhibited negligible immune responsiveness to HDM, but not to
influenza A. HDM responsiveness in adults was associated with distinct Ly6c+
CD11b+ inflammatory dendritic cell and CD8alpha+ plasmacytoid (pDC) populations
that were absent in HDM-exposed infant mice, suggesting an important role in HDM
mediated inflammation. Remarkably, HDM hyporesponsiveness was overcome when
exposure occurred concurrently with an acute influenza infection; young mice now
displayed robust allergen-specific immunity, allergic inflammation, and lung
remodeling. Remodeling persisted into early adulthood, even after prolonged
discontinuation of allergen exposure and was associated with marked impairment of
lung function. Our data demonstrate that allergen exposure coincident with acute
viral infection in early life subverts constitutive allergen hyporesponsiveness
and imprints an asthmatic phenotype in adulthood.
PMID- 21881571
TI - Enhanced sensitivity to attenuation of conditioned reinstatement by the mGluR 2/3
agonist LY379268 and increased functional activity of mGluR 2/3 in rats with a
history of ethanol dependence.
AB - Recent findings implicate group II metabotropic glutamate receptors (mGluR(2/3))
in the reinforcing and dependence-inducing actions of ethanol and identify these
receptors as treatment targets for alcoholism. Here, we investigated the effects
of mGLuR(2/3) activation on conditioned reinstatement in rats with different
ethanol-dependence histories and examined dependence-associated changes in the
functional activity of mGluR(2/3). Following ethanol self-administration training
and conditioning procedures, rats were made ethanol dependent, using ethanol
vapor inhalation, under three conditions: a single intoxication and withdrawal
episode (SW), repeated cycles of intoxication and withdrawal (RW), or no
intoxication (CTRL). At 1 week after removal from ethanol vapor, self
administration resumed until stable baseline performance was reached, followed by
extinction of operant responding and reinstatement tests. Post-withdrawal self
administration was increased in the RW group, but all groups showed conditioned
reinstatement. The mGluR(2/3) agonist LY379268 dose -dependently reduced
reinstatement in all groups, but was more effective at low doses in the SW and RW
groups. The highest dose of LY379268 tested reduced spontaneous locomotor
activity and operant responding maintained by a non-drug reinforcer, without
differences among groups. The heightened sensitivity to the effects of LY379268
in rats with an ethanol-dependence history was therefore specific to behavior
motivated by ethanol-related stimuli. Both the SW and RW groups showed elevated
[(35)S]GTPgammaS binding in the central nucleus of the amygdala (CeA) and bed
nucleus of stria terminalis (BNST), relative to the CTRL group. The findings
implicate changes in mGluR(2/3) functional activity as a factor in ethanol
dependence and support treatment target potential of mGlu(2/3) receptors for
craving and relapse prevention.
PMID- 21881573
TI - Enhanced HIV-1 replication in ex vivo ectocervical tissues from post-menopausal
women correlates with increased inflammatory responses.
AB - Knowledge about early innate immune responses at the mucosal surfaces of the
female genital tract is important in understanding the pathogenesis of
heterosexual transmission of human immunodeficiency virus type-1 (HIV-1). As
estradiol decreases inflammatory responses, we postulated that an estradiol
deficient state such as post-menopause could enhance expression of inflammatory
factors that stimulate HIV-1 replication. We compare HIV-1 integration,
transcription, and viral p24 release levels among ectocervical tissues obtained
from pre- and post-menopausal donors. We detected enhanced HIV-1 p24 release
levels in post- compared with pre-menopausal tissues (P<0.0001), but saw no
difference in HIV-1 integration. Overall, 100% of post-menopausal tissues
exhibited levels of HIV-1 transcription above background compared with only 60%
of pre-menopausal tissues. Increased HIV-1 transcription was associated with
enhanced interleukin (IL)-1beta, IL-6, monocyte chemotactic protein-1, growth
regulated oncogene-alpha, and interferon-gamma-inducible protein-10 expression.
Neutralization and nuclear factor-kappaB-targeting small-interfering RNA
experiments both decreased HIV-1 transcription, suggesting that the early
inflammatory response may facilitate HIV-1 replication in ex vivo ectocervical
tissues from post-menopausal women.
PMID- 21881574
TI - Cilnidipine, but not amlodipine, ameliorates osteoporosis in ovariectomized
hypertensive rats through inhibition of the N-type calcium channel.
AB - Both osteoporosis and high blood pressure are major diseases in aging
populations. Recent studies demonstrated that some antihypertensive drugs reduced
the risk of bone fracture in elderly patients. Although calcium channel blockers
(CCB) are widely used as first-line antihypertensive agents, there is no evidence
that they prevent osteoporosis. In this study, we investigated the effects of two
types of CCB on bone metabolism: cilnidipine (L-/N-type CCB), which suppresses
norepinephrine release from the sympathetic nerve, and amlodipine (L-type CCB).
In ovariectomized female spontaneous hypertensive rats, administration of
cilnidipine, but not amlodipine, resulted in a significant increase in the ratio
of alkaline phosphatase to tartrate-resistant acid phosphatase (TRAP) and a
decrease in the number of osteoclasts, as assessed by TRAP staining in the
proximal tibia. Bone mineral density, moreover, was significantly higher in the
cilnidipine group as compared with the amlodipine group and was associated with a
significant decrease in a urinary collagen degradation product
(deoxypyridinoline). The degree of prevention of osteoporosis by cilnidipine was
similar to that of carvedilol (a beta-blocker) because beta-blockers reduce
fracture risks though the inhibition of osteoclast activation. Interestingly,
these effects cannot be attributed to the reduction of blood pressure because all
three drugs significantly decreased blood pressure. In contrast, both cilnidipine
and carvedilol, but not amlodipine, significantly decreased heart rate,
indicating that both cilnidipine and carvedilol suppressed sympathetic nervous
activity. Overall, our present data showed that cilnidipine (L-/N-type CCB)
ameliorated osteoporosis in ovariectomized hypertensive rats. These pleiotropic
effects of antihypertensive drugs such as cilnidipine and carvedilol might
provide additional benefits in the treatment of hypertensive postmenopausal
women.
PMID- 21881575
TI - Prevention of perinatal death with low-dose aspirin in developing countries.
PMID- 21881576
TI - Home monitoring is the optimal method for assessing blood pressure variability.
PMID- 21881577
TI - Characteristics of blood pressure profiles and vascular dysfunction.
PMID- 21881578
TI - Association between the angiotensinogen gene T174M polymorphism and hypertension
risk in the Chinese population: a meta-analysis.
AB - No consensus has been reached on the association between the angiotensinogen gene
polymorphism T174M and hypertension risk in the Chinese population. We conducted
a meta-analysis to systematically pursue their possible association. Case-control
studies in the Chinese and English publications were identified by searching the
MEDLINE, EMBASE, CBM, CNKI, Wanfang and VIP databases. The fixed-effects model
and the random-effects model were applied for dichotomous outcomes to combine the
results of the individual studies. After this, we selected 16 studies that met
the inclusion criteria. In total, the selected studies contributed a study
population containing 3828 hypertensive patients and 3251 normotensive controls.
We found no statistical association between the T174M polymorphism and
hypertension risk in all subjects, in a Han Chinese subgroup or in non-Han
Chinese minorities. However, a statistically significant association was observed
between the T174M polymorphism and a hypertensive group (systolic blood pressure
>=160 mm Hg and/or diastolic blood pressure >=95 mm Hg) in the dominant genetic
model (MM+MT vs. TT: P=0.03, odds ratio=1.71, 95% confidence interval 1.07-2.74,
P(heterogeneity)=0.27, I(2)=24%, fixed-effects model). No evidence of publication
bias was observed. More studies, especially studies stratified for different
stages of hypertension, should be performed in the future to fully examine this
question. Studies investigating gene-gene interactions, gene-environment
interactions, as well as their mutual interactions will also be important.
PMID- 21881579
TI - Prevention of gravidic endothelial hypertension by aspirin treatment administered
from the 8th week of gestation.
AB - The aim of this study was to evaluate whether low doses of aspirin (100 mg per
day) administered to a homogeneous population of women early (8-10 weeks) during
their first pregnancy improved the outcome of gestation hypertensive disorders.
This study was performed at the Blida Hospital, where many early deliveries and
pregnancy complications are observed. A total of 164 women were either treated
(82) or used as controls (82). Treatment increased the gestation length by 12
days on average, thus triggering an approximate 150-g increase in newborn weight.
This consistently improved the outcome for all patients with respect to all
parameters investigated. Overall, the relative risk of developing hypertensive
disorders of gestation was reduced to 0.07 (confidence interval=0.01-0.51). In
our series, we did not observe deleterious consequences for the fetus
(teratogenicity and fetotoxicity) or adverse outcomes for the mothers. Despite
the limited number of patients analyzed, the present study is one of the largest
investigating early aspirin treatment of gestational hypertensive diseases. In
addition, the time of aspirin administration is among the earliest yet examined.
The data tend to confirm the results obtained from other cohorts on the overall
benefit of aspirin treatment for gestational disorders. In the future, molecular
or ultrasonographic markers of these diseases could help to screen patients
before applying the treatment.
PMID- 21881580
TI - Chronic kidney disease, 24-h blood pressure burden and their effects on silent
cerebral injury and cognitive impairment: might age serve as a modulator?
PMID- 21881581
TI - Is CKD a surrogate marker for predicting cognitive impairment?
PMID- 21881582
TI - UBE3C genetic variations as potent markers of nasal polyps in Korean asthma
patients.
AB - The human ubiquitin protein ligase E3C (UBE3C) regulates airway inflammatory
responses and is hypothesized to be associated with the presence of nasal polyps
in asthma-related diseases. A total of 24 UBE3C single-nucleotide polymorphisms
(SNPs) were genotyped in a 467 Korean asthma cohort that was stratified into more
homogenous phenotypes of 114 aspirin-exacerbated respiratory disease subgroup and
353 aspirin-tolerant asthma (ATA) subjects. Association analysis revealed that 16
UBE3C SNPs were significantly associated with presence of nasal polyps in the
overall asthma group (P=0.0008 and P(corr)=0.01; odds ratio (OR)=0.60). The
strength of association from 10 polymorphisms was increased in the ATA subgroup
(P=0.0002 and P(corr)=0.003; OR=0.49). In addition, UBE3C_ht1 was found to be
consistently associated with nasal polyps in the overall asthmatics group
(P=0.006) and the ATA phenotype (P=0.002; P(corr)=0.02) via a codominant
mechanism. Our findings provide evidence that variations in UBE3C are potent
genetic markers of nasal polyps development in Korean asthmatics and may
contribute novel insights into the clinical relevance and potential involvement
of UBE3C in respiratory deficiencies.
PMID- 21881583
TI - CD9 is critical for cutaneous wound healing through JNK signaling.
AB - Cutaneous injury triggers a cascade of signaling events essential for wound re
epithelialization. CD9, a cell-surface protein, has been implicated in a number
of cellular processes by coupling to intracellular signaling; however, its exact
role in wound healing remains unidentified. We reported that CD9 was
downregulated in migrating epidermis, and reelevated to basal level when re
epithelialization was completed. Although low level of CD9 appears to be required
for normal wound healing, a significant healing delay was found in CD9-null mice,
with wounds gaping wider on day 5 and day 7 post wounding. Further analysis
showed that re-epithelialization was adversely affected in CD9-null mice, due to
impaired migration of epidermis. Notably, CD9 deficiency caused a persistent
enhancement of C-JUN NH2 terminal kinase (JNK) signaling primarily in migrating
epidermis with abnormal elevation of matrix metalloproteinase (MMP)-9 detected in
CD9-null wounds, leading to excessive degradation of type IV collagen, and thus a
defective basement membrane at the wound site. JNK suppression reduced MMP-9
production and therefore ameliorated the healing delay with the appearance of
significantly elongated migrating epidermis in CD9-null mice. Our study
demonstrated the importance of CD9 in wound re-epithelialization, linking this
molecule directly to basement membrane formation and epidermal migration through
participating in the regulation of the JNK/MMP-9 pathway.
PMID- 21881584
TI - Inter-regulation of Th17 cytokines and the IL-36 cytokines in vitro and in vivo:
implications in psoriasis pathogenesis.
AB - Accumulating evidence indicates that IL-1 family members and Th17 cytokines have
a pathogenic role in psoriasis. We investigated the regulatory interactions of
the IL-1-like IL-36 cytokine family and the Th17 cytokines in the context of skin
inflammation. We observed increased gene expression of all three IL-36 cytokines
in a Th17-dominant psoriasis-like animal model. The induction was downregulated
by neutralizing IL-22. Expression of the IL-36s was also induced in cultured
primary human keratinocytes (KC) by IL-17A and tumor necrosis factor (TNF)-alpha,
and IL-22 synergized with IL-17A and TNF-alpha. Furthermore, the IL-36s directly
induced their own expression and the production of proinflammatory mediators (TNF
alpha, IL-6, IL-8) in KC. These functions were markedly enhanced with the
addition of IL-17A or TNF-alpha to the cultures. Similarly, IL-36alpha and IL
36beta augmented IL-17A-mediated induction of antibacterial peptides. Finally, we
show that the increased gene expression of IL-36 correlated with Th17 cytokines
in the lesions of psoriatic patients. Our results indicate that the IL-36
cytokines are not only regulated by Th17 cytokines, but that they themselves can
regulate the expression and enhance the function of Th17 cytokines. We propose
that a feedback loop between the IL-36 and Th17 cytokines is involved in driving
cytokine expression in psoriatic tissues.
PMID- 21881585
TI - Dihydrotestosterone-inducible IL-6 inhibits elongation of human hair shafts by
suppressing matrix cell proliferation and promotes regression of hair follicles
in mice.
AB - Autocrine and paracrine factors are produced by balding dermal papilla (DP) cells
following dihydrotestosterone (DHT)-driven alterations and are believed to be key
factors involved in male pattern baldness. Herein we report that the IL-6 is
upregulated in balding DP cells compared with non-balding DP cells. IL-6 was
upregulated 3 hours after 10-100 nM DHT treatment, and ELISA showed that IL-6
was secreted from balding DP cells in response to DHT. IL-6 receptor (IL-6R) and
glycoprotein 130 (gp130) were expressed in follicular keratinocytes, including
matrix cells. Recombinant human IL-6 (rhIL-6) inhibited hair shaft elongation and
suppressed proliferation of matrix cells in cultured human hair follicles.
Moreover, rhIL-6 injection into the hypodermis of mice during anagen caused
premature onset of catagen. Taken together, our data strongly suggest that DHT
inducible IL-6 inhibits hair growth as a paracrine mediator from the DP.
PMID- 21881586
TI - Engineering melanoma progression in a humanized environment in vivo.
AB - To overcome the lack of effective therapeutics for aggressive melanoma, new
research models closely resembling the human disease are required. Here we report
the development of a fully orthotopic, humanized in vivo model for melanoma,
faithfully recapitulating human disease initiation and progression. To this end,
human melanoma cells were seeded into engineered human dermo-epidermal skin
substitutes. Transplantation onto the back of immunocompromised rats consistently
resulted in the development of melanoma, displaying the hallmarks of their
parental tumors. Importantly, all initial steps of disease progression were
recapitulated, including the incorporation of the tumor cells into their
physiological microenvironment, transition of radial to vertical growth, and
establishment of highly vascularized, aggressive tumors with dermal involvement.
Because all cellular components can be individually accessed using this approach,
it allows manipulation of the tumor cells, as well as of the keratinocyte and
stromal cell populations. Therefore, in one defined model system, tumor cell
autonomous and non-autonomous pathways regulating human disease progression can
be investigated in a humanized, clinically relevant context.
PMID- 21881587
TI - Characterization of the DNA copy-number genome in the blood of cutaneous T-cell
lymphoma patients.
AB - Cutaneous T-cell lymphoma (CTCL) is a heterogeneous non-Hodgkin's lymphoma that
may variably involve the skin, lymph nodes, and peripheral blood. Malignant
burden ranges from cutaneous patches and plaques with little evidence of blood
involvement to erythroderma often in association with frank leukemia, as in
Sezary syndrome. Toward a better understanding of the pathogenesis of this CD4+ T
cell malignancy, we conducted a high-resolution genomic analysis combining DNA
(23 samples) and mRNA (12 samples) data of peripheral blood isolates from CTCL
patients across a spectrum of stages. Strikingly, even patients with limited
involvement, e.g., normal CD4 counts, contained significant copy-number
alterations. Defining genomic characteristics of CTCL blood involvement included
gains on 8q and 17q, and deletions on 17p and chromosome 10. A consensus analysis
of 108 leukemic CTCL samples demonstrated global similarities among patients with
varied blood involvement, narrowing 38 of 62 loci. Toward an annotated framework
for in vitro testing, we also characterized genomic alterations in five CTCL cell
lines (HH, HUT78, PNO, SeAx, and Sez4), revealing intact core features of
leukemic CTCL. Together, these studies produce the most comprehensive view of the
leukemic CTCL genome to date, with implications for pathogenesis, molecular
classification, and potential future therapeutic developments.
PMID- 21881588
TI - Can we rely on the Dermatology Life Quality Index as a measure of the impact of
psoriasis or atopic dermatitis?
AB - The Dermatology Life Quality Index (DLQI) is a widely used health-related quality
of life measure. However, little research has been conducted on its
dimensionality. The objectives of the current study were to apply Rasch analysis
to DLQI data to determine whether the scale is unidimensional, to assess its
measurement properties, test the response format, and determine whether the
measure exhibits differential item functioning (DIF) by disease (atopic
dermatitis versus psoriasis), gender, or age group. The results show that there
were several problems with the scale, including misfitting items, DIF by disease,
age, and gender, disordered response thresholds, and inadequate measurement of
patients with mild illness. As the DLQI did not benefit from the application of
Rasch analysis in its development, it is argued that a new measure of disability
related to dermatological disease is required. Such a measure should use a
coherent measurement model and ensure that items are relevant to all potential
respondents. The current use of the DLQI as a guide to treatment selection is of
concern, given its inadequate measurement properties.
PMID- 21881589
TI - Ryanodine receptors are expressed in epidermal keratinocytes and associated with
keratinocyte differentiation and epidermal permeability barrier homeostasis.
AB - Ryanodine receptors (RyRs) have an important role as calcium channels in the
regulation of intracellular calcium levels in the nervous system and muscle. In
the present study, we investigated the expression of RyR in human epidermis.
Immunohistochemical studies and reverse transcription-PCR indicated the
expression of RyR type 1, 2, and 3 proteins in epidermal keratinocytes. The
expression level of each RyR subtype was higher in differentiating keratinocytes
than in proliferative cells. We also demonstrated the functional expression of
RyR by calcium imaging. In cultured human keratinocytes, application of the RyR
agonist 4-chloro-m-cresol (CMC) induced elevation of the intracellular calcium
concentration, and co-application of the RyR antagonist 1,1'-diheptyl-4,4'
bipyridinium dibromide (DHBP) blocked the elevation. Application of CMC
accelerated keratinocyte differentiation in vitro. On the other hand, topical
application of CMC after tape-stripping of hairless mouse skin delayed barrier
recovery, whereas application of an RyR antagonist, dantrolene or DHBP,
accelerated the barrier recovery. These results suggest that RyR expressed in
epidermal keratinocytes is associated with both differentiation of keratinocytes
and epidermal barrier homeostasis.
PMID- 21881590
TI - Human keratinocytes' response to injury upregulates CCL20 and other genes linking
innate and adaptive immunity.
AB - In the early stages of wound healing, keratinocytes (KCs) become "activated" and
release inflammatory molecules such as IL-1 and IL-8, which are linked to innate
immune responses and neutrophil recruitment. It is unclear, however, whether KCs
release molecules linked to adaptive immune responses, e.g., CCL20, in their
early state of activation without signals from infiltrating T cells. This study
aims to isolate the immediate alterations in protective and inflammatory gene
expression that occur in epidermal KCs, with a particular focus on molecules
associated with cell-mediated immunity. We used dispase-separated epidermis,
followed by intercellular disassociation by trypsinization, as a model for
epidermal injury. We obtained a pure population of KCs using flow cytometry. As a
control for uninjured epidermis, we performed laser capture microdissection on
normal human skin. Sorted KCs had an early burst of upregulated gene expression,
which included CCL20, IL-15, IL-23A, IFN-kappa, and several antimicrobial
peptides. Our results provide insight into the potential role of KCs as
contributors to cell-mediated inflammation, and expand knowledge about gene
modulation that occurs during early wound healing. Our findings may be relevant
to cutaneous diseases such as psoriasis, where micro-injury can trigger the
formation of psoriatic plaques at the site of trauma.
PMID- 21881592
TI - Oral administration of oleic or linoleic acid accelerates the inflammatory phase
of wound healing.
AB - The effects of oral ingestion of oleic (OLA) and linoleic (LNA) acids on wound
healing in rats were investigated. LNA increased the influx of inflammatory
cells, the concentration of hydrogen peroxide (H(2)O(2)) and cytokine-induced
neutrophil chemoattractant-2alphabeta (CINC-2alphabeta), and the activation of
the transcription factor activator protein-1 (AP-1) in the wound at 1 hour post
wounding. LNA decreased the number of inflammatory cells and IL-1, IL-6, and
macrophage inflammatory protein-3 (MIP-3) concentrations, as well as NF-kappaB
activation in the wound at 24 hours post wounding. LNA accelerated wound closure
over a period of 7 days. OLA increased TNF-alpha concentration and NF-kappaB
activation at 1 hour post wounding. A reduction of IL-1, IL-6, and MIP-3alpha
concentrations, as well as NF-kappaB activation, was observed 24 hours post
wounding in the OLA group. These data suggest that OLA and LNA accelerate the
inflammatory phase of wound healing, but that they achieve this through different
mechanisms.
PMID- 21881593
TI - High levels of CCL26 in blister fluid and sera of patients with bullous
pemphigoid.
PMID- 21881594
TI - Relationship between disease activity and type 1 interferon- and other cytokine
inducible gene expression in blood in dermatomyositis and polymyositis.
AB - The objective of this study was to evaluate the relationship between blood mRNA,
disease activity and treatment effects in a longitudinal study of patients with
dermatomyositis (DM) or polymyositis (PM). In all, 24 patients with DM or PM were
followed for up to 6 years (mean of 1.9 years) at 2-7 follow-up visits while
receiving standard clinical care. Clinical data and blood samples collected at 80
patient visits were used for the analysis of cytokine-induced gene expression for
the signaling pathways of type 1 interferon (IFN), tumor necrosis factor-alpha,
IL-1beta, granulocyte-monocyte colony-stimulating factor, IL-10 and IL-13. A type
1 IFN signature score, but not other cytokine signature scores in the blood of
patients with DM or PM, correlated highly with disease activity, decreased
significantly with immunomodulatory therapies and showed concordant changes with
major changes in disease activity. Type 1 IFN signature score in the blood
correlates with disease activity in longitudinal follow-up of individual patients
with DM or PM. The type 1 IFN-inducible gene transcripts in the blood have
potential utility for monitoring disease activity in patients with DM or PM.
PMID- 21881595
TI - Systemic in vivo lentiviral delivery of miR-15a/16 reduces malignancy in the NZB
de novo mouse model of chronic lymphocytic leukemia.
AB - Similar to human chronic lymphocytic leukemia (CLL), the de novo New Zealand
Black (NZB) mouse model has a genetically determined age-associated increase in
malignant B-1 clones and decreased expression of microRNAs miR-15a and miR-16 in
B-1 cells. In the present study, lentiviral vectors were employed in vivo to
restore miR-15a/16, and both the short-term single injection and long-term
multiple injection effects of this delivery were observed in NZB. Control
lentivirus without the mir-15a/16 sequence was used for comparison. We found that
in vivo lentiviral delivery of mir-15a/16 increased miR-15a/16 expression in
cells that were transduced (detected by GFP expression) and in sera when compared
with control lentivirus treatment. More importantly, mice treated with the miR
expressing lentivirus had decreased disease. The lentivirus had little systemic
toxicity while preferentially targeting B-1 cells. Short-term effects on B-1
cells were direct effects, and only malignant B-1 cells transduced with miR
15a/16 lentivirus had decreased viability. In contrast, long-term studies
suggested both direct and indirect effects resulting from miR-15a/16 lentivirus
treatment. A decrease in B-1 cells was found in both the transduced and non
transduced populations. Our data support the potential use of systemic lentiviral
delivery of miR-15a/16 to ameliorate disease manifestations of CLL.
PMID- 21881597
TI - Low-dose atorvastatin reduces ambulatory blood pressure in patients with mild
hypertension and hypercholesterolaemia: a double-blind, randomized, placebo
controlled study.
AB - Among several beneficial cardiovascular actions of statins, experimental studies
have suggested that statins may also induce a mild blood pressure (BP) reduction.
However, clinical data were controversial and the potential hypotensive statin
effect remains uncertain. This study aimed to investigate the effect of
atorvastatin on ambulatory BP in patients with mild hypertension and
hypercholesterolaemia. A total of 50 patients with mild hypertension and
hypercholesterolaemia participated in this double-blind, randomized, placebo
controlled study. Patients were randomized to either 10 mg atorvastatin or
placebo for 26 weeks. Background antihypertensive treatment, if any, remained
unchanged during follow-up. At baseline and study-end (26 weeks), ambulatory BP
monitoring and blood sampling for determination of standard biochemical and
safety parameters were performed in all participants. BP loads were defined as
the percentage of BP measurements exceeding the hypertension threshold of 140/90
mm Hg for daytime and 125/75 mm Hg nighttime period. Atorvastatin significantly
reduced 24-h systolic and diastolic BP (DBP; median (range)) as compared with
placebo (-5.0 (-21.0, 4.0) vs +1.0 (-6.0, 7.0) mm Hg, P<0.001 and -3.0 (-16.0,
2.0) vs +0.1 (-7, 4) mm Hg, P<0.01, respectively). Reductions in systolic and DBP
loads during follow-up were also evident in the atorvastatin, but not in the
placebo group. BP-lowering effects of atorvastatin were consistent in both
daytime and nighttime periods. This study shows a mild, but consistent throughout
the 24-h period BP-lowering effect of atorvastatin in patients with mild
hypertension and hypercholesterolaemia. This beneficial effect of atorvastatin on
BP may represent another pathway through which this drug class provides
cardiovascular risk reduction.
PMID- 21881598
TI - High prevalence and low awareness, treatment and control of hypertension in Asian
Indian women.
AB - Hypertension is an important public health problem in India. To determine its
prevalence, awareness, treatment and control among women, we performed a
nationwide study. Population-based studies among women aged 35-70 years were
performed in four urban and five rural locations. Stratified sampling was
performed and we enrolled 4608 (rural 2604 and urban 2004) of the targeted 8000
(57%). Demographic details, medical history, diet, physical activity,
anthropometry and blood pressure (BP) were recorded. Descriptive statistics are
reported. Logistic regression was performed to determine the association of
hypertension and its awareness, treatment and control with socioeconomic factors.
Age-adjusted prevalence of hypertension (known or BP>=140/>=90 mm Hg) was
observed in 1672 women (39.2%) (rural 746, 31.5%; urban 926, 48.2%). Significant
determinants of hypertension were urban location, greater literacy, high dietary
fat, low fibre intake, obesity and truncal obesity (P<0.01). Hypertension
awareness was noted in 727 women (42.8%), more in urban (529, 56.8%) than in
rural (198, 24.6%). Of these, 38.6% of the women were on treatment (urban 35.7,
rural 46.5) and of those treated, controlled blood pressure (<140 and <90 mm Hg)
was observed in 21.5% (urban 28.3 vs 10.2). Among hypertensive subjects,
treatment was noted in 18.3% (rural 13.1, urban 22.5) and control in 3.9% (rural
1.3, urban 5.9). A significant determinant of low awareness, treatment and
control was rural location (multivariate-adjusted P<0.05). There is a high
prevalence of hypertension in middle-aged Asian Indian women. Very low awareness,
treatment and control status are observed.
PMID- 21881596
TI - A spectrum of susceptibility to rheumatoid arthritis within HLA-DRB1:
stratification by autoantibody status in a large UK population.
AB - Previously-proposed rheumatoid arthritis (RA) HLA-DRB1 susceptibility and
protective models were compared, based on amino acids at positions 67-74 and
autoantibody combinations. 3 657 RA patients and 1 357 controls were studied
using logistic regression, with secondary stratification by anti-citrullinated
peptide antibodies(ACPA) and rheumatoid factor(RF). Susceptibility models were
based on previously defined HLA-DRB1 shared epitope(SE) subgroups. (70)DERAA(74),
D(70) and I(67) protective models were compared, adjusting for HLA-DRB1 SE. A
hierarchy of risk was observed within the HLA-DRB1 SE, particularly for ACPA
positive and RF-positive RA: HLA-DRB1(*)0401~(*)0404>(*)0101~(*)1001
((*)0404>(*)0101: P=0.0003). HLA-DRB1(*)0401/(*)0404 compound heterozygosity
conferred a risk similar to (*)0401 homozygosity (P=0.70). Protective effects of
D(70) and I(67) were similar. Predictions of the D(70) model fitted the data
better than those of the I(67) model. The protective effect of D(70) showed a
gene-dose effect (OR 0.82, 95% CI 0.73-0.92, P=5.8 * 10(-4)), but was only seen
in RA patients positive for RF or ACPA. HLA-DRB1 SE alleles were also associated
with ACPA-negative, RF-positive RA (OR 1.42 (1.15-1.76), P=0.0012). In
conclusion, HLA-DRB1 SE alleles show heterogeneity in RA susceptibility; their
major effect appears to be mediated by ACPA positivity, but a significant
association of HLA-DRB1 SE with RF-positive, ACPA-negative RA was also observed.
D(70) specifically protected against antibody-positive RA.
PMID- 21881599
TI - Cell death regulation during influenza A virus infection by matrix (M1) protein:
a model of viral control over the cellular survival pathway.
AB - During early infection, viruses activate cellular stress-response proteins such
as heat-shock proteins (Hsps) to counteract apoptosis, but later on, they
modulate these proteins to stimulate apoptosis for efficient viral dissemination.
Hsp70 has been attributed to modulate viral entry, transcription, nuclear
translocation and virion formation. It also exerts its anti-apoptotic function by
binding to apoptosis protease-activating factor 1 (Apaf-1) and disrupting
apoptosome formation. Here, we show that influenza A virus can regulate the anti
apoptotic function of Hsp70 through viral protein M1 (matrix 1). M1 itself did
not induce apoptosis, but enhanced the effects of apoptotic inducers. M1-small
interfering RNA inhibits virus-induced apoptosis in cells after either virus
infection or overexpression of the M1 protein. M1 binds to Hsp70, which results
in reduced interaction between Hsp70 and Apaf-1. In a cell-free system, the M1
protein mediates procaspase-9 activation induced by cytochrome c/deoxyadenosine
triphosphate. A study involving deletion mutants confirmed the role of the C
terminus substrate-binding domain (EEVD) of Hsp70 and amino acids 128-165 of M1
for this association. The M1 mutants, which did not co-immunoprecipitate with
Hsp70, failed to induce apoptosis. Overall, the study confirms the proapoptotic
function of the M1 protein during influenza virus infection.
PMID- 21881600
TI - The p75 receptor mediates axon growth inhibition through an association with PIR
B.
AB - The Nogo receptor and paired immunoglobulin-like receptor B (PIR-B) are receptors
for three myelin-derived axon-growth inhibitors, including myelin-associated
glycoprotein (MAG). In this study, we report that the p75 receptor is required
for the signal transduction of PIR-B, which interacted with p75 upon ligand
binding. In addition, p75 was required for activation of Src homology 2
containing protein tyrosine phosphatase (SHP), which is induced by MAG binding to
PIR-B. Mice carrying a mutation in the p75 gene showed promotion of axonal
regeneration after optic nerve injury. Thus, our results indicate that p75 has a
critical role in axon growth inhibition in specific neuronal tracts.
PMID- 21881601
TI - Metformin inhibits melanoma development through autophagy and apoptosis
mechanisms.
AB - Metformin is the most widely used antidiabetic drug because of its proven
efficacy and limited secondary effects. Interestingly, recent studies have
reported that metformin can block the growth of different tumor types. Here, we
show that metformin exerts antiproliferative effects on melanoma cells, whereas
normal human melanocytes are resistant to these metformin-induced effects. To
better understand the basis of this antiproliferative effect of metformin in
melanoma, we characterized the sequence of events underlying metformin action. We
showed that 24 h metformin treatment induced a cell cycle arrest in G0/G1 phases,
while after 72 h, melanoma cells underwent autophagy as demonstrated by electron
microscopy, immunochemistry, and by quantification of the autolysosome-associated
LC3 and Beclin1 proteins. In addition, 96 h post metformin treatment we observed
robust apoptosis of melanoma cells. Interestingly, inhibition of autophagy by
knocking down LC3 or ATG5 decreased the extent of apoptosis, and suppressed the
antiproliferative effect of metformin on melanoma cells, suggesting that
apoptosis is a consequence of autophagy. The relevance of these observations were
confirmed in vivo, as we showed that metformin treatment impaired the melanoma
tumor growth in mice, and induced autophagy and apoptosis markers. Taken
together, our data suggest that metformin has an important impact on melanoma
growth, and may therefore be beneficial in patients with melanoma.
PMID- 21881602
TI - Distribution of CD133 reveals glioma stem cells self-renew through symmetric and
asymmetric cell divisions.
AB - Malignant gliomas contain a population of self-renewing tumorigenic stem-like
cells; however, it remains unclear how these glioma stem cells (GSCs) self-renew
or generate cellular diversity at the single-cell level. Asymmetric cell division
is a proposed mechanism to maintain cancer stem cells, yet the modes of cell
division that GSCs utilize remain undetermined. Here, we used single-cell
analyses to evaluate the cell division behavior of GSCs. Lineage-tracing analysis
revealed that the majority of GSCs were generated through expansive symmetric
cell division and not through asymmetric cell division. The majority of
differentiated progeny was generated through symmetric pro-commitment divisions
under expansion conditions and in the absence of growth factors, occurred mainly
through asymmetric cell divisions. Mitotic pair analysis detected asymmetric
CD133 segregation and not any other GSC marker in a fraction of mitoses, some of
which were associated with Numb asymmetry. Under growth factor withdrawal
conditions, the proportion of asymmetric CD133 divisions increased, congruent
with the increase in asymmetric cell divisions observed in the lineage-tracing
studies. Using single-cell-based observation, we provide definitive evidence that
GSCs are capable of different modes of cell division and that the generation of
cellular diversity occurs mainly through symmetric cell division, not through
asymmetric cell division.
PMID- 21881603
TI - Tolerance to drug-induced cell death favours the acquisition of multidrug
resistance in Leishmania.
AB - The control of the protozoan parasite Leishmania relies on few drugs with unknown
cellular targets and unclear mode of action. Several antileishmanials, however,
were shown to induce apoptosis in Leishmania and this death mechanism was further
studied in drug-sensitive and drug-resistant Leishmania infantum. In sensitive
parasites, antimonials (SbIII), miltefosine (MF) and amphotericin B (AMB), but
not paromomycin (PARO), triggered apoptotic cell death associated with reactive
oxygen species (ROS). In contrast, Leishmania mutants resistant to SbIII, MF or
AMB not only failed to undergo apoptosis following exposure to their respective
drugs, but also were more tolerant towards apoptosis induced by other
antileishmanials, provided that these killed Leishmania via ROS production. Such
tolerance favored the rapid acquisition of multidrug resistance. PARO killed
Leishmania in a non-apoptotic manner and failed to produce ROS. PARO resistance
neither protected against drug-induced apoptosis nor provided an increased rate
of acquisition of resistance to other antileishmanials. However, the PARO
resistant mutant, but not SbIII-, MF- or AMB-resistant mutants, became rapidly
cross-resistant to methotrexate, a model drug also not producing ROS. Our results
therefore link the mode of killing of drugs to tolerance to cell death and to a
facilitated emergence of multidrug resistance. These findings may have
fundamental implications in the field of chemotherapeutic interventions.
PMID- 21881604
TI - Cell death in disease: from 2010 onwards.
AB - The strong interest in cell death, and the shift in emphasis from basic
mechanisms to translational aspects fostered the launch last year of the new
sister journal of Cell Death and Differentiation, named Cell Death and Disease,
to reflect its stronger focus towards clinical applications. Here, we review that
first year of activity, which reflects an enthusiastic response by the scientific
community. On the basis of this, we now launch two novel initiatives, the start
of a new section dedicated to cancer metabolism and the opening of a new
editorial office in Shanghai.
PMID- 21881606
TI - Roles of the Polycomb group proteins in stem cells and cancer.
AB - Polycomb group proteins have long been linked to the occurrence of different
forms of cancer. Polycomb proteins form at least two distinct complexes, the
Polycomb-repressive complexes 1 and 2 (PRC1 and PRC2). Some of the PRC complex
subunits have been found to be overexpressed in a variety of different tumors.
Epigenetic perturbations are likely to be the cause for transcriptional
misregulation of tumor suppressor genes and of certain cell fates. It is
especially critical for stem cells that their potential to self-renewal and to
differentiate is tightly controlled and properly orchestrated. Misregulation of
Polycomb protein levels often leads to either a block or unscheduled activation
of developmental pathways, thereby enhancing the proliferation capability of a
cell. The consequences of this misregulation have been linked to the
establishment of cancer stem cells, which can produce tumors through a
combination of increased self-renewal and the lack of complete cellular
differentiation. Cancer stem cells are believed to persist within tumors and to
elicit relapse and metastasis. In this review, we recapitulate the roles of
Polycomb proteins in stem cell biology, and the impact their misregulation can
have on cancer.
PMID- 21881605
TI - Targeting neonatal ischemic brain injury with a pentapeptide-based irreversible
caspase inhibitor.
AB - Brain protection of the newborn remains a challenging priority and represents a
totally unmet medical need. Pharmacological inhibition of caspases appears as a
promising strategy for neuroprotection. In a translational perspective, we have
developed a pentapeptide-based group II caspase inhibitor, TRP601/ORPHA133563,
which reaches the brain, and inhibits caspases activation, mitochondrial release
of cytochrome c, and apoptosis in vivo. Single administration of TRP601 protects
newborn rodent brain against excitotoxicity, hypoxia-ischemia, and perinatal
arterial stroke with a 6-h therapeutic time window, and has no adverse effects on
physiological parameters. Safety pharmacology investigations, and toxicology
studies in rodent and canine neonates, suggest that TRP601 is a lead compound for
further drug development to treat ischemic brain damage in human newborns.
PMID- 21881607
TI - Generation and characterization of antibodies specific for caspase-cleaved neo
epitopes: a novel approach.
AB - Apoptosis research has been significantly aided by the generation of antibodies
against caspase-cleaved peptide neo-epitopes. However, most of these antibodies
recognize the N-terminal fragment and are specific for the protein in question.
The aim of this project was to create antibodies, which could identify caspase
cleaved proteins without a priori knowledge of the cleavage sites or even the
proteins themselves. We hypothesized that many caspase-cleavage products might
have a common antigenic shape, given that they must all fit into the same active
site of caspases. Rabbits were immunized with the eight most prevalent exposed C
terminal tetrapeptide sequences following caspase cleavage. After purification of
the antibodies we demonstrated (1) their specificity for exposed C-terminal (but
not internal) peptides, (2) their ability to detect known caspase-cleaved
proteins from apoptotic cell lysates or supernatants from apoptotic cell culture
and (3) their ability to detect a caspase-cleaved protein whose tetrapeptide
sequence differs from the eight tetrapeptides used to generate the antibodies.
These antibodies have the potential to identify novel neo-epitopes produced by
caspase cleavage and so can be used to identify pathway-specific caspase cleavage
events in a specific cell type. Additionally this methodology may be applied to
generate antibodies against products of other proteases, which have a well
defined and non-promiscuous cleavage activity.
PMID- 21881609
TI - Nocturnal emissions.
PMID- 21881610
TI - Adaptation, redundancy or resilience.
PMID- 21881611
TI - The incredible shrinking organelle.
PMID- 21881612
TI - The great regulator. Basic research into the roles of RNA is steaming ahead, but
its commercial exploitation has stalled.
PMID- 21881613
TI - Testing time for telomeres. Telomere length can tell us something about disease
susceptibility and ageing, but are commercial tests ready for prime time?
PMID- 21881614
TI - What the UK public believes causes obesity, and what they want to do about it: a
cross-sectional study.
AB - Increases in the prevalence of obesity have led to calls for policy interventions
in the United Kingdom. Little is known, however, about how the public explains
overweight, or their support for interventions. Our research team recruited 500
adults (>=18 years of age) across the United Kingdom to complete a cross
sectional survey asking about beliefs concerning the causes of excess weight, and
support for particular policy interventions. Respondents completed questionnaires
in their homes with the assistance of an interviewer. Results suggested that
support for policy interventions was greatest when responsibility was attributed
to factors beyond individual control, with support for child-focused
interventions particularly high. The relationship is more complex than previous
studies suggest, as believing in the over-availability of unhealthy foods
predicted higher support for policy interventions, whereas beliefs in structural
explanations, such as cost, had little influence on support. Recognition of this
complexity may help to design more effective future policies to tackle obesity.
PMID- 21881615
TI - Black reefs: iron-induced phase shifts on coral reefs.
AB - The Line Islands are calcium carbonate coral reef platforms located in iron-poor
regions of the central Pacific. Natural terrestrial run-off of iron is non
existent and aerial deposition is extremely low. However, a number of ship
groundings have occurred on these atolls. The reefs surrounding the shipwreck
debris are characterized by high benthic cover of turf algae, macroalgae,
cyanobacterial mats and corallimorphs, as well as particulate-laden, cloudy
water. These sites also have very low coral and crustose coralline algal cover
and are call black reefs because of the dark-colored benthic community and
reduced clarity of the overlying water column. Here we use a combination of
benthic surveys, chemistry, metagenomics and microcosms to investigate if and how
shipwrecks initiate and maintain black reefs. Comparative surveys show that the
live coral cover was reduced from 40 to 60% to <10% on black reefs on Millennium,
Tabuaeran and Kingman. These three sites are relatively large (>0.75 km(2)). The
phase shift occurs rapidly; the Kingman black reef formed within 3 years of the
ship grounding. Iron concentrations in algae tissue from the Millennium black
reef site were six times higher than in algae collected from reference sites.
Metagenomic sequencing of the Millennium Atoll black reef-associated microbial
community was enriched in iron-associated virulence genes and known pathogens.
Microcosm experiments showed that corals were killed by black reef rubble through
microbial activity. Together these results demonstrate that shipwrecks and their
associated iron pose significant threats to coral reefs in iron-limited regions.
PMID- 21881616
TI - Coherent dynamics and association networks among lake bacterioplankton taxa.
AB - Bacteria have important roles in freshwater food webs and in the cycling of
elements in the ecosystem. Yet specific ecological features of individual
phylogenetic groups and interactions among these are largely unknown. We used 454
pyrosequencing of 16S rRNA genes to study associations of different
bacterioplankton groups to environmental characteristics and their co-occurrence
patterns over an annual cycle in a dimictic lake. Clear seasonal succession of
the bacterioplankton community was observed. After binning of sequences into
previously described and highly resolved phylogenetic groups (tribes), their
temporal dynamics revealed extensive synchrony and associations with seasonal
events such as ice coverage, ice-off, mixing and phytoplankton blooms. Coupling
between closely and distantly related tribes was resolved by time-dependent rank
correlations, suggesting ecological coherence that was often dependent on
taxonomic relatedness. Association networks with the abundant freshwater
Actinobacteria and Proteobacteria in focus revealed complex interdependencies
within bacterioplankton communities and contrasting linkages to environmental
conditions. Accordingly, unique ecological features can be inferred for each
tribe and reveal the natural history of abundant cultured and uncultured
freshwater bacteria.
PMID- 21881618
TI - Divergence with age in blood pressure in African-Caribbean and white populations
in England: implications for screening for hypertension.
AB - BACKGROUND: We assessed when blood pressure (BP) and hypertension begin to rise
in African-Caribbeans compared to the white population; and whether the change
relates to body mass index (BMI). METHODS: Secondary analysis of the cross
sectional Health Surveys for England among 22,723 participants (21,344 whites and
1,379 African-Caribbeans) adults aged >=18 years. RESULTS: The cubic spline
graphs showed a crossover (African-Caribbean greater than whites) at 30-40 years
in BP. Age-specific mean BP and hypertension prevalence data showed at 20-29
years African-Caribbean men were advantaged but not thereafter. There was little
difference in BMI in men. African-Caribbean women had lower systolic BP (but
higher prevalence of hypertension) at 20-29 years but higher BP and prevalence of
hypertension thereafter. African-Caribbean women had higher BMI than white women.
Regression showed an age and ethnicity interaction for systolic (0.076 mm Hg
greater increase per year, P = 0.054) and diastolic BP (0.068 mm Hg greater
increase per year (P = 0.009) and hypertension (OR equals 1.02, P = 0.004) in
African-Caribbean men, and diastolic BP in African-Caribbean women (0.057 mm Hg
greater increase per year, P = 0.017). Crossover was 28, 44, and 28 years for
systolic BP, diastolic BP and hypertension in men, respectively; and 40 years for
diastolic BP in women. CONCLUSIONS: Clinicians should be extra vigilant about
screening African-Caribbean patients from the age of 30 years. Detailed study is
needed to understand the still mysterious mechanisms for this crossover.
PMID- 21881617
TI - Sustainable syntrophic growth of Dehalococcoides ethenogenes strain 195 with
Desulfovibrio vulgaris Hildenborough and Methanobacterium congolense: global
transcriptomic and proteomic analyses.
AB - Dehalococcoides ethenogenes strain 195 (DE195) was grown in a sustainable
syntrophic association with Desulfovibrio vulgaris Hildenborough (DVH) as a co
culture, as well as with DVH and the hydrogenotrophic methanogen Methanobacterium
congolense (MC) as a tri-culture using lactate as the sole energy and carbon
source. In the co- and tri-cultures, maximum dechlorination rates of DE195 were
enhanced by approximately three times (11.0+/-0.01 MUmol per day for the co
culture and 10.1+/-0.3 MUmol per day for the tri-culture) compared with DE195
grown alone (3.8+/-0.1 MUmol per day). Cell yield of DE195 was enhanced in the co
culture (9.0+/-0.5 * 10(7) cells per MUmol Cl(-) released, compared with 6.8+/
0.9 * 10(7) cells per MUmol Cl(-) released for the pure culture), whereas no
further enhancement was observed in the tri-culture (7.3+/-1.8 * 10(7) cells per
MUmol Cl(-) released). The transcriptome of DE195 grown in the co-culture was
analyzed using a whole-genome microarray targeting DE195, which detected 102
significantly up- or down-regulated genes compared with DE195 grown in isolation,
whereas no significant transcriptomic difference was observed between co- and tri
cultures. Proteomic analysis showed that 120 proteins were differentially
expressed in the co-culture compared with DE195 grown in isolation.
Physiological, transcriptomic and proteomic results indicate that the robust
growth of DE195 in co- and tri-cultures is because of the advantages associated
with the capabilities of DVH to ferment lactate to provide H(2) and acetate for
growth, along with potential benefits from proton translocation, cobalamin
salvaging and amino acid biosynthesis, whereas MC in the tri-culture provided no
significant additional benefits beyond those of DVH.
PMID- 21881619
TI - General and central obesity, combined oral contraceptive use and hypertension in
Chinese women.
AB - BACKGROUND: Asians have different body fat distributions and disease
characteristics compared with Caucasians. The purpose of this study was to
evaluate general and central obesity, combined oral contraceptive (COC) use, and
their joint effects on the risk of hypertension in Chinese women. METHODS: A case
control study including 1,760 women (878 hypertensive cases and 882 normotensive
controls) was conducted in China. Body weight, height, waist circumference (WC),
blood pressure, serum lipids, and apolipoproteins were measured. History of
contraceptive use and relevant factors were investigated. Odds ratio (OR) with
95% confidence interval (CI) was estimated for hypertension-related factors under
unconditional logistic regression model. RESULTS: Our study showed that increased
body mass index (BMI), increased WC, and COC use were risk factors for
hypertension with an OR (95% CI) of 2.19 (1.69-2.83), 1.46 (1.13-1.88), and 1.26
(1.02-1.56), respectively. Compared with WC, BMI was more strongly associated
with hypertension risk (OR 3.40, 95% CI 2.28-5.05, for highest vs. lowest
quartile) and was a better predictor for blood pressure. COC users had a 1.39
fold (OR 1.39, 95% CI 1.14-1.69) increased risk compared with the nonusers, while
stopping COC was associated with a 36% reduced risk (OR 0.64, 95% CI 0.42-0.98).
The risk increased dramatically in combination of COC use with a BMI >=28 kg/m(2)
or WC >=90 cm (OR 8.02, 95% CI 5.05-12.74; OR 5.76, 95% CI 3.65-9.12,
respectively). CONCLUSIONS: General and central obesity, COC use, and their joint
effects significantly increased the risk of hypertension in Chinese women.
PMID- 21881620
TI - Role of the gut in visceral fat inflammation and metabolic disorders.
PMID- 21881621
TI - Expanding the Scope of Biocatalysis: Oxidative Biotransformations on Solid
Supported Substrates.
AB - Oxidative biocatalytic reactions were performed on solid-supported substrates,
thus expanding the repertoire of biotransformations that can be carried out on
the solid phase. Various phenylacetic and benzoic acid analogs were attached to
controlled pore glass beads via an enzyme-cleavable linker. Reactions catalyzed
by peroxidases (soybean and chloro), tyrosinase, and alcohol
oxidase/dehydrogenase gave a range of products, including oligophenols,
halogenated aromatics, catechols, and aryl aldehydes. The resulting products were
recovered following cleavage from the beads using alpha-chymotrypsin to
selectively hydrolyze a chemically non-labile amide linkage. Controlled pore
glass (CPG) modified with a polyethylene glycol (PEG) linker afforded
substantially higher product yields than non-PEGylated CPG or non-swellable
polymeric resins. This work represents the first attempt to combine solid-phase
oxidative biotransformations with subsequent protease-catalyzed cleavage, and
serves to further expand the use of biocatalysis in synthetic and medicinal
chemistry.
PMID- 21881622
TI - Time-reversal Techniques in Ultrasound-assisted Convection-enhanced Drug Delivery
to the Brain: Technology Development and In Vivo Evaluation.
AB - We describe a drug delivery method that combines Time-Reversal Acoustics (TRA)
with Convection-Enhanced Delivery (CED) to improve the delivery of therapeutics
to the interstitium of the brain. The Ultrasound-assisted CED approach (UCED)
circumvents the blood-brain barrier by infusing compounds through a cannula that
is inserted into the brain while simultaneously delivering ultrasound to improve
the penetration of pharmaceuticals. CED without ultrasound-assistance has been
used to treat a variety of neural disorders, including glioblastoma multiforme, a
malignancy that presents a very poor prognosis for patients. We describe a novel
system that is used to infuse fluids into the brain parenchyma while
simultaneously exposing the tissue to safe levels of 1-MHz, low intensity,
ultrasound energy. The system includes a combined infusion needle-hydrophone, a
10-channel ultralow-output impedance amplifier, a broad-band ultrasound
resonator, and MatLab(r)-based TRA control and user-interface. TRA allows easy
coupling of ultrasound therapy through the skull without complex phase-correction
and array design. The smart targeting UCED system has been tested in vivo and
results show it provides 1.5-mm spatial resolution for UCED and improves tracer
distribution in the brain over CED alone.
PMID- 21881628
TI - Computational and spectroscopic studies of organic mixed-valence compounds: where
is the charge?
AB - This article discusses recent progress by a combination of spectroscopy and
quantum-chemical calculations in classifying and characterizing organic mixed
valence systems in terms of their localized vs. delocalized character. A recently
developed quantum-chemical protocol based on non-standard hybrid functionals and
continuum solvent models is evaluated for an extended set of mixed-valence bis
triarylamine radical cations, augmented by unsymmetrical neutral triarylamine
perchlorotriphenylmethyl radicals. It turns out that the protocol is able to
provide a successful assignment to class II or class III Robin-Day behavior and
gives quite accurate ground- and excited-state properties for the radical
cations. The limits of the protocol are probed by the anthracene-bridged system
8, where it is suspected that specific solute-solvent interactions are important
and not covered by the continuum solvent model. Intervalence charge-transfer
excitation energies for the neutral unsymmetrical radicals are systematically
overestimated, but dipole moments and a number of other properties are obtained
accurately by the protocol.
PMID- 21881623
TI - Detailed Analysis for the Solvolysis of Isopropenyl Chloroformate.
AB - The specific rates of solvolysis (including those obtained from the literature)
of isopropenyl chloroformate (1) are analyzed using the extended Grunwald
Winstein equation, involving the N(T) scale of solvent nucleophilicity (S
methyldibenzothiophenium ion) combined with a Y(Cl) scale based on 1-adamantyl
chloride solvolysis. A similarity model approach, using phenyl chloroformate
solvolyses for comparison, indicated a dominant bimolecular carbonyl-addition
mechanism for the solvolyses of 1 in all solvents except 97% 1,1,1,3,3,3
hexafluoro-2-propanol (HFIP). An extensive evaluation of the outcomes acquired
through the application of the extended Grunwald-Winstein equation resulted in
the proposal of an addition-elimination mechanism dominating in most of the
solvents, but in 97-70% HFIP, and 97% 2,2,2-trifluoroethanol (TFE), it is
proposed that a superimposed unimolecular (S(N)1) type ionization is making a
significant contribution.
PMID- 21881629
TI - Dynamic electro-chemo-mechanical analysis during cyclic voltammetry.
AB - We report and validate a method for measuring the strain-response, sigma, of the
electrode potential of electrically conductive solids in a fluid electrolyte.
Simultaneously with cyclic voltammetry, the electrode is subjected to cyclic
elastic strain at frequencies of up to 100 Hz. We explore three independent
strategies for separating the cyclic variation of potential or current from the
voltammogram proper, and find that the results of all three are in quantitative
agreement. By means of an example we explore dominantly capacitive processes at a
gold electrode in H(2)SO(4) and HClO(4). The response parameter sigma is not
sensitive to the nature of the electrolyte. Yet, its value varies by more than a
factor of two in the potential interval investigated. The potential of largest
magnitude of sigma agrees closely with the potential of zero charge.
PMID- 21881630
TI - Kinetics of electron recombination of dye-sensitized solar cells based on TiO2
nanorod arrays sensitized with different dyes.
AB - The performance and electron recombination kinetics of dye-sensitized solar cells
based on TiO(2) films consisting of one-dimensional nanorod arrays (NR-DSSCs)
which are sensitized with dyes N719, C218 and D205, respectively, have been
studied. It has been found that the best efficiency is obtained with the dye C218
based NR-DSSCs, benefiting from a 40% higher short-circuit photocurrent density.
However, the open circuit photovoltage of the N719 based cell is 40 mV higher
than that of the organic dye C218 and D205 based devices. Investigation of the
electron recombination kinetics of the NR-DSSCs has revealed that the effective
electron lifetime, tau(n), of the different dye based NR-DSSCs shows the sequence
of C218 > D205 > N719. The higher V(oc) with the N719 based NR-DSSC is originated
from the more negative energy level of the conduction band of the TiO(2) film. In
addition, in comparison to the DSSCs with the conventional nanocrystalline
particles based TiO(2) films, the NR-DSSCs have shown over two orders of
magnitude higher tau(n) when employing N719 as the sensitizer. Nevertheless, the
tau(n) of the DSSCs with the C218 based nanorod arrays is only ten-fold higher
than that of the nanoparticles based devices. The remarkable characteristic of
the dye C218 in suppressing the electron recombination of DSSCs is discussed.
PMID- 21881631
TI - Niobium phosphates as new highly selective catalysts for the oxidative
dehydrogenation of ethane.
AB - Several niobium phosphate phases have been prepared, fully characterized and
tested as catalysts for the selective oxidation of ethane to ethylene. Three
distinct niobium phosphate catalysts were prepared, and each was comprised
predominantly of a different bulk phase, namely Nb(2)P(4)O(15), NbOPO(4) and
Nb(1.91)P(2.82)O(12). All of the niobium phosphate catalysts showed high
selectivity towards ethylene, but the best catalyst was Nb(1.91)P(2.82)O(12),
which was produced from the reduction of niobium oxide phosphate (NbOPO(4)) by
hydrogen. It was particularly selective for ethylene, giving ca. 95% selectivity
at 5% conversion, decreasing to ca. 90% at 15% conversion, and only produced low
levels of carbon oxides. It was also determined that the only primary product
from ethane oxidation over this catalyst was ethylene. Catalyst activity also
increased with time-on-line, and this behaviour was ascribed to an increase of
the concentration of the Nb(1.91)P(2.82)O(12) phase, as partially transformed
NbOPO(4), formed during preparation, was converted to Nb(1.91)P(2.82)O(12) during
use. Catalysts with predominant phases of Nb(2)P(4)O(15) and NbOPO(4) also showed
appreciable activity and selectivities to ethylene with values around 75% and 85%
respectively at 5% ethane conversion. The presence of phosphorous is required to
achieve high ethylene selectivity, as orthorhombic and monoclinic Nb(2)O(5)
catalysts showed similar activity, but displayed selectivities to ethylene that
were <20% under the same reaction conditions. To the best of our knowledge, this
is the first time that niobium phosphates have been shown to be highly selective
catalysts for the oxidation of ethane to ethylene, and demonstrates that they are
worthy candidates for further study.
PMID- 21881637
TI - Modulation of self-assembly and magnetism of Cu(II) grids in solution.
AB - Depending on the Cu(II)/ligand molar ratio, a pyrimidine-based ligand generates a
tetranuclear grid (1/1) or a dinuclear stick (2/1). EPR, MS and visible
spectroscopy studies suggest that dilution produces partial dissociation of the
grid in solution. Replacement of 2-H-pyrimidine by a 2-phenyl-triazine unit
prevents the dissociation of the grid. All these factors influence the magnetic
properties of the architectures herein involved.
PMID- 21881638
TI - Investigation of a fiber optic surface plasmon spectroscopy in conjunction with
conductivity as an in situ method for simultaneously monitoring changes in
dissolved organic carbon and salinity in coastal waters.
AB - A combination surface plasmon resonance (SPR) and conductivity sensor array was
developed and implemented to demonstrate the ability to differentiate among
changes in dissolved organic carbon (DOC) and salinity in coastal water. The
array is capable of achieving sufficient spatial and temporal data density to
better understand the cycling and fate of terrestrial DOC in coastal areas. DOC
is the second largest source of bioreactive carbon in the environment and plays a
key role in mediating microbial activity and generation of atmospheric CO(2). In
the coastal areas, the salinity is also an important property in many
applications, such as leak detection for landfill liners, saltwater intrusion to
drinking water, marine environment monitoring, and seasonal climate prediction.
Conductivity sensors are the industry standard for determining salinity in ocean
systems. However, both conductivity and refractive index sensors, such as SPR
spectroscopy based sensors, respond to salinity and DOC levels. To demonstrate
the capability of the SPR sensor and a conductivity sensor to collect
complimentary data useful in discrimination of salinity and DOC in coastal zone
water, conductivity, SPR, and temperature data were collected during passage from
the Juan de Fuca ridge area returning to the University of Washington docks.
PMID- 21881639
TI - Selective separation of structure-related alkaloids in Rhizoma coptidis with
"click" binaphthyl stationary phase and their structural elucidation with liquid
chromatography-mass spectrometry.
AB - It is a new task to separate structure-related compounds into a fraction
according to their structural characteristics in a complex traditional Chinese
medicine (TCM). This method makes separation of the components of the sample
simple and structural elucidation easy. In this study, selective separation of
alkaloids in Rhizoma coptidis was realized on a "click" binaphthyl column
possessing a planar conjugate structure. Three kinds of alkaloids, aporphine,
tetrahydroprotoberberine and protoberberine in Rhizoma coptidis showed better
retention than other compounds by virtue of pi-pi interactions with the
stationary phase. Moreover, the "click" binaphthyl column could distinguish the
aporphine and tetrahydroprotoberberine alkaloids possessing two benzene rings
from the protoberberine alkaloids possessing three benzene rings. After
separating on the "click" binaphthyl column, the fractions containing the
alkaloids were collected and then analyzed with liquid chromatography-mass
spectrometry (LC-MS). Totally, 23 alkaloids were identified, and among these
alkaloids, three tetrahydroprotoberberine, two aporphine and seven protoberberine
alkaloids were first found in Rhizoma coptidis. These newly found alkaloids are
minor compounds, and they are always neglected without eliminating the
interference of compounds in large amounts by pre-separation on the "click"
binaphthyl column. The typical fragmentation pathways of each class of alkaloids
were summarized to illustrate their structures. In the MS(2) spectrum, the loss
of a molecule of dimethylamine ((CH(3))(2)NH) was observed as the characteristic
loss of aporphine alkaloids. All the tetrahydroprotoberberine alkaloids would
undergo the Retro-Diels-Alder (RDA) fragmentation reaction in the MS(2)
fragmentation. For protoberberine alkaloids, different characteristic
fragmentations were observed with different skeleton structures.
PMID- 21881640
TI - Electrodeposition of unsubstituted iron phthalocyanine nano-structure film in a
functionalized ionic liquid and its electrocatalytic and electroanalysis
applications.
AB - We report a novel and facile electrodeposition method to fabricate a nano
structure film of the unsubstituted metal phthalocyanine on a glassy carbon
electrode (GCE). In this electrodeposition system, unsubstituted iron(II)
phthalocyanine (u-FePc) was chosen as the model complex of the unsubstituted
metalphthalocyanine, and the ionic liquid 1-octyl-3-methylimidazolium
trifluoroacetate was employed as the solvent and electrolyte, thus avoiding the
use of additional costly supporting electrolyte. Excellent electrocatalytic
performance of the u-FePc nano-structure film was first evaluated by
electrocatalytic oxidation of ascorbic acid (AA). Compared with the bare GCE, the
oxidation peak potential of AA at u-FePc/GCE shifted negatively about 264 mV, and
the oxidation peak current increased about 1.8 times. Furthermore, the as
prepared film was employed for the investigation of luminol
electrochemiluminescence (ECL) behavior in neutral solution, which showed
excellent performance including under selected experimental conditions, the ECL
intensity showing an acceptable linear relationship for luminol concentrations
between 5 * 10(-8) and 5 * 10(-6) M, and a linear response to H(2)O(2) over a
wide concentration range, from 1.0 * 10(-8) to 1.0 * 10(-5) M in 3.0 MUM luminol
solution.
PMID- 21881642
TI - Expedient entry to the piperazinohydroisoquinoline ring system using a sequential
Ugi/Pictet-Spengler/reductive methylation reaction protocol.
AB - An expedient entry to the piperazinohydroisoquinoline ring system present in the
tetrahydroisoquinoline antitumor alkaloids family is described. The synthetic
sequence involves: a sequential Ugi reaction followed by an N-Boc-deprotection
process and iminium formation with a spontaneous Pictet-Spengler cyclization and
reductive N-methylation, with all these processes performed in a two-operation
protocol in the same reaction flask.
PMID- 21881641
TI - Hemin/G-quadruplexes as DNAzymes for the fluorescent detection of DNA, aptamer
thrombin complexes, and probing the activity of glucose oxidase.
AB - Hemin/G-quadruplex catalyzes the H(2)O(2)-mediated oxidation of Amplex Red to the
fluorescent product resorufin. This process is implemented to develop hairpin
nucleic acid structures for the detection of DNA, to probe the catalytic activity
of glucose oxidase, to use the thrombin-aptamer complex as a catalytic readout
structure, and to quantitatively analyze telomere chain composition.
PMID- 21881643
TI - Versatile grafting approaches to star-shaped POSS-containing hybrid polymers
using RAFT polymerization and click chemistry.
AB - An alkyne-bearing polyhedral oligomeric silsesquioxane (POSS) core was used to
prepare POSS-containing polymer hybrids using 'grafting to' or 'grafting from'
strategies in combination with reversible chain transfer and click chemistry.
PMID- 21881644
TI - Effect of oxygen evolution catalysts on hematite nanorods for solar water
oxidation.
AB - Photochemical deposition of Co and Ni based oxygen evolution catalysts on
hematite nanorods cathodically shifted the onset potential of photocurrent near
to the flat band potential of hematite. A 9.5 fold enhancement in the
photocurrent density at 0.86 V vs. RHE compared to the parent hematite photoanode
was observed with the Ni-Bi/Fe(2)O(3) photoanode.
PMID- 21881646
TI - One-pot synthesis of cyclopentadienyl endcapped poly(2-ethyl-2-oxazoline) and
subsequent ambient temperature Diels-Alder conjugations.
AB - An efficient method for the preparation of cyclopentadienyl endcapped poly(2
ethyl-2-oxazoline) (PEtOx-Cp) via cationic ring-opening polymerization utilizing
sodium cyclopentadienide as a termination agent is presented. Subsequent Diels
Alder reactions with N-substituted maleimides proceed quantitatively at ambient
temperature. A block copolymer (PEtOx-b-PEG) is prepared employing maleimide
terminated poly(ethylene glycol).
PMID- 21881645
TI - Solubilization of pristine fullerene by the unfolding mechanism of bovine serum
albumin for cytotoxic application.
AB - A method for solubilization of pristine fullerene (C(60)) in water was proposed
by directly using bovine serum albumin (BSA) as a "solubilizer" by means of the
unfolding mechanism. C(60) aqueous solution with a small distribution size,
excellent dispersion stability and high dispersion concentration was obtained
without the use of derivatization and organic solvent.
PMID- 21881647
TI - Pentametallic lanthanide-alkoxide square-based pyramids: high energy barrier for
thermal relaxation in a holmium single molecule magnet.
AB - Pentametallic Ln complexes of formula [Ln(5)O(O(i)Pr)(13)] have been made, where
Ln(III) = Sm, Gd, Tb, Ho and Er; slow magnetisation relaxation to 33 K is
observed for the Ho complex with an energy barrier of ca. 400 K.
PMID- 21881648
TI - Synthesis of complexes bearing NH,NMe-substituted NHCs by oxidative addition of 2
halogenato-N-methylbenzimidazoles to Ni(0).
AB - Reaction of 2-X-N-methylbenzimidazole (X = chloro, iodo) with Ni(0) complexes in
the presence of dppe or PEt(3) and an external proton source yielded via an
oxidative addition reaction nickel(II) complexes bearing NH,NMe-functionalized
NHC ligands.
PMID- 21881649
TI - Structure and bonding in three-coordinate N-heterocyclic carbene adducts of
iron(II) bis(trimethylsilyl)amide.
AB - The molecular structures, chemical bonding and magnetochemistry of the three
coordinate iron(II) NHC complexes [(NHC)Fe{N(SiMe(3))(2)}(2)] (NHC = IPr, 2; NHC
= IMes, 3) are reported.
PMID- 21881650
TI - Prediction of gas solubilities in ionic liquids.
AB - Ionic liquids (of which it is estimated that there are at least one million
simple fluids) generate a rich chemical space, which is now just at the beginning
of its systematic exploration. Many properties of ionic liquids are truly unique
and, which is more important, can be finely tuned. Differential solubility of
industrial chemicals in ionic liquids is particularly interesting, because it can
be a basis for novel, efficient, environmentally friendly technologies. Given the
vast number of potential ionic liquids, and the impossibility of a comprehensive
empirical exploration, it is essential to extract the maximum information from
extant data. We report here some computational models of gas solubility. These
multiple regression- and neural network-based models cover a chemical space
spanned by 48 ionic liquids and 23 industrially important gases. Molecular
polarisabilities and special Lewis acidity and basicity descriptors calculated
for the ionic liquid cations and anions, as well as for the gaseous solutes, are
used as input parameters. The quality of fit "observed versus predicted Henry's
law constants" is particularly good for the neural network model. Validation was
established with an external dataset, again with a high quality fit. In contrast
to many other neural network models published, our model is no "black box", since
contributions of the parameters and their nonlinearity characteristics are
calculated and analysed.
PMID- 21881651
TI - Generation of clean iron nanocrystals on an ultra-thin SiO(x) film on Si(001).
AB - Upon exposure to Fe(CO)(5), the formation of pure cubic Fe nanocrystals with
dimensions up to ~75 nm is reported on ultra-thin SiO(x) films (thickness ~ 0.5
nm) on Si(001), which have been prepared in situ under UHV conditions. The active
centers for initial decomposition of Fe(CO)(5) resulting in the growth of the Fe
clusters are proposed to be SiO sites. After nucleation at these sites, further
crystal growth is observed due to autocatalytic dissociation of Fe(CO)(5) at room
temperature. The density of the Fe clusters can be increased by irradiating the
surface with a focused electron beam (15 keV) prior to gas exposure. The
formation of the active SiO sites upon electron irradiation is attributed to
oxygen desorption via the Knotek-Feibelman mechanism.
PMID- 21881652
TI - Differential diffusion effects on buoyancy-driven instabilities of acid-base
fronts: the case of a color indicator.
AB - Buoyancy-driven hydrodynamic instabilities of acid-base fronts are studied both
experimentally and theoretically in the case where an aqueous solution of a
strong acid is put above a denser aqueous solution of a color indicator in the
gravity field. The neutralization reaction between the acid and the color
indicator as well as their differential diffusion modifies the initially stable
density profile in the system and can trigger convective motions both above and
below the initial contact line. The type of patterns observed as well as their
wavelength and the speed of the reaction front are shown to depend on the value
of the initial concentrations of the acid and of the color indicator and on their
ratio. A reaction-diffusion model based on charge balances and ion pair mobility
explains how the instability scenarios change when the concentration of the
reactants are varied.
PMID- 21881653
TI - Predicting hydration Gibbs energies of alkyl-aromatics using molecular
simulation: a comparison of current force fields and the development of a new
parameter set for accurate solvation data.
AB - The Gibbs energy of hydration is an important quantity to understand the
molecular behavior in aqueous systems at constant temperature and pressure. In
this work we review the performance of some popular force fields, namely TraPPE,
OPLS-AA and Gromos, in reproducing the experimental Gibbs energies of hydration
of several alkyl-aromatic compounds--benzene, mono-, di- and tri-substituted
alkylbenzenes--using molecular simulation techniques. In the second part of the
paper, we report a new model that is able to improve such hydration energy
predictions, based on Lennard Jones parameters from the recent TraPPE-EH force
field and atomic partial charges obtained from natural population analysis of
density functional theory calculations. We apply a scaling factor determined by
fitting the experimental hydration energy of only two solutes, and then present a
simple rule to generate atomic partial charges for different substituted alkyl
aromatics. This rule has the added advantages of eliminating the unnecessary
assumption of fixed charge on every substituted carbon atom and providing a
simple guideline for extrapolating the charge assignment to any multi-substituted
alkyl-aromatic molecule. The point charges derived here yield excellent
predictions of experimental Gibbs energies of hydration, with an overall absolute
average deviation of less than 0.6 kJ mol(-1). This new parameter set can also
give good predictive performance for other thermodynamic properties and liquid
structural information.
PMID- 21881654
TI - Coulomb explosion of nitrogen and oxygen molecules through non-Coulombic states.
AB - We have systematically studied Coulomb explosion of nitrogen and oxygen molecules
in intense 8 and 24 fs laser pulses. In the experiment, we explicitly separated
all explosion pathways through coincident measurements. The high resolution
kinetic energy releases (KERs) and the exotic angular distributions of atomic
ions provide direct evidence that Coulomb explosion occurs through non-Coulombic
states. In the theory, we calculated dissociation potential energy curves (PECs)
of nitrogen and oxygen molecules and their multicharged molecular ions using
multiconfiguration second-order perturbation theory. The results indicate that
Coulomb potentials are close to the accurate PECs of multicharged molecular ions
only when the internuclear distance is larger than 3 A. In comparison with the
experimental observations and the theoretical calculations, we determined the
internuclear distance when Coulombic explosion occurs. It is near the equilibrium
distance of the neutral molecules in the case of 8 fs laser pulses and expands
gradually with the increase of the charge state of the molecular ions in the case
of 24 fs laser pulses.
PMID- 21881655
TI - Computational characterization of zeolite porous networks: an automated approach.
AB - An automated method has been developed to fully characterize the three
dimensional structure of zeolite porous networks. The proposed optimization-based
approach starts with the crystallographic coordinates of a structure and
identifies all portals, channels, and cages in a unit cell, as well as their
connectivity. We apply our algorithms to known zeolites, hypothetical zeolites,
and zeolite-like structures and use the characterizations to calculate important
quantities such as pore size distribution, accessible volume, surface area, and
largest cavity and pore limiting diameters. We aggregate this data over many
framework types to gain insights about zeolite selectivity. Finally, we develop a
continuous-time Markov chain model to estimate the probability of occupancy of
adsorption sites throughout the porous network. ZEOMICS, an online database of
structure characterizations and web tool for the automated approach is freely
available to the scientific community (http://helios.princeton.edu/zeomics/).
PMID- 21881656
TI - Probing the interaction of amorphous solid water on a hydrophobic surface:
dewetting and crystallization kinetics of ASW on carbon tetrachloride.
AB - Desorption of carbon tetrachloride from beneath an amorphous solid water (ASW)
overlayer is explored utilizing a combination of temperature programmed
desorption and infrared spectroscopy. Otherwise inaccessible information about
the dewetting and crystallization of ASW is revealed by monitoring desorption of
the CCl(4) underlayer. The desorption maximum of CCl(4) on graphene occurs at
~140 K. When ASW wets the CCl(4) no desorption below 140 K is observed. However,
the mobility of the water molecules increases with ASW deposition temperature,
leading to a thermodynamically driven dewetting of water from the hydrophobic
CCl(4) surface. This dewetting exposes some CCl(4) to the ambient environment,
allowing unhindered desorption of CCl(4) below 140 K. When ASW completely covers
the underlayer, desorption of CCl(4) is delayed until crystallization induced
cracking of the ASW overlayer opens an escape path to the surface. The subsequent
rapid episodic release of CCl(4) is termed a "molecular volcano". Reflection
absorption infrared spectroscopy (RAIRS) measurements indicate that the onset and
duration of the molecular volcano is directly controlled by the ASW
crystallization kinetics.
PMID- 21881657
TI - Excited-state calculations with TD-DFT: from benchmarks to simulations in complex
environments.
AB - In this perspective, we present an overview of recent progress on Time-Dependent
Density Functional Theory (TD-DFT) with a specific focus on its accuracy and on
models able to take into account environmental effects, including complex media.
To this end, we first summarise recent benchmarks and define an average TD-DFT
accuracy in reproducing excitation energies when a conventional approach is used.
Next, coupling of TD-DFT with models able to account for different kinds of
interactions between a central chromophore and nearby chemical objects (solvent,
organic cage, metal as well as semi-conducting surface) is investigated. Examples
of application to excitation properties are presented, allowing to briefly
describe several recent computational strategies. In addition, an extension of TD
DFT to describe a phenomenon involving interacting chromophores, e.g. the
electronic energy transfer (EET), is presented to illustrate that this
methodology can be applied to processes beyond the vertical excitation. This
perspective therefore aims to provide to non-specialists a flavour of recent
trends in the field of simulations of excited states in "realistic" situations.
PMID- 21881658
TI - A protic ionic liquid attenuates the deleterious actions of urea on alpha
chymotrypsin.
AB - We present a biocompatible ionic liquid, triethyl ammonium acetate (TEAA), that
attenuates the denaturation action of a non-ionic chaotrope, urea, on the
industrially relevant proteolytic enzyme alpha-chymotrypsin.
PMID- 21881659
TI - Slow photoelectron velocity-map imaging spectroscopy of the phenoxide and
thiophenoxide anions.
AB - High resolution anion photodetachment spectra of the phenoxide and thiophenoxide
anions were obtained with slow electron velocity-map imaging. The spectra show
transitions to the X(2)B(1) neutral states of both species and to the A(2)B(2)
state of the thiophenoxy radical. Comparison of the spectra with Franck-Condon
simulations allows several gas-phase vibrations to be assigned. The adiabatic
electron affinities are determined to be 2.2538(8) eV and 2.3542(6) eV for
phenoxy and thiophenoxy, respectively. The term energy of the A(2)B(2) state of
thiophenoxy is found to be 0.3719(9) eV, higher than the values reported in
photodissociation experiments of thiophenol.
PMID- 21881660
TI - Intercalation synthesis of functional hybrid materials based on layered simple
hydroxide hosts and ionic liquid guests--a pathway towards multifunctional
ionogels without a silica matrix?
AB - Functional hybrid materials on the basis of inorganic hosts and ionic liquids
(ILs) as guests hold promise for a virtually unlimited number of applications. In
particular, the interaction and the combination of properties of a defined
inorganic matrix and a specific IL could lead to synergistic effects in property
selection and tuning. Such hybrid materials, generally termed ionogels, are thus
an emerging topic in hybrid materials research. The current article addresses
some of the recent developments and focuses on the question why silica is
currently the dominating matrix used for (inorganic) ionogel fabrication. In
comparison to silica, matrix materials such as layered simple hydroxides, layered
double hydroxides, clay-type substances, magnetic or catalytically active solids,
and many other compounds could be much more interesting because they themselves
may carry useful functionalities, which could also be exploited for
multifunctional hybrid materials synthesis. The current article combines
experimental results with some arguments as to how new, advanced functional
hybrid materials can be generated and which obstacles will need to be overcome to
successfully achieve the synthesis of a desired target material.
PMID- 21881661
TI - Water-actuated microcapsules fabricated by microfluidics.
AB - We found a new water-actuated feature of poly(N-isopropylacrylamide) microgels
and fabricated microcapsules with this feature based on microfluidic double
emulsions. The microcapsules would release encapsulated actives by simple
hydration, while forming biphasic hybrid microparticles by gradual dehydration.
More complex microcapsules and hybrid microparticles could be produced by varying
flow rates and inner oil types. These novel microcapsules could potentially be
used for controllable storage or release of chemicals, fabrication of complex
microparticles and applications in biochemical fields.
PMID- 21881662
TI - First-principles studies on structural and electronic properties of GaN-AlN
heterostructure nanowires.
AB - The structural and electronic properties of core-shell, eutectic, biaxial and
superlattice GaN-AlN nanowires were studied through density functional theory
computations. Due to more surface dangling bonds, nanowires with smaller
diameters are energetically unfavorable. For the GaN-AlN heterostructure
nanowires, their electronic properties highly depend on the GaN content, axial
strain, configuration, and size. The valence bands are less affected by the GaN
content, while the conduction bands depend on it. Hydrogen-passivated nanowires
have much larger band gaps than their counterparts, since the surface states are
removed by saturating the dangling bonds with hydrogen atoms. Moreover, due to
multiple quantum-well structures, the confined electrons (holes) of superlattice
nanowires become more localized and the difference of the mobility between the
electron and hole becomes less apparent if the width of the barrier is larger.
These findings are of value for better understanding heterostructure nanowires
and their potential utilization.
PMID- 21881663
TI - Dioxaborirane: a highly reactive peroxide that is the likely intermediate in
borate catalysed electrophilic reactions of hydrogen peroxide in alkaline aqueous
solution.
AB - This paper reports on a kinetic and theoretical study into the borate mediated
reaction of dimethyl sulfide with hydrogen peroxide in both acid and alkaline
conditions. At high pH, whilst the kinetic data is consistent with the catalytic
species being monoperoxoborate, formed from the rapid equilibrium between
hydrogen peroxide and boric acid, DFT calculations show that this species is in
fact less reactive than hydrogen peroxide, requiring us to seek an alternative
catalytic mechanism. DFT provides an important insight for this, showing that
although boric acid and peroxoboric acid are primarily Lewis acids, they can
exhibit a small degree of Bronsted acidity, allowing, respectively, the
B(O)(OH)(2)(-) and HOOB(OH)(O)(-) anions to exist in small concentrations. Whilst
the peroxoborate anion, HOOB(OH)(O)(-), is predicted to have only marginal
catalytic activity, its tautomer, dioxaborirane, (HO)(2)BO(2)(-), a three
membered cyclic peroxide, has a very low activation barrier of 2.8 kcal/mol.
Hence, even though dioxaborirane is likely to be present in very low
concentrations, it is still sufficiently reactive for overall rate enhancements
to be observed for this system. This is the first literature report of this
species. The observed low selectivity observed for borate catalysed reactions of
hydrogen peroxide with a range of substituted phenyl methyl sulfides in our
previous study (D. M. Davies, M. E. Deary, K. Quill and R. A. Smith, Chem.-Eur.
J. 2005, 11, 3552-3558) is further evidence in favour of a highly reactive
catalytic species. At low pH, kinetic data shows that borate catalyses the
reaction between hydrogen peroxide and dimethyl sulfide; this is supported by DFT
calculations that predict peroxoboric acid to be an effective catalytic
intermediate, with an energy barrier of 7.4 kcal mol(-1) compared to 10.1 kcal
mol(-1) for the uncatalysed system. Nevertheless, the overall contribution of
this pathway is small because of the unfavourable equilibrium between hydrogen
peroxide and boric acid to form peroxoboric acid.
PMID- 21881664
TI - Photoelectrochemical, photophysical and morphological studies of electrostatic
layer-by-layer thin films based on poly(p-phenylenevinylene) and single-walled
carbon nanotubes.
AB - The preparation of multilayer films based on poly(p-phenylenevinylene) (PPV) and
carboxylic-functionalized single-walled carbon nanotubes (SWNT-COOH) by
electrostatic interaction using the layer-by-layer (LbL) deposition method is
reported herein. The multilayer build-up, monitored by UV-Vis and
photoluminescence (PL) spectroscopies, displayed a linear behavior with the
number of PPV and SWNT-COOH layers deposited that undergo deviation and spectral
changes for thicker films. Film morphology was evaluated by AFM and
epifluorescence microscopies showing remarkable changes after incorporation of
SWNT-COOH layers. Films without SWNT show roughness and present dispersed grains;
films with SWNT-COOH layers are flatter and some carbon nanotube bundles can be
visualized. The photoinduced charge transfer from the conducting polymer to SWNT
COOH was analyzed by PL quenching either by the decrease of the emission
intensity or by the presence of dark domains in the epifluorescence micrographs.
Photoelectrochemical characterization was performed under white light and the
films containing SWNT-COOH displayed photocurrent values between 2.0 MUA cm(-2)
and 7.5 MUA cm(-2), as the amount of these materials increases in the film. No
photocurrent was observed for the film without carbon nanotubes. Photocurrent
generation was enhanced and became more stable when an intermediate layer of
PEDOT:PSS was interposed between the active layer and the ITO electrode,
indicating an improvement in hole transfer to the contacts. Our results indicate
that these multilayer films are promising candidates as active layers for organic
photovoltaic cells.
PMID- 21881665
TI - Sensitivity improvement in laser ablation inductively coupled plasma mass
spectrometry achieved using a methane/argon and methanol/water/argon mixed gas
plasma.
AB - The influence of the addition of carbon using methane or methanol/water to an
inductively coupled plasma (ICP) via the carrier gas flow on the sensitivity in
laser ablation-inductively coupled plasma-mass spectrometry (LA-ICP-MS) was
studied. During the ablation of SRM NIST 610 with simultaneous addition of CH(4)
(0.6-1.4 ml min(-1)), a sensitivity enhancement of more than one order of
magnitude for selected analytes (e.g. (75)As(+)) was observed. In addition to the
sensitivity enhancement for As, Te, I and Se, also all other measured elements
showed a significantly enhanced sensitivity (minimum by a factor of 2). Potential
mechanisms for the observed intensity enhancement include charge transfer
reactions, a change in the ICP shape and a temperature increase in the plasma.
Furthermore, the aspiration of a methanol-water mixture into a cooled spray
chamber and the simultaneous addition to the laser ablated aerosol was
investigated. This type of mixing leads to a sensitivity enhancement up to a
factor of 20. To prevent clogging of the sampler cone and skimmer cone by carbon
deposition, a fast cleaning procedure for the interface is tested during running
ICP, which allows the application of such a set-up for specific applications.
PMID- 21881666
TI - Aptameric system for the highly selective and ultrasensitive detection of protein
in human serum based on non-stripping gold nanoparticles.
AB - A novel approach is proposed in this study for the development of an aptameric
assay system for protein based on non-stripping gold nanoparticles (NPs)
triggered chemiluminescence (CL) upon target binding. The strategy chiefly
depends on the formation of a sandwich-type immunocomplex among the capture
antibody immobilized on the polystyrene microwells, target protein and aptamer
functionalized gold NPs. Introduction of target protein into the assay system
leads to the attachment of gold NPs onto the surface of the microwells and thus
the assembled gold NPs could trigger the reaction between luminol and AgNO(3)
with a CL emission. Further signal amplification was achieved by a simple gold
metal catalytic deposition onto the gold NPs. Such an amplified CL transduction
allowed for the detection of model target IgE down to the 50 fM, which is better
than most existing aptameric methods for IgE detection. This new protocol also
provided a good capability in discriminating IgE from nontarget proteins such as
IgG, IgA, IgM and interferon. The practical application of the proposed gold NPs
based immunoassay was successfully carried out for the determination of IgE in 35
human serum samples. Overall, the proposed assay system exhibits excellent
analytical characteristics (e.g., a detection limit on the attomolar scale and a
linear dynamic range of 4 orders of magnitude), and it is also straightforward to
adapt this strategy to detect a spectrum of other proteins by using different
aptamers. This new CL strategy might create a novel technology for developing
simple biosensors in the sensitive and selective detection of target protein in a
variety of clinical, environmental and biodefense applications.
PMID- 21881667
TI - Fullerenol entrapment in calcite microspheres.
AB - Hybrid microspheres of calcium carbonate/fullerenol were synthesized and
characterized. Their morphology depends on the concentration of the fullerenol
solutions. XRD and FT-IR measurements proved that the mineral phase is
consistently calcite, while fluorescence confocal microscopy indicated that
fullerenol is homogenously included in the crystalline matrix.
PMID- 21881668
TI - Yeast cell wall particles: a promising class of nature-inspired microcarriers for
multimodal imaging.
AB - This communication demonstrates that yeast cell wall particles (YCWPs) are a
promising class of nature-inspired biocompatible microcarriers for the delivery
of amphipathic/lipophilic imaging reporters. When a paramagnetic MRI agent is
loaded, the longitudinal relaxivity per particle at 0.5 T is the highest ever
reported for Gd-based systems.
PMID- 21881669
TI - Local structural distortion of BaZr(x)Ti(1-x)O3 nanocrystals synthesized at room
temperature.
AB - Single crystalline, sub-15 nm BaZr(x)Ti(1-x)O(3) (0 <=x<= 1) nanocrystals were
synthesized at room temperature via the vapor diffusion sol-gel method. As
prepared nanocrystals exhibit noncentrosymmetric regions whose volume fraction
increases significantly upon substitution of small amounts of Zr(4+) for Ti(4+)
and reaches a maximum for substitution levels ranging from 10 to 20 mol%.
PMID- 21881670
TI - Cold heteromolecular dipolar collisions.
AB - Cold molecules promise to reveal a rich set of novel collision dynamics in the
low-energy regime. By combining for the first time the techniques of Stark
deceleration, magnetic trapping, and cryogenic buffer gas cooling, we present the
first experimental observation of cold collisions between two different species
of state-selected neutral polar molecules. This has enabled an absolute
measurement of the total trap loss cross sections between OH and ND(3) at a mean
collision energy of 3.6 cm(-1) (5 K). Due to the dipolar interaction, the total
cross section increases upon application of an external polarizing electric
field. Cross sections computed from ab initio potential energy surfaces are in
agreement with the measured value at zero external electric field. The theory
presented here represents the first such analysis of collisions between a (2)Pi
radical and a closed-shell polyatomic molecule.
PMID- 21881671
TI - Thiourea dioxide promoted efficient organocatalytic one-pot synthesis of a
library of novel heterocyclic compounds.
AB - The utility of thiourea dioxide as an efficient organocatalyst for the library
synthesis of novel heterocyclic compounds via one-pot multicomponent coupling
reactions is disclosed. Thiourea dioxide is an inexpensive and readily accessible
catalyst, resulting in better product yields as compared to the corresponding
thiourea as catalyst. Thiourea dioxide is found to be insoluble in various
organic solvents and therefore at the end of the reaction products can be
separated by extraction with diethyl ether and the recovered catalyst can be used
several times with consistent catalytic activity.
PMID- 21881672
TI - Highly sensitive rapid chemiluminescent immunoassay using the DNAzyme label for
signal amplification.
AB - A novel trace tag for chemiluminescent (CL) immunoassay was designed by using
DNAzyme to functionalize antibody-labeled Au nanoparticles (AuNPs). The trace tag
showed an excellent ability to catalyze the oxidation of luminol by hydrogen
peroxide, leading to strong CL emission. By coupling the trace tag with a passive
mixing accelerated immunoreaction system, a highly sensitive rapid flow-through
CL immunoassay method was proposed. Using carcinoembryonic antigen (CEA) as a
model analyte, the capture antibody for CEA was immobilized on paramagnetic
microspheres, and DNAzyme-anti-CEA antibody functionalized AuNPs were prepared as
trace tag. A three-dimensional helical glass tube kept at 37 degrees C in a
water bath was used for passively mixing immunoreagents in a two-step sandwich
immunoassay, with which each immunoreaction step could be finished within 150 s.
With the help of a magnet, the immunocomplex could conveniently be separated from
reactants. Compared with the horseradish peroxidase-based tag, the newly designed
trace tag showed obvious signal amplification due to its strong catalytic ability
and high loading ratio of DNAzyme on each AuNP. The proposed method showed a
linear calibration range from 0.005 to 0.5 ng mL(-1) for CEA detection with a
detection limit of 4.1 pg mL(-1) at a signal-to-noise ratio of 3 and acceptable
detection reproducibility. The assay results of clinical serum samples were in
acceptable agreement with the reference values. The designed immunoassay system
with ultrahigh sensitivity provided a programmable and low-cost approach for high
throughput clinical application.
PMID- 21881673
TI - The role of the atomic charges on the ligands and platinum(II) in affecting the
cis and trans influences in [PtXL(PPh3)2]+ complexes (X = NO3, Cl, Br, I; L = 4
substituted pyridines, amines, PPh3). A 31P NMR and DFT investigation.
AB - One bond Pt-P coupling constants (1)J(PtP) of a series of cationic complexes
[PtXL(PPh(3))(2)](+) (X = NO(3), Cl, Br, I; L = 4-Z-pyridines, Z = electron
withdrawing or releasing groups, 4a-k; or X = Cl, L = NH(3), PhCH(2)NH(2) and
(i)PrNH(2), 5a-c) have been used to establish the trans and cis influence
sequences of X and pyridines. The crystal structure of compound 4f(BF(4)) with Z
= (t)Bu has been resolved. In the pyridine complexes 4a-d (Z = H, variable X),
both the trans and cis influence series of the anionic ligands X decrease along
the same sequence I > Br > Cl > NO(3), as previously found for
[PtX(PPh(3))(3)](+) (X = NO(3), Cl, Br, I, 3a-d), however in 4a-d the cis
influence turns out to be more important than the trans. On the contrary, in
[PtCl(4-Z-py)(PPh(3))(2)](+) (4b,e-k) the sequence of the trans influence of the
4-Z-pyridines is opposite to that of the cis, the latter being Z = CN > CHO > Br
> PhCO > H > Me > (t)Bu > NH(2), i.e. the most basic pyridine gives rise to the
lowest cis influence. This correlation was found to hold also for complexes 5a-c
(L = amines). All the observed trends have been fully reproduced by B3LYP/def2
SVP DFT calculations, by looking at the relevant optimized bond lengths of
selected complexes of type 3, 4 and 5. Subsequent evaluation of the atomic
charges, by resorting to two independent methods, i.e., the Natural Bond Order
analysis of the wavefunction and the Bader's Quantum Theory of Atoms in
Molecules, allowed for rationalization of the origin of the cis and trans
influences. The negative charge on the nitrogen atoms of free pyridines becomes
more negative upon protonation and even more so when coordinated to the
[PtCl(PPh(3))(2)](+) moiety. The least negatively charged nitrogen atom of
coordinated pyridines is that of 4-CN-py (the highest cis influencing pyridine
derivative), which gives rise to the lowest positive charge on Pt, confirming the
relationship between the lowering of the charge on the metal ion and a high cis
influence. The trans influence can be described in terms of competition between
the charges on the two trans donor atoms. In contrast with the behaviour of
pyridines, the positive charge on the phosphorous atom of free PPh(3) increases
upon coordination to Pt(II), moreover the PPh(3) ligands acquire a substantial
positive charge, thus efficiently delocalising the charge of the cationic
complex.
PMID- 21881674
TI - Simultaneously targeting mitochondria and endoplasmic reticulum by photodynamic
therapy induces apoptosis in human lymphoma cells.
AB - Photodynamic therapy (PDT) and photodetection with protoporphyrin IX (PpIX)
precursors have widely been used in the diseases with abnormally proliferative
cells, but the mechanism of the modality is not fully understood yet. In this
study 70-95% of apoptotic cells after PDT with PpIX precursor, hexaminolevulinate
(HAL) in two human lymphoma cell lines, Namalwa and Bjab, were confirmed by
fluorescence microscopy, electron microscopy and flow cytometry. HAL-derived PpIX
was mainly distributed in the mitochondria and endoplasmic reticulum (ER), both
of which were initial targets after light exposure causing two major pathways
simultaneously involved in the apoptotic induction. One was the mitochondrial
pathway including the release of cytochrome c, cleavage of caspases-9/-3,
poly(ADP-ribose) polymerase and DNA fragmentation factor. The other was the ER
stress-mediated pathway triggering a transient increase in the cytosolic Ca(2+)
level after photodamage to the ER calcium pump protein SERCA2. The released
Ca(2+) further initiated the caspase-8 cleavage. The use of both extracellular
Ca(2+) chelator EGTA and intracellular Ca(2+) chelator BAPTA-AM confirmed that
such cytosolic Ca(2+) originated from the ER rather than extracellular Ca(2+)
containing medium. About 30% of the apoptosis was blocked with BAPTA-AM alone;
while a complete inhibition of such apoptosis was achieved with a combination of
the caspase-9 inhibitor Z-LEHD-FMK and caspase-8 inhibitor Z-IETD-FMK, thus
quantifying each role of the mitochondrial and ER pathways.
PMID- 21881675
TI - Hydrogen bonded supramolecular polymers in moderately polar solvents.
AB - Hydrogen bonded assemblies are usually decomposed by polar organic solvents.
However, we have succeeded in preparing a strongly associated supramolecular
polymer which forms viscous solutions in competitive solvents such as
tetrahydrofuran.
PMID- 21881676
TI - 17 e- rhenium dicarbonyl CO-releasing molecules on a cobalamin scaffold for
biological application.
AB - Cyanocobalamin (B(12)) offers a biocompatible scaffold for CO-releasing 17
electron dicarbonyl complexes based on the cis-trans-[Re(II)(CO)(2)Br(2)](0)
core. A Co-C=N-Re conjugate is produced in a short time and high yield from the
reaction of [Et(4)N](2)[Re(II)Br(4)(CO)(2)] (ReCORM-1) with B(12). The B(12)
Re(II)(CO)(2) derivatives show a number of features which make them
pharmaceutically acceptable CO-releasing molecules (CORMs). These cobalamin
conjugates are characterized by an improved stability in aqueous aerobic media
over the metal complex alone, and afford effective therapeutic protection against
ischemia-reperfusion injury in cultured cardiomyocytes. The non-toxicity (at MUM
concentrations) of the resulting metal fragment after CO release is attributed to
the oxidation of the metal and formation in solution of the ReO(4)(-) anion,
which is among the least toxic of all of the rare inorganic compounds.
Theoretical and experimental studies aimed at elucidating the aqueous chemistry
of ReCORM-1 are also described.
PMID- 21881677
TI - Integration of cell phone imaging with microchip ELISA to detect ovarian cancer
HE4 biomarker in urine at the point-of-care.
AB - Ovarian cancer is asymptomatic in the early stages and most patients present with
advanced levels of disease. The lack of cost-effective methods that can achieve
frequent, simple and non-invasive testing hinders early detection and causes high
mortality in ovarian cancer patients. Here, we report a simple and inexpensive
microchip ELISA-based detection module that employs a portable detection system,
i.e., a cell phone/charge-coupled device (CCD) to quantify an ovarian cancer
biomarker, HE4, in urine. Integration of a mobile application with a cell phone
enabled immediate processing of microchip ELISA results, which eliminated the
need for a bulky, expensive spectrophotometer. The HE4 level detected by a cell
phone or a lensless CCD system was significantly elevated in urine samples from
cancer patients (n = 19) than healthy controls (n = 20) (p < 0.001). Receiver
operating characteristic (ROC) analyses showed that the microchip ELISA coupled
with a cell phone running an automated analysis mobile application had a
sensitivity of 89.5% at a specificity of 90%. Under the same specificity, the
microchip ELISA coupled with a CCD had a sensitivity of 84.2%. In conclusion,
integration of microchip ELISA with cell phone/CCD-based colorimetric measurement
technology can be used to detect HE4 biomarker at the point-of-care (POC), paving
the way to create bedside technologies for diagnostics and treatment monitoring.
PMID- 21881678
TI - Modulating substrate specificity of histone acetyltransferase with unnatural
amino acids.
AB - Controlling the substrate specificity of enzymes is a major challenge for protein
engineers. Here we explore the effects of residue-specific incorporation of ortho
, meta- and para-fluorophenylalanine (oFF, mFF, pFF) on the selectivity of human
histone acetyltransferase (HAT) protein, p300/CBP associated factor (PCAF).
Varying the position of the fluorine group in the phenylalanine ring confers
different effects on the ability of PCAF to acetylate target histone H3 as well
as non-histone p53. Surprisingly, pFF-PCAF exhibits an increase in activity for
non-histone p53, while mFF-PCAF is selective for histone H3. These results
suggest that global incorporation of unnatural amino acids may be used to re
engineer protein specificity.
PMID- 21881679
TI - Patterns of indirect protein interactions suggest a spatial organization to
metabolism.
AB - It has long been believed that cells organize their cytoplasm so as to
efficiently channel metabolites between sequential enzymes. This metabolic
channeling has the potential to yield higher metabolic fluxes as well as better
regulatory control over metabolism. One mechanism for achieving such channeling
is to ensure that sequential enzymes in a pathway are physically close to each
other in the cell. We present evidence that indirect protein interactions between
related enzymes represent a global mechanism for achieving metabolic channeling;
the intuition being that protein interactions between enzymes and non-enzymatic
mediator proteins are a powerful means of physically associating enzymes in a
modular fashion. By analyzing the metabolic and protein-protein interactions
networks of Escherichia coli, yeast and humans, we are able to show that all
three species have many more indirect protein interactions linking enzymes that
share metabolites than would be expected by chance. Moreover, these interactions
are distributed non-randomly in the metabolic network. Our analyses in yeast and
E. coli show that reactions possessing such interactions also show higher flux
than do those lacking them. On the basis of these observations, we suggest that
an important role of protein interactions with mediator proteins is to contribute
to the spatial organization of the cell. This hypothesis is supported by the fact
that these mediator proteins are also enriched with annotations related to signal
transduction, a system where scaffolding proteins are known to limit cross-talk
by controlling spatial localization.
PMID- 21881680
TI - Dismal management of hypertension at primary level: does it reflect a failure of
patients, a failure of the system or a failure of doctors?
PMID- 21881681
TI - Late surgical treatment of tetralogy of Fallot.
AB - AIM: To study early post-operative results and follow up of patients over a year
old, operated on for tetralogy of Fallot (ToF). METHODS: This retrospective
analysis included 22 patients (14 male and eight female) with a mean age of 9.18
+/- 6.5 years (range 13.5 months to 26 years), who underwent complete repair of
ToF between April 2003 and June 2009. Data from patients' records, pre-operative
cardiac catheterisation studies, operative intervention, and pre-operative and
postoperative two-dimensional echocardiographic studies were reviewed. All
patients underwent complete repair including closure of ventricular septal defect
(VSD). A trans-annular patch was used in 12 patients while an infundibular patch
was used in 10 others. Patients were evaluated one, three, six and 12 months
after surgery, and annually thereafter. The duration of follow up was from eight
months to six years post surgery. RESULTS: Classical ToF was found in 10
patients. Twelve cases had associated anomalies: two patients with hypoplastic
pulmonary artery branches, two with arterial duct malformations, and eight had
proximal stenosis of the left branch of the pulmonary artery. NHYA class
distribution was as follows: class I: two patients; class II: five subjects;
class III: 10 patients; class IV: five subjects. The mean stay in hospital was 15
+/- 7 days. Two patients (9%) died during the early post-surgical period. At a
mean follow-up interval of 32 +/- 9 months, all patients were asymptomatic and in
NYHA class I. No late deaths occurred. In three patients, we registered isolated
monomorphic ventricular extrasystoles. The right ventricle outflow tract (RVOT)
pressure gradient was 29 +/- 1.5 mmHg in the acute post-surgical period and it
did not change significantly during follow up. The right ventricular function was
defined as normal in 95% of the patients in the study and was mildly depressed in
5%. CONCLUSION: Even if treated later in life, our study showed very good
surgical results of patients with ToF.
PMID- 21881682
TI - Colour M-mode superiority in evaluation of improvement in myocardial performance
indices following successful percutaneous coronary intervention (PCI).
AB - AIM: This study aimed at evaluating the early effects of successful elective
percutaneous coronary intervention (PCI) on systolic and diastolic function.
METHODS: We consecutively studied the systolic and diastolic function in 21
patients with stable coronary artery disease (CAD) and left ventricular ejection
fraction (LVEF) > 40% before and 48 hours after successful elective PCI. RESULTS:
Tei index and systolic indices (LVEF, regional wall motion abnormality score,
tricuspid annular plane systolic excursion and peak systolic velocity of mitral
and tricuspid annulus) did not change significantly. Among the diastolic indices,
only velocity propagation (Vp) improved significantly (from 42.9 +/- 10.8 to 51.8
+/- 10.7, p-value = 0.008) following PCI. Diastolic velocities, E/A ratio,
deceleration time (DT), early and late diastolic velocities of mitral annulus in
TDI, pulmonary vein systolic (PVs) and diastolic flow velocity (PVd) did not show
significant improvement. CONCLUSION: Propagation velocity of mitral inflow was
the earliest index to recover following successful PCI in patients with stable
CAD.
PMID- 21881683
TI - Health practitioners' state of knowledge and challenges to effective management
of hypertension at primary level.
AB - BACKGROUND: Patient- and physician-related factors impact on the management and
control of hypertension. OBJECTIVES: To systematically examine: (1) South African
primary care doctors' state of knowledge on the management of hypertension; (2)
primary health practitioners' knowledge on the South African hypertension
guidelines; (3) current approaches to management of hypertensive patients; and
(4) challenges to effective management of hypertension at primary level. METHODS:
A cross-sectional, observational study using a semi-structured questionnaire was
carried out in two large community health centres (CHCs) in the Cape Town
metropole. All 16 doctors employed at both CHCs were voluntarily enrolled, seven
(43.7%) of whom were female, with 14 (87.5%) younger than 40 years of age. The
majority (81.2%) of the doctors surveyed had been practicing for less than 10
years. RESULTS: Ten (62.5%) of the doctors surveyed aimed to treat hypertension
to target, and recommendations on lifestyle modifications were reportedly poorly
done. While 11 (68.8%) of the doctors were aware of the South African
hypertension guidelines, were (81.8%) of them were not conversant with the
contents thereof. Doctors estimated that only 35% of their patients are treated
to target. Poor patient adherence to prescribed treatment, language difficulty,
heavy patient load, medical staff shortages, and patient loss to follow up were
identified by the doctors as significant impediments to the effective management
of hypertension at the primary level of care. CONCLUSION: Primary healthcare
practitioners' knowledge regarding hypertension and the South African
hypertension guidelines is poor. Management of hypertension by these doctors is
sub-optimal. There are significant challenges to effective management of
hypertension at this level of care.
PMID- 21881684
TI - The state of heart disease in Sudan.
AB - Cardiovascular disease (CVD) is the leading cause of mortality worldwide and an
important cause of disability. In Africa, the burden of CVD is increasing rapidly
and it is now a public health concern. Epidemiological data on diseases is scarce
and fragmented on the continent. AIM: To review available data on the
epidemiology and pattern of heart disease in Sudan. METHODS: Data were obtained
from the Sudan Household Survey (SHHS) 2006, annual health statistical reports of
the Sudan Federal Ministry of Health, the STEPS survey of chronic disease risk
factors in Sudan/Khartoum, and journal publications. RESULTS: The SHHS reported a
prevalence of 2.5% for heart disease. Hypertensive heart disease (HHD), rheumatic
heart disease (RHD), ischaemic heart disease (IHD) and cardiomyopathy constitute
more than 80% of CVD in Sudan. Hypertension (HTN) had a prevalence of 20.1 and
20.4% in the SHHS and STEPS survey, respectively. There were poor control rates
and a high prevalence of target-organ damage in the local studies. RHD prevalence
data were available only for Khartoum state and the incidence has dropped from
3/1 000 people in the 1980s to 0.3% in 2003. There were no data on any other
states. The coronary event rates in 1989 were 112/100 000 people, with a total
mortality of 36/100 000. Prevalence rates of low physical activity, obesity, HTN,
hypercholesterolaemia, diabetes and smoking were 86.8, 53.9, 23.6, 19.8, 19.2 and
12%, respectively, in the STEPS survey. Peripartum cardiomyopathy occurs at a
rate of 1.5% of all deliveries. Congenital heart disease is prevalent in 0.2% of
children. CONCLUSION: Heart diseases are an important cause of morbidity and
mortality in Sudan. The tetrad of hypertension, RHD, IHD and cardiomyopathy
constitute the bulk of CVD. Hypertension is prevalent, with poor control rates. A
decline in rheumatic heart disease was seen in the capital state and no data were
available on other parts of the country. No recent data on IHD were available.
Peripartum cardiomyopathy and congenital heart disease occur at similar rates to
those in other African countries.
PMID- 21881685
TI - Progressive human immunodeficiency virus-associated vasculopathy: time to revise
antiretroviral therapy guidelines?
AB - Cardiovascular abnormalities were appreciated early in the epidemic of the
acquired immunodeficiency syndrome (AIDS), even before the aetiological agent,
human immunodeficiency virus (HIV) was isolated and characterised. The aetiology
and pathogenesis of cardiovascular disease in HIV infection is still the subject
of intense speculation, and is likely multi-factorial. HIV affects every aspect
of the cardiac axis, causing pericarditis, myocarditis, cardiomyopathy, coronary
artery disease and microvascular dysfunction, valvular heart disease, pulmonary
vascular disease and pulmonary hypertension, stroke and peripheral vascular
disease. HIV-associated vasculopathy is an increasingly recognised clinical
entity, causing high morbidity and increasing mortality in southern Africa,
particularly from stroke and cardiovascular disease. HIV causes disease of the
vascular tree, either by a direct effect on vascular or perivascular tissue, or
indirectly via immune complex-mediated mechanisms, associated opportunistic
infections and malignancies. As a result, highly active antiretroviral therapy
(HAART) may have an important role in controlling disease progression. We report
a case of histologically defined primary HIV vasculopathy in which the chance to
start HAART was initially missed and in which the patient progressed to require
bilateral amputations, but obtained disease quiescence upon commencement of
HAART.
PMID- 21881686
TI - Subclavian crush syndrome: a cause of pacemaker lead fracture.
AB - A 48-year-old male with a symptomatic 2:1 atrio-ventricular block and a dual
chamber pacemaker, implanted one year previously, was admitted due to a syncopal
episode. Pacemaker malfunction was identified as the cause of syncope. Subclavian
crush syndrome was the cause of the pacemaker malfunction. Its incidence,
consequences and management are discussed in this report.
PMID- 21881687
TI - Diagnosis of a congenitally corrected transposition of the great arteries in a 50
year-old multiparous woman.
AB - Congenitally corrected transposition of the great arteries (cc-TGA) is a rare
congenital heart disease. In the literature, few patients with this anomaly have
been reported to be asymptomatic until after the fifth decade. We describe a 50
year-old female with five pregnancies and successful deliveries, who was
unrecognised until late in her fifth decade.
PMID- 21881688
TI - The sensitivity of waist-to-height ratio in identifying children with high blood
pressure.
AB - We determined the sensitivity of waist-to-height ratio (WHtR) as a marker for
high blood pressure in children aged nine to 15 years (n = 1 131), from schools
in the North West province, South Africa. Anthropometric and blood pressure
measurements were taken. The sensitivity and specificity of the WHtR to identify
children with high blood pressure were evaluated. At a cut-off value of 0.5, 7.9%
of the girls and 3.4% of the boys had central adiposity. Thirteen per cent of the
children were hypertensive. The optimal WHtR cut-off value to identify children
with hypertension was 0.41 in both boys and girls. Positive correlations were
observed between anthropometric indices. Using linear regression analyses, age
and body mass index were significant predictors of high blood pressure in boys,
while for girls it was height and weight. Results suggest that adopting a WHtR
cut-off value < 0.5 could enhance the use of WHtR as a marker for high blood
pressure in children.
PMID- 21881689
TI - Malignant convulsive vasovagal syncope.
AB - A patient was referred for evaluation of repeated episodes of syncope with loss
of consciousness and convulsions. A dual-chamber pacemaker with rate-drop feature
was implanted.
PMID- 21881692
TI - New data support ivabradine use in chronic heart failure.
PMID- 21881693
TI - Torsades de pointes.
PMID- 21881694
TI - FDA approves rivaroxaban for prevention of deep-vein thrombosis in surgery.
PMID- 21881695
TI - South Africa's poor warfarin control raises questions of benefit above other
anticoagulant therapies in atrial fibrillation.
PMID- 21881696
TI - Obesity and platelet reactivity: the relationship remains uncertain.
PMID- 21881697
TI - ARBs for cardiovascular and renal protection in high-risk patients.
PMID- 21881698
TI - Safe use of ezetimibe plus simvastatin in high-risk vascular patients (with
chronic kidney disease and PAD).
PMID- 21881699
TI - Torulopsis glabrata endocarditis in a retro-positive individual.
PMID- 21881700
TI - Phospholipid inhibitors. State of the art.
AB - The antiphospholipid syndrome (APS) is defined by the association of arterial
and/or venous thrombosis and/or pregnancy complications with the presence of at
least one among the main antiphospholipid antibodies (aPL) (i. e., Lupus
anticoagulants, LA, IgG and/or IgM anticardiolipin antibodies, aCL, IgG and/or
IgM antibeta2-glycoprotein I antibodies, abeta2-GPI). Several clinical studies
have consistently reported that LA is a stronger risk factor for both arterial
and venous thrombosis compared to aCL and abeta2-GPI. In particular, LA activity
dependent on the first domain of beta2-GPI and triple aPL positivity are
associated with the risk of thrombosis and obstetrical complications.
Asymptomatic aPL-positive subjects do not require primary thromboprophylaxis.
Venous thromboembolism is the most common initial clinical manifestation of APS.
To prevent its recurrence indefinite anticoagulation is recommended. Long
duration treatment with warfarin or aspirin is used after a first cerebral
arterial thrombosis. Low molecular weight heparin (LMWH) with or without aspirin
is recommended to reduce the rate of obstetrical complications of APS pregnant
women.
PMID- 21881701
TI - BJCVS: 25 years of brilliant career.
PMID- 21881702
TI - The aorta, the elastic tissue and cystic medial necrosis.
PMID- 21881703
TI - The surgeon.
PMID- 21881704
TI - The 2000 Bernstein-Parsonnet score and EuroSCORE are similar in predicting
mortality at the Heart Institute, USP.
AB - OBJECTIVE: To evaluate the performance of 2000 Bernstein-Parsonnet (2000BP) and
additive EuroSCORE (ES) for predicting surgical mortality at the Heart Institute,
University of Sao Paulo. METHODS: A prospective observational design. Seven
hundred and seventy four patients were operated for coronary artery bypass graft,
valve or combined procedure between May and October, 2007, were analyzed. The
mortality was estimated with the 2000BP and ES. The correlation between expected
mortality and observed mortality was validated through calibration and
discrimination test. RESULTS: The patients were stratified into five groups for
the 2000BP and three for the ES. The Hosmer-Lemeshow test for 2000BP (P = 0.70)
and for ES (P = 0.39) indicate a good calibration. The ROC curve for the 2000BP =
0.84 and for the ES = 0.81 confirms that the models are good predictors
(P<0.001). CONCLUSION: Both models are similar and adequate in predicting
surgical mortality at the InCor-USP.
PMID- 21881705
TI - The implications of serum enzymes and coagulation activities in postinfarction
myocardial rupture.
AB - OBJECTIVE: Associations between cardiovascular diseases and serum enzymes or
coagulation activities have been sufficiently documented in patients with
myocardial infarction. However, the alterations of these biomarkers in patients
with postinfarction myocardial rupture have rarely been reported. The aim of this
study is to present the profiles of the markers in patients with postinfarction
myocardial rupture. METHODS: From 2004 to 2008, 19 consecutive patients were
referred to this hospital for surgical repair of postinfarction myocardial
rupture. Eight (42.1%) patients had free wall rupture, 5 (26.3%) had papillary
muscle rupture, 5 (26.3%) had ventricular septal rupture, and 1 (5.3%) had double
structure (ventricular septum + free wall) rupture. Thirteen patients survived
the operation, and 6 died. Laboratory findings including serum enzymes and
coagulation activities were collected and analyzed. RESULTS: The coagulation
markers and serum enzymes except for fibrinogen increased significantly after the
development of myocardial rupture. Statistical differences in D-dimer, partial
thromboplastin time, peak lactate dehydrogenase, peak creatine kinase and
creatine kinase fraction MB were found between non-survivors and survivors.
Troponin I values were elevated significantly during the early days after the
onset or surgical repair of myocardial rupture. Multivariant regression analysis
did not show any significant relationship between creatine phosphokinase fraction
MB (Y) and D-dimer (X1) or fibrinogen (X2). CONCLUSION: Myocardial rupture leads
to extremely high serum enzyme and coagulation activities except for fibrinogen
after the onset. The evaluation of these biomarkers may help in making diagnostic
and treatment decisions and in judging the clinical prognosis of such patients.
PMID- 21881707
TI - Long-term survival of octogenarian patients submitted to isolated coronary artery
bypass graft surgery.
AB - INTRODUCTION: An increasing number of octogenarian patients is undergoing
coronary artery bypass graft surgery (CABG). The short-term results of this
procedure have been broadly studied, but there are few national reports on long
term outcomes. OBJECTIVES: To describe hospital mortality and long-term survival
of patients aged > 80 years undergoing isolated CABG. METHODS: Retrospective
cohort study with 142 consecutive patients aged > 80 years undergoing isolated
CABG in the period between January/1996 and December/2007 in a Brazilian
reference center. Mean age (A+/- SD) was 82.3 A+/- 2.1 years, and 56.3% were
male. The prevalence of hypertension was 73.2%, of previous myocardial infarction
30.3%, of diabetes 26.8%, and of renal dysfunction (creatinine 3 2.0 mg/ml) was
4.9%. The median follow-up was 4.0 years, with a loss of 11.6% of patients.
Survival analysis was performed by the Kaplan-Meier method. RESULTS: Overall
hospital mortality was 14.8% (95% CI: 8.8 to 20.8), with a reduction of this rate
during the study period (1996-1999: 25.9%, 2000-2003: 15.8%, and 2004-2007:
8.6%). Mean survival was 6.5 years (95% CI: 5.5 to 7.5), and the survival rate at
1, 3 and 5 years was 79.4, 73.4 and 65.2%, respectively. CONCLUSION: Results are
in agreement with international reports. Mean survival was 6.5 years and the
survival rate at 5 years was 65.2%.
PMID- 21881706
TI - Nitrite exhaled breath condensate study in patients undergoing cardiopulmonary
bypass cardiac surgery.
AB - BACKGROUND: There is a relative lack of studies on postoperative changes in
nitrite (NO2 - ) concentrations, a marker of injury, following cardiac surgery.
In this context, investigations on how exhaled NO concentrations vary in the
postoperative period of cardiac surgery will certainly contribute to new clinical
findings. OBJECTIVE: The objective of this study was to compare the EBC NO levels
in both the pre and postoperative (24 hours) periods of cardiac surgery. METHODS:
Twenty - eight individuals were divided into three groups: 1) control, 2)
coronary artery bypass grafting, and 3) valve surgery. The nitrite (NO2 - )
levels were measured by chemiluminescence in blood samples and exhaled breath
condensate (EBC). Data were analyzed by the Mann - Whitney and Wilcoxon tests.
RESULTS: 1) Preoperatively, the EBC NO2 - levels from groups 2 and 3 patients
were higher than control individuals; 2) The postoperative (24 hours) NO2 -
levels in the EBC from group 3 patients were lower compared with preoperative
values; 3) The NO2 - levels in the plasma from group 2 patients were lower in the
preoperative compared with the postoperative (24h) values and; 4) Preoperatively,
there was no difference between groups 2 and 3 in terms of plasma NO2 -
concentrations. CONCLUSION: These data suggest that NO measurement in EBC is
feasible in cardiac surgery patients.
PMID- 21881708
TI - Risk factors for mediastinitis after coronary artery bypass grafting surgery.
AB - OBJECTIVE: Mediastinitis is a serious complication of median sternotomy and is
associated to significant morbidity and mortality. The aim of this study is to
identify risk factors for mediastinitis in patients undergoing coronary artery
bypass grafting (CABG), without the use of bilateral internal thoracic artery
(ITA), at the Division of Cardiovascular Surgery of Pronto Socorro Cardiologico
de Pernambuco - PROCAPE. METHODS: A retrospective study of 500 consecutive
patients operated on between May 2007 and April 2010. Ten preoperative variables,
seven intraoperative variables and seven postoperative variables possibly
involved in the development of postoperative mediastinitis were evaluated.
Univariate and multivariate logistic regression analyses were performed. RESULTS:
The incidence of mediastinitis was 5.6% (n=28), with a lethality rate of 32.1%
(n=9). In multivariate analysis using logistic regression, five variables
remained as independent risk factors: obesity (OR 2.60, 95% CI 1.11 to 6.68),
diabetes (OR 2.71, 95% CI 1.18 to 6.65), smoking (OR 2.10, 95% CI 1.12 to 4.67),
use of pedicled internal thoracic artery (OR 5.17, 95% CI 1.45 to 18.42) and on
pump CABG (OR 2.26, 95% CI 1.14 to 5.85). CONCLUSION: This study identified the
following independent risk factors for mediastinitis after CABG: obesity,
diabetes, smoking, use of pedicled ITA and on-pump CABG.
PMID- 21881709
TI - Pediatric cardiac surgery under the parents sight: a qualitative study.
AB - INTRODUCTION: Congenital heart defects can often be corrected through surgery,
providing for parents to expect a normal life, but the hospitalization experience
often early, causes more pain, for which surgery is the worst moment. OBJECTIVE:
The aim of this study was to analyze the experience of families of children
undergoing cardiac surgery and to identify the coping resources used by the
families. METHODS: A qualitative approach was the metodology of choice for this
study, which took place with six semi-structured interviews and 100 hours of
observation. Thematic analysis was used to understand the data. RESULTS: The
results were categorized into four themes: feelings and emotions facing the
illness of the child; heart disease under the watchful mother, mother and child
on the ICU and coping resources. The speech of mothers demonstrated the
importance of the heart due to its symbolism that enhances their emotional
fragility in the face of illness. Religiosity and a solid social network of
support were contributing factors for the maintenance of the adaptive behaviors.
The presence of mothers in all stages of the child's treatment contributed to
minimizing the suffering generated by hospitalization. CONCLUSION: The experience
of families was characterized by ambivalent feelings such as fear of death, guilt
and helplessness against the different stages of treatment. The anguish and
anxiety prevailed in the face of unknown situations when information were
required before therapeutic procedures, hospital routines and the actual life
situation of the families.
PMID- 21881710
TI - Prevalence of myocardium revascularization wound infections surgery.
AB - OBJECTIVE: To study the prevalence of wound infection on myocardial
revascularization surgery stitches as well as the microorganisms Causing,
predominant fri, acts and try to correlate it with the APACHE risk. METHODS: A
retrospective and transversal study, with analysis of the files of the 21
Patients with infected wounds myocardial revascularization operated Among 357
Patients Between the years of 2007 and 2009. Were the files checked on 2009.
RESULTS: There was in statistics Analyzing the Significance of the fri Patients.
The average of Patients Were old aged and the prevalence is similar to the index
found in literature, But There Are Variations about the microorganisms found all
over the years. CONCLUSION: Besides the raise of wound infections along the three
years, the prevalence Kept stable, once the numbers of surgeries maid raised
proportionately. Fri Significant is not a variable to the Occurrence of
myocardium revascularizations wound infection. Old aged people are more
predictable to this complication and the microorganism is Causing variable.
PMID- 21881711
TI - Thrombocytopenia in cardiac surgery: diagnostic and prognostic importance.
AB - OBJECTIVE: Patients undergo to cardiac surgery have more probability to develop
thrombocytopenia. The heparin induced thrombocytopenia happens in 5% of the
patients. The aim from this study was to evaluate the clinical importance from
the severe thrombocytopenia in postoperative cardiac surgical patients. METHODS:
It was included cardiac surgical patients with platelets < 150000 cel/mm3 during
firsts 24 h from postoperative. All patients underwent evaluation for four Ts
score (thrombocytopenia, use preview of heparin, thrombosis and platelets
decreased not related to heparin). In order to a four Ts score e" 6 was
considered as suggestive of heparin induced thrombocytopenia type II. The
mortality rate in intensive care (ICU) and hospital, length of stay, healthy
state and incidence from thrombosis were compared in patients with score > 6
(group 1) and < 6 (group 2). RESULTS: It was include 120 patients who met the
inclusions criterions. There was no difference between the groups in related to
age, gender, time of cardiopulmonary bypass and surgery. However, the incidence
of thrombosis was higher in group 1 (23% vs. 0%, P<0.0001), as well as the
greater score is related to higher hospital mortality rate. CONCLUSION: The score
> 6, in postoperative cardiac surgical patients, it is associated to higher
incidence of thrombosis as well as the greater score is related to higher
hospital mortality rate.
PMID- 21881712
TI - Pulmonary pressure checked by echocardiography in chagasic patients on the heart
transplant waiting list.
AB - INTRODUCTION: The patients suffering heart failure develop an increase in
pulmonary pressure because of a retrograde mechanism. The pulmonary hypertension
is a prognostic marker. OBJECTIVE: The aim of this study is to correlate
pulmonary hypertension measured by echocardiogram versus catheterization in pre
heart transplant patients on waiting list. METHODS: Data from 90 patients of the
Clinical Hospital UFMG were collected between 2004 and 2009. All the patients
took an echo and catheterization as an integral part of pre-heart transplant.
Mean age was 45.5 years old, 68 (75.6%) male. Fourty-two (46.7%) were Chagas'
disease patients, 32 (35.6%) presented idiopathic dilated cardiomyopathy, 10
(11.1%) had ischemic cardyomiopathy. RESULTS: The mean eco-PASP was 45 +/-
12mmHg). The mean cat-PASP was 47 +/-14mmHg. The eco-PASP-Chagas was 41.7 +/-12,5
mmHg and non-Chagas 47.6 +/-12.8 mmHg P=0.04. The cat-PASP-Chagas was 46 +/-12.1
mmHg and non-Chagas 48.7 +/-12.8 mmHg P=0.43. Eight patients had cat-PASP>60. The
correlation between eco-PASP and cat-PASP in Chagas' patients was r=0.45; P=0.008
and in the non-Chagas was r=0.66; P<0.001. The eco-PASP-Chagas>32,5mmHg has a
sensitivity of 79% and specificity of 75% to diagnose PH, with an area under the
curve of 0.819. The eco-PASP-non-Chagas>35.5 mmHg has a sensitivity of 82% and a
specificity of 70% to diagnose PH, with an area under the curve of 0.776.
CONCLUSIONS: There is a good correlation between eco-PASP and cat-PASP (r=0.54)
in pre-heart transplant patients. The eco-PASP was lower in the Chagas' group.
The echocardiogram is an important method to diagnosis and control pulmonary
pressure in pre-heart transplant, specifically in Chagas' patients. The
catheterization is still important to evaluate pulmonary reactivity during
vasodilation test.
PMID- 21881713
TI - Weight and height recovery in children with Down syndrome and congenital heart
disease.
AB - OBJECTIVE: To evaluate the impact of congenital heart diseases in growth of
children with Down syndrome (DS) and the weight-height recovery after surgical
correction. METHODS: Retrospective study of the DS patients between 1984 and
2007. Excluding the mosaics and/or patients with associated morbidities (n=165).
Calculated Z scores for weight (Zwb) and length (Zlb) at birth. Those patients
submitted to surgical correction (n= 60) these scores (Zw/Zh) were evaluated
before surgery and in subsequent periods to five years. Malnutrition was defined
as weight/height Z-score < 2.5. Used Chi-square test to verify the relation
between weight/length and age at the time of surgery and Student T test to
evaluate the postoperative (PO) time of recovery (P < 0.05). RESULTS: Means Zwb
(n = 162) and Zlb (n = 156) were -0.95 +/- 1.27 and -1.348 +/- 1.02. From the
total data (n = 165), 65.5% (n = 108) presented heart disease. Those submitted to
cardiac surgery (n = 60), Zw was below -2.5 in 55% (n = 33) and Zh in 60% (n =
36). After six months PO, 67.4% achieved Zw > 2.5. In one year, 85.7% achieved Zh
> 2.5. Dividing this group by age in tertiles at time of surgery no difference
was found. CONCLUSIONS: We concluded that malnutrition common in children with DS
since birth. DS children with congenital heart and surgical indication were
smaller and lighter than those without or with mild disease. PO recovery occurred
in 6 months for weight and one year for height, with no difference in the age at
the time of surgery.
PMID- 21881714
TI - Predictors of mortality in patients over 70 years-old undergoing CABG or valve
surgery with cardiopulmonary bypass.
AB - OBJECTIVE: To identify risk factors in septuagenarians and octogenarians
submitted to cardiovascular surgery with cardiopulmonary bypass (CPB). METHODS:
Per-operative variables of 265 patients over 70 years of age were analyzed. 248
(93.6%) were septuagenarians and 17 (6.4%) octogenarians. RESULTS: Overall
mortality did not differ between the groups, nor did the type of procedure (CABG
or valvular) (P=0.545). Pre-operative variables did not increase the death risk,
nor did the use of arterial or venous grafts (P=0.261), or the number of grafts
per patient (P=0.131). CPB and cross-clamp time are associated with higher
mortality. The survivors' group had an average CPB time of 70 +/- 27 minutes
while the non-survivors group 88.8 +/- 25.4 minutes (P<0.001). Cross-clamp time
in the survivors was 55.5 +/- 20 minutes, while 64.9 +/- 16 minutes in the non
survivors (P=0.014). Using multivariate logistic regression, CPB time is
associated with death (Pearson's chi square= 0.0056). CPB time over 75 minutes
presents an increased risk of death of 3.2 times (CI 95%: 1.3-7.9) over those
with CPB time < 75 minutes. Post-operative variables associated with increased
death rates: mechanical ventilation > 12 hours (P<0.001); ICU stay (P=0.033); re
exploration (P=0.001); inotropic support > 48 hours (P<0.001); use of blood
components (P<0.001). CONCLUSION: Overall mortality justifies the interventions.
CPB time greater than 75 minutes, mechanical ventilation over 12 hours, length of
ICU stay, need for reoperation, inotropic drug support over 48 hours, and use of
blood components are associated with a higher mortality rate.
PMID- 21881715
TI - Experimental study of pulsatile implantable electromechanical artificial
ventricle.
AB - OBJECTIVE: The objective is to present the results of the application this device
in experimental animals unloading only the left ventricle. METHODS: Between June
2002 and October 2009, were implanted in 27 calfs with age between 21/2 to 4
months and 80 to 100 kg of weight, with general anaesthesia and controled
ventilation, by mean of left thoracotomy a cannula in the apex of VE and a
lateral anastomose of a GTFE vascular graft tube in the descending portion of the
thoracic aorta, both connected to the device implanted below the diaphragm in the
subcutaneous (24) and intrathoracic (three). The cardiopulmonary bypass (BP) was
used in five calves, and directly introduce the outflow cannula in 22. RESULTS:
During the implant two and in the first hours of the post operative period (PO)
three deaths were observed, one related to the device. The survival between the
first and the six PO day was found in 17 calves and between day 8 and day 31 (PO)
in five all caused by clinical/surgical problems, and related to the device. The
hemodynamic impact by the systemic pressure analysis showed 20 to 40 mmHg
increase and the laboratory parameters showed lower levels of traumatic impact to
the blood and a good biocompatibility. CONCLUSIONS: This kind of research is
arduous and complex where at each experiment many problems are indentified in the
implantability and in the device, which are sistematic correct, to became
device/procedure safe and effective.
PMID- 21881716
TI - Long term results of septal myectomy in the treatment of obstructive hypertrophic
cardiomyopathy.
AB - OBJECTIVE: This study analyzed the clinical and echocardiographic late outcomes
of surgical septal myectomy in patients with obstructive hypertrophic
cardiomyopathy (OHCM). METHODS: We examined, retrospectively, 34 consecutive
adult patients (age 55.7+/-15.2 years) with OHCM operated on in our institution
from 1988 to 2008. Only four (11.8%) patients had family history of OHCM. Nine
(26.5%) patients were in New York Heart Association (NYHA) funcional class IV.
Thirty (88.2%) patients had solely OHCM, and four (11.8%) had OHCM associated
with coronary insufficiency. The surgical technique used in all patients was
septal myectomy performed through an aortotomy. RESULTS: In 26 (76.5%) patients
the mitral insufficiency due to systolic anterior motion, decreased after the
myectomy. Eight (23.5%) patients had mitral valve procedures. There was one
hospitalar death (2.9%). Two (5.9%) patients required permanent pacemaker for
complete heart block after the myectomy. The mean peak preoperative left
ventricular outflow tract (LVOT) obstruction gradient was 84.9+/-29.0 mmHg, and
decreased to 27.8+/-12.9 mmHg in the early postoperative and it was 19.2+/-11.2
mmHg in the late postoperative period (49.0+/-33.0 months). The NYHA functional
class improved from 3.1+/-0.8 to 1.4+/-0.5 in the postoperative period. Survival
free from death was 87.9% and survival free from cardiovascular events was 77.7%
with mean follow-up 9.6+/-8.4 years. CONCLUSION: Surgical septal myectomy can be
performed safely, with excellent survival, improvement from symptoms and relief
for LVOT obstruction in patients with OHCM. The early benefits were remained at
long term.
PMID- 21881717
TI - Surgical treatment of patent ductus arteriosus in adults.
AB - OBJECTIVE: To analyze 34 patients submitted to surgical treatment of patent
arterial duct with age beyond 18 years old. METHODS: Retrospective data collected
from patient's charts with more than eighteen years old, submitted to surgical
correction of patent arterial duct between 1997 and 2008 at Instituto do Coracao
da Faculdade de Medicina da Universidade de Sao Paulo. RESULTS: The mean age was
28.7 (18 a 53) years and 22 (64.7%) were female. The more prevalent symptom was
dyspnea (76.5%). Left lateral thoracotomy was used in 33 (97.1%); the DA was
sectioned and sutured in 25 (73.5%) cases and one patient needed cardiopulmonary
bypass support. There were eight (23.5%) calcified arterial duct and 12 (35.3%)
previous treatment with transcatheter devices were performed. The complication
rate was 32%, with one (2.9%) permanent vocal cord palsy. Two (5.8%) patients had
residual shunt less than 2mm. Transient left cord voice palsy was observed in 3
(8.8%) The procedure improves functional class (P< 0.0001) and no mortality was
observed. CONCLUSION: In this series, the surgical treatment of patent arterial
duct in adults could be done without mortality and low incidence of
complications.
PMID- 21881718
TI - Anatomical eponyms in Cardiology from to the 60s to the XXI century.
AB - BACKGROUND: Eponym from the Greek [epi, "upon"] + [onuma, name], is a person,
whether real or fictitious, after whom an item is named or thought to be named.
Eponymous terms are used every day in Medicine, in our clinical years, and they
have been part of the tradition of Medicine, culture, and history. Despite all
the inconvenience, all those who are no against eponym has only one statement:
"medical eponyms will continue to be used because there is a sense of history to
their use. They are use in contemporary life, eponyms are here to stay". METHODS:
The following study aims at to show the presence of current anatomical eponyms on
the best well-known Textbooks and Atlas of Human Anatomy, ranging from the oldest
to the newest one, comprising a period from 1960 until 2011, regarding the
cardiovascular system, particularly the heart. The three International Anatomical
Terminologies have been critical as the basis of our study. Exclusion criteria
were syndromes, diseases, signs, anomalies, surgical procedures, indexes, tests,
grading, and the methods, which are used as eponyms in Cardiology, once they are
not considered Anatomical Terms. It has been our intent to show that different
eponyms characterize the same anatomical structure. RESULTS: A list with the 25
most common eponyms listed by the three International Anatomical Terminologies is
listed in Table1. CONCLUSION: Should eponyms be abandoned? Of course not, once
they remain a useful reflection of medical history. We could prove to our journey
from 1960 to 2011, that the best well-known Atlas and Textbooks available do not
use so many anatomical eponyms in Cardiology. They are only 25 (without including
arteries, veins, and nerves of the cardiovascular system) and all the authors use
no more than 9 or 12 of them. We just want to alert the Health and Allied Health
Sciences Professional and students that we 'strongly recommend' not to use an
eponym when it is made at the expense of an anatomical structure.
PMID- 21881719
TI - Cystic medial necrosis: pathological findings and clinical implications.
AB - Cystic medial necrosis (CMN) is a disorder of large arteries, in particular the
aorta, characterized by an accumulation of basophilic ground substance in the
media with cyst-like lesions. CMN is known to occur in certain connective tissue
diseases such as Marfan syndrome, Ehlers-Danlos syndrome, and annuloaortic
ectasia, which usually result from degenerative changes in the aortic wall. The
relationships between CMN and congenital heart defects as well as other disorders
have been evidenced. The mechanisms are still controversial, even though many
molecular studies have been conducted. The aim of the present article is to
provide a comprehensive overview of the CMN lesion in terms of pathologic
features, clinical implications and etiologies based on molecular research
results.
PMID- 21881720
TI - Alveolar recruitment in patients in the immediate postoperative period of cardiac
surgery.
AB - Lung complications during postoperative period of cardiac surgery are frequently,
highlighting atelectasis and hypoxemia. Alveolar recruitment maneuvers have an
important role in the prevention and treatment of these complications. Thus, this
study reviewed and updated the alveolar recruitment maneuvers performance in the
immediate postoperative period of cardiac surgery. We noted the efficacy of
alveolar recruitment through different specific techniques and the need for
development of new studies.
PMID- 21881721
TI - Vacuum-assisted venous drainage in cardiopulmonary bypass and need of blood
transfusion: experience of service.
AB - OBJECTIVE: To report the experience with the vacuum-assisted venous drainage
(VAVD) technique in cardiopulmonary bypass (CPBP) and blood transfusion need.
METHODS: A retrospective study was made about data from 111 patients who were
operated, using VAVD between October 2006 and February 2008, at the Esperanca
Hospital, Recife, Pernambuco. The necessity of blood transfusion was verified on
the single group of patients who underwent VAVD, comparing with sex, age and
weigh, before the beginning of the CPBP and during the surgery, using Chi-square
test and t-student test. RESULTS: Before the beginning of the CPBP only 10% of
patients had need for blood transfusion and 12% during the surgery. It was
observed that 17% of women received blood transfusion versus 4.7% of men before
the beginning of CPBP (P=0.51), and 38% of women versus 9% of men during the
surgery (P<0.001). The weight of patients who received blood transfusion were
lower both before the beginning of the CPBP as during the surgery (P=0.049 e
P=0.001, respectively). CONCLUSION: The VAVD technique has been used safely and
satisfactorily, optimizing venous drainage during CPBP, in the hospital that
conducted the study. However, prospective and comparative investigations between
conventional drainage and VAVD are needed to better clarify this relation with
blood transfusion.
PMID- 21881722
TI - Ruptured thoracic aortic aneurysm in patient with systemic lupus erythematosus.
AB - It is reported a ruptured descending thoracic aortic aneurysm in a 25-year-old
systemic lupus erythematosus woman who underwent 19 years steroid therapy. She
was treated with 2 endovascular stent-grafts, discharged from hospital 13 days
after the procedure in good health. Three months later she returned with
hemorrhagic shock due to high digestive hemorrhage secondary to an aortic
esophageal fistula. She underwent to an open emergency surgery, and died during
the post-operative period.
PMID- 21881723
TI - Infected aneurysm of brachial artery after mitral valve infective endocarditis.
AB - We present a case of brachial artery infected aneurysm in a patient with
infective endocarditis caused by Streptococcus bovis. A 49-year-old man presented
with fever dyspnea and a pansystolic murmur with irradiation to axilla. The
echocardiogram revealed vegetation in native mitral valve. After mitral valve
replacement with bioprosthesis, it was observed pulsatile mass of five
centimeters in diameter at antecubital fossa of right upper limb. It was made the
diagnosis of infected aneurysm of the brachial artery, and the surgery was
performed successfully. The aim of this case report is to show a rare
complication after infective endocarditis.
PMID- 21881724
TI - Subvalvular aortic membrane resection.
PMID- 21881725
TI - Fontan postoperative complication: antegrade pulmonary flow.
PMID- 21881726
TI - Iseu Affonso da Costa, pioneer of the Brazilian heart surgery: my father, our
pride!
PMID- 21881727
TI - Lactate.
PMID- 21881729
TI - Pharmacological treatment of COPD.
PMID- 21881730
TI - The way forward in lymphangioleiomyomatosis: a trial for every patient, every
patient in a trial.
PMID- 21881731
TI - Doxycycline use in patients with lymphangioleiomyomatosis: safety and efficacy in
metalloproteinase blockade.
AB - OBJECTIVE: Lymphangioleiomyomatosis (LAM) is characterized by lung cysts, whose
development is associated with matrix metalloproteinase (MMP) hyperactivity,
principally that of MMP-2 and MMP-9. Our objective was to compare LAM patients
and controls in terms of the levels of these MMPs, as well as to determine the
safety and efficacy of treatment with doxycycline, a potent MMP inhibitor.
METHODS: Prospective clinical study involving female LAM patients who received
doxycycline (100 mg/day) for six months. Urine and blood samples were collected
for the quantification of MMP-2 and MMP-9 before and after the treatment period.
Samples from 10 healthy women were also collected. RESULTS: Of the 41 LAM
patients who started the treatment, 34 completed the protocol. Serum and urinary
MMP-9 levels were significantly lower in the controls than in the LAM patients (p
< 0.0001). Comparing pre- and post-treatment values, we found that the median
level of MMP-9 in serum decreased from 919 ng/mL to 871 ng/mL (p = 0.05), whereas
that of MMP-9 in urine decreased from 11,558 pg/mL to 7,315 pg/mL (p = 0.10).
After treatment, the median level of MMP-2 in serum was significantly lower (p =
0.04) and urinary MMP-2 levels were undetectable. Nausea, diarrhea, and
epigastric pain were the most prevalent adverse affects and were often self
limiting. There was only one case in which the patient discontinued the treatment
because of side effects. CONCLUSIONS: We have demonstrated, for the first time, a
decrease in serum and urine levels of MMPs in LAM patients treated with
doxycycline, which proved to be a safe medication, with mild and well-tolerated
side effects.
PMID- 21881732
TI - Clinical characteristics and prognosis in near-fatal asthma patients in Salvador,
Brazil.
AB - OBJECTIVE: To determine the frequency of near-fatal asthma in a group of severe
asthma patients, as well as the clinical characteristics and prognosis of these
patients within a one-year follow-up period. METHODS: A prospective study
involving 731 low-income patients with severe asthma treated at a referral
outpatient clinic located in the city of Salvador, Brazil. The patients were
submitted to spirometry at admission, received medications for asthma, and were
monitored regarding the frequency of asthma exacerbations during the follow-up
period. A subsample of 511 patients also completed questionnaires regarding
asthma symptoms and asthma-related quality of life. RESULTS: Of the 731 patients
studied, 563 (77%) were female. The median age was 47 years, and 12% were
illiterate. Most of the patients had rhinitis, and 70 patients (10%) reported
near-fatal asthma prior to admission. Of these 70 patients, 41 (59%) reported
having been intubated previously. The patients reporting a history of near-fatal
asthma at admission were more likely to have asthma exacerbations during the
follow-up period and to respond poorly to therapy than were those not reporting
such a history. At the end of the follow-up period, the scores on the two
questionnaires were similar between the two groups of patients. CONCLUSIONS: The
frequency of near-fatal asthma was high in this group of low-income patients with
severe asthma. The patients with a history of near-fatal asthma had a worse
prognosis than did those without such a history, although both groups had
received the same kind of treatment. Curiously, the intensity of symptoms and the
quality of life at the end of the study were similar between the two groups.
PMID- 21881733
TI - Epidemiological characteristics of sarcoidosis patients in the city of Rio de
Janeiro, Brazil.
AB - OBJECTIVE: To analyze the epidemiological characteristics of sarcoidosis patients
in the city of Rio de Janeiro, Brazil. METHODS: A descriptive, case-control study
involving 100 sarcoidosis patients under outpatient treatment between 2008 and
2010 at the Pedro Ernesto University Hospital, located in the city of Rio de
Janeiro, Brazil. The diagnosis of sarcoidosis was based on clinical,
radiological, biochemical, and histopathological criteria. RESULTS: There was a
predominance of females in the 35-40 year age bracket (range, 7-69 years), who
accounted for 65% of the sample, although there was a second peak at
approximately 55 years of age. The most common symptom was dyspnea (in 47%), and
the most common radiological findings were pulmonary and lymph node involvement
(stage II; in 43%), followed by stage III (in 20%), stage I (in 19%), stage 0 (in
15%), and stage IV (in 3%). No pleural effusion or digital clubbing was observed
at diagnosis. The tuberculin skin test was negative in 94 patients. Spirometric
findings at diagnosis were normal in 61 patients; indicative of obstructive lung
disease in 21; and indicative of restrictive lung disease in 18. The most common
biopsy sites were the lungs (principally by bronchoscopy) and the skin, the
diagnosis being confirmed by biopsy in 56% and 29% of the cases, respectively.
Treatment with prednisone was initiated in 75% of the patients and maintained for
more than 2 years in 19.7%. CONCLUSIONS: This study corroborates the findings of
previous studies regarding the epidemiological characteristics of sarcoidosis
patients.
PMID- 21881734
TI - Dyspnea descriptors developed in Brazil: application in obese patients and in
patients with cardiorespiratory diseases.
AB - OBJECTIVE: To develop a set of descriptive terms applied to the sensation of
dyspnea (dyspnea descriptors) for use in Brazil and to investigate the usefulness
of these descriptors in four distinct clinical conditions that can be accompanied
by dyspnea. METHODS: We collected 111 dyspnea descriptors from 67 patients and 10
health professionals. These descriptors were analyzed and reduced to 15 based on
their frequency of use, similarity of meaning, and potential pathophysiological
value. Those 15 descriptors were applied in 50 asthma patients, 50 COPD patients,
30 patients with heart failure, and 50 patients with class II or III obesity. The
three best descriptors, as selected by the patients, were studied by cluster
analysis. Potential associations between the identified clusters and the four
clinical conditions were also investigated. RESULTS: The use of this set of
descriptors led to a solution with seven clusters, designated sufoco
(suffocating), aperto (tight), rapido (rapid), fadiga (fatigue), abafado
(stuffy), trabalho/inspiracao (work/inhalation), and falta de ar (shortness of
breath). Overlapping of descriptors was quite common among the patients,
regardless of their clinical condition. Asthma was significantly associated with
the sufoco and trabalho/inspiracao clusters, whereas COPD and heart failure were
associated with the sufoco, trabalho/inspiracao, and falta de ar clusters.
Obesity was associated only with the falta de ar cluster. CONCLUSIONS: In Brazil,
patients who are accustomed to perceiving dyspnea employ various descriptors in
order to describe the symptom, and these descriptors can be grouped into similar
clusters. In our study sample, such clusters showed no usefulness in
differentiating among the four clinical conditions evaluated.
PMID- 21881735
TI - Dyspnea descriptors translated from English to Portuguese: application in obese
patients and in patients with cardiorespiratory diseases.
AB - OBJECTIVE: To investigate the usefulness of descriptive terms applied to the
sensation of dyspnea (dyspnea descriptors) that were developed in English and
translated to Brazilian Portuguese in patients with four distinct clinical
conditions that can be accompanied by dyspnea. METHODS: We translated, from
English to Brazilian Portuguese, a list of 15 dyspnea descriptors reported in a
study conducted in the USA. Those 15 descriptors were applied in 50 asthma
patients, 50 COPD patients, 30 patients with heart failure, and 50 patients with
class II or III obesity. The three best descriptors, as selected by the patients,
were studied by cluster analysis. Potential associations between the identified
clusters and the four clinical conditions were also investigated. RESULTS: The
use of this set of descriptors led to a solution with nine clusters, designated
expiracao (exhalation), fome de ar (air hunger), sufoco (suffocating),
superficial (shallow), rapido (rapid), aperto (tight), falta de ar (shortness of
breath), trabalho (work), and inspiracao (inhalation). Overlapping of the
descriptors was quite common among the patients, regardless of their clinical
condition. Asthma, COPD, and heart failure were significantly associated with the
inspiracao cluster. Heart failure was also associated with the trabalho cluster,
whereas obesity was not associated with any of the clusters. CONCLUSIONS: In our
study sample, the application of dyspnea descriptors translated from English to
Portuguese led to the identification of distinct clusters, some of which were
similar to those identified in a study conducted in the USA. The translated
descriptors were less useful than were those developed in Brazil regarding their
ability to generate significant associations among the clinical conditions
investigated here.
PMID- 21881736
TI - Early termination of exhalation: effect on spirometric parameters in healthy
preschool children.
AB - OBJECTIVE: To evaluate the acceptability and reproducibility of spirometry in
preschool children; to estimate the effect size of early termination of
exhalation (ETE) on FVC, FEV(1) and FEV(0.5); and to evaluate the validity of
FEV(0.5) in curves with ETE. METHODS: Spirometric data were obtained from 240
healthy preschool children, who were selected by simple sampling. On the basis of
the best curve from each child according to the end of exhalation, three groups
were formed: no ETE (nETE); ETE and flow <= 10% of the highest PEF (ETE<=10); and
ETE and flow > 10% of the highest PEF value (ETE>10). The reproducibility of FVC,
FEV(1) and FEV(0.5) was compared among the three groups. The effect of ETE on
FVC, FEV(1), and FEV(0.5) was assessed. RESULTS: Of the 240 children tested, 112
(46.5%)-82 (34.0%) of those in the nETE group and 30 (12.5%) of those in the
ETE<=10 group--had acceptable curves for all the parameters. In 64 (27.0%) of
those in the ETE>10 group, the curves were acceptable only for FEV(0.5),
increasing the proportion of children with valid FEV(0.5) to 73.0%. There were no
significant differences between the nETE and ETE<=10 groups in terms of the mean
values of the parameters assessed. CONCLUSIONS: Maneuvers with ETE and flow <=
10% of the highest PEF are valid. In individuals with a flow > 10% of the highest
PEF value, these maneuvers are only valid for FEV(0.5).
PMID- 21881737
TI - Mouth breathing and forward head posture: effects on respiratory biomechanics and
exercise capacity in children.
AB - OBJECTIVE: To evaluate submaximal exercise tolerance and respiratory muscle
strength in relation to forward head posture (FHP) and respiratory mode in
children, comparing mouth-breathing (MB) children with nasal-breathing (NB)
children. METHODS: This was a controlled, analytical cross-sectional study
involving children in the 8-12 year age bracket with a clinical
otorhinolaryngology diagnosis of MB, recruited between October of 2010 and
January of 2011 from the Mouth Breather Clinic at the State University of
Campinas Hospital de Clinicas, located in the city of Campinas, Brazil. The
exclusion criteria were obesity, asthma, chronic respiratory diseases, heart
disease, and neurological or orthopedic disorders. All of the participants
underwent postural assessment and the six-minute walk test (6MWT), together with
determination of MIP and MEP. RESULTS: Of the 92 children in the study, 30
presented with MB and 62 presented with NB. In the MB group, the differences
between those with moderate or severe FHP and those with normal head posture, in
terms of the mean MIP, MEP and six-minute walk distance (6MWD), were not
significant (p = 0.079, p = 0.622, and p = 0.957, respectively). In the NB group,
the mean values of MIP and MEP were higher in the children with moderate FHP than
in those with normal head posture (p = 0.003 and p = 0.004, respectively). The
mean MIP, MEP, and 6MWD were lower in the MB group than in the NB group. Values
of MIP and MEP were highest in the children with moderate FHP. CONCLUSIONS:
Respiratory biomechanics and exercise capacity were negatively affected by MB.
The presence of moderate FHP acted as a compensatory mechanism in order to
improve respiratory muscle function.
PMID- 21881738
TI - Smoking cessation among patients at a university hospital in Curitiba, Brazil.
AB - OBJECTIVE: To determine the prevalence of smoking and the frequency of smoking
cessation counseling among patients at a university hospital, as well as to
compare smokers and former smokers in terms of smoking history. METHODS: A cross
sectional study involving 629 patients at the Federal University of Parana
Hospital de Clinicas, located in the city of Curitiba, Brazil. RESULTS: Of the
629 patients, 206 (32.7%) were male, 76 (12.1%) were smokers, 179 (28.5%) were
former smokers, and 374 (59.5%) were nonsmokers. The mean age of the patients was
49.9 +/- 15.0 years (range, 18-84 years). Of the 76 smokers and 179 former
smokers, 72 (94.7%) and 166 (92.7%), respectively, were questioned about tobacco
use. Smoking history and degree of nicotine dependence were higher among the
former smokers (p = 0.0292 and p = 0.0125, respectively). Gender, age at smoking
initiation, physician inquiry about tobacco use, and smoking cessation counseling
were comparable between the two groups. The smoking cessation rate was 0.70. The
prevalence of heavy smoking varied by gender and by age bracket, being higher in
males and in the 41-70 year age bracket. CONCLUSIONS: The smoking prevalence in
this group of patients was lower than that reported for patients at another
university hospital, for adults in Curitiba, and for adults in Brazil. The
smoking cessation rate was higher in these patients than in the general
population of Curitiba. Smokers and former smokers differed regarding age,
smoking history, and degree of nicotine dependence. Heavy smoking and a moderate
or high degree of nicotine dependence were not obstacles to smoking cessation.
PMID- 21881739
TI - Smoking among inpatients at a university hospital.
AB - OBJECTIVE: To determine the prevalence and characteristics of smoking among
inpatients at a university hospital, as well as to evaluate their motivation,
interest, and need for help in quitting smoking. METHODS: A prospective study
involving inpatients treated between May of 2008 and April of 2009 on the
cardiovascular disease wards at the Antonio Pedro University Hospital, located in
the city of Niteroi, Brazil. All inpatients were asked to complete a
questionnaire designed to collect data regarding demographics, reasons for
admission, and smoking status. The smokers also responded to additional questions
regarding their smoking habits. The level of nicotine dependence was determined
with the Fagerstrom Test for Nicotine Dependence. RESULTS: Of the 136 inpatients
who participated in the study, 68 (50.0%) were male. The mean age was 60.7 years.
The prevalence of smoking was 13.2%. Among the 49 patients with coronary disease,
36 (73.5%) were smokers or former smokers. The majority of the patients presented
with a high level of nicotine dependence and reported withdrawal symptoms during
hospitalization. Although most smokers were motivated to quit smoking, they
admitted that they needed help to do so. CONCLUSIONS: Because smoking is
forbidden in the hospital environment and most inpatients who smoke are highly
motivated to quit, health professionals should view the hospitalization period as
an opportunity to promote smoking cessation.
PMID- 21881740
TI - Comparing the accuracy of predictors of mortality in ventilator-associated
pneumonia.
AB - OBJECTIVE: Levels of procalcitonin, midregional pro-atrial natriuretic peptide
(MR-proANP), C-terminal provasopressin (copeptin), and C-reactive protein (CRP),
as well as Sequential Organ Failure Assessment (SOFA) scores, are associated with
severity and described as predictors of outcome in ventilator-associated
pneumonia (VAP). This study sought to compare the predictive value of these
biomarkers for mortality in VAP. METHODS: An observational study of 71 patients
with VAP. Levels of procalcitonin, MR-proANP, copeptin, and CRP, together with
SOFA scores, were determined at VAP onset, designated day 0 (D0), and on day 4 of
treatment (D4). Patients received empirical antimicrobial therapy, with
modifications based on culture results. Patients who died before D28 were
classified as nonsurvivors. RESULTS: Of the 71 patients evaluated, 45 were
classified as survivors. Of the 45 survivors, 35 (77.8%) received appropriate
antimicrobial therapy, compared with 18 (69.2%) of the 26 nonsurvivors (p =
0.57). On D0 and D4, the levels of all biomarkers (except CRP), as well as SOFA
scores, were lower in eventual survivors than in eventual nonsurvivors. For D0
and D4, the area under the ROC curve was largest for procalcitonin. On D0, MR
proANP had the highest positive likelihood ratio (2.71) and positive predictive
value (0.60), but procalcitonin had the highest negative predictive value (0.87).
On D4, procalcitonin had the highest positive likelihood ratio (3.46), the
highest positive predictive value (0.66), and the highest negative predictive
value (0.93). CONCLUSIONS: The biomarkers procalcitonin, MR-proANP, and copeptin
can predict mortality in VAP, as can the SOFA score. Procalcitonin alone has the
greatest predictive power for such mortality.
PMID- 21881741
TI - Retreatment of tuberculosis patients in the city of Porto Alegre, Brazil:
outcomes.
AB - OBJECTIVE: To describe the outcomes of retreatment in tuberculosis patients
receiving the regimen known, in Brazil, as regimen 3 (streptomycin, ethambutol,
ethionamide, and pyrazinamide for 3 months + ethambutol and ethionamide for 9
months) after treatment failure with the basic regimen (rifampin, isoniazid, and
pyrazinamide for 2 months + rifampin and isoniazid for 4 months). METHODS: A
descriptive, uncontrolled, historical cohort study involving adult tuberculosis
patients treated with regimen 3. We evaluated adverse drug effects, recurrence,
treatment outcomes, and associated factors. RESULTS: The study included 229
patients. The overall cure rate was 62%. For the patients who used the
medications regularly and those who did not, the cure rate was 88% and 31%,
respectively. Adverse events occurred in 95 patients (41.5%), and most of those
events were related to the gastrointestinal tract. In the five-year follow-up
period, relapse occurred in 17 cases (12.0%). CONCLUSIONS: Overall, the outcomes
of treatment with regimen 3 were unsatisfactory, in part because this regimen was
administered to a selected population of patients at high risk for noncompliance
with treatment, as well as because it presents high rates of adverse effects,
especially those related to the gastrointestinal tract, which might be caused by
ethionamide. However, for those who took the medications regularly, the cure rate
was satisfactory. The recurrence rate was higher than that recommended in
international consensus guidelines, which might be attributable to the short (12
month) treatment period. We believe that regimen 3, extended to 18 months,
represents an option for patients with proven treatment compliance.
PMID- 21881742
TI - Factors associated with delayed diagnosis of pulmonary tuberculosis in the state
of Rio de Janeiro, Brazil.
AB - OBJECTIVE: To estimate the total time elapsed between symptom onset and diagnosis
of pulmonary tuberculosis (patient delay plus health care system delay),
analyzing the factors associated with delayed diagnosis in the state of Rio de
Janeiro, Brazil. METHODS: We conducted a questionnaire-based survey involving 218
pulmonary tuberculosis patients treated for two months at 20 health care clinics
and 3 hospitals in eight cities within the state of Rio de Janeiro. We collected
socioeconomic and demographic data, as well as data regarding the health care
system and the medical history of the patients. RESULTS: The median time elapsed
from the onset of symptoms to diagnosis was 68 days (interquartile range [IQR]:
35-119 days). The median patient delay (time from symptom onset to initial
medical visit) was 30 days (IQR: 15-60 days), and the median health care system
delay (time from initial medical visit to diagnosis) was 21 days (IQR: 8-47
days). A cut-off point of 21 days was adopted. The factors independently
associated with patient delay were female gender, cough, and unemployment
[adjusted OR (95% CI) = 2.7 (1.3-5.6); 11.6 (2.3-58.8); and 2.0 (1.0-3.8),
respectively], whereas only female gender was independently associated with
health care system delay (OR= 3.2; 95% CI: 1.7-6.0). CONCLUSIONS: Delayed
diagnosis of pulmonary tuberculosis remains a problem in Rio de Janeiro,
increasing the risk of transmission and mortality, that risk being greater for
women and the socioeconomically disadvantaged. Patients might not recognize the
significance of chronic cough as a health problem. Tuberculosis education
programs targeting women might improve this situation.
PMID- 21881743
TI - Identification of nontuberculous mycobacteria isolated from clinical sterile
sites in patients at a university hospital in the city of Rio de Janeiro, Brazil.
AB - OBJECTIVE: To identify nontuberculous mycobacteria (NTM) isolated from sterile
sites in patients hospitalized between 2001 and 2006 at the Clementino Fraga
Filho University Hospital, located in the city of Rio de Janeiro, Brazil.
METHODS: During the study period, 34 NTM isolates from sterile sites of 14
patients, most of whom were HIV-positive, were submitted to phenotypic
identification and hsp65 PCR-restriction enzyme analysis (PRA). RESULTS: Most
isolates were identified as Mycobacterium avium, followed by M. monacense, M.
kansasii, and M. abscessus. CONCLUSIONS: The combination of PRA, a relatively
simple and inexpensive method, with the evaluation of a few phenotypic
characteristics can allow NTM to be accurately identified in the routine of
clinical laboratories.
PMID- 21881744
TI - Pharmacological treatment of COPD.
AB - Approximately seven million Brazilians over 40 years of age have COPD. In recent
years, major advances have been made in the pharmacological treatment of this
condition. We performed a systematic review including original articles on
pharmacological treatments for COPD. We reviewed articles written in English,
Spanish, or Portuguese; published between 2005 and 2009; and indexed in national
and international databases. Articles with a sample size < 100 individuals were
excluded. The outcome measures were symptoms, pulmonary function, quality of
life, exacerbations, mortality, and adverse drug effects. Articles were
classified in accordance with the Global Initiative for Chronic Obstructive Lung
Disease criteria for the determination of the level of scientific evidence (grade
of recommendation A, B, or C). Of the 84 articles selected, 40 (47.6%), 18
(21.4%), and 26 (31.0%) were classified as grades A, B, and C, respectively. Of
the 420 analyses made in these articles, 236 were regarding the comparison
between medications and placebos. Among these 236 analyses, the most commonly
studied medications (in 66, 48, and 42 analyses, respectively) were long-acting
anticholinergics; the combination of long-acting beta(2) agonists and inhaled
corticosteroids; and inhaled corticosteroids in isolation. Pulmonary function,
adverse effects, and symptoms as outcomes generated 58, 54, and 35 analyses,
respectively. The majority of the studies showed that the medications evaluated
provided symptom relief; improved the quality of life and pulmonary function of
patients; and prevented exacerbations. Few studies analyzed mortality as an
outcome, and the role that pharmacological treatment plays in this outcome has
yet to be fully defined. The medications studied are safe to use in the
management of COPD and have few adverse effects.
PMID- 21881745
TI - Pulmonary rehabilitation programs for patients with COPD.
AB - Pulmonary rehabilitation programs are aimed at providing benefits to COPD
patients, in various aspects. Our objective was to review the literature on COPD
patient rehabilitation. This systematic review involved articles written in
English, Spanish, or Portuguese; published between 2005 and 2009; and indexed in
national and international databases. Articles were classified in accordance with
the Global Initiative for Chronic Obstructive Lung Disease criteria for the
determination of the level of scientific evidence (grade of recommendation A, B,
or C). The outcome measures were exercise, quality of life, symptoms,
exacerbations, mortality, and pulmonary function. Treatments were classified as
standard rehabilitation, partial rehabilitation, strength exercises, and
resistance exercises. Of the 40 articles selected, 4, 18, and 18 were classified
as grades A, B, and C, respectively. Of the 181 analyses made in these articles,
61, 50, 23, 23, 20, and 4, respectively, were related to the outcome measures
quality of life, exercise, symptoms, exacerbations, pulmonary function, and
mortality. The standard rehabilitation programs showed positive effects on all of
the outcomes evaluated, except for mortality (because of the small number of
analyses). However, we found no differences among the various rehabilitation
programs regarding their effects on the outcomes studied. Rehabilitation programs
can be considered important tools for the treatment of COPD. Therefore, health
administrators should implement public policies including such programs in the
routine of health care facilities.
PMID- 21881746
TI - Cutaneous metastasis as the initial manifestation of lung adenocarcinoma.
AB - We report the case of a 58-year-old male patient who was referred for oncology
consultation due to an epigastric mass that had been growing rapidly for three
months. Diagnostic investigation revealed that the mass was a metastasis of stage
IV lung adenocarcinoma. The patient received five cycles of chemotherapy with
cisplatin and gemcitabine as a first-line treatment, which was interrupted due to
major adverse events. Although the pulmonary disease stabilized, the cutaneous
disease progressed. The patient then received pemetrexed as a second-line
chemotherapy, together with concurrent external radiotherapy, which was well
tolerated. There was complete remission of the epigastric mass. However, the
patient died three months after the treatment. Here, we emphasize the importance
of a multidisciplinary approach and of its role in individualizing the treatment.
PMID- 21881747
TI - Takotsubo cardiomyopathy triggered by beta(2) adrenergic agonist.
PMID- 21881748
TI - Talc asbestosis and pulmonary tuberculosis in a patient exposed to the talc used
in the production of soccer balls.
PMID- 21881749
TI - Severe persistent asthma responsive to off-label use of omalizumab despite high
and low levels of total serum IgE.
PMID- 21881751
TI - Memorias do Instituto Oswaldo Cruz. Foreword.
PMID- 21881752
TI - Recent advances in the study of avian malaria: an overview with an emphasis on
the distribution of Plasmodium spp in Brazil.
AB - Avian malaria parasites (Plasmodium) have a worldwide distribution except for
Antarctica. They are transmitted exclusively by mosquito vectors (Diptera:
Culicidae) and are of particular interest to health care research due to their
phylogenetic relationship with human plasmodia and their ability to cause avian
malaria, which is frequently lethal in non-adapted avian hosts. However,
different features of avian Plasmodium spp, including their taxonomy and aspects
of their life-history traits, need to be examined in more detail. Over the last
10 years, ecologists, evolutionary biologists and wildlife researchers have
recognized the importance of studying avian malaria parasites and other related
haemosporidians, which are the largest group of the order Haemosporida by number
of species. These studies have included understanding the ecological, behavioral
and evolutionary aspects that arise in this wildlife host-parasite system.
Molecular tools have provided new and exiting opportunities for such research.
This review discusses several emerging topics related to the current research of
avian Plasmodium spp and some related avian haemosporidians. We also summarize
some important discoveries in this field and emphasize the value of using both
polymerase chain reaction-based and microscopy-based methods in parallel for
wildlife studies. We will focus on the genus Plasmodium, with an emphasis on the
distribution and pathogenicity of these parasites in wild birds in Brazil.
PMID- 21881753
TI - Molecular markers and genetic diversity of Plasmodium vivax.
AB - Enhanced understanding of the transmission dynamics and population genetics for
Plasmodium vivax is crucial in predicting the emergence and spread of novel
parasite phenotypes with major public health implications, such as new relapsing
patterns, drug resistance and increased virulence. Suitable molecular markers are
required for these population genetic studies. Here, we focus on two groups of
molecular markers that are commonly used to analyse natural populations of P.
vivax. We use markers under selective pressure, for instance, antigen-coding
polymorphic genes, and markers that are not under strong natural selection, such
as most minisatellite and microsatellite loci. First, we review data obtained
using genes encoding for P. vivax antigens: circumsporozoite protein, merozoite
surface proteins 1 and 3alpha, apical membrane antigen 1 and Duffy binding
antigen. We next address neutral or nearly neutral molecular markers, especially
microsatellite loci, providing a complete list of markers that have already been
used in P. vivax populations studies. We also analyse the microsatellite loci
identified in the P. vivax genome project. Finally, we discuss some practical
uses for P. vivax genotyping, for example, detecting multiple-clone infections
and tracking the geographic origin of isolates.
PMID- 21881754
TI - Analysis of the genetic variability of PvMSP-3alpha among Plasmodium vivax in
Brazilian field isolates.
AB - Reliable molecular markers are essential for a better understanding of the
molecular epidemiology of Plasmodium vivax, which is a neglected human malaria
parasite. The aim of this study was to analyze the genetic diversity of P. vivax
isolates from the Brazilian Amazon using polymerase chain reaction-restriction
fragment length polymorphism (PCR-RFLP) analysis of the highly polymorphic
merozoite surface protein-3alpha (PvMSP-3alpha) gene. To accomplish this, 60
isolates of P. vivax from different endemic areas in the Brazilian Amazon were
collected. The PvMSP-3alpha gene was amplified by nested-PCR. Three major types
of the PvMSP-3alpha locus were detected at different frequencies: type A (68%), B
(15%) and C (17%). A single sample showed two PCR fragments, which corresponded
to infection with types A and C. PCR-RFLP analysis using the HhaI restriction
enzyme for 52 isolates clearly identified 11 haplotypes, eight of which were from
type A, two from type B and only one from type C. Seven other isolates did not
show a clear pattern using PCR-RFLP. This result might be due to multiple clone
infections. This study showed a high diversity of the PvMSP-3alpha gene among P.
vivax isolates from the Brazilian Amazon, but also indicated that the detection
performance of PCR-RFLP of the PvMSP-3alpha gene may not be sufficient to detect
multiple clone infections.
PMID- 21881755
TI - Antibodies against the Plasmodium falciparum glutamate-rich protein from
naturally exposed individuals living in a Brazilian malaria-endemic area can
inhibit in vitro parasite growth.
AB - The glutamate-rich protein (GLURP) is an exoantigen expressed in all stages of
the Plasmodium falciparum life cycle in humans. Anti-GLURP antibodies can inhibit
parasite growth in the presence of monocytes via antibody-dependent cellular
inhibition (ADCI), and a major parasite-inhibitory region has been found in the N
terminal R0 region of the protein. Herein, we describe the antiplasmodial
activity of anti-GLURP antibodies present in the sera from individuals naturally
exposed to malaria in a Brazilian malaria-endemic area. The anti-R0 antibodies
showed a potent inhibitory effect on the growth of P. falciparum in vitro, both
in the presence (ADCI) and absence (GI) of monocytes. The inhibitory effect on
parasite growth was comparable to the effect of IgGs purified from pooled sera
from hyperimmune African individuals. Interestingly, in the ADCI test, higher
levels of tumour necrosis factor alpha (TNF-alpha) were observed in the
supernatant from cultures with higher parasitemias. Our data suggest that the
antibody response induced by GLURP-R0 in naturally exposed individuals may have
an important role in controlling parasitemia because these antibodies are able to
inhibit the in vitro growth of P. falciparum with or without the cooperation from
monocytes. Our results also indicate that TNF-alpha may not be relevant for the
inhibitory effect on P. falciparum in vitro growth.
PMID- 21881756
TI - Monoclonal auto-antibodies and sera of autoimmune patients react with Plasmodium
falciparum and inhibit its in vitro growth.
AB - The relationship between autoimmunity and malaria is not well understood. To
determine whether autoimmune responses have a protective role during malaria, we
studied the pattern of reactivity to plasmodial antigens of sera from 93 patients
with 14 different autoimmune diseases (AID) who were not previously exposed to
malaria. Sera from patients with 13 different AID reacted against Plasmodium
falciparum by indirect fluorescent antibody test with frequencies varying from 33
100%. In addition, sera from 37 AID patients were tested for reactivity against
Plasmodium yoelii 17XNL and the asexual blood stage forms of three different P.
falciparum strains. In general, the frequency of reactive sera was higher against
young trophozoites than schizonts (p < 0.05 for 2 strains), indicating that the
antigenic determinants targeted by the tested AID sera might be more highly
expressed by the former stage. The ability of monoclonal auto-antibodies (auto
Ab) to inhibit P. falciparum growth in vitro was also tested. Thirteen of the 18
monoclonal auto-Ab tested (72%), but none of the control monoclonal antibodies,
inhibited parasite growth, in some cases by greater than 40%. We conclude that
autoimmune responses mediated by auto-Ab may present anti-plasmodial activity.
PMID- 21881757
TI - Thrombocytopenia in malaria: who cares?
AB - Despite not being a criterion for severe malaria, thrombocytopenia is one of the
most common complications of both Plasmodium vivax and Plasmodium falciparum
malaria. In a systematic review of the literature, platelet counts under
150,000/mm3 ranged from 24-94% in patients with acute malaria and this frequency
was not different between the two major species that affected humans. Minor
bleeding is mentioned in case reports of patients with P. vivax infection and may
be explained by medullary compensation with the release of mega platelets in the
peripheral circulation by megakaryocytes, thus maintaining a good primary
haemostasis. The speculated mechanisms leading to thrombocytopenia are:
coagulation disturbances, splenomegaly, bone marrow alterations, antibody
mediated platelet destruction, oxidative stress and the role of platelets as
cofactors in triggering severe malaria. Data from experimental models are
presented and, despite not being rare, there is no clear recommendation on the
adequate management of this haematological complication. In most cases, a
conservative approach is adopted and platelet counts usually revert to normal
ranges a few days after efficacious antimalarial treatment. More studies are
needed to specifically clarify if thrombocytopenia is the cause or consequence of
the clinical disease spectrum.
PMID- 21881758
TI - Pregnancy malaria: cryptic disease, apparent solution.
AB - Malaria during pregnancy can be severe in non-immune women, but in areas of
stable transmission, where women are semi-immune and often asymptomatic during
infection, malaria is an insidious cause of disease and death for mothers and
their offspring. Sequelae, such as severe anaemia and hypertension in the mother
and low birth weight and infant mortality in the offspring, are often not
recognised as consequences of infection. Pregnancy malaria, caused by Plasmodium
falciparum, is mediated by infected erythrocytes (IEs) that bind to chondroitin
sulphate A and are sequestered in the placenta. These parasites have a unique
adhesion phenotype and distinct antigenicity, which indicates that novel targets
may be required for development of an effective vaccine. Women become resistant
to malaria as they acquire antibodies against placental IE, which leads to higher
haemoglobin levels and heavier babies. Proteins exported from the placental
parasites have been identified, including both variant and conserved antigens,
and some of these are in preclinical development for vaccines. A vaccine that
prevents P. falciparum malaria in pregnant mothers is feasible and would
potentially save hundreds of thousands of lives each year.
PMID- 21881759
TI - Biomarkers for susceptibility to infection and disease severity in human malaria.
AB - Malaria remains a major infectious disease that affects millions of people. Once
infected with Plasmodium parasites, a host can develop a broad range of clinical
presentations, which result from complex interactions between factors derived
from the host, the parasite and the environment. Intense research has focused on
the identification of reliable predictors for exposure, susceptibility to
infection and the development of severe complications during malaria. Although
most promising markers are based on the current understanding of malaria
immunopathogenesis, some are also focused more broadly on mechanisms of tissue
damage and inflammation. Taken together, these markers can help optimise
therapeutic strategies and reduce disease burden. Here, we review the recent
advances in the identification of malarial biomarkers, focusing on those related
to parasite exposure and disease susceptibility. We also discuss priorities for
research in biomarkers for severe malaria.
PMID- 21881760
TI - On cytoadhesion of Plasmodium vivax: raison d'etre?
AB - It is generally accepted that Plasmodium vivax, the most widely distributed human
malaria parasite, causes mild disease and that this species does not sequester in
the deep capillaries of internal organs. Recent evidence, however, has
demonstrated that there is severe disease, sometimes resulting in death,
exclusively associated with P. vivax and that P. vivax-infected reticulocytes are
able to cytoadhere in vitro to different endothelial cells and placental
cryosections. Here, we review the scarce and preliminary data on cytoadherence in
P. vivax, reinforcing the importance of this phenomenon in this species and
highlighting the avenues that it opens for our understanding of the pathology of
this neglected human malaria parasite.
PMID- 21881761
TI - Surface-expressed enolases of Plasmodium and other pathogens.
AB - Enolase is the eighth enzyme in the glycolytic pathway, a reaction that generates
ATP from phosphoenol pyruvate in cytosolic compartments. Enolase is essential,
especially for organisms devoid of the Krebs cycle that depend solely on
glycolysis for energy. Interestingly, enolase appears to serve a separate
function in some organisms, in that it is also exported to the cell surface via a
poorly understood mechanism. In these organisms, surface enolase assists in the
invasion of their host cells by binding plasminogen, an abundant plasma protease
precursor. Binding is mediated by the interaction between a lysine motif of
enolase with Kringle domains of plasminogen. The bound plasminogen is then
cleaved by specific proteases to generate active plasmin. Plasmin is a potent
serine protease that is thought to function in the degradation of the
extracellular matrix surrounding the targeted host cell, thereby facilitating
pathogen invasion. Recent work revealed that the malaria parasite Plasmodium also
expresses surface enolase, and that this feature may be essential for completion
of its life cycle. The therapeutic potential of targeting surface enolases of
pathogens is discussed.
PMID- 21881763
TI - The prospect of eliminating malaria transmission in some regions of Brazil.
AB - This paper discusses malaria epidemiology and control in Brazil as well as the
prospect of interrupting transmission in some areas of the country. The concepts
of receptivity and vulnerability of an area to malaria transmission are analysed
to predict where elimination might occur in a near future. Outside of the Amazon
Region and in the oriental states of the Amazon, such as Tocantins, Maranhao and
Mato Grosso, it is likely that malaria transmission can be eliminated with the
development and sustained use of a good surveillance system.
PMID- 21881764
TI - Predictors of local malaria outbreaks: an approach to the development of an early
warning system in Colombia.
AB - Risk factor surveillance is a complementary tool of morbidity and mortality
surveillance that improves the likelihood that public health interventions are
implemented in a timely fashion. The aim of this study was to identify population
predictors of malaria outbreaks in endemic municipalities of Colombia with the
goal of developing an early warning system for malaria outbreaks. We conducted a
multiple-group, exploratory, ecological study at the municipal level. Each of the
290 municipalities with endemic malaria that we studied was classified according
to the presence or absence of outbreaks. The measurement of variables was based
on historic registries and logistic regression was performed to analyse the data.
Altitude above sea level [odds ratio (OR) 3.65, 95% confidence interval (CI) 1.34
9.98], variability in rainfall (OR 1.85, 95% CI 1.40-2.44) and the proportion of
inhabitants over 45 years of age (OR 0.17, 95% CI 0.08-0.38) were factors
associated with malaria outbreaks in Colombian municipalities. The results
suggest that environmental and demographic factors could have a significant
ability to predict malaria outbreaks on the municipal level in Colombia. To
advance the development of an early warning system, it will be necessary to
adjust and standardise the collection of required data and to evaluate the
accuracy of the forecast models.
PMID- 21881762
TI - Malaria-related anaemia: a Latin American perspective.
AB - Malaria is the most important parasitic disease worldwide, responsible for an
estimated 225 million clinical cases each year. It mainly affects children,
pregnant women and non-immune adults who frequently die victims of cerebral
manifestations and anaemia. Although the contribution of the American continent
to the global malaria burden is only around 1.2 million clinical cases annually,
there are 170 million inhabitants living at risk of malaria transmission in this
region. On the African continent, where Plasmodium falciparum is the most
prevalent human malaria parasite, anaemia is responsible for about half of the
malaria-related deaths. Conversely, in Latin America (LA), malaria-related
anaemia appears to be uncommon, though there is a limited knowledge about its
real prevalence. This may be partially explained by several factors, including
that the overall malaria burden in LA is significantly lower than that of Africa,
that Plasmodium vivax, the predominant Plasmodium species in the region, appears
to display a different clinical spectrus and most likely because better health
services in LA prevent the development of severe malaria cases. With the aim of
contributing to the understanding of the real importance of malaria-related
anaemia in LA, we discuss here a revision of the available literature on the
subject and the usefulness of experimental animal models, including New World
monkeys, particularly for the study of the mechanisms involved in the
pathogenesis of malaria.
PMID- 21881765
TI - Epidemiology and control of malaria in Colombia.
AB - Malaria is currently one of the most serious public health problems in Colombia
with an endemic/epidemic transmission pattern that has maintained endemic levels
and an average of 105,000 annual clinical cases being reported over the last five
years. Plasmodium vivax accounts for approximately 70% of reported cases with the
remainder attributed almost exclusively to Plasmodium falciparum. A limited
number of severe and complicated cases have resulted in mortality, which is a
downward trend that has been maintained over the last few years. More than 90% of
the malaria cases in Colombia are confined to 70 municipalities (about 7% of the
total municipalities of Colombia), with high predominance (85%) in rural areas.
The purpose of this paper is to review the progress of malaria-eradication
activities and control measures over the past century within the eco
epidemiologic context of malaria transmission together with official consolidated
morbidity and mortality reports. This review may contribute to the formulation of
new antimalarial strategies and policies intended to achieve malaria
elimination/eradication in Colombia and in the region.
PMID- 21881766
TI - Sentinel network for monitoring in vitro susceptibility of Plasmodium falciparum
to antimalarial drugs in Colombia: a proof of concept.
AB - Drug resistance is one of the principal obstacles blocking worldwide malaria
control. In Colombia, malaria remains a major public health concern and drug
resistant parasites have been reported. In vitro drug susceptibility assays are a
useful tool for monitoring the emergence and spread of drug-resistant Plasmodium
falciparum. The present study was conducted as a proof of concept for an
antimalarial drug resistance surveillance network based on in vitro
susceptibility testing in Colombia. Sentinel laboratories were set up in three
malaria endemic areas. The enzyme linked immunosorbent assay-histidine rich
protein 2 and schizont maturation methods were used to assess the susceptibility
of fresh P. falciparum isolates to six antimalarial drugs. This study
demonstrates that an antimalarial drug resistance surveillance network based on
in vitro methods is feasible in the field with the participation of a research
institute, local health institutions and universities. It could also serve as a
model for a regional surveillance network. Preliminary susceptibility results
showed widespread chloroquine resistance, which was consistent with previous
reports for the Pacific region. However, high susceptibility to
dihydroartemisinin and lumefantrine compounds, currently used for treatment in
the country, was also reported. The implementation process identified critical
points and opportunities for the improvement of network sustainability
strategies.
PMID- 21881767
TI - Change in mutation patterns of Plasmodium vivax dihydrofolate reductase (Pvdhfr)
and dihydropteroate synthase (Pvdhps) in P. vivax isolates from malaria endemic
areas of Thailand.
AB - Malaria is the most important public health problem in several countries. In
Thailand, co-infections of Plasmodium vivax and Plasmodium falciparum are common.
We examined the prevalence and patterns of mutations in P. vivax dihydrofolate
reductase (Pvdhfr) and P. vivax dihydropteroate synthase (Pvdhps) in 103 blood
samples collected from patients with P. vivax infection who had attended the
malaria clinic in Mae Sot, Tak Province during 2009 and 2010. Using nested
polymerase chain reaction-restriction fragment length polymorfism, we examined
single nucleotide polymorphisms-haplotypes at amino acid positions 13, 33, 57,
58, 61, 117 and 173 of Pvdhfr and 383 and 553 of Pvdhps. All parasite isolates
carried mutant Pvdhfr alleles, of which the most common alleles were triple
mutants (99%). Eight different types of Pvdhfr and combination alleles were
found, as follows: 57I/58R/117T, 57I/58R/117T, 57I/58R/117T/N, 57L/58R/117T,
57L/58R/117T, 58R/61M/117N, 58R/61M/117N and 13L/57L/58R/117T. The most common
Pvdhfr alleles were 57I/58R/117T (77.7%), 57I/58R/117T/N (1%), 57L/58R/117T
(5.8%) and 58R/61M/117N (14.5%). The most common Pvdhfr alleles were 57I/58R/117T
(77.7%), 57I/58R/117T/N (1%), 57L/58R/117T (5.8%) and 58R/61M/117N (14.5%).
Additionally, we recovered one isolate of a carrying a quadruple mutant allele,
13L/57L/58R/117T. The most prevalent Pvdhps allele was a single mutation in amino
acid 383 (82.5%), followed by the wild-type A383/A553 (17.5%) allele. Results
suggest that all P. vivax isolates in Thailand carry some combination of
mutations in Pvdhfr and Pvdhps. Our findings demonstrate that development of new
antifolate drugs effective against sulfadoxine-pyrimethamine-resistant P. vivax
is required.
PMID- 21881768
TI - Isoprenoid biosynthesis in the erythrocytic stages of Plasmodium falciparum.
AB - The development of new drugs is one strategy for malaria control. Biochemical
pathways localised in the apicoplast of the parasite, such as the synthesis of
isoprenic precursors, are excellent targets because they are different or absent
in the human host. Isoprenoids are a large and highly diverse group of natural
products with many functions and their synthesis is essential for the parasite's
survival. During the last few years, the genes, enzymes, intermediates and
mechanisms of this biosynthetic route have been elucidated. In this review, we
comment on some aspects of the methylerythritol phosphate pathway and discuss the
presence of diverse isoprenic products such as dolichol, ubiquinone, carotenoids,
menaquinone and isoprenylated proteins, which are biosynthesised during the
intraerythrocytic stages of Plasmodium falciparum.
PMID- 21881769
TI - A review of antimalarial plants used in traditional medicine in communities in
Portuguese-speaking countries: Brazil, Mozambique, Cape Verde, Guinea-Bissau, Sao
Tome and Principe and Angola.
AB - The isolation of bioactive compounds from medicinal plants, based on traditional
use or ethnomedical data, is a highly promising potential approach for
identifying new and effective antimalarial drug candidates. The purpose of this
review was to create a compilation of the phytochemical studies on medicinal
plants used to treat malaria in traditional medicine from the Community of
Portuguese-Speaking Countries (CPSC): Angola, Brazil, Cape Verde, Guinea-Bissau,
Mozambique and Sao Tome and Principe. In addition, this review aimed to show that
there are several medicinal plants popularly used in these countries for which
few scientific studies are available. The primary approach compared the
antimalarial activity of native species used in each country with its extracts,
fractions and isolated substances. In this context, data shown here could be a
tool to help researchers from these regions establish a scientific and technical
network on the subject for the CPSC where malaria is a public health problem.
PMID- 21881770
TI - Chemoresistance of Plasmodium falciparum and Plasmodium vivax parasites in
Brazil: consequences on disease morbidity and control.
AB - In Brazil, malaria still remains a clinically important febrile syndrome for
local populations and travelers, occurring mostly in the Amazon Basin. This
review aims to report the main efforts employed to control this disease since the
1940s and the emergence of Plasmodium falciparum and Plasmodium vivax
chemoresistance to chloroquine and sulphadoxine-pyrimethamine among other drugs.
Additionally, in vivo, in vitro and molecular studies as well as malaria
chemoresistance consequences on disease morbidity and policy treatment guidelines
were commented.
PMID- 21881771
TI - TLR5-dependent immunogenicity of a recombinant fusion protein containing an
immunodominant epitope of malarial circumsporozoite protein and the FliC
flagellin of Salmonella Typhimurium.
AB - Recently, we described the improved immunogenicity of new malaria vaccine
candidates based on the expression of fusion proteins containing immunodominant
epitopes of merozoites and Salmonella enterica serovar Typhimurium flagellin
(FliC) protein as an innate immune agonist. Here, we tested whether a similar
strategy, based on an immunodominant B-cell epitope from malaria sporozoites,
could also generate immunogenic fusion polypeptides. A recombinant His6-tagged
FliC protein containing the C-terminal repeat regions of the VK210 variant of
Plasmodium vivax circumsporozoite (CS) protein was constructed. This recombinant
protein was successfully expressed in Escherichia coli as soluble protein and was
purified by affinity to Ni-agarose beads followed by ion exchange chromatography.
A monoclonal antibody specific for the CS protein of P. vivax sporozoites (VK210)
was able to recognise the purified protein. C57BL/6 mice subcutaneously immunised
with the recombinant fusion protein in the absence of any conventional adjuvant
developed protein-specific systemic antibody responses. However, in mice
genetically deficient in expression of TLR5, this immune response was extremely
low. These results extend our previous observations concerning the immunogenicity
of these recombinant fusion proteins and provide evidence that the main mechanism
responsible for this immune activation involves interactions with TLR5, which has
not previously been demonstrated for any recombinant FliC fusion protein.
PMID- 21881772
TI - Induction and maintenance of protective CD8+ T cells against malaria liver
stages: implications for vaccine development.
AB - CD8+ T cells against malaria liver stages represent a major protective immune
mechanism against infection. Following induction in the peripheral lymph nodes by
dendritic cells (DCs), these CD8+ T cells migrate to the liver and eliminate
parasite infected hepatocytes. The processing and presentation of sporozoite
antigen requires TAP mediated transport of major histocompatibility complex class
I epitopes to the endoplasmic reticulum. Importantly, in DCs this process is also
dependent on endosome-mediated cross presentation while this mechanism is not
required for epitope presentation on hepatocytes. Protective CD8+ T cell
responses are strongly dependent on the presence of CD4+ T cells and the capacity
of sporozoite antigen to persist for a prolonged period of time. While human
trials with subunit vaccines capable of inducing antibodies and CD4+ T cell
responses have yielded encouraging results, an effective anti-malaria vaccine
will likely require vaccine constructs designed to induce protective CD8+ T cells
against malaria liver stages.
PMID- 21881774
TI - Synergism/complementarity of recombinant adenoviral vectors and other vaccination
platforms during induction of protective immunity against malaria.
AB - The lack of immunogenicity of most malaria antigens and the complex immune
responses required for achieving protective immunity against this infectious
disease have traditionally hampered the development of an efficient human malaria
vaccine. The current boom in development of recombinant viral vectors and their
use in prime-boost protocols that result in enhanced immune outcomes have
increased the number of malaria vaccine candidates that access pre-clinical and
clinical trials. In the frontline, adenoviruses and poxviruses seem to be giving
the best immunization results in experimental animals and their mutual
combination, or their combination with recombinant proteins (formulated in
adjuvants and given in sequence or being given as protein/virus admixtures), has
been shown to reach unprecedented levels of anti-malaria immunity that
predictably will be somehow reproduced in the human setting. However, all this
optimism was previously seen in the malaria vaccine development field without
many real applicable results to date. We describe here the current state-of-the
art in the field of recombinant adenovirus research for malaria vaccine
development, in particular referring to their use in combination with other
immunogens in heterologous prime-boost protocols, while trying to simultaneously
show our contributions and point of view on this subject.
PMID- 21881773
TI - Platform for Plasmodium vivax vaccine discovery and development.
AB - Plasmodium vivax is the most prevalent malaria parasite on the American
continent. It generates a global burden of 80-100 million cases annually and
represents a tremendous public health problem, particularly in the American and
Asian continents. A malaria vaccine would be considered the most cost-effective
measure against this vector-borne disease and it would contribute to a reduction
in malaria cases and to eventual eradication. Although significant progress has
been achieved in the search for Plasmodium falciparum antigens that could be used
in a vaccine, limited progress has been made in the search for P. vivax
components that might be eligible for vaccine development. This is primarily due
to the lack of in vitro cultures to serve as an antigen source and to inadequate
funding. While the most advanced P. falciparum vaccine candidate is currently
being tested in Phase III trials in Africa, the most advanced P. vivax candidates
have only advanced to Phase I trials. Herein, we describe the overall strategy
and progress in P. vivax vaccine research, from antigen discovery to preclinical
and clinical development and we discuss the regional potential of Latin America
to develop a comprehensive platform for vaccine development.
PMID- 21881776
TI - Can Wolbachia be used to control malaria?
AB - Malaria is a mosquito-borne infectious disease caused by Plasmodium parasites
transmitted by the infectious bite of Anopheles mosquitoes. Vector control of
malaria has predominantly focused on targeting the adult mosquito through
insecticides and bed nets. However, current vector control methods are often not
sustainable for long periods so alternative methods are needed. A novel
biocontrol approach for mosquito-borne diseases has recently been proposed, it
uses maternally inherited endosymbiotic Wolbachia bacteria transinfected into
mosquitoes in order to interfere with pathogen transmission. Transinfected
Wolbachia strains in Aedes aegypti mosquitoes, the primary vector of dengue
fever, directly inhibit pathogen replication, including Plasmodium gallinaceum,
and also affect mosquito reproduction to allow Wolbachia to spread through
mosquito populations. In addition, transient Wolbachia infections in Anopheles
gambiae significantly reduce Plasmodium levels. Here we review the prospects of
using a Wolbachia-based approach to reduce human malaria transmission through
transinfection of Anopheles mosquitoes.
PMID- 21881777
TI - Molecular divergence in the timeless and cpr genes among three sympatric cryptic
species of the Anopheles triannulatus complex.
AB - Anopheles triannulatus s.l. is a malaria vector with a wide geographic
distribution, ranging from Argentina-Nicaragua and Trinidad. Here we analysed
sequences of two genes, timeless and cpr, to assess the genetic variability and
divergence among three sympatric cryptic species of this complex from Salobra,
central-western Brazil. The timeless gene sequences did not conclusively
differentiate Anopheles halophylus and An. triannulatus species "C". However, a
partial separation has been observed between these species and An. triannulatus
s.s. Importantly, the analysis of the cpr gene sequences revealed fixed
differences, no shared polymorphisms and considerable genetic differentiation
among the three species of the An. triannulatus complex. The results confirm that
An. triannulatus s.s., An. halophylus and An. triannulatus species C are distinct
taxa, with the latter two likely representing a more recent speciation event.
PMID- 21881775
TI - Malaria transmission blocking immunity and sexual stage vaccines for interrupting
malaria transmission in Latin America.
AB - Malaria is a vector-borne disease that is considered to be one of the most
serious public health problems due to its high global mortality and morbidity
rates. Although multiple strategies for controlling malaria have been used, many
have had limited impact due to the appearance and rapid dissemination of mosquito
resistance to insecticides, parasite resistance to multiple antimalarial drug,
and the lack of sustainability. Individuals in endemic areas that have been
permanently exposed to the parasite develop specific immune responses capable of
diminishing parasite burden and the clinical manifestations of the disease,
including blocking of parasite transmission to the mosquito vector. This is
referred to as transmission blocking (TB) immunity (TBI) and is mediated by
specific antibodies and other factors ingested during the blood meal that inhibit
parasite development in the mosquito. These antibodies recognize proteins
expressed on either gametocytes or parasite stages that develop in the mosquito
midgut and are considered to be potential malaria vaccine candidates. Although
these candidates, collectively called TB vaccines (TBV), would not directly stop
malaria from infecting individuals, but would stop transmission from infected
person to non-infected person. Here, we review the progress that has been
achieved in TBI studies and the development of TBV and we highlight their
potential usefulness in areas of low endemicity such as Latin America.
PMID- 21881779
TI - Habitat suitability of Anopheles vector species and association with human
malaria in the Atlantic Forest in south-eastern Brazil.
AB - Every year, autochthonous cases of Plasmodium vivax malaria occur in low
endemicity areas of Vale do Ribeira in the south-eastern part of the Atlantic
Forest, state of Sao Paulo, where Anopheles cruzii and Anopheles bellator are
considered the primary vectors. However, other species in the subgenus
Nyssorhynchus of Anopheles (e.g., Anopheles marajoara) are abundant and may
participate in the dynamics of malarial transmission in that region. The
objectives of the present study were to assess the spatial distribution of An.
cruzii, An. bellator and An. marajoara and to associate the presence of these
species with malaria cases in the municipalities of the Vale do Ribeira.
Potential habitat suitability modelling was applied to determine both the spatial
distribution of An. cruzii, An. bellator and An. marajoara and to establish the
density of each species. Poisson regression was utilized to associate malaria
cases with estimated vector densities. As a result, An. cruzii was correlated
with the forested slopes of the Serra do Mar, An. bellator with the coastal plain
and An. marajoara with the deforested areas. Moreover, both An. marajoara and An.
cruzii were positively associated with malaria cases. Considering that An.
marajoara was demonstrated to be a primary vector of human Plasmodium in the
rural areas of the state of Amapa, more attention should be given to the species
in the deforested areas of the Atlantic Forest, where it might be a secondary
vector.
PMID- 21881780
TI - Population parameters of the endangered titi monkey, Callicebus coimbrai
Kobayashi and Langguth, 1999, in the fragmented landscape of southern Sergipe,
Brazil.
AB - The recently-discovered titi, Callicebus coimbrai, is endemic to the coastal
Brazilian Atlantic Forest south of the Sao Francisco River, a region with a long
tradition of deforestation. While a number of C. coimbrai populations have now
been identified, little is known about basic population parameters. Considering
the importance of this information for conservation planning, the population of a
site in southern Sergipe (with a total area of forest of approximately 500 ha)
was surveyed between April and October 2008. Standard line transect procedures
were used in the four largest fragments, and additional monitoring included the
use of playback broadcasts for the observation of titi groups. The presence of
titis was confirmed in all the forest fragments at the site, including one of
only five hectares. Two other primates - Callithrix jacchus and Cebus
xanthosternos - were also recorded at the site, but were less abundant than
titis. A total transect walk of 476 km provided 164 sightings of primates, the
majority of which (104) were of Callicebus coimbrai. Titi groups ranged in size
between two and five individuals. The most reliable estimate of overall
population density, derived from the combined data set, was 12.6 individuals per
km2;, although density was much higher in smaller (more disturbed) fragments. The
total population of Callicebus coimbrai at the site was estimated to be at least
50 individuals, which may represent a considerable proportion of the population
of the species remaining in the wild. In addition to its apparent tolerance of
habitat fragmentation, densities of C. coimbrai recorded at the site compare
favourably with those of other Atlantic Forest titi species.
PMID- 21881778
TI - Malaria vector species in Colombia: a review.
AB - Here we present a comprehensive review of the literature on the vectorial
importance of the major Anopheles malaria vectors in Colombia. We provide basic
information on the geographical distribution, altitudinal range, immature
habitats, adult behaviour, feeding preferences and anthropophily, endophily and
infectivity rates. We additionally review information on the life cycle,
longevity and population fluctuation of Colombian Anopheles species. Emphasis was
placed on the primary vectors that have been epidemiologically incriminated in
malaria transmission: Anopheles darlingi, Anopheles albimanus and Anopheles
nuneztovari. The role of a selection of local, regional or secondary vectors
(e.g., Anopheles pseudopunctipennis and Anopheles neivai) is also discussed. We
highlight the importance of combining biological, morphological and molecular
data for the correct taxonomical determination of a given species, particularly
for members of the species complexes. We likewise emphasise the importance of
studying the bionomics of primary and secondary vectors along with an examination
of the local conditions affecting the transmission of malaria. The presence and
spread of the major vectors and the emergence of secondary species capable of
transmitting human Plasmodia are of great interest. When selecting control
measures, the anopheline diversity in the region must be considered. Variation in
macroclimate conditions over a species' geographical range must be well
understood and targeted to plan effective control measures based on the
population dynamics of the local Anopheles species.
PMID- 21881781
TI - Effect of environmental quality and mesohabitat structure on a Biotic Integrity
Index based on fish assemblages of cerrado streams from Rio Cuiaba basin, Brazil.
AB - Over the last 30 years, the Cerrado has been experiencing various antropic
impacts that have brought about alterations to species composition, structure and
functioning of aquatic habitats. Therefore, studies on negative impacts are
useful to prevent future damage and restore environmental quality. The objectives
of our study were: i) to adapt an index of biotic integrity of streams in the Rio
Cuiaba Basin and ii) to analyze if the Index of Biotic Integrity (IBI) correlated
with the environmental quality measured by the Index of Environmental Quality
(IEQ) and with the mesohabitat structure. We sampled 26 streams in sub-basins of
the Cuiaba River. In each stream, we closed a stretch of 50 m with blockage nets
and used electrofishing to capture fish. To obtain a measure of environmental
quality in sampled units, we characterized the stream and its micro basin. For
the analyses, we used the Spearman Correlation, Kruskal-Wallis test and Analysis
of Multiple Regression. We collected 697 individuals distributed into 6 orders,
15 families and 49 species. The IBI followed changes on environmental quality
measured by IEQ when we removed streams that present natural barriers from the
analysis (r2 = 0.4; r2 = 0.58). Types of land use did not affect the biotic
integrity (n = 26; df = 4; H = 4,860; p = 0.302), but natural and artificial
barriers affected it (n = 26; df = 4; H = 11,027; p = 0.026). The IBI was not
sensitive to variations in mesohabitat structure (F2,23 = 0.373; r2 = 0.031; Axe
1 p = 0.620; Axe 2 p = 0.490). The IBI is certainly a reasonable instrument for
evaluating changes in the environment, but we cannot ignore the fact that we were
able to obtain the same result with any combinations of metrics. This makes its
analysis and interpretation difficult.
PMID- 21881782
TI - Structure of the phytoplankton community in the Cachoeira Dourada reservoir
(GO/MG), Brazil.
AB - The limnological features and the phytoplankton community of the Cachoeira
Dourada reservoir were analyzed in December 2006, May 2007 and November 2007.
Temporal changes in the taxonomic composition, density, diversity and dominance
of species were analyzed in relation to climatic factors and the physical and
chemical characteristics of the water. A positive correlation was found between
some of the physical and chemical variables and the phytoplankton community.
According to the CCA, variables such as the extent of the euphotic zone,
temperature, pH, nitrogen and phosphorus concentrations directly affected the
phytoplankton dynamics. Organisms belonging to the class Cyanophyceae were the
most representative in all the sampling periods, comprising the functional groups
K, S1, M and H. Hydrodynamics and seasonal fluctuations of environmental factors
were the driving forces determining the composition and abundance of the algal
assemblages. Despite the prevalence of Cyanobacteria, the reservoir is still
oligotrophic. The absence of blooms and the relatively low population abundances
indicated that the quality of the reservoir's water still lies within the limits
required for its multiples uses.
PMID- 21881783
TI - Water quality changes in floodplain lakes due to the Amazon River flood pulse:
Lago Grande de Curuai (Para).
AB - Assurance of water quality for human consumption is essential for public health
policies. In the Amazon floodplain, the seasonal water level variation causes
periodic flooding of marginal areas that are usually used for settlements,
agriculture and livestock. Therefore, the exchange of materials between the
terrestrial and aquatic ecosystem affects the proportion of suspended and
dissolved components in water and its physical-chemical characteristics, and
consequently the quality of the water used by local people. Following this
approach, the aim of this study is to evaluate changes in water quality in Lago
Grande de Curuai floodplain, Obidos, Para in response to the flood pulse, during
one hydrological year from 2003 to 2004, based on water use classes (according to
National Water Agency 357/2005 resolution) using chlorophyll-a and dissolved
oxygen concentration as parameters and the eutrophication index. Ordinary kriging
was applied to interpolate chlorophyll-a and dissolved oxygen and to predict
values at non sampled locations. Each location was then classified according to
water use acceptable parameters and to Carlson Trophic State Index modified by
Toledo to map lake water classes and trophic status. The result showed that Lago
Grande de Curuai floodplain is a supereutrophic system, with levels of dissolved
oxygen and chlorophyll-a not suitable for human supply during the receding water
phase. These areas are located near the riverine communities, which can cause
health problems due to the presence of potentially toxic algae. Therefore,
monitoring water quality in Amazon lakes is essential to ensure the availability
has appropriate quality for human and animal supplies.
PMID- 21881784
TI - The hummingbird community and their floral resources in an urban forest remnant
in Brazil.
AB - The temporal and spatial resource use among hummingbirds was studied over 13
months in an urban forest remnant (Prosa State Park: PSP) in Campo Grande, Mato
Grosso do Sul, Brazil. Hummingbird visitation was recorded at three
ornithophilous and eleven non-ornithophilous species. Flower density was roughly
constant during the study period, with the density of non-ornithophilous flowers
being higher than that of ornithophilous ones. Mean values of nectar volume and
concentration were similar between ornithophilous and non-ornithophilous species.
Eight hummingbird species were observed at PSP: Amazilia fimbriata,
Anthracothorax nigricollis, Chlorostilbon lucidus, Eupetomena macroura,
Hylocharis chrysura, Florisuga fusca, Thalurania furcata and an unidentified
species. Hummingbird visit frequencies to ornithophilous and non-ornithophilous
flowers were similar. However, some non-ornithophilous species received a higher
number of visits, which seems to be related to their large number of open flowers
per plant per day. The number of feedings bouts of hummingbirds increased with
the total number of flowers observed per focal plant. All recorded species of
hummingbirds visited non-ornithophilous flowers, predominantly melittophilous and
generalised entomophilous flowers. Hummingbird species recorded at PSP may be
viewed as generalists, visiting a large number of non-ornithophilous species.
Despite being an urban forest, PSP is relatively rich in hummingbird species,
suggesting that it provides important shelter and foraging sites for hummingbirds
in such an environment.
PMID- 21881785
TI - Ectoparasites as numerical dominant species in parasite community of
Trachelyopterus striatulus (Siluriformes: Auchenipteridae) from Guandu River,
southeastern Brazil.
AB - Sixty specimens of singing catfish Trachelyopterus striatulus (Steindachner,
1877) (Siluriformes: Auchenipteridae) collected from Guandu River (22o 48' 32" S
and 43o 37' 35" W), in the state of Rio de Janeiro, Brazil from October 2006 to
March 2009, were necropsied to study their parasites. From the 60 specimens of T.
striatulus examined 57 were parasitised by at least one parasite species. The
majority of the parasite specimens collected were monogeneans followed by
Nematoda, Digenea and Hirudinea. Cosmetocleithrum sp. was the numerically
predominant species with highest prevalence and abundance. The parasites of T.
striatulus showed the typical pattern of aggregated distribution. No parasite
species showed significant correlation between the body total length of the host
and their abundance. The mean parasite species richness was not correlated with
the host's total body length and sex. Values of the Brillouin index of diversity
had a mean of H = 0.083 +/- 0.136.
PMID- 21881786
TI - A new occurrence of Anodontites tenebricosus (Bivalvia: Mycetopodidae) in the
Sapucai river basin, Sao Paulo, Brazil: environmental and conchological aspects.
AB - The aim of this work was to register the occurrence of Anodontites tenebricosus
(Bivalvia: Mycetopodidae) in the Sapucai river basin, municipality of Sao Joaquim
da Barra, Sao Paulo state, Brazil, associating its occurrence to the environment
in which they are found, besides providing conchological data to contribute to
the identification of the species. Fifty one specimens of Anodontites
tenebricosus were studied regarding their conchological and morphometrical
aspects. Strong correlations were found between the morphometric variables, the
correlation between 'length and height of the shell' being the most significant.
The characteristics of the shells of A. tenebricosus found in the Sapucai river
basin resemble the descriptions of the species found in the literature;
therefore, we are able to expand the area of occurrence of the species for the
state of Sao Paulo.
PMID- 21881787
TI - Effects of flow reduction and spillways on the composition and structure of
benthic macroinvertebrate communities in a Brazilian river reach.
AB - Dams are a major threat to aquatic biological diversity. By altering the natural
flow of rivers, dams modify fluvial habitats, making them unsuitable for the
growth and reproduction of many aquatic species. The aim of this study was to
evaluate the effects of a reduced flow reach (RFR) on benthic macroinvertebrate
communities. Benthic macroinvertebrates were collected at six sites downstream of
the Amador Aguiar Power Plant I before (lotic phase) and after (semi-lentic
phase) Araguari River mean flow was reduced from 346 to 7 m3.s-1. Changes in
macroinvertebrates richness, diversity and total biomass were not observed.
Ablabesmyia, Tanytarsus (Chironomidae, Diptera), Leptoceridae and
Polycentropodidae (Trichoptera) densities significantly increased the first year
after flow reduction and the construction of spillways (t-test; p < 0.05). An
analysis of similarity (ANOSIM) showed statistical differences in taxonomical
composition despite considerable overlap in communities between the lotic and
semi-lentic phases (R = 0.3; p < 0.01). In both phases, the macroinvertebrates
were characterised by the dominance of groups tolerant to human disturbance
(e.g., Chironomidae, Ceratopogonidae and Oligochaeta) and by the presence of the
alien bivalve species Corbicula fluminea (Veneroidae), suggesting that the river
was already degraded before the hydraulic modifications. Since the 1980s, the
Araguari River has been continuously subjected to human pressures (e.g., cascade
dams, urbanization and replacement of native vegetation by pasture and crops).
These activities have led to impoverishment of biological communities and have
consequently altered the ecosystem.
PMID- 21881788
TI - Diet and resource partitioning among anurans in irrigated rice fields in
Pantanal, Brazil.
AB - Artificial ponds or irrigated systems scattered throughout farmlands can offer
important habitats for anurans and can be interesting sites for research on
species resources use in a changing landscape. This study describes the diet and
resource partitioning among anurans inhabiting irrigated rice fields in the
Pantanal region. Twenty categories of prey were found in the stomachs of
Leptodactylus chaquensis, L. elenae, L. podicipinus and Rhinella bergi, the most
frequent being Coleoptera, Hymenoptera, larvae of Hexapoda, Hemiptera, Diptera
and Orthoptera. The great differences found in the diet of these species in rice
fields compared to other locations, according to available records in the
literature, was the increased importance of Hemipitera and Orthoptera and the
decrease in importance of Hymenoptera in the diet of leptodactylids. These
differences might be attributed to changes in the availability of resources in
response to habitat modification. Although diet composition was very similar
among species, niche overlap was larger than expected by chance, suggesting that
the competition for food resources is not, or has not been, a significant force
in determining the structure of this frog community. Two non-exclusive hypotheses
could be considered as a justification for this result: 1) the high niche overlap
could result from resource availability, which is sufficient to satisfy all
species without any strong competition; 2) or the high values of niche overlap
could be a selective force driving species to compete, but there has not been
enough time to express a significant divergence in the species diet because the
study area is characterised as a dynamic habitat influenced by frequent and
cyclical changes.
PMID- 21881789
TI - Assessment and management of white mullet Mugil curema (Valencienne, 1836)
(Mugilidae) fisheries of the south coast of Sao Paulo state, Brazil.
AB - There is intense fishing activity, mainly artisanal, in the Cananeia, Iguape and
Ilha Comprida Estuarine System. White mullet (Mugil curema) is one of the local
fishery resources and is usually caught with gillnets and fish traps. This study
aimed to characterise the Mugil curema fisheries thereby underpinning the
management of the species in the region. The study was developed with data
collected from landings in the town of Cananeia, Sao Paulo state, Brazil, from
1995 to 2009. Production data, fishing effort and CPUE were used to assess the
evolution of captures. The gillnets were characterised by interviewing fishermen
from 16 communities in Cananeia. White mullet fishery has aroused the interest of
fishermen in the region since the 80s and today it is one of the main products of
artisanal estuarine fishery off the south coast of Sao Paulo. The major landings
occur in the warmer months with fish traps and gillnets being the main fishing
gear used. The largest catches occur in the spawning months of the species from
October to April. The highest landings varied according to different fishing
gear, showing differences primarily due to trade preferences and to the structure
of the fishing gear. According to the index of abundance used in this study, the
resource is overfished due to the progressive increase in fishing effort, so it
is suggested that measures should be taken to control fishing effort, such as
special fishing permits to catch white mullet, especially with gillnets. The
current management measures neither meet the needs of fishermen nor the
preservation of the resource, and must be reviewed in a participatory way with
management agencies and the fishery sector, ensuring greater legitimacy and
success in the sustainability of the activity.
PMID- 21881790
TI - Anthropogenic sources and distribution of phosphorus in sediments from the
Jaguaribe River estuary, NE, Brazil.
AB - This paper estimates annual P emission to the Jaguaribe River estuary, NE Brazil,
responsible for approximately 30% of the country's farmed shrimp production.
Emissions increased three times between 2001 and 2006 and reached 43.9 t.year-1.
These emissions make aquaculture the third main source of P for this estuary and
are much smaller than emissions from agricultural sources and slightly lower than
emissions from wastewater. Their relative contribution also increased from 10.5%
to 20.5%. Temporal variability of P concentrations in estuarine bottom sediments
also suggest an increase, but not as evident as the emissions. The spatial
distribution and speciation of P (total P and inorganic P) in sampling stations
located upstream and downstream from the main aquaculture area confirmed the
importance of this source. Inorganic P was the dominant form present in sediments
downstream and showed an increase from 67% to 75% of the total P present in the
sediment.
PMID- 21881791
TI - Reproductive cycle of Anomalocardia brasiliana (Mollusca: Bivalvia: Veneridae) in
the estuary of the Cachoeira River, Ilheus, Bahia.
AB - The aim of this study was to characterize the reproductive cycle of Anomalocardia
brasiliana, typical of the estuarine region of the Cachoeira River, Ilheus,
Bahia, Brazil. For this purpose, 20 specimens were collected biweekly between
August 2005 and August 2006 on an intertidal bank (14o 48' 23" S and 39o 02' 47"
W). The animals were measured on the anteroposterior axis (length), examined
macroscopically and removed from the shell and fixed in Davidson's solution.
Subsequently, the tissues were impregnated in paraffin, cut into 7 mm sections
and stained with Harris hematoxylin and eosin (HE). The slides were examined
under a light microscope. The water temperature at the site ranged from 24 to
30.5 oC (mean: 27.4 oC; SD +/- 1.9), salinity from zero to 23 (mean: 13.7; SD +/-
7.5) and rainfall from 28.3 mm to 248.8 mm monthly (yearly mean: 130 mm). The
sample (n = 478) showed a sex ratio (M: F) of 1: 1.2 (p < 0.05) and no cases of
hermaphroditism. There was no sexual dimorphism. Males and females showed
reproductive synchrony. The reproductive cycle was continuous, with releases of
gametes mainly in spring, summer and autumn. These results are similar to those
found in other regions, but there was no reproductive rest period as reported for
populations in higher latitudes.
PMID- 21881792
TI - A novel antifungal protein from seeds of Sesbania virgata (Cav.) Pers.
(Leguminosae-Faboideae).
AB - A novel antifungal protein with a molecular mass around 50 kDa was purified from
seeds of Sesbania virgata (Cav.) Pers. using ammonium sulfate fractionation
followed by gel filtration on a Sephadex G-75 Superfine (Sigma) column and
reverse-phase high performance liquid chromatography on a C8 column. The protein,
designated FP1-A, with a novel N-terminal sequence AMVHSPGG(S)FS(P), showed
growth inhibitory activity of filamentous fungi Aspergillus niger, Cladosporium
cladosporioides, Colletotrichum gloeosporioides and Fusarium solani.
PMID- 21881793
TI - Spermatic abnormalities of piracanjuba Brycon orbignyanus (Valenciennes, 1849)
after cryopreservation.
AB - The objective of this research was to verify the presence of spermatic
abnormalities on semen of Brycon orbignyanus after cryopreservation. Semen was
collected from ten four-year-old males who presented secondary reproductive
characteristics for migrating fish. Sperm was evaluated for motility, vigor and
spermatic morphology before and after cryopreservation. A cryoprotectant solution
was made of 20 mL of yolk egg, 5.0 g of glucose and dimethyl sulfoxide diluted in
distilled water (10 mL: 90 mL). The diluted semen (1:3, semen:solution) was
submitted to nitrogen steam for 24 hours and then to liquid nitrogen (-196 oC)
for 60 days. Cryopreservation decreased the percentage of normal spermatozoa from
62.20% to 54.60%. Consequently, the percentage of spermatozoa with secondary
abnormalities increased from 8.50% to 15.00%. However, there was no difference in
primary abnormalities. Both spermatic motility and vigor were decreased in
cryopreserved semen compared with fresh semen. In conclusion, cryopreservation of
semen of B. orbignyanus increased the percentage of secondary abnormalities and
decreased the spermatic motility and vigor.
PMID- 21881794
TI - Histochemical analysis of seed reserve mobilization in Passiflora edulis Sims fo.
flavicarpa O. Deg. (yellow passion fruit) during germination.
AB - In the present work, we analyzed the histochemical aspects of Passiflora edulis
seeds reserve mobilization during the first ten days of germination. Our results
showed that mainly lipids present in the endosperm are used as a reserve source,
and their levels reduce at the same time the radicle protrudes, between the
fourth and sixth day of sowing. Furthermore, protein bodies are present in the
cotyledons, which are degraded as germination occurs and are almost depleted by
the time of radicle protrusion. Starch grains also appear in the late germination
period, and it is not clear if there is any reserve wall polysaccharide
consumption in the endosperm.
PMID- 21881795
TI - Pollination of Byrsonima coccolobifolia: short-distance isolation and possible
causes for low fruit production.
AB - Byrsonima coccolobifolia is a tropical plant from the Malpighiaceae family,
distributed in the neotropical savanna fields and pollinated by bees known as
"collecting-oil bees". In this study, conducted in a Cerrado area located on a
farm in the city of Silvania, GO, the following hypothesis was tested: the
greater the isolation degree of a plant, the lower its fruit production due to
access difficulties for pollinators. Using a linear regression analysis, it was
possible to relate the fruiting rate with the degree of isolation of each B.
coccolobifolia individual and consequently it was found that the isolation had no
influence on the pollination rate, an unexpected event that can be explained by
the distance amongst individuals not being large enough to limit the movement of
pollinators, or because cross-pollination was not the predominant form of
reproduction, since the rate of cross-pollination was similar to self
pollination. It was also found that the proportion of fruits produced was lower
than expected, a factor which may also have influenced the results.
PMID- 21881796
TI - Seasonal influence on the hematological parameters in cultured Nile tilapia from
southern Brazil.
AB - This study evaluated seasonality in hematological parameters of Nile tilapia
cultured in the state of Santa Catarina, southern Brazil. A total of 240 fish
were examined during four seasons between April 2007 and March 2008 in three
different fish farms. After being anesthetised in a benzocaine solution, blood
samples were withdrawn into syringes containing a drop of 10% EDTA for
hematological analysis. The results were compared between fish farms and seasons,
which are well delimited in southern Brazil. In a traditional fish farm in
Joinville in the summer, there was an increase in the percentage of hematocrit
and in the red blood cell count. The highest values of total leukocytes were
found in fish from fee-fishing in Blumenau in the autumn while the lowest values
occurred in those from swine consorted system in Ituporanga in the summer.
Thrombocytosis was observed in the autumn, and lymphocytosis was found in both
the autumn and winter in tilapia from all fish farms investigated. Neutrophilia
was only observed in winter and autumn in fish from Blumenau and Ituporanga. This
work demonstrated the influence of seasonality and the handling characteristics
of each fish farm on certain hematological parameters in Nile tilapia.
PMID- 21881797
TI - Interaction between Apis mellifera L. and Baccharis dracunculifolia DC, that
favours green propolis production in Minas Gerais.
AB - In Minas Gerais, green propolis is produced from the collection of resinous
substance found in shoot apices of Baccharis dracunculifolia. The aim of this
study was to evaluate the biological parameters associated with the interaction
Apis mellifera x Baccharis dracunculifolia, to elucidate the supply of resin for
green propolis production in Minas Gerais. We selected male and female
individuals of two populations of Baccharis dracunculifolia located on Sao Judas
Tadeu Farm - FSJT, in the municipality of Betim, MG and the Experimental Garden
of the Ezequiel Dias Foundation - HORTO, located in an urban area in Belo
Horizonte, MG. We made weekly observations, from June 2007 to June 2008, and
evaluated in both populations: richness and abundance of insect visitors; resin
collecting visits of Apis mellifera; presence of Baccharopelma dracunculifoliae
galls; growth of individuals and phenological phases. Statistical analyses were
made using R software. The rainy season showed the highest number of visitors. A.
mellifera collected resin in shoot apices of Baccharis dracunculifolia from
August to April, only in the FSJT population, where galls of B. dracunculifoliae
were also present. Ovoposition of gall inductor on host plants occurs during the
rainy season, when there is a peak of visitants and resin collecting visits of
honeybees. This fact stimulates plant defense strategies against parasitoids and
predators, which includes the production of several secondary metabolites, and
ultimately reduces competition for food by inhibiting the attack of other
phytophagous insects, not adapted to the chemical environment of plant tissues.
Green propolis production in Minas Gerais is related to the abundant supply of
resin by Baccharis dracunculifolia, when they are parasitised by B.
dracunculifoliae galls. They induce plant production of defense exudates, which
attract Apis mellifera bees to collect resin and consequently favour the
production of green propolis.
PMID- 21881798
TI - First occurrence of Protapanteles (Protapanteles) enephes (Nixon, 1965)
(Hymenoptera, Braconidae, Microgastrinae) in Brazil and new biological data.
AB - Protapanteles (Protapanteles) enephes (Nixon, 1965) (Hymenoptera, Braconidae,
Microgastrinae) was reared from the host Fountainea ryphea phidile (Geyer, 1837)
(Lepidoptera, Nymphalidae, Charaxinae), collected on Croton floribundus Spreng.
(Euphorbiaceae) in Sao Carlos, Sao Paulo state, Brazil. The hyperperparasitoids
Conura sp. (Hymenoptera, Chalcididae) and a Pteromalidae species are registered
on this microgastrine species. Male and female specimens and cocoon of
Protapanteles (Protapanteles) enephes are illustrated for the first time.
PMID- 21881799
TI - Desmodus rotundus (Mammalia: Chiroptera) on the southern coast of Rio de Janeiro
state, Brazil.
AB - Since the 1990s, attacks by hematophagous bats on humans and domestic animals
have been reported both on the continent and on the islands on the southern coast
of Rio de Janeiro state. The density of vampire bats was investigated based on
percentage of captures during control of Desmodus rotundus samplings and during
bat diversity research. In the present work, 203 individuals of D. rotundus were
captured from 1993 to 2009, which corresponds to 11.88% of all bat captures
carried out for species control in local villages and 1.58% of all captures in
faunistic inventories. The density of D. rotundus is high even on the recently
occupied islands where domestic animals have been introduced. It is probable that
this species dispersed from the continent to the islands due to the introduction
of domestic animals.
PMID- 21881800
TI - Development of Loxosceles intermedia Mello-Leitao (1934) (Araneae, Sicariidae)
genital tract.
AB - We examined the post-embryonic development of the male and female genital
apparatus of the brown spider, Loxosceles intermedia. The development of the
genital apparatus for both sexes begins with the appearance of inner structures.
In the male genital apparatus, formation of the testes occurs first, followed by
differentiation of the duct, ampulla and vas deferens, and finally the formation
of the genital opening and differentiation of the copulatory organ (secondary
sexual characteristic). Similarly, the development of the female genital
apparatus begins with the formation of the ovaries, followed by the appearance of
oocytes in vitellogenesis, then the development of oviducts and uterus internus
and, finally, the spermatheca. These data may be very important in further
comparative studies on the development of the reproductive system of spiders.
PMID- 21881801
TI - Age and growth of the Scalloped Hammerhead shark, Sphyrna lewini (Griffith and
Smith, 1834), from the southern Brazilian coast.
AB - Age and growth studies for the scalloped hammerhead shark, Sphyrna lewini
(Griffith and Smith, 1834) caught along the southern Brazilian coast, were based
on ring measurements of vertebrae sections of 115 males, 116 females and 14
unknown sexed sharks between 48 and 344 cm total length (TL). The von Bertalanffy
growth models were best fit using back-calculated data. The growth parameters
obtained for males, were Linfinity = 266 cm; K = 0.05/year; L0 = 47 cm. For
females, Linfinity = 300 cm; K = 0.05/year; L0 = 51 cm. An adult female reached
217 cm TL and was 31.5 years old. On the other hand, an adult male was 29.5 years
old and measured 234 cm TL. Longevity estimate for males and females was 55
years. Therefore, S. lewini is a long-lived fish. Ageing precision, based on the
IAPE index, was 5.6%. Marginal Increment analysis based on MIR index from
hammerheads smaller than 105 cm, compared with the percentual of opaque and
hyaline bands found per month ageing whole vertebrae, showed an annual ring
formation, i.e., in winter.
PMID- 21881802
TI - Biological aspects of Schizodon nasutus Kner, 1858 (Characiformes, Anostomidae)
in the low Sorocaba river basin, Sao Paulo state, Brazil.
AB - Four biological aspects of Schizodon nasutus in the low Sorocaba river basin, Sao
Paulo, Brazil were analysed. These were accomplished during the year seasons. The
fish diet and the feeding activity were investigated by studying the repletion
index, which showed no significant differences between seasons. The food items
analysed by frequency of occurrence and dominance showed a predominance of
vegetable items in the diet. The reproduction, analysed by using the
gonadosomatic index, indicated that the reproductive period occurs during the
summer period when temperatures are higher and rainfalls are more intense. The
amount of accumulated fat and condition factor varied according to reproduction,
especially for females.
PMID- 21881803
TI - Seasonal variation in metazoan parasites of Trichiurus lepturus (Perciformes:
Trichiuridae) of Rio de Janeiro, Brazil.
AB - This work aimed to study the temporal variation of metazoan parasites of
Trichiurus lepturus from the coastal zone of Rio de Janeiro, Brazil. Between July
2006 and June 2007, there were four seasonal quarterly samples of 30 specimens of
T. lepturus. In addition to a group composed of anisakid larvae, we collected a
total of 14 species of metazoan parasites: five digenean; five monogenean, two
cestode larvae, one acanthocephalan larvae; and one copepod. With the exception
of Lecithochirium microstomum and Lecithochirium sp., all species showed peaks of
prevalence and abundance especially those fishes collected in summer, which may
indicate a seasonal variation of these parasites in T. lepturus from the coast of
Rio de Janeiro.
PMID- 21881804
TI - Acetylcholinesterase inhibition by somes promising Brazilian medicinal plants.
AB - A microplate assay and a thin-layer chromatography (TLC) "in situ" assay based on
the Ellman assay was used to screen for acetylcholinesterase inhibitors from
ethyl acetate and methanol extracts of Brazilian medicinal plants of families
that, according to the literature, have traditional uses that might be connected
with acetylcholinesterase inhibition. Eighteen species belonging to
Convolvulaceae, Crassulaceae, Euphorbiaceae, Leguminosae, Malvaceae, Moraceae,
Nyctaginaceae and Rutaceae families were tested. The most active plants were
Ipomoea asarifolia (IC50 = 0.12 mg/mL), Jatropha curcas (IC50 = 0.25 mg/mL),
Jatropha gossypiifolia (IC50 = 0.05 mg/mL), Kalanchoe brasiliensis (IC50 = 0.16
mg/mL) and Senna alata (IC50 = 0.08 mg/mL). The most promising extracts were the
Jatropha gossypiifolia and Senna alata species assuming there were compounds with
a similar activity to galanthamine, which should contain about 1% of an active
compound, or if present at lower levels even more active compounds than
galanthamine (IC50 = 0.37 x 10-3 mg/mL) should be present.
PMID- 21881806
TI - Occurrence of abnormalities on labral keel of Coronatella monacantha (Cladocera,
Anomopoda, Chydoridae) in a population from Ceara, Brazil.
PMID- 21881805
TI - Parasite diversity in Oxydoras niger (Osteichthyes: Doradidae) from the basin of
Solimoes River, Amazonas state, Brazil, and the relationship between
monogenoidean and condition factor.
AB - This study describes the parasitic fauna of Oxydoras niger from the Coari Lake,
tributary of the medium Solimoes River, State of Amazonas, Brazil, and the
relationship between the number of Monogenoidea and the condition factor. From a
total of 27 examined fish, 70.3% were parasitised by at least one parasite
species as follows: Ichthyophthirius multifiliis (Protozoa), Chilodonella sp.
(Protozoa), Cosmetocleithrum gussevi, C. confusus, C. parvum and Cosmetocleithrum
sp. (Monogenoidea), Paracavisona impudica (Acanthocephala), Cucullanus
grandistomis (Nematoda), Proteocephalus kuyukuyu (Cestoda) and Dadaytrema sp.
(Digenea). Monogenoidea helminthes were the most prevalent parasite when compared
to protozoan and intestinal helminthes. This study showed that O. niger has a
great parasite diversity composed mainly of monogenoideans followed by
acanthocephalan and digenean. This is the first record of Dadaytrema in O. niger
from the Brazilian Amazon. There was a positive correlation between the number of
monogenoideans and the condition factor (Kn) of fish, and with this mean
intensity of infection, fish welfare was not affected.
PMID- 21881807
TI - Moderate intensity physical training accelerates healing of full-thickness wounds
in mice.
AB - Physical training influences the cells and mediators involved in skin wound
healing. The objective of this study was to determine the changes induced by
different intensities of physical training in mouse skin wound healing. Ninety
male C57BL6 mice (8 weeks old, 20-25 g) were randomized into three physical
training groups: moderate (70% VO2max), high (80% VO2max), and strenuous
intensity (90% VO2max). Animals trained on a motorized treadmill for 8 weeks (E
lesion: physical training until the day of excisional lesion, N = 10) or 10 weeks
(E euthan: physical training for 2 additional weeks after excisional lesion until
euthanasia, N = 10), five times/week, for 45 min. Control groups (CG) trained on
the treadmill three times/week only for 5 min (N = 10). In the 8th week, mice
were anesthetized, submitted to a dorsal full-thickness excisional wound of 1
cm2, and sacrificed 14 days after wounding. Wound areas were measured 4, 7, and
14 days after wounding to evaluate contraction (d4, d7 and d14) and re
epithelialization (d14). Fragments of lesion and adjacent skin were processed and
submitted to routine histological staining. Immunohistochemistry against alpha
smooth muscle actin (alpha-SMA) was performed. Moderate-intensity training (M)
until lesion (M/E lesion) led to better wound closure 7 days after wounding
compared to controls and M/E euthan (P < 0.05), and both moderate-intensity
groups showed better re-epithelialization rates than controls (M/E lesion =
85.9%, M/E euthan = 96.4% and M/CG = 79.9%; P < 0.05). Sections of M/E lesion and
M/E euthan groups stained with hematoxylin-eosin, Picrosirius red and alpha-SMA
showed the most mature granulation tissues among all trained groups and controls.
Thus, moderate-intensity physical training improves skin wound healing.
PMID- 21881809
TI - New multilocus sequence typing of MRSA in Sao Paulo, Brazil.
AB - An increased incidence of nosocomial and community-acquired infections caused by
methicillin-resistant Staphylococcus aureus (MRSA) has been observed worldwide.
The molecular characterization of MRSA has played an important role in
demonstrating the existence of internationally disseminated clones. The use of
molecular biology methods in the surveillance programs has enabled the tracking
of MRSA spread within and among hospitals. These data are useful to alert
nosocomial infection control programs about the potential introduction of these
epidemic clones in their areas. Four MRSA blood culture isolates from patients
hospitalized at two hospitals in the city of Sao Paulo, Brazil, were analyzed;
one of them was community acquired. The isolates were characterized as SCCmec,
mecA and PVL by PCR, pulsed-field gel electrophoresis (PFGE) profile and
molecular sequence typing (MLST) genotyping. The isolates presented type IV
SCCmec, and none proved to be positive for PVL. The isolates showed a PFGE
profile similar to the pediatric clone. MLST genotyping demonstrated that the
isolates belonged to clonal complex 5 (CC5), showing a new yqiL allele gene,
resulting in a new sequence typing (ST) (1176). Our results showed that strains
of MRSA carrying a new ST are emerging in community and nosocomial infections,
including bacteremia, in Sao Paulo, Brazil.
PMID- 21881808
TI - A link between sleep loss, glucose metabolism and adipokines.
AB - The present review evaluates the role of sleep and its alteration in triggering
problems of glucose metabolism and the possible involvement of adipokines in this
process. A reduction in the amount of time spent sleeping has become an endemic
condition in modern society, and a search of the current literature has found
important associations between sleep loss and alterations of nutritional and
metabolic contexts. Studies suggest that sleep loss is associated with problems
in glucose metabolism and a higher risk for the development of insulin resistance
and type 2 diabetes mellitus. The mechanism involved may be associated with the
decreased efficacy of regulation of the hypothalamus-pituitary-adrenal axis by
negative feedback mechanisms in sleep-deprivation conditions. In addition,
changes in the circadian pattern of growth hormone (GH) secretion might also
contribute to the alterations in glucose regulation observed during sleep loss.
On the other hand, sleep deprivation stress affects adipokines - increasing tumor
necrosis factor-alpha (TNF-alpha) and interleukin-6 (IL-6) and decreasing leptin
and adiponectin -, thus establishing a possible association between sleep-debt,
adipokines and glucose metabolism. Thus, a modified release of adipokines
resulting from sleep deprivation could lead to a chronic sub-inflammatory state
that could play a central role in the development of insulin resistance and type
2 diabetes mellitus. Further studies are necessary to investigate the role of
sleep loss in adipokine release and its relationship with glucose metabolism.
PMID- 21881810
TI - Eccentric and concentric cardiac hypertrophy induced by exercise training:
microRNAs and molecular determinants.
AB - Among the molecular, biochemical and cellular processes that orchestrate the
development of the different phenotypes of cardiac hypertrophy in response to
physiological stimuli or pathological insults, the specific contribution of
exercise training has recently become appreciated. Physiological cardiac
hypertrophy involves complex cardiac remodeling that occurs as an adaptive
response to static or dynamic chronic exercise, but the stimuli and molecular
mechanisms underlying transduction of the hemodynamic overload into myocardial
growth are poorly understood. This review summarizes the physiological stimuli
that induce concentric and eccentric physiological hypertrophy, and discusses the
molecular mechanisms, sarcomeric organization, and signaling pathway involved,
also showing that the cardiac markers of pathological hypertrophy (atrial
natriuretic factor, beta-myosin heavy chain and alpha-skeletal actin) are not
increased. There is no fibrosis and no cardiac dysfunction in eccentric or
concentric hypertrophy induced by exercise training. Therefore, the renin
angiotensin system has been implicated as one of the regulatory mechanisms for
the control of cardiac function and structure. Here, we show that the angiotensin
II type 1 (AT1) receptor is locally activated in pathological and physiological
cardiac hypertrophy, although with exercise training it can be stimulated
independently of the involvement of angiotensin II. Recently, microRNAs (miRs)
have been investigated as a possible therapeutic approach since they regulate the
translation of the target mRNAs involved in cardiac hypertrophy; however, miRs in
relation to physiological hypertrophy have not been extensively investigated. We
summarize here profiling studies that have examined miRs in pathological and
physiological cardiac hypertrophy. An understanding of physiological cardiac
remodeling may provide a strategy to improve ventricular function in cardiac
dysfunction.
PMID- 21881811
TI - Diagnosis of adrenal failure in critically ill patients.
AB - In the last two decades there was important evolution on the knowledge of the
function of the hypothalamic-pituitary-adrenal axis. In the last decade, the
expression "relative adrenal insufficiency" (RAI) was created, and more recently
"critical illness-related corticosteroid insufficiency" (CIRCI) was used to
designate those patients in which cortisol production was not sufficiently
increased in stress situations. Patients with CIRCI have elevated hospital
morbidity and mortality. Currently, there is a wide discussion about diagnostic
criteria for this dysfunction. Besides basal cortisol, some publications now
study the role of other tests, such as cortrosyn test - either in low (1 MUg) or
high doses (250 MUg); free cortisol, salivary cortisol, metyrapone test and
others. With this review, we aimed at summarizing the results of the most
influent papers that intended to define diagnostic criteria for CIRCI. We also
suggest an approach for CIRCI diagnosis and make it clear that the decision about
steroid therapy in septic shock patients is matter apart from RAI.
PMID- 21881812
TI - [Regulation of glucose and fatty acid metabolism in skeletal muscle during
contraction].
AB - The glucose-fatty acid cycle explains the preference for fatty acid during
moderate and long duration physical exercise. In contrast, there is a high
glucose availability and oxidation rate in response to intense physical exercise.
The reactive oxygen species (ROS) production during physical exercise suggests
that the redox balance is important to regulate of lipids/carbohydrate
metabolism. ROS reduces the activity of the Krebs cycle, and increases the
activity of mitochondrial uncoupling proteins. The opposite effects happen during
moderate physical activity. Thus, some issues is highlighted in the present
review: Why does skeletal muscle prefer lipids in the basal and during moderate
physical activity? Why does glucose-fatty acid fail to carry out their effects
during intense physical exercise? How skeletal muscles regulate the lipids and
carbohydrate metabolism during the contraction-relaxation cycle?
PMID- 21881814
TI - [Final height (FH) in Turner syndrome (TS): experience of 76 cases followed at
the Pediatric Endocrinology Unit, Hospital de Clinicas, Federal University of
Parana].
AB - OBJECTIVE: To report the final height (FH) of 76 patients with Turner syndrome
(TS). MATERIALS AND METHODS: Review of the files and calculation of z scores: of
target height (TH), and FH according to NCHS/CDC/2000 and FH according to Lyon
and cols. RESULTS: Patients were classified in three groups: A (n = 16),
treatment with estrogens and progestogens; B (n = 21), treatment with oxandrolone
(OX); C (n = 39), growth hormone (GH) plus OX. The z score of TH was not
different among the groups and z score of FH was not different between A e B. Z
score of FH of group C was greater than the other groups, > 2SDS of Lyon's curve
and fitted on the 3(rd) percentile of NCHS/CDC. Multiple regression analysis
showed type of treatment (p < 0.001) and maternal height (p = 0.02) as most
influencing factors on FH. CONCLUSION: GH plus OX and maternal height contributed
significantly to enhance FH of TS patients.
PMID- 21881813
TI - Normocalcemic primary hyperparathyroidism in clinical practice: an indolent
condition or a silent threat?
AB - OBJECTIVE: To describe the characteristics of normocalcemic primary
hyperparathyroidism (NPHPT) in patients seen for osteoporosis evaluation.
PATIENTS AND METHODS: We examined the records of 156 women who came to the
hospital to be screened for osteoporosis. Measurements of total calcium, PTH, 25
hydroxy vitamin D, and beta-C-telopeptide were recorded. Bone mineral density and
T-scores were evaluated by densitometry of the lumbar spine, femoral neck and
distal one-third of the radius. The latter was only measured in patients with
primary hyperparathyroidism. Nephrolithiasis and bone fractures were documented
by a review of the medical records. RESULTS: We identified 14 patients with
NPHPT, accounting for 8.9% of the population studied. In the medical records, the
occurrence of kidney stones was reported in 28.6% of the patients with NPHPT, in
contrast with only 0.7% of the noncarriers. Regarding the presence of general
fractures, 21.4% of the patients with NPHPT were affected versus 16.2% of
noncarriers. CONCLUSION: Data from our study suggest that NPHPT has a diverse
phenotypic presentation, implying that this may not be an "indolent" disease.
PMID- 21881815
TI - Frequency of thyroid carcinoma and thyroid autoimmunity in first-degree relatives
of patients with papillary thyroid carcinoma: a single center experience.
AB - OBJECTIVE: To evaluate the frequency of thyroid cancer and thyroid dysfunction in
first-degree relatives of thyroid cancer patients, and to determine if there is a
difference between familial and sporadic thyroid cancer. SUBJECTS AND METHODS:
Fifteen first-degree relatives of seven families with at least two family members
with thyroid cancer (TC) were compared with 128 first-degree relatives of 45
families with only one family member affected. Laboratory and ultrasound
evaluation, fine-needle aspiration biopsy and thyroid surgery were used as
normally done in clinical practice. RESULTS: Thyroid dysfunction was similar
between the two groups. The frequency of TC and autoimmunity in the group that
had two relatives with known thyroid cancer was higher, compared with the
families that had sporadic thyroid cancer among their family members (40% vs. 2%,
p = 0.0001). CONCLUSION: Frequency of TC increases when more than one member of
the family is affected. These findings suggest that these relatives should be
screened more frequently than individuals in families in which only one case of
TC is observed.
PMID- 21881816
TI - [Impact of a minimum program of supervised exercises in the cardiometabolic risk
in patients with morbid obesity].
AB - OBJECTIVE: The objective aims at evaluating the impact of a minimum program of
supervised physical exercise on functional capacity and cardiometabolic risk
(CMR) in patients with morbid obesity. MATERIAL AND METHODS: By studying cases
with pre and post analyses, we have assessed the variations in weight, functional
capacity and in CMR, due to the program of supervised aerobic exercise on a
weekly intensity of 30 minutes during a period of 6 months. RESULTS: We have
studied 61 subjects, where 34 have only adhered to the intervention. There were
significant changes in weight (-5.3 +/- 5.3 kg, p < 0.0001), distance in the 6
minute walking test (69.8 +/- 48.6 m, p < 0.0001), systolic pressure (-23.8 +/-
27.7 mmHg, p < 0.0001), diastolic pressure (-14.4 +/- 8.9 mmHg, p < 0.0001) and
Framingham Score Risk (-4.4 +/- 5.1, p < 0.0001) in the adherent patients.
CONCLUSION: The results show that a supervised exercise program of low intensity
and frequency might interfere positively on CMR in individuals with morbid
obesity.
PMID- 21881817
TI - [Effect of triiodothyronine on the bone proteins expression during osteogenic
differentiation of mesenchymal stem cells].
AB - OBJECTIVE: The aim of this study was to evaluate the effect of T3 on the
expression of osteocalcin, osteopontin and collagen I during osteogenic
differentiation of mesenchymal stem cells (MSC). MATERIALS AND METHODS: The bone
marrow cells of Wistar rats with 30 days of age were extracted, cultured and
separated into five groups: control (undifferentiated), differentiated
(osteogenic stimulus) and differentiated with T3 (10(-3) nM, 10(-2) nM and 100
nM). For each group, four samples were cultured and were analyzed by real time RT
PCR at 7, 14 and 21 days for quantification of gene transcripts for osteocalcin,
osteopontin and collagen I. RESULTS: All the different groups without T3 or with
T3 regardless of the concentration, showed the collagen I expression
significantly lower expression, and osteocalcin and osteopontin expression
significantly greater than that of undifferentiated MSC. Nevertheless, the group
T3 100 nM showed higher expression of osteocalcin and a similar expression of the
osteoblast culture. CONCLUSION: In conclusion, the triiodothyronine does not
affect the expression of osteopontin and collagen I, but increases ostecalcin
expression during osteogenic differentiation in vitro of the MSC, and this effect
is dose-dependent.
PMID- 21881818
TI - Conservative management of pituitary tumor apoplexy.
AB - Pituitary tumor apoplexy is a rare neuroendocrine syndrome resulting, in most
cases, from hemorrhage or infarctation of a pre-existing pituitary adenoma.
Treatment recommendations vary; some authors advocate urgent surgical
decompression of the tumor, whereas others suggest that conservative management
can lead to recovery of neuro-ophthalmologic function. We describe two patients
with pituitary tumor apoplexy who had clinically non-functioning macroadenomas
and hypopituitarism, including hypogonadism. They were treated conservatively
without surgery, and achieved tumor remission.
PMID- 21881819
TI - First description of pseudohypoparathyroidism with frontal lobe calcification and
normal serum calcium at the initial manifestation in an otherwise healthy seven
year-old girl.
AB - Pseudohypoparathyroidism (PHP) is characterized by resistance to the peripheral
action of parathyroid hormone. We present a case of a seven-year-old girl who was
admitted at the service of Instituto Materno Infantil de Pernambuco, IMIP, with
motor episodes affecting the arms. Her calcium level was normal. Computed
tomography showed calcifications in frontal lobes and basal ganglia. After six
years: calcium was 5.5 mg/dL; phosphorus, 8.3 mg/dL and serum parathyroid hormone
was 1,318 pg/mL. Pseudohypoparathyroidism diagnosis was considered. This is the
first description of a case of pseudohypoparathyroidism without Albright's
stigma, with cerebral calcification, and no calcium abnormalities at the initial
clinical manifestation.
PMID- 21881820
TI - Metabolic improvement by telmisartan beyond angiotensin receptor blockade: role
of adipokines.
PMID- 21881821
TI - Metabolic syndrome: did the creator kill the creature?
PMID- 21881822
TI - Tcf-1 gene silence suppresses downstream gene expression in CD4(+) T cells from
bone marrow of aplastic anemia patients.
AB - CD4(+) T cells play a crucial role in the pathogenesis of acquired aplastic
anemia (AA). Tcf-1 gene regulates T cell development and function, and it is
significantly upregulated in the bone marrow CD4(+) T cells from patients with
acquired AA. To explore the role of Tcf-1 in the pathogenesis of AA, we knocked
down Tcf-1 gene in CD4(+) T cells of AA patients and studied the effects of Tcf-1
silencing on its downstream gene expression. Upon transfection of psiRNA into
marrow CD4(+) T cells from bone marrow of aplastic anemia patients, the
expression of Tcf-1 was significantly knocked down; consequently, expressions of
c-Myc and CD44 were also significantly reduced. Our results suggest that Tcf-1
may contribute to pathogenesis of AA by regulating downstream gene expression
such as c-myc and CD44.
PMID- 21881823
TI - Quantification of plasma Epstein-Barr virus DNA for assessing treatment response
in a patient with plasmablastic lymphoma.
PMID- 21881824
TI - An imatinib-treated FIL1P1-PDGFRalpha chronic eosinophilic leukemia transforming
to erythroid blast crisis: a case report.
PMID- 21881825
TI - 3,4-Diarylmaleimides-a novel class of kinase inhibitors-effectively induce
apoptosis in FLT3-ITD-dependent cells.
AB - FLT3 kinase has become an attractive drug target in AML with up to 30% of cases
harboring internal-tandem-duplication (ITD) mutations. For these, conferring a
worse prognosis and decreased overall survival, several FLT3 tyrosine kinase
inhibitors (TKIs) are currently being tested in clinical trials. However, when
using these drugs as monotherapy, the problem of short duration of remissions and
high incidence of TKI resistance has emerged. Here, we investigated two members
of a novel class of tyrosine kinase inhibitors, 3,4-diarylmaleimides, for their
efficacy on mutated FLT3 kinase. These compounds inhibit FLT3 kinase in an ATP
competitive manner and effectively inhibit phosphorylation of downstream targets.
3,4-Diarylmaleimides (DHF125 and 150) induce apoptosis in FLT3-ITD-dependent
cells lines and patient blasts at low micromolar concentrations. They are
retained in the cytoplasm of exposed cells for more than 24 h and synergize with
chemotherapy and midostaurin. Both 3,4-diarylmaleimides show inhbition of FLT3
ITD-related kinase autophosphorylation at distinct tyrosine residues when
compared to midostaurin. In conclusion, this novel group of compounds shows
differential inhibition patterns with regard to FLT3 kinase and displays a
promising profile for further clinical development. Currently, experiments
evaluating toxicity in murine models and unraveling the exact binding mechanism
are under way to facilitate a potential clinical application.
PMID- 21881826
TI - PSF suppresses tau exon 10 inclusion by interacting with a stem-loop structure
downstream of exon 10.
AB - Microtubule binding protein Tau has been implicated in a wide range of
neurodegenerative disorders collectively classified as tauopathies. Exon 10 of
the human tau gene, which codes for a microtubule binding repeat region, is
alternatively spliced to form Tau protein isoforms containing either four or
three microtubule binding repeats, Tau4R and Tau3R, respectively. The levels of
different Tau splicing isoforms are fine-tuned by alternative splicing with the
ratio of Tau4R/Tau3R maintained approximately at one in adult neurons. Mutations
that disrupt tau exon 10 splicing regulation cause an imbalance of different tau
splicing isoforms and have been associated with tauopathy. To search for factors
interacting with tau pre-messenger RNA (pre-mRNA) and regulating tau exon 10
alternative splicing, we performed a yeast RNA-protein interaction screen and
identified polypyrimidine tract binding protein associated splicing factor (PSF)
as a candidate tau exon 10 splicing regulator. UV crosslinking experiments show
that PSF binds to the stem-loop structure at the 5' splice site downstream of tau
exon 10. This PSF-interacting RNA element is distinct from known PSF binding
sites previously identified in other genes. Overexpression of PSF promotes tau
exon 10 exclusion, whereas down-regulation of the endogenous PSF facilitates exon
10 inclusion. Immunostaining shows that PSF is expressed in the human brain
regions affected by tauopathy. Our data reveal a new player in tau exon 10
alternative splicing regulation and uncover a previously unknown mechanism of PSF
in regulating tau pre-mRNA splicing.
PMID- 21881827
TI - Interkinetic nuclear movement in the ventricular zone of the cortex.
AB - The nuclei of neuroepithelial cells move along the apicobasal axis in
synchronization with their cell cycle status. This motility is known as
interkinetic nuclear movement. We discuss here the importance of cytoskeleton
organization, the centrosome, molecular motors, cell polarity proteins, and their
regulators in controlling and maintaining this typical behavior. Furthermore, due
to the tight linkage between cell proliferation, cell cycle, and nuclear
motility, we speculate that interkinetic nuclear movement is likely to be
affected in the pathophysiology of microcephaly, where the brain size is markedly
reduced.
PMID- 21881828
TI - Circulating extracellular proteasome in the cerebrospinal fluid: a study on
concentration and proteolytic activity.
AB - Alterations of the intracellular ubiquitin-proteasome pathway are found in
neurodegenerative and inflammatory disorders of the central nervous system, as
well as in its malignancies. Inhibitory substrates of the proteasomes represent
promising approaches to control autoimmune inflammations and induction of
apoptosis in cancer cells. Extracellular circulating proteasomes are positively
correlated to outcome prognosis in hematogenic neoplasias and the outcome in
critically ill patients. Previously, we reported raised levels of proteolytic
active 20S proteasomes in the extracellular alveolar space in patients with acute
respiratory distress syndrome (ARDS). For the cerebrospinal fluid, we assumed
that extracellular circulating proteasomes with enzymatic activity can be found,
too. Cerebrospinal fluid (CSF) samples of twenty-six patients (14 females, 12
males), who underwent diagnostic spinal myelography, were analyzed for leukocyte
cell count, total protein content, lactate and interleukine-6 (Il-6)
concentrations. CSF samples were analyzed for concentration and enzymatic
activity of extracellular 20S proteasomes (fluorescenic substrate cleavage;
femtokatal). Blood samples were analyzed with respect to concentration of
extracellular circulating proteasomes. Choroidal plexus was harvested at
autopsies and examined with immunoelectron microscopy (EM) for identification of
possible transportation mechanisms. Statistical analysis was performed using SPSS
(18.0.3). In all patients, extracellular proteasome was found in the CSF. The
mean concentration was 24.6 ng/ml. Enzymatic activity of the 20S subunits of
proteasomes was positively identified by the fluorescenic subtrate cleavage at a
mean of 8.5 fkat/ml. Concentrations of extracellular proteasomes in the CSF,
total protein content and Il-6 were uncorrelated. Immunoelectron microscopy
revealed merging vesicles of proteasomes with the outer cell membrane suggestive
of an exozytic transport mechanism. For the first time, extracellular circulating
20S proteasome in the CSF of healthy individuals is identified and its enzymatic
activity detected. A possible exozytic vesicle-bond transportation mechanism is
suggested by immunoelectron microscopy. The present study raises more questions
on the function of extracellular proteasome in the CSF and encourages further
studies on the role of extracellular protesomes in pathological conditions of the
central nervous system (tumor lesions and inflammatory processes).
PMID- 21881829
TI - PEMT G523A (V175M) is associated with sporadic Alzheimer's disease in a Chinese
population.
AB - There is evidence that increased concentrations of circulating homocysteine are
associated with Alzheimer's disease (AD). Phosphatidylethanolamine N
methyltransferase (PEMT) is an important catalyst involved in the production of
homocysteine. We investigated the association of a functional single nucleotide
polymorphism (rs7946) in PEMT with sporadic AD risk in a Han Chinese population
that included 386 AD patients and 366 controls. PEMT G523A was genotyped by
either sequencing or PCR-restriction fragment length polymorphism analysis. The
plasma homocysteine concentrations of 210 subjects were determined by high
performance liquid chromatography. Significant higher frequency of the A allele
was detected in AD cases than in controls (A vs. G, p = 0.007, OR = 1.482, 95% CI
1.114-1.972). After adjusting for gender, age/age at onset, and APOE epsilon4
status, logistic analysis showed rs7946 was associated with AD in a dominant
model (AA + GA vs. GG, p = 0.007, OR = 1.596, 95% CI 1.138-2.240). When
stratified by APOE epsilon4 status or gender, the significant difference was only
observed in the APOE epsilon4 non-carriers and in the female subjects,
respectively. We did not find a relationship of this polymorphism with plasma
homocysteine levels. These results suggested that PEMT G523A is associated with
AD and that the A allele is an APOE epsilon4-independent risk factor for AD among
Han Chinese women.
PMID- 21881830
TI - Prognostic value of free DNA quantification in serum and cerebrospinal fluid in
glioma patients.
AB - Unlike uniformly truncated DNA released from apoptotic nondiseased cells, free
DNA released from dead tumor cells varies in size. Free DNA has been considered
as a candidate biomarker for malignant tumors. We obtained serum samples from 70
patients with glioma and 22 healthy volunteers as control and cerebrospinal fluid
(CSF) samples from 20 patients with glioma and eight nonneoplastic controls with
hydrocephalus or arachnoid cyst and performed preoperative analysis of free DNA
concentration and integrity by a quantitative polymerase chain reaction. With two
primers sets amplifying short and long free DNA fragments (ALU115 and ALU247),
free DNA integrity was determined by ratio of the concentration of ALU247 over
ALU115 (ALU247/115). Our results indicate that free DNA integrity and the ratio
of long fragments to short fragments may be a useful diagnostic assay for glioma.
In summary, the CSF-free DNA concentration and integrity may serve as a new
marker for the diagnosis of glioma.
PMID- 21881831
TI - Behavioral variant frontotemporal dementia with corticobasal degeneration
pathology: phenotypic comparison to bvFTD with Pick's disease.
AB - Patients with corticobasal degeneration (CBD) pathology present with diverse
clinical syndromes also associated with other neuropathologies, including
corticobasal syndrome, progressive nonfluent aphasia, and an Alzheimer's-type
dementia. Some present with behavioral variant frontotemporal dementia (bvFTD),
though this subtype still requires more detailed clinical characterization. All
patients with CBD pathology and clinical assessment were reviewed (N = 17) and
selected if they initially met criteria for bvFTD [bvFTD(CBD), N = 5]. Available
bvFTD patients with Pick's [bvFTD(Pick's), N = 5] were selected as controls.
Patients were also compared to healthy older controls [N = 53] on
neuropsychological and neuroimaging measures. At initial presentation, bvFTD(CBD)
showed few neuropsychological or motor differences from bvFTD(Pick's).
Neuropsychiatrically, they were predominantly apathetic with less florid social
disinhibition and eating disturbances, and were more anxious than bvFTD(Pick's)
patients. Voxel-based morphometry revealed similar patterns of predominantly
frontal atrophy between bvFTD groups, though overall degree of atrophy was less
severe in bvFTD(CBD), who also showed comparative preservation of the
frontoinsular rim, with dorsal > ventral frontal atrophy, and sparing of temporal
and parietal structures relative to bvFTD(Pick's) patients. Despite a remarkable
overlap between the two patient types, bvFTD patients with underlying CBD
pathology show subtle clinical features that may distinguish them from patients
with Pick's disease neuropathology.
PMID- 21881832
TI - Exploring the role of soluble factors associated with immune regulatory
properties of mesenchymal stem cells.
AB - Mesenchymal stem cells (MSCs) are characterized as multipotent stromal cells with
the capacity for both self-renewal and differentiation into mesodermal cell
lineages. MSCs also have a fibroblast-like phenotype and can be isolated from
several tissues. In recent years, researchers have found that MSCs secrete
several soluble factors that exert immunosuppressive effects by modulating both
innate (macrophages, dendritic and NK cells) and adaptive (B cells and CD4+ and
CD8+ T cells) immune responses. This review summarizes the principal trophic
factors that are related to immune regulation and secreted by MSCs under both
autoimmune and inflammatory conditions. The understanding of mechanisms that
regulate immunity in MSCs field is important for their future use as a novel
cellular-based immunotherapy with clinical applications in several diseases.
PMID- 21881834
TI - Sunitinib and Thrombosis.
PMID- 21881833
TI - Stable changes in mesenchymal stromal cells from multiple myeloma patients
revealed through their responses to Toll-like receptor ligands and epidermal
growth factor.
AB - In human multiple myeloma (MM), the tumor cells exhibit strict dependence on bone
marrow (BM) stromal elements. It has been suggested that, in turn, MM cells
modify multipotent stromal cells (MSCs), diverting them to support the myeloma.
We investigated MM-derived MSCs by comparing their toll-like receptor (TLR)
responses to those of MSCs derived from healthy controls. We now report that MM
derived MSCs manifested intact proliferation responses and IL-6 secretion and
their adipose and osteogenic differentiation responses to TLR ligands were also
similar to those of healthy controls, ranging from augmentation to inhibition.
However, MM-derived MSCs were found to be defective in IL-8 secretion and ERK1/2
phosphorylation following TLR-2 activation. Moreover, MM-derived MSCs failed to
respond to EGF by elevation of ERK1/2 phosphorylation. The persistence of these
changes in extensively cultured MM-derived MSCs, suggests that these cells are
stably, if not irreversibly modified.
PMID- 21881835
TI - A case of bilateral Chikungunya neuroretinitis.
PMID- 21881836
TI - Bariatric surgery: impact on body composition after Roux-en-Y gastric bypass.
AB - BACKGROUND: The aim of this study was to analyze the impact of bariatric surgery
on the body composition of patients suffering from class III obesity at different
postoperative time intervals. METHODS: The body composition of 114 patients
undergoing Roux-en-Y gastric bypass surgery was measured prior to surgery (T0)
and then 30 (T30) and 180 (T180) days following surgery. Body composition was
evaluated using the following parameters: total body mass, body mass index,
excess weight, percentage of excess weight loss, relative body fat (%F), lean
body mass (LBM), and fat tissue mass (FTM). To determine these variables,
validated formulas and equations proper to obese men and women were employed.
RESULTS: A significant reduction in %F (41.5%), LBM (20.3%), FTM (37.9%) was
noted at each time interval (p <= 0.01). CONCLUSIONS: Bariatric surgery proved to
be effective in reducing total body mass and body fat at every time interval.
However, dietary measures emphasizing adequate protein intake may be implemented
in order to reduce loss of LBM and, coupled with frequent physical activity, may
help curtail the impact the surgery has on morphological variables.
PMID- 21881837
TI - Brain tissue echogenicity--implications for substantia nigra studies in
parkinsonian patients.
AB - The aim of the present study was to assess the origin of the substantia nigra
hyperechogenicity in Parkinson disease patients. The cause of hyperechogenicity
was tested on an animal model. Fresh porcine brains were injected consecutively
with ferritin, apoferritin and water. Then, glioma samples were inserted into
animal model. The echogenicity of the region of interest was assessed before and
after experimental procedures. We observed the same echogenicity of porcine brain
before and after injections of iron-loaded ferritin, apoferritin and water.
Increased echogenicity of glioma samples compared to surrounding porcine brain
tissue could be clearly seen. We postulate that the relative gliosis might be, at
least partially, responsible for the increased echogenicity of the substantia
nigra in Parkinson disease patients. Keeping in mind all limitations and
inaccuracies of animal model used, it seems that hyperechogenicity of substantia
nigra is caused rather by structural changes within the brain tissue than by
increased iron concentration.
PMID- 21881838
TI - Continuous drug delivery in early- and late-stage Parkinson's disease as a
strategy for avoiding dyskinesia induction and expression.
AB - The treatment of the motor symptoms of Parkinson's disease (PD) is dependent on
the use of dopamine replacement therapy in the form of L: -dopa and dopamine
agonist drugs. However, the development of dyskinesia (chorea, dystonia,
athetosis) can become treatment limiting. The initiation of dyskinesia involves a
priming process dependent on the presence of nigral dopaminergic cell loss
leading to alterations in basal ganglia function that underlie the expression of
involuntary movements following the administration of each drug dose. Once
established, dyskinesia is difficult to control and it is even more difficult to
reverse the priming process. Dyskinesia is more commonly induced by L: -dopa than
by dopamine agonist drugs. This has been associated with the short duration of L:
-dopa causing pulsatile stimulation of postsynaptic dopamine receptors compared
to the longer acting dopamine agonists that cause more continuous stimulation. As
a result, the concept of continuous dopaminergic stimulation (CDS) has arisen and
has come to dominate the strategy for treatment of early PD. However, CDS has
flaws that have led to the general acceptance that continuous drug delivery (CDD)
is key to the successful treatment of PD. Studies in both experimental models of
PD and in clinical trials have shown CDD to improve efficacy, but reduce
dyskinesia induction, and to reverse established involuntary movements. Two key
clinical strategies currently address the concept of CDD: (1) in early-, mid- and
late-stage PD, transdermal administration of rotigotine provides 24 h of drug
delivery; (2) in late-stage PD, the constant intraduodenal administration of L:
dopa is utilized to improve control of motor symptoms and to diminish established
dyskinesia. This review examines the rationale for CDD and explores the clinical
benefit of using such a strategy for the treatment of patients with PD.
PMID- 21881840
TI - Expression of matrix metalloproteinase-1, -9, -13, and tissue inhibitor of
metalloproteinases-1 in basal cell carcinomas of the eyelid.
AB - BACKGROUND: Matrix metalloproteinases (MMPs) and tissue inhibitors of
metalloproteinases (TIMPs) function in the remodelling of the extracellular
matrix in morphogenesis, angiogenesis, tissue repair, and tumor invasion.
Elevated levels of distinct MMPs in tumor tissue are related to worse prognosis.
However, no overall consistent pattern of expression in human cancer has been
identified. The aim of the present study was to evaluate the expression of MMP-1,
-9, -13 and TIMP-1 in tumor epithelial cells and surrounding connective tissue in
primary basal cell carcinomas (BCC) of the eyelid, and to assess their role as
prognostic markers for tumor recurrence. METHODS: Surgical specimens of 49
histologically proven primary BBCs of the eyelid of different histological
subtypes were included. Immunohistological studies were performed using
antibodies against MMP-1, MMP-9, MMP-13 and TIMP-1, and staining intensity was
analyzed semi-quantitatively. RESULTS: MMP-1, -9, -13, and TIMP-1 were expressed
at various intensities in epithelial tumor cells and surrounding stromal cells
including fibroblasts, inflammatory cells, and vascular endothelial cells in all
tumor subtypes. Staining was especially prominent at the invading edge of the
BCC. A statistically significant correlation was seen between increased TIMP-1
expression in tumor and/or stromal cells with the presence of MMP-13 (p = 0.007
and p < 0.0001 respectively). Moreover, TIMP-1 expression in tumor and/or stroma
was significantly associated with relapse (p = 0.012 and p = 0.042 respectively).
CONCLUSION: MMP-9, MMP-13 and TIMP-1 expression may serve as a prognostic marker
for early tumor invasiveness. Moreover, up-regulation of TIMP-1 in tumor and/or
surrounding stromal cells may indicate an increased risk for BCC recurrence.
PMID- 21881841
TI - Comparison of measurement error of Cirrus HD-OCT and Heidelberg Retina Tomograph
3 in patients with early glaucomatous visual field defect.
AB - BACKGROUND: To compare the measurement error of Cirrus HD-OCT and Heidelberg
Retina Tomograph 3 (HRT3) in patients with early glaucomatous visual field
defect. METHODS: Thirty-nine consecutive patients (39 eyes) with early
glaucomatous visual field defect were included. One eye of a patient was examined
with Cirrus HD-OCT and HRT3 in one session. Each instrument was used by two
operators, each taking two measurements in turn. We performed measurements of
average retinal nerve fiber layer (RNFL) thickness and RNFL thickness in four
quadrants with Cirrus HD-OCT and 13 stereometric parameters of the optic nerve
head and RNFL with HRT3. RESULTS: Cirrus HD-OCT parameters performed much better
than HRT3 stereometric parameters. Mean (for both operators) intraoperator within
subject coefficient of variation of the best Cirrus HD-OCT parameter (average
RNFL thickness) was 2.6-2.7 times lower than the best HRT3 parameters [mean cup
depth and rim area (P < 0.001)]. Mean intraoperator variability of RNFL thickness
in quadrants (except nasal quadrant) was also significantly lower with OCT than
with HRT. The interoperator within-subject coefficients of variation for both
average RNFL thickness and RNFL thickness in all quadrants were significantly
lower than the interoperator variability of best HRT3 parameter [mean cup depth
(P < 0.001)]. The within-subject coefficient of variation of the average/mean
RNFL thickness assessed by both instruments was 5.4-7.3 times lower for Cirrus HD
OCT. Among HRT3 parameters, mean cup depth, rim area and linear cup/disk ratio
were the least variable, while cup volume, cup area and cup/disc area ratio were
the most variable parameters. CONCLUSIONS: Cirrus HD-OCT provides excellent
intrasession intra- and interoperator repeatability of the RNFL measurements,
especially of the average RNFL thickness in primary open-angle glaucoma (POAG)
patients and POAG suspects with early glaucomatous visual field defect. The
measurement error (expressed as within-subject coefficient of variation) of RNFL
measurements by Cirrus HD-OCT is much lower than the error of HRT3 measurements
of stereometric parameters of the optic nerve head and RNFL.
PMID- 21881842
TI - Homozygosity for the +674C>T polymorphism on VEGF gene is associated with age
related macular degeneration in a Brazilian cohort.
AB - PURPOSE: To investigate the association between VEGF gene polymorphism and age
related macular degeneration (AMD) in a Brazilian cohort. METHODS: We examined
160 affected individuals and 140 sex- and age-matched controls recruited at the
Vision Institute and the Retina Department, Sao Geraldo Hospital, Minas Gerais
Federal University, Brazil, between 2007 and 2011. Genotyping for the VEGF
rs1413711 single nucleotide polymorphism (SNP) (+674C>T) was performed. The
incidence rate ratios and 95% confidence interval (CI) for AMD for this genotype
was calculated. The odds ratio (OR) was also assessed by using logistic
regression, controlling for CFH and LOC387715 risk genotype. RESULTS: We observed
a prevalence of homozygosity (TT genotype) of 18.1% for rs1413711 among AMD cases
compared with 5.8% among controls (P < 0.002). The ORs for this polymorphism were
3.6 (95%CI 1.6-8.2) for homozygous subjects and 1.5 (95%CI 1.1-2.1, P < 0.01) if
the subject had at least one risk allele. When we studied separately exudative
and dry AMD groups, this polymorphism was statistically significant for both
groups. Controlling for CFH and LOC387715 risk genotype the OR was 3.0 for VEGF
homozygous, and the OR increases if the patient is homozygous for the three
genes. CONCLUSION: The present data suggests that VEGF TT genotype is associated
with AMD among Brazilian patients.
PMID- 21881843
TI - Cryopreservation and long-term culture of transformed murine corneal endothelial
cells.
AB - PURPOSE: To characterize the morphology and gene expression of transformed murine
corneal endothelial cells. METHODS: Primary immortomouse corneal endothelial
cells were continuously cultured before and after cryopreservation. Morphologic
assessment, real time-reverse transcriptase polymerase chain reaction ((RT)-PCR)
and immunofluorescence studies were performed using newly cultured cells, cells
that had been continuously in culture for 1 year, and cryopreserved cells, to
assess for structural and functional integrity. The expression of corneal
endothelial markers zonula occludens-1 (ZO1), NaK-ATPase and collagen VIII
(alpha2) (COL8A2), and myofibroblast markers Desmin, alpha smooth muscle actin
(alphaSMA), and Vimentin was assessed and compared by both RT-PCR and
immunofluorescence. RESULTS: Cells in culture formed a monolayer, and exhibited a
polygonal shape after reaching confluence. Cells retained this morphology during
the full observation time of 12 months and when reused after cryopreservation.
Immunofluorescence experiments exhibited positive staining for NaK-ATPase and
COL8A2 with low variability between the three groups. In RT-PCR experiments, ZO1,
COL8A2 and Desmin were increased in fresh and thawed cells, alphaSMA was
decreased, and NaK-ATPase and Vimentin remained unchanged, compared to 12-month
old cells. Comparing fresh and thawed cells, COL8A2 was increased in thawed
cells, while Desmin was increased in fresh cells. CONCLUSIONS: Using the
immortomouse strain, murine corneal endothelial cells can be propagated over a
long time period and be used after cryopreservation. Cells retain the expression
of NaK-ATPase, but show some decline in ZO1 and COL8A2 over time and after
cryopreservation. The expression of myofibroblast markers suggests an endothelial
to-mesenchymal transformation process in culture.
PMID- 21881844
TI - Luminance-modulated adaptation in the global flash mfERG: a preliminary study of
early retinal functional changes in high-risk glaucoma patients.
AB - PURPOSE: To investigate the association of the luminance-modulation global flash
multifocal electroretinogram (mfERG) and other clinical assessments of vision in
subsets of subjects at high risk of developing glaucomatous damage. METHODS:
Eighteen subjects (28 eyes) with asymmetric glaucoma and ocular hypertension were
measured in this longitudinal study of visual field, OCT, and multifocal
electroretinogram (mfERG). Five ophthalmic examinations were scheduled, once
every 12 months over a 4-year period. The mfERG was assessed using a luminance
modulated global flash stimulation paradigm. The adaptive index which we have
reported previously was calculated. RESULTS: There was a significant thinning of
the peripapillary retinal nerve fiber layer over the course of the study for eyes
with ocular hypertension, or for fellow eyes with asymmetric glaucoma which
initially had an abnormal adaptive index; such eyes showed a thinning rate of
3.59 and -3.69 MUm/year, respectively. However, no significant thinning was found
for eyes which initially had a normal adaptive index. Two subjects were shown to
have glaucomatous damage, confirmed by abnormal thinning of the retinal nerve
fiber layer and visual field loss respectively at the last visit. However, these
patients had shown an abnormal adaptive index in the mfERG measurement at the
first visit. CONCLUSIONS: The adaptive index calculated from the measurement of
luminance-modulated global flash mfERG is useful for predicting progression of
signs related to glaucoma, especially in high-risk groups. The abnormal adaptive
index reflects the change in fast-adaptive mechanisms in the retina and indicates
the risk of developing glaucoma.
PMID- 21881845
TI - GDF-15: a novel serum marker for metastases in uveal melanoma patients.
AB - BACKGROUND: About 50% of patients with uveal melanoma (UM) develop metastases
during the course of their disease. We analyzed serum levels of Growth
Differentiation Factor-15 (GDF-15), with the aim of identifying patients with
early metastases. METHODS: GDF-15 concentration was measured using an enzyme
linked immunosorbent assay (ELISA) in serum samples from 188 UM patients (170
patients without metastases; 18 patients with clinically detectable metastases)
and 18 healthy control individuals. Data were analyzed with respect to
differences between patients with and without clinically detectable UM
metastases. GDF-15 serum levels were further analyzed with regard to significant
patient and tumor characteristics as revealed by histology and multiplex ligation
dependent probe amplification (MLPA) to determine chromosome 3 copy number. GDF
15 expression in UM was investigated by immunohistochemistry. RESULTS: Patients
with clinically detectable metastases had significantly higher GDF-15 serum
levels compared to those without clinically detectable metastases as well as to
healthy individuals (ANOVA; p < 0.001). GDF-15 concentrations in UM patients with
overt clinically detectable metastases were significantly higher than those in UM
patients with a second malignancy in remission but without clinically detected UM
metastases (ANOVA; p < 0.001). No association between serum concentration of GDF
15 and clinical, pathological, and genetic features was observed. GDF-15 protein
was only expressed in a minority of UM cells in most tumors. CONCLUSIONS: Our
data suggest that GDF-15 can be used as a serum marker for the diagnosis of
metastases in UM patients. Further data collection and analysis are necessary to
evaluate a possible prognostic role of GDF-15 in predicting early metastases.
PMID- 21881846
TI - A new locus in chromosome 2q37-qter is associated with posterior polar cataract.
AB - PURPOSE: To study the genetic basis of autosomal dominant posterior polar
cataracts in two Chinese pedigrees. MATERIALS AND METHODS: Peripheral blood
samples were collected and genomic DNA was isolated. A genome-wide scan, using
microsatellite markers at approximately 10-cm intervals and additional
microsatellite markers for the positive region, was performed. Haplotype data
were processed using Cyrillic software (version 2.1) to define the region of the
disease gene. Mutation analysis was carried out for candidate genes. Sequencing
data were analyzed with the software Sequence Scanner v1.0. RESULTS: A maximum
two-point LOD score (Z (max)) of 2.53 and 2.03 was obtained at marker D2S125 with
recombination theta = 0.00 in the two families. The possible disease genes were
located at approximately 8.44-cM between the marker D2S125 and the terminal of
chromosome 2q, namely, 2q37-qter. Candidate genes, such as Gamma-crystallins
(CRYGA-D), septin 2 (SEPT2), aquaporin 12B (AQP12B), and chemokine orphan
receptor 7 (CXCR7), were sequenced but no causative mutations were found.
CONCLUSIONS: Our results suggest that an unidentified gene in chromosome 2q37
qter is associated with posterior polar cataract, which may have an implication
in understanding the genetic and molecular mechanisms of cataracts.
PMID- 21881847
TI - A potential therapeutic strategy for inhibition of ocular neovascularization with
a new endogenous protein: rhEDI-8t.
AB - BACKGROUND: Endogenous angiogenesis inhibitors act as natural negative feedback
in the focal area during the neovascularization process, and have less
interference on physiological angiogenesis, and thus fewer negative side-effects.
These inhibitors are potential candidates to combine with or substitutes for
current popular anti-angiogenesis treatments to have synergistic effect. In this
study, the effects of recombinant endothelial growth inhibitor protein (rhEDI
8t), a novel endogenous protein originated from collagen VIII, was investigated
on ocular neovascularization (NV). Endostatin, a well-identified endogenous
angiogenesis inhibitor, was compared in parallel and served as a positive
control. METHODS: The inhibitory effect of rhEDI-8t on vascular endothelial cells
was evaluated by a human umbilical vascular endothelial cells (HUVEC)
proliferation test and a bovine aortic endothelial cells (BAEC) migration
experiment. The effect of rhEDI-8t on ocular NV was further investigated in mice
with choroidal neovascularization (choroidal NV) induced by laser, ischemic
retinopathy and transgenic mice with expression of VEGF in photoreceptors
(rho/VEGF) respectively. RESULTS: RhEDI-8t inhibited the growth of HUVECs and
migration of BAECs stimulated by basic fibroblast growth factor (bFGF). Mice
intravitreally treated with rhEDI-8t showed a significant reduction of choroidal
NV, retinal NV and subretinal NV. CONCLUSION: Endogenous angiogenesis inhibitor
rhEDI-8t showed a potent anti-angiogenesis effect in both in vitro and in vivo
experiments. It contributed to the suppression of ocular NV. The study suggested
that rhEDI-8t could be a subsidiary potent therapeutic medicine in addition to
anti-VEGF therapy in future clinical anti-angiogenesis treatment.
PMID- 21881849
TI - Reduction of cerebral infarct size by dronedarone.
AB - PURPOSE: In the ATHENA trial, dronedarone reduced the incidence of stroke in
patients with atrial fibrillation. Since smaller cerebral infarcts are sometimes
asymptomatic, the reduced incidence of stroke might reflect reduction of infarct
size (IS) by dronedarone. However, no data on this effect of dronedarone are
available. METHODS: In 60 rats, the middle cerebral artery was occluded (MCAO)
for 1 h followed by reperfusion. IS was assessed at day 7. Animals were examined
using a neurological 5 points score. Twelve animals served as controls (group A),
12 animals received 30 mg/kg (group B) and 100 mg/kg (group C) dronedarone daily
starting 3 days before MCAO; 12 animals received 30 mg/kg (group D) starting 2 h
after MCAO. In all groups treatment was maintained until day 7. In 12 additional
animals (6 controls, 6 pretreated animals) fractional anisotropy (FA) was
assessed using magnetic resonance imaging (MRI). RESULTS: IS in group A was 151
+/- 45 mm(3) versus 94 +/- 42 mm(3) in group B, 79 +/- 29 mm(3) in group C, and
127 +/- 51 mm(3) in group D, respectively (B,C,D P < 0.05 vs. A). Neuroscores and
weight loss (expressed as percent of initial weight) were less in treatment
groups: 1.8 +/- 0.6 and 91% in group B, 1.4 +/- 0.5 and 93% in group C, and 2.1
+/- 0.6 and 89% in group D compared to 2.4 +/- 0.5 and 83% in controls (B,C,D P <
0.05 vs. A). FA in the ischemic penumbra was significantly higher in treated than
in control animals (0.44 +/- 0.2 vs. 0.35 +/- 0.17; P < 0.05). CONCLUSIONS:
Dronedarone administered before and after MCAO reduces IS and improves FA and
neurological outcome in transient cerebral ischemia.
PMID- 21881848
TI - Hemodynamic responses to visual stimulation in children with sickle cell anemia.
AB - Blood oxygenation level-dependent (BOLD) and cerebral blood flow (CBF)-based
functional magnetic resonance imaging (fMRI) were used to measure primary visual
cortex responses to photic stimulation in 23 children (12.4 +/- 0.7 years old)
with sickle cell anemia (SCA) and 21 clinical controls (11 +/- 1.0 years old).
The objectives were to investigate the effect of SCA on detection of brain
activation with fMRI and to explore the relationship between fMRI responses and
global cognitive function. The BOLD responses were diminished in children with
SCA. Clinical indicators of disease severity were greatest in patients without
detectable visual cortex activation, but blood hemoglobin concentration and
resting CBF were not predictive of BOLD signal amplitude in the SCA patients.
Unexpectedly, the BOLD signal amplitude was positively associated (r(s) >= 0.8,
p <= 0.05) with Wechsler Abbreviated Scale of Intelligence scores, suggesting
that fMRI may help clarify medical, hemodynamic, and neural factors that mediate
adverse effects of SCA on neurocognitive function.
PMID- 21881839
TI - Mechanisms underlying the onset and expression of levodopa-induced dyskinesia and
their pharmacological manipulation.
AB - A significant proportion of patients with Parkinson's disease (PD) receiving
dopamine replacement therapy in the form of levodopa develop dyskinesia that
becomes a major complicating factor in treatment. Dyskinesia can only be
effectively treated by a reduction in drug dose, which limits efficacy, by co
administration of the weak NMDA antagonist amantadine or by surgical treatment
(pallidotomy, DBS). This raises the important question of why dyskinesia occurs
in PD and how it can be avoided or suppressed by pharmacological treatment. This
review assesses some of the mechanisms that underlie dyskinesia induction and
expression from presynaptic changes in dopaminergic neurones to postsynaptic
alterations in basal ganglia function and examines potential approaches to
prevention and treatment. These include glutamatergic approaches where agents
that directly or indirectly alter glutamatergic neurotransmission modify the
intracellular influx of Ca(2+) and reduce the formation of nitric oxide by
neuronal nitric oxide synthase that may form an integral component of the complex
cascade of events leading to dyskinesia. There is increasing evidence for the
role of serotoninergic neurones in dyskinesia induction related to non
physiological formation and release of dopamine and serotoninergic agonists can
modify dyskinesia expression. Similarly, noradrenergic receptors may serve to
alter dyskinesia intensity and alpha-2-adrenoceptor antagonists alter the
expression of levodopa-induced dyskinesia in both experimental models of PD and
in man. Finally, other potential approaches to dyskinesia treatment based on
manipulation of opiate, cannabinoid, adenosine and histamine receptors are
considered. The conclusion is that the cause of levodopa-induced dyskinesia
remains to be fully elucidated and that new approaches to treatment through non
dopaminergic mechanisms are required to control the onset and expression of
involuntary movements.
PMID- 21881850
TI - LOX-1 and obesity.
AB - Obesity is one of the most common lifestyle-related diseases. Being closely
associated with insulin resistance, hypertension and dyslipidemia, it is also a
component of metabolic syndrome and is involved in the development of
atherosclerosis and cardiovascular and renal ailments. Obesity is also
accompanied with a state of chronic inflammation. Lectin-like oxidized low
density lipoprotein receptor-1 (LOX-1), a receptor for oxidized low density
lipoprotein (ox-LDL), is expressed not only in endothelial cells, but also in
macrophages, vascular smooth muscle cells, platelets and adipocytes. LOX-1 binds
multiple ligands, has diverse physiological functions and plays a critical role
in the signal transduction. It may well turn out to be a key, or very important,
factor in the development of hypertension, diabetes mellitus and hyperlipidemia,
the most important risk factors for atherosclerosis. In recent studies, LOX-1
upregulation appears to be a vital factor in obesity and its complications. This
review summarizes current knowledge of obesity and the relationship between LOX-1
and obesity.
PMID- 21881851
TI - Do atherosclerosis and obesity-associated susceptibility to cancer share
causative link to oxLDL and LOX-1?
PMID- 21881852
TI - Shorter telomeres in patients with cerebral autosomal dominant arteriopathy and
leukoencephalopathy (CADASIL).
AB - CADASIL is a hereditary systemic vasculopathy which affects mainly small cerebral
arteries and is caused by mutations in the Notch3 gene. Misfolding of Notch3 is
linked to endoplasmic reticulum stress and increased reactive oxygen species,
which may result in dysfunction of endothelial cells, inflammation and ischemia.
Oxidative stress and inflammation may induce a rapid telomere shortening in
peripheral blood leukocytes (PBLs). The aim of this study was to assess the
telomere length in PBLs from 29 patients with a genetic diagnosis of CADASIL by
using a modified quantitative real-time polymerase chain reaction based assay.
PBL telomere length was significantly shorter in CADASIL patients (T/S ratio =
0.17, 95% CI, 0.14-0.20) than in the controls (T/S ratio = 0.31, 95% CI, 0.27
0.35, t-test p < 0.001). Moreover, patients with functional dependence displayed
shorter telomeres than those with functional independence (p = 0.039). Our data
provide the first evidence that PBL telomere length is shortened in CADASIL
disease, and this may be a systemic oxidative stress indicator in CADASIL
patients, providing a possible biomarker of disease progression and for future
therapeutic strategies.
PMID- 21881853
TI - Si-doped graphene: an ideal sensor for NO- or NO2-detection and metal-free
catalyst for N2O-reduction.
AB - Exploring and evaluating the potential applications of two-dimensional graphene
is an increasingly hot topic in graphene research. In this paper, by studying the
adsorption of NO, N(2)O, and NO(2) on pristine and silicon (Si)-doped graphene
with density functional theory methods, we evaluated the possibility of using Si
doped graphene as a candidate to detect or reduce harmful nitrogen oxides. The
results indicate that, while adsorption of the three molecules on pristine
graphene is very weak, Si-doping enhances the interaction of these molecules with
graphene sheet in various ways: (1) two NO molecules can be adsorbed on Si-doped
graphene in a paired arrangement, while up to four NO(2) molecules attach to the
doped graphene with an average adsorption energy of -0.329 eV; (2) the N(2)O
molecule can be reduced easily to the N(2) molecule, leaving an O-atom on the Si
doped graphene. Moreover, we find that adsorption of NO and NO(2) leads to large
changes in the electronic properties of Si-doped graphene. On the basis of these
results, Si-doped graphene can be expected to be a good sensor for NO and NO(2)
detection, as well as a metal-free catalyst for N(2)O reduction.
PMID- 21881854
TI - Management of patients with atherosclerotic carotid occlusion.
AB - OPINION STATEMENT: * Patients with acute ischemic stroke due to atherosclerotic
carotid artery occlusion (ACAO) should receive intravenous tissue plasminogen
activator (alteplase) if they meet eligibility criteria of the National Institute
of Neurological Disorders and Stroke (NINDS) or the European Cooperative Acute
Stroke Study III (ECASS III). * Patients with acute stroke due to ACAO who are
not eligible for intravenous tissue plasminogen activator should receive aspirin.
Heparin or heparin-like drugs do not improve outcome and should not be used. *
Therapy for prevention of recurrent stroke in patients with ACAO should consist
of lifestyle modifications, risk factor intervention, and antiplatelet drugs.
Warfarin is not indicated. * Extracranial-intracranial bypass surgery provides
no benefit over medical therapy in preventing recurrent stroke in a general
population of patients with ACAO or in any subgroups selected by clinical,
arteriographic, or hemodynamic criteria. * Other surgical or endovascular
procedures have no proven value in treating or preventing stroke due to ACAO. *
Asymptomatic carotid occlusion has a benign prognosis and requires no specific
treatment other than lifestyle modification and risk factor intervention.
PMID- 21881855
TI - A longitudinal examination of serious adolescent offenders' perceptions of
chances for success and engagement in behaviors accomplishing goals.
AB - We examined antisocial adolescents' perceptions of the importance of and their
ability to accomplish positive life outcomes (e.g., employment) and avoid
negative ones (e.g., arrests) during their transition from adolescence to young
adulthood. Participants were 1,354 adolescents from the Pathways to Desistance
project, a multisite longitudinal study of seriously antisocial adolescents.
Participants' perceptions of the importance and likelihood of accomplishing
positive adult goals at one age uniquely predicted how often they engaged in
behaviors that were consistent with these goals the following year. Our findings
suggest that among serious adolescent offenders aspirations to achieve positive
goals are related to engaging in behaviors that bring adolescents' current selves
more in line with their aspired-to future selves. We discuss the implications of
these findings for prevention and intervention efforts.
PMID- 21881856
TI - Predictors and outcomes associated with trajectories of revenge goals from fourth
grade through seventh grade.
AB - The present study identified longitudinal trajectories of revenge goals in a
sample of at-risk youth (N = 240; 63.3% male) followed from fourth grade through
seventh grade. Three revenge goal trajectory groups were identified: a low-stable
group, an increasing group, and a decreasing group. The increasing and decreasing
groups were initially more behaviorally and affectively dysregulated and believed
that aggression would gain them more rewards relative to the low-stable group.
The increasing group was also more fearfully reactive compared to the decreasing
group. Revenge goal trajectory groups also predicted trajectories of reactive and
proactive aggression from 4th through 7th grade. The increasing group was more
reactively aggressive and depressed and had poorer social skills in 8th grade
compared to the other groups. Together, results highlight the importance of
considering revenge motivations as an indicator of risk and a potential focus for
intervention.
PMID- 21881857
TI - Rat intermedin1-47 does not improve functional recovery in postischemic hearts.
AB - Intermedin, a novel member of the calcitonin/calcitonin gene-related peptide
family identified from vertebrate genomes, may directly affect cardiac function
but current studies revealed no clear picture. The aims of our study were to
compare direct contractile effects of intermedin on cardiomyocytes to that on the
whole organ and to investigate whether intermedin improves postischemic recovery
independent of an effect on acute reperfusion injury. Isolated adult rat
ventricular cardiomyocytes were electrically paced and cell shortening was
monitored as a readout associated to cardiac performance. Calcium transients were
analyzed by Fura-2AM loading of these cells. Isolated rat hearts were
investigated by Langendorff perfusion under nonischemic conditions and after 45
min no-flow ischemia followed up by 30-min reperfusion prior to drug testing.
Intermedin caused a positive contractile effect on cardiomyocytes that was
mediated by protein kinase A activation and accompanied by improved calcium
transients. In contrast, intermedin reduced left ventricular developed pressure
in Langendorff-perfused rat hearts. This negative inotropic effect was attenuated
by inhibition of nitric oxide synthesis. In postischemic hearts (impaired nitric
oxide synthesis), the negative inotropic effect was attenuated but no positive
inotropic effect occurred. However, intermedin caused robust vasodilation in
nonischemic and postischemic hearts. Our findings suggest that the peptide binds
preferentially to vascular cells in the intact organ. The loss of nitric oxide
induction in postischemic hearts attenuates a negative inotropic effect of
intermedin but does not improve cardiac performance independent of acute
reperfusion injury.
PMID- 21881858
TI - Morphine alters M. bovis infected microglia's ability to activate gammadelta T
lymphocytes.
AB - Microglia, the macrophages of the central nervous system (CNS), are both the
principle target cells for Mycobacterium infection in the CNS and serve a
critical role in defense of the brain. If microglia's functions are altered due
to immunosuppressive agents such as opiates, perturbation in defense of the brain
may occur, including defense against CNS Tuberculosis. This study was designed to
determine if Mycobacterium infected microglia activate gammadeltaT lymphocytes
and if the opiate morphine alters the capability of microglia to activate
gammadeltaT lymphocytes. gammadeltaT lymphocytes proliferated, produced IFN
gamma, and demonstrated cytolytic response upon exposure to Mycobacterium bovis
infected microglia. IFN-gamma, and antigen specific cytotoxicity were both
markedly impaired due to morphine treatment.
PMID- 21881859
TI - Effect of mycophenolate sodium in scleroderma-related interstitial lung disease.
AB - This study aims to determine the effectiveness of mycophenolate sodium (MS) in
patients with scleroderma (SSc)-related interstitial lung disease (ILD). In a
prospective observational study, we evaluated 14 consecutive SSc-ILD patients who
were treated with MS for 12 months. The effect of MS on lung function was
examined by using longitudinal data analytic methods. Wilcoxon rank-sum tests
were used to examine the forced vital capacity (FVC), forced expiratory volume in
1 s (FEV1) and diffusing capacity of the lung for carbon monoxide (DLCO) by
pulmonary function testing. As a group, the median values for FVC, FEV1 and DLCO
did not change significantly after 12 months of MS therapy and fulfilled the
definition of stable disease by the American Thoracic Society. Individually,
after 12 months of treatment, 6 out of 14 patients showed a pulmonary improvement
defined as an increase of more than 10% in FVC, and 5 out of 14 patients remained
stable. By contrast, the median FVC had declined a non-significant 7.2% from the
previous 12 months before MS initiation. No significant drug adverse effects were
registered. These prospective data suggest that MS is a safe and well-tolerated
therapy for SSc-ILD patients, and it is capable of preventing functional
pulmonary deterioration.
PMID- 21881860
TI - Perfluorinated compounds in a coastal industrial area of Tianjin, China.
AB - Perfluorinated compounds (PFC) in water, sediment, soil, and biota from the
coastal industrial area of Tianjin, China, were measured to provide baseline
information and to determine possible sources and potential risk to wildlife.
Perfluorooctanesulfonate (PFOS) was the predominant PFC with maximum
concentrations of 10 ng/L in water, and 4.3, 9.4, and 240 ng/g dw in sediment,
soil, and fish, respectively. Perfluorooctanoate (PFOA) concentration in water
ranged from 3.0 to 12 ng/L. Perfluoroundecanoate (PFUnA) and Perfluorododecanoate
(PFDoA) were detected in solid matrices, respectively, at concentrations of =3
mm was measured in 67% of the defects (i.e., in 8 out of 12) in the test group
and in 75% of the defects (i.e., in 9 out of 12) in the control group. There were
no statistically significant differences in any of the investigated parameters at
1 and at 4 years between the two groups. Within their limits, the present results
indicate that: (a) the clinical improvements obtained with both treatments can be
maintained over a period of 4 years, and (b) in two- and three-walled intrabony
defects, the addition of BCP did not additionally improve the outcomes obtained
with EMD alone. In two- and three-walled intrabony defects, the combination of
EMD + BCP did not show any advantage over the use of EMD alone.
PMID- 21881870
TI - Aberrant expression of beta-catenin and its association with DeltaNp63, Notch-1,
and clinicopathological factors in oral squamous cell carcinoma.
AB - The present study focuses on the correlation between the expression pattern of
beta-catenin (component of Wnt signaling), DeltaNp63 (proliferation marker), and
Notch 1 (transmembrane receptor) in oral squamous cell carcinoma. The study also
aims to investigate the interaction between beta-catenin and DeltaNp63 in oral
cancer. Furthermore, we also analyzed the prognostic significance of beta
catenin, DeltaNp63, and Notch 1 in oral squamous cell carcinoma.
Immunohistochemical analysis of beta-catenin, DeltaNp63, and Notch 1 were done in
62 cases of oral squamous cell carcinoma. Co-immunoprecipitation analysis was
done to study the possible interaction between beta-catenin and DeltaNp63 in oral
cancer. Kaplan-Meier method was used to estimate overall and disease-free
survival, and the Log-rank test was used to compare the resulting curves.
Statistically significant positive correlation was found between the localization
of beta-catenin and the expression of DeltaNp63 (p = 0.001**, r (s) = 0.427),
whereas, no significant association was found between the expression pattern of
beta-catenin and Notch 1. Interestingly, interaction between beta-catenin and
DeltaNp63 was observed in oral carcinoma. Moreover, beta-catenin and DeltaNp63
may be related to worst survival in oral carcinoma. Statistically significant
positive association between localization of beta-catenin and expression of
DeltaNp63 suggests that they might have dependent roles in maintaining the
proliferation of oral carcinoma cells. In addition, the downregulated expression
of Notch 1 was related to invasion and differentiation status of oral carcinoma
cells. Furthermore, beta-catenin and DeltaNp63 may be used as independent
prognostic markers of oral carcinoma. On the other hand, interaction of beta
catenin with DeltaNp63 may be a key event in maintaining the proliferation of
oral carcinoma cells. The present study indicates that beta-catenin and DeltaNp63
may be used as independent prognostic markers of oral carcinoma and the
interaction of beta-catenin with DeltaNp63 may be a crucial event in regulating
proliferation and differentiation of oral carcinoma cells, which may be used as a
target for therapeutic implications.
PMID- 21881871
TI - Ratiometric high-resolution imaging of JC-1 fluorescence reveals the subcellular
heterogeneity of astrocytic mitochondria.
AB - Using the mitochondrial potential (DeltaPsi(m)) marker JC-1 (5,5',6,6'
tetrachloro-1,1',3,3'-tetraethylbenzimidazolylcarbocyanine iodide) and high
resolution imaging, we functionally analyzed mitochondria in cultured rat
hippocampal astrocytes. Ratiometric detection of JC-1 fluorescence identified
mitochondria with high and low DeltaPsi(m). Mitochondrial density was highest in
the perinuclear region, whereas DeltaPsi(m) tended to be higher in peripheral
mitochondria. Spontaneous DeltaPsi(m) fluctuations, representing episodes of
increased energization, appeared in individual mitochondria or synchronized in
mitochondrial clusters. They continued upon withdrawal of extracellular Ca(2+),
but were antagonized by dantrolene or 2-aminoethoxydiphenylborate (2-APB). Fluo-3
imaging revealed local cytosolic Ca(2+) transients with similar kinetics that
also were depressed by dantrolene and 2-APB. Massive cellular Ca(2+) load or
metabolic impairment abolished DeltaPsi(m) fluctuations, occasionally evoking
heterogeneous mitochondrial depolarizations. The detected diversity and
DeltaPsi(m) heterogeneity of mitochondria confirms that even in less structurally
polarized cells, such as astrocytes, specialized mitochondrial subpopulations
coexist. We conclude that DeltaPsi(m) fluctuations are an indication of
mitochondrial viability and are triggered by local Ca(2+) release from the
endoplasmic reticulum. This spatially confined organelle crosstalk contributes to
the functional heterogeneity of mitochondria and may serve to adapt the
metabolism of glial cells to the activity and metabolic demand of complex
neuronal networks. The established ratiometric JC-1 imaging-especially combined
with two-photon microscopy-enables quantitative functional analyses of individual
mitochondria as well as the comparison of mitochondrial heterogeneity in
different preparations and/or treatment conditions.
PMID- 21881872
TI - Attention, impulsivity, and cognitive flexibility in adult male rats exposed to
ethanol binge during adolescence as measured in the five-choice serial reaction
time task: the effects of task and ethanol challenges.
AB - RATIONALE: Alcohol abuse is prevalent in adolescent humans, but the long-term
behavioral consequences of binge alcohol drinking are unknown. OBJECTIVES: This
study investigated the long-term effects of adolescent intermittent ethanol (AIE)
exposure on attention and impulsivity. METHODS: Adolescent male rats were exposed
to 5 g/kg of 25% (v/w) ethanol every 8 h for 4 days. During adulthood, rats were
tested in the five-choice serial reaction time task (5-CSRTT) assessing
attention, impulsivity and cognitive flexibility. RESULTS: There was no metabolic
tolerance to ethanol in adolescent rats during AIE exposure. In the 5-CSRTT under
baseline conditions, there were no differences between AIE-exposed and control
rats in accuracy, omissions, or premature responses, although AIE-exposed rats
tended to make more timeout responses than control rats. The short-duration
stimulus challenge decreased accuracy and increased omissions and timeout
responses in both AIE-exposed and control rats. The long intertrial interval
challenge increased premature responses in all rats. An ethanol challenge
decreased correct responses, and increased omissions in control, but not in AIE
exposed, rats. Control, but not AIE-exposed, rats exhibited decreased premature
and timeout responses after ethanol administration. Response latencies were not
affected in AIE-exposed or control rats indicating no sedative effects of ethanol
challenge. CONCLUSIONS: The results indicate that ethanol binge exposure during
adolescence has long-lasting neurobehavioral consequences, which persist into
adulthood and can be revealed after re-exposure to ethanol. AIE-induced
diminished responses to the disruptive effects of ethanol on attention,
impulsivity and cognitive flexibility may lead to increased alcohol drinking and
other maladaptive behaviors in adulthood.
PMID- 21881873
TI - Regulation of cocaine-induced reinstatement by group II metabotropic glutamate
receptors in the ventral tegmental area.
AB - RATIONALE: A high rate of relapse is a daunting challenge facing clinical
treatment of cocaine addiction. Recent studies have shown that drugs of abuse
enhance glutamate neurotransmission in dopamine neurons in the ventral tegmental
area (VTA) and such enhancement may contribute to the risk of relapse.
OBJECTIVES: Given the important role of group II metabotropic glutamate receptors
(mGluR2/3s) in regulating glutamate release from the glutamatergic terminals,
this study aimed to test whether activation of mGluR2/3s in the VTA can inhibit
cocaine-induced reinstatement of cocaine-seeking behavior, a model of relapse to
drug-seeking behavior. METHODS: Rats were trained to self-administer intravenous
cocaine (0.25 mg/infusion) under a modified fixed-ratio 5 schedule. After rats
reached the training criteria, they went through extinction training to
extinguish cocaine-seeking behavior. Then the dose-response effects of a
selective mGluR2/3 agonist LY 379268 microinjected into the VTA on cocaine
induced reinstatement of cocaine-seeking behavior were assessed. RESULTS: LY
379268 (0.032-0.1 MUg/side) dose-dependently decreased cocaine-induced
reinstatement. The effect could not be fully attributed to diffusion of the drug
to the neighboring substantia nigra or to motor impairment. Interestingly, LY
379268 has a less potent effect on cocaine-induced reinstatement than on sucrose
induced reinstatement of sucrose-seeking behavior. CONCLUSIONS: Our data support
the idea that glutamate release in the VTA is critically involved in cocaine
induced reinstatement and indicate that loss of mGluR2/3-mediated regulation of
glutamate release in the VTA may critically contribute to the risk of relapse.
PMID- 21881874
TI - Availability of dopamine and serotonin transporters in opioid-dependent users--a
two-isotope SPECT study.
AB - RATIONALE AND OBJECTIVE: The aims of this study were to examine the differences
between 32 opioid-dependent users treated with a very low dose of methadone or
undergoing methadone-free abstinence and 32 controls. METHODS: SPECT analysis
using [(99m)Tc] TRODAT-1 to assess striatal dopamine transporter (DAT)
availability and [(123)I] ADAM to assess midbrain serotonin transporter (SERT)
availability were performed. RESULTS: Lower striatal DAT and midbrain SERT
availabilities were noted in low-dose methadone users. History of metamphatamine
use was associated with the lower striatal DAT. The striatal DAT of methadone
free abstainers was also lower than controls. The midbrain SERT availability
tended to be higher in the methadone-free abstainers than the low-dose methadone
users. The severity of depressive symptoms was negatively correlated with
midbrain SERT availability in the opioid users. CONCLUSION: The availability of
striatal DAT tended to be, and the availability of midbrain SERT was, lower in
the opioid users. History of metamphatamine use may confound the difference in
straital DAT between controls and opioid users, as midbrain SERT and depressive
symptoms are also associated with opioid use and abstinence.
PMID- 21881875
TI - Developmental differences in ethanol-induced sensitization using postweanling,
adolescent, and adult Swiss mice.
AB - RATIONALE: The maturing adolescent brain has been suggested to be more sensitive
than the adult brain to ethanol-induced neuroadaptations. In animal studies,
sensitization to the stimulant effects of ethanol is used to study the
vulnerability to chronic ethanol-induced neurobehavioral alterations. OBJECTIVES:
The aim of the present study was to systematically characterize age-dependent
changes in the development and expression of the sensitization to the stimulant
effects of a range of ethanol doses in female Swiss mice. Three ages were
studied: 21-day-old mice (postweanlings), 35-day-old mice (adolescents), and 63
day-old mice (adults). METHODS: Postweanling, adolescent, and adult mice were
daily injected with saline or various ethanol doses (1.5 to 4 g/kg) for 7 days.
They were then tested for acute and sensitized locomotor activity. RESULTS:
Postweanling and adolescent mice were more sensitive than adult mice to the acute
stimulant effects of ethanol. In adult mice, daily injections of ethanol at doses
between 2.5 and 4 g/kg led to significant sensitization. Higher ethanol doses
(3.5 and 4 g/kg) were required to induce sensitization in postweanling and
adolescent mice. However, younger mice showed ethanol sensitization of higher
magnitude. CONCLUSIONS: Young mice develop very strong ethanol sensitization at
doses that mimic binge drinking in humans. These results might explain why early
ethanol drinking during adolescence is related to a higher prevalence of
subsequent alcohol disorders.
PMID- 21881876
TI - Despite aggressive histopathology survival is not impaired in young patients with
colorectal cancer : CRC in patients under 50 years of age.
AB - PURPOSE: Colorectal carcinoma (CRC) is generally a disease of persons older than
50 years. Concerning younger patients, controversies still exist regarding
features and prognosis of CRC. We performed this study to characterize CRC in
young patients (<=50 years) as well as to evaluate outcome in comparison with
older patients (>50 years) with CRC. METHODS: Clinical and histopathological
parameters of 244 patients aged 50 years or less were compared with 1,718
patients aged more than 50 years. RESULTS: Compared with older patients, the
younger had less adenocarcinomas (82.8% vs. 89.1%; p = 0.004) and less
postoperative complications (18.4% vs. 28.7%; p = 0.001), and less Union
Internationale Contre le Cancer stage I colon cancers (22.9% vs. 13.6%, p =
0.046) but elevated overall 5-year survival rates for M0 colon and rectal cancers
(p = 0.005; p < 0.001). In young patients, the minority suffered from hereditary
cancer syndromes (7.4%) and inflammatory bowel diseases (7.0%). Furthermore, up
to 40% of young patients denied any cancers in their families. Cancer-related
survival rates were significantly elevated in young patients with M0 rectal
carcinoma (p = 0.014), whereas in M0 colon cancers, no differences were
detectable (p = 0.542). In case of the presence of distant metastases, overall
and cancer-related survival rates were similar in old and young patients.
CONCLUSION: Although young patients present with more aggressive
histopathological subtypes and less early stages, cancer-related survival is not
less favourable compared with older patients.
PMID- 21881877
TI - Patterns of care and survival for glioblastoma patients in the Veterans
population.
AB - Survival outcomes and patterns of care for brain tumor patients in the USA
Veterans population have not been previously published and the extent of
variation in outcomes between Veterans and the rest of the USA is currently
unknown. The Veterans healthcare administration (VA) provides comprehensive care
to Veterans and their families and maintains the Veterans affairs central cancer
registry (VACCR). This was a retrospective review of microscopically-confirmed,
supratentorial glioblastoma multiforme in male Veterans actively followed by the
VACCR; survival was analyzed and compared to a national cohort from the
surveillance, epidemiology and end results program. We analyzed 1,219 Veterans
with glioblastomas diagnosed between 1997 and 2006. Median survival was 6.5
months and 1, 2, and 5 years survival rates were 26.8, 5.4, and 0.5%,
respectively. Patients receiving all three treatment modalities (surgical
resection, radiotherapy, and chemotherapy) did best; these findings remained true
among patients aged 70 and older such that these patients had an overall survival
similar to those age <70. A comparable national cohort had longer median survival
(9.0 months) and greater 1, 2, and 5 years survival rates (37.8, 12.8, and 4.1%)
than the VA cohort. Survival and patterns of care are presented for the first
time for Veterans with glioblastoma multiforme. In conclusion, we found that more
aggressive therapy was associated with better survival, even among elderly
Veterans and whether compared overall or by age group, VA patients showed
decreased survival relative to a national cohort. We believe this potential
disparity warrants further investigation.
PMID- 21881878
TI - Fall field crickets did not acclimate to simulated seasonal changes in
temperature.
AB - In nature, many organisms alter their developmental trajectory in response to
environmental variation. However, studies of thermal acclimation have
historically involved stable, unrealistic thermal treatments. In our study, we
incorporated ecologically relevant treatments to examine the effects of
environmental stochasticity on the thermal acclimation of the fall field cricket
(Gryllus pennsylvanicus). We raised crickets for 5 weeks at either a constant
temperature (25 degrees C) or at one of three thermal regimes mimicking a
seasonal decline in temperature (from 25 to 12 degrees C). The latter three
treatments differed in their level of thermal stochasticity: crickets experienced
either no diel cycle, a predictable diel cycle, or an unpredictable diel cycle.
Following these treatments, we measured several traits considered relevant to
survival or reproduction, including growth rate, jumping velocity, feeding rate,
metabolic rate, and cold tolerance. Contrary to our predictions, the acclimatory
responses of crickets were unrelated to the magnitude or type of thermal
variation. Furthermore, acclimation of performance was not ubiquitous among
traits. We recommend additional studies of acclimation in fluctuating
environments to assess the generality of these findings.
PMID- 21881879
TI - Novel therapies in MM: from the aspect of preclinical studies.
AB - During the last decade, thalidomide, lenalidomide, and bortezomib have been
approved by the US Food and Drug Administration for the treatment of MM; however,
MM remains incurable. The development and progression of multiple myeloma (MM) is
a complex multi-step process involving genetic abnormalities in tumor cells at
both early and late stages. Moreover, soluble factors and cell-cell contact
within the tumor bone marrow (BM) microenvironment promotes MM cell growth,
survival, and drug resistance. A number of novel agents targeting both tumor
cells and growth factors in the BM milieu have been developed. Currently they are
under evaluation in preclinical studies, as single agents and/or in combination,
to improve outcome of MM patients.
PMID- 21881880
TI - Development and validation of a rapid method for microcystins in fish and
comparing LC-MS/MS results with ELISA.
AB - Microcystins (MCs) are the most common cyanotoxins found worldwide in freshwater,
brackish, and marine environments. The rapid and accurate analysis of MCs and
nodularin (Nod-R) in fish tissue is important for determining occurrence,
following trends, and monitoring exposure for risk assessment and other purposes.
The aim of this study was to develop a streamlined and reliable sample
preparation method for eight MCs (MC-RR, MC-YR, MC-LR, MC-WR, MC-LA, MC-LY, MC
LW, and MC-LF) and Nod-R in fish, and conduct a validation of the new method
using liquid chromatography-tandem mass spectrometry (LC-MS/MS) for analysis and
compare the results with a commercial enzyme-linked immunosorbent assay (ELISA)
kit. Different sample preparation methods were compared, and a simple extraction
protocol with acidified acetonitrile/water (3:1) followed by hexane partitioning
cleanup was found to be most effective. Thorough validation of the final method
was conducted, and 90-115% recoveries were achieved for all analytes except for
MC-RR, which gave 130% average recovery (isotopically labeled internal standards
were unavailable to correct for possible biases). The use of electrospray
ionization in the negative mode gave few interferences and minimal matrix effects
in the LC-MS/MS analysis overall. Precision was typically 10-20% RSD among
multiple days in experiments, detection limits were <10 ng/g in the fish tissue
(catfish, basa, and swai filets), and no false-positives or false-negatives
occurred in blind analyses of many spiked samples. The ELISA was unable to
distinguish between MCs but was found to correctly assess the presence or absence
of MCs and Nod-R in the blind-fortified fish tissues. The capability of these
approaches to measure covalently bound MCs was not assessed.
PMID- 21881881
TI - Fast DNA and protein microarray tests for the diagnosis of hepatitis C virus
infection on a single platform.
AB - Hepatitis C virus (HCV) is a major cause of chronic liver disease and liver
cancer, and remains a large health care burden to the world. In this study we
developed a DNA microarray test to detect HCV RNA and a protein microarray to
detect human anti-HCV antibodies on a single platform. A main focus of this study
was to evaluate possibilities to reduce the assay time, as a short time-to-result
(TTR) is a prerequisite for a point-of-care test. Significantly reducing
hybridisation and washing times did not impair the assay performance. This was
confirmed first using artificial targets and subsequently using clinical samples
from an HCV seroconversion panel derived from a HCV-infected patient. We were
able to reduce the time required for the detection of human anti-HCV antibodies
to only 14 min, achieving nanomolar sensitivity. The protein microarray exhibited
an analytical sensitivity comparable to that of commercial systems. Similar
results were obtained with the DNA microarray using a universal probe which
covered all different HCV genotypes. It was possible to reduce the assay time
after PCR from 150 min to 16 min without any loss of sensitivity. Taken together,
these results constitute a significant step forward in the design of rapid,
microarray-based diagnostics for human infectious disease, and show that the
protein microarray is currently the most favourable candidate to fill this role.
PMID- 21881882
TI - Osteosynthesis of femoral-neck nonunion with angle blade plate and autogenous
fibular graft.
AB - PURPOSE: Revision internal fixation for femoral-neck nonunion is a challenging
procedure. Treatment options are osteotomy, osteosynthesis using various implants
and grafting techniques (muscle pedicle, vascularised or nonvascularised fibular
graft) or arthroplasty. The objective of this article is to report the outcome of
revision internal fixation using an angle blade plate and autogenous fibular
graft in symptomatic aseptic femoral-neck nonunion. METHODS: Twenty-two patients
who had been treated previously with cannulated screws or dynamic hip screw for
femoral-neck fracture and progressed to nonunion were treated with revision
internal fixation using an angle blade plate and autogenous nonvascularised
fibular graft. Mean patient age was 38 (range 21-52) years, with average duration
between injury and revision surgery 11.2m (range 8-16 months). RESULTS: Other
than one nonunion, we achieved union in all patients (21 patients, 91%) after an
average period of 4.4 months. The functional outcome after 3.2 years as per
scoring system given by Nagi et al.. showed excellent results in four, good in
ten, fair in six and poor in two patients. Patients with poor results included
one with nonunion and other with avascular necrosis with collapse of the femoral
head. Average limb shortening was 1.5 cm, and mean femoral-neck-shaft angle was
116 degrees . There was no instance of fibular graft fracture, slippage or
implant cut-through. CONCLUSION: Angle blade plate provides rigid stability and
offloads any shearing force over the fibular graft when used for revision
internal fixation in aseptic femoral-neck nonunion. Thus, the fibular graft only
serves the purpose of osteogenesis and stimulates the surrounding host cells to
promote healing at the nonunion site. We recommend the angle blade plate and
autogenous fibular graft as a viable option for hip-joint salvage in revision
internal fixation of aseptic femoral-neck nonunion.
PMID- 21881883
TI - Pin and plate fixation in complex distal humerus fractures: surgical technique
and results.
AB - PURPOSE: Complex distal humerus fractures are difficult to fix by conventional
methods, especially in comminuted low distal humerus fractures. We propose a
technique using small diameter K-wires and a plate on the humeral shaft. METHODS:
Between May 2007 and March 2009, 19 patients with poor bone quality showing
comminuted or low distal humerus fractures involving the articular surface were
referred to our institution and were primarily treated by this technique that we
called "pin and plate fixation". We have reviewed all the cases treated by this
method. RESULTS: The average age was 46 years. All of the patients were followed
up for a mean of 12 months and had a good range of motion (the average total arc
of flexion-extension was 99 degrees ); the average Disabilities of the Arm,
Shoulder and Hand (DASH) score was 18 points. The Mayo Elbow Performance Index
was measured and the mean score was 88 in our patients. CONCLUSIONS: In this
study, a technique has been evaluated for the treatment of complex distal humerus
fractures. We recommend this technique in comminuted, osteoporotic or low distal
humerus fractures in which other fixation methods seem difficult or even
impossible.
PMID- 21881884
TI - Medium-term results after total clavicle resection in cases of osteitis: a
consecutive case series of five patients.
AB - PURPOSE: Claviculectomy is a rare and poorly described event in the surgical
therapy of diseases of the clavicle. We present a case series and functional
results of patients who underwent total claviculectomy. METHODS: From 1995 to
2006 a total of 26 patients diagnosed with osteitis of the clavicle underwent
surgery in our unit. Of these, five patients (all female) needed a total
resection of the clavicle in order to ensure permanent healing from infection.
The data collection was prospective. The data gathered preoperatively and at
follow-up included clinical examinations, laboratory findings, radiographs and
the Constant shoulder scores. The mean follow-up period was 7.5 months. RESULTS:
The surgical concept described was able to eliminate infection in all cases
studied within an average hospital stay of 13.4 days (8-18 days). Only one
patient showed complications; suffering from chronic pain syndrome requiring
surgical revision. After total resection of the clavicle four of five patients
showed very good functional results. The average Constant score showed a
significant increase from 82 before surgery to 95 at follow-up. CONCLUSIONS: The
surgical technique described for total claviculectomy, along with the insertion
of local antibiotic beads, was able to eliminate infection in every case. Good
functional results and a low complication rates were observed. For good
functional results and the permanent elimination of infection, adjacent joints
have to be addressed, the periosteal tube should be preserved and early
functional treatment is essential.
PMID- 21881885
TI - All-polyethylene tibial components in TKA in rheumatoid arthritis: a 25-year
follow-up study.
AB - PURPOSE: There is renewed interest in the all-polyethylene tibial component in
total knee arthroplasty (TKA). Long-term results of this prosthesis in rheumatoid
arthritis (RA) patients, however, are limited. Therefore, we studied 104 primary
cemented all-polyethylene tibial TKA in 80 consecutive RA patients for up to 25
years to determine the long-term survival of all-polyethylene tibial components
in patients suffering from end stage RA. METHODS: We estimated revision rates
according the revision rate per 100 observed component years used in national
joint registries. Kaplan-Meier was used to estimate survival curves. RESULTS:
During the 25-year follow-up, three revisions for tibial component loosening were
performed. The mean revision rate of all-polyethylene tibial components with
revision for aseptic loosening as the endpoint was 0.09 per 100 observed
component years. This corresponds to a revision rate of 0.9% after ten years and
2.25% after 25 years. Survivorship according to Kaplan-Meier was 100% at ten
years and 87.5% at 25 years [95% confidence interval (CI) 64.6-100)]. CONCLUSION:
This study shows good long-term results of all-polyethylene tibial TKA in
patients with RA. RA patients with multiple-joint inflammation may be less
physically active than osteoarthritis patients, resulting in a lower demand on
the prosthesis, and these patients may, indeed, be good candidates for all
polyethylene tibial TKA. Our results suggest that all-polyethylene tibial TKA
could be a successful and cost-saving treatment for end-stage knee arthritis in
RA patients.
PMID- 21881886
TI - A systematic review of outcome and failure rate of uncemented Scandinavian total
ankle replacement.
AB - PURPOSE: The purpose of this study was to provide cumulative data about the
intermediate to long-term outcome of Scandinavian total ankle replacement (STAR)
in the literature and to provide a summary of survival rate, implant failure rate
and reasons. METHODS: A comprehensive search for all relevant articles published
in English and German from January 1995 to May 2011 was conducted. Two reviewers
evaluated each study to determine whether it was eligible for inclusion and, if
so, collected data of interest. The intermediate to long-term outcomes were
determined. Evidence-based meta-analytic pooling of results across studies was
performed to determine survival and failure rates. RESULTS: Sixteen primary
studies with 2,088 implants were identified. The mean American Orthopaedic Foot
and Ankle Society (AOFAS) score was 77.8 points, and the mean Kofoed ankle score
was 76.4 points. The pooled mean five year survival rate was 85.9% [95%
confidence interval (CI) 80.9-90.3], and the pooled mean ten year survival rate
was 71.1% (95% CI 60.9-81.5). Pooled failure rate was 11.1% (95% CI 7.6 -14.9),
with a mean follow-up time of 52 months; 41% failed within one year of initial
operation. The first three reasons associated with implant failure were aseptic
loosening (5.2%), malalignment (1.7%) and deep infection (1.0%). CONCLUSIONS: We
found that STAR prosthesis achieved encouraging results in terms of intermediate
to long-term outcome. The five and ten year survival rates were acceptable.
However, the failure rate was still high. The major reasons for implant failure
were aseptic loosening and malalignment. Maybe the increase of surgeons'
experience and patient selection could improve outcomes and decrease failure
rate.
PMID- 21881887
TI - Evaluation of methods for achieving stable INR in healthy subjects during a
multiple-dose warfarin study.
AB - PURPOSE: No consistent method is available for finding stable warfarin
maintenance doses and fast stabilization of international normalized ratio (INR)
values among healthy subjects in experimental warfarin interaction studies. Using
data from an earlier study that targeted a stable INR of 1.5-2.0 to test an
interaction, we retrospectively evaluated potential dosing algorithms using all
methods available to us to decrease the time needed for INR stabilization, which
could be useful for future interaction studies in healthy subjects. METHODS:
Published pharmacogenetic and clinical dosing algorithms used to initiate
pharmacotherapy with warfarin were applied, predicted doses and actual doses were
compared by regression analysis, and concentration-time profiles of S-warfarin
were simulated using SimCYP(r) software. RESULTS: No demographic variables were
significantly associated with time to reach a stable, low-intensity INR in this
population of relatively young, healthy subjects. Predicted and actual doses were
positively correlated for the pharmacogenetic algorithm, but not for the clinical
algorithm. INR levels and S-warfarin concentrations were associated with CYP2C9
and VKORC1 genotypes. CONCLUSIONS: Induction to a pharmacodynamic steady state
for warfarin for future multiple-dose warfarin drug-interaction studies in
healthy volunteers may be predicted using a pharmacogenetic-based dosing
algorithm. Simulations revealed that the desired subtherapeutic INR level may be
achieved by reducing the predicted dose by approximately 15%. Further study is
needed to assess the applicability of this approach to decrease attrition rates
and the time needed to reach INR stabilization.
PMID- 21881889
TI - Reconstruction and verification of a genome-scale metabolic model for
Synechocystis sp. PCC6803.
AB - In terms of generating sustainable energy resources, the prospect of producing
energy and other useful materials using cyanobacteria has been attracting
increasing attention since these processes require only carbon dioxide and solar
energy. To establish production processes with a high productivity, in silico
models to predict the metabolic activity of cyanobacteria are highly desired. In
this study, we reconstructed a genome-scale metabolic model of the cyanobacterium
Synechocystis sp. PCC6803, which included 465 metabolites and 493 metabolic
reactions. Using this model, we performed constraint-based metabolic simulations
to obtain metabolic flux profiles under various environmental conditions. We
evaluated the simulated results by comparing these with experimental results from
(13)C-tracer metabolic flux analyses, which were obtained under heterotrophic and
mixotrophic conditions. There was a good agreement of simulation and experimental
results under both conditions. Furthermore, using our model, we evaluated the
production of ethanol by Synechocystis sp. PCC6803, which enabled us to estimate
quantitatively how its productivity depends on the environmental conditions. The
genome-scale metabolic model provides useful information for the evaluation of
the metabolic capabilities, and prediction of the metabolic characteristics, of
Synechocystis sp. PCC6803.
PMID- 21881888
TI - Antihypertensive effects and safety of eprosartan: a meta-analysis of randomized
controlled trials.
AB - PURPOSE: The benefits of reducing blood pressure (BP) have been well established,
but uncertainty remains about the comparative effects of different BP-lowering
regimens. We aimed to estimate the efficacy and the tolerability of eprosartan
compared with other agents as monotherapy. METHODS: PubMed, EMBASE, and Cochrane
Library were searched for relevant studies. A meta-analysis of randomized
controlled trials (RCTs) meeting the criteria was performed using Review Manager
and Stata/SE. RESULTS: Twenty-two articles were ultimately included out of 78
studies, involving 6,460 patients. Eprosartan had a greater systolic blood
pressure (SBP) reduction than placebo [weighted mean difference (WMD): 6.55, 95%
confidence interval (CI) 4.86-8.25] and losartan (WMD: 2.24, 95% CI 0.08-4.40)
and a greater diastolic blood pressure (DBP) reduction than placebo (WMD 3.95,
95% CI 2.77-5.13). Therapeutic response rate of BP favored eprosartan [risk ratio
(RR) 1.13, 95% CI 1.03-1.24] compared with enalapril. There were no statistical
differences in SBP or DBP reductions comparing eprosartan with enalapril or
telmisartan. Original RCTs included comparing eprosartan with valsartan and
nitrendipine reported no differences in BP-lowering efficacy. CONCLUSIONS:
Eprosartan monotherapy is equivalent to many first-line antihypertensive agents
and is effective for the treatment of essential hypertension, especially for
isolated systolic hypertension. The favorable efficacy and tolerability make
eprosartan worthwhile to be taken into consideration by physicians.
PMID- 21881890
TI - Temporal and spatial distribution of Bacillus and Clostridium histolyticum in
swine manure composting by fluorescent in situ hybridization (FISH).
AB - The temporal and spatial distribution of the genus Bacillus and Clostridium
histolyticum group in swine manure composting was determined by fluorescent in
situ hybridization using fluorescently labeled 16S rRNA-targeted oligonucleotide
probes LGC353b and Chis150, respectively. The temporal distribution of total
bacteria, Bacillus and C. histolyticum, detected in each layer of the composting
pile was noticeable in that the number of them detected at the high-temperature
stage was higher than that of the cooling stage. The number detected at the
cooling stage was higher than that of the temperature-rising stage. The number of
the total bacteria distributed in three locations achieved balance at the stage
of cooling. The spatial distribution of the genus Bacillus cells was that the
number and the relative abundance of Bacillus cells detected in the middle layer
of composting pile were the lowest at each stage of composting. However, the
minimum value of the relative abundance exceeded 8%. Compared with Bacillus spp.,
the C. histolyticum group displayed higher relative abundance in the same layer
at different stages of composting except in the top layer at the stage of high
temperature. However, the characteristic of the spatial distribution was not
noticeable. The detected limits of the genus Bacillus and C. histolyticum group
were both found to be the high cell density of 10(6) cells g(-1) (wet weight).
These results indicated that the genus Bacillus and C. histolyticum group were
the predominant bacteria in the swine manure composting process and may play
important role in this complex environment.
PMID- 21881891
TI - Optimization of fixation methods for observation of bacterial cell morphology and
surface ultrastructures by atomic force microscopy.
AB - Fixation ability of five common fixation solutions, including 2.5%
glutaraldehyde, 10% formalin, 4% paraformaldehyde, methanol/acetone (1:1), and
ethanol/acetic acid (3:1) were evaluated by using atomic force microscopy in the
present study. Three model bacteria, i.e., Escherichia coli, Pseudomonas putida,
and Bacillus subtilis were applied to observe the above fixation methods for the
morphology preservation of bacterial cells and surface ultrastructures. All the
fixation methods could effectively preserve cell morphology. However, for
preserving bacterial surface ultrastructures, the methods applying aldehyde
fixations performed much better than those using alcohols, since the alcohols
could detach the surface filaments (i.e., flagella and pili) significantly. Based
on the quantitative and qualitative assessments, the 2.5% glutaraldehyde was
proposed as a promising fixation solution both for observing morphology of both
bacterial cell and surface ultrastructures, while the methonal/acetone mixture
was the worst fixation solution which may obtain unreliable results.
PMID- 21881892
TI - Active site analysis of cis-epoxysuccinate hydrolase from Nocardia tartaricans
using homology modeling and site-directed mutagenesis.
AB - Cis-epoxysuccinate hydrolase (CESH, EC 3.3.2.3) from Nocardia tartaricans is
known to catalyze the opening of an epoxide ring of cis-epoxysuccinate (CES),
thereby converting it to corresponding vicinal diol, L(+)-tartaric acid. An
attempt has been made to build a 3D homology model of CESH to investigate the
structure-function relationship, and also to understand the mechanism of the
enzymatic reaction. Using a combination of molecular-docking simulation and
multiple sequence alignment, a set of putative residues that are involved in the
CESH catalysis has been identified. Functional roles of these putative active
site residues were further evaluated by site-directed mutagenesis. Interestingly,
the mutants D18A, D18E, Q20E, T22A, R55E, N134D, K164A, H190A, H190N, H190Q,
D193A, and D193E resulted in complete loss of activity, whereas the mutants Y58F,
T133A, S189A, and Y192D retained partial enzyme activity. Furthermore, the active
site residues responsible for the opening of CES were analyzed, and the mechanism
underlying the catalytic triad involved in L(+)-tartaric acid biosynthesis was
proposed.
PMID- 21881893
TI - Acetate scavenging activity in Escherichia coli: interplay of acetyl-CoA
synthetase and the PEP-glyoxylate cycle in chemostat cultures.
AB - Impairment of acetate production in Escherichia coli is crucial for the
performance of many biotechnological processes. Aerobic production of acetate (or
acetate overflow) results from changes in the expression of central metabolism
genes. Acetyl-CoA synthetase scavenges extracellular acetate in glucose-limited
cultures. Once converted to acetyl-CoA, it can be catabolized by the
tricarboxylic acid cycle or the glyoxylate pathway. In this work, we assessed the
significance of these pathways on acetate overflow during glucose excess and
limitation. Gene expression, enzyme activities, and metabolic fluxes were studied
in E. coli knock-out mutants related to the glyoxylate pathway operon and its
regulators. The relevance of post-translational regulation by AceK-mediated
phosphorylation of isocitrate dehydrogenase for pathway functionality was
underlined. In chemostat cultures performed at increasing dilution rates, acetate
overflow occurs when growing over a threshold glucose uptake rate. This threshold
was not affected in a glyoxylate-pathway-deficient strain (lacking isocitrate
lyase, the first enzyme of the pathway), indicating that it is not relevant for
acetate overflow. In carbon-limited chemostat cultures, gluconeogenesis (maeB,
sfcA, and pck), the glyoxylate operon and, especially, acetyl-CoA synthetase are
upregulated. A mutant in acs (encoding acetyl-CoA synthetase) produced acetate at
all dilution rates. This work demonstrates that, in E. coli, acetate production
occurs at all dilution rates and that overflow is the result of unbalanced
synthesis and scavenging activities. The over-expression of acetyl-CoA synthetase
by cAMP-CRP-dependent induction limits this phenomenon in cultures consuming
glucose at low rate, ensuring the recycling of the acetyl-CoA and acetyl
phosphate pools, although establishing an energy-dissipating substrate cycle.
PMID- 21881894
TI - CRP evolution pattern in CPAP-treated obstructive sleep apnea patients. Does
gender play a role?
AB - BACKGROUND-AIM: C-reactive protein (CRP) is directly implicated in atherogenesis
and associated cardiovascular morbidity in patients with obstructive sleep apnea
(OSA). Effective continuous positive airway pressure (CPAP) treatment has been
shown to gradually decrease CRP levels and thus consequently improve disease
related cardiovascular morbidity. However, the influence of gender on the CRP
evolution pattern has never been assessed before. The aim of our study was to
investigate possible gender differences in CRP evolution in OSA patients 3 and 6
months after the start of effective CPAP treatment. METHODS: The study population
consisted of 436 patients (252 males/184 females) with newly diagnosed moderate
to severe OSA and good CPAP compliance assessed by a thorough follow up. High
sensitivity C-reactive protein (hs-CRP) was assessed before CPAP initiation and
at the third and sixth month of the follow-up period. RESULTS: C-reactive protein
values showed a statistically significant decrease at the third and sixth month
of CPAP therapy [initial values 0.79 +/- 0.65 mg/dL versus 0.70 +/- 0.52 mg/dL (p
< 0.05) after 3 months and 0.30 +/- 0.33 mg/dL (p < 0.001) after 6 months of CPAP
therapy]. When patients were divided into males and females, the above evolution
pattern was changed. At the third month time point, the CRP values showed a
statistically significant decrease only in males (from 0.74 +/- 0.53 mg/dL to
0.61 +/- 0.5 mg/dL, p < 0.01) while females showed only minimal and insignificant
changes (from 0.87 +/- 0.79 mg/dL to 0.83 +/- 0.51 mg/dL, p > 0.05). After 6
months' treatment, CRP decreased significantly in both genders (males from 0.74
+/- 0.53 mg/dL to 0.28 +/- 0.32 mg/dL, p < 0.001 and females from 0.87 +/- 0.79
mg/dL to 0.34 +/- 0.36 mg/dL, p < 0.001). CONCLUSION: Our results suggest a delay
in the normalization of CRP levels in females despite effective CPAP treatment. A
time period of at least 6 months appeared to be required in women in order to
reduce CRP levels and consequent cardiovascular risk. In contrast, CPAP's
protective role in males is achieved at an earlier time point. Gender-related
hormonal and genetic factors may influence the above CRP evolution pattern.
PMID- 21881896
TI - Spontaneous recovery and ABC renewal from retroactive cue interference.
AB - Two conditioned suppression experiments with rats were conducted to determine
whether the spontaneous recovery and renewal that are commonly observed in
retroactive outcome interference (e.g., extinction) also occur in retroactive cue
interference. Experiment 1 showed that a long delay between Phase 2 (the
interfering phase) and testing produces a recovery from the cue interference
(i.e., the delay enhanced responding to the target cue trained in Phase 1), which
is analogous to the spontaneous recovery effect observed in extinction and other
retroactive outcome interference procedures. Experiment 2 showed that, when
target and interfering cues are trained in separate contexts and testing occurs
in a different but familiar context, a recovery from the cue interference is also
observed (i.e., the context shift enhanced responding to the target), which is
analogous to ABC renewal from extinction. The results are discussed in terms of
the possibility that similar associative mechanisms underlie cue and outcome
interference.
PMID- 21881897
TI - Chemical and micromorphological properties of TSP and PM10 particles: case study
in Bucharest urban area.
AB - The purpose of this work was to determine some aspects of micromorphology of
total suspended particles (TSP) and particulate matter with aerodynamic diameter
<=10 MUm (PM(10)) and their major elemental components in order to highlight the
main characteristics of the atmospheric particles from an urban environment,
having a case study in the urban area of Bucharest. The sampling of PM(10) and
TSP were conducted in the close vicinity of a high traffic road for 72 h per
sample and also priority pollutants in air: Pb and Cd were quantified and
correlations between their concentrations and local wind directions were also
found. The parameters characterizing the micromorphology of particle-roughness of
the particle surface and area of particle-were correlated with wind direction.
PMID- 21881898
TI - Historical changes of sediments and mollusk assemblages in the Gulf of Batabano
(Caribbean Sea) in the twentieth century.
AB - The first paleoecological reconstruction of the biogeochemical conditions of the
Gulf of Batabano, Caribbean Sea was performed from (210)Pb-dated sediment cores.
Depth profiles of 20 major elements and trace metals, organic compounds, grain
size, and mollusk assemblage composition were determined from 9 stations
encompassing unconsolidated sediments in the gulf. Spatial heterogeneity was
evident for the geochemistry of sediments and for the mollusk assemblage
composition. Our reconstruction indicates that pollution is not a critical threat
to the ecosystem, although a slight historical increase of lead enrichment factor
was detected probably due to long-range atmospheric fallout. Mollusk assemblages
were composed by 168 species belonging to 59 families and no temporal trends in
the species diversity or assemblage composition were detected, suggesting no
depletion of diversity or habitat loss. Other signals of habitat loss such as
changes in organic budget or increase of fine sediment fraction were absent or
weak. Nitrogen retained in sediments changed by <1% in the century, indicating no
historical events of eutrophication or oligotrophication in the gulf. Historical
decrease of fine sediment fraction in the eastern sector would be linked to
modifications in sedimentation rate, land use, and/or particle transport from the
shelf border; this also suggests that both sectors have different sedimentary
dynamics. Although, on theoretical grounds, historical fishery may have caused
deleterious ecosystem effects by overexploitation of spiny lobster stocks, no
evidence of habitat degradation or loss, caused by fisheries, could be detected.
PMID- 21881899
TI - Ecological assessment of French Atlantic lakes based on phytoplankton,
phytobenthos and macrophytes.
AB - Biological elements, including phytoplankton, phytobenthos, macrophytes, benthic
invertebrates and fish, are employed by the EU Water Framework Directive (WFD)
2000/60/EC as ecological indicators for the assessment of surface waters. The use
of primary producers (phytoplankton, phytobenthos and macrophytes) for water
quality assessment has a long history, and several methods have been developed
worldwide. In this study, we used these three communities to assess the
ecological status of five natural lakes located in the Aquitaine region
(southwest France). Several biological indices used in lakes from other European
countries or in French rivers were employed and compared among the three
communities. Each primary producer provided complementary information about the
ecological status of the lakes, including the invasiveness of exotic taxa.
Regardless of the producer community used, the response to the environment, as
reflected by the indices (adequate for each community), was similar: Lakes
Cazaux, Lacanau and Hourtin showed the best ecological status and Parentis and
Soustons the worst. Phytoplankton diagnosis reflected and integrated
unambiguously the water quality of the lakes, as demonstrated by the strong
relationships between the phytoplankton index and the trophic status criteria.
This community appeared as the best indicator, especially when macrophytes were
absent. The methods applied here represent a potential tool for the assessment of
the ecological status in the context of WFD, but they need to be refined. We
propose modifications for phytobenthos index initially tailored for running
waters for adequate use in lentic ecosystems. Indices for the three primary
producers should be modified to incorporate exotic species which may provide
information on potential biodiversity losses.
PMID- 21881900
TI - Characteristics of mining-ecological monitoring of environmental objects changing
under the influence of toxic waste tailing dump ("Solnechny GOK" Company).
AB - The article considers the characteristics of mining-ecological monitoring of
environmental objects changing under the influence of toxic waste tailing dump
(OAO "Solnechny GOK" Company).
PMID- 21881901
TI - Bilateral assessment of functional tasks for robot-assisted therapy applications.
AB - This article presents a novel evaluation system along with methods to evaluate
bilateral coordination of arm function on activities of daily living tasks before
and after robot-assisted therapy. An affordable bilateral assessment system
(BiAS) consisting of two mini-passive measuring units modeled as three degree of
freedom robots is described. The process for evaluating functional tasks using
the BiAS is presented and we demonstrate its ability to measure wrist kinematic
trajectories. Three metrics, phase difference, movement overlap, and task
completion time, are used to evaluate the BiAS system on a bilateral symmetric
(bi-drink) and a bilateral asymmetric (bi-pour) functional task. Wrist position
and velocity trajectories are evaluated using these metrics to provide insight
into temporal and spatial bilateral deficits after stroke. The BiAS system
quantified movements of the wrists during functional tasks and detected
differences in impaired and unimpaired arm movements. Case studies showed that
stroke patients compared to healthy subjects move slower and are less likely to
use their arm simultaneously even when the functional task requires simultaneous
movement. After robot-assisted therapy, interlimb coordination spatial deficits
moved toward normal coordination on functional tasks.
PMID- 21881902
TI - Oscillator-based assistance of cyclical movements: model-based and model-free
approaches.
AB - In this article, we propose a new method for providing assistance during cyclical
movements. This method is trajectory-free, in the sense that it provides user
assistance irrespective of the performed movement, and requires no other sensing
than the assisting robot's own encoders. The approach is based on adaptive
oscillators, i.e., mathematical tools that are capable of learning the high level
features (frequency, envelope, etc.) of a periodic input signal. Here we present
two experiments that we recently conducted to validate our approach: a simple
sinusoidal movement of the elbow, that we designed as a proof-of-concept, and a
walking experiment. In both cases, we collected evidence illustrating that our
approach indeed assisted healthy subjects during movement execution. Owing to the
intrinsic periodicity of daily life movements involving the lower-limbs, we
postulate that our approach holds promise for the design of innovative
rehabilitation and assistance protocols for the lower-limb, requiring little to
no user-specific calibration.
PMID- 21881903
TI - Periparturition alterations to liver ultrasonographic echo-texture and fat
mobilization parameters in clinically healthy Holstein cows.
AB - The objective of present study was to record the sequential alterations in liver
echo-texture through digital analyzing of the B-mode ultrasonography in three
groups of under-conditioned (UC), moderate-conditioned (MC), and over-conditioned
(OC) clinically healthy Holstein cows from 30 days to calving until 30 days in
milk. Furthermore, to compare their changes in association with the changes of
fat mobilization parameters of non-esterified fatty acids (NEFA),
betahydroxybutyric acid (BHBA), and body condition score (BCS). Although the cows
lost significant (P < 0.05) BCS from calving and the NEFA values showed an
increasing trend near calving and the BHBA values significantly inclined
postparturition, the mean grey scale histogram (MGSH) of liver images did not
reveal significant fluctuations unless a significant decrease on calving day (P <
0.05). The MGSH drop was predicted to be the result of anatomical changes in
abdominal cavity, related to delivery and liver's blood flow. OC cows had higher
NEFA on day +20 than UC and MC cows (P < 0.05). UC cows showed higher MGSH values
on day -30 than MC cows and again on day -10 comparing to MC and OC cows (P <
0.05). MGSH values correlated with BCS values (rUC = -0.186; rMC = -0.283; rOC =
0.158). It was concluded that the studied cows did not show significant
alterations in textural changes in their liver ultrasound whilst going through
fat mobilization. As quantitative ultrasonography has shown the potential to
detect cases of fatty liver, it could gain the attention to become a feasible
device for liver health monitoring on a herd basis.
PMID- 21881904
TI - Phospholipid composition of plasma and erythrocyte membranes in animal species by
31P NMR.
AB - The aim of this study was to provide basal values of phospholipid (PL)
composition in different animal species by 31P NMR analysis using detergents.
This fast and accurate method allowed a quantitative analysis of PLs without any
previous separation. Plasma and erythrocyte membrane PLs were investigated in
mammals (pig, cow, horse). Moreover, for the first time, the composition of
plasma PLs in avian (chicken and ostrich) was performed by 31P NMR. Significant
qualitative and quantitative interspecies differences in plasma PL levels were
found. Phosphatidilcholine (PC) and sphingomyelin (SPH) levels were significantly
higher (P < 0.001) in chicken plasma than all the other species tested. In
erythrocytes, cow PC and phosphatidylcholine diarachidoyl were significantly
lower (P < 0.001) than for pigs and horses, whereas pig PC presented intermediate
values among cows and horses. Inorganic phosphate and 2,3-diphosphoglycerate
levels were also significantly different between the species under investigation.
The [SPH/total PLs] molar ratios in erythrocytes confirmed interspecies
differences in phospholipid composition while the PC/SPH molar ratios could be
related to a distinct erythrocyte flexibility and aggregability. Diet and
nutrition may contribute primarily to the interspecies differences in plasma PL
amounts detected. Significant differences between chicken plasma PC and SPH
levels and those of the other animal species could be ascribed to a fat
metabolism specific to egg production.
PMID- 21881905
TI - Increased variability of motor cortical excitability to transcranial magnetic
stimulation in migraine: a new clue to an old enigma.
AB - Increased, decreased or normal excitability to transcranial magnetic stimulation
(TMS) has been reported in the motor (M1) and visual cortices of patients with
migraine. Light deprivation (LD) has been reported to modulate M1 excitability in
control subjects (CS). Still, effects of LD on M1 excitability compared to
exposure to environmental light exposure (EL) had not been previously described
in patients with migraine (MP). To further our knowledge about differences
between CS and MP, regarding M1 excitability and effects of LD on M1
excitability, we opted for a novel approach by extending measurement conditions.
We measured motor thresholds (MTs) to TMS, short-interval intracortical
inhibition, and ratios between motor-evoked potential amplitudes and supramaximal
M responses in MP and CS on two different days, before and after LD or EL. Motor
thresholds significantly increased in MP in LD and EL sessions, and remained
stable in CS. There were no significant between-group differences in other
measures of TMS. Short-term variation of MTs was greater in MP compared to CS.
Fluctuation in excitability over hours or days in MP is an issue that, until now,
has been relatively neglected. The results presented here will help to reconcile
conflicting observations.
PMID- 21881906
TI - Toxicity of pentachlorophenol to native aquatic species in the Yangtze River.
AB - INTRODUCTION: While the literature is replete with studies of the toxic potency
of pentachlorophenol (PCP), site-specific criteria for native aquatic species
that can be used in ecological risk assessments has been lacking and application
of toxicity information for non-native species is controversial. MATERIALS AND
METHODS: In the present study, acute and chronic toxicities of PCP to six aquatic
species native to the Yangtze River were determined. The HC5 and HC50 (hazardous
concentration for 5% and 50% of species) were derived from dose-response curves
for these native aquatic species and were then compared with those derived for
non-native species. RESULTS: The acute toxicity values for the native species
ranged from 8.8 * 10-2 mg l-1 (Plagiognathops microlepis) to 1.1 mg l-1
(Soirodela polyrhiza), while chronic toxicity values based on no observed effect
concentrations (NOECs) ranged from 0.01 mg l-1 (Macrobrachium superbum) to 0.25
mg l-1 (Soirodela polyrhiza). Native aquatic benthos was more sensitive to acute
PCP exposure than non-native species. There was no significant difference in
NOECs derived from native fish species and those based on non-native fish
species. The median acute HC5 and HC50 derived from the toxicity data of native
taxa were both less than those derived from non-native taxa. There was no
significant difference between chronic HC5s derived from the two sets of taxa.
However, the median chronic HC50 derived from native taxa was less than that
derived from non-native taxa. CONCLUSION: The study upon which we report here
provides site-specific toxicity information developed for native species which
can be used for the protection of local aquatic life from a common contaminant,
PCP.
PMID- 21881907
TI - Differential inflammatory responses triggered by toxic small molecules.
AB - PURPOSE: The aim of this study is to determine whether exposure to hazardous
chemicals alters chemokine or cytokine production in macrophages and link these
events to changes in intracellular signaling pathways and activation of specific
gene promoters. METHODS: RAW 264.7 mouse macrophages were treated with selected
toxic industrial chemicals (TICs) and examined for changes in immune function.
Luminex multiplex technology was used to assess changes in cytokine/chemokine
expression and activation of kinase signaling pathways. In addition, a panel of
macrophage cell lines with promoter-specific luciferase reporter genes were
generated and treated with the TICs, and transcriptional responses to these
chemicals were detected by changes in luminescence. RESULTS: Changes in
expression of cytokines and chemokines were linked to changes in the activation
state of intracellular signaling pathways. Overall, the findings reveal that
sublytic levels of TICs can alter the profile of cytokines and chemokines
expressed by macrophages, with a pattern that suggests immunosuppression. The
data demonstrate that critical changes in immune function correlate with
activation of kinase signaling pathways in macrophages. CONCLUSIONS: These data
provide insight into the effects of sublytic doses of selected TICs on macrophage
function, with a particular emphasis on identifying changes in expression of
cytokines and chemokines. These altered patterns in immune function were linked
to changes in the activation state of intracellular signaling pathways. The data
strongly suggest that small amounts of TICs can have subtle, yet very critical,
effects on macrophages.
PMID- 21881908
TI - Regional, seasonal and interspecific variation in 15N and 13C in sympatric mouse
lemurs.
AB - Madagascar provides some of the rare examples where two or more primate species
of the same genus and with seemingly identical niche requirements occur in
sympatry. If congeneric primate species co-occur in other parts of the world,
they differ in size in a way that is consistent with Hutchinson's rule for
coexisting species, or they occupy different ecological niches. In some areas of
Madagascar, mouse lemurs do not follow these "rules" and thus seem to violate one
of the principles of community ecology. In order to understand the mechanisms
that allow coexistence of sympatric congeneric species without obvious niche
differentiation, we studied food composition of two identical sized omnivorous
mouse lemur species, Microcebus griseorufus and M. murinus with the help of
stable isotope analyses (delta(15)N and delta(13)C). The two species are closely
related sister species. During the rich season, when food seems abundant, the two
species do not differ in their nitrogen isotope composition, indicating that the
two species occupy the same trophic level. But they differ in their delta(13)C
values, indicating that M. griseorufus feeds more on C(4) and CAM (Crassulacean
acid-metabolism) plants than M. murinus. During the lean season, M. murinus has
lower delta(15)N values, indicating that the two species feed at different
trophic levels during times of food shortage. Hybrids between the two species
showed intermediate food composition. The results reflect subtle differences in
foraging or metabolic adaptations that are difficult to quantify by traditional
observations but that represent possibilities to allow coexistence of species.
PMID- 21881909
TI - Pelagic crinoids (Roveacrinida, Crinoidea) discovered in the Neogene of Poland.
AB - Until recently, it has been assumed that pelagic crinoids, the roveacrinids
(Roveacrinida, Crinoidea), became extinct during the Cretaceous-Paleogene
boundary event. Recent finds of well-preserved roveacrinidal remains (brachials
and radials) in the Danian (Early Paleogene) of Poland showed that they survived
into the earliest Cenozoic. This group was thus characterized as a "dead clade
walking". Here, we present fossil evidence that these pelagic crinoids survived
in Poland until at least the Middle Miocene (Badenian, ca. 14 Myr ago)-more than
50 Myr after their supposed extinction. These Miocene roveacrinids constitute the
first documented evidence of Roveacrinida in strata of Neogene age, thus
prolonging the stratigraphic range of pelagic crinoids. This find characterizes
the order as a "Lazarus taxon" rather than a "dead clade walking" group.
PMID- 21881910
TI - Scientific production in cancer rehabilitation grows higher: a bibliometric
analysis.
AB - PURPOSE: The aim of the study was to evaluate scientific production in the field
of cancer rehabilitation comparing publication trends and impact factor (IF)
among countries. METHODS: The PubMed database was searched. Publications numbers
and IF were evaluated both as absolute values and after standardization by
population and gross domestic product (GDP). A dedicated software was developed
to create a relational database containing all information about considered
publications (Research Management System). RESULTS: Some 1,743 publications were
retrieved from 1967 to 2008. Cancer rehabilitation publications have grown 11.6
times, while the whole field of disease rehabilitation has grown 7.8 times.
Breast neoplasms, squamous cell carcinoma, treatment outcome, endosseous dental
implantation, follow-up studies, and surgical flaps were the most commonly used
keywords. From 1994 to 2008, 946 citations were retrieved: 36.8% came from the
European Union (EU) (Germany, the UK, and the Netherlands ranking at the top) and
36.9% from the USA. The highest mean IF was reported for the USA (3.384) followed
by Canada (3.265) and Australia (2.643). The EU has a mean IF of 0.839 with the
Netherlands ranking first. Canada, Australia, and the USA had the best ratio
between IF (sum) and resident population or GDP. CONCLUSIONS: Cancer
rehabilitation is an expanding area with a growing scientific production. The
rapidly ageing population, the higher number of cancer survivors, and the
increasing need of resources for the after treatment of cancer patients
contribute to explain the interest for this field.
PMID- 21881911
TI - An overview of the European Society of Medical Oncology (ESMO) Symposium on
Cancer and Nutrition 2009: from cancer prevention to nutrition support to
alleviating suffering in patients with advanced cancer.
PMID- 21881912
TI - Aerobic biotransformation of 2,4-dinitroanisole in soil and soil Bacillus sp.
AB - 2,4-Dinitroanisole (DNAN) is a low sensitive melt-cast chemical being tested by
the Military Industry as a replacement for 2,4,6-trinitrotoluene (TNT) in
explosive formulations. Little is known about the fate of DNAN and its
transformation products in the natural environment. Here we report aerobic
biotransformation of DNAN in artificially contaminated soil microcosms. DNAN was
completely transformed in 8 days in soil slurries supplemented with carbon and
nitrogen sources. DNAN was completely transformed in 34 days in slurries
supplemented with carbons alone and persisted in unamended microcosms. A strain
of Bacillus (named 13G) that transformed DNAN by co-metabolism was isolated from
the soil. HPLC and LC-MS analyses of cell-free and resting cell assays of
Bacillus 13G with DNAN showed the formation of 2-amino-4-nitroanisole as the
major end-product via the intermediary formation of the arylnitroso (ArNO) and
arylhydroxylamino (ArNHOH) derivatives, indicating regioselective reduction of
the ortho-nitro group. A series of secondary reactions involving ArNO and ArNHOH
gave the corresponding azoxy- and azo-dimers. Acetylated and demethylated
products were identified. Overall, this paper provides the evidence of fast DNAN
transformation by the indigenous microbial populations of an amended soil with no
history of contamination with explosives and a first insight into the aerobic
metabolism of DNAN by the soil isolate Bacillus 13G.
PMID- 21881914
TI - Pipeline embolization device (PED) for neurovascular reconstruction: initial
experience in the treatment of 101 intracranial aneurysms and dissections.
AB - INTRODUCTION: The purpose of this study was to evaluate the safety and efficacy
of the recently available flow diverter "pipeline embolization device" (PED) for
the treatment of intracranial aneurysms and dissections. METHODS: Eighty-eight
consecutive patients underwent an endovascular treatment of 101 intracranial
aneurysms or dissections using the PED between September 2009 and January 2011.
The targeted vessels include 79 (78%) in the anterior circulation and 22 (22%) in
the posterior circulation. We treated 96 aneurysms and 5 vessel dissections.
Multiple devices were implanted in 67 lesions (66%). RESULTS: One technical
failure of the procedure was encountered. Immediate exclusion of the target
lesion was not observed. Angiographic follow-up examinations were carried out in
80 patients (91%) with 90 lesions and revealed complete cure of the target
lesion(s) in 47 (52%), morphological improvement in 32 lesions (36%), and no
improvement in 11 lesions (12%). Six major complications were encountered: one
fatal aneurysm rupture, one acute and one delayed PED thrombosis, and three
hemorrhages in the dependent brain parenchyma. CONCLUSION: Our experience reveals
that the PED procedure is technically straightforward for the treatment of
selected wide-necked saccular aneurysms, fusiform aneurysms, remnants of
aneurysms, aneurysms with a high likelihood of failure with conventional
endovascular techniques, and dissected vessels. While vessel reconstruction,
performed after dissection, is achieved within days, remodeling of aneurysmal
dilatations may take several months. Dual platelet inhibition is obligatory.
Parenchymal bleeding into brain areas dependent on the target vessel is uncommon.
PMID- 21881915
TI - Phase I study of temsirolimus in combination with EKB-569 in patients with
advanced solid tumors.
AB - Purpose Activation of EGFR can stimulate proliferative and survival signaling
through mTOR. Preclinical data demonstrates synergistic activity of combined EGFR
and mTOR inhibition. We undertook a phase I trial of temsirolimus (T, an mTOR
inhibitor) and EKB-569 (E, an EGFR inhibitor) to determine the safety and
tolerability. Methods The primary aim was to determine the maximally tolerated
dose (MTD) of this combination in adults with solid tumors. Following the dose
escalation phase, (Cohort A), two subsequent cohorts were used to assess any
pharmacokinetic (PK) interaction between the agents. Results Forty eight patients
were enrolled. The MTD of this combination was E, 35 mg daily and T, 30 mg on
days 1-3 and 15-17 using a 28-day cycle. The most common toxicities were nausea,
diarrhea, fatigue, anorexia, stomatitis, rash, anemia, neutropenia,
thrombocytopenia, and hypertriglyceridemia. Sixteen patients (36%) had at least
one grade 3 toxicity. The most frequent grade 3/4 toxicities were diarrhea,
dehydration, and nausea and vomiting (19% each). No grade 5 events were seen.
Four patients had a partial response and 15 had stable disease. Clinical benefit
was seen across a range of tumor types and in all cohorts. PK analysis revealed
no significant interaction between E and T. Conclusions This combination of
agents is associated with tolerable toxicities at doses that induced responses.
PK studies revealed no interaction between the drugs. Further investigations of
this targeting strategy may be attractive in renal cell carcinoma, non-small cell
lung cancer, alveolar sarcoma, and carcinoid tumor.
PMID- 21881916
TI - Anti-neoplastic agent thymoquinone induces degradation of alpha and beta tubulin
proteins in human cancer cells without affecting their level in normal human
fibroblasts.
AB - The microtubule-targeting agents derived from natural products, such as vinca
alkaloids and taxanes are an important family of efficient anti-cancer drugs with
therapeutic benefits in both haematological and solid tumors. These drugs
interfere with the assembly of microtubules of alpha/beta tubulin heterodimers
without altering their expression level. The aim of the present study was to
investigate the effect of thymoquinone (TQ), a natural product present in black
cumin seed oil known to exhibit putative anti-cancer activities, on alpha/beta
tubulin expression in human astrocytoma cells (cell line U87, solid tumor model)
and in Jurkat cells (T lymphoblastic leukaemia cells). TQ induced a concentration
and time-dependent degradation of alpha/beta tubulin in both cancer cell types.
This degradation was associated with the up-regulation of the tumor suppressor
p73 with subsequent induction of apoptosis. Interestingly, TQ had no effect on
alpha/beta tubulin protein expression in normal human fibroblast cells, which
were used as a non-cancerous cell model. These data indicate that TQ exerts a
selective effect towards alpha/beta tubulin in cancer cells. In conclusion, the
present findings indicate that TQ is a novel anti-microtubule drug which targets
the level of alpha/beta tubulin proteins in cancer cells. Furthermore, they
highlight the interest of developing anti-cancer therapies that target directly
tubulin rather than microtubules dynamics.
PMID- 21881917
TI - Biological evaluation of MR36, a novel non-polyglutamatable thymidylate synthase
inhibitor that blocks cell cycle progression in melanoma cell lines.
AB - Melanoma is one of the most common cancers, and its incidence has continued to
increase over the past few decades. Chemotherapy resistance and related defects
in apoptotic signaling are critical for the high mortality of melanoma. Effective
drugs are lacking because apoptosis regulation in this tumor type is not well
understood. The folate pathway has been considered an interesting target for
anticancer therapies, and approaches targeting this pathway have recently been
extended to melanoma treatment. In this study, the intracellular apoptosis
signaling pathways of two melanoma cells lines (SK-MEL-2 and SK-MEL-28) were
investigated after treatment with a new experimental antifolate substance (MR36)
that targets thymidylate synthase. In both melanoma cell lines, apoptosis
induction was triggered by a p53-independent mechanism. MR36-induced apoptosis
was associated with a loss of both mitochondrial membrane potential and caspase-3
activation. Induction of cell cycle arrest by MR36 was associated with changes in
the expression of key cell cycle regulators, such as p21 and cyclin D1, and the
hypophosphorylation of pRb. In addition, Fas signaling was also analyzed. These
findings suggest that, unlike classical antifolates, MR36 exerted an inhibitory
effect on both the enzymatic function and expression of thymidylate synthase,
thereby inducing apoptosis through the activation of the extrinsic and intrinsic
pathways in the melanoma cell lines. MR36 showed a different mechanism of action
from the known antifolates (Nolatrexed and Pemetrexed) that resulted in higher
anticancer activity. Therefore, MR36 should be included as a potential new
therapeutic treatment in melanoma research.
PMID- 21881919
TI - Comparison of QuantiFERON-TB Gold and the tuberculin skin test for the detection
of previous tuberculosis infection evaluated by chest CT findings in Japanese
rheumatoid arthritis patients.
PMID- 21881918
TI - Phase I and pharmacokinetic study of dasatinib and cetuximab in patients with
advanced solid malignancies.
AB - BACKGROUND: Combined inhibition of epidermal growth factor receptor (EGFR) and
Src family kinases (SFK) may lead to improved therapeutic effects. We evaluated
the combination of dasatinib, an inhibitor of SFK and other kinases, and
cetuximab, an anti-EGFR monoclonal antibody. PATIENTS AND METHODS: Patients with
advanced solid malignancies received cetuximab intravenously on a standard weekly
schedule and dasatinib orally, once daily at 3 dose levels: (1) 100 mg, (2) 150
mg, (3) 200 mg. Pharmacokinetic and pharmacodynamic studies of dasatinib were
performed prior to starting cetuximab and following 14 days of treatment.
RESULTS: Twenty-five patients (3 dose level 1; 19 dose level 2; 3 dose level 3)
were initially treated. Three patients developed dose-limiting toxicities: 1 at
dose level 2 (headache) and 2 at dose level 3 (headache, nausea). Grade 3-4
toxicities in more than 2 patients included: dyspnea (4), vomiting (4), nausea
(3), hypersensitivity reactions (3), headache (3) and anemia (3). Twenty-one
patients developed headache (8 grade 1; 10 grade 2), which occurred after the
loading of cetuximab and lasted 1-3 days. Six additional patients were treated
with dasatinib starting 3 days after the loading dose of cetuximab; none
developed headache after dasatinib. Dasatinib pharmacokinetics and a transient
decrease in SFK PY416 levels in peripheral blood mononuclear cells were not
altered by cetuximab. Patients with higher plasma TGF-alpha levels had worse
progression-free survival. CONCLUSIONS: Dasatinib 150 mg once daily plus weekly
cetuximab is recommended for phase II studies. Early-onset headache was
ameliorated by starting dasatinib after cetuximab.
PMID- 21881920
TI - High and continuous exposure of laninamivir, an anti-influenza drug, may work
suppressively to generate low-susceptibility mutants in animals.
AB - Laninamivir octanoate (Inavir((r)); Daiichi Sankyo, Tokyo, Japan) is an anti
influenza drug that provides complete treatment by a single inhalation. It works
as a long-acting neuraminidase (NA) inhibitor by means of high and continuous
exposure of laninamivir, its active metabolite, in the lungs of mice after
intranasal administration. Even after 6 days after intranasal administration of
236 MUg/kg laninamivir octanoate, the concentration of laninamivir in the lungs
was maintained more than 2-3 orders higher than 50% inhibitory concentrations of
laninamivir to N1 NAs, about 2 orders higher than N2 NA of seasonal influenza A
viruses, and more than about 50 times higher than influenza B virus NA. From
A/H1N1 influenza virus-infected and laninamivir octanoate-treated mice, no low
susceptibility mutants to laninamivir were obtained. In contrast, four different
mutants to oseltamivir were obtained from mice administered oseltamivir
phosphate, which required repeated administration for treatment under the
experimental condition, showing similar virus load reduction between both
compounds. This finding suggested the unique characteristics of laninamivir
octanoate in mice may work suppressively to generate low-susceptibility mutants.
PMID- 21881921
TI - A 3,387 bp 5'-flanking sequence of the goat alpha-S1-casein gene provides correct
tissue-specific expression of human granulocyte colony-stimulating factor (hG
CSF) in the mammary gland of transgenic mice.
AB - A new expression vector containing the 1,944 bp 5'-flanking regulatory region
together with exon 1 and intron 1 of the goat alpha-S1-casein gene (CSN1S1), the
full-sized human granulocyte colony-stimulating factor gene (hGCSF) and the 3'
flanking sequence of the bovine CSN1S1, was created. The vector DNA was used for
generation of four mouse transgenic lines. The transgene was integrated into
chromosomes 8 and 12 of two founders as 2 and 5 copies, respectively. Tissue
specific secretion of hG-CSF into the milk of transgenic mice was in the range of
19-40 MUg/ml. RT-PCR analysis of various tissues of the transgenic mice
demonstrated that expression of hGCSF was detected in only the mammary gland in
the progeny of all founders. Moreover, cells were shown to be positive for hG-CSF
by immunofluorescent analysis in the mammary glands but not in any other tissues.
There were no signs of mosaic expression in the mammary gland. Trace amounts of
hG-CSF were detected in the serum of females of two transgenic lines during
lactation only. However, no transgenic mice showed any changes in hematopoiesis
based on the number of granulocytes in blood. Immunoblotting of hG-CSF in the
milk of transgenic mice revealed two forms, presumably the glycosylated and non
glycosylated forms. The hematopoietic activity of hG-CSF in the milk of
transgenic females is comparable to that of recombinant G-CSF. In general, the
data obtained in this study show that the new expression vector is able to
provide correct tissue-specific expression of hG-CSF with high biological
activity in transgenic mice.
PMID- 21881922
TI - Muscle-specific gene expression is underscored by differential stressor responses
and coexpression changes.
AB - Variations on the transcriptome from one skeletal muscle type to another still
remain unknown. The reliable identification of stable gene coexpression networks
is essential to unravel gene functions and define biological processes. The
differential expression of two distinct muscles, M. flexor digitorum (FD) and M.
psoas major (PM), was studied using microarrays in cattle to illustrate muscle
specific transcription patterns and to quantify changes in connectivity regarding
the expected gene coexpression pattern. A total of 206 genes were differentially
expressed (DE), 94 upregulated in PM and 112 in FD. The distribution of DE genes
in pathways and biological functions was explored in the context of system
biology. Global interactomes for genes of interest were predicted. Fast/slow
twitch genes, genes coding for extracellular matrix, ribosomal and heat shock
proteins, and fatty acid uptake centred the specific gene expression patterns per
muscle. Genes involved in repairing mechanisms, such as ribosomal and heat shock
proteins, suggested a differential ability of muscles to react to similar
stressing factors, acting preferentially in slow twitch muscles. Muscle
attributes do not seem to be completely explained by the muscle fibre
composition. Changes in connectivity accounted for 24% of significant
correlations between DE genes. Genes changing their connectivity mostly seem to
contribute to the main differential attributes that characterize each specific
muscle type. These results underscore the unique flexibility of skeletal muscle
where a substantial set of genes are able to change their behavior depending on
the circumstances.
PMID- 21881923
TI - Prognostic significance of NBS1 and Snail expression in esophageal squamous cell
carcinoma.
AB - BACKGROUND: Esophageal squamous cell carcinoma (ESCC) is a lethal malignancy, but
only limited molecular markers can predict its prognosis. Recently, a DNA double
strand break repair protein Nijmegen breakage syndrome 1 (NBS1) was reported to
induce Snail expression and predict poor prognosis in head and neck cancers.
However, the clinicopathologic roles of NBS1 and Snail in ESCC remain unclear.
METHODS: From January 1995 to September 1999, tissue samples from 153 patients
with ESCC who underwent esophagectomies at our institutions were collected and
made into tissue core arrays for study. Expression of NBS1 and Snail was examined
by immunohistochemical staining. The clinicopathologic data were analyzed, and
some additional studies were performed to explore the relationship between NBS1
and Snail. RESULTS: NBS1 overexpression was observed in 28.1% (43/153) of ESCC,
whereas Snail overexpression was observed in 26.1% (40/153) of ESCC.
Overexpression of NBS1 correlated inversely with nodal status (P = 0.009) and was
associated with better overall survival (P = 0.002). On the other hand,
overexpression of Snail correlated positively with lymphovascular invasion (P =
0.034) and was associated with worse overall survival (P = 0.036). Meanwhile,
NBS1 overexpression correlated inversely with Snail overexpression marginally (P
= 0.084). Using the Cox regression analysis, T status (P = 0.006), M status (P =
0.008), and NBS1 overexpression (P = 0.007) were the independent factors of
overall survival. CONCLUSIONS: Our results showed that NBS1 overexpression was an
independent factor of better survival and Snail overexpression predicted a worse
survival in ESCC. Combination of NBS1 plus Snail expression status could be used
as a predictor of prognosis in ESCC.
PMID- 21881924
TI - 2011 society of surgical oncology heritage award: honoring Kirby I. Bland, MD.
PMID- 21881925
TI - Dizziness impairs health-related quality of life.
AB - PURPOSE: Relatively little is known about the level of impairment in patients
with dizziness. RESEARCH QUESTION: How much does dizziness impair the quality of
life of patients referred to a multidisciplinary dizziness unit? PATIENTS AND
METHODS: All 2,252 patients completed the Dutch version of the Dizziness Handicap
Inventory (DHI-D; score 0-100 with higher scores representing more impairment).
The results were classified into three categories: mild, moderate, and severe
impairment. The three domains in the DHI representing physical, functional, and
emotional aspects of dizziness were compared, as well as DHI scores of men versus
women, between diagnoses, and the relationship between DHI and age. RESULTS: A
total of 2,242 patients (64% women, mean age 54 years) completed the DHI with a
mean score of 40.6. Almost 70% of patients had moderate or severe complaints. The
handicap perceived by patients was primarily caused by physical and functional
factors and less by emotional factors. Female patients and patients with
hyperventilation syndrome and/or anxiety disorder had significantly higher DHI
scores on all subscales. There was an S-shaped relationship between DHI score and
age, and older patients reported more impairment. CONCLUSION: Dizziness has
considerable impact on health-related quality of life of dizzy patients.
PMID- 21881927
TI - Validation of Tikhonov adaptively regularized gamma variate fitting with 24-h
plasma clearance in cirrhotic patients with ascites.
AB - PURPOSE: The aim was to compare late-time extrapolation of plasma clearance (CL)
from Tikhonov adaptively regularized gamma variate fitting (Tk-GV) and from mono
exponential (E1) fitting. METHODS: Ten (51)Cr-ethylenediaminetetraacetic acid
bolus IV studies in adults--8 with ascites--assessed for liver transplantation,
with 12-16 plasma samples drawn from 5-min to 24-h, were fit with Tk-GV and E1
models and CL results were compared using Passing-Bablok fitting. RESULTS: The 24
h CL(Tk-GV) values ranged from 11.4 to 79.7 ml/min. Linear regression of 4-
versus 24-h CL(Tk-GV) yielded no significant departure from a slope of 1, whereas
the 4- versus 24-h CL(E1) slope, 1.56, was significantly increased. For CL(Tk-GV
24-h) versus CL(E1-24-h), there was a biased slope and intercept (0.85, 5.97
ml/min). Moreover, the quality of fitting of 24-h data was significantly better
for Tk-GV than for E1, as follows. For 10 logarithm of concentration curves,
higher r values were obtained for each Tk-GV fit (median 0.998) than for its
corresponding E1 fit (median 0.965), with p < 0.0001 (paired t-test of z
statistics from Fisher r-z transformations). The E1 fit quality degraded with
increasing V/W [volume of distribution (l) per kg body weight, p = 0.003].
However, Tk-GV fit quality versus V/W was uncorrelated (p = 0.8). CONCLUSION:
CL(E1) values were dependent on sample time and the quality of fit was poor and
degraded with increasing ascites, consistent with current opinion that CL(E1) is
contraindicated in ascitic patients. CL(Tk-GV) was relatively more accurate and
the good quality of fit was unaffected by ascites. CL(Tk-GV) was the preferred
method for the accurate calculation of CL and was useful despite liver failure
and ascites.
PMID- 21881926
TI - Educational paper: Detection of child abuse and neglect at the emergency room.
AB - The emergency room (ER) represents the main system entry for crises-based health
care visits. It is estimated that 2% to 10% of children visiting the ER are
victims of child abuse and neglect (CAN). Therefore, ER personnel may be the
first hospital contact and opportunity for CAN victims to be recognised. Early
diagnosis of CAN is important, as without early identification and intervention,
about one in three children will suffer subsequent abuse. This educational paper
provides the reader with an up-to-date and in-depth overview of the current
screening methods for CAN at the ER. CONCLUSION: We believe that a combined
approach, using a checklist with risk factors for CAN, a structured clinical
assessment and inspection of the undressed patient (called 'top-toe' inspection)
and a system of standard referral of all children from parents who attend the ER
because of alcohol or drugs intoxication, severe psychiatric disorders or with
injuries due to intimate partner violence, is the most promising procedure for
the early diagnosis of CAN in the ER setting.
PMID- 21881928
TI - [Use of intraosseus infusion in the German air rescue service : nationwide
analysis in the time period 2005 to 2009].
AB - BACKGROUND: Intraosseous infusion has become established as a fast and safe
alternative to conventional vascular access in emergency situations. Originally
the use of intraosseous access was limited to children up to 6 years of age and
to adults for cardiopulmonary resuscitation but this limitation has now been
removed. The aim of this study was to obtain data on mission reality regarding
the use of intraosseous access in the prehospital setting against the background
of the expanded recommendations on the use of the intraosseous infusion. METHODS:
An analysis of rescue missions by all rescue helicopters of the ADAC (German
Automobile Club) Air Rescue as well as the German Air Rescue Service (58
helicopter emergency medical service bases) over a 4 year period from January
2005 to December 2008 was carried out. RESULTS: A total of 247,454 rescue
missions were carried out during the study period and in 525 patients (0.2% of
the total study collective) an intraosseous access was established. There was a
significant increase in the intraosseous infusion rate from 0.1% to 0.4%
(p<0.05). Furthermore, there was a significant increase in its use in elderly
patients and in patients with lower National Advisory Committee for Aeronautics
(NACA) scores (2005 vs. 2008): 92.4% vs. 42.9% of all intraosseous infusions in
patients <= 6 years of age (p<0.05) and 74.4% vs. 42.9% of all intraosseous
infusions in patients with NACA score VI/VII (p<0.05). The proportion of trauma
patients in the total study collective was 33% and there was no significant
change in the frequency of trauma cases over the study period but there was a
remarkable increase of intraosseous infusions in trauma patients in the last year
of the study period compared to the previous years (38% in 2008 vs. 27-30% in
2005-2007). Furthermore, there was an increase in the number of different drug
groups used for intraosseous infusion over the study period. CONCLUSIONS: The
expanded indication recommendations for the use of intraosseous infusion in the
prehospital setting enter more and more mission reality in air rescue services in
Germany.
PMID- 21881929
TI - [Removal of the laryngeal mask airway in the post-anesthesia care unit. A means
of process optimization?].
AB - BACKGROUND: Removal of the laryngeal mask airway in the post-anesthesia care unit
could potentially contribute to a faster turnover from one operation to the next.
The aim of this study was, therefore, to obtain an insight into the potential
time saving and the safety of planned removal of the ProSealTM-LMA (PLMA) in the
post-anesthesia care unit. METHODS: In this study 120 adult patients with
American Society of Anesthesiologists (ASA) classification I-II, age range 18-85
years, undergoing a surgical procedure under general anesthesia in which the PLMA
was used were randomly assigned to one of two groups. In group I, the PLMA was
removed in the awake patient in the operating room close to the end of the
procedure. In group II, the anesthetised but spontaneously breathing patients
were moved to the recovery room and the PLMA removed when the patient was awake.
The anesthesia technique was standardized [balanced, sevoflurane, fentanyl,
bispectral index-guided (BIS) target value=35+/-5] and identical in both groups
until randomization. Patients were breathing room air during transport to the
recovery room. Different time intervals as well as the incidence of critical
incidents were compared between groups. An oxygen saturation (S(p)O(2)) value
<95% was considered a clinically relevant and S(p)O(2) values <90% as clinically
critical O(2)-desaturation. RESULTS: Removal of the PLMA took place after an
average of 4.9+/-5.1 min in group I and after 19.5+/-9.6 min in group II. There
was no difference in the availability of the anesthetist in the operating room
for the following procedure between groups (group I: 12+/-5.6 min vs. group II:
10.7+/-4.2 min, p>0.05) despite the fact that patients of group II left the
operating room faster (4.9+/-3.9 min) than patients of group I (7.1+/-5.1 min,
p<0.01). In group II patients were ready for discharge (White score=12) from the
recovery room later (13.2+/-8.2 min) than in group I (3.6+/-4.8 min, p<0.01).
There were no significant differences in other process related time intervals
between group I and group II: duration of the operation (113.2+/-45.9 min vs.
105.3+/-42.6 min), duration of dressing (5.1+/-3.7 min vs. 4.6+/-2.8 min),
duration of transport to the recovery room (3.9+/-1.3 min vs. 3.6+/-1.3 min) and
information at end of surgery by the surgeon (22.5+/-9.3 min vs. 22.4+/-10.5
min). The incidence of clinically relevant as well as clinically critical O(2)
desaturation at the time of recovery room arrival (S(p)O(2)<=90%) was increased
in group II with 33.3% vs. 56.6% and 13.3% vs. 6.7%, p<0.01, respectively.
CONCLUSION: Planned PLMA removal in the recovery room after BIS-guided balanced
anesthesia did not enable the anesthetist to be available earlier for induction
of anesthesia in the following patient. Hence the anesthetist could not
contribute to a faster turnover of cases. Obviously, with the type of close
communication between surgeon and anesthetist dictated by the study protocol
(announcement of expected end of surgery by the surgeon 20 min before end of
surgery) it is possible for the patient to regain consciousness within a very
small time window following the end of surgery. Following this kind of protocol,
postponement of removal of the LMA in the recovery room does not seem to be
attractive neither from a clinical nor an economic point of view. In contrast,
removal of LMA in the recovery room should be restricted to occasional cases with
an abrupt end of the operation or prolonged emergence from anesthesia. The
obvious risk of hypoxemia necessitates continuous O(2) application and S(p)O(2)
monitoring during transport to the recovery room.
PMID- 21881930
TI - [Do sociodemographic factors influence emergency medical missions? : analysis in
the City of Munster].
AB - BACKGROUND: Demographic development and changes in healthcare utilization have
led to a rising number of calls for emergency services. In Germany life
threatening situations are responded by physician-staffed ambulances in a 2-tier
system whereas paramedic-staffed ambulances are dispatched in non-life
threatening emergencies. A nationwide protocol guides dispatchers in triage
decisions. In the years 1999 to 2009 a continuous rise in the number of calls for
a physician-staffed ambulance in Munster was recorded. The degree of healthcare
utilization according to socioeconomic status and age structure was
retrospectively examined. METHODS: For the year 2006 all emergency calls in the
City of Munster responded to by physician-staffed ambulances were analyzed. Each
call was assigned to 1 of the 45 urban districts. The local incidence of
emergency calls (calls/100 residents/year) was determined and compared to the
socioeconomic status which was defined as the percentage of welfare and
unemployment benefit recipients per district. Patient condition was assessed by
the Munich National Advisory Committee for Aeronautics (M-NACA) score. This
scoring system allows calls to be allocated to either life-threatening conditions
or non-life-threatening conditions by objective vital parameters. The age
structure of the emergency callers was also examined. RESULTS: Urban districts
with a low socioeconomic status showed a higher incidence of emergency calls
requiring physician-staffed ambulance responses than districts with a high
socioeconomic status. Measured by the M-NACA scoring system, the fraction of life
threatening emergencies among all calls proved to be equal to districts with a
high socioeconomic status. A correlation between elderly patients and increasing
numbers of life-threatening emergencies was found. CONCLUSIONS: A low
socioeconomic status of an urban district will result in more ambulance
responses. However, the proportion of life-threatening emergencies is equal to
districts with a high socioeconomic status. Thus, the greater need for physician
staffed ambulance responses matches clinical needs and legitimates current
resource use in a 2-tier ambulance system. Indications for the abuse of physician
staffed ambulances were not found. Considering an aging population the number of
emergency calls will rise in the future.
PMID- 21881932
TI - Sevoflurane to alleviate pain on propofol injection.
AB - PURPOSE: Since the introduction of propofol, several drugs and methods have been
used to alleviate the pain on its injection. This study was designed to evaluate
the effect of adding sevoflurane 3% during preoxygenation in alleviation of pain
on propofol injection. METHODS: In this randomized single-blinded study, 100
patients were randomly allocated equally into five groups: sevoflurane-lidocaine
tourniquet (SLT), sevoflurane-lidocaine (SL), lidocaine-tourniquet (LT),
lidocaine (L), and sevoflurane (S). Approximately 10 min before the induction of
anesthesia, midazolam 1-2 mg was administered intravenously to all patients. All
patients received fentanyl 1 ug/kg as pretreatment and a full induction dose of
propofol. A blinded anesthesia nurse assessed pain and hand movements throughout
the injection of propofol. RESULTS: In the SLT group, all patients (100%) were
pain free and had no hand movements. There was no significant difference in pain
grade or in hand movements between the L and the S groups, or between the SLT and
the SL groups. However, significant differences were observed in pain grade
between the SLT and the L groups as well as between the SLT and the S groups. In
addition, a significant difference in hand movement was observed only between the
SLT and the S groups. CONCLUSION: The addition of 3% sevoflurane at the time of
preoxygenation for 1 min along with routine use of lidocaine-tourniquet
completely prevented pain upon propofol injection, whereas sevoflurane by itself
provided similar analgesia to premixed lidocaine with propofol.
PMID- 21881933
TI - Determinants of self medication practices among pregnant women in Ibadan,
Nigeria.
AB - OBJECTIVE: To assess the frequency and evaluate the factors underlining self
medication with orthodox and herbal medicines among pregnant women in Ibadan,
Nigeria. Setting Antenatal clinics at the major antenatal care facility in
Ibadan, south-western Nigeria. METHODS: A prospective cross-sectional study with
a pre-tested 15-item structured questionnaire over a 12 week period among 1,650
pregnant women who attended antenatal clinics at a major antenatal care facility
in Ibadan, south-western Nigeria. Data analysis was done with Chi-square,
multivariate logistic regression and summary statistics. MAIN OUTCOME MEASURE:
Frequency and major factors associated with self-medication in pregnancy.
RESULTS: The response and completion rate was 96.6% (1,594) [mean age +/- SD 27
+/- 5.3 years]. The majority of the respondents were literate (92.6%), self
employed (61.5%) and in the third trimester (49.5%). A significant majority
(63.8%) used self-medication (orthodox and herbal medicines) as their first
response to perceived ill-health (P < 0.001). Self-medication in pregnancy was
strongly associated with self-employment (OR: 3.8 (2.6-4.7), unemployment (OR:
2.6 (1.4-4.2) and third trimester of pregnancy (OR: 4.2 (3.1-5.6). The major over
the-counter medicines and potentially harmful prescription medicines kept at home
for self-medication were Paracetamol, vitamins and haematinics; and piroxicam,
dipyrone, chloramphenicol and Diazepam respectively. About one-third of the
respondents who self-medicated (1,017) used local herbs (31.2%). The most
frequent source of the medicines purchased during self-medication was patent
medicine stores (55%). Mothers-in-law and relatives (41.3%) were the most
frequently cited sources of advice during self-medication. Miscarriage/bleeding
(44.3%) was the most frequently cited potential adverse effect that could occur
with the use of certain medicines during pregnancy. Only 32% of respondents could
identify medicines that are potentially harmful in pregnancy. CONCLUSION: Poorly
guided self-medication with prescription, over-the-counter and herbal medicines
is pervasive and significantly associated with gestational age and occupational
pattern among pregnant women in Ibadan, south-western. A majority lacked the
knowledge of potential adverse outcomes associated with the use of certain
medicines, and the potentially harmful medicines to avoid during pregnancy.
PMID- 21881934
TI - Gemfibrozil hepatotoxicity: a case report.
AB - CASE DESCRIPTION: A 55-year-old woman was admitted to our hospital for management
of thoracic trauma and bone fractures. One month after admission she started to
receive gemfibrozil for hypertriglyceridemia. In the second month of admission,
the patient complained of nausea and malaise. Laboratory value showed an acute
hepatitis with raised AST, ALT. The abdominal ultrasound scan was normal, and
viral serologic tests were negative. Gemfibrozil was discontinued and in a few
days AST and ALT levels returned to normal. CONCLUSION: Gemfibrozil-induced
hepatitis is a rare event but should be considered in the differential diagnoses
of hepatitis in which no other obvious alternative cause is found.
PMID- 21881936
TI - Rocky Mountain spotted fever: 'starry sky' appearance with diffusion-weighted
imaging in a child.
AB - We present a case of Rocky Mountain spotted fever encephalitis in a child imaged
utilizing diffusion-weighted MRI. Although the imaging and clinical
manifestations of this entity have been previously described, a review of the
literature did not reveal any such cases reported in children utilizing diffusion
weighted imaging. The imaging findings and clinical history are presented as well
as a brief review of this disease.
PMID- 21881935
TI - Altered FDG uptake patterns in pediatric lymphoblastic lymphoma patients
receiving induction chemotherapy that includes very high dose corticosteroids.
AB - BACKGROUND: Altered FDG uptake patterns were noted in certain lymphoblastic
lymphoma patients during therapy. OBJECTIVE: To describe these altered FDG uptake
patterns and their relationship to chemotherapy. MATERIALS AND METHODS: Thirty
five FDG PET or PET/CT scans obtained in 11 children with lymphoblastic lymphoma
were retrospectively reviewed. FDG uptake patterns were recorded. SUV
measurements were performed in liver and facial soft tissues. Results were
correlated with induction chemotherapy regimens. RESULTS: Six of the children had
transiently altered FDG uptake with increased uptake in the superficial soft
tissues, most notably involving the face. Altered uptake was noted approximately
1 month after initiation of chemotherapy and subsequently resolved. Hepatic
uptake was transiently reduced on the 1-month scan in all six children with
increased facial uptake. No significant FDG uptake in lymphoma was seen on five
of six scans with altered uptake; however, two of these five affected children
had FDG uptake in lymphoma on the next follow-up examination. Blood glucose
levels in the affected children were in the normal range. All six children with
altered FDG uptake received the same induction chemotherapy regimen, which
included very high doses of corticosteroids. CONCLUSIONS: Children with
lymphoblastic lymphoma on induction chemotherapy protocols including very high
doses of corticosteroids transiently demonstrated altered FDG uptake patterns,
including increased superficial facial uptake and reduced hepatic uptake. The
facial uptake is probably the FDG PET equivalent of Cushingoid facies. Caution in
interpreting scans with this altered FDG uptake pattern is suggested, as uptake
at sites of lymphomatous involvement may potentially be affected.
PMID- 21881937
TI - Risk of myocardial infarction, stroke, and fracture in a cohort of community
based breast cancer patients.
AB - Clinical trials suggest that increased risk of osteoporosis and fracture are the
only serious side effects of adjuvant aromatase inhibitors (AIs), but little is
known regarding toxicities of AIs in non-trial populations. We evaluated whether
use of AIs was associated with myocardial infarction, stroke, and fracture in a
community-based population. Using data from the HealthCore Integrated Research
Database, 44,463 women aged >= 50 years with >= 2 breast cancer diagnosis codes
between 2001 and 2007 were followed through 2008. Of these, 44,026 were matched
using propensity score methods to women aged >= 50 years with no breast cancer
codes. We assessed whether treatment with AIs was associated with myocardial
infarction, stroke, and fracture using Cox proportional hazards models with time
varying treatment variables. Among breast cancer patients, 68.7% received no
hormonal therapy, 20.6% received AIs (15.8% received only AIs, 4.8% were also
treated with tamoxifen), and 10.7% received tamoxifen only. Breast cancer
patients on AIs had a higher risk of any fracture (AHR = 1.13, 95% CI = 1.02
1.25) than breast cancer patients not receiving hormonal therapy. Patients on
tamoxifen had a lower risk of hip fracture (AHR = 0.51, 95% CI = 0.32-0.81) than
breast cancer patients not receiving hormonal therapy. Rates of myocardial
infarction and stroke for patients on AIs or tamoxifen did not differ
significantly from breast cancer patients not on therapy. The side effect profile
of AIs in this community-based population was similar to that seen in clinical
trials. These findings provide reassurance that AIs appear to be associated with
few serious side effects.
PMID- 21881939
TI - Sequencing of the Tamus red mosaic virus genome: further evidence that it is a
distinct species of the genus Potexvirus.
AB - In 1993, a virus causing red mosaic and leaf distortion has been isolated from
black bryony (Tamus communis) in Italy. Based on particle properties and
serology, the virus was assigned to the genus Potexvirus and named Tamus red
mosaic virus (TRMV), pending a genome sequence. The original Italian TRMV isolate
was submitted to the DSMZ plant virus collection (PV-0397). To confirm the
taxonomic status of the virus, the entire genome sequence was determined
comprising 6,495 nucleotides excluding the poly(A)-tail. Five putative open
reading frames (ORFs) in an arrangement typical for potexviruses were predicted.
TRMV is closely related to but distinct from Clover yellow mosaic virus and
Allium virus X. In addition to previous morphological and serological
characterization, the results presented in this study further reinforce the
classification of TRMV as a distinct virus species of the genus Potexvirus.
PMID- 21881938
TI - Histologic findings in normal breast tissues: comparison to reduction mammaplasty
and benign breast disease tissues.
AB - Investigations of breast carcinogenesis often rely upon comparisons between
cancer tissue and nonmalignant breast tissue. It is unclear how well common
reference sources of nonmalignant breast tissues reflect normal breast tissue.
Breast tissue samples were evaluated from three sources: (1) normal donor tissues
in the Susan G. Komen for the Cure Tissue Bank at Indiana University Simon Cancer
Center (KTB), (2) women who underwent reduction mammaplasty (RM) at Mayo Clinic
Rochester, and (3) the Mayo Clinic Benign Breast Disease Cohort Study (BBD).
Samples were examined histologically and assessed for proliferative disease and
degree of lobular involution. Univariate comparisons were performed among the
study groups, and multivariate analyses were performed with logistic regression
to assess the association between study group and the presence of epithelial
proliferative disease and complete lobular involution. Histologic data were
collected for 455 KTB samples, 259 RM samples, and 319 BBD samples. Histologic
findings and the frequency of epithelial proliferation were significantly
different among the groups. Histologic abnormalities were seen in a minority of
the KTB samples (35%), whereas an abnormality was present in 88% of RM tissues
and 97.5% of BBD samples. The presence of proliferative disease (with or without
atypical hyperplasia) was present in 3.3% of normal donors (3.3%), 17% of RM
samples, and 34.9% of BBD samples (P < 0.0001 for each comparison). Multivariate
analyses confirmed that these differences remained significant and also showed
higher likelihood of complete lobular involution in the normal donor samples
compared to RM and BBD tissues. Compared to benign breast disease tissues and
reduction mammaplasty tissues, breast tissue samples from normal donors have
significantly fewer histologic abnormalities and a higher frequency of more
complete lobular involution. Breast tissue samples from normal donors represent a
unique tissue resource with histologic features consistent with lower breast
cancer risk.
PMID- 21881940
TI - Genetic variability and evolutionary analyses of the coat protein gene of Tomato
mosaic virus.
AB - Tomato mosaic virus (ToMV), a member of the genus Tobamovirus, infects several
ornamental and horticultural crops worldwide. In this study, the nucleotide
sequences of the coat protein gene of worldwide ToMV isolates were analyzed to
estimate the genetic structure and diversity of this virus and the involved
evolutionary forces. The phylogenetic analysis showed three clades with high
bootstrap support: Clade I contained three ToMV isolates from Brazil collected
from pepper, Clade II comprised one Brazilian ToMV isolate from pepper, and Clade
III was composed of ToMV isolates collected from different plant hosts (pepper,
tomato, eggplant, lilac, camellia, dogwood, red spruce, etc.) and water (from
melting ice, lakes and streams) from different countries: USA, Brazil, Korea,
Germany, Spain, Denmark (Greenland), China, Taiwan, Malaysia, Iran, and
Kazakhstan. With the exception of Brazil, nucleotide diversity within and between
different geographic regions was very low, although statistical analyses
suggested some gene flow between most of these regions. Our analyses also
suggested a strong negative selection which could have contributed to the genetic
stability of ToMV.
PMID- 21881941
TI - The convergent evolution of neural substrates for cognition.
AB - This review describes a case of convergence in the evolution of brain and
cognition. Both mammals and birds can organize their behavior flexibly over time
and evolved similar cognitive skills. The avian forebrain displays no lamination
that corresponds to the mammalian neocortex; hence, lamination does not seem to
be a requirement for higher cognitive functions. In mammals, executive functions
are associated with the prefrontal cortex. The corresponding structure in birds
is the nidopallium caudolaterale. Anatomic, neurochemical, electrophysiologic and
behavioral studies show these structures to be highly similar, but not
homologous. Thus, despite the presence (mammals) or the absence (birds) of a
laminated forebrain, 'prefrontal' areas in mammals and birds converged over
evolutionary time into a highly similar neural architecture. The
neuroarchitectonic degrees of freedom to create different neural architectures
that generate identical prefrontal functions seem to be very limited.
PMID- 21881942
TI - Effects of acyl-CoA:diacylglycerol acyl transferase 1 (DGAT1) gene on milk
production traits in crossbred Holstein dairy cattle.
AB - The objective of this study was to analyze and investigate the genotype frequency
and the association between Acyl-CoA:diacylglycerol acyltransferase1 gene, DGAT1
gene, and milk yield (MY), milk composition, protein yield (PY), fat yield (FY),
solid not fat yield (SNF), total solid (TS), the content of fat, protein, solid
not fat, and total solid, (%Fat,%Prot,%SNF,%TS) in two herds of crossbred
Holstein dairy cattle in Thailand. Two hundred and twenty-seven crossbred
Holstein cows were used and their blood samples were taken for the study. PCR
RFLP was used to identify the allele and genotype of DGAT1 gene. A general linear
model and the least square method were used to estimate the least square mean and
additive, and the dominant effect of the gene on the traits and the least
significant differences were used to compare the mean of each trait between
genotypes. Two alleles (K, A) and three genotypes (AA, KA, KK) were detected, the
highest allele and genotype frequencies were A and AA, respectively. The least
mean squares of each genotype were compared and significant differences between
genotype were detected. Genotype KK has the greatest effect on all milk
composition content traits, while genotype AA has the greatest effect on yield
traits. Highly significant additive gene effect was detected. From the results,
it can be concluded that the DGAT1 gene can be used as a gene marker for assisted
selection in milk composition traits.
PMID- 21881943
TI - Culturability and persistence of Francisella noatunensis subsp. orientalis (syn.
Francisella asiatica) in sea- and freshwater microcosms.
AB - Francisella noatunensis subsp. orientalis (syn. Francisella asiatica), the
causative agent of franciselliosis in warm-water fish, is a Gram-negative
facultative intracellular bacterium. Although it has been characterized as one of
the most pathogenic bacteria in fish, the water conditions that allow for its
survival and infectious capacities outside the fish host are not known. Data
obtained in this project indicate that both temperature and salinity are
important factors in the culturability and persistence of F. noatunensis subsp.
orientalis in both sea- and freshwater microcosms. These results indicate that
culturable F. noatunensis subsp. orientalis persist for longer periods of time
and at higher numbers in seawater, and its persistence is inversely related to
water temperature. Moreover, the pathogenic properties of the bacteria suspended
in water microcosms appear to decrease after only 24 h and become non-infective
after 2 days in the absence of the fish host.
PMID- 21881944
TI - A molecular enrichment strategy based on cpn60 for detection of epsilon
proteobacteria in the dog fecal microbiome.
AB - Members of the rare microbiome can be important components of complex microbial
communities. For example, pet dog ownership is a known risk factor for human
campylobacteriosis, and Campylobacter is commonly detected in dog feces by
targeted assays. However, these organisms have not been detected by metagenomic
methods. The goal of this study was to characterize fecal microbiota from healthy
and diarrheic pet dogs using two different levels of molecular detection. PCR
amplification and pyrosequencing of the universal cpn60 gene target was used to
obtain microbial profiles from each dog. To investigate the relatively rare
epsilon-proteobacteria component of the microbiome, a molecular enrichment was
carried out using a PCR that first amplified the cpn10-cpn60 region from epsilon
proteobacteria, followed by universal cpn60 target amplification and
pyrosequencing. From the non-enriched survey, the major finding was a
significantly higher proportion of Bacteroidetes, notably Bacteroides vulgatus,
in healthy dogs compared to diarrheic dogs. Epsilon-proteobacteria from the
genera Helicobacter and Campylobacter were also detected at a low level in the
non-enriched profiles of some dogs. Molecular enrichment increased the proportion
of epsilon-proteobacteria sequences detected from each dog, as well as identified
novel, presumably rare sequences not seen in the non-enriched profiles. Enriched
profiles contained known species of Arcobacter, Campylobacter, Flexispira, and
Helicobacter and identified two possibly novel species. These findings add to our
understanding of the canine fecal microbiome in general, the epsilon
proteobacteria component specifically, and present a novel modification to
traditional metagenomic approaches for study of the rare microbiome.
PMID- 21881945
TI - Biological activity of selected Lamiaceae and Zingiberaceae plant essential oils
against the dengue vector Aedes aegypti L. (Diptera: Culicidae).
AB - The larvicidal activity of hydrodistillate extracts from Mentha piperita L.
Ocimum basilicum L. Curcuma longa L. and Zingiber officinale L. were investigated
against the dengue vector Aedes aegypti L. (Diptera: Culicidae).The results
indicated that the mortality rates at 80, 100, 200 and 400 ppm of M. piperita, Z.
officinale, C. longa and O. basilicum concentrations were highest amongst all
concentrations of the crude extracts tested against all the larval instars and
pupae of A. aegypti. Result of log probit analysis (at 95% confidence level)
revealed that lethal concentration LC50 and LC90 values were 47.54 and 86.54 ppm
for M. piperita, 40.5 and 85.53 ppm for Z. officinale, 115.6 and 193.3 ppm for C.
longa and 148.5 and 325.7 ppm for O. basilicum, respectively. All of the tested
oils proved to have strong larvicidal activity (doses from 5 to 350 ppm) against
A. aegypti fourth instars, with the most potent oil being M. piperita extract,
followed by Z. officinale, C. longa and O. basilicum. In general, early instars
were more susceptible than the late instars and pupae. The results achieved
suggest that, in addition to their medicinal activities, Lamiaceae and
Zingiberaceae plant extracts may also serve as a natural larvicidal agent.
PMID- 21881946
TI - Comparative studies on animal models for Opisthorchis viverrini infection: host
interaction through susceptibility and pathology.
AB - Syrian hamsters and gerbils are animal models for Opisthorchis viverrini
infection. In both models, the parasites develop into adults with different
pathologies of the hepatobiliary system. However, no comparative pathological
studies have yet been completed. We therefore investigated host interaction
through the susceptibility and pathological changes of Syrian hamsters and
gerbils infected with 50 O. viverrini metacercariae for 30, 60, and 90 days post
infection. Animals were sacrificed at each time point for comparative study.
Susceptibility and infectivity were investigated through worm burden. Parasite
morphology and reproductive organs were stained with carmine and observed under
light microscopy. Reproductive organs and eggs per worm were counted to confirm
worm maturity. Bile acid components of both animal groups were analyzed by thin
layer chromatography. The results showed that infection in gerbils was of greater
severity than in Syrian hamsters by observation of bile obstruction, enlargement
of the gallbladder and common bile duct, and generation of fibrosis and
cirrhosis. The worm burden of infected gerbils was lower than that observed in
Syrian hamsters. Infectivity in both Syrian hamsters and gerbils was 100% with
infection by 50 metacercariae; whereas with 10 metacercariae, the infectivity in
gerbils was zero to very low, but still 100% in Syrian hamsters. The largest body
size of worms, and the largest ovary and testes areas, was correlated with eggs
per gram of feces and eggs per worm. The bile acid components cholic acid and
chenodeoxycholic acid were undetectable in gerbils. The present study suggests
that although Syrian hamsters, usually the host selection for an animal model,
are susceptible to O. viverrini infection, infected gerbils produce worms that
mature more rapidly, have larger body sizes, and more fully developed
reproductive organs; this may be caused by the difference in bile acid
components.
PMID- 21881947
TI - Biting and bloodsucking lice of dogs--treatment by means of a neem seed extract
(MiteStop(r), Wash Away Dog).
AB - Dogs infested with lice belonging either to the group of Mallophaga (hairlings,
i.e., Trichodectes canis) or Anoplura (bloodsucking lice, e.g., Linognathus
setosus) were washed with the neem seed preparations MiteStop(r) or Wash Away
Dog. It was found that a single treatment with one of these products killed both
motile stages and those developing inside eggs (nits) being glued at the hair. In
both cases the product had been left for 20 min onto the hair before it was
washed away just with normal tap water.
PMID- 21881949
TI - Modulation of dendritic cells and toll-like receptors by marathon running.
AB - The focus of this study was to assess exercise-induced alterations of circulating
dendritic cell (DC) subpopulations and toll-like receptor (TLR) expression after
marathon running. Blood sampling was performed in 15 obese non-elite (ONE), 16
lean non-elite (LNE) and 16 lean elite (LE) marathon runners pre- and post
marathon as well as 24 h after the race. Circulating DC-fractions were measured
by flow-cytometry analyzing myeloid DCs (BDCA-1+) and plasmacytoid DCs (BDCA-2+).
We further analyzed the (TLR) -2/-4/-7 in peripheral blood mononuclear cells (rt
PCR/Western Blot) and the cytokines CRP, IL-6, IL-10, TNF-alpha and oxLDL by
ELISA. After the marathon, BDCA-1 increased significantly in all groups [LE
(pre/post): 0.35/0.47%; LNE: 0.26/0.50% and ONE: 0.30/0.49%; all p < 0.05]. In
contrast, we found a significant decrease for BDCA-2 directly after the marathon
(LE: 0.09/0.01%; LNE: 0.12/0.03% and ONE: 0.10/0.02%; all p < 0.05). Levels of
TLR-7 mRNA decreased in all groups post-marathon (LE 44%, LNE 67% and ONE 52%;
all p < 0.01), with a consecutive protein reduction (LE 31%, LNE 52%, ONE 42%;
all p < 0.05) 24 h later. IL-6 and IL-10 levels increased immediately after the
run, whereas increases of TNF-alpha and CRP-levels were seen after 24 h. oxLDL
levels remained unchanged post-marathon. In our study population, we did not find
any relevant differences regarding training level or body weight. Prolonged
endurance exercise induces both pro- and anti-inflammatory cytokines. Anti
inflammatory cytokines, such as IL-10, may help to prevent excessive oxidative
stress. Marathon running is associated with alterations of DC subsets and TLR
expression independent of training level or body weight. Myeloid and plasmacytoid
DCs are differently affected by the excessive physical stress. Immunomodulatory
mechanisms seem to play a key role in the response and adaptation to acute
excessive exercise.
PMID- 21881950
TI - The energetics of ultra-endurance running.
AB - Our objective was to determine the effects of long-lasting endurance events on
the energy cost of running (C(r)), and the role of maximal oxygen uptake
(VO(2max)), its fractional utilisation (F) and C(r) in determining the
performance. Ten healthy runners (age range 26-59 years) participated in an ultra
endurance competition consisting of three running laps of 22, 48 and 20 km on
three consecutive days in the North-East of Italy. Anthropometric characteristics
and VO(2max) by a graded exercise test on a treadmill were determined 5 days
before and 5 days after the competition. In addition, C(r) was determined on a
treadmill before and after each running lap. Heart rate (HR) was recorded
throughout the three laps. Results revealed that mean C(r) of the individual laps
did not increase significantly with lap number (P = 0.200), thus ruling out any
chronic lap effect. Even so, however, at the end of lap 3, C(r) was 18.0% (P <
0.001) greater than before lap 1. In addition, a statistically significant acute
lap effect on C(r) was observed at the end of the second and third laps (by 11.4
and 7.2%, respectively). The main factors determining performance were VO(2max),
F, as estimated from the average HR, and the average C(r-mean) throughout the
three laps; the grand average speed over the three laps being described by v (end
mean) = F * VO(2max) * C(r-mean)(-1). We concluded that (1) the substantial
increase of C(r-mean) during the competition yields to marked worsening of the
performance, and (2) the three variables F, VO(2max) and C(r-mean) combined as
described above explaining 87% of the total competition time variance.
PMID- 21881951
TI - Diversity of MHC class I haplotypes in cynomolgus macaques.
AB - Cynomolgus macaques are widely used as a primate model for human diseases
associated with an immunological process. Because there are individual
differences in immune responsiveness, which are controlled by the polymorphic
nature of the major histocompatibility (MHC) locus, it is important to reveal the
diversity of MHC in the model animal. In this study, we analyzed 26 cynomolgus
macaques from five families for MHC class I genes. We identified 32 Mafa-A, 46
Mafa-B, 6 Mafa-I, and 3 Mafa-AG alleles in which 14, 20, 3, and 3 alleles were
novel. There were 23 MHC class I haplotypes and each haplotype was composed of
one to three Mafa-A alleles and one to five Mafa-B alleles. Family studies
revealed that there were two haplotypes which contained two Mafa-A1 alleles.
These observations demonstrated further the complexity of MHC class I locus in
the Old World monkey.
PMID- 21881948
TI - Parasitic infections and myositis.
AB - Infectious myositis may be caused by a wide variety of bacterial, fungal, viral,
and parasitic agents. Parasitic myositis is most commonly a result of
trichinosis, cystericercosis, or toxoplasmosis, but other parasites may be
involved. A parasitic cause of myositis is suggested by history of residence or
travel to endemic area and presence of eosinophilia. The diagnosis of parasitic
myositis is suggested by the clinical picture and radiologic imaging, and the
etiologic agent is confirmed by parasitologic, serologic, and molecular methods,
together with histopathologic examination of tissue biopsies. Therapy is based on
the clinical presentation and the underlying pathogen. Drug resistance should be
put into consideration in different geographic areas, and it can be avoided
through the proper use of anti-parasitic drugs.
PMID- 21881952
TI - Characterisation of MHC haplotypes in a breeding colony of Indonesian cynomolgus
macaques reveals a high level of diversity.
AB - Recent reports have revealed that cynomolgus macaques obtained from different
geographic origins may be more or less suitable for particular studies depending
on the specific question(s) being addressed, e.g. Mauritian cynomolgus macaques
are particularly suitable for detailed immunological studies against a limited
genetic background while less conserved populations may be more appropriate to
predict breadth of vaccine coverage in the genetically diverse human population.
We have characterised MHC haplotypes in 90 Indonesian cynomolgus macaques using
microsatellite and reference strand conformational analysis. Thirty unique
haplotypes were defined in the cohort, emphasising the high degree of diversity
in this population of cynomolgus macaques. The majority of haplotypes were
present at a frequency of <= 6%. Transcription profiles indicated that each
haplotype was associated with two to eight transcribed class I alleles. The
results corroborate previous reports of the extensive MHC diversity of Indonesian
cynomolgus macaques and provide additional data to inform colony management
decisions. Further, definition of the MHC diversity of the population satisfies
one of the prerequisites to MHC association studies and detailed immunological
investigations in this outbred non-human primate species.
PMID- 21881953
TI - Dengue virus-specific CD4+ and CD8+ T lymphocytes target NS1, NS3 and NS5 in
infected Indian rhesus macaques.
AB - Every year, Dengue virus (DENV) infects approximately 100 million people. There
are currently several vaccines undergoing clinical studies, but most target the
induction of neutralizing antibodies. Unfortunately, DENV infection can be
enhanced by subneutralizing levels of antibodies that bind virions and deliver
them to cells of the myeloid lineage, thereby increasing viral replication
(termed antibody-dependent enhancement [ADE]). T lymphocyte-based vaccines may
offer an alternative that avoids ADE. The goal of our study was to describe the
cellular immune response generated after primary DENV infection in Indian rhesus
macaques. We infected eight rhesus macaques with 105 plaque-forming units (PFU)
of DENV serotype 2 (DENV2) New Guinea C (NGC) strain, and monitored viral load
and the cellular immune response to the virus. Viral replication peaked at day 4
post-infection and was resolved by day 10. DENV-specific CD4+ and CD8+ T
lymphocytes targeted nonstructural (NS) 1, NS3 and NS5 proteins after resolution
of peak viremia. DENV-specific CD4+ cells expressed interferon-gamma (IFN-gamma)
along with tumor necrosis factor-alpha (TNF-alpha), interleukin-2 (IL-2), and
macrophage inflammatory protein-1 beta (MIP-1beta). In comparison, DENV-specific
CD8+ cells expressed IFN-gamma in addition to MIP-1beta and TNF-alpha and were
positive for the degranulation marker CD107a. Interestingly, a fraction of the
DENV-specific CD4+ cells also stained for CD107a, suggesting that they might be
cytotoxic. Our results provide a more complete understanding of the cellular
immune response during DENV infection in rhesus macaques and contribute to the
development of rhesus macaques as an animal model for DENV vaccine and
pathogenicity studies.
PMID- 21881954
TI - New urea biosensor based on urease enzyme obtained from Helycobacter pylori.
AB - The urease enzyme of Helicobacter pylori was isolated from biopsy sample obtained
from antrum big curvature cell extracts. A new urea biosensor was prepared by
immobilizing urease enzyme isolated from Helicobacter pylori on
poly(vinylchloride) (PVC) ammonium membrane electrode by using nonactine as an
ammonium ionophore. The effect of pH, buffer concentration, and temperature for
the biosensor prepared with urease from H. pylori were obtained as 6.0, 5 mM, and
25 degrees C, respectively. We also investigated urease concentration, stirring
rate, and enzyme immobilization procedures in response to urea of the enzyme
electrode. The linear working range of the biosensor extends from 1 * 10(-5) to 1
* 10(-2) M and they showed an apparent Nernstian response within this range. Urea
enzyme electrodes prepared with urease enzymes obtained from H. pylori and Jack
bean based on PVC membrane ammonium-selective electrode showed very good
analytical parameters: high sensitivity, dynamic stability over 2 months with
less decrease of sensitivity, response time 1-2 min. The analytical
characteristics were investigated and were compared those of the urea biosensor
prepared with urease enzyme isolated from Jack bean prepared at the same
conditions. It was observed that rapid determinations of human serum urea amounts
were also made possible with both biosensors.
PMID- 21881955
TI - Variation in the internalization of differently sized nanoparticles induces
different DNA-damaging effects on a macrophage cell line.
AB - Although researchers have expended considerable effort on studying the
cytotoxicity of nanomaterials, it is possible that there has been insufficient
attention paid to their genotoxic potential. Here, we describe a test model that
we have developed to evaluate the DNA-damaging effects of negatively charged
nanoparticles of different sizes. We compared the DNA damaging effect induced by
nanoparticles of various sizes and found that the effect is closely associated
with the internalization pattern of the particles. Macrophage cell line RAW 264.7
cells were incubated with carboxylated polystyrene beads (COOH-PBs) ranging in
size from 30 to 500 nm. Size-dependent DNA damage was detected, and the lesion
induced by two carboxylated fullerene particles confirmed this observation.
Confocal microscopy revealed that the entry pathways of these COOH-PBs shifted
from direct penetration to endocytosis with increasing particle size, followed by
changes in subcellular localization. Subsequent deposition of 30-nm COOH-PBs in
the cytosol led to a reduction of Zn2+ and Mg2+ content in the nucleus and an
increased p53 level in the whole cell rather than in nucleus, while localization
of 50- and 100-nm COOH-PBs in acidic vesicles induced p53 accumulation in both
types of extracts. Based on these results, we assume that the damage resulted
from a disruption of the balance between DNA damage and repair.
PMID- 21881956
TI - Control of an Acinetobacter [corrected] baumannii outbreak in a neonatal ICU
without suspension of service: a devastating outbreak in Diyarbakir, Turkey.
AB - BACKGROUND: A nosocomial outbreak of Acinetobacter baumannii bloodstream
infections (Ab-BSI) was identified in Diyarbakir Children's Hospital's
(Diyarbakir, Turkey) 60-bed Neonatal Intensive Care Unit (NICU) in 2006 and 2007.
METHODS: The investigation and control of the outbreak were based on case-control
and epidemiological studies as well as multifaceted interventions. Sixty-four
neonates (case patients) with Ab-BSI and 128 neonates (control patients) free of
Ab-BSI, who had been hospitalized at the unit during the outbreak period, were
included in the study. Case and control patients were compared for possible
predisposing factors (e.g., gender, length of NICU stay, antibiotic use,
intubation, etc.). An intervention program (cohorting, education, reinforcing
hand hygiene, antibiotic restriction, improving processes of patient care,
environmental cleaning, and barrier isolation) was implemented to control the
outbreak. Surveillance cultures were collected from all possible sources, and the
epidemiological investigation was supplemented by a pulsed field gel
electrophoresis (PFGE) study. RESULTS: Fifty-three neonates (82.8%) died in the
case group and 51 (39.8%) in the control group (P < 0.001). The duration of stay
at the NICU [odds ratio (OR) 1.15; 95% confidence interval (CI) 1.07-1.23; P <
0.001] and re-intubation (OR 38.62; CI 12.66-117.87; P < 0.001) were found to be
significant risk factors for Ab-BSI. Surveillance cultures showed a heavy
contamination in the NICU, and the outbreak ended after a series multifaceted
interventions. All A. baumannii isolates, both from the cases and environmental
samples, had an identical PFGE fingerprint pattern. CONCLUSION: The control of Ab
BSI requires a multifaceted intervention program and complex efforts and
implementations, especially if the ICU does not implement any suspension of care
provision.
PMID- 21881957
TI - Risk factors associated with disease progression and mortality in chronic kidney
disease of uncertain etiology: a cohort study in Medawachchiya, Sri Lanka.
AB - BACKGROUND: The alarming rise in the prevalence of chronic kidney disease of
uncertain etiology (CKDu) among the low socioeconomic farming community in the
North Central Province of Sri Lanka has been recognized as an emerging public
health issue in the country. METHODS: This study sought to determine the possible
factors associated with the progression and mortality of CKDu. The study utilized
a single-center cohort registered in 2003 and followed up until 2009 in a
regional clinic in the endemic region, and used a Cox proportional hazards model.
RESULTS: We repeatedly found an association between disease progression and
hypertension. Men were at higher risk of CKDu than women. A significant
proportion of the patients in this cohort were underweight, which emphasized the
need for future studies on the nutritional status of these patients. CONCLUSIONS:
Compared with findings in western countries and other regions of Asia, we
identified hypertension as a major risk factor for progression of CKDu in this
cohort.
PMID- 21881958
TI - Age related variation in male-male relationships in wild spider monkeys (Ateles
geoffroyi yucatanensis).
AB - In social organizations characterized by male philopatry, social relationships
between males are argued to be the strongest. Little is known about the social
relationships of philopatric male spider monkeys. To address this limitation, we
investigated social relationships among individually recognized wild adult male
spider monkeys from two well-habituated communities in the Yucatan Peninsula,
Mexico, focusing on affiliative behaviors important in regulating male social
relationships, including grooming, embracing, arm-wrapping, and grappling. We
examined whether behaviors were reciprocated between male partners and whether
age was a factor in how the behaviors were distributed or reciprocated, by
examining differences between younger adult males (<10 years) and older adult
males (>=14 years). Although we found evidence that affiliative behaviors were
overall reciprocated between spider monkey adult males, there were pronounced
differences in the interactions depending on their relative age. Reciprocation in
grooming and embraces between same-age males suggests their relationships are
valuable to both partners. Among different-age dyads, younger males gave more
embraces than they received, were the initiators of grappling and arm-wrapped
more often than with same-age males, suggesting relationships between younger and
older males are more risky. This confirms that younger males are attracted to
older males, probably because they value relationships with older males more than
the reverse, but they are also at risk.
PMID- 21881959
TI - Intergroup variation in stable isotope ratios reflects anthropogenic impact on
the Barbary macaques (Macaca sylvanus) of Gibraltar.
AB - Interactions with humans impact many aspects of behavior and ecology in nonhuman
primates. Because of the complexities of the human-nonhuman primate interface,
methods are needed to quantify the effects of anthropogenic interactions,
including their intensity and differential impacts between nonhuman primate
groups. Stable isotopes can be used to quickly and economically assess intergroup
dietary variation, and provide a framework for the development of specific
hypotheses about anthropogenic impact. This study uses stable carbon and nitrogen
isotope analysis to examine intraspecific variation in diet between five groups
of Barbary macaques, Macaca sylvanus, in the Upper Rock Nature Reserve,
Gibraltar. Analysis of hair from 135 macaques showed significant differences in
delta(13)C and delta(15)N values between a group with minimal tourist contact and
groups that were main tourist attractions. Because we observed no overt
physiological or substantial behavioral differences between the groups, feeding
ecology is the most likely cause of any differences in stable isotope ratios.
Haphazard provisioning by tourists and Gibraltarians is a likely source of
dietary variation between groups. Stable isotope analysis and observational data
facilitate a deeper understanding of the feeding ecology of the Barbary macaques
relevant to the role of an anthropogenic ecology for the species.
PMID- 21881960
TI - Imaging exocytosis of ATP-containing vesicles with TIRF microscopy in lung
epithelial A549 cells.
AB - Nucleotide release constitutes the first step of the purinergic signaling
cascade, but its underlying mechanisms remain incompletely understood. In
alveolar A549 cells much of the experimental data is consistent with Ca(2+)
regulated vesicular exocytosis, but definitive evidence for such a release
mechanism is missing, and alternative pathways have been proposed. In this study,
we examined ATP secretion from A549 cells by total internal reflection
fluorescence microscopy to directly visualize ATP-loaded vesicles and their
fusion with the plasma membrane. A549 cells were labeled with quinacrine or
Bodipy-ATP, fluorescent markers of intracellular ATP storage sites, and time
lapse imaging of vesicles present in the evanescent field was undertaken. Under
basal conditions, individual vesicles showed occasional quasi-instantaneous loss
of fluorescence, as expected from spontaneous vesicle fusion with the plasma
membrane and dispersal of its fluorescent cargo. Hypo-osmotic stress stimulation
(osmolality reduction from 316 to 160 mOsm) resulted in a transient, several-fold
increment of exocytotic event frequency. Lowering the temperature from 37 degrees
C to 20 degrees C dramatically diminished the fraction of vesicles that underwent
exocytosis during the 2-min stimulation, from ~40% to <=1%, respectively.
Parallel ATP efflux experiments with luciferase bioluminescence assay revealed
that pharmacological interference with vesicular transport (brefeldin, monensin),
or disruption of the cytoskeleton (nocodazole, cytochalasin), significantly
suppressed ATP release (by up to ~80%), whereas it was completely blocked by N
ethylmaleimide. Collectively, our data demonstrate that regulated exocytosis of
ATP-loaded vesicles likely constitutes a major pathway of hypotonic stress
induced ATP secretion from A549 cells.
PMID- 21881961
TI - Effects of 3 weeks GMP oral administration on glutamatergic parameters in mice
neocortex.
AB - Overstimulation of the glutamatergic system (excitotoxicity) is involved in
various acute and chronic brain diseases. Several studies support the hypothesis
that guanosine-5'-monophosphate (GMP) can modulate glutamatergic
neurotransmission. The aim of this study was to evaluate the effects of
chronically administered GMP on brain cortical glutamatergic parameters in mice.
Additionally, we investigated the neuroprotective potential of the GMP treatment
submitting cortical brain slices to oxygen and glucose deprivation (OGD).
Moreover, measurements of the cerebrospinal fluid (CSF) purine levels were
performed after the treatment. Mice received an oral administration of saline or
GMP during 3 weeks. GMP significantly decreases the cortical brain glutamate
binding and uptake. Accordingly, GMP reduced the immunocontent of the glutamate
receptors subunits, NR2A/B and GluR1 (NMDA and AMPA receptors, respectively) and
glutamate transporters EAAC1 and GLT1. GMP treatment significantly reduced the
immunocontent of PSD-95 while did not affect the content of Snap 25, GLAST and
GFAP. Moreover, GMP treatment increased the resistance of neocortex to OGD
insult. The chronic GMP administration increased the CSF levels of GMP and its
metabolites. Altogether, these findings suggest a potential modulatory role of
GMP on neocortex glutamatergic system by promoting functional and plastic changes
associated to more resistance of mice neocortex against an in vitro
excitotoxicity event.
PMID- 21881963
TI - Multivariate, longitudinal analysis of the impact of changes in office work
environments on surface electromyography measures.
AB - PURPOSE: To detect impacts of changes in work environment and worker-equipment
interface variables upon surface electromyography (EMG) measures using
multivariate, longitudinal analysis. METHODS: For 33 office workers, yearly
measurements (1999-2001) were taken during normal work. Independent variables
were related to work environment (expert-observed equipment dimensions, work
organization on questionnaire) and interface (expert-observed postures, self
reported workstation-equipment relative fit i.e. inside or outside guidelines
informed location, and 30 min video-based task analysis). Internal mechanical
exposure (EMG) was recorded bilaterally from extensor carpi radialis brevis
(ECRB) and upper trapezius sites, each side, also for 30 min. Dependent variables
were amplitude probability distribution functions (APDF 50 and 90%) and gaptime
for entire record EMG (over all tasks) and task-specific EMG (for four separate
tasks). Multivariate mixed models used independent variables to predict EMG
measures (4 muscle sites * (1 entire record + 4 task specific) = 20 models
total). RESULTS: Among EMG measures, 9/16 means and 2/16 variances were
significantly different across years (p < 0.1). Environment and interface
variables explained part of the variation in EMG measures in 13/20 models. The
most consistent predictors included: (1) increased monitor distance predicted
reduced APDFs and increased gaptimes; (2) wrist extension <20 degrees predicted
decreases in left ECRB APDFs; (3) keyboard location within guidelines predicted
improvements in all right ECRB EMG measures during keyboarding; and (4) longer
task duration predicted higher APDFs and lower gaptimes. CONCLUSION: Longitudinal
analysis with multivariate models can detect the impacts of changes in
environment and interface exposures on EMG measures among office workers.
PMID- 21881965
TI - Repetitive behavior profiles: Consistency across autism spectrum disorder cohorts
and divergence from Prader-Willi syndrome.
AB - Restricted and repetitive behavior (RRB) is a group of heterogeneous maladaptive
behaviors. RRB is one of the key diagnostic features of autism spectrum disorders
(ASDs) and also commonly observed in Prader-Willi syndrome (PWS). In this study,
we assessed RRB using the Repetitive Behavior Scale-Revised (RBS-R) in two ASD
samples (University of Illinois at Chicago [UIC] and University of Florida [UF])
and one PWS sample. We compared the RBS-R item endorsements across three ASD
cohorts (UIC, UF and an ASD sample from Lam, The Repetitive Behavior Scale
Revised: independent validation and the effect of subject variables, PhD thesis,
2004), and a PWS sample. We also compared the mean RBS-R subscale/sum scores
across the UIC, UF and PWS samples; across the combined ASD (UIC + UF), PWS
deletion and PWS-disomy groups; and across the combined ASD sample, PWS subgroup
with a Social Communication Questionnaire (SCQ) score >=15, and PWS subgroup with
a SCQ score <15. Despite the highly heterogeneous nature, the three ASD samples
(UIC, UF and Lam's) showed a similar pattern of the RBS-R endorsements, and the
mean RBS-R scores were not different between the UIC and UF samples. However,
higher RRB was noted in the ASD sample compared with the PWS sample, as well as
in the PWS subgroup with a SCQ score >=15 compared with the PWS subgroup with a
SCQ score <15. Study limitations include a small sample size, a wide age range of
our participants, and not controlling for potential covariates. A future
replication study using a larger sample and further investigation into the
genetic bases of overlapping ASD and RRB phenomenology are needed, given the
higher RRB in the PWS subgroup with a SCQ score >=15.
PMID- 21881964
TI - A novel clinical entity, IgG4-related disease (IgG4RD): general concept and
details.
AB - IgG4-related disease (IgG4RD) is a novel clinical disease entity characterized by
elevated serum IgG4 concentration and tumefaction or tissue infiltration by IgG4
positive plasma cells. IgG4RD may be present in a certain proportion of patients
with a wide variety of diseases, including Mikulicz's disease, autoimmune
pancreatitis, hypophysitis, Riedel thyroiditis, interstitial pneumonitis,
interstitial nephritis, prostatitis, lymphadenopathy, retroperitoneal fibrosis,
inflammatory aortic aneurysm, and inflammatory pseudotumor. Although IgG4RD forms
a distinct, clinically independent disease category and is attracting strong
attention as a new clinical entity, many questions and problems still remain to
be elucidated, including its pathogenesis, the establishment of diagnostic
criteria, and the role of IgG4. Here we describe the concept of IgG4RD and up-to
date information on this emerging disease entity.
PMID- 21881966
TI - Non-alcoholic fatty liver induces insulin resistance and metabolic disorders with
development of brain damage and dysfunction.
AB - In the present study we investigated the effect of the non-alcoholic fatty liver
disease (NAFLD) on the alterations in the activity of neurotransmitters
catabolizing enzymes and energy catabolising enzymes, prooxidants, endogenous
antioxidants and proinflammatory cytokines in brain tissue of NAFLD rats. Rats
were intraperitonealy injected with CCl4 solution at a dose of (0.021 mole/Kg, 20
MUL, body weight) three times weekly for four weeks. Acetylcholine esterase
(AChE), monoamine oxidase (MAO), prooxidant/ antioxidants status, ATPase, lipid
profile and glucose level were estimated spectrophotometrically while
inflammatory markers; interleukin 6 and tumor necrosis factor alpha (IL6 and TNF
alpha) and insulin were assessed by ELISA technique. Our results showed that the
induced NAFLD and insulin resistance (IR) were accompanied with hyperglycemia and
hyperlipidemia and lowered brain glucose level with elevated ATPase activity,
prooxidant status (TBARS level, xanthine oxidase and cytochrome 2E1 activities),
and inflammatory markers. Through the induction period AChE activity was
significantly increased compared to control in blood, liver and brain tissues.
Also, MAO activity was significantly increased in both brain and liver tissue but
decreased in serum compared with control. These biochemical data were supported
with pathophysiological analysis that showed severe neurodegeneration, pyknosis
acuolations and cavitations. These observations warrant the reassessment of the
conventional concept that the NAFLD with IR progression may induce disturbances
in activities of neurotransmitters catabolising enzymes and energy production
accompanied with oxidative stress and metabolic disorders, acting as relative
risk factors for brain dysfunction and damage with the development of age
associated neurodegenerative diseases such as Alzheimer's disease.
PMID- 21881967
TI - Forearm bone mineral density changes during postpartum and the effects of
breastfeeding, amenorrhea, body mass index and contraceptive use.
AB - Prospective cohort study performed to evaluate bone mineral density (BMD) changes
up to 12 months postpartum of healthy women and its association with
breastfeeding, contraceptive methods, amenorrhea, and body mass index (BMI).
There is a trend in bone loss during the first 6 months with posterior recovery,
with evidence of a protective effect of hormonal contraception. INTRODUCTION:
This study was conducted to evaluate bone mineral density (BMD) changes during
postpartum period among healthy women and its association with breastfeeding, use
of contraceptive methods, amenorrhea and body mass index (BMI). METHODS: A
prospective cohort study including 100 healthy women. Distal BMD was measured 7
10 days, 3, 6, and 12 months postpartum at the nondominant forearm using dual
energy X-ray absorptiometry. Data about breastfeeding duration, amenorrhea,
contraceptive use and BMI were collected. RESULTS: Seventy-eight women had a
complete set of BMD measurements. The mean duration of exclusive breastfeeding
was 125.9 (+/-66.6) days, with a median total lactation period of 263.5 days. The
mean duration of amenorrhea was 164.2 (+/-119.2) days. BMD measurements showed a
significant decrease in the distal radius, however with no significance in the
ultradistal radius. When considering only the nonhormonal contraceptive users,
the difference at 12 months was significant. Multivariate analysis of variance
showed that both BMI and contraceptive use were significantly correlated with
BMD. Multiple linear regression analysis showed significant correlation of distal
radius with baseline BMD at the same site, pregestational BMI, age, years of
schooling and difference in BMI. For ultradistal radius, there was a significant
direct correlation with its baseline BMD and pregestational BMI. CONCLUSIONS:
There was a trend in bone loss during the first 6 months postpartum with
posterior recovery. Also, hormonal contraceptive methods provided protection of
bone loss. However, the long duration of breastfeeding and the follow-up were not
sufficient to draw definitive conclusions on postweaning BMD conditions.
PMID- 21881968
TI - Geometric indices of hip bone strength in obese, overweight, and normal-weight
adolescent boys.
AB - The aim of this study was to compare hip bone strength indices in obese,
overweight, and normal-weight adolescent boys using hip structure analysis. After
adjusting for weight, obese boys displayed lower intertrochanteric cross
sectional moment of inertia and femoral shaft cross-sectional moment of inertia
and section modulus in comparison to normal-weight and overweight boys. This
study suggests that in obese adolescent boys, femoral shaft bending strength is
not adapted to the increased body weight. INTRODUCTION: The influence of being
obese or overweight on bone strength in adolescents remains controversial. The
main aim of this study was to compare hip bone strength indices in obese,
overweight, and normal-weight adolescent boys using hip structure analysis. The
second aim of this study was to explore the influence of lean mass and fat mass
on hip bone strength indices in the same population. METHODS: This study included
70 adolescent boys (25 obese, 25 normal weight, and 20 overweight). The three
groups (obese, overweight, and normal weight) were matched for maturity (Tanner
stage) and age. Body composition and bone mineral density (BMD) were assessed by
dual-energy X-ray absorptiometry (DXA). To evaluate hip bone strength, DXA scans
were analyzed at the femoral neck (FN), the intertochanteric (IT), and the
femoral shaft (FS) by the Hip Structure Analysis (HSA) program. Cross-sectional
area (CSA), an index of axial compression strength, section modulus (Z), an index
of bending strength, and cross-sectional moment of inertia (CSMI), an index of
structural rigidity were measured from bone mass profiles. RESULTS: Body weight,
lean mass, fat mass and BMI were significantly higher in obese and overweight
boys in comparison to normal-weight boys (P < 0.05). Total hip (TH) BMD and
femoral neck (FN) BMD were significantly higher in obese and overweight boys in
comparison to normal-weight boys (P < 0.05). After adjusting for age or
maturation index, obese and overweight boys displayed significantly higher TH and
FN BMD, CSA, CSMI, and Z of the three sites (FN, IT, and FS) in comparison to
normal-weight boys (P < 0.05). However, after adjusting for weight, obese boys
displayed significantly lower IT CSMI and FS CSMI and Z in comparison to normal
weight and overweight boys (P < 0.05). CONCLUSIONS: This study suggests that in
obese adolescent boys, intertrochanteric structural rigidity and femoral shaft
structural rigidity and bending strength are not adapted to the increased body
weight.
PMID- 21881971
TI - Intravenous injection of endogenous microbial components abrogates DSS-induced
colitis.
AB - BACKGROUND: The etiology of inflammatory bowel diseases (IBD) is largely unknown,
but appears to be perpetuated by uncontrolled responses to antigenic components
of the endogenous flora. Tolerance to antigenic stimulation can be achieved by
exposure to a given antigen in high amounts (high dose tolerance). Colitis
induced by feeding of Dextran Sodium Sulfate (DSS) is an often-used animal model
mimicking clinical and histological features of human IBD. AIMS: We investigated
whether treatment with high doses of endogenous bacterial components can affect
the response to these antigenic components and thus impact the course of the
inflammatory response induced by DSS. METHODS: 129/SvEv mice were injected
intravenously in the tail vein with lysates prepared from fecal material of
conventionally-raised mice. Control mice received a solution of bacterial antigen
free lysates prepared from fecal material of germ-free mice. Seven days later,
colitis was induced in these mice by introducing DSS (3.5%) in the drinking water
for 5 days. Onset and course of the inflammatory response was monitored by
assessment of weight loss. Mice were sacrificed at day 7 post colitis induction
and tested for histopathologic injury, intestinal cytokine release, and systemic
response to bacterial antigens. RESULTS: Intravenous injection with fecal lysates
reduced intestinal and antigen-stimulated systemic pro-inflammatory cytokine
release and prevented DSS-induced weight loss and intestinal injury. CONCLUSION:
Pretreatment with high amount of endogenous bacterial components has a profound
tolerogenic effect on the systemic and mucosal immune responses resulting in
reduced intestinal inflammation and abrogates colitis-induced weight loss.
PMID- 21881969
TI - Runx2 promotes both osteoblastogenesis and novel osteoclastogenic signals in ST2
mesenchymal progenitor cells.
AB - We profiled the global gene expression of a bone marrow-derived mesenchymal
pluripotent cell line in response to Runx2 expression. Besides osteoblast
differentiation, Runx2 promoted the osteoclastogenesis of co-cultured
splenocytes. This was attributable to the upregulation of many novel
osteoclastogenic genes and the downregulation of anti-osteoclastogenic genes.
INTRODUCTION: In addition to being a master regulator for osteoblast
differentiation, Runx2 controls osteoblast-driven osteoclastogenesis. Previous
studies profiling gene expression during osteoblast differentiation had limited
focus on Runx2 or paid little attention to its role in mediating osteoblast
driven osteoclastogenesis. METHODS: ST2/Rx2(dox), a bone marrow-derived
mesenchymal pluripotent cell line that expresses Runx2 in response to Doxycycline
(Dox), was used to profile Runx2-induced gene expression changes. Runx2-induced
osteoblast differentiation was assessed based on alkaline phosphatase staining
and expression of classical marker genes. Osteoclastogenic potential was
evaluated by TRAP staining of osteoclasts that differentiated from primary murine
splenocytes co-cultured with the ST2/Rx2(dox) cells. The BeadChipTM platform
(Illumina) was used to interrogate genome-wide expression changes in ST2/Rx2(dox)
cultures after treatment with Dox or vehicle for 24 or 48 h. Expression of
selected genes was also measured by RT-qPCR. RESULTS: Dox-mediated Runx2
induction in ST2 cells stimulated their own differentiation along the osteoblast
lineage and the differentiation of co-cultured splenocytes into osteoclasts. The
latter was attributable to the stimulation of osteoclastogenic genes such as
Sema7a, Ltc4s, Efnb1, Apcdd1, and Tnc as well as the inhibition of anti
osteoclastogenic genes such as Tnfrsf11b (OPG), Sema3a, Slco2b1, Ogn, Clec2d
(Ocil), Il1rn, and Rspo2. CONCLUSION: Direct control of osteoblast
differentiation and concomitant indirect control of osteoclast differentiation,
both through the activity of Runx2 in pre-osteoblasts, constitute a novel
mechanism of coordination with a potential crucial role in coupling bone
formation and resorption.
PMID- 21881973
TI - High dose lamivudine in HBV-related cirrhotic patients with unsatisfactory
response after adefovir add-on.
AB - BACKGROUND: Before tenofovir approval for chronic hepatitis B therapy, the
clinical management of patients with suboptimal response or virological
breakthrough during combination treatment with lamivudine and adefovir dipivoxil
was a difficult clinical challenge. AIMS: In order to improve virologic response
and reduce the risk of decompensation, we evaluate the efficacy of a high dose of
lamivudine on chronic HBV patients who have previously presented an
unsatisfactory response during treatment with lamivudine 100mg/day and adefovir
10mg/day. METHODS: Six patients with HBV-related liver cirrhosis were
prospectively enrolled. All were HBeAg-negative and presented a suboptimal
response or virological breakthrough after "adefovir add-on" because of
development of clinical breakthrough during Lamivudine treatment. Lamivudine dose
was increased to 200 or 300 mg, depending on viral load. After 12 months of
follow-up, virological and biochemical response were evaluated. RESULTS: After 12
months of high-dose lamivudine, all patients (6/6, 100%) achieved a significant
decrease of serum HBV DNA (mean reduction 2,62 +/- 1,15 Log10 UI/ml, P = 0.03)
and normalized ALT. In three patients (3/6, 50%), HBV DNA became undetectable
within 6 months. No patient developed liver decompensation and no significant
changes occurred in serum creatinine, serum and urinary electrolytes. No adverse
events were registered. CONCLUSIONS: In our experience, rescue strategy with high
dose lamivudine inhibited viral replication leading to undetectability of serum
HBVDNA. This rescue treatment presented a good safety profile, without adverse
events during the study period. Customized increase of nucleos(t)ide analogues
dose in difficult-to-treat patients may be a proficient approach in challenging
clinical setting.
PMID- 21881972
TI - Clinicopathologic features and treatment outcomes in Cronkhite-Canada syndrome:
support for autoimmunity.
AB - BACKGROUND AND AIMS: Cronkhite-Canada syndrome (CCS) is a noninherited condition,
associated with high morbidity, and characterized by gastrointestinal
hamartomatous polyposis, alopecia, onychodystrophy, hyperpigmentation, and
diarrhea. All features may respond to immunosuppressive therapy, but little is
known about the etiology. An autoimmune origin has been suggested but not proved.
From a retrospectively selected cohort, we evaluated clinicopathologic features,
including immunostaining for IgG4 (an antibody associated with autoimmunity), and
therapeutic outcomes in a cohort of CCS patients to provide further insights into
this disease. METHODS: Cases included 14 consecutive CCS patients seen at the
Mayo Clinic on whom tissue and follow-up were available. All histology was
reviewed by an expert gastrointestinal pathologist. Immunostaining for IgG4 was
performed on 42 polyps from CCS cases and on control tissues, including 46
histologically similar hamartomas [from juvenile polyposis syndrome (JPS)] and 20
normal mucosae (six stomach, three small bowel, and 11 colon). Clinical features
and treatment outcomes were descriptive. RESULTS: All CCS cases had both upper
and lower gastrointestinal polyps; most had typical dermatologic features of
alopecia, hyperpigmentation, and onychodystrophy; and most had evidence of
protein-losing enteropathy. Ten patients (71%) had adenomatous polyps and 2 (14%)
had colorectal cancer. IgG4 immunostaining was positive (>5 cells/HPF) in 52% of
CCS polyps compared to 12% of JPS polyps (P = 0.001); IgG4 staining was negative
in all other control tissues. Of 11 CCS patients treated with oral
corticosteroids, 91% achieved remission. Relapse was common with steroid
tapering. Five patients who initially responded to corticosteroids were
maintained in remission on azathioprine (2 mg/kg/day) with no relapse after a
median of 4.5 years. CONCLUSIONS: Immunostaining for the autoimmune-related IgG4
antibody is significantly increased in CCS polyps compared to disease and normal
control tissues. Furthermore, immunosuppression by corticosteroids or long-term
azathioprine may eradicate or lessen manifestations of CCS. These histologic
findings and treatment responses are consistent with an autoimmune mechanism
underlying CCS.
PMID- 21881974
TI - Impact of calcineurin inhibitors on hepatitis C recurrence after liver
transplantation.
AB - BACKGROUND AND AIMS: The aim of this retrospective study is to assess the impact
of calcineurin inhibitors on hepatitis C virus recurrence following liver
transplantation. METHODS: A total of 396 patients underwent liver transplantation
for hepatitis C virus-induced liver disease between 1991 and 2005 at a single
center. We examined the pre- and post-operative characteristics of patients who
received either cyclosporine (n = 126) or tacrolimus (n = 270) as maintenance
immunosuppression. In addition, we compared the postoperative course, including
patient, graft and hepatitis C virus recurrence-free survival between the two
groups. RESULTS: There were no significant differences between the two groups in
either post-operative hepatitis C virus-ribonucleic acid or histological fibrosis
score (performed within 6 months after transplant per protocol). The graft and
patient survivals did not differ between the two groups (logrank p = 0.34 and
0.15, respectively). Histologic hepatitis C virus recurrence-free survival,
however, was significantly higher in the cyclosporine group than in the
tacrolimus group (55.4 vs. 30.8% at 1 year, 18.6 vs. 10.3% at 3 years, 16.7 vs.
8.1% at 5 years, p < 0.001). CONCLUSIONS: Patients transplanted for hepatitis C
virus and treated with cyclosporine versus tacrolimus may have a higher
recurrence-free survival.
PMID- 21881975
TI - Oral nitrate reductase activity and erosive gastro-esophageal reflux disease: a
nitrate hypothesis for GERD pathogenesis.
AB - BACKGROUND: Despite the rich literature on GERD, its cause and reason for
increased prevalence remain obscure. Currently accepted mechanisms leave many
questions unanswered. Nitrite chemistry at the GEJ is well described for
carcinogenesis. Recent epidemiological and animal data have linked nitrates to
GERD. "Nitrate reductase" of oral bacteria converts nitrates to nitrites. We
hypothesized that nitrate reductase activity is higher in patients with erosive
GERD, delivering more nitrite at the gastroesophageal-junction for a given
nitrate intake. AIM: To compare oral nitrate reductase activity of erosive GERD
patients with controls. METHODS: Patients with erosive GERD and controls without
GERD were enrolled. After overnight fasting, nitrite of oral cavity contents was
measured at 1-min intervals for 3 min while incubating a 10-mg nitrate-N/L
solution in the mouth. Nitrate reductase activity was calculated and compared
between groups. RESULTS: Eleven cases (ten males, mean age: 42.6 +/- 11.7 year)
and ten controls (eight males, mean age: 37.6 +/- 9.2 year) were enrolled. Mean
nitrate reductase activity was 3.23 +/- 0.99 vs. 2.30 +/- 0.83 "MUg nitrite-N
formed/person/minute" in cases and controls, respectively (p = 0.03).
CONCLUSIONS: Oral nitrate reductase activity in erosive GERD patients is higher
than controls. Therefore, any dietary nitrate load generates more nitrite in
these patients. This excess nitrite at the gastroesophageal junction, may
potentially contribute to the development of GERD. This is the first report
linking oral nitrite production to erosive GERD in man. We suggest that a
"nitrate hypothesis" may answer yet unanswered questions about GERD pathogenesis.
If confirmed, it may change our understanding of mechanisms of GERD and provide
novel therapeutic targets.
PMID- 21881976
TI - BMP signals and the transcriptional repressor BLIMP1 during germline segregation
in the mammalian embryo.
AB - Molecular factors and tissue compartments involved in the foundation of the
mammalian germline have been mainly described in the mouse so far. To find
mechanisms applicable to mammals in general, we analyzed temporal and spatial
expression patterns of the transcriptional repressor BLIMP1 (also known as PRDM1)
and the signaling molecules BMP2 and BMP4 in perigastrulation and early
neurulation embryos of the rabbit using whole-mount in situ hybridization and
high-resolution light microscopy. Both BMP2 and BMP4 are expressed in annular
domains at the boundary of the embryonic disc, which--in contrast to the
situation in the mouse--partly belong to intraembryonic tissues. While BMP2
expression begins at (pregastrulation) stage 1 in the hypoblast, BMP4 expression
commences--distinctly delayed compared to the mouse--diffusely at
(pregastrulation) stage 2; from stage 3 onwards, BMP4 is expressed peripherally
in hypoblast and epiblast and in the mesoderm at the posterior pole of the
embryonic disc. BLIMP1 expression begins throughout the hypoblast at stage 1 and
emerges in single primordial germ cell (PGC) precursors in the posterior epiblast
at stage 2 and then in single mesoderm cells at positions identical to those
identified by PGC-specific antibodies. These expression patterns suggest that
function and chronology of factors involved in germline segregation are similar
in mouse and rabbit, but higher temporal and spatial resolution offered by the
rabbit demonstrates a variable role of bone morphogenetic proteins and makes
"blimping" a candidate case for lateral inhibition without the need for an
allantoic germ cell niche.
PMID- 21881979
TI - Evaluation of cartilage degradation in arthritis using T1rho magnetic resonance
imaging mapping.
AB - T1rho magnetic resonance imaging (MRI) can be used to map proteoglycan (PG) loss
in cartilage. Here, we used T1rho MRI to map cartilage degradation in
osteoarthritis (OA) and rheumatoid arthritis (RA). Tissue samples were obtained
from five RA patients and 14 OA patients following total knee arthroplasty (TKA).
Three parameters were measured: First, macroscopic grading of cartilage sample
tissues was performed on a 5-grade scale (G0: normal, G1: swelling, G2:
superficial fibrillation, G3: deep fibrillation, G4: subchondral bone exposure).
Second, semi-quantitative values of PG were assessed by measuring the optical
density of Safranin-O-stained paraffin sections that had been digitally
photographed. Third, cartilage was divided into superficial and deep layers and
the T1rho values were quantified. T1rho values of OA and RA in the superficial
layers showed significant differences between groups (G0/1 and G0/2 for OA; G0/2
and G1/2 for RA). In the deep layers, T1rho values of OA and RA also differed
significantly between groups. In both the superficial and deep layers, there was
a significant correlation between the mean T1rho values and macroscopic grading
(P < 0.01 for OA, P < 0.001 for RA). We found a negative correlation between the
score of Safranin-O staining and T1rho values (r = -0.61 for OA, r = -0.79 for
RA). In addition, RA subjects had significantly higher T1rho values than OA
subjects of similar morphologic grade. In conclusion, T1rho MRI is able to detect
and map the early stages of cartilage degradation in OA and RA. This method is
reliable and useful for the evaluation of macromolecular changes in arthritic
cartilage.
PMID- 21881977
TI - Endothelin-induced changes in blood flow in STZ-diabetic and non-diabetic rats:
relation to nitric oxide synthase and cyclooxygenase inhibition.
AB - In this study, using the microsphere method, the hemodynamic response to
endothelin-1 (ET-1) in healthy and streptozotocin (STZ)-diabetic rats was
evaluated as well as the influences of inhibition of nitric oxide (NO)-synthase
using L-NAME (Nomega-nitro-L: -arginine methyl ester) and the cyclooxygenase
inhibitor indomethacin. Blood flow (Q) was measured in tissues of interest for
vascular complications in diabetes such as kidney, eye, brain, heart and skeletal
muscle with the main focus on ophthalmic circulation. Under resting conditions,
evidence for renal vasoconstriction was found in diabetic animals. In both
groups, administration of L-NAME reduced Q in all investigated tissues indicating
a basal NO influence. In the normal rats, ET-1 induced a significant increase in
blood pressure and intense vasoconstriction in all tissues except in the choroid
of the eye and in the brain, where it induced an increased Q. In the STZ-diabetic
rats, effects of ET-1 were less pronounced. Pretreatment with L-NAME, but not the
cyclooxygenase inhibitor, abolished the ET-1-induced vasodilation in the choroid
of both groups. Administration of ET A receptor antagonist BQ-123 reduced the ET
1-induced vasodilation in the choroid only in diabetic animals. In conclusion,
evidence for altered vascular endothelial response to ET-1 in STZ-diabetic
animals was found particularly in the ophthalmic circulation. The findings
suggest differential involvement of receptors in the response to ET-1 in normal
and STZ-diabetic animals.
PMID- 21881980
TI - Drug-induced lupus in anti-TNF-alpha therapy and its treatment with rituximab.
AB - We report three patients with rheumatoid arthritis (RA) who were treated with
anti-TNF-alpha agents and who developed drug-induced lupus (DIL). Two of them
received etanercept and the remainder adalimumab. We also present the favorable
response observed with the withdrawal of the anti-TNF-alpha agents and the
introduction of rituximab. Through this intervention, we observed a very good
control of the activity of both DIL and RA without additional adverse reactions.
PMID- 21881978
TI - Comprehensive assessment of prognostic factors predicting outcome in Chinese
patients with chronic lymphocytic leukemia treated with fludarabine and
cyclophosphamide.
AB - To determine whether prognostic factors remain relevant to chronic lymphocytic
leukemia (CLL) patients treated with fludarabine and cyclophosphamide (FC), we
prospectively evaluated 86 Chinese CLL patients who received FC in first-line
therapy. Twenty-four patients (27.9%) achieved complete remission (CR), and
overall response rate was 75.6%. With a median follow-up of 41 months, the median
progression-free survival (PFS) was 36.0 months and median overall survival (OS)
has not been reached. The strong correlations of lower CR rate with advanced
Binet stage, unmutated IGHV, cytogenetic abnormalities of del(17p13) or
del(11q23), and p53 mutations were observed by univariable analyses. Stepwise
logistic regression identified that unmutated IGHV and p53 abnormality (p53
deletion or mutation) were associated with a decreased odds of achieving CR. The
less cycles of treatment, not achieving CR, advanced Binet stage, and p53
abnormality significantly correlated with a shortened PFS. Furthermore, in a
multivariate analysis, p53 abnormality and advanced Binet stage were identified
as being significant risk factors for early relapse. Not achieving CR, advanced
Binet stage, ZAP-70-positive, and p53 abnormality were the adverse factors in
determining OS. Only p53 aberration was independently associated with
significantly shorter OS by a multivariate analysis. These results suggest that
patients with p53 abnormality should be considered for alternative therapies.
PMID- 21881981
TI - Behcet disease and celiac disease: to screen or not?
PMID- 21881982
TI - Fever is not always present in Kawasaki disease.
PMID- 21881983
TI - Chorea in primary antiphospholipid syndrome is associated with rheumatic fever.
AB - The aim of the study is to evaluate the frequency of chorea in a cohort of
primary antiphospholipid syndrome (PAPS) patients and their possible clinical and
laboratory associations. The records of 88 PAPS patients, fulfilling Sapporo
criteria, followed up at the rheumatology outpatient clinic, were analyzed in
order to determine the frequency of chorea. Risk factors for chorea, clinical
manifestations, associated comorbidities, serologic features and treatment
strategies were analyzed. Eighty-eight PAPS patients were evaluated. Mean age was
40.6 +/- 11.1 years, and 91% of them were Caucasian and 91% women. Four (4.5%)
patients with chorea were identified: 2 of them (50%) had only one chorea episode
and 2 (50%) had recurrent chorea. All patients had chorea onset before PAPS
diagnosis. Mean age, gender and ethnical distribution were comparable in groups
with or without seizures (P > 0.05). Interestingly, the comparison of the 4 PAPS
patients with chorea with those without this abnormality (n = 84) demonstrated a
lower BMI [21.1 (18-24.2) vs. 27.5 (17.5-40.9) kg/m(2), P = 0.049] and frequency
of venous events (0 vs. 63.1%, P = 0.023) in the first group. A higher frequency
of rheumatic fever (75% vs. 0, P < 0.001) and thrombocytopenia (75 vs. 21.4%, P =
0.041) was observed in PAPS individuals with chorea. Both groups were alike
regarding the other clinical APS manifestations, disease duration, risk factors
for cerebrovascular diseases, use of drugs and antiphospholipid antibodies (P >
0.05). This study demonstrated that 4.5% of PAPS patients had chorea,
predominately before PAPS diagnosis, and this neurological abnormality was
associated with rheumatic fever and thrombocytopenia. These data reinforce the
need for RF diagnosis in those PAPS patients with chorea.
PMID- 21881984
TI - Pulmonary tuberculosis and tuberculous arthritis of knee joint associated with
rheumatoid arthritis treated with anti-tumor necrosis factor (TNF)-alpha
medication: a case report.
AB - Tuberculosis infection (TB) is one of the most important problems for the
rheumatoid arthritis (RA) patients treated with anti-TNF agents. Pulmonary
tuberculosis is the most common clinic form of the TB in these patients. However,
tuberculosis arthritis is very rare. We present here a 72-year-old Caucasian
woman with seropositive RA, treated with etanercept/adalimumab for the last 2
years, who presented with resistant knee pain and joint effusion. We believe that
this treatment caused the tuberculosis in this patient, which is the most worried
complication. Interestingly, tuberculosis was in the knee joint at this time.
PMID- 21881985
TI - Low level of seroconversion after a novel influenza A/H1N1/2009 vaccination in
Japanese patients with rheumatoid arthritis in the 2009 season.
AB - We examined change in the antibody titre against pandemic influenza A/H1N1/2009
before and after vaccination in Japanese patients with rheumatoid arthritis. This
observational study was conducted with the participation of five hospitals in
Japan. A total of 89 patients with rheumatoid arthritis were included in this
study. The seroprotection and seroresponse rates to vaccination with the pandemic
influenza A/H1N1/2009 vaccine were analysed. The seroprotection rates prior to
the vaccination were 5.6% in the Japanese patients with rheumatoid arthritis. The
seroprotection rates after subcutaneous vaccination were 55.1%. The seroresponse
rate after subcutaneous vaccination was 50.6% in the patients with rheumatoid
arthritis. Both the seroprotection and seroresponse rates obtained after the
vaccination with the pandemic influenza A/H1N1/2009 vaccine were low in Japanese
patients with rheumatoid arthritis. We should realise that a vaccination against
this newly emerged influenza virus may protect only half of the Japanese patients
with rheumatoid arthritis in a real world.
PMID- 21881986
TI - Lack of antiphospolipid antibodies in long-term cardiac rheumatic fever.
PMID- 21881987
TI - Sarcoidosis and sacroiliitis, a case report.
AB - Sarcoidosis is a multisystem disorder of unknown etiology characterized by the
presence of non-caseating granulomas in the organs affected. Sarcoid arthropathy
is a rare manifestation, and sacroiliitis is an unusual first manifestation of
the disorder.
PMID- 21881988
TI - Injection-site reactions upon Kineret (anakinra) administration: experiences and
explanations.
AB - Anakinra (Kineret), a recombinant form of human interleukin-1 (IL-1) receptor
antagonist, is approved for the treatment of rheumatoid arthritis (RA) in
combination with methotrexate. Kineret is self-administered by daily subcutaneous
injections in patients with active RA. The mechanism of action of anakinra is to
competitively inhibit the local inflammatory effects of IL-1. Kineret is
generally safe and well tolerated and the only major treatment-related side
effects that appear are skin reactions at the injection site. Due to the
relatively short half-life of anakinra, daily injection of the drug is required.
This, in combination with the comparably high rates of injection-site reactions
(ISRs) associated with the drug, can become a problem for the patient. The
present review summarises published data concerning ISRs associated with Kineret
and provides some explanations as to their cause. The objective is also to
present some clinical experiences of how the ISRs can be managed.
PMID- 21881989
TI - Monoclonal gammopathies in a Moroccan military hospital.
AB - The aim of this study was to describe biological features and aetiology of
monoclonal gammopathy diagnosed during a 10-year period in the biochemistry
department of the Moroccan Military Hospital Mohamed V in Rabat. The study was
performed from 1 January 2000 to 31 December 2009. The records of 261 patients
living in the Rabat area in which either serum protein electrophoresis and serum
and/or urine immunofixation were performed at the biochemistry department of
Military Instruction Hospital in Rabat were analysed. A cohort of 182 (70%) men
and 79 (30%) women, the mean +/- SD (range) ages were 60.21 +/- 12.56 years. All
patients were Caucasian. Electrophoresis found that 211 (80.84%) of the patients
had a monoclonal gammopathy. Immunofixation confirmed that 251 (96.17%) patients
had a monoclonal band in serum. In our cohort, MM was the most frequent
diagnosis, our patients were late diagnosed.
PMID- 21881990
TI - The effect of different treatment time of millimeter wave on chondrocyte
apoptosis, caspase-3, caspase-8, and MMP-13 expression in rabbit surgically
induced model of knee osteoarthritis.
AB - The aim of this study is to observe the effect of different treatment time of
millimeter wave (MMW) on chondrocyte apoptosis, caspase-3, caspase-8, and matrix
metalloproteinase-13 (MMP-13) in rabbit knee osteoarthritis induced by anterior
cruciate ligament transection (ACLT). Thirty-two New Zealand White rabbits were
randomly assigned into 4 groups: millimeter wave treatment for 20-min group
(MWT20); millimeter wave treatment for 40-min group (MWT40); model control group
(MC) and normal control group (NC). All groups received anterior cruciate
ligament transection in the right knee except NC group. Six weeks after
transection, the MWT20 group and MWT40 group were given millimeter wave (MMW) at
37.5 GHz frequency, 8 mm wavelength, and 10 mW/cm(2) power for 20 and 40 min,
respectively, for 10 days. Eight weeks after transection, all animals were
killed. Modified Mankin Score was assessed for histological assessment.
Chondrocytes apoptosis was tested by the TUNEL assessment, and the expressions of
related proteins were tested by the immunohistochemistry observation and Western
blot. The modified Mankin Score, the chondrocyte apoptosis, and the expression of
caspase-3 and MMP-13 in MWT40 group were significantly lower than those in MC
group. Only a decreasing trend of modified Mankin Score and caspase-3 and MMP-13
expression was found in MWT20 group. The caspase-8 expression of the treatment
groups was lower than model control group and higher than normal control group,
but no significant difference was found. This study revealed MWT40 had a better
therapeutic benefit to osteoarthritis cartilage structure, decreased the
apoptosis of chondrocyte, and caspase-3 and MMP-13 expression compared to MWT20.
But only a decreasing trend of caspase-8 expression was found.
PMID- 21881991
TI - Joint laxity in the parents of children with temporary brittle bone disease.
AB - One controversial cause of unexplained fractures in young children is temporary
brittle bone disease. Contributory factors for this disorder include the
following: premature birth, twin pregnancy and diminished foetal movement.
Heritable factors may also be important. Infants with findings consistent with
temporary brittle bone disease were identified from clinical and medico-legal
referrals. The routine evaluation of each family included examination of both
parents where available for joint laxity using the nine-point Beighton scale. Of
81 children in whom both parents had been examined personally, 40 had at least
one parent with a Beighton score of four or more, conventionally regarded as
indicative of the hypermobility syndrome. We found no significant difference in
laxity when we compared the whole group of mothers with the controls (P = 0.081).
The fathers were significantly different from their control group (P = 0.013).
When we compared the figures for the most flexible parent of each child, there
were significant differences from control subjects both in the mothers and in the
fathers (P = 0.042 and P = 0.0065, respectively). We draw attention to the likely
autosomal dominant inheritance of this risk factor for temporary brittle bone
disease as well as the potential value of assessing parental joint laxity in
evaluating children with fractures.
PMID- 21881992
TI - Severe cartilage damage of the knee joint in two young women with hypermobility.
AB - The purpose of this pictorial assay is to show the arthroscopic findings of two
patients with hypermobility syndrome and patellofemoral malalignment. Severe
chondral damage and recurrent bleeding were common findings in these patients.
One patient also had a meniscal impingement syndrome. A dynamic assessment of the
patellofemoral joint as well as the evaluation of the lateral and medial
retinaculum was performed by arthroscopy. Pictures of damaged area on the
articular cartilage were obtained through a 5-mm arthroscope using standard
portals. A lack of the patellae retinaculum involvement was proved
arthroscopically in both cases. Mechanical complaints and recurrent effusion,
even without history of trauma, should alert physicians who manage patients with
hypermobility. In patients with joint hypermobility, the damage of the cartilage
is real and often a therapeutic challenge.
PMID- 21881993
TI - Complement components 2 and 7 (C2 and C7) gene polymorphisms are not major risk
factors for SLE susceptibility in the Malaysian population.
AB - There have been numerous studies linking complement components and the
pathogenesis of systemic lupus erythematosus (SLE). This is due to their numerous
roles in modulating immune responses in the human body. This study examined the
association of C2 and C7 genetic polymorphisms with the susceptibility to SLE
based on two separate cohorts of patient and control samples from Malaysia. The
28-bp deletion in the C2 exon-intron junction and single nucleotide polymorphism
in the 3'untranslated region in the C7 genes were detected based on direct
polymerase chain reaction (PCR) and PCR-restriction fragment length polymorphism,
respectively. A total of 150 patient and 150 healthy control samples were
screened, but there was no association detected between either genes. All
individuals presented with null deletion in C2 genes, while the C allele and CC
genotypes were most commonly scored. These overall results suggest a lack of
strong association with the C2 and C7 gene polymorphisms to the susceptibility of
SLE in the Malaysian population.
PMID- 21881995
TI - Response to Van Rompaey Vincent to his accepted article: response to: Prognostic
indicators of hearing after complete resection of cholesteatoma causing a
labyrinthine fistula by Stephenson MF and Saliba I.
PMID- 21881997
TI - On the history of modern tonsillectomy: the contribution of Nikolaos Taptas.
AB - The earliest reports on removal of the entire tonsil using a method of careful
dissection came in the early 1900 s by American and British
otorhinolaryngologists. These descriptions are credited as the first of the so
called modern tonsillectomy. In this report we present a technique of
tonsillectomy conceived by Nikolaos Taptas, a Greek physician and citizen of the
Ottoman Empire, which was introduced at the same period with the ones previously
mentioned. Taptas practiced his technique in the very early 1900 s. He used his
own instruments and reported excellent post-operative results with very few
complications. He should therefore be considered among the pioneers of modern
tonsillectomy.
PMID- 21881994
TI - Lower expression of histamine H4 receptor in synovial tissues from patients with
rheumatoid arthritis compared to those with osteoarthritis.
AB - The aim of this study is to compare the expression level of histamine H(4)
receptor (H(4)R) mRNA in synovial tissues of rheumatoid arthritis (RA) and
osteoarthritis (OA) patients, and to study correlation of results with clinical
characteristics of patients with RA. Synovial tissues were obtained from 7 RA and
7 OA patients undergoing artificial arthroplasty. Serum levels of erythrocyte
sedimentation rate, C-reactive protein, matrix metalloproteinase-3 (MMP-3),
rheumatoid factors, and cyclic citrullinated peptide antibodies were determined.
The expression of H(4)R mRNA in synovial tissues was determined by real-time
polymerase chain reaction. Expression of H(1)R and H(4)R mRNA were significantly
lower in RA compared with OA patients (P < 0.005), while expression of H(2)R mRNA
was comparable in both. While a significant negative correlation was found
between H(4)R expression and serum MMP-3 concentration (r = -0.70, P < 0.05), no
correlation was found between MMP-3 and H(1)R (r = -0.52) or H(2)R (r = 0.23).
This study supports the supposition that H(4)R in synovial tissue may play a role
in cartilage and bone destruction by influencing the secretion of MMP-3 in
patients with RA.
PMID- 21881998
TI - Relationship between history, laryngoscopy and esophagogastroduodenoscopy for
diagnosis of laryngopharyngeal reflux in patients with typical GERD.
AB - The techniques used in the diagnosis of gastroesophageal reflux disease (GERD)
have insufficient specificity and sensitivity in diagnosing laryngopharyngeal
reflux (LPR). The purpose of this study was to evaluate the role of
esophagogastroduodenoscopy (EGD) and laryngological examination in the diagnosis
of LPR. A total of 684 diagnosed GERD and suspected LPR patients were
prospectively scored by the reflux finding score (RFS) which was suggested by
Koufman. A total of 484 patients with GERD who had RFS >= 7 were accepted as
having LPR. 248 patients with GERD plus LPR on whom an endoscopic examination was
performed were evaluated. As a control group, results from 82 patients with GERD
who had RFS <7 were available for comparison. The GERD symptom score (RSS) was
counted according to the existence of symptoms (heartburn/regurgitation) and
frequency, duration, and severity. The reflux symptom index (RSI) suggested by
Belafsky et al. was also evaluated. The relationship between esophageal
endoscopic findings, RSS, RFS and RSI was investigated. Mean age was 46 +/- 12
(19-80). The mean values of RSS, RFS, and RSI were 18.9 +/- 7.7, 10 +/- 2.2, 16.6
+/- 11.9, respectively. Erosive esophagitis was detected in 75 cases (30%).
Hiatus hernia was observed in 32 patients (13%). There was no correlation between
RSS and RFS, RSI. The severity of esophagitis did not correlate with the severity
of the laryngeal findings. LPR should be suspected when the history and
laryngoscopy findings are suggestive of the diagnosis. EGD has no role in the
diagnosis of LPR.
PMID- 21881999
TI - Regulation of TGF-beta signaling by PKC depends on Tsc-22 inducibility.
AB - Interactions between various signaling pathways enable a fine control of cellular
activities. When the cells are subjected to activation of TGF-beta signaling and
PKC signaling, PKC phosphorylation of Smad3 abrogates binding and transcriptional
activity of Smad3 leading to suppression of TGF-beta response. We studied this
interaction between Smads and PKC in different cell types to examine cell
specificity of the interaction. We found that the outcome of the interaction
between Smads and PKC depends on cell types and inducibility of a regulatory
molecule Tsc-22. In this report, we showed that induced Tsc-22 leads to
enhancement of TGF-beta-dependent signaling and the enhancement was blocked by
expression of a dominant-negative Tsc-22 mutant. Its effect on cellular
differentiation was also examined.
PMID- 21882000
TI - Assessing the risk of phosphorus loss and identifying critical source areas in
the Chaohu Lake watershed, China.
AB - Agricultural nonpoint phosphorus (P) pollution is a primary cause of
eutrophication in many freshwater systems. Identifying areas that are at high
risk for P loss in a watershed and concentrating management efforts on these
smaller sections is a more effective method for limiting P loss than implementing
general strategies over a broad area. A modified P index scheme was used to
assess the risk of P loss and identify critical source areas in the Chaohu Lake
watershed on a regional scale. In the new P ranking scheme, soil P sorption index
(PSI) and degree of P saturation (DPS) were introduced as source factors to
represent the inherent ability of P transport in the soil-water interface.
Distance from P sources to Chaohu Lake was also considered as a transport factor
to take into account P degradation from source to the final receiving water. The
ranking scheme was modified to use available data on the regional scale. P index
calculation results showed high spatial variation of P loss risk in the Chaohu
Lake watershed. The highest risk areas focused on the downstream parts of the
main rivers that discharge into Chaohu Lake. The induction of new components into
the P index calculation makes it possible to identify critical source areas of
nonpoint P loss on a regional scale, thus allowing decision makers to implement
best management practices (BMPs) in such a manner as to minimize P loss to
sensitive watercourses.
PMID- 21882001
TI - Forest ecosystem services and eco-compensation mechanisms in China.
AB - Forests are a major terrestrial ecosystem providing multiple ecosystem services.
However, the importance of forests is frequently underestimated from an economic
perspective because of the externalities and public good properties of these
services. Forest eco-compensation is a transfer mechanism that serves to
internalize the externalities of forest ecosystem services by compensating
individuals or companies for the losses or costs resulting from the provision of
these services. China's current forest eco-compensation system is centered mainly
on noncommercial forest. The primary measures associated with ecosystem services
are (1) a charge on destructive activities, such as indiscriminate logging, and
(2) compensation for individual or local activities and investments in forest
conservation. The Compensation Fund System for Forest Ecological Benefits was
first listed in the Forest Law of the People's Republic of China in 1998. In
2004, the Central Government Financial Compensation Fund, an important source for
the Compensation Fund for Forest Ecological Benefits, was formally established.
To improve the forest eco-compensation system, it is crucial to design and
establish compensation criteria for noncommercial forests. These criteria should
take both theoretical and practical concerns into account, and they should be
based on the quantitative valuation of ecosystem services. Although some initial
headway has been made on this task, the implementation of an effective forest eco
compensation system in China still has deficiencies and still faces problems.
Implementing classification-based and dynamic management for key noncommercial
forests and establishing an eco-compensation mechanism with multiple funding
sources in the market economy are the key measures needed to conquer these
problems and improve the forest eco-compensation system and China's forestry
development in sequence.
PMID- 21882002
TI - Clinical and scientific progress related to the interface between cardiology and
psychology: lessons learned from 35 years of experience at the Thoraxcenter of
the Erasmus Medical Center in Rotterdam.
AB - In November 1975, as the first in the Netherlands, a full-time psychologist was
employed at the Department of Cardiology of the Thoraxcenter of the Erasmus
Medical Center. This innovative decision was consistent with a view to treat the
patient as a whole rather than the heart as a single body part in need of repair,
combined with the understanding that the heart and mind interact to affect
health. The present selective review addresses the broad range of contributions
of 35 years of psychology to clinical cardiology and cardiovascular research with
a focus on research, teaching, psychological screening and patient care. The
review ends with lessons to be learned and challenges for the future with respect
to improving the care and management of patients with heart disease in order to
enhance secondary prevention and the role of behavioural and psychological
factors in this endeavour.
PMID- 21882003
TI - RBAC-Matrix-based EMR right management system to improve HIPAA compliance.
AB - Security control of Electronic Medical Record (EMR) is a mechanism used to manage
electronic medical records files and protect sensitive medical records document
from information leakage. Researches proposed the Role-Based Access
Control(RBAC). However, with the increasing scale of medical institutions, the
access control behavior is difficult to have a detailed declaration among roles
in RBAC. Furthermore, with the stringent specifications such as the U.S. HIPAA
and Canada PIPEDA etc., patients are encouraged to have the right in regulating
the access control of his EMR. In response to these problems, we propose an EMR
digital rights management system, which is a RBAC-based extension to a matrix
organization of medical institutions, known as RBAC-Matrix. With the aim of
authorizing the EMR among roles in the organization, RBAC-Matrix also allow
patients to be involved in defining access rights of his records. RBAC-Matrix
authorizes access control declaration among matrix organizations of medical
institutions by using XrML file in association with each EMR. It processes XrML
rights declaration file-based authorization of behavior in the two-stage design,
called master & servant stage, thus makes the associated EMR to be better
protected. RBAC-Matrix will also make medical record file and its associated XrML
declaration to two different EMRA(EMR Authorization)roles, namely, the medical
records Document Creator (DC) and the medical records Document Right Setting
(DRS). Access right setting, determined by the DRS, is cosigned by the patient,
thus make the declaration of rights and the use of EMR to comply with HIPAA
specifications.
PMID- 21882004
TI - The effect of artificial neural network model combined with six tumor markers in
auxiliary diagnosis of lung cancer.
AB - To evaluate the diagnosis potential of artificial neural network (ANN) model
combined with six tumor markers in auxiliary diagnosis of lung cancer, to
differentiate lung cancer from lung benign disease, normal control, and
gastrointestinal cancers. Serum carcino-embryonic antigen (CEA), gastrin, neurone
specific enolase (NSE), sialic acid (SA), Cu/Zn, Ca were measured through
different experimental procedures in 117 lung cancer patients, 93 lung benign
disease patients, 111 normal control, 47 gastric cancer patients, 50 patients
with colon cancer and 50 esophagus cancer patients, 19 parameters of basic
information were surveyed among lung cancer, lung benign disease and normal
control, then developed and evaluated ANN models to distinguish lung cancer.
Using the ANN model with the six serum tumor markers and 19 parameters to
distinguish lung cancer from benign lung disease and healthy people, the
sensitivity was 98.3%, the specificity was 99.5% and the accuracy was 96.9%.
Another three ANN models with the six serum tumor markers were employed to
differentiate lung cancer from three gastrointestinal cancers, the sensitivity,
specificity and accuracy of distinguishing lung cancer from gastric cancer by the
ANN model of lung cancer-gastric cancer were 100%, 83.3% and 93.5%, respectively;
The sensitivity, specificity and accuracy of discriminating lung cancer by lung
cancer-colon cancer ANN model were 90.0%, 90.0%, and 90.0%; And which were 86.7%,
84.6%, and 86.0%, respectively, by lung cancer-esophagus cancer ANN model. ANN
model built with the six serum tumor markers could distinguish lung cancer, not
only from lung benign disease and normal people, but also from three common
gastrointestinal cancers. And our evidence indicates the ANN model maybe is an
excellent and intelligent system to discriminate lung cancer.
PMID- 21882005
TI - Determination of women iron deficiency anemia using neural networks.
AB - Iron deficiency anemia (IDA) is a common type of anemia which most often occurs
in young adult women. Detection of Iron deficiency requires blood tests and
doctors' decision. Doing so can be costly and difficult especially in undeveloped
countries. In this study, we developed an application by using Feedforward
Networks (FFN), Cascade Forward Networks (CFN), Distributed Delay Networks (DDN),
Time Delay Networks (TDN), Probabilistic Neural Network (PNN), and Learning
Vector Quantization (LVQ) networks that can diagnose iron deficiency anemia in
women.
PMID- 21882006
TI - Brettanomyces as a starter culture in rice-steamed sponge cake: a traditional
fermented food in China.
AB - The potential use of Brettanomyces anomalus PSY-001 as an additional starter
culture for the production of Rice-steamed sponge cake (RSSC), a traditional
fermented food in China, was investigated. Two productions of RSSC, each
containing batches of experimental cakes with Brettanomyces added and reference
cakes with the leavened liquid added were carried out. For both experimental and
reference cakes, chemical analysis and sensory evaluation were carried out during
the fermentation period. The results showed that experimental cakes had desirable
aroma and taste. The observed differences indicate a positive contribution to the
overall quality of RSSC by B. anomalus PSY-001.
PMID- 21882007
TI - Polyphosphate deficiency affects the sliding motility and biofilm formation of
Mycobacterium smegmatis.
AB - Inorganic polyphosphate (polyP) is a ubiquitous linear polymer of hundreds of
orthophosphate (Pi) residues linked by ATP-like, high-energy, phosphoanhydride
bonds. The gene Rv1026 in Mycobacterium tuberculosis encodes a putative
exopolyphosphatase which progressively hydrolyzes the terminal residues of polyP
to liberate Pi. Rv1026 was cloned into the expressive plasmid pMV261. The
resulting plasmid pRv1026 and the plasmid pMV261 were transformed into M.
smegmatis strain mc(2)155 by electroporation. The recombinant M. smegmatis
(pRv1026) showed relatively decreased polyP concentration and a phenotype
different from the M. smegmatis (pMV261) in sliding motility and biofilm
formation. The surfactant Tween 80 can enhance this effect on the sliding
motility and biofilm formation of M. smegmatis. There are four different peaks
between the gas chromatography of cellular wall fatty acid of the M. smegmatis
(pRv1026) and the M. smegmatis (pMV261). These results indicate that polyP
deficiency can affect the fatty acid composition of cellular wall and these
alteration of cell wall might elucidate the reductive ability of strains to slide
and form biofilm. This investigation provides novel recognition about the role of
Rv1026, which provides novel clues for further study on the physiological role of
Rv1026 in M. tuberculosis.
PMID- 21882008
TI - Prevalence of acanthamoeba from tap water in rio grande do Sul, Brazil.
AB - A total of 136 samples of tap water were collected from state and municipal
schools between March and November 2009. The samples were filtered through
cellulose nitrate membranes that were seeded at non-nutrient agar 1.5% containing
an overlayer of Escherichia coli suspension. Thirty-one (22.79%) tap water
samples investigated were found positive for free-living amoebae (FLA). From
these, 13 presented as FLA that seems to belong to the genus Acanthamoeba. All
samples of FLA were cloned and identified as belonging to the genus Acanthamoeba
by the morphology of cysts and trophozoites and by PCR using genus-specific
primers that amplify the ASA.S1 region of 18S rDNA gene. Physiological tests of
thermotolerance and osmotolerance were used to evaluate the pathogenicity of the
isolates. The sequencing analysis by comparing the sequences submitted to
GenBank, showed genotype distribution into groups T2, T2/T6, T6, and T4. In tests
of thermotolerance and osmotolerance, 50% of the isolates had a low pathogenic
potential. The results indicated the presence of Acanthamoeba in tap water in Rio
Grande do Sul, Brazil, revealing its importance and the need for more
epidemiological studies to determine their distribution in the environment and
its pathogenic potential.
PMID- 21882009
TI - Key issues in the design of pay for performance programs.
AB - Pay for performance (P4P) is increasingly being used to stimulate healthcare
providers to improve their performance. However, evidence on P4P effectiveness
remains inconclusive. Flaws in program design may have contributed to this
limited success. Based on a synthesis of relevant theoretical and empirical
literature, this paper discusses key issues in P4P-program design. The analysis
reveals that designing a fair and effective program is a complex undertaking. The
following tentative conclusions are made: (1) performance is ideally defined
broadly, provided that the set of measures remains comprehensible, (2) concerns
that P4P encourages "selection" and "teaching to the test" should not be
dismissed, (3) sophisticated risk adjustment is important, especially in outcome
and resource use measures, (4) involving providers in program design is vital,
(5) on balance, group incentives are preferred over individual incentives, (6)
whether to use rewards or penalties is context-dependent, (7) payouts should be
frequent and low-powered, (8) absolute targets are generally preferred over
relative targets, (9) multiple targets are preferred over single targets, and
(10) P4P should be a permanent component of provider compensation and is ideally
"decoupled" form base payments. However, the design of P4P programs should be
tailored to the specific setting of implementation, and empirical research is
needed to confirm the conclusions.
PMID- 21882010
TI - Can we predict and prevent adverse events related to high-voltage implantable
cardioverter defibrillator lead failure?
AB - BACKGROUND: In 2007, great concern arose regarding failure of implantable
cardioverter defibrillator (ICD) leads from several manufacturers. OBJECTIVE: Of
this analysis was to evaluate the incidence and predictors of Sprint Fidelis lead
failure in order to find the best clinical strategy to prevent lead-related
adverse events. METHODS: Four hundred fourteen patients (357 male, 67 +/- 12
years) with ICD equipped with right ventricular Sprint Fidelis leads were
followed up in our institution. RESULTS: Over a median follow-up of 35 months
(25th-75th percentile = 27-47 months) and a total follow-up of 1,231 patient
years, lead failures occurred in 40 of 414 (9.7%) patients. The annual rate was
3.2% per patient-year. Thirty-five (87.5%) failures were caused by pacing-sensing
connector fracture. The risk of lead fracture was higher in patients younger than
70 years (odds ratio = 2.31; 95% confidence interval = 1.14-4.68, p = 0.02).
Among 30 patients with pacing-sensing conductor failure and available device
diagnostics for failure alerting, the diagnostic parameter which first responded
to lead failure was the sensing integrity counter in 15 of 30 (50%), pacing
impedance in 12 of 30 (40%), and non-sustained ventricular tachycardia in 3 of 30
(10%). The median time (25th-75th percentile) between diagnostics alert and lead
failure-related adverse events or failure acknowledgment was 2.2 (0.3-13.0) days.
Twenty-two patients suffered inappropriate shocks due to lead failure. In 50% of
patients, daily monitoring by device diagnostics would have alerted physicians to
impending lead failure at least 1 day in advance. CONCLUSIONS: Automatic
algorithms based on device diagnostics may detect impending lead failure in
nearly 50% of cases. Remote monitoring may prevent failure-related adverse
events.
PMID- 21882011
TI - The relationship of impulsivity-inattention and verbal ability to overt and
covert antisocial behaviors in children.
AB - Research has linked many risk factors in childhood and early adolescence to
antisocial behaviors in later adolescence and early adulthood; however, less
attention has focused on the interaction among factors in the prediction of
distinct forms of antisocial behaviors. This study investigated the additive and
synergistic association of inattention-impulsivity and verbal ability with overt
and covert antisocial behaviors using a high risk community sample of 270 (49.8%
female) children. Multiple regression analyses indicated kindergarten inattention
impulsivity was significantly related to overt and covert antisocial behaviors
and the interaction of inattention-impulsivity and verbal ability significantly
predicted covert but not overt antisocial behaviors during kindergarten and first
grade. Kindergarten verbal ability did not buffer the association of impulsivity
inattention with covert antisocial behavior; rather higher verbal ability was
associated with increased risk for covert antisocial behavior in the presence of
high levels of impulsivity-inattention. The association of inattention
impulsivity with higher levels of overt and covert antisocial behavior begins
during childhood, and may set off developmental trajectories associated with the
acceleration of antisocial behavior in adolescence.
PMID- 21882012
TI - Blood vessel wall-derived endothelial colony-forming cells enhance fracture
repair and bone regeneration.
AB - Endochondral bone formation requires new blood vessel formation, and endothelial
progenitor cells (EPCs) may play a role in this process. Endothelial colony
forming cells (ECFCs), one subtype of EPCs, isolated from the microvasculature of
rat lungs, exhibited cell surface antigen markers and gene products
characteristic of endothelial cells and displayed high proliferative potential
and an ability to form vessel-like network structures in vitro. The aim of this
study was to evaluate whether ECFCs facilitate bone healing during fracture
repair and stimulate bone regeneration. When type I collagen sponge containing
ECFCs were surgically wrapped around the fractured femurs of rats, newly formed
bone mineral at the site of fracture was 13% greater (P = 0.01) and energy to
failure was 46% greater (P = 0.01) compared to sponge-wrapped fractures without
ECFCs. When ECFCs in type I collagen sponge were surgically implanted into the
bone defective area, more new vessels formed locally in comparison with sponge
alone controls and new bone tissues were seen. Further, co-implantation of ECFCs
and hydroxyapatite/tricalcium phosphate (HA/TCP) scaffolds at the bone defective
sites stimulated more new bone tissues than HA/TCP scaffold alone. These results
show that cell therapy with vessel wall-derived ECFCs can induce new vessel
formation, stimulate new bone formation, and facilitate bone repair and could be
a useful approach to treat non-union fractures and bone defects.
PMID- 21882013
TI - Microalgae as platforms for production of recombinant proteins and valuable
compounds: progress and prospects.
AB - Over the last few years microalgae have gained increasing interest as a natural
source of valuable compounds and as bioreactors for recombinant protein
production. Natural high-value compounds including pigments, long-chain
polyunsaturated fatty acids, and polysaccharides, which have a wide range of
applications in the food, feed, cosmetics, and pharmaceutical industries, are
currently produced with nontransgenic microalgae. However, transgenic microalgae
can be used as bioreactors for the production of therapeutic and industrially
relevant recombinant proteins. This technology shows great promise to simplify
the production process and significantly decrease the production costs. To date,
a variety of recombinant proteins have been produced experimentally from the
nuclear or chloroplast genome of transgenic Chlamydomonas reinhardtii. These
include monoclonal antibodies, vaccines, hormones, pharmaceutical proteins, and
others. In this review, we outline recent progress in the production of
recombinant proteins with transgenic microalgae as bioreactors, methods for
genetic transformation of microalgae, and strategies for highly efficient
expression of heterologous genes. In particular, we highlight the importance of
maximizing the value of transgenic microalgae through producing recombinant
proteins together with recovery of natural high-value compounds. Finally, we
outline some important issues that need to be addressed before commercial-scale
production of high-value recombinant proteins and compounds from transgenic
microalgae can be realized.
PMID- 21882014
TI - Biotransformation of puerarin into puerarin-6"-O-phosphate by Bacillus cereus.
AB - The biotransformation of puerarin catalyzed by Bacillus cereus NT02 was studied.
A primary screening was carried out using 307 strains of bacteria isolated from
soil which were able to grow in the presence of puerarin. Strain NT02, identified
as B. cereus, was able to convert puerarin into puerarin-6"-O-phosphate. Under
the optimum conditions, resting cells of B. cereus NT02 converted 27% of added
0.4 g/l puerarin into puerarin-6"-O-phosphate that was characterized by MS, 13C
NMR, 31P NMR. The activity of puerarin-6"-O-phosphate was 25 times higher than
that of puerarin in the 1,1-diphenyl-2-picrylhydrazyl (DPPH) radical-scavenging
system. The water solubility of puerarin-6"-O-phosphate was 85.4 times higher
than that of puerarin.
PMID- 21882015
TI - Relationship between meiotic spindle characteristics in human oocytes and the
timing of the first zygotic cleavage after intracytoplasmic sperm injection.
AB - PURPOSE: To investigate the relationship between meiotic spindle characteristics
in human oocytes and the timing of the first zygotic cleavage after
intracytoplasmic sperm injection (ICSI). METHODS: Zygotes that had cleaved to two
cell stage by 27 h post-ICSI were classified as early cleaving and the remainder
as late cleaving. Meiotic spindle parameters previously imaged using the PolScope
were compared between the two groups. RESULTS: Of 384 embryos, 163 were classed
as early cleaving and 221 as late cleaving. The rate of blastocyst formation or
pregnancy by Day 2 embryo transfer was significantly higher following early
cleavage than after late cleavage (52.4% vs. 24.4% or 32.6% vs. 11.4%). Spindle
areas (108.0 vs. 89.8 MUm(2)), lengths (14.7 vs. 13.4 MUm) and PolScope
retardance were also significantly greater in the early cleaving group.
CONCLUSIONS: Meiotic spindle parameters determine the timing of the first zygotic
cleavage and are strong indicators of human embryo developmental potential.
PMID- 21882016
TI - ART, birth defects and subfertility--what should prospective patients be told?
PMID- 21882017
TI - Serum anti-Mullerian hormone and antral follicle count as predictive markers of
OHSS in ART cycles.
AB - OBJECTIVE: To evaluate predictive role of day-3 serum anti-Mullerian hormone
(AMH) levels and antral follicle count (AFC) in ovarian hyperstimulation syndrome
(OHSS) in patients undergoing IVF/ICSI cycles. MATERIALS AND METHODS: Forty-one
women with moderate/severe OHSS and 41 age matched women without OHSS were
compared to evaluate the predictive value of certain risk factors for OHSS. AFC,
and E(2), FSH, LH, AMH, inhibin-B levels measured on day 3 of the menstrual cycle
before controlled ovarian hyperstimulation. RESULTS: Mean FSH was significantly
lower (p < 0.0001); and mean LH, AFC and AMH were significantly higher in women
with OHSS compared to women without OHSS (p = 0.049, p < 0.0001 and p < 0.0001,
respectively). There was no significant difference in inhibin B (p = 0.112) and
estradiol (p = 0.706) between the groups. The ROC area under curve (AUC) for AMH
presented the largest AUC among the listed risk factors. AMH (AUC = 0.87) and AFC
(AUC = 0.74) had moderate accuracy for predicting OHSS while Inhibin B (AUC =
0.58) and LH (AUC = 0.61) had low accuracy. The cut-off value for AMH 3.3 ng/mL
provided the highest sensitivity (90%) and specificity (71%) for predicting OHSS.
It's positive (PPV) and negative predictive values (NPV) were 61% and 94%,
respectively. The cut-off value for AFC was 8 with 78% sensitivity, 65%
specificity, 52% PPV and 86% NPV. CONCLUSION: Measurement of basal serum AMH and
AFC can be used to determine the women with high risk for OHSS.
PMID- 21882018
TI - The impact of hospital utilization on patient readmission rate.
AB - We investigate the issue of patient readmission at a large academic hospital in
the U.S. Specifically, we look for evidence that patients discharged when post
operative unit utilization is high are more likely to be readmitted. After
examining data from 7,800 surgeries performed in 2007, we conclude that patients
who are discharged from a highly utilized post-operative unit are more likely to
be readmitted within 72 h. Each additional bed utilized at time of discharge
increases the odds of readmission on average by 0.35% (Odds Ratio = 1.008, 95% CI
[1.003, 1.012]). We propose that this effect is due to an increased discharge
rate when the unit is highly utilized.
PMID- 21882019
TI - Components separation technique combined with a double-mesh repair for large
midline incisional hernia repair.
AB - BACKGROUND: The surgical treatment of large midline incisional hernias remains a
challenge. The aim of this report is to present the results of a new technique
for large midline incisional hernia repair which combines the components
separation technique with a double-prosthetic-mesh repair. METHODS: The records
of all consecutive patients who received a double-mesh combined with the
components-separation technique for ventral hernia repair were reviewed. The
clinical, surgical, and follow-up data were analyzed. RESULTS: Nine patients [3
women, 6 men; median age = 62 years (range = 26-77)] were included in the study.
Median transverse defect size was 20 cm (range = 15-25). The median duration of
hospital stay was 8 days (range = 5-17). Postoperative complications occurred in
66% (6/9). Follow-up [median = 13 months (range = 3-49)] showed no recurrent
hernias, but one patient had a small hernia after a relaparotomy for colon
carcinoma recurrence. The overall occurrence of wound infections was 44% (4/9).
There was no mortality. CONCLUSION: The components-separation technique in
combination with a double-mesh has shown a low recurrence rate in the short-term
follow-up. However, there is a considerable occurrence of postoperative wound
infections. Long-term results of the hernia recurrence rate have to be awaited.
PMID- 21882020
TI - Surgical impact on gastric cancer with locoregional invasion.
AB - BACKGROUND: The benefit of resection of gastric cancer with locoregional invasion
is still under debate. This study aimed to investigate the impact of surgery on
patients with gastric cancer with locoregional invasion. METHODS: From January
1988 to December 2009, a total of 2,678 patients with gastric cancer underwent
surgery at the Department of Surgery, Taipei Veterans General Hospital. Among
these patients, 569 and 295 were diagnosed as T4a (serosa invasion without
penetration of visceral peritoneum) and T4b (serosa exposure with invasion of
adjacent structure), respectively. Invasion type and prognosis were analyzed in
patients with gastric cancer who had either curative or palliative resection.
RESULTS: Our results showed that patients with T4a gastric cancer had a better 5
year overall survival than patients with T4b (22.5% vs. 11.5%, P < 0.001).
Patients with T4b who had curative resection had a better 5-year overall survival
than those with T4b who had palliative resection (13.8% vs. 7.3%, P = 0.001). The
prognosis of patients with gastric cancer with pancreas invasion was worse than
those with mesocolon invasion, as no patients with pancreas invasion survived
more than 5 years. Univariate and multivariate analyses showed that tumor size (P
= 0.019), Bormann classification (P < 0.001), stromal reaction (P = 0.001), and
nodal involvement (P < 0.001) were independent predictors for overall survival in
patients with T4b gastric cancer. CONCLUSION: Resection of T4b gastric cancer
could be performed with curative intent. Patients with gastric cancer with
pancreas invasion had a poorer prognosis than those with mesocolon invasion.
PMID- 21882021
TI - Renal vein lengthening using gonadal vein reduces surgical difficulty in living
donor kidney transplantation.
AB - BACKGROUND: During living-donor kidney transplantation, to maximally decrease
donor injury, the right kidney with lower glomerular filtration rate often is
selected as the donor kidney. However, the renal vein of the right kidney is
relatively short for transplantation. The gonadal vein is essentially useless and
is easily accessed during the donor nephrectomy. METHODS: Seventeen live kidney
donors received right kidney nephrectomy for living-donor kidney transplantation.
Short renal veins were lengthened by circular anastomosis or spiral anastomosis
of longitudinally cut gonadal veins. The renal function of receivers was
evaluated using creatinine clearance. RESULTS: The renal veins were extended by
2.0-2.7 cm with circular anastomosis and 4.1-4.5 cm with spiral anastomosis with
an average of 2.5 +/- 0.7 cm. Lengthening of renal veins averaged 20.4 +/- 4.2
min. All surgeries were successful, significantly reducing difficulty of vascular
anastomosis during transplantation. No poor early graft function occurred. No
side effects were observed in donors. CONCLUSIONS: When donor renal veins are too
short for effective kidney transplantation and may affect reliability of vascular
anastomosis, they can be lengthened by using gonadal veins without increasing
injury to the donor. Successful extension of donor kidney renal veins expands the
indication for right donor kidneys.
PMID- 21882022
TI - Fast-track concepts in major open upper abdominal and thoracoabdominal surgery: a
review.
AB - INTRODUCTION: The purpose of this article was to review the research considering
fast-track concepts in upper abdominal and thoracoabdominal surgery. METHODS: A
search for clinical studies evaluating the fast-track concept after open major
upper abdominal or thoracoabdominal surgery was performed. Reference lists of
identified articles were searched. Trials-written in English-that compared a
concept and traditional care were evaluated with regard to their internal
validity. Level of evidence was defined and each outcome was evaluated. RESULTS:
In total, 15 articles were found, separated into gastric (n = 2), pancreatic (n =
5), hepatic (n = 2), esophageal (n = 3), and aortic surgery (n = 3). Three were
randomized, controlled trials. The different trials represented various concepts
of fast-track surgery, but the majority included specific programs for
analgesics, avoidance of drainage tubes, early start of oral nutrition, and early
and active mobilization. There is moderate evidence that fast-track concepts
result in shorter hospital stay. There is low evidence that fast-track concepts
shorten need of ventilation, decrease the need of care at the intensive care
unit, decrease postoperative pain, and reduce total hospital costs. The concepts
seem to have similar rates of surgical complications, readmission rate, and
mortality rates as conventional care. No specific adverse events were reported.
CONCLUSIONS: Although the methodological quality of the articles reviewed was low
and the trials heterogeneous, all trials concluded that the introduction of fast
track concepts were safe and feasible, achieved shorter hospital stays, and
reduced costs. Future randomized, controlled trials are needed to further
evaluate the effect of these concepts.
PMID- 21882023
TI - Community-acquired pneumonia during long-term follow-up of patients after radical
esophagectomy for esophageal cancer: analysis of incidence and associated risk
factors.
AB - BACKGROUND: There are no data concerning the occurrence of community-acquired
pneumonia (CAP) in esophageal cancer patients during long-term follow-up after
radical esophagectomy. The aims of the present study were to determine the
incidence of CAP in esophageal cancer patients who underwent radical
esophagectomy and to identify the risk factors. METHODS: A total of 186
consecutive patients who underwent radical esophagectomy for thoracic esophageal
carcinoma in our hospital between 1991 and 2000 were enrolled in this study. Data
on the occurrence of CAP were retrospectively collected from medical records,
follow-up files, and telephone interviews with patients. The cumulative incidence
of CAP was calculated by the Kaplan-Meier method, and the risk factors for CAP
were determined by univariate and multivariate analyses. The median follow-up
time was 77 months (range 12-216 months). RESULTS: Sixty patients suffered from
CAP during the follow-up period. The cumulative incidence was 25.8% at 5 years
and 38.4% at 10 years. Multivariate analysis revealed the following as the
significant risk factors for CAP: presence of lymph node metastasis (Hazard ratio
[HR], 2.64; 95% confidence interval [CI], 1.55-4.50; P < 0.001), colonic
interposition (HR, 2.87; 95% CI, 1.41-5.82; P = 0.004), obstructive lung disease
(HR, 1.95; 95% CI, 1.11-3.42; P = 0.021), and preoperative hypoalbuminemia (HR,
2.08; 95% CI, 1.20-3.60; P = 0.009). CONCLUSIONS: There is a high incidence of
CAP in esophageal cancer patients after esophagectomy. Positive nodal metastasis,
colonic interposition, obstructive lung disease, and preoperative hypoalbuminemia
are risk factors for this long-term postoperative morbidity.
PMID- 21882024
TI - Large clinical experience of primary angiosarcoma of the breast in a single
Korean medical institute.
AB - BACKGROUND: Angiosarcoma of the breast is rare. The purpose of the present study
was to evaluate the clinicopathologic characteristics and the clinical outcomes
of patients with primary breast angiosarcoma. METHODS: We analyzed the
clinicopathologic factors of patients with angiosarcoma of the breast treated
between 1997 and 2010 at the Samsung Medical Center. We reviewed the related
demographic data, preoperative imaging studies, method of histologic
confirmation, tumor size, histologic grades, status of hormonal receptors,
treatment modality, and survival data. RESULTS: Nine women with angiosarcoma of
the breast were identified. The median age of patients with primary angiosarcoma
of the breast at diagnosis was 31 years (range: 19-63 years), and the median
tumor size was 9.0 cm (range: 3.5-10.7 cm). Seven patients (77.7%) died within a
median follow-up of 46.7 months (range: 3.9-63.3 months), and all deaths were
directly attributed to angiosarcoma. Overall, the median time from diagnosis of
angiosarcoma to death was 46.8 months (range: 8.21-63.3 months). The 5 year
overall survival with angiosarcoma was 42.9%. CONCLUSIONS: Primary angiosarcoma
of the breast is a rare malignancy with a poor prognosis, even with complete
resection. Chemotherapy and radiation therapy have limited value as treatments to
date. Total mastectomy appears to be the most appropriate and beneficial
treatment. More aggressive surgical management should be considered, and future
clinical research should explore the most appropriate adjuvant therapy in the
treatment of angiosarcoma.
PMID- 21882025
TI - Comparison between laparoscopy and noninvasive tests for the diagnosis of
tuberculous peritonitis.
AB - BACKGROUND: Despite recent progress, the fast and accurate diagnosis of
tuberculous peritonitis (TBP) continues to be a challenge, mainly because of the
lack of specific clinical features and the difficulty in isolating the M.
tuberculosis. The present study aimed to investigate the role of laparoscopy in
the diagnosis of TBP, compared to noninvasive tests. METHODS: We retrospectively
studied 60 patients who had diagnostic laparoscopy for suspected TBP between
January 2002 and June 2010. RESULTS: Forty-one patients were diagnosed with TBP.
In terms of accuracy and predictive value, the visual diagnosis via laparoscope
was the most diagnostic test. In the noninvasive tests, both the ascitic
adenosine deaminase (ADA) level over 30 U/l, and the ascitic lactate
dehydrogenase (LDH) level over 90 U/l had relatively high positive, as well as
negative, predictive values. The overall morbidity and mortality rates for
laparoscopy were 5 and 5%, respectively. CONCLUSIONS: Laparoscopy is a rapid and
accurate diagnostic test for TBP. However, complications may occur. In older
patients with associated conditions, a combination of various noninvasive tests
and empirical treatments is needed prior to laparoscopy.
PMID- 21882026
TI - Laparoscopy-assisted proximal gastrectomy with sentinel node mapping for early
gastric cancer.
AB - BACKGROUND: Laparoscopy-assisted proximal gastrectomy (LAPG) remains a relatively
uncommon procedure because of certain technical issues, such as curability,
safety, and retention of postoperative patients' quality of life. The aim of the
present study was to evaluate the feasibility of a newly developed LAPG procedure
for early-stage proximal gastric cancer. METHODS: We enrolled 37 consecutive
patients who were preoperatively diagnosed with cT1N0M0 primary gastric cancer in
the upper third of the stomach with the primary tumor diameter less than 4 cm.
Laparoscopy-assisted proximal gastrectomy with sentinel node (SN) mapping and
esophagogastric anastomosis with a circular stapler and transoral placement of
the anvil was attempted. RESULTS: The LAPG procedure was completed in 36
patients. It was converted to laparoscopy-assisted total gastrectomy in one
patient because one SN detected intraoperatively was positive for metastasis by
intraoperative pathological diagnosis. There were no severe postoperative
complications in any patient. Only one patient (3%) complained of mild reflux
symptoms immediately after operation, which were graded endoscopically as B by
the Los Angeles Classification of gastroesophageal reflux disease; however, the
symptoms were controlled well by a proton-pump inhibitor. Sentinel nodes were
detected successfully in 37 (100%) of our patients. The mean number of dissected
lymph nodes and identified SNs per case was 29.7 and 5.8, respectively. The
sensitivity of prediction of nodal metastasis (including isolated tumor cells)
and diagnostic accuracy based on SN status were 100% (3/3) and 100% (37/37),
respectively. All patients have been free from recurrence for a median follow-up
period of 26 months. CONCLUSIONS: This study reveals that our novel LAPG approach
is curative and represents a feasible minimally invasive surgical procedure with
minimal morbidity and postoperative reflux esophagitis in patients with upper
third early-stage gastric cancer.
PMID- 21882027
TI - Post-pancreaticoduodenectomy hemorrhage. Incidence, diagnosis, and treatment.
AB - BACKGROUND: Although mortality post-pancreaticoduodenectomy (PD) has decreased,
morbidity rates continue to be high, ranging from 30% to 50%. Among
complications, hemorrhage stands out; it is associated with high mortality and
there is no standard management. The aim of the present study was to analyze the
incidence, diagnosis, and treatment of hemorrhage post-cephalic PD at our center.
METHODS: From January 2005 to December 2008, 107 PDs were performed. A
retrospective review of characteristics of patients with postoperative hemorrhage
was made from our prospective database. Demographic data, diagnosis, treatment
(medical, laparotomy, interventional radiology), association with fistula
(pancreatic or biliary), intra- or extraluminal hemorrhage, bleeding time (early
or late), severity (moderate/severe), and mortality were analyzed. RESULTS:
Eighteen patients (18/107; 16.82%) hemorrhaged after PD. Hemorrhage appeared
early (< 24 h) in 4 of these 18 patients (22.2%), and it was severe in 13/18
(72%). Hemorrhage-related mortality was 11% (2/18) and hospital mortality was
22.2% (4/18). Arteriography was performed in 8/18 patients (44.4%) and was
effective in 6/8 (75%); laparotomy was performed in 8/18 (44.4%). Re-bleeding
occurred in 5 of these 18 patients after the first treatment (27.8%). An
association between hemorrhage and fistula was observed. CONCLUSIONS: Hemorrhage
after pancreatic resection must be considered a complication with relatively high
mortality. Diagnosis should be established and treatment applied rapidly.
Pancreatic and/or biliary fistulae were significantly associated with a higher
risk of postoperative hemorrhage. Interventional radiology is a good therapeutic
option.
PMID- 21882029
TI - Evaluation of current devices in single-incision laparoscopic colorectal surgery.
PMID- 21882028
TI - Outcome of surgery for primary hyperaldosteronism.
AB - BACKGROUND: Primary hyperaldosteronism (PHA) frequently causes secondary
hypertension and is a surgically amenable disease if associated with unilateral
adenoma. Patients who underwent laparoscopic adrenalectomy at the authors'
department were followed to identify clinical parameters that predict resolution
of hypertension. METHODS: All patients with PHA and adrenalectomy from 1993 to
2009 were identified. Charts and follow-up data were reviewed for clinical
parameters and hormone levels. Univariate and multivariate analysis were
performed with SPSS 15.0. RESULTS: A cohort of 30 female and 24 male patients
underwent laparoscopic adrenalectomy. Hypokalemia was observed in 47/54 (87%)
patients. Twenty patients (37%) were cured without any further need of
antihypertensive medication, 20 (37%) patients experienced an improvement in
hypertension, and 14 (26%) patients remain unaffected. Consequently, hypertension
was resolved or improved in 40/54 (74%) patients. A shorter duration of
hypertension (<6 years), the number of antihypertensive drugs (<3), and the serum
creatinine level (<1.3 mmol/l) were independent predictors of resolution of
hypertension in a multivariate analysis. At final follow-up after a mean of 49 +/
40 months, resolution of hypertension was observed in 17/30 (57%) patients.
Interestingly, in 10/17 patients a period longer than 12 months was required
before a resolution of hypertension was observed. Coexistent hyperplasia, which
was observed in 30% of patients, did not correlate with outcome. CONCLUSIONS: In
50% of patients with PHA, hypertension resolves after laparoscopic adrenalectomy,
but the process may require more than 12 months. Patients with a duration of
hypertension of more than 6 years, more than 3 antihypertensive drugs, and
elevated serum creatinine have a higher risk of persistent hypertension after
surgery. Coexistent hyperplasia in the resected adrenal gland is not associated
with persistent hypertension.
PMID- 21882030
TI - Nonsteroidal antiinflammatory medications: efficient and safe treatment following
video-assisted pleurodesis for spontaneous pneumothorax.
AB - BACKGROUND: Recurrent spontaneous pneumothorax is widely treated by video
assisted thoracoscopic (VATS) bullectomy and pleurodesis. Treatment of
postoperative pain with nonsteroidal antiinflammatory drugs (NSAIDs) is
controversial as many surgeons believe that it reduces the efficacy of
pleurodesis and increases the pneumothorax recurrence rate. METHODS: In this
retrospective study, we reviewed the hospital records for patients following VATS
pleurodesis for recurrent spontaneous pneumothorax. The patients were divided
into two groups: (1) NSAID group: patients were treated with NSAIDs for more than
a week following surgery and (2) control group: patients did not receive NSAIDs.
Data regarding short- and long-term outcomes were compared. RESULTS: The study
cohort included 105 patients: 48 in the NSAID group and 57 in the control group.
During the early postoperative period the average daily requirement of narcotic
analgesia and the incidence of narcotic-related side effects were lower in the
NSAIDs group. No difference was found in the long-term recurrence rate: two of 48
(4%) in the NSAID group and three of 57 (5%) in the control group. There was one
case of early recurrence in the NSAID group. Both groups had similar length of
stay with no cases of mortality or major morbidity. CONCLUSIONS: NSAIDs for
postsurgical pleurodesis pain obviates the need for narcotics without increasing
the pneumothorax recurrence rate. Prospective randomized controlled studies are
needed to further investigate this issue.
PMID- 21882032
TI - Predicting distant metastasis in patients with suspected pancreatic and
periampullary tumors for selective use of staging laparoscopy.
AB - BACKGROUND: In patients with pancreatic or periampullary tumor, staging
laparoscopy (SL) can detect metastases that are occult on computed tomography
(CT), thereby precluding nontherapeutic laparotomy. Routine SL is not advocated,
but some studies suggest its selective use. The aim of this study was to identify
patients at risk for metastasis in whom SL could be beneficial. METHODS: A
consecutive series of patients who underwent laparotomy for a suspected
pancreatic or periampullary tumor were analyzed. We included patients with a
suspected resectable solid lesion and a recent high-quality CT scan. Patients
with and without an intraoperatively encountered metastasis were compared.
Regression analysis was performed to examine the association between various
predictors and metastasis. RESULTS: Data from 385 patients (mean age 63, 41%
women) were analyzed. Distant metastasis was encountered in 79 patients (21%).
Logistic regression analysis revealed the following key predictors for
metastasis: tumor size on CT scan [odds ratio (OR) 1.43, 95% confidence interval
(CI) 1.16-1.76 per millimeter increase], weight loss (OR 1.28, 95% CI 1.01-1.63
per doubling the kilograms), and history of jaundice (OR 2.36, 95% CI 0.79-7.06).
In patients with a tumor >=3 cm and severe weight loss (>=10 kg) and in patients
with a tumor >=4 cm and moderate weight loss (>=5 kg), the proportion of patients
with metastasis was >40%. CONCLUSIONS: In patients with a suspected pancreatic or
periampullary tumor, the tumor size, weight loss, and jaundice are key predictors
of metastasis at exploration. SL might be beneficial in patients with a tumor >=3
cm and severe weight loss and in those with a tumor >=4 cm and moderate weight
loss.
PMID- 21882031
TI - Ischemic colitis: clinical presentation, localization in relation to risk
factors, and long-term results.
AB - BACKGROUND: Ischemic colitis is commonly thought to occur most often in the left
hemicolon close to the splenic flexure owing to insufficient blood supply near
Griffith's point. This study investigates the colorectal localization pattern,
the risk factors, and the long-term outcome of histologically proven ischemic
colitis. METHODS: Between 1996 and 2004, a total of 49 patients with a median age
of 69 years (range 26-94 years) with colonoscopically assessed and histologically
proven ischemic colitis were identified on behalf of the pathology database. Long
term results of 43 patients were evaluated retrospectively after a median
interval of 79 months (range 6-163 months). RESULTS: In 27 patients (55%) more
than one location was affected. We found 98 affected locations in 49 patients.
The distribution of ischemic colitis in our group shows no significantly
preferred location. In an exploratory analysis, the cecum, ascending colon, and
right flexure were affected significantly more often if intake of a nonsteroidal
antiinflammatory drug (NSAID) is documented. There was no association between the
location of ischemic colitis and a history of smoking, peripheral artery
occlusive disease, coronary heart disease, diabetes, or malignant tumor.
CONCLUSIONS: Ischemic colitis seems not to have a predisposing site of occurrence
in the colorectum, especially Griffith's point which was not afflicted
significantly more often than other sites. Frequently, ischemic colitis afflicts
more than one colonic location. In patients being treated with NSAIDs, ischemic
colitis was observed significantly more often in the right hemicolon. Recurrence
of ischemic colitis seems to be rare.
PMID- 21882033
TI - Catheter fracture of intravenous ports and its management.
AB - BACKGROUND: Intravenous ports are widely used for oncology patients. However,
catheter fractures may lead to the need for re-intervention. We aimed to identify
the risk factors associated with catheter fractures. METHODS: Between January 1
and December 31, 2006, we retrospectively reviewed the clinical data and plain
chest films of 1,505 patients implanted with an intravenous port at Chang Gung
Memorial Hospital. Different vascular sites were compared using the chi-square or
Fisher's exact test for categorical variables, and the t test was used for
continuous variables with normal distribution; P < 0.05 was considered
statistically significant. RESULTS: There were 59 and 1,448 procedures in the
fracture and non-fracture groups, respectively. Monovariate analysis revealed
that the risk factors for catheter fracture were as follows: large angle (P <
0.0001), female gender (P < 0.0008), subclavian route (P < 0.0001), and port type
Arrow French (Fr.) 8.1 (P < 0.0001). Because these risk factors showed no
interaction effects, they were all considered independent risk factors. When all
factors were considered together, all risk factors, except angle and age,
retained their statistical significance. CONCLUSIONS: Most catheter fractures
were caused by material weakness. If catheter fracture is confirmed, further
intervention for port and catheter removal is recommended. Female gender,
intravenous port implantation via the subclavian route, and the Arrow Fr. 8.1
port were found to be risk factors. Patients with these risk factors should be
monitored closely to avoid catheter fractures.
PMID- 21882034
TI - Intraoperative ultrasound with contrast medium in resective pancreatic surgery: a
pilot study.
AB - BACKGROUND: The introduction of contrast-enhanced ultrasound has been a major
innovation in liver and pancreatic imaging. Previous studies have validated its
intraoperative use during liver surgery, while there is a lack of data regarding
its use during pancreatic surgery. The purpose of the present study was to
prospectively evaluate the possible role of contrast-enhanced intraoperative
ultrasound (CEIOUS) during resective pancreatic surgery for primary lesion
characterization and intraoperative staging. MATERIALS AND METHODS: Thirty-four
patients (70% males, mean age 67.9 years) were selected for pancreatic surgery
between October 2006 and July 2009. All patients underwent intraoperative
ultrasound with intravenous injection of 4.8 mL sulfur-hexafluoride microbubbles.
Location of the primary tumor, relation to the main vessels, contrast medium
uptake modalities, presence of liver metastases, and multifocal pancreatic
involvement were evaluated. The majority of operations were
pancreatoduodenectomies (70.6%) performed for pancreatic ductal adenocarcinoma
(64.7%). RESULTS: Additional lesions were detected by ultrasound in six patients
(17.6%: liver metastases in four patients, a hemangioma in one patient, and a
further pancreatic lesion in one patient). In five of these patients (5/34,
14.7%) surgical management was modified by these findings. All these new findings
were diagnosed before injection of contrast medium, except for a metastasis from
a neuroendocrine tumor; the characterization of the hemangioma was possible only
after contrast injection. Intraoperative findings regarding location of primary
tumor, relation to the main vessels, and lesion characterization did not differ
from those obtained with preoperative imaging. CONCLUSIONS: In our experience
intraoperative ultrasound is a valid technique for intraoperative staging prior
to pancreatic resection; it is unclear whether, in pancreatic surgery, the
addition of contrast enhancement adds any benefit to traditional intraoperative
ultrasound.
PMID- 21882035
TI - Management of acute non-cirrhotic and non-malignant portal vein thrombosis: a
systematic review.
AB - BACKGROUND: No definitive evidence exists regarding the treatment of acute portal
vein thrombosis (PVT). Treatment modalities described include conservative
management, anticoagulation, thrombolysis, and thrombectomy. This review examines
the impact of such treatment, its outcomes, and the complications resulting from
the resultant portal hypertension. METHODS: A Medline literature search was
undertaken using the keywords portal vein thrombosis, anticoagulation,
thrombolysis, and thrombectomy. The primary end point was portal vein
recanalization. Secondary outcome measures were morbidity and the development of
portal hypertension and its sequelae, including variceal bleeding. Data from
articles relating to PVT in the context of cirrhosis, malignancy, or liver
transplant were excluded. RESULTS: Early systemic anticoagulation results in
complete portal vein recanalization in 38.3% of cases and partial recanalization
in 14.0% of cases. Spontaneous recanalization without treatment can only be
expected in up to 16.7% of patients. Frequently this is only when associated with
self-limiting underlying pathology and/or minimal thrombus extension.
Thrombolysis can be associated with major complications in up to 60% of patients.
CONCLUSIONS: The natural history of acute PVT is poorly described. Spontaneous
resolution of acute portal vein thrombosis is uncommon. Early anticoagulation
results in a satisfactory rate of recanalization with minimal procedure
associated morbidity. Thrombolysis should be used with caution and only
considered if the disease is progressive and signs of mesenteric ischemia are
present. Further well-designed trials with precise outcome reporting are needed
to improve our understanding of the disease.
PMID- 21882036
TI - PEP-1-frataxin significantly increases cell proliferation and neuroblast
differentiation by reducing lipid peroxidation in the mouse dentate gyrus.
AB - Frataxin plays important roles in the mitochondrial respiratory chain and in the
differentiation of neurons during early development. In this study, we observed
the effects of frataxin on cell proliferation and neuroblast differentiation in
the mouse hippocampal dentate gyrus. For this, we constructed an expression
vector, PEP-1, that was fused with frataxin to create a PEP-1-frataxin fusion
protein that easily penetrated frataxin into the blood-brain barrier. Three mg/kg
PEP-1-frataxin was intraperitoneally administered to mice once a day for 2 weeks.
The administration of PEP-1 alone did not result in any significant changes in
the number of Ki67-positive cells and doublecortin (DCX)-immunoreactive
neuroblasts in the mouse dentate gyrus. However, the administration of PEP-1
frataxin significantly increased the number of Ki67-positive cells and DCX
immunoreactive neuroblasts in the mouse dentate gyrus. In addition, PEP-1
frataxin significantly reduced 4-hydroxynonenal protein levels and
malondialdehyde formation, while Cu, Zn-superoxide dismutase protein levels were
maintained. These results suggest that frataxin effectively increased cell
proliferation and neuroblast differentiation by decreasing lipid peroxidation in
the dentate gyrus.
PMID- 21882038
TI - Mitochondrial bioenergetic profile and responses to metabolic inhibition in human
hepatocarcinoma cell lines with distinct differentiation characteristics.
AB - The classical view of tumour cell bioenergetics has been recently revised. Then,
the definition of the mitochondrial profile is considered of fundamental
importance for the development of anti-cancer therapies, but it still needs to be
clarified. We investigated two human hepatocellular carcinoma cell lines: the
partially differentiated HepG2 and the undifferentiated JHH-6. High resolution
respirometry revealed a marked impairment/uncoupling of OXPHOS in JHH-6 compared
with HepG2, with the phosphorylation system limiting the capacity for electron
transport much more in JHH-6. Blocking glycolysis or mitochondrial ATP synthase
we demonstrated that in JHH-6 ATP synthase functions in reverse and consumes
glycolytic ATP, thereby sustaining DeltaPsim. A higher expression level of ATP
synthase Inhibitor Factor 1 (IF1), a higher extent of IF1 bound to ATP synthase
and a lower ATPase/synthase capacity were documented in JHH-6. Thus, here IF1
appears to down-regulate the reverse mode of ATPsynthase activity, thereby
playing a crucial role in controlling energy waste and DeltaPsim. These results,
while confirming the over-expression of IF1 in cancer cells, are the first to
indicate an inverse link between cell differentiation status and IF1 (expression
level and regulatory function).
PMID- 21882040
TI - Using near real-time morbidity data to identify heat-related illness prevention
strategies in North Carolina.
AB - Timely public health interventions reduce heat-related illnesses (HRIs). HRI
emergency department (ED) visit data provide near real-time morbidity information
to local and state public health practitioners and may be useful in directing HRI
prevention efforts. This study examined statewide HRI ED visits in North Carolina
(NC) from 2008-2010 by age group, month, ED disposition, chief complaint, and
triage notes. The mean number of HRI ED visits per day was compared to the
maximum daily temperature. The percentage of HRI ED visits to all ED visits was
highest in June (0.25%). 15-18 year-olds had the highest percentage of HRI visits
and were often seen for sports-related heat exposures. Work-related HRI ED visits
were more common than other causes in 19-45 year-olds. Individuals >=65 years
were more likely admitted to the hospital than younger individuals. The mean
daily number of HRI ED visits increased by 1.4 for each 1 degrees F (degree
Fahrenheit) increase from 90 degrees F to 98 degrees F and by 15.8 for each 1
degrees F increase from 98 degrees F to 100 degrees F. Results indicate that HRI
prevention efforts in NC should be emphasized in early summer and targeted to
adolescents involved in organized sports, young adults with outdoor occupations,
and seniors. At a maximum daily temperature of 98 degrees F, there was a
substantial increase in the average daily number of HRI ED visits. ED visit data
provide timely, sentinel HRI information. Analysis of this near real-time
morbidity data may assist local and state public health practitioners in
identification of HRI prevention strategies that are especially relevant to their
jurisdictions.
PMID- 21882039
TI - Histologic, immunologic and endocrine biomarkers indicate contaminant effects in
fishes of the Ashtabula River.
AB - The use of fish as sentinels of aquatic ecosystem health is a biologically
relevant approach to environmental monitoring and assessment. We examined the
health of the Ashtabula River using histologic, immunologic, and endocrine
biomarkers in brown bullhead (BB; Ameiurus nebulosus) and largemouth bass
(Micropterus salmoides) and compared fish collected from a reference site
(Conneaut Creek). Seasonal analysis was necessary to distinguish differences in
fish between the two rivers. Overall BB from the Ashtabula River had a lower
condition factor and significantly more macrophage aggregates than those from the
reference site. Reduced bactericidal and cytotoxic-cell activity was observed in
anterior kidney leukocytes from both BB and largemouth bass from the Ashtabula
River. Lower plasma thyroxine and triiodo-L-thyronine in both species in the
Ashtabula River indicated disruption of the thyroid axis. Differences in
physiological biomarker responses were supported by body burden chemical
concentrations when data were analyzed on a seasonal basis. The use of two fish
species added a level of rigor that demonstrated biological effects were not
exclusive to a single species. The results provide strong evidence that
contaminants have affected fish in the Ashtabula River, a Great Lakes Area of
Concern, and provide a baseline by which to evaluate remediation activities.
PMID- 21882041
TI - Nitrogen status dependent oxidative stress tolerance conferred by overexpression
of MnSOD and FeSOD proteins in Anabaena sp. strain PCC7120.
AB - The heterocystous nitrogen-fixing cyanobacterium, Anabaena sp. strain PCC7120
displayed two superoxide dismutase (SOD) activities, namely FeSOD and MnSOD.
Prolonged exposure of Anabaena PCC7120 cells to methyl viologen mediated
oxidative stress resulted in loss of both SOD activities and induced cell lysis.
The two SOD proteins were individually overexpressed constitutively in Anabaena
PCC7120, by genetic manipulation. Under nitrogen-fixing conditions,
overexpression of MnSOD (sodA) enhanced oxidative stress tolerance, while FeSOD
(sodB) overexpression was detrimental. Under nitrogen supplemented conditions,
overexpression of either SOD protein, especially FeSOD, conferred significant
tolerance against oxidative stress. The results demonstrate a nitrogen status
dependent protective role of individual superoxide dismutases in Anabaena PCC7120
during oxidative stress.
PMID- 21882037
TI - Mammalian NADH:ubiquinone oxidoreductase (Complex I) and nicotinamide nucleotide
transhydrogenase (Nnt) together regulate the mitochondrial production of H2O2-
implications for their role in disease, especially cancer.
AB - Mammalian NADH:ubiquinone oxidoreductase (Complex I) in the mitochondrial inner
membrane catalyzes the oxidation of NADH in the matrix. Excess NADH reduces nine
of the ten prosthetic groups of the enzyme in bovine-heart submitochondrial
particles with a rate of at least 3,300 s-1. This results in an overall NADH->O2
rate of ca. 150 s-1. It has long been known that the bovine enzyme also has a
specific reaction site for NADPH. At neutral pH excess NADPH reduces only three
to four of the prosthetic groups in Complex I with a rate of 40 s-1 at 22
degrees C. The reducing equivalents remain essentially locked in the enzyme
because the overall NADPH->O2 rate (1.4 s-1) is negligible. The physiological
significance of the reaction with NADPH is still unclear. A number of recent
developments has revived our thinking about this enigma. We hypothesize that
Complex I and the Deltap-driven nicotinamide nucleotide transhydrogenase (Nnt) co
operate in an energy-dependent attenuation of the hydrogen-peroxide generation by
Complex I. This co-operation is thought to be mediated by the NADPH/NADP+ ratio
in the vicinity of the NADPH site of Complex I. It is proposed that the specific
H2O2 production by Complex I, and the attenuation of it, is of importance for
apoptosis, autophagy and the survival mechanism of a number of cancers.
Verification of this hypothesis may contribute to a better understanding of the
regulation of these processes.
PMID- 21882042
TI - Genome wide gene expression in artificially synthesized amphidiploids of
Arabidopsis.
AB - The merging of two different genomes occurs during the formation of
amphidiploids, and the merged regulatory networks have the potential to generate
a new gene expression pattern. We examined the genome-wide gene expression of two
newly synthesized amphidiploids between Arabidopsis thaliana and the related
species Arabidopsis lyrata subsp. lyrata and Arabidopsis halleri subsp.
gemmifera. 1,137 (4.7%) and 1,316 (5.4%) of probesets showed differential gene
expression in A. thaliana-A. halleri and A. thaliana-A. lyrata hybrids
respectively, compared to the mid parent value and of these, 489 were in common.
Genes that differed in expression between the parental lines tended to have an
expression level in both hybrids differing from the mid parent value. In contrast
to protein coding genes, there is little differential expression of transposons.
Genes in the categories of chloroplast-targeted and response to stress were
overrepresented in the non-additively expressed genes in both amphidiploids. As
these genes have the potential to contribute directly to the plant phenotype, we
suggest that rapid changes of gene expression in amphidiploids might be important
for producing greater biomass.
PMID- 21882043
TI - Kobe earthquake and post-traumatic stress in school-aged children.
AB - BACKGROUND: The psychological reactions to catastrophic events are not known well
in children. PURPOSE: The present study was performed to quantify the core
features of post-traumatic stress reactions in schoolchildren after the Kobe
earthquake. METHODS: Children's psychological reactions to the Kobe earthquake
were examined in a total of 8,800 schoolchildren attending the third, fifth, or
eighth grade in the disaster areas. The control subjects were 1,886
schoolchildren in the same grades in distant areas minimally affected by the
earthquake. A self-report questionnaire was developed with reference to the
Diagnostic and Statistical Manual of Mental Disorders-IV and the post-traumatic
stress disorder reaction index and was used to score psychological reactions
rating them from 1 to 4 depending on the frequency of the symptom. The survey was
conducted four times, from 4 months to 2 years after the earthquake. RESULTS:
Three factors were consistently extracted by factor analysis on the results of
each study. Factor 1 was interpreted as relating to direct fear of the disaster
and general anxiety, factor 2 as relating to depression and physical symptoms,
and factor 3 as social responsibility such as feelings of sympathy for those who
are suffering more severely and guilt for surviving. Young schoolchildren
displayed particularly high scores on these factors. Furthermore, these factors
were significantly associated with injuries of the children themselves,
fatalities/injuries of family members, and the experience of being rescued or
staying in shelters. CONCLUSIONS: Psychological and comprehensive interventions
should be directed at the most vulnerable populations of young children after
future earthquakes.
PMID- 21882044
TI - Nucleotide polymorphisms in the canine Noggin gene and their distribution among
dog (Canis lupus familiaris) breeds.
AB - Noggin (NOG) is an important regulator for the signaling of bone morphogenetic
proteins. In this study, we sequenced the complete coding sequence of the canine
NOG gene and characterized the nucleotide polymorphisms. The sequence length
varied from 717 to 729 bp, depending on the number of a 6-bp tandem repeat unit
(GGCGCG), an insertion that has not been observed in other mammalian NOG genes
investigated to date. It results in extensions of (Gly-Ala)3-5 in the putative
NOG protein. To survey the distribution of these tandem repeat polymorphisms, we
analyzed 126 individuals in seven dog breeds. We identified only three alleles:
(GGCGCG)3, (GGCGCG)4, and (GGCGCG)5. Although the allele frequencies were
remarkably different among the breeds, the three alleles were present in all
seven of the breeds and did not show any deviation from Hardy-Weinberg
equilibrium.
PMID- 21882045
TI - Laparoscopic management of impalpable testes: comparison of different techniques.
AB - PURPOSE: Laparoscopy is an important modality for management of impalpable
testes. We present long-term outcomes of intra-abdominal testes managed by either
single stage orchidopexy or two-stage Fowler Stephen's orchidopexy (FSO) over 12
years. METHODS: Data were prospectively collected and retrospectively analyzed on
patients who underwent laparoscopy for impalpable testes between 1998 and 2010.
Demographic data, intra-operative findings, management, histology and follow-up
findings were collected and analyzed. Fisher's Exact test was used for
statistical analysis. RESULTS: Laparoscopy was performed for 168 impalpable
testes (78 left, 58 right and 16 bilateral). Patients were between 8 months and
15 years of age (median 1 year 10 months). Ninety-three testes were found to have
cord structures entering the inguinal ring (canalicular), 65 were intra-abdominal
and 10 had blind ending vas and/or vessel. Fifty-seven (34%) testes were atrophic
and underwent orchidectomy; 100 (60%) testes underwent orchidopexy: either two
stage FSO (48) or single stage orchidopexy (52) and 10 (7%) had findings
consistent with 'vanishing testes'. Histopathologically, the excised remnants
(34%) showed no viable testicular tissue. The follow-up was a median of 8 months
(3 months to 6 years). Four patients were lost to follow-up (two each after FSO
and single stage orchidopexy) while, two FSO are awaiting follow-up. At follow
up, 36/44 testes (FSO) and 13/13 testes (single stage orchidopexy) are in the
scrotum and of good size. Eight testes had atrophied after two-staged FSO.
CONCLUSION: Canalicular testes are often difficult to palpate (55%). Laparoscopy
allows direct visualization and definitive management. There is no statistically
significant difference between the results following single stage orchidopexy or
two-stage FSO for impalpable testes.
PMID- 21882046
TI - Analysis of the protective potential of antigens released by Leishmania (Viannia)
shawi promastigotes.
AB - Leishmania (Viannia) shawi causes cutaneous lesions in humans. Parasite antigens
conferring significant protection against American tegumentar leishmaniosis (ATL)
might be important for the development of effective vaccine. Therefore, this work
evaluates the protective effect of antigenic fractions released by L. shawi.
Antigens released by promastigotes to culture medium were concentrated and
isolated by SDS-PAGE. The three main fractions LsPass1 (>75 kDa), LsPass2 (75-50
kDa) and LsPass3 (<50 kDa) were electro-eluted according with their molecular
mass. Immunized BALB/c mice were challenged with L. shawi promastigotes and the
course of infection monitored during 5 weeks. LsPass1-challenged mice showed no
protection, however, a strong degree of protection associated to smaller lesions
and high expression of IFN-gamma and TNF-alpha by CD4(+) T, CD8(+) T and double
negative CD4CD8 cells was achieved in LsPass3-challenged mice. Furthermore,
LsPass2-challenged mice showed an intermediated degree of protection associated
to high levels of IFN-gamma, IL-4 and IL-10 mRNA. In spite of increased
expression of IFN-gamma and TNF-alpha, high amounts of IL-4 and IL-10 mRNA were
also detected in LsPass3-challenged mice indicating a possible contribution of
these cytokines for the persistence of a residual number of parasites that may be
important in inducing long-lasting immunity. Therefore, LsPass3 seems to be an
interesting alternative that should be considered in the development of an
effective vaccine against ATL.
PMID- 21882047
TI - Identification of CD44+CD24+ gastric cancer stem cells.
AB - OBJECTIVE: Purification and characterization of cancer stem cells (CSCs) can lead
to the identification of targets for therapeutic interventions of cancer. With
regard to gastric cancer, studies have not yet defined and characterized CSCs.
METHODS: The expression of the cell surface markers CD44 and CD24 was examined in
gastric cell lines AGS and gastric cancer tissues from five patients with
fluorescence-activated cell sorting analysis (FACS). The tumorigenic properties,
self-renewal, and differentiated progeny in the two distinct cell populations
CD44+CD24+ and CD44-CD24- were identified in vivo serial transplantation and in
vitro culture. Real-time RT-PCR was used to assess the expression of sonic
hedgehog (SHH), patched 1 (PTCH1), and GLI3 signaling molecules in CD44+CD24+ and
CD44-CD24- cells. RESULTS: As few as 200 CD44+CD24+ cells injected in NOD-SCID
mice were able to generate tumors in 50% of mice (6 of 12), while tumors did not
form in mice until at least 10,000 CD44-CD24- cells were injected, where only one
of 12 mice formed a tumor, further verifying that CD44+CD24+ gastric cancer cells
have the capacity to both self-renew and produce differentiated progeny.
Moreover, SHH, PTCH1, and GLI3 mRNA expression increased significantly in the
CD44+CD24+ subpopulation when compared with the CD44-CD24- subpopulation.
CONCLUSIONS: These studies strongly suggest that the CD44+CD24+ subpopulation of
human gastric cancer cell lines, AGS, is gastric cancer stem cells.
PMID- 21882048
TI - ID4 is frequently downregulated and partially hypermethylated in prostate cancer.
AB - PURPOSE: The candidate tumor suppressor ID4 is downregulated in various cancers
by DNA hypermethylation. We have performed the first systematic analysis of ID4
expression and methylation in prostate cancer. METHODS: ID4 mRNA expression was
analyzed by quantitative RT-PCR in 47 carcinoma and 13 benign prostatic tissues
obtained by prostatectomy. Methylation was analyzed in an extended series of
samples by methylation-specific MS-PCR and pyrosequencing, controlled by
bisulfite sequencing. RESULTS: ID4 expression was significantly decreased in
prostate cancers, especially in cases with adverse clinical and histopathological
features and earlier recurrence. Hypermethylation in carcinomas was detected by
MS-PCR and pyrosequencing, but the results of the two techniques were not fully
concordant. The difference was created by generally partial and heterogeneous
methylation. Weak methylation was also detected in benign prostatic tissue
samples. CONCLUSIONS: ID4 downregulation may contribute to prostate cancer
pathogenesis and is often accompanied by DNA hypermethylation. The case of ID4
illustrates exemplarily the limits and pitfalls of techniques for the detection
of methylation changes in prostate cancer tissues.
PMID- 21882049
TI - Effect of chemical chaperones on glucose-induced lysozyme modifications.
AB - Nonenzymatic glycation of biomacromolecules occurs due to the diabetes mellitus
and ageing. A number of small molecules, known as chemical chaperones, stabilize
protein conformation against thermal and chemically induced denaturation. These
compounds are including: polyamines (e.g. spermine and spermidine), amino acids
(e.g. lysine) and polyols (e.g. glycerol). In this study the effect of spermidine
(Spd), spermine (Spm), and glycerol on glycation, structure and function of
lysozyme (LZ), as an extra-cellular protein, by different techniques is
investigated. LZ is incubated with or without glucose (50 or 100 mM) in the
absence or presence of Spd/Spm/glycerol at 37 degrees C up to 16 weeks. All the
observed changes of glycated-LZ in comparison with the native protein, including:
increased fluorescence emission, alteration in the secondary and tertiary
structure, and reduced electrophoretic mobility- indicate its structural changes
that are accompanied with its reduced activity. Glucose in the presence or
absence of Spd induces the protein dimerization, but glucose plus Spm induces its
trimmerization. In contrast, glycerol inhibits the LZ glycation and prevents the
large changes on its structure and function. Glucose binds lysine residues,
decreases the protein positive charges and induces some alterations in its
structure and activity. Polyamines also directly bind to LZ, increase its
positive charges and hence induce more glycation; more conformational changes,
oligomerization and its inactivation in the presence of glucose, but glycerol
affect the protein environment and preserve protein from these harmful effects.
PMID- 21882050
TI - CHO proteome alterations induced by reverse transformation.
PMID- 21882051
TI - Alleviation of salt stress in lemongrass by salicylic acid.
AB - Soil salinity is one of the key factors adversely affecting the growth, yield,
and quality of crops. A pot study was conducted to find out whether exogenous
application of salicylic acid could ameliorate the adverse effect of salinity in
lemongrass (Cymbopogon flexuosus Steud. Wats.). Two Cymbopogon varieties, Krishna
and Neema, were used in the study. Three salinity levels, viz, 50, 100, and 150
mM of NaCl, were applied to 30-day-old plants. Salicylic acid (SA) was applied as
foliar spray at 10(-5) M concentration. Totally, six SA-sprays were carried out
at 10-day intervals, following the first spray at 30 days after sowing. The
growth parameters were progressively reduced with the increase in salinity level;
however, growth inhibition was significantly reduced by the foliar application of
SA. With the increase in salt stress, a gradual decrease in the activities of
carbonic anhydrase and nitrate reductase was observed in both the varieties. SA
treatment not only ameliorated the adverse effects of NaCl but also showed a
significant improvement in the activities of these enzymes compared with the
untreated stressed-plants. The plants supplemented with NaCl exhibited a
significant increase in electrolyte leakage, proline content, and phosphoenol
pyruvate carboxylase activity. Content and yield of essential oil was also
significantly decreased in plants that received salinity levels; however, SA
overcame the unfavorable effects of salinity stress to a considerable extent.
Lemongrass variety Krishna was found to be more adapted to salt stress than
Neema, as indicated by the overall performance of the two varieties under salt
conditions.
PMID- 21882052
TI - Is there a role for clinical practice guidelines in multidisciplinary tumor board
meetings? A descriptive study of knowledge transfer between research and
practice.
AB - The aim of this study was to characterize practice patterns and decision-making
processes of healthcare providers attending weekly neuro-oncology tumor board
meetings, and to assess their familiarity with clinical practice guidelines
(CPGs) in neuro-oncology. Members of the Neuro-Oncology Tumor Team at two
tertiary cancer centers completed a web-based questionnaire assessing
characteristics of weekly tumor board meetings and perceptions of CPGs. Twenty
three (66%) tumor team members responded. Diagnostic imaging results and
interpretation, medical, surgical, and/or radiation treatment planning, and
pathology results and interpretation were the most commonly identified aspects of
patient care discussed at tumor board meetings, and almost all respondents
indicated that these meetings were "very beneficial" to their own practice. When
deciding on a treatment plan, respondents rely most on the clinical expertise of
colleagues, medical literature, personal experience, active clinical trial
protocols, and published CPGs. Opinions of the local CPGs varied considerably,
and while 56% of respondents supported regular discussion of them during
meetings, only 32% indicated that they were routinely reviewed. Updating the
literature more frequently, implementing a formal grading system for the
evidence, and incorporating clinical care pathways were the most frequently cited
methods to improve the CPGs. Tumor board meetings are beneficial to the treatment
planning process for neuro-oncology patients.
PMID- 21882053
TI - Sociosexuality predicts women's preferences for symmetry in men's faces.
AB - Although men displaying cues of good physical condition possess traits that are
desirable in a mate (e.g., good health), these men are also more likely to
possess antisocial characteristics that are undesirable in a long-term partner
(e.g., aggression and tendency to infidelity). How women resolve this trade-off
between the costs and benefits associated with choosing a mate in good physical
condition may lead to strategic variation in women's mate preferences. Because
the costs of choosing a mate with antisocial personality characteristics are
greater in long- than short-term relationships, women's sociosexuality (i.e., the
extent to which they are interested in uncommitted sexual relationships) may
predict individual differences in their mate preferences. Here we investigated
variation in 99 heterosexual women's preferences for facial symmetry, a
characteristic that is thought to be an important cue of physical condition.
Symmetry preferences were assessed using pairs of symmetrized and original (i.e.,
relatively asymmetric) versions of 10 male and 10 female faces. Analyses showed
that women's sociosexuality, and their sociosexual attitude in particular,
predicted their preferences for symmetry in men's, but not women's, faces; women
who reported being more interested in short-term, uncommitted relationships
demonstrated stronger attraction to symmetric men. Our findings present new
evidence for potentially adaptive variation in women's symmetry preferences that
is consistent with trade-off theories of attraction.
PMID- 21882054
TI - Condom use errors and problems in a national sample of young Croatian adults.
AB - In this study, we examined the correlates of condom use errors and problems in a
population-based study conducted in 2010 among young Croatian adults aged 18-25
years. Out of a total sample of 1,005 participants, 679 reported condom use in
the preceding year. The analyses focused on four outcomes: condom breakage,
condom slippage, condom-related erection loss, and delayed condom application.
Eighteen percent of participants experienced breakage, 13% reported slippage, 17%
reported erection loss, and 34% applied a condom after intercourse started.
Multivariate logistic regression analyses were performed to examine the
correlates of these condom use errors and problems. Condom breakage was less
likely to be reported by women and older participants. The odds of breakage were
increased for participants who reported being under the influence of drugs during
sex and who reported other condom use errors and problems in the past year.
Condom slippage was more likely to occur among younger participants and those who
reported condom breakage and delayed condom application. Condom-related erection
loss was positively associated with a higher number of sexual partners in the
preceding year, condom breakage, and a higher score on the Anti-Erotic Obstacles
to Condom Use Scale. Odds of delayed condom application were increased for
participants who experienced condom breakage and for those who consumed alcohol
before sex in the past year. Having used a condom at first sex significantly
reduced the odds of applying a condom after intercourse started. In comparison to
non-habitual condom users, habitual users were found less likely to report any of
the assessed condom use errors and problems. Improving condom use skills remains
an important task in Croatia, which is currently hampered by the absence of
evidence-based sex education in schools.
PMID- 21882055
TI - Solastalgia and the gendered nature of climate change: an example from Erub
Island, Torres Strait.
AB - This communication focuses on respected older womens' ('Aunties') experiences of
climate and other environmental change observed on Australia's Erub Island in the
Torres Strait. By documenting these experiences, we explore the gendered nature
of climate change, and provide new perspectives on how these environmental
impacts are experienced, enacted and responded to. The way these adverse changes
affect people and places is bound up with numerous constructions of difference,
including gender. The responses of the Aunties interviewed to climate change
impacts revealed Solastalgia; feelings of sadness, worry, fear and distress,
along with a declining sense of self, belonging and familiarity.
PMID- 21882056
TI - Phenytoin, levetiracetam, and pregabalin in the acute management of refractory
status epilepticus in patients with brain tumors.
AB - BACKGROUND: There were nearly 700,000 patients in the United States in 2010
living with brain tumor diagnoses. The incidence of seizures in this population
is as high as 70% and is historically difficult to control. Approximately 30-40%
of brain tumors patients who present with status epilepticus (SE) will not
respond to typical therapy consisting of benzodiazepines and phenytoin (PHT),
resulting in patients with refractory status epilepticus (RSE). RSE is usually
treated with anesthetic doses of propofol or midazolam infusions. This therapy
can have significant risk, particularly in patients with cancer. METHODS: A
retrospective chart review was performed on 23 patients with primary or
metastatic brain tumors whose SE was treated with intravenous PHT, levetiracetam
(LEV), and oral pregabalin (PGB). RESULTS: In all the patients under study, PHT
or LEV was used as first-line therapy. PGB was typically used as third-line
treatment. The median daily dose of PGB was 375 mg (usually divided BID or TID),
and the median daily dose of LEV 3000 mg (usually divided BID). Cessation of SE
was seen in 16/23 (70%) after administration of PHT, LEV, and PGB. SE was
aborted, on average, 24 h after addition of the third antiepileptic drug. Only
one patient in the responder group required intubation. Mortality rate was zero
in the responder group. No adverse reactions to this medication regimen were
observed. CONCLUSION: Our study suggests that the administration of PHT, LEV, and
PGB in brain tumor patients with RSE is safe and highly effective.
PMID- 21882057
TI - Religious orientation and health among active older adults in the United States.
AB - This study utilizes a combination of intrinsic and extrinsic Religious
Orientation Scales to explore the connection between religion and health in a
sample of physically active, older adults. The revised Religious Orientation
Scale and the RAND Short Form 36 (SF-36) were adopted to relate religious
orientation (intrinsic, extrinsic, pro-religious, and non-religious) and self
rated mental and physical health status. Individuals of pro-religious orientation
reported significantly worse health for physical functioning, role limitations
due to physical health, and energy or fatigue when compared with those of all
other religious orientations; however, no dose-response relationships were found
between religious orientation and self-rated health. The results of this study
indicate that deleterious health effects may accompany pro-religious orientation.
Caution is provided for directors of religious programs for older adults.
PMID- 21882058
TI - [Unruptured intracranial aneurysms].
AB - The incidental discovery of an asymptomatic intracranial arterial aneurysm is no
longer uncommon. It can change a person's life. Decision-making should be guided
by a thorough comparison of the risks associated with the natural course and with
microsurgical or endovascular intervention. At present this comparison will favor
a conservative approach in the majority of patients, especially in those with
small aneurysms or who are over 50 years old.
PMID- 21882059
TI - [Cerebral hemiatrophy without serious psychopathological symptoms].
PMID- 21882060
TI - [Quality features of German memory clinics: a cross-sectional study].
AB - BACKGROUND: Although memory clinics have become an established institution for
diagnosing and treating dementia in Germany over the years, little is known about
their quality features. Considering the increasing societal relevance of
dementia, memory clinics will most likely gain in importance in the future.
MATERIAL AND METHODS: Addresses of German memory clinics were obtained by an
online search. All institutions were surveyed via questionnaire with items
regarding structural characteristics (designation, affiliation, services offered,
funding) and quality features (waiting times, disease severity at diagnosis).
RESULTS: The results of the inquiry of all institutions (n=153, response: 48%)
show memory clinics as specialised institutions that have a low degree of
standardisation, but basically have a high structural quality for diagnostic and
therapeutic care. CONCLUSION: The development of homogeneous structure and
process standards could help to establish memory clinics in regular care and to
establish sustainable funding. In order to achieve this, an even more intensive
collaboration and consensus building of all German memory clinics would be needed
as well as a coordinated representation of interests.
PMID- 21882061
TI - Iterative generation of diagnostic categories through production and practice:
the case of postpartum depression.
AB - Examining the process undertaken to name and codify psychiatric illnesses
provides important insights into how everyday healthcare practices are shaped by
knowledge production processes. However, studies of illness classification often
rely on an overly simplified distinction between the production of diagnostic
categories and the application of those categories in practice. Drawing insight
from science and technology studies, I argue that psychiatric diagnostic
categories are iteratively generated through production and practice, even during
the development of those categories. Through a discursive analysis of interviews,
archival documents, and psychiatric literature, I identify the practical politics
that enabled the creation of the postpartum depression (PPD) modifier in the
Diagnostic and Statistical Manual of Mental Disorders, version four (DSM-IV). In
addition, I demonstrate how the overarching discourses of evidence-based decision
making and biomedicine shaped the development of the postpartum modifier, and
draw together comments made by interview participants regarding the
administrative value of a PPD-related category in the DSM. These remarks suggest
that, in their practice, researchers and clinicians also take into consideration
their own knowledge about DSM production processes, providing further support for
the argument that diagnostic categories are iteratively generated.
PMID- 21882063
TI - Care experience-based methodologies: performance improvement roadmap to value
driven health care.
AB - BACKGROUND: The literature contains proposals for creating value by creating
exceptional patient experiences rather than simply improving services. However,
few articles describe replicable applications focused on the patient experience.
QUESTIONS/PURPOSES: We (1) describe the refinement and exportation of an approach
that focuses on the patient and family experience; and (2) report changes in
patient satisfaction, infection rates, length of stay, mortality rates, clinical
indicators, staff turnover, and cost. METHODS: The Patient and Family-Centered
Care Methodology and Practice (PFCC M/P) is a six-step process: (1) selecting a
care experience needing improvement; (2) establishing a guiding council; (3)
evaluating the current state; (4) developing a permanent working group; (5)
creating a shared vision of the ideal experience; and (6) identifying improvement
projects to address the gap between the current and ideal experience. We assessed
patient satisfaction, changes in clinical indicators, staff turnover, and cost in
three clinical programs. RESULTS: In TJA, patient satisfaction is at the 99th
percentile; length of stay, infection rates, and mortality rates are
substantially better than the national average. In trauma, patient satisfaction
increased, time in cervical collars decreased, staff turnover decreased, and the
incidence of lost patient belongings was eliminated. In orthopaedic spine,
patient satisfaction is higher than the national average, average time for
transfer to bed decreased (%), length of stay decreased, and average discharge
time decreased. Each of these would have a positive impact on cost. CONCLUSIONS:
PFCC M/P offers a road map for redefining value as what is important to patients
and families.
PMID- 21882062
TI - Transcriptomes of the major human pancreatic cell types.
AB - AIMS/HYPOTHESIS: We sought to determine the mRNA transcriptome of all major human
pancreatic endocrine and exocrine cell subtypes, including human alpha, beta,
duct and acinar cells. In addition, we identified the cell type-specific
distribution of transcription factors, signalling ligands and their receptors.
METHODS: Islet samples from healthy human donors were enzymatically dispersed to
single cells and labelled with cell type-specific surface-reactive antibodies.
Live endocrine and exocrine cell subpopulations were isolated by FACS and gene
expression analyses were performed using microarray analysis and quantitative RT
PCR. Computational tools were used to evaluate receptor-ligand representation in
these populations. RESULTS: Analysis of the transcriptomes of alpha, beta, large
duct, small duct and acinar cells revealed previously unrecognised gene
expression patterns in these cell types, including transcriptional regulators
HOPX and HDAC9 in the human beta cell population. The abundance of some
regulatory proteins was different from that reported in mouse tissue. For
example, v-maf musculoaponeurotic fibrosarcoma oncogene homologue B (avian)
(MAFB) was detected at equal levels in adult human alpha and beta cells, but is
absent from adult mouse beta cells. Analysis of ligand-receptor interactions
suggested that EPH receptor-ephrin communication between exocrine and endocrine
cells contributes to pancreatic function. CONCLUSIONS/INTERPRETATION: This is the
first comprehensive analysis of the transcriptomes of human exocrine and
endocrine pancreatic cell types-including beta cells-and provides a useful
resource for diabetes research. In addition, paracrine signalling pathways within
the pancreas are shown. These results will help guide efforts to specify human
beta cell fate by embryonic stem cell or induced pluripotent stem cell
differentiation or genetic reprogramming.
PMID- 21882064
TI - Higher expression of myosin heavy chain IIx in wrist flexors in cerebral palsy.
AB - BACKGROUND: Children with cerebral palsy (CP) use their paretic arm less than
normal but have a relative overactivity of wrist flexors, causing an impairing
flexed position of the wrist. Voluntary use of a muscle downregulates myosin
heavy chain (MyHC) IIx, but it is unclear whether the relative overactivity of
wrist flexors and extensors in children with CP affects MyHC expression compared
to normal subjects. QUESTIONS/PURPOSES: We therefore asked whether MyHC
expression composition differs in wrist flexors compared to extensors in children
with CP and in controls and whether it is related to clinical findings. METHODS:
We took muscle biopsies from wrist flexors and extensors during hand surgery in
children with CP (n = 9) and during open reduction of forearm fractures in
control children (n = 5). The expression of the MyHC I, IIa, and IIx isoforms
were determined on silver-stained 6% SDS-PAGE. RESULTS: CP flexors showed a
higher proportion of MyHC IIx (40%) than control flexors (16%) and CP extensors
(20%). MyHC IIa isoform proportion was lower in CP flexors (27%) than in control
flexors (46%) and in CP extensors (45%). MyHC I expression was lower in CP (36%)
than in controls (46%) for wrist extensors only. CONCLUSIONS: Both the brain
injury in CP and the different demands on flexors and extensors affect the
expression of MyHCs. The higher amount of MyHC IIx in CP could be caused by a
decreased voluntary use of the hemiplegic arm. CLINICAL RELEVANCE: More
information on the structural difference between flexors and extensors in normal
and spastic muscle could improve the understanding of strain of wrist extensors
and possibly the development of flexion contractures in CP.
PMID- 21882066
TI - Trace elements in fingernails of healthy Chinese centenarians.
AB - Trace element concentrations in body tissues of healthy centenarians have not
been widely analyzed, yet they can be used as reference data leading to improved
assessment of the aging process and monitoring of the micronutrient status of
this age group. The present study sought to assess trace element concentrations
and behaviors in the fingernails of healthy Chinese centenarians. The effects of
gender on element concentrations, which also play an important role in
determining the lifespan, were also investigated. Trace elements (Ba, Cd, Co, Cr,
Cu, Fe, Li, Mn, Mo, Ni, Pb, Se, Sr, and Zn) in the fingernails of 78 healthy
Chinese centenarians were determined by inductively coupled plasma mass
spectrometry. The overall reference values obtained in milligram per kilogram are
as follows: Ba, 5.10; Cd, 0.031; Co, 0.101; Cr, 0.82; Cu, 3.71; Fe, 154.35; Li,
0.31; Mn, 3.09; Mo, 0.040; Ni, 0.95; Pb, 1.86; Se, 0.44; Sr, 6.20; and Zn,
147.96. Data analysis showed that only Cr and Se concentrations show a normal
distribution, and no significant difference between male and female groups was
found for any element except Cr. Result also revealed that sufficient Se, Co, and
Zn as well as lower or lack of exposure to Cr contribute positively to the
lifespan of centenarians. The results suggest that regulating in vivo contents of
trace elements, especially Se, Co, and Zn, is reasonable to intervene with
geriatric diseases.
PMID- 21882065
TI - Changes in small intestinal morphology and digestive enzyme activity with oral
administration of copper-loaded chitosan nanoparticles in rats.
AB - The experiment was conducted to evaluate the effect of copper-loaded chitosan
nanoparticles on the small intestinal morphology and activities of digestive
enzyme and mucosal disaccharase in rats. Forty male Sprague-Dawley rats, with
average body weight of 82 g, were randomly allotted to five groups (n = 8). All
rats were received a basal diet (control) or the same basal diet added with 80
mg/kg BW CuSO(4), 80 mg/kg BW chitosan (CS-I), 80 mg/kg BW copper-loaded chitosan
nanoparticles (CSN-I), 160 mg/kg BW copper-loaded chitosan nanoparticles (CSN
II), respectively. The experiment lasted 21 days. The results showed that the
villus heights of the small intestinal mucosa in groups CSN-I and CSN-II were
higher than those of the control, group CuSO(4) or CS-I. The crypt depth of
duodenum and ileum mucosa in group CSN-I or CSN-II was depressed. Compared with
the control, there were no significant effects of CuSO(4) or CS-I on the villus
height and crypt depth of small intestinal mucosa. Supplementation with CSN
improved the activities of trypsin, amylase and lipase in the small intestinal
contents and maltase, sucrase and lactase of duodenum, jejunum, and ileum mucosa
while there were no significant effects of CuSO(4) on the digestive enzyme
activities of the small content compared with the control. The results indicated
that intestinal morphology, activities of digestive enzyme in digesta and mucosal
disaccharase were beneficially changed by treatment of copper-loaded chitosan
nanoparticles.
PMID- 21882067
TI - Effects of oxidized cooking oil and alpha-lipoic acid on blood antioxidants:
enzyme activities and lipid peroxidation in rats fed a high-fat diet.
AB - The effects of administration of oxidized rapeseed oil and alpha-lipoic acid on
activities of blood antioxidant enzymes and malondialdehyde (MDA) concentration
were studied in laboratory rats fed a high-fat diet. Addition of oxidized oil
resulted in increased production of oxygen radicals, evidenced by elevated plasma
MDA production. Such effect was counteracted by administration of alpha-lipoic
acid. There was an increase of the activities of superoxide dismutase (total and
Cu/Zn-SOD) and catalase in rats fed a high-fat diet to which 10% oxidized oil was
added. Administration of alpha-lipoic acid resulted in a decrease of the
activities of these enzymes.
PMID- 21882068
TI - Dietary high vanadium causes oxidative damage-induced renal and hepatic toxicity
in broilers.
AB - The purpose of this study was to investigate the renal and hepatic oxidative
damage and toxicity caused by dietary high vanadium in broilers. A total of 420
one-day-old avian broilers were divided into six groups and fed on a corn-soybean
basal diet as control diet (vanadium 0.073 mg/kg), and five high vanadium diets
(vanadium 5 mg/kg, high vanadium group I; 15 mg/kg, high vanadium group II; 30
mg/kg, high vanadium group III; 45 mg/kg, high vanadium group IV; and 60 mg/kg,
high vanadium group V) throughout the experimental period of 42 days. The results
showed that the renal and hepatic superoxide dismutase (SOD) and glutathione
peroxidase (GSH-Px) activities, ability to inhibit hydroxy radical, and
malondialdehyde (MDA), glutathione, and vanadium contents were not significantly
changed in high vanadium group I and II when compared with those of the control
groups. However, the SOD and GSH-Px activities, ability to inhibit hydroxy
radical, and GSH content were significantly decreased, and the MDA and vanadium
contents were markedly increased in high vanadium groups III, IV, and V. At the
same time, the lesions were also observed in the kidney and liver of high
vanadium groups III, IV, and V. The renal tubular epithelial cells showed
granular degeneration and vacuolar degeneration, and hepatocytes showed granular
degeneration, vacuolar degeneration, and fatty degeneration. It was concluded
that dietary vanadium in the range of 30-60 mg/kg could cause oxidative damage
and vanadium accumulation, which induced renal and hepatic toxicity and lesions.
The renal and hepatic function was finally impaired in boilers.
PMID- 21882069
TI - Relationships between respiratory function disorders and serum copper levels in
copper mineworkers.
AB - The aim of this study was to investigate the respiratory function disorders that
could be related to dust exposure during the production of copper mine in copper
mineworkers (CMWs). The study included 75 male CMWs (mean age, 32.0 +/- 7.1
years, 58.6% smokers) and 75 male age- and smoking status-matched healthy control
subjects. Serum Cu level was significantly higher in the CMW group (0.80 +/- 0.62
MUg/ml) than the control group (0.60 +/- 0.39 MUg/ml) (p = 0.017). Significant
negative correlations were found between serum Cu level and forced expiratory
volume in first second (r = -0.600; p < 0.001) and between serum Cu level and
forced vital capacity (r = -0.593; p = <0.001) in CMWs. Serum Cu level was
significantly higher in the restrictive type pulmonary function disorders group
(1.36 +/- 0.62 MUg/ml) than obstructive type (0.90 +/- 0.55 MUg/ml) and normal
pulmonary function pattern group (0.53 +/- 0.43 MUg/ml) (p < 0.001). Patients
with radiological parenchymal abnormalities had significantly higher serum copper
levels than those without abnormalities (1.53 +/- 0.52 vs. 0.71 +/- 0.52 MUg/ml,
respectively; p = 0.002). In conclusion, result of the study has shown a negative
association between pulmonary functions disorders and radiological abnormalities
and serum Cu levels in CMWs.
PMID- 21882070
TI - Stimulation of fibroblast proliferation by insoluble gadolinium salts.
AB - The purpose of this study was to assess insoluble salts containing gadolinium
(Gd(3+)) for effects on human dermal fibroblasts. Responses to insoluble Gd(3+)
salts were compared to responses seen with Gd(3+) solubilized with organic
chelators, as in the Gd(3+)-based contrast agents (GBCAs) used for magnetic
resonance imaging. Insoluble particles of either Gd(3+) phosphate or Gd(3+)
carbonate rapidly attached to the fibroblast cell surface and stimulated
proliferation. Growth was observed at Gd(3+) concentrations between 12.5 and 125
MUM, with toxicity at higher concentrations. Such a narrow window did not
characterize GBCA stimulation. Proliferation induced by insoluble Gd(3+) salts
was inhibited in the presence of antagonists of mitogen-activated protein kinase
and phosphatidylinositol 3-kinase signaling pathways (similar to chelated Gd(3+))
but was not blocked by an antibody to the platelet-derived growth factor receptor
(different from chelated Gd(3+)). Finally, high concentrations of the insoluble
Gd(3+) salts failed to prevent fibroblast lysis under low-Ca(2+) conditions,
while similar concentrations of chelated Gd(3+) were effective. In conclusion,
while insoluble Gd(3+) salts are capable of stimulating fibroblast proliferation,
one should be cautious in assuming that GBCA dechelation must occur in vivo to
produce the profibrotic changes seen in association with GBCA exposure in the
subset of renal failure patients that develop nephrogenic systemic fibrosis.
PMID- 21882071
TI - (+/-)-catechin, a root exudate of the invasive centaurea stoebe lam. (Spotted
knapweed) exhibits bacteriostatic activity against multiple soil bacterial
populations.
AB - Understanding the effects of allelopathic plant chemicals on soil microorganisms
is critical to understanding their ecological roles and importance in exotic
plant invasion. Centaurea stoebe Lam. (spotted knapweed), an aggressive invasive
weed in North America, secretes a racemic mixture of (+/-)-catechin as a root
exudate. This enantiomeric, polyphenolic compound has been reported to have
allelopathic effects on surrounding flora and microflora. To better understand
how catechin affects microbial communities in the root zone of spotted knapweed,
we assessed its impact on the total culturable bacterial component and numerous
individual bacterial populations from Romanian (native range) and Montana
(invaded range) soils. Catechin suppressed total culturable count numbers from
the bacterial community and inhibited growth of some, but not all, soil bacterial
populations tested. The native soil bacterial community was significantly more
resistant to inhibitory effects of catechin than either the invaded or non
invaded soils. We further show that the inhibitory effect of catechin on nine
different soil bacterial strains from seven genera was reversible, demonstrating
that it acts via a bacteriostatic rather than bactericidal mechanism. These
findings suggest that catechin might affect bacterial community composition and
activity in the root zone.
PMID- 21882072
TI - A comparison of the social and sexual networks of crack-using and non-crack using
African American men who have sex with men.
AB - The role of crack cocaine in accelerating the HIV epidemic among heterosexual
populations has been well documented. Little is known about crack use as an HIV
risk factor among African American men who have sex with men (AA MSM), a group
disproportionately infected with HIV. We sought to compare the social and sexual
network characteristics of crack-using and non-crack using AA MSM in Baltimore,
MD, USA and to examine associations of crack use with sexual risk. Participants
were recruited using street-based and internet-based outreach, printed
advertisements, word of mouth. Inclusion criteria were being aged 18 years or
older, African American or of black race/ethnicity, and have self-reported sex
with another male in the prior 90 days. Crack use was operationalized as self
report of crack in the prior 90 days. Logistic regression was used to identify
variables that were independently associated with crack use. Of 230 enrolled AA
MSM, 37% (n = 84) reported crack use. The sexual networks of crack-using AA MSM
were composed of a greater number of HIV-positive sex partners, exchange
partners, and partners who were both sex and drug partners and fewer networks
with whom they always use condoms as compared to non-crack using AA MSM. Crack
use was independently associated with increased odds of bisexual identity and
networks with a greater number of exchange partners, overlap of drug and sex
partners, and lesser condom use. Results of this study highlight sexual network
characteristics of crack-smoking AA MSM that may promote transmission of HIV. HIV
interventions are needed that are tailored to address the social context of crack
smoking AA MSM risk behaviors.
PMID- 21882073
TI - Accelerating microfluidic immunoassays on filter membranes by applying vacuum.
AB - This paper describes a vacuum-accelerated microfluidic immunoassay (we abbreviate
it as VAMI) by sandwiching a filter membrane between a two-layer chip. A direct
assay of IgG demonstrated that VAMI could simultaneously achieve higher
sensitivity and require less time compared with conventional microfluidic
immunoassays. We further applied VAMI to carry out a 3-step competitive assay
(including antigen immobilization, competitive reaction and 2(nd) antibody
reaction) for detecting the illegal food additive Sudan Red. A total assay time
of 15 min with a limit of detection (LOD) of 1 ng ml(-1) is achieved.
PMID- 21882075
TI - Bronchoalveolar lavage total cell count in interstitial lung diseases--does it
matter?
AB - Bronchoalveolar lavage (BAL) is a useful technique for differential diagnosis of
various interstitial lung diseases (ILDs) and is usually realized by analysis of
the differential cell count. This study was conducted to estimate the value of
bronchoalveolar lavage fluid (BALF) total cell count (TCC) in the diagnosis of
ILD. We analyzed 237 BAL samples from patients with ILD: sarcoidosis (SA),
idiopathic pulmonary fibrosis (IPF), cryptogenic organizing pneumonia (COP),
hypersensitivity pneumonitis (HP), chronic eosinophilic pneumonia (CEP), and
smoking-related ILD (sr-ILD). The control group consisted of 30 healthy
volunteers. The statistical analysis revealed significant differences in the BALF
TCC between healthy controls and patients with SA, IPF, HP, COP, sr-ILD, and
eosinophilic disorders (mean values 6.9 vs. 14.5, 22.5, 22.8, 20.7, 64.5, and
27.3 * 10(6), respectively). Logistic regression revealed a significant relation
between the TCC and ILD diagnosis. We conclude that the TCC, as well as the value
of total number of inflammatory cells, should be reported in the description of
BAL.
PMID- 21882074
TI - Proteasome inhibition prevents development of experimental dermal fibrosis.
AB - Scleroderma is a chronic fibrotic disorder. Bortezomib, a proteasome inhibitor,
is reported to attenuate experimentally induced renal and cardiac fibrosis. This
study aimed to evaluate the preventive and therapeutic efficacies of bortezomib
on a bleomycin (BLM)-induced scleroderma model. Dermal fibrosis was induced in
Balb/c mice by subcutaneous BLM (100 MUg/day) injections. Bortezomib (1.6 mg/kg
twice/week) was applied intraperitoneally to BLM-injected mice during the first 3
weeks for preventive interventions and in the second 3 weeks for therapeutic
interventions. IL-4 and TGF-beta1 serum levels, dermal thicknesses, dermal
inflammatory cell counts, and alpha-SMA-positive fibroblastic cell counts were
determined, and type-I collagen, NF-kappaBp65, I-kappaBalpha, and JNK1
expressions were assessed. BLM applications increased serum IL-4 level, dermal
inflammatory cell counts, alpha-SMA-positive cell counts, expression of type-I
collagen, NF-kappaB, and JNK1, and dermal thickness in early stage of fibrosis,
but serum IL-4 level and dermal inflammatory cell counts showed no increases in
later stages. As a preventive intervention, bortezomib decreased dermal
thickness, inflammatory cell infiltrations, fibroblastic activity, and expression
of type-I collagen, NF-kappaB, and JNK1, but did not decrease fibroblastic
activity and dermal thickness at later stages of fibrosis. Inflammatory status is
prominent in the early stage of dermal fibrosis, but declines at later stages. In
BLM-induced dermal fibrosis, bortezomib has a preventive anti-fibrotic and anti
inflammatory efficacy, but has no therapeutic anti-fibrotic efficacy in
preexisting tissue fibrosis. These findings suggest that the effect of proteasome
inhibition in early stages of dermal fibrosis may be related to its anti
inflammatory effects.
PMID- 21882076
TI - Atherosclerosis screening by noninvasive imaging for cardiovascular prevention: a
systematic review.
AB - BACKGROUND: Noninvasive imaging of atherosclerosis is being increasingly used in
clinical practice, with some experts recommending to screen all healthy adults
for atherosclerosis and some jurisdictions mandating insurance coverage for
atherosclerosis screening. Data on the impact of such screening have not been
systematically synthesized. OBJECTIVES: We aimed to assess whether
atherosclerosis screening improves cardiovascular risk factors (CVRF) and
clinical outcomes. DESIGN: This study is a systematic review. DATA SOURCES: We
searched MEDLINE and the Cochrane Clinical Trial Register without language
restrictions. STUDY ELIGIBILITY CRITERIA: We included studies examining the
impact of atherosclerosis screening with noninvasive imaging (e.g., carotid
ultrasound, coronary calcification) on CVRF, cardiovascular events, or mortality
in adults without cardiovascular disease. RESULTS: We identified four randomized
controlled trials (RCT, n=709) and eight non-randomized studies comparing
participants with evidence of atherosclerosis on screening to those without
(n=2,994). In RCTs, atherosclerosis screening did not improve CVRF, but smoking
cessation rates increased (18% vs. 6%, p=0.03) in one RCT. Non-randomized studies
found improvements in several intermediate outcomes, such as increased motivation
to change lifestyle and increased perception of cardiovascular risk. However,
such data were conflicting and limited by the lack of a randomized control group.
No studies examined the impact of screening on cardiovascular events or
mortality. Heterogeneity in screening methods and studied outcomes did not permit
pooling of results. CONCLUSION: Available evidence about atherosclerosis
screening is limited, with mixed results on CVRF control, increased smoking
cessation in one RCT, and no data on cardiovascular events. Such screening should
be validated by large clinical trials before widespread use.
PMID- 21882077
TI - A modified Hai-Murphy model of uterine smooth muscle contraction.
AB - We extend and analyze the Wang and Politi modified Hai-Murphy model of smooth
muscle cell contractions to capture uterine muscle cell response to variations in
intracellular calcium concentrations. This model is used to estimate values of
unknown parameters in uterine smooth muscle cell cross-bridging. Uterine motility
is responsible for carrying out important processes throughout all phases of the
nonpregnant female reproductive cycle, including sperm transport, menstruation,
and embryo implantation. The modified Hai-Murphy partial differential equation
model accounts for the displacement of myosin cross-bridge heads relative to
their binding sites. This model was originally developed for the study of airway
contractions; we now extended it for use in modeling nonisometric uterine
contractions. Our extended model incorporates cross-bridge position and
contractile velocity into the original model, resulting in more accurate modeling
of the initial stages of contraction and modeling nonisometric contractions.
Numerical simulations show that the contraction rate in our extended model is
faster than the original Hai-Murphy model. These simulations provide quantitative
estimates for the increased level of responsiveness of our extended model to
intracellular calcium concentrations. The extended model and new parameter
estimates for the cross-bridging can be coupled with uterine flow models to
advance our understanding of embryonic motility and intrauterine flow.
PMID- 21882078
TI - Promoted transfection efficiency of pDNA polyplexes-loaded biodegradable
microparticles containing acid-labile segments and galactose grafts.
AB - PURPOSE: Targeting to antigen-presenting cells and efficient intracellular
delivery of pDNA are essential for development of microsphere formulations of DNA
vaccine. METHODS: Biodegradable polymers containing acid-labile segments and
galactose grafts were developed to entrap pDNA polyplexes into microspheres,
which were proposed to promote transfection efficiency of pDNA. RESULTS: Acid
labile characteristics were approved by the hemolysis capabilities of red blood
cells and degradation behaviors of matrix polymers; release of pDNA polyplexes
from microspheres was significantly accelerated after incubation in acid buffers.
Presence of galactose moieties enhanced cellular uptake of microspheres and
increased acid-lability due to hydrophilic grafts on acid-labile segments. There
was no apparent cytotoxicity of blank microspheres; cytotoxicity of pDNA
polyplexes was significantly decreased after encapsulation into and sustained
release from microspheres. High transfection efficiency and a dose-dependent
transfection were indicated for pDNA polyplex-loaded acid-labile microspheres
when balancing with cytotoxicity. CONCLUSIONS: Integration of acid-lability,
targeting effect into full biodegradable backbone represents an exciting approach
to promote transfection efficiency through modulating release of pDNA polyplexes,
targeting to antigen-presenting cells and intracellular delivery of pDNA.
PMID- 21882079
TI - Translational lessons from a case of combined heart and liver transplantation for
familial hypercholesterolemia 20 years post-operatively.
AB - We review the lessons from a case of combined heart and liver transplantation
(CHLT) 20 years post-operatively from the molecular to clinical levels. CHLT
replaces cardiac function and provides a new source of Low density lipoprotein
receptors (LDL-R) known to be deficient in Familial Hypercholesterolaemia. Little
is known of the long-term outcomes of this strategy. We review the lessons from a
case of CHLT 20 years post-operatively, which illustrate the successful
transition from the molecular understanding of the pathophysiology to the
clinical therapy. Most importantly, there is evidence that transplantation of
multiple organs from a single donor promotes operational tolerance, especially in
the case of the liver. This lady presented in severe heart failure with advanced
atherosclerotic disease resulting in coronary artery and aortic valve stenosis.
The serum LDL-C concentration of 13 mmol/L was refractory to conventional
therapy. Genetic analysis showed a large deletion on one allele of the LDL-R, and
a mutant allele that produced a receptor which was delayed in its transport to
the cell membrane and had 10% of normal receptor activity. The patient had a
normalised lipid-profile directly after CHLT (2.1 mmol/L), and this has remained
stable since the time of operation. Apart from a minor episode of cardiac
rejection at 3 weeks post-CHLT, the patient has had excellent heart and liver
function throughout. This patient has not experienced any signs of rejection,
despite only low-dose immunosuppression. We review what we have learnt from this
case at the molecular and clinical levels.
PMID- 21882080
TI - Hepatocellular carcinoma complicated by gastroduodenal obstruction: palliative
treatment with metallic stent placement.
AB - PURPOSE: To evaluate the clinical effectiveness of self-expandable metallic
stents in seven patients with malignant gastroduodenal obstruction caused by
inoperable hepatocellular carcinoma (HCC). METHODS: Seven patients with
gastroduodenal obstruction caused by advanced HCC underwent metallic stent
placement from 2003 to 2010. These patients had total dysphagia (n = 5) or were
able to eat only liquids (n = 2) before stent placement. Patients had Eastern
Cooperative Oncology Group performance scores of 2 or 3, and Child-Pugh
classification B or C. RESULTS: Stent placement was technically successful in all
seven patients (100%) and clinically successful in six (86%). Five patients could
eat a soft diet, and one patient tolerated regular diet after stent placement.
Stent-related obstructive jaundice occurred in one patient. One patient had
hematemesis 11 days after stent placement. Overall mean survival was 51 days
(range, 10-119 days). Stent patency was preserved in six patients with clinical
success until death. CONCLUSION: Placement of a covered self-expandable metallic
stent may offer good palliation in patients with gastroduodenal obstruction due
to advanced HCC.
PMID- 21882081
TI - Quality improvement guidelines for percutaneous catheter-directed intra-arterial
thrombolysis and mechanical thrombectomy for acute lower-limb ischemia.
AB - Percutaneous catheter-directed intra-arterial thrombolysis is a safe and
effective method of treating acute and subacute lower limb ischemia, as long as
accurate patient selection and procedural monitoring are ensured. Although
larger, controlled trials are needed to establish the role of PTDs in ALI,
mechanical thrombectomy could currently be applied combined with lytic infusion
in selected cases where rapid recanalization is required or as a stand-alone
therapy when the administration of thrombolytic agents is contraindicated.
PMID- 21882083
TI - Graphical model based multivariate analysis (GAMMA): an open-source, cross
platform neuroimaging data analysis software package.
AB - The GAMMA suite is an open-source, cross-platform data-mining software package
designed to analyze neuroimaging data. Analyzing brain image volumes is a very
challenging problem, due to undersampling and the potential for multivariate
nonlinear interactions among variables. The GAMMA suite provides a set of tools
to facilitate the analysis of neuroimaging data.
PMID- 21882082
TI - The cardiac magnetic resonance (CMR) approach to assessing myocardial viability.
AB - Cardiac magnetic resonance (CMR) is a noninvasive imaging method that can
determine myocardial anatomy, function, perfusion, and viability in a relative
short examination. In terms of viability assessment, CMR can determine viability
in a non-contrast enhanced scan using dobutamine stress following protocols
comparable to those developed for dobutamine echocardiography. CMR can also
determine viability with late gadolinium enhancement (LGE) methods. The
gadolinium-based contrast agents used for LGE differentiate viable myocardium
from scar on the basis of differences in cell membrane integrity for acute
myocardial infarction. In chronic myocardial infarction, the scarred tissue
enhances much more than normal myocardium due to increases in extracellular
volume. LGE is well validated in pre-clinical and clinical studies that now span
from almost a cellular level in animals to human validations in a large
international multicenter clinical trial. Beyond infarct size or infarct
detection, LGE is a strong predictor of mortality and adverse cardiac events. CMR
can also image microvascular obstruction and intracardiac thrombus. When combined
with a measure of area at risk like T2-weighted images, CMR can determine infarct
size, area at risk, and thus estimate myocardial salvage 1-7 days after acute
myocardial infarction. Thus, CMR is a well validated technique that can assess
viability by gadolinium-free dobutamine stress testing or late gadolinium
enhancement.
PMID- 21882084
TI - New luminescent bioprobes Eu(lll)-phloroglucinol derivatives and their
spectrofluorimetric, electrochemical interactions with nucleotides and DNA.
AB - Two new ligands derived from phloroglucinol 2-{[(4-methoxy benzoyl)oxy]} methyl
benzoic acid[L1] and 2-{[(4-methyl benzoyl)oxy] methyl} benzoic acid[L2] were
synthesized. The solid complex Eu(III)-L2 has been synthesised and characterized
by elemental analysis, UV and IR spectra. The reaction of Eu(III) with the two
synthesized ligands has been investigated in I = 0.1 mol dm(-3) p-toluene
sulfonate by cyclic voltammetry and square wave voltammetry. The reaction of Eu
(III)-L1 and Eu (III)-L2 binary complexes with nucleotide 5'-AMP, 5'-ADP, 5'-ATP,
5'- GMP, 5'-IMP, and 5'-CMP has been investigated using UV, fluorescence and
electrochemical methods. The experimental conditions were selected such that self
association of the nucleotides and their complexes was negligibly small, that is,
the monomeric complexes were studied. The interaction of the Eu(III)-L1 or L2
solid complexes with calf-thymus DNA has been investigated by fluorescence and
electrochemical methods including cyclic voltammetery(CV), differential pulse
polarography (DPP) and square wave voltammetry (SWV) on a glassy carbon
electrode. The fluorescence intensity of Eu(III)-L2 complex was enhanced with the
addition of DNA. Under optimal conditions in phosphate buffer pH 7.0 at 25
degrees C the linear range is 3-20 MUM for calf thymus DNA (CT-DNA) and the
corresponding determination limit is 1.8 MUM.
PMID- 21882085
TI - Treatment duration of febrile urinary tract infections.
AB - Although febrile urinary tract infections (UTIs) are relatively common in adults,
data on optimal treatment duration are limited. Randomized controlled trials
specifically addressing the elderly and patients with comorbidities have not been
performed. This review highlights current available evidence. Premenopausal, non
pregnant women without comorbidities can be treated with a 5-7 day regimen of
fluoroquinolones in countries with low levels of fluoroquinolone resistance, or,
if proven susceptible, with 14 days of trimethoprim-sulfamethoxazole. Oral beta
lactams are less effective compared with fluoroquinolones and trimethoprim
sulfamethoxazole. In men with mild to moderate febrile UTI, a 2-week regimen of
an oral fluoroquinolone is likely sufficient. Although data are limited, this
possibly holds even in the elderly patients with comorbidities or bacteremia.
PMID- 21882086
TI - Gynecologic clostridial toxic shock in women of reproductive age.
AB - Clostridial toxic shock, caused by Clostridium sordellii or Clostridium
perfringens, is a rare and largely fatal syndrome among reproductive-aged women
with genital tract infection, and may occur following various pregnancy outcomes
or without pregnancy. Clinicians should be aware of common clinical features of
this very rapidly-progressing syndrome including abdominal pain, tachycardia,
hypotension, third-space fluid accumulations, hemoconcentration, and marked
leukemoid response, often with lack of fever. In this review, we summarize known
cases through mid-2011 and information on clinical presentation, diagnosis,
treatment, and results of recent investigations regarding pathogenesis, including
germination, toxins, and host response that may have important implications for
development of preventive or therapeutic interventions.
PMID- 21882087
TI - Low-dose contrast protocol using the test bolus technique for 64-detector
computed tomography coronary angiography.
AB - PURPOSE: We evaluated low-contrast injection protocols for coronary computed
tomography angiography (CTA) using a 64-detector scanner and the test bolus
technique. MATERIALS AND METHODS: We randomly assigned 60 patients undergoing
coronary CTA to one of two contrast material (CM) injection protocols. For the
low-contrast dose protocol (P(low)), the patients received injections of iohexol
350 [0.7 ml/kg body weight (BW)] during 9 s, and the test-bolus technique was
used. Under the conventional protocol (P(conv)), they received iohexol-350 (1.0
ml/kg BW) during 15 s, and bolus tracking was used. We compared the protocols for
attenuation values in the ascending aorta and coronary arteries and for the
amount of CM required. RESULTS: There was no significant difference in the mean
CT attenuation of the ascending aorta and coronary arteries between the P(low)
and P(conv) groups. The amount of CM was significantly less with P(low) than with
P(conv) [49.7 +/- 6.4 ml (main bolus: 39.7 +/- 6.4 ml) vs. 57.0 +/- 10.1 ml, P <
0.01]. CONCLUSION: With 64-detector CTA of the heart, the low-dose and short
injection-duration protocol with the test-injection technique provides vessel
attenuation comparable to that obtained with the standard-dose protocol with the
bolus-tracking technique.
PMID- 21882088
TI - High-resolution magnetic resonance imaging of rotator cuff tears using a
microscopy coil: noninvasive detection without intraarticular contrast material.
AB - PURPOSE: The aim of this study was to evaluate the feasibility of high-resolution
magnetic resonance imaging (MRI) using a microscopy coil for the diagnosis of
rotator cuff tears by comparing the method to conventional MRI and MRI
arthrography. MATERIALS AND METHODS: A total of 68 shoulders were prospectively
studied using a 1.5-T MRI unit. Conventional MRI scans were obtained with a
surface coil and high-resolution MRI scans with a microscopy coil. MRI
arthrography was performed in 28 shoulders using a surface coil. MRI evaluation
of tears of rotator cuff tendons was compared with arthroscopic findings and
surgical results. RESULTS: The surgery revealed 40 full-thickness tears, 13
partial-thickness tears, and 15 intact cuffs. In all, 35 (88%) full-thickness
tears were correctly diagnosed on conventional MRI and 40 (100%) on high
resolution MRI. MR arthrography delineated 11 of 12 (92%) full-thickness tears.
Altogether, 5 (38%) of the partial-thickness tears were detected on conventional
MRI, and 12 (92%) were clearly demonstrated on high-resolution MRI. MRI
arthrography depicted three (60%) of five partial-thickness tears. High
resolution MRI showed higher sensitivity than conventional MRI (P < 0.05) and had
values equivalent to those of MRI arthrography for diagnosing partial-thickness
tears. CONCLUSION: High-resolution MRI with a microscopy coil is a feasible,
noninvasive technique for diagnosing rotator cuff tears.
PMID- 21882089
TI - Imaging features of invasive lobular carcinoma: comparison with invasive ductal
carcinoma.
AB - PURPOSE: The aim of this study was to evaluate the imaging findings of invasive
lobular carcinoma (ILC) compared to those of invasive ductal carcinoma (IDC).
MATERIALS AND METHODS: The study included ILCs (n = 27) and IDCs (n = 85).
Imaging findings were retrospectively evaluated, according to the BI-RADS
lexicon. We compared the imaging findings, multiplicity, and magnetic resonance
imaging (MRI) detection accuracy of ILC with those of IDC. RESULTS: At
mammography, normal findings and mass lesions were more frequent with ILCs (14.8%
and 59.2%, respectively) than with IDCs (1.2% and 44.7%, respectively) (P =
0.009). With ultrasonography (US), posterior acoustic shadowing was more
frequently seen in ILCs (59.2%) than in IDCs (15.8%) (P < 0.001). With MRI, both
ILCs and IDCs most commonly appeared as a heterogeneously enhancing, irregular
mass with a spiculated border. Multifocality was more frequently associated with
ILCs (40.7%) than with IDCs (14.1% 1% 0.002). However, multicentricity and
bilaterality were not different between the two groups. The sensitivity and
specificity of MRI for the detection of multiplicity were 91.6% and 73.3%,
respectively, for ILCs and 83.3% and 80.3%, respectively, for IDCs. CONCLUSION:
Normal findings, mass lesions on mammography, and posterior acoustic shadowing on
US were more frequently associated with ILCs than with IDCs. Multifocality was
more prevalent with ILCs than with IDCs.
PMID- 21882090
TI - Radiology reading-caused fatigue and measurement of eye strain with critical
flicker fusion frequency.
AB - PURPOSE: The aim of this study was to investigate eye fatigue that could impair
diagnostic accuracy by measuring the critical flicker fusion frequency (CFFF)
before and after reading. MATERIALS AND METHODS: CFFF was measured before and
after about 4 h of health checkup reading in seven healthy volunteer
radiologists. A questionnaire was also completed on duration of sleep the night
before the experiment, average duration of sleep, and subjective fatigue using a
visual analog scale (corrected to a 0-1 scale, 0 indicating the worst fatigue
ever experienced). RESULTS: After-reading subjective fatigue was significantly
greater (before 0.52 +/- 0.15, after 0.42 +/- 0.15), and CFFF was significantly
lower (before 40.9 +/- 2.4, after 39.9 +/- 2.0). There was no significant
correlation between subjective fatigue and CFFF, either before or after or
between before- and after-reading differences in subjective fatigue and CFFF.
Shorter duration of sleep the night before significantly correlated with lower
CFFF (Pearson's correlation coefficient): before 0.42, P = 0.0047; after 0.52, P
= 0.0003. CONCLUSION: CFFF declines after reading and can be considered useful as
an indicator of fatigue induced by radiology reading. CFFF declines significantly
when sleep is reduced the day before reading without correlation with subjective
fatigue, meaning that sleep deprivation can cause an unaware decline in visual
function.
PMID- 21882091
TI - Determination of the cutoff level of apparent diffusion coefficient values for
detection of prostate cancer.
AB - PURPOSE: The aim of this study was to determine the cutoff level of apparent
diffusion coefficient (ADC) values for diagnosing prostate cancer. MATERIALS AND
METHODS: A total of 45 consecutive patients with prostate cancer who underwent
diffusion-weighted magnetic resonance imaging (MRI) with ADC maps before radical
prostatectomy were included in this retrospective study. MRI findings were
correlated retrospectively with histopathological results of surgical specimens.
Comparisons of ADC values between cancer and noncancer areas were performed with
the two-tailed unequal variance t-test. The cutoff ADC level was determined in a
way to achieve the best accuracy for detecting prostate cancer. RESULTS: The mean
ADC value of all the cancer lesions (n =60) was 1.04 +/- 0.31 (*10(-3) mm(2)/s).
In the peripheral zone, the mean ADC values of cancer lesions and noncancer areas
were 1.07 +/- 0.35 and 1.94 +/- 0.31, respectively (P < 0.001). In the transition
zone, the mean ADC values of cancer lesions and noncancer areas were 1.00 +/-
0.22 and 1.56 +/- 0.14, respectively (P<0.001). The cutoff level for the ADC
value was determined to be 1.35*10(-3) mm(2)/s. It provided sensitivity,
specificity, and accuracy of 88%, 96%, and 93%, respectively. CONCLUSION: The
cutoff ADC level determined on the basis of the results obtained from radical
prostatectomy specimens can help differentiate malignant from nonmalignant
lesions.
PMID- 21882092
TI - Multidetector-row computed tomography findings of sclerosing mesenteritis with
associated diseases and its prevalence.
AB - PURPOSE: Our aim was to report the multidetector-row computed tomography (MDCT)
findings of sclerosing mesenteritis, which is a rare disease characterized by
chronic nonspecific inflammation of mesenteric adipose tissue. It has associated
diseases, and we explored its prevalence. MATERIALS AND METHODS: A total of 2100
patients were evaluated retrospectively for sclerosing mesenteritis between
December 2007 and May 2009. Signs and symptoms, associated diseases, laboratory
data, surgical histories, and related findings of a misty mesentery, which
corresponds to sclerosing mesenteritis on MDCT, were recorded. RESULTS: Misty
mesentery findings were seen in 51 (2.43%; 35 men) patients. Their ages ranged
between 33 and 78 years (mean 56.2 years). The most frequent complaint of
patients was abdominal pain (n = 19; 37.2%). The most prominent possible
causative and/or associated factors in our study were malignancy (n = 9; 17.6%),
previous surgery (n = 17; 33.3%), smoking (n = 20; 39.2%), coronary artery
disease (n = 9; 17.6%), urolithiasis (n = 10; 19.6%), hypertension (n = 18;
35.2%), hyperlipidemia (n = 13; 25.5%), and diabetes mellitus (n = 11; 21.5%). On
MDCT, density values in mesenteric fat (-62.8 +/- 18.6 HU) were significantly
higher than the values for subcutaneous (-103.9 +/- 5.8 HU) and retroperitoneal (
105 +/- 6 HU) fatty tissues (both P < 0.0001). A partially hyperdense stripe (n =
37; 72.6%), well-defined soft tissue nodules (100%), hypodense fatty halo
enclosing vessels (n = 1; 1.9%), and nodules (n = 12; 23.5%) were demonstrated in
most of the patients. CONCLUSION: The diagnosis of sclerosing mesenteritis has
increased with the more frequent use of MDCT and the popularization of the DICOM
viewer. Defined hallmarks on MDCT can be helpful for differentiating sclerosing
mesenteritis from other pathologies.
PMID- 21882093
TI - Investigation of protective effect of hydrogen-rich water against cisplatin
induced nephrotoxicity in rats using blood oxygenation level-dependent magnetic
resonance imaging.
AB - PURPOSE: The aim of this study was to assess the mechanism of the protective
effect of hydrogen-rich water (HW) against cisplatin (CP)-induced nephrotoxicity
in rats using blood oxygenation level-dependent (BOLD) magnetic resonance imaging
(MRI). MATERIALS AND METHODS: Apparent transverse relaxation time-weighted images
(T2 WI) were acquired in 28 rats. The control group (n = 7) had free access to
standard water (SW) and no CP injection. The CP group (n = 7) had free access to
SW and was given a CP injection on day 0. The CP+HW group (n = 7) had free access
to HW and had a CP injection. The HW group (n = 7) had free access to HW and no
CP injection. The apparent transverse relaxation rate (R2) was estimated from T2
WI. RESULTS: In the CP+HW group, the R2 value in the medulla normalized by the
value of the day 0 was significantly greater than that in the CP group on days 4
and 7. The creatinine and blood urea nitrogen levels in the CP group were
significantly higher than those in the control, CP+HW, and HW groups. CONCLUSION:
BOLD MRI may be useful for demonstrating the change in R2 in CP-induced
nephrotoxicity in rats. The changes in the CP+HW group were suspected to be due
to a reduction of cytotoxic oxygen radicals.
PMID- 21882094
TI - Central nervous system myelomatosis with optic neuropathy and intramedullary
spinal cord compression responding to radiation therapy.
AB - Central nervous system (CNS) involvement by multiple myeloma is a rare
complication that occurs in less than 1% of cases. The purpose of this report is
to highlight the unique presentation and treatment of a patient with CNS
myelomatosis. A 58-year-old Caucasian woman with multiple myeloma developed
subacute vision loss bilaterally and was found to have plasma cells in her
cerebrospinal fluid. Using a helmet field to 25 Gy in 10 fractions, her vision
was stabilized with radiotherapy. After developing right upper extremity numbness
and weakness, magnetic resonance imaging revealed intramedullary spinal cord
lesions from C5 to C7. She received radiotherapy to 25 Gy in 10 fractions from C4
to T1, with improvement in upper extremity strength after 15 Gy. Although CNS
involvement by multiple myeloma is a rare complication, increasing awareness is
necessary for clinicians to consider meningeal myelomatosis in patients with this
neoplasm.
PMID- 21882095
TI - Role of external beam radiotherapy for arteriovenous malformation of the
pancreas.
AB - Pancreatic arteriovenous malformation (PAVM) is rare and is mainly reported from
Asian countries. We incidentally encountered an asymptomatic, early-stage PAVM in
a patient who presented with portal venous distention, Child B liver cirrhosis,
and hepatoma. The PAVM had multiple feeding arteries and drainage into the portal
vein and varices. Because surgery was not indicated, after considering the risks
and bene-fits the patient chose treatment with 40 Gy of conformal radiotherapy
for 4 weeks. Computed tomography performed 6 months later revealed reduction in
the size of the PAVM. Treatment had lasting effects for 18 months without
significant toxicity. As observed in many reports of radiotherapy for various
AVMs, the radiotherapy may stall self-augmenting growth of the AVM. Conventional
fractionated radiotherapy of 40 Gy may be a useful choice for early-stage PAVM.
PMID- 21882096
TI - Apparition of iodinated contrast agents in twin neonatal gastrointestinal tracts
after maternal contrast-enhanced computed tomography.
AB - We describe a case of the appearance of iodinated contrast agents in the same
locations of twins' neonatal gastrointestinal tracts 1 day after maternal
contrast-enhanced computed tomography (CT). The CT examination had been performed
on the expectant mother for suspected deep venous thrombosis on the day previous
to the twin delivery. At 23 h after the CT examination and after cesarean
section, iodinated contrast agents appeared in the same place in the twins'
neonatal gastrointestinal tracts, mainly in the ascending colon, on plain
abdominal radiographs. Radiologists, obstetricians, and pediatricians should
understand the mechanism of appearance of iodinated contrast agents in fetal
gastrointestinal tracts when the expectant mother had been given iodinated
contrast agents intravenously shortly before delivery.
PMID- 21882097
TI - Multinodular pseudolymphoma of the liver: computed tomography and magnetic
resonance imaging findings.
AB - A 60-year-old woman who had had a history of renal cell carcinoma with
intraperitoneal recurrence presented with multiple liver masses. Computed
tomography demonstrated multiple enhancing lesions in the both lobes of the
liver, and there was an apparent small vessel coursing within one of the lesions.
On magnetic resonance imaging, masses showed slight T1 and T2 prolongation, and
restricted diffusion: On the hepatobiliary phase of liver-specific contrast agent
enhancement, lesions were shown as low signal intensity of varying degree. Liver
metastases from renal cell carcinoma were suspected, and partial hepatectomy was
performed for the superficially located nodules to make a definitive diagnosis.
The final pathological diagnosis was reactive lymphoid hyperplasia or
pseudolymphoma of the liver.
PMID- 21882098
TI - Unusual presentation of an anterior sacral meningocele: magnetic resonance
imaging, multidetector computed tomography, and fistulography findings of
bacterial meningitis secondary to a rectothecal fistula.
AB - An anterior sacral meningocele, a rare congenital anomaly, manifested in a
previously healthy 44-year-old woman with findings of meningitis, including
headache, vomiting, unconsciousness, and fever. Nontraumatic pneumocephalus,
tetraventricular hydrocephalus, fluid-fluid level at the lateral ventricles, and
pial enhancement were observed on multidetector computed tomography. A
ventricular drainage catheter was placed to decompress the hydrocephalus, and
drainage was performed urgently. Escherichia coli was isolated from the drainage
material. Whole-spine magnetic resonance imaging and fistulography were
undertaken on the third day after admission to evaluate for anal and urinary
incontinence and pareses of both upper and lower extremities. Spinal
arachnoiditis, tethered cord, dysgenesis of the sacrum, and a rectothecal fistula
were demonstrated. Specific antibiotic treatment and surgery for fistula tract
excision were performed.
PMID- 21882100
TI - [Specific immunotherapy].
PMID- 21882101
TI - [Diaper dermatitis].
AB - Diaper dermatitis is one of the most common skin diseases during infancy and
childhood. It is a type of irritant contact eczema resulting from a complex
interaction between urine and feces under occlusive conditions in combination
with the hyperhydration of the stratum corneum, pressure and friction under the
diaper. These conditions pave the way for Candida albicans infection, which is
often associated with diaper dermatitis. The anogenital region can be involved by
a variety of dermatoses, so a precise skin examination, detailed history and
sometimes histologic examination are needed for a precise diagnosis.
Therapeutically, frequent diaper changes and adequate skin care are most
important.
PMID- 21882105
TI - Developmental pharmacokinetics.
AB - The advances in developmental pharmacokinetics during the past decade reside with
an enhanced understanding of the influence of growth and development on drug
absorption, distribution, metabolism, and excretion (ADME). However, significant
information gaps remain with respect to our ability to characterize the impact of
ontogeny on the activity of important drug metabolizing enzymes, transporters,
and other targets. The ultimate goal of rational drug therapy in neonates,
infants, children, and adolescents resides with the ability to individualize it
based on known developmental differences in drug disposition and action. The
clinical challenge in achieving this is accounting for the variability in all of
the contravening factors that influence pharmacokinetics and pharmacodynamics
(e.g., genetic variants of ADME genes, different disease phenotypes, disease
progression, and concomitant treatment). Application of novel technologies in the
fields of pharmacometrics (e.g., in silico simulation of exposure-response
relationships; disease progression modeling), pharmacogenomics and biomarker
development (e.g., creation of pharmacodynamic surrogate endpoints suitable for
pediatric use) are increasingly making integrated approaches for developmentally
appropriate dose regimen selection possible.
PMID- 21882104
TI - Basics and dynamics of neonatal and pediatric pharmacology.
AB - Understanding the role of ontogeny in the disposition and actions of medicines is
the most fundamental prerequisite for safe and effective pharmacotherapeutics in
the pediatric population. The maturational process represents a continuum of
growth, differentiation, and development, which extends from the very small
preterm newborn infant through childhood, adolescence, and to young adulthood.
Developmental changes in physiology and, consequently, in pharmacology influence
the efficacy, toxicity, and dosing regimen of medicines. Relevant periods of
development are characterized by changes in body composition and proportion,
developmental changes of physiology with pathophysiology, exposure to unique
safety hazards, changes in drug disposition by major organs of metabolism and
elimination, ontogeny of drug targets (e.g., enzymes, transporters, receptors,
and channels), and environmental influences. These developmental components that
result in critical windows of development of immature organ systems that may lead
to permanent effects later in life interact in a complex, nonlinear fashion. The
ontogeny of these physiologic processes provides the key to understanding the
added dimension of development that defines the essential differences between
children and adults. A basic understanding of the developmental dynamics in
pediatric pharmacology is also essential to delineating the future directions and
priority areas of pediatric drug research and development.
PMID- 21882106
TI - Principles of therapeutic drug monitoring.
AB - Therapeutic drug monitoring (TDM) is central to optimize drug efficacy in
children, because the pharmacokinetics and pharmacodynamics of most drugs differ
greatly between children and adults. Many factors should be analyzed to implement
TDM in the pediatric population, including a validated pharmacological parameter
and an analytical method adapted to children as limited sampling volumes and high
sensitivity are required. The use of population approaches, new analytical
methods such as saliva and dried blood spots, and pharmacodynamic monitoring give
attractive options to improve TDM, individualize therapy in order to optimize
efficacy and reduce adverse drug reactions.
PMID- 21882107
TI - Drug delivery and formulations.
AB - Paediatric drug delivery is a major challenge in drug development. Because of the
heterogeneous nature of the patient group, ranging from newborns to adolescents,
there is a need to use appropriate excipients, drug dosage forms and delivery
devices for different age groups. So far, there is a lack of suitable and safe
drug formulations for children, especially for the very young and seriously ill
patients. The new EU legislation will enforce paediatric clinical trials and drug
development. Current advances in paediatric drug delivery include interesting new
concepts such as fast-dissolving drug formulations, including orodispersible
tablets and oral thin strips (buccal wafers), and multiparticulate dosage forms
based on mini-tabletting or pelletization technologies. Parenteral administration
is likely to remain the first choice for children in the neonatal period and for
emergency cases. Alternative routes of administration include transdermal,
pulmonary and nasal drug delivery systems. A few products are already available
on the market, but others still need further investigations and clinical proof of
concept.
PMID- 21882108
TI - Development of paediatric medicines: concepts and principles.
AB - The term "off-label use of drugs in children" is common to current medical
practice. A look into the historical context helps to elucidate the framework for
the use of medicines in children. Proper drug labels are relatively new in
history. They emerged half a century ago when U.S. legislation forced
manufacturers to prove the safety and efficacy of drugs by adequate clinical
trials. Today pharmaceutical progress is so obvious and well established that the
discrepancy between its benefit for adults as compared to children started to be
perceived by champions in different institutions. There is an increased
understanding of the child's physiology during developmental growth, of the
maturation of enzyme systems, of the pharmacokinetics and pharmacodynamics and of
the differences in disease processes. The involved institutions include
legislators, government, regulatory authorities, academic scientists,
pharmaceutical companies, the WHO, to name just the most prominent ones, but
there are many more. Driving forces for the improvement of medicines for children
include societal priorities, the involvement of science, the mission of
regulatory authorities the role of clinical pharmacologists, paediatricians, and
the characteristics of our market-driven economy with its chaotic, contradictory
and lively elements. We do not live in an ideal world, but there is progress, and
children are likely to benefit from it.
PMID- 21882109
TI - Study design and simulation approach.
AB - Modeling and simulation techniques are a mainstay of clinical drug development
and are particularly useful to support clinical trials in children. If a
pediatrician wants to use these tools most efficiently, a basic understanding of
the principles and methods of classical and novel techniques of modeling and
simulation is essential. Key elements comprise the definition and description of
terms like deterministic simulation, Monte Carlo simulation, classical "top down"
or novel "bottom up" approach, as well as the term "virtual world simulation."
The illustrated examples in this chapter from pediatric clinical trials will help
to understand and demonstrate these key elements. The importance of the
understanding of developmental physiology and pharmacokinetics will become
visible when explaining novel "bottom up" approaches like physiologically based
pharmacokinetic simulations which also bridge to current research tools from
other areas such as systems biology using mathematical models to describe
biological systems.
PMID- 21882110
TI - Efficacy assessment in paediatric studies.
AB - Even though the regulatory authorities to some extent accept the extrapolation of
efficacy data from adults to paediatric patients, it is often the case that
differences in the disease process and the developmental stage of the children
prevent the extrapolation of efficacy in these populations. Where efficacy
studies are needed, the development, validation, and employment of different
endpoints for specific age and developmental subgroups become necessary. Children
are in continuous development and any measure to assess the efficacy of an
intervention should take carefully into account how this development affects the
endpoints, including the performance capacity of the child and differences in the
condition and symptoms presented. Clinical endpoints that are used in the adult
trials to evaluate treatment effect may not be suitable in paediatric studies.
The development of surrogate endpoints for benefit and risk assessment in
children is necessary. Collaboration between the academic researchers,
pharmaceutical industry, and regulatory authorities is needed to meet the
challenges in proper validation of biomarkers and surrogate endpoints in
paediatric trials.
PMID- 21882112
TI - Small sample approach, and statistical and epidemiological aspects.
AB - In this chapter, the design of pharmacokinetic studies and phase III trials in
children is discussed. Classical approaches and relatively novel approaches,
which may be more useful in the context of drug research in children, are
discussed. The burden of repeated blood sampling in pediatric pharmacokinetic
studies may be overcome by the population pharmacokinetics approach using
nonlinear mixed effect modeling as the statistical solution to sparse data.
Indications and contraindications for phase III trials are discussed: only when
there is true "equipoise" in the medical scientific community, it is ethical to
conduct a randomized clinical trial. The many reasons why a pediatric trial may
fail are illustrated with examples. Inadequate sample sizes lead to inconclusive
results. Twelve classical strategies to minimize sample sizes are discussed
followed by an introduction to group sequential design, boundaries design, and
adaptive design. The evidence that these designs reduce sample sized between 35
and 70% is reviewed. The advantages and disadvantages of the different approaches
are highlighted to give the reader a broad idea of the design types that can be
considered. Finally, working with DMCs during the conduct of trials is
introduced. The evidence regarding DMC activities, interim analysis results, and
early termination of pediatric trials is presented. So far reporting is
incomplete and heterogeneous, and users of trial reports may be misled by the
results. A proposal for a checklist for the reporting of DMC issues, interim
analyses, and early stopping is presented.
PMID- 21882111
TI - Safety assessment in pediatric studies.
AB - It typically takes many years before an association of a drug with a rare,
serious adverse reaction is established. As related to pediatric drug use,
evidence is even more erratic, as most drugs are used off labels. To enhance
child safety, there is an urgent need to develop robust and rapid methods to
identify such associations in as timely a manner as possible. In this chapter,
several novel methods, both clinically based pharmacoepidemiological approaches
and laboratory-based methods, are described.
PMID- 21882113
TI - Sample collection, biobanking, and analysis.
AB - Pediatric pharmacokinetic studies require sampling of biofluids from neonates and
children. Limitations on sampling frequency and sample volume complicate the
design of these studies. In addition, strict guidelines, designed to guarantee
patient safety, are in place. This chapter describes the practical implications
of sample collection and their storage, with special focus on the selection of
the appropriate type of biofluid and withdrawal technique. In addition, we
describe appropriate measures for storage of these specimens, for example, in the
context of biobanking, and the requirements on drug assay methods that they pose.
Pharmacokinetic studies in children are possible, but they require careful
selection of an appropriate sampling method, specimen volume, and assay method.
The checklist provided could help prospective researchers with the design of an
appropriate study protocol and infrastructure.
PMID- 21882114
TI - Ethical considerations in conducting pediatric research.
AB - The critical need for pediatric research on drugs and biological products
underscores the responsibility to ensure that children are enrolled in clinical
research that is both scientifically necessary and ethically sound. In this
chapter, we review key ethical considerations concerning the participation of
children in clinical research. We propose a basic ethical framework to guide
pediatric research, and suggest how this framework might be operationalized in
linking science and ethics. Topics examined include: the status of children as a
vulnerable population; the appropriate balance of risk and potential benefit in
research; ethical considerations underlying study design, including clinical
equipoise, placebo controls, and non-inferiority designs; the use of data
monitoring committees; compensation; and parental permission and child assent to
participate in research. We incorporate selected national (USA) and international
guidelines, as well as regulatory approaches to pediatric studies that have been
adopted in the USA, Canada, and Europe.
PMID- 21882115
TI - Pediatric regulatory initiatives.
AB - A series of government actions have evolved since the 1990s to facilitate the
development of medicinal products for pediatric use using a combination of
incentives and mandates. The initiatives have been successful in stimulating
activity and interest in products developed for pediatric use. The initiatives
continue to evolve as experience accumulates and regulatory agencies develop
robust cooperative programs. A multidimensional program is necessary to achieve
the necessary goal of aligning pediatric therapeutics with adult therapeutics and
providing children the most favorable opportunity to benefit and minimize risk to
vulnerable populations.
PMID- 21882117
TI - Fetal risks of maternal pharmacotherapy: identifying signals.
AB - Pregnant women may be exposed to a variety of medications that may exert toxic or
teratogenic effects on the fetus. Since the thalidomide disaster, physicians and
pregnant women tend to withhold medications during pregnancy, although the risk
of teratogenic effect from most drugs in therapeutic doses is nonexistent. This
chapter will review the principles of teratology and the pharmacoepidemiological
evidence for drug safety/risk in human gestation.
PMID- 21882116
TI - Fetal medicine and treatment.
AB - Fetal medicine covers a broad spectrum of conditions that can be diagnosed before
birth. Different disorders will require different treatment strategies and there
is often an important ontogenetic aspect on how and when treatment can be
implemented. Due to the limited availability there is a general lack of knowledge
on how pharmacotherapy can be provided in the most efficient way. Until recently
most knowledge about how different drugs are transferred and metabolized in the
human fetus is based on very limited observational studies on concentrations of
drugs in fetal blood and other fetal compartments. It might be that the rapid
development of other non-invasive methods for fetal diagnostics such as isolation
of fetal DNA and RNA in maternal serum, NMR imaging and other techniques could in
the future be explored in fetal pharmacotherapy. Introduction of new treatment
strategies are often based on extrapolation from experience in neonates and
adults. However some fetal conditions are very specific for this time period in
life. This especially entails disturbances in development as malformations, early
growth restriction and several congenital disorders. Here it might be required to
introduce new treatment strategies without any previous experience in humans.
Example of this ethical dilemma is gene therapy for lung growth in severe cases
of diaphragmatic hernia and early growth restriction. The risk-benefit issues
need to be discussed in all these alternatives. However, it is likely that the
concept of the human fetus as a potential patient is still in its infancy and
with an improved understanding about fetal patho-physiology there will be a
continued need for better knowledge of pharmacotherapy during this crucial time
period in life.
PMID- 21882118
TI - Antiepileptic treatment in pregnant women: morphological and behavioural effects.
AB - It is well established that children exposed to antiepileptic drugs (AEDs) in
utero have an increased risk of adverse pregnancy outcomes including foetal
growth retardation, major congenital malformations and impaired postnatal
cognitive development. However, due to the significant maternal and foetal risks
associated with uncontrolled epileptic seizures, AED treatment is generally
maintained during pregnancy in the majority of women with active epilepsy. The
prevalence of major malformations in children exposed to AEDs has ranged from 4
to 10%, 2-4 times higher than in the general population. More recent studies
suggest a smaller increase in malformation rates. Malformation rates have
consistently been higher in association with exposure to valproate than with
carbamazepine and lamotrigine. Some prospective cohort studies also indicate
reduced cognitive outcome in children exposed to valproate compared to
carbamazepine and possibly lamotrigine. Information on pregnancy outcomes with
newer generation AEDs other than lamotrigine are still insufficient.
PMID- 21882119
TI - Preventive medicines: vaccination, prophylaxis of infectious diseases,
disinfectants.
AB - Immunizations belong to the most successful interventions in medicine. Like other
drugs, vaccines undergo long periods of pre-clinical development, followed by
careful clinical testing through study Phases I, II, and III before they receive
licensure. A successful candidate vaccine will move on to be an investigational
vaccine to undergo three phases of pre-licensure clinical trials in a stepwise
fashion before it can be considered for approval, followed by an optional fourth
phase of post-marketing assessment. The overall risk-benefit assessment of a
candidate vaccine is very critical in making the licensure decision for
regulatory authorities, supported by their scientific committees. It includes
analyses of immunogenicity, efficacy, reactogenicity or tolerability, and safety
of the vaccine. Public trust in vaccines is a key to the success of immunization
programs worldwide. Maintaining this trust requires knowledge of the benefits and
scientific understanding of real or perceived risks of immunizations. Under
certain circumstances, pre- or post-exposure passive immunization can be achieved
by administration of immunoglobulines. In terms of prevention of infectious
diseases, disinfection can be applied to reduce the risk of transmission of
pathogens from patient to patient, health-care workers to patients, patients to
health-care workers, and objects or medical devices to patients.
PMID- 21882120
TI - Postmarketing surveillance.
AB - Postmarketing drug surveillance refers to the monitoring of drugs once they reach
the market after clinical trials. It evaluates drugs taken by individuals under a
wide range of circumstances over an extended period of time. Such surveillance is
much more likely to detect previously unrecognized positive or negative effects
that may be associated with a drug. The majority of postmarketing surveillance
concern adverse drug reactions (ADRs) monitoring and evaluation. Other important
postmarketing surveillance components include unapproved or off-label drug use,
problems with orphan drugs, and lack of paediatric formulations, as well as
issues concerning international clinical trials in paediatric population. The
process of evaluating and improving the safety of medicines used in paediatric
practice is referred to as paediatric pharmacovigilance. It requires special
attention. Childhood diseases and disorders may be qualitatively and
quantitatively different from their adult equivalents. This may affect either
benefit or risk of therapies (or both), with a resulting impact on the
risk/benefit balance. In addition, chronic conditions may require chronic
treatment and susceptibility to ADRs may change throughout the patient's lifetime
according to age and stage of growth and development. Therefore, paediatric
pharmacovigillance aspects need to be tailored to a number of variables based on
heterogeneity of paediatric population. This chapter will summarize and discuss
the key issues.
PMID- 21882121
TI - Global aspects of drug development.
AB - About nine million children die every year before they reach the age of 5 years,
of conditions largely amendable with existing medicines. Lack of medicines is not
the single most important health problem of children, but work to provide
children with better access to appropriate medicines is essential for achievement
of the child health goals set. Taking into consideration the global aspect in the
development of paediatric medicines the benefits of the regional paediatric
initiatives can be spread worldwide. This chapter provides insights in the
challenges and opportunities of developing paediatric medicines for health needs
of children in the developing world. The Essential Medicines List for children
first made available in 2008 serves as an example of the many tools available
from WHO to improve children's access to the medicines they need.
PMID- 21882122
TI - Calcium supplementation, osteoporosis and cardiovascular disease.
AB - Adequate intakes of calcium and vitamin D are essential preventive strategies and
essential parts of any therapeutic regimen for osteoporosis. However, calcium
supplementation is not without controversy and benefits on skeletal health need
to be balanced against potential risks on cardiovascular disease. The published
data so far suggest a potential detrimental effect of calcium supplement on
cardiovascular health (i.e. myocardial infarction) although further prospective
studies are needed to clarify the gradient of risk. Since food sources of calcium
produce similar benefits on bone density as supplements and dietary calcium
intake does not seem to be related with adverse cardiovascular effects, calcium
intake from nutritional sources needs to be enforced. In patients with low
calcium intake supplements are warranted aiming for a total calcium intake of 800
to 1000 mg/d together with adequate vitamin D replacement. Nevertheless we should
keep in mind that for significant reduction in fracture risk, pharmacological
treatment is mandatory in patients at risk of fractures irrespective of calcium
and vitamin D supplementation.
PMID- 21882123
TI - Drug-eluting coronary stents in clinical practice: lessons from the "BAsel Stent
Kosten-Effektivitats Trials" (BASKET). A review of the BASKET trials.
AB - In this review of the BAsel Stent Kosten-Effektivitats Trial (BASKET) the trials
and their impact on coronary stenting practice were examined, basing the clinical
questions of each study on the findings of the previous study. Are the new drug
eluting stents (DES) cost-effective compared to standard bare-metal stents (BMS)
if used in all patients? No. Are there specific subgroups of patients with a
particular benefit? Yes. A "targeted stent use" was proposed for daily practice.
What is the long-term safety of DES? Unexpected safety problems were observed.
Was this a chance finding? No. However, with improved stenting techniques, newer
stents and intensified antiplatelet regimens late problems were minimised as
shown in the BASKET-PROspective Validation Examination (BASKET-PROVE). Further
stent developments? Wait and see! - Many additional questions were raised and
answered or are still under investigation. Obviously, answers were not always
simple and needed a closer look and this is discussed. The BASKET trials
proceeded not only from one question to the other, but also in size and
methodology. From the restricted single-centre "local" BASKET study to
multicentre international long-term trials, all prospective, randomized and
investigator-driven. Their relevance was acknowledged by publications in major
medical journals as well as by their impact on US and European practice
guidelines and on DES research. These aspects are summarised in the present
review, highlighting lessons learned from each study and commenting on the
possibilities and difficulties of performing such clinical research in
Switzerland.
PMID- 21882124
TI - Good for patients, good for doctors.
AB - The medical liability reforms the Texas Medical Association pushed through eight
years ago are still paying off. Texas has more physicians, thereby increasing
patients' access to care; liability insurance premiums have been drastically cut;
and there are fewer lawsuits. But TMA leaders say physicians must remain vigilant
and protect the noneconomic damage cap and other provisions won through tort
reform.
PMID- 21882125
TI - ZPICs.
AB - The Zone Program Integrity Contractors (ZPICs) program is a government effort to
eliminate fraud, waste, and abuse in Medicare. ZPICs perform a range of medical
review, data analysis, and Medicare audits. While the audits share many
similarities with other Medicare audits, they do differ in one key aspect:
potential Medicare fraud implications.
PMID- 21882126
TI - The Texas twist.
AB - The accountable care organization is becoming the trendy new health care delivery
model of the decade. Texas physicians may have an alternative, thanks to
legislation passed in the special session of the legislature that ended in late
June. Senate Bill 7 authorized physicians, hospitals, and other health care
providers to create health care collaboratives, similar to ACOs, to allow
traditional competitors to join forces to improve quality of care and lower
overall costs.
PMID- 21882127
TI - Playing it safe.
AB - Passed by the Texas Legislature, House Bill 2038, also known as Natasha's Law,
deals with the prevention, treatment, and oversight of concussions affecting
student athletes. Among other provisions, the new law requires school districts
to create concussion oversight teams that must include at least one physician and
to establish return-to-play protocols that involve evaluation of the athlete by a
physician.
PMID- 21882128
TI - [Interventional radiology: state of the art].
PMID- 21882129
TI - [Reading and interpreting meta-analyses of diagnostic accuracy studies].
PMID- 21882130
TI - [Visceral medicine 2011 - Interdisciplinarily on the road to continuous
progress].
PMID- 21882132
TI - [86-year-old patient with vomiting and loss of consciousness: the Mackler Triad].
PMID- 21882131
TI - [Clinical symptoms, treatment and outcome of EHEC and EHEC-HUS patients treated
as in-patients].
AB - BACKGROUND AND OBJECTIVE: From May to June 2011 an outbreak of Shiga-toxin
producing Escherichia coli (EHEC) infections occurred in northern Germany leading
to a great number of patients with hemolytic-uremic syndrome (HUS). A monocentric
case series from Hamburg is described. MATERIAL AND METHODS: All patients at that
time presenting with acute diarrhoea at the Asklepios Clinic Barmbek in Hamburg
were proved for EHEC infections. Clinical data of EHEC and EHEC-HUS patients
treated as in-patients as well as stool analysis and laboratory results were
documented. RESULTS: In total, 117 patients suspicious to have EHEC infection
were treated as in-patients. In 68 patients an EHEC infection (n = 36) or HUS (n
= 32) could be confirmed. Additional infections with other diarrhoea-causative
organism could be revealed in 23 of these 68 patients (34 %). The median age of
the HUS patients was 44 years being significantly lower compared to the age of
EHEC patients without HUS (51 years, p = 0,04). In the group of HUS patients
there were significantly more women (26/32 vs. 21/36, p = 0.03). 19 patients with
HUS dialysis was necessary. In total, a number of 248 plasma separations were
required. 18 patients developed severe neuro-psychiatric symptoms. One patient
died. CONCLUSION: This monocentric case series describes one of the so far
largest published series of mostly young and female patients with EHEC and EHEC
HUS.
PMID- 21882133
TI - [Acute abdomen in a patient with ANCA-associated vasculitis].
AB - HISTORY AND FINDINGS: A 49-year-old man complained of increasing pain in the
lower left abdomen. Three weeks previously joint pain had developed, and in the
last 7 days the patient had noted a cutaneous rash at the lower legs. Within
three days after admission a paralytic ileus developed, progressed and culminated
in a small bowel perforation. In the 60 cm ileum specimen as well as in the skin
lesions there was marked intra- und perivascular infiltration with neutrophil
granulocytes and focal necrosis, but no granuloma. DIAGNOSIS, TREATMENT AND
COURSE: As the proteinase 3 subtype of antineutrophil cytoplasmic antibodies
(ANCA) was positive ANCA-associated vasculitis with gastrointestinal, cutaneous
and kidney involvement was diagnosed. After initiation of cytostatic treatment
with methylprednisolone boli und cyclophosphamide the patient's condition
improved. The post-operative course was uneventful. CONCLUSION: ANCA-associated
vasculitis rarely presents with severe gastrointestinal complications. The
disease represents an interdisciplinary challenge because of its variable
clinical presentation and the possibly lethal outcome if not adequately treated.
PMID- 21882134
TI - [Biologicals first-line in chronic inflammatory bowel disease? Yes].
PMID- 21882135
TI - [Biologicals first-line in chronic inflammatory bowel disease? No].
PMID- 21882137
TI - [Diagnostic and therapeutic management of Barrett's esophagus].
PMID- 21882136
TI - [Helicobacter pylori: an infection with local complications and systemic
effects].
PMID- 21882138
TI - [Gastrointestinal neuroendocrine neoplasias: novel individualized therapeutic
strategies].
PMID- 21882139
TI - [Management of pancreatic cancer: recent advances].
AB - Pancreatic cancer is still associated with a poor prognosis and remains the
fourth leading cause of cancer related mortality. Overall, long-term survival is
about 5 %. However, when pancreatic cancer can be resected, five-year survival
rates increase to approximately 25 %. Pancreatic surgery is technically
challenging and has significantly changed over the past decades with regard to
technical aspects as well as perioperative care. Standardized resections can be
carried out with low morbidity and a mortality below 5 % in high volume
institutions. Furthermore, there is growing evidence that also more extended
resections including multivisceral approaches, vessel reconstructions or surgery
for tumor recurrence can be carried out safely with favorable outcomes. In
addition, about 20 percent of all primarily locally irresectable tumors may
profit from neoadjuvant radiochemotherapy. The impact of adjuvant chemotherapy
has increased over recent years, leading to improved long-term survival. Thus,
pancreatic cancer should be treated in an interdisciplinary team at a pancreatic
cancer center. Cystic pancreatic tumors (e. g. IPMNs) are diagnosed more and more
frequently over the past decade. Often, these tumors are diagnosed in
premalignant or early invasive stages. While the outcome of late tumor stages of
cystic and ductal pancreatic carcinomas is identical to ductal adenocarcinomas,
the long-term outcome of prophylactic resection of premalignant or resection of
early tumor stages of cystic tumors is excellent. Thus, early surgical treatment
must be considered in cystic pancreatic tumors.
PMID- 21882140
TI - [Peritoneal carcinomatosis of colorectal cancer: cytoreductive surgery and
hyperthermic intraperitoneal chemotherapy].
AB - Peritoneal carcinomatosis caused by colorectal carcinoma is still considered as
the end-stage of disease. A multi-modal therapeutic concept including maximal
cytoreduction followed by intraperitoneal hyperthermic chemotherapy (HIPEC) has
the potential to cure selected patients. In case of peritoneal carcinomatosis
palliative systemic treatment is no longer the state of the art. This article
addresses aspects of the disease, the rationale behind peritonectomy with HIPEC,
and the surgical management of peritoneal carcinomatosis.
PMID- 21882141
TI - SCN1B is not related to benign partial epilepsy in infancy or convulsions with
gastroenteritis.
AB - We hypothesized that benign partial epilepsy in infancy (BPEI) and convulsions
with gastroenteritis (CwG) may have a similar genetic background, because
previous studies indicate that clinical features overlap between BPEI and CwG. As
carbamazepine is effective for cessation of clustering seizures in children with
BPEI and CwG, some genetic mutations regarding sodium channels may be related to
the development of BPEI and/or CwG. We focused on SCN1B encoding the voltage
dependent sodium channel beta subunit. We explored SCN1B mutation in 6 children
with BPEI and 6 children with CwG. Genomic DNAs were extracted from peripheral
blood samples accumulated from the patients and all 5 exons of SCN1B were
amplified by standard PCR amplification. There were no SCN1B mutations or
pathological single nucleotide polymorphisms in any of the patients, although the
phenotypes of our patients were typical for BPEI or CwG. Our study demonstrated
that SCN1B may not be related to the occurrence of BPEI or CwG.
PMID- 21882142
TI - Spinal subdural effusion - an additional sonographic sign of child abuse.
AB - PURPOSE: To assess the suitability of spinal ultrasound for the detection of
spinal subdural hematoma in infants with sustained non-accidental trauma.
MATERIALS AND METHODS: Six infants (mean age +/- SD 3.3 +/- 1.5 months) admitted
to our hospital because of suspected non-accidental trauma were examined
radiologically with ultrasound, CT and/or MRI and skeletal radiography. Twelve
healthy infants (mean age +/- SD 2.5 +/- 1.4 months) in whom an ultrasound of the
spine was performed to exclude spinal dysraphism served as controls. RESULTS: All
six patients with non-accidental trauma (NAT) presented with cranial subdural
hematoma visualized by ultrasound and CT scan or MRI. Spinal ultrasound detected
echogenic effusions with floating particles that displaced the undulating
arachnoidea from the dura mater spinalis in all six patients with NAT. The size
of the spinal subdural hematoma varied and extended from the cervical spine to
the cauda equina. The anatomic landmarks (dura mater spinalis, arachnoidea
spinalis) were identified and confirmed the subdural location. All spinal
subdural hematomas were asymptomatic and detected by diagnostic ultrasound. None
of the infants had a pre-existing neurological or hemorrhagic disorder. The plain
X-rays of the spine in these infants showed no osseous lesion. Spinal subdural
hematoma was not observed in any of the controls. CONCLUSION: The presence of
spinal subdural hematoma is a valuable sign of sustained non-accidental trauma in
infants that can be quickly and easily detected using spinal ultrasound without
the need for sedation or general anesthesia. Thus, spinal ultrasound should be
part of the imaging examinations performed in infants with suspected abuse.
PMID- 21882143
TI - Severe skin burn at needle entry point complicating radiofrequency ablation for
hepatocellular carcinoma.
PMID- 21882144
TI - [Assessment of musculoskeletal pain].
AB - The rationale for a successful treatment of musculoskeletal pain is an adequate
initial assessment. Standardized questionnaires, modern imaging modalities such
as computed tomography, magnetic resonance imaging and musculoskeletal ultrasound
or electrophysiology have enriched our armamentarium in the last decades. Pain
inducing pathologies can often be identified and treated in a targeted way due to
these procedures. But none of these techniques allows an adequate judgment of the
acquired findings. Supplementary tests have to be indicated and interpreted in
the context of the patient's entire history and the clinical findings. These two
remain to be the cornerstones of the assessment of painful musculoskeletal
disorders.
PMID- 21882145
TI - [Diagnosis of neuropathic pain].
AB - Neuropathic pain arises from damage, or pathological change, in the peripheral or
central nervous system. As such, the term neuropathic pain represents a varying
set of symptoms rather than a single diagnosis. There is no diagnostic gold
standard for neuropathic pain and so making a diagnosis is based on clinical
judgement. The essential elements of this process are to identify painful
symptoms and a clinical history that all match a neuro-anatomical or dermatomal
pattern. Abnormal responses to nerve damage account for many of the clinical
features of neuropathic pain. Painful symptoms arising in an area of altered
sensation (numbness or hyper-excitability) is the hallmark of neuropathic pain.
Cardinal features are spontaneous pains (pain arising without stimulus) and
abnormal responses to non-painful (allodynia) or painful stimuli. The diagnostic
work-up includes screening tools such as pain questionnaires and pain drawings as
well as information on the history of the disease and a detailed clinical
neurological examination. Bedside examination is straightforward. The aim is to
identify altered sensation in the painful area and so responses should be
compared with a non-painful adjacent or contra-lateral area. A combination of
characteristic painful symptoms in an area of altered sensation on bedside
testing is usually enough to make a diagnosis of neuropathic pain. When doubt
arises, more detailed examination using Quantitative Sensory Testing or
conventional electrophysiology can be helpful.
PMID- 21882146
TI - [Migraine - diagnostic features, acute therapy and prophylactics].
AB - Migraine is a chronic. disabling, biologically determined, inherited brain
disorder rendering life much less tolerable. The International Headache Society
(IHS) offers guidelines for the classification and diagnosis of migraine
headaches, in a document called 'The International Classification of Headache
Disorders, 2nd edition' (ICHD-2). Migraine affects 10-16% of the population world
wide. For the 20-30% of migraine sufferers who experience migraine with aura,
this aura comprises focal neurological phenomena that precede or accompany the
attack. There are three main aspects of treatment: trigger avoidance, acute
symptomatic control, and pharmacological prevention. Acute medications are more
effective if used earlier in an attack. The goals of preventive therapy are to
reduce the frequency, painfulness, and/or duration of migraines, and to increase
quality of life.
PMID- 21882147
TI - [Operant and cognitive behavioural treatments in chronic pain].
AB - In the present review learning procedures as operant and classical conditioning
on the development of chronic pain as well as the influence of cognitive and
affective factors will be reported. Characteristics of extinction and its
applications in operant and cognitive behavioural treatment as well as its
combination with pharmacological agents will be discussed. Operant and cognitive
behavioural treatments were shown effective in treating chronic pain.
Combinations with pharmacological agents have to be examined in future research.
PMID- 21882148
TI - [Pharmacological treatment of chronic pain].
AB - The pharmacological treatment of chronic pain differs from acute pain management.
In chronic non-cancer pain patients pharmacological treatment is only one element
of an interdisciplinary approach. Not pain reduction only but gain in physical
and social functioning is mandatory for continuation of therapy. The
developpement of a strategy is the most important and difficult step toward an
individual and sustained pharmacological pain treatment. Simple practical
guidelines can help to find an individual therapeutic straight. Outcome
parameters have to be determined. Check-ups for discontinuation of the therapy
have to be done periodically. Exact documentation of effect and side effects
prevents ungrateful and potential dangerous treatments. The WHO ladder remains
the cornerstone of pharmacological pain treatment. Further analgesics as
antidepressants and anticonvulsants are important in treatment of neuropathic or
mixed pain states. Special considerations have to be done in opioid treatment of
non-cancer pain regarding the lack of evidence in long term outcome and possible
side effects and risks.
PMID- 21882149
TI - [Physiotherapy].
AB - In this review the therapeutical options of physiotherapy in patients with
chronic musculoskeletal pain, especially non-specific back pain, are reported.
The importance of the objective "reduction of pain related disability of
individual activities of daily living" is reported. Various methods of exercise
therapy and their application in either a monodisciplinary setting or in an
interdisciplinary pain management team are discussed.
PMID- 21882150
TI - [Multidisciplinary rehabilitation programs].
AB - This is an overview of development, aspects of content, importance and evidence
of multidisciplinary rehabilitation programs. In subacute and chronic pain, bio
psycho-social factors act together in a complex manner. The importance of multi
modal treatment programs will, thus, be focused. However, present study data show
only few positive effects in this field.
PMID- 21882151
TI - [Interventional pain management, indications and results].
AB - When conservative treatment modalities provide inadequate relief, interventional
techniques play an important role in the management of chronic pain patients.
They are performed in diagnostic or therapeutic intention (or both) and either
directly target the pain generating anatomic structure (e.g. intraarticular joint
injections) or the neural structures which are thought to mediate the experience
of pain (e.g. facet joint nerve blocks, spinal cord stimulation). In order to
enhance precision and patient safety, these interventions are performed with
image guidance; of which fluoroscopy is the most commonly used technique. CT- or
Ultrasound-guided techniques are also performed. This article is an overview of
the indications and results of the most commonly performed interventional
techniques used in chronic pain treatment with focus on spinal interventional
procedures.
PMID- 21882152
TI - [Early detection of psychoses- weighing risks and benefits in children and
adolescents].
PMID- 21882153
TI - [Early detection of psychosis in children and adolescents - have developmental
particularities been sufficiently considered?].
AB - The early detection and treatment of persons at risk for psychosis is currently
regarded as a promising strategy in fighting the devastating consequences of
psychotic disorders. The two current favored at-risk approaches, i.e., the "ultra
high risk" and the "basic symptom" criteria, were developed mainly using adult
samples. Initial evidence suggests, however, that they cannot simply be applied
to children and adolescents. For "ultra-high risk" criteria, there is indication
of some attenuated psychotic symptoms being potentially nonspecific in
adolescents, and of brief limited intermittent symptoms being difficult to
clinically classify in children when observable behavioral correlates are
missing. For basic symptoms, too, only a preliminary indication of their
usefulness in children and adolescents exists. Since developmental peculiarities
in the assessment of basic symptoms should be considered, a child and youth
version of the Schizophrenia Proneness Instrument (SPI-CY) was developed. In
conclusion, research on the clinical-prognostic validity of the at-risk criteria
and their potential adaption to the special needs of children and adolescents is
needed. If a "Prodromal Risk Syndrome for Psychosis" or "Attenuated Psychotic
Symptoms Syndrome" are included in the upcoming DSM-5, it should be highlighted
that its suitability for children and adolescents is only insufficiently known.
PMID- 21882154
TI - [Follow-up support measures as parameters for the effectiveness of day-care
measures in youth welfare - a comparative study].
AB - OBJECTIVE: Educational support measures aim at reducing strain on families in
precarious life situations. In children and adolescents, measures usually focus
on reducing conduct problems and promoting competencies; parents are supported in
order to improve their parenting competencies. But youth welfare measures have
different effects on children, adolescents, and their parents, and a national
comparison reveals that most families require follow-up support measures
afterwards. This study compares different courses of youth assistance measures in
families with or without follow-up support measures. METHODS: A total of 89
families received day-care educational support for an average period of 2 years.
Subsequently, 26 families required further support. In order to identify
predictors for follow-up support measures, we systematically assessed problem
areas indicating a need for further support prior to and after youth help
measures, and contrasted them in a pre-post-design. RESULTS: Children in need of
further support displayed significantly more symptoms of strain and less
improvement in their competencies after the end of youth help measures. However,
the most distinctive differences resulted for ineffective parenting. These groups
differed significantly. CONCLUSIONS: The effectiveness of youth welfare measures
strongly depends on an improvement of parenting competencies. A lack thereof
points toward further need for support, even after intensive educational support
measures.
PMID- 21882155
TI - [The Essen Trauma-Inventory for children and adolescents (ETI-CA)].
AB - OBJECTIVE: In light of the clinical and scientific relevance of traumatic events
and posttraumatic disorders our study aimed to develop and validate the Essen
Trauma-Inventory for Children and Adolescents (ETI-CA). The new instrument should
assess various aspects of traumatic events and both posttraumatic disorders,
namely Posttraumatic Stress Disorder and Acute Stress Disorder according to the
criteria provided in DSM-IV. METHOD: ETI-CA was administered to a sample
consisting of 276 children and adolescents aged between 12 and 17 years with
regard to psychometric properties on clinical and non-clinical groups. RESULTS:
The ETI-CA subscales as well as the total score proved to have high internal
consistency. The 4-factorial structure (intrusion, avoidance, hyperarousal,
dissociation) was in line with the theoretical assumptions. Associations between
ETI-CA and other trauma instruments as well as instruments for psychological
distress and protective factors provided empirical evidence for the construct
validity of ETI-CA. CONCLUSIONS: In German-speaking regions, the ETI-CA
represents the first economic, reliable and valid screening instrument that
assesses exposure to a broad range of potential traumatic events as well as
posttraumatic disorders. The ETI-CA can be recommended for use in research as
well as in clinical settings.
PMID- 21882156
TI - [The effect of social and monetary reward on inhibitory control in boys with
hyperkinetic conduct disorder].
AB - OBJECTIVE: Social reinforcement can improve inhibitory control in boys with
attention deficit/hyperactivity disorder (ADHD), suggesting that hyperkinetic
conduct disorder (ADHD + CD/ODD), a combination of ADHD and conduct disorder
(CD)/oppositional defiant disorder (ODD), might show similar improvements. This
study investigated the effects of social and monetary reward on response
inhibition in boys with ADHD + CD/ODD compared to healthy controls. METHOD: An
incentive go/no-go task was applied. We hypothesized that the control group (n =
17) would show greater improvements in inhibitory control in response to social
reward than the ADHD + CD/ODD group (n = 17), but that both groups would respond
equally to monetary reward. RESULTS: Both groups showed improved inhibition
accuracy in the social condition and even greater improvement in the monetary
condition. Interestingly, the control group altered their response strategy when
money was at stake, i.e., they slowed down more dramatically in favour of
stronger inhibitory control and, thus, a potentially greater financial gain.
CONCLUSIONS: Our findings do not provide evidence for hyporesponsivity to social
rewards in boys with ADHD + CD/ODD. Rather, our data suggest that both social and
nonsocial reward procedures can be effective in behavioral interventions, but
that monetary reinforcers may be more effective.
PMID- 21882157
TI - Pervasive refusal syndrome. Three German cases provide further illustration.
AB - Pervasive refusal syndrome (PRS) has been proposed as a new diagnostic entity
among child and adolescent psychiatric disorders. It is characterized by a
cluster of life-threatening symptoms including refusal of hood intake, decreased
or complete lack of mobilization, and lack of communication as well as retreat
from normal life activities. Active refusal to accept help as well as neglect of
personal care have been core features of PRS in the limited number of cases
reported in the last decade. There have, however; been cases with predominantly
passive resistance, indicating the possibility that there may be a continuum from
active refusal to passive resistance within PRS. Postulating this continuum
allows for the integration of "depressive devitalization" -- a refusal syndrome
mainly characterized by passive resistance -- into the concept of PRS. Here,
three case vignettes of adolescent patients with PRS are presented. The patients'
symptomatology can be allocated on this continuum of PRS. PRS and dissociative
disorders are compared in greater detail and contrasted within this discussion of
differential diagnoses at the poles of such a continuum. PRS is a useful
diagnosis for cases involving symptoms of predominating refusal and retreat which
cannot satisfactorily be classified by existing diagnostic categories, and which
can mostly clearly be separated from dissociative disorder.
PMID- 21882160
TI - Oxygen delivery.
AB - Early recognition of failure of oxygen delivery and knowledge of how medications
can alter oxygen delivery allow clinicians to institute appropriate therapies in
a timely manner and can result in improved patient outcomes. Oxygen delivery can
be estimated and evaluated using a variety of methods, including arterial blood
gas sampling, blood lactate quantification, echocardiography, and direct cardiac
output measurement. Delivery can be enhanced by manipulating the components of
the oxygen delivery formula. Cardiac output, hemoglobin concentration, oxygen
saturation, and oxygen tension can all be improved through therapeutic or
pharmacologic intervention.
PMID- 21882161
TI - Treating navicular syndrome in equine patients.
AB - Navicular syndrome is a chronic, progressive condition affecting the navicular
bone and bursa, deep digital flexor tendon (DDFT), and associated soft tissue
structures composing the navicular apparatus. The treatment options for navicular
syndrome are as varied as the proposed causes of the condition. The severity of
clinical signs, intended use and workload of the horse, and owner compliance with
therapy are important considerations in developing a treatment plan. Nonsurgical
treatment of navicular syndrome consists of rest, hoof balance and corrective
trimming/shoeing, and medical therapy, including administration of systemic
antiinflammatories, hemorheologic medications, and intraarticular medications.
While surgical therapy can include desmotomy of the collateral (suspensory)
ligaments of the navicular bone, palmar digital neurectomy is more commonly
performed when medical therapy is ineffective. Recently, adjunct therapies, such
as acupuncture and extracorporeal shock wave therapy, have also been used to
treat horses with navicular syndrome. Because of the wide range of pathologic
changes and the lack of a definitive cure associated with navicular syndrome,
treatment is directed toward the individual horse, focusing on the management of
clinical signs to alleviate stress and retard degenerative changes of the
navicular bursal and navicular regions.
PMID- 21882163
TI - Nuclear scintigraphy in horses.
AB - Nuclear scintigraphy has been used successfully for various applications in
horses in the past 30 years. Many private practices and most veterinary schools
have gamma cameras, which are used to image an injected radionuclide in an equine
patient. Unique exercise-related demands place specific physiologic stressors on
the musculoskeletal system of horses. Horses are often pushed beyond normal
physiologic limits because of specific performance stresses; therefore, injury to
their musculoskeletal system is common. Skeletal scintigraphy is exceedingly
sensitive but relatively nonspecific for determining a definitive etiology.
Equine scintigraphy is best suited for detecting acute soft tissue and osseous
abnormalities because radiopharmaceutical uptake often precedes radiographic
detection. However, scintigraphy can also be used to locate potential areas of
abnormal osseous turnover in horses with chronic, vague lameness. This article
reviews the basic principles of equine scintigraphy, with an emphasis on bone
scintigraphy and the clinical applications of this technique. Vascular-, soft
tissue-, and bone-phase acquisition are described along with basic image
interpretation. Potential pitfalls in image acquisition and interpretation are
discussed.
PMID- 21882164
TI - Environmental enrichment for indoor cats.
AB - Recommendations to cat owners to house their cats indoors confer the
responsibility to provide conditions that ensure good health and welfare. Cats
maintain their natural behaviors, such as scratching, chewing, and elimination,
while living indoors, and they may develop health and behavior problems when
deprived of appropriate environmental outlets for these behaviors. This article
divides the environment into five basic "systems" to enable identification of
features that may benefit from improvement. It also addresses practical means of
meeting cats' needs in each of these systems.
PMID- 21882165
TI - Arrhythmogenic right ventricular cardiomyopathy in boxers.
AB - Arrhythmogenic right ventricular cardiomyopathy, formerly termed boxer
cardiomyopathy, is a familial primary myocardial disease that is prevalent in
boxers. Unique histopathologic changes in the myocardium lead to conduction
abnormalities that typically manifest as ventricular tachyarrhythmias with left
bundle branch block morphology. Affected dogs can be asymptomatic or may have
syncope and/or exercise intolerance. Diagnosis can be difficult, and indications
for antiarrhythmic therapy are not always clear. A small number of dogs present
with systolic dysfunction and/or congestive heart failure. Screening in
asymptomatic breeding dogs was difficult until the recent development of genetic
testing.
PMID- 21882166
TI - Feline thyroid storm: rapid recognition to improve patient survival.
AB - In human medicine, thyroid storm is a well-recognized condition of acute
thyrotoxicosis in which the patient's metabolic, thermoregulatory, and
cardiovascular mechanisms are overwhelmed by excessive circulating levels of
thyroid hormone. The etiology is unknown, but multiple precipitating factors have
been proposed. Hyperthyroid cats presenting in thyrotoxic crisis have clinical
signs similar to those of human thyroid storm patients; however, thyroid storm
has not yet been fully characterized in veterinary medicine. Early recognition
and prompt, appropriate treatment of this life-threatening condition are
essential to obtaining a favorable outcome.
PMID- 21882167
TI - Increase the success of weight loss programs by creating an environment for
change.
AB - Veterinary professionals frequently recommend weight loss programs for pets, but
success is often elusive. By learning techniques to assess clients' readiness for
change, the veterinary team can apply communication tools and strategies to help
clients overcome obstacles and barriers to sustainable change. With a better
assessment of a client's ability to change, a weight loss plan can be implemented
at the right time in the right way to achieve better adherence to the agreed-upon
plan and improve patient health.
PMID- 21882168
TI - Canine anterior uveitis.
AB - Canine anterior uveitis can be a debilitating, painful, vision-threatening
disease. Several local and systemic diseases can cause anterior uveitis. Because
the eye is limited in its ability to respond to injury, different diseases
produce similar clinical signs, making an etiologic diagnosis difficult but
imperative to improve the likelihood of a successful outcome. A thorough history
and complete ocular and physical evaluations are necessary to ensure timely and
accurate diagnosis. This article reviews the pathophysiology, most common causes,
diagnostic recommendations, current therapeutic options, potential complications,
and prognosis for canine anterior uveitis.
PMID- 21882169
TI - Rheumatoid arthritis subgroup with type I interferon signature: comment on the
article by Thurlings et al.
PMID- 21882170
TI - Use of Oxyma as pH modulatory agent to be used in the prevention of base-driven
side reactions and its effect on 2-chlorotrityl chloride resin.
AB - The presence of low pKa N-hydroxylamines is beneficial in peptide chemistry as
they reduce some base-mediated side reactions. Here we evaluated the
applicability and buffering capacity of Ethyl 2-cyano-2-(hydroxyimino) acetate
(Oxyma) in the prevention of aspartimide/piperidide formation and Pro-based
overcoupling and compared it with the performance of HOBt and HOAt. In addition,
the compatibility of these additives with the highly acid-labile 2-chlorotrityl
chloride resin is examined.
PMID- 21882171
TI - Review structure of silk by raman spectromicroscopy: from the spinning glands to
the fibers.
AB - Raman spectroscopy has long been proved to be a useful tool to study the
conformation of protein-based materials such as silk. Thanks to recent
developments, linearly polarized Raman spectromicroscopy has appeared very
efficient to characterize the molecular structure of native single silk fibers
and spinning dopes because it can provide information relative to the protein
secondary structure, molecular orientation, and amino acid composition. This
review will describe recent advances in the study of the structure of silk by
Raman spectromicroscopy. A particular emphasis is put on the spider dragline and
silkworm cocoon threads, other fibers spun by orb-weaving spiders, the spinning
dope contained in their silk glands and the effect of mechanical deformation.
Taken together, the results of the literature show that Raman spectromicroscopy
is particularly efficient to investigate all aspects of silk structure and
production. The data provided can lead to a better understanding of the structure
of the silk dope, transformations occurring during the spinning process, and
structure and mechanical properties of native fibers.
PMID- 21882172
TI - Spectroscopic investigations to reveal the nature of interactions between the
haem protein myoglobin and the dye rhodamine 6G.
AB - In the present investigation, steady-state and time-resolved fluorescence with
the combination of circular dichroism (CD) spectroscopic techniques were applied
to study the interactions of the well-known dye rhodamine 6 G (R6G) with the haem
protein human myoglobin (Mb). From the analysis of the results it appears that
the static type of fluorescence quenching mechanism is primarily involved, due to
ground-state interactions. Although considerable overlapping of fluorescence
emission of the dye R6G with the absorption of Mb in the Q-band region exists,
the possibility of occurrences of the excitational singlet-singlet non-radiative
energy transfer process from R6G to Mb appears to be unlikely, according to time
resolved fluorescence measurements. From the determinations of the thermodynamic
parameters, it was apparent that the combined effect of van der Waals'
interactions and hydrogen bonding plays a vital role in Mb-R6G interactions.
Induced circular dichroism (ICD) studies demonstrate the possibility of
interactions between R6G and Mb. The binding constants, number of binding sites
and thermodynamic parameters have been computed. From CD measurements it is
apparent that the binding of the dye R6G with the haem protein Mb induces
negligible conformational changes in the protein and Mb retains its secondary
structure and helicity when it interacts with R6G. The present detailed studies
on the interactions with Mb should be helpful in further advancement of medical
diagnostics and biotechnology.
PMID- 21882173
TI - Critical conditions for ferric chloride-induced flocculation of freshwater algae.
AB - The effects of algae concentration, ferric chloride dose, and pH on the
flocculation efficiency of the freshwater algae Chlorella zofingiensis can be
understood by considering the nature of the electrostatic charges on the algae
and precipitate surfaces. Two critical conditions are identified which, when met,
result in flocculation efficiencies in excess of 90% for freshwater algae. First,
a minimum concentration of ferric chloride is required to overcome the
electrostatic stabilization of the algae and promote bridging of algae cells by
hydroxide precipitates. At low algae concentrations, the minimum amount of ferric
chloride required increases linearly with algae concentration, characteristic of
flocculation primarily through electrostatic bridging by hydroxide precipitates.
At higher algae concentrations, the minimum required concentration of ferric
chloride for flocculation is independent of algae concentration, suggesting a
change in the primary flocculation mechanism from bridging to sweep flocculation.
Second, the algae must have a negative surface charge. Experiments and surface
complexation modeling show that the surface charge of C. zofingiensis is negative
above a pH of 4.0 +/- 0.3 which agrees well with the minimum pH required for
effective flocculation. These critical flocculation criteria can be extended to
other freshwater algae to design effective flocculation systems.
PMID- 21882174
TI - The N-domain of Escherichia coli phosphoglycerate kinase is a novel fusion
partner to express aggregation-prone heterologous proteins.
AB - As a fusion partner to express aggregation-prone heterologous proteins, we
investigated the efficacy of Escherichia coli phosphoglycerate kinase (ePGK) that
consists of two functional domains (N- and C-domain) and reportedly has a high
structural stability. When the full-length ePGK (F-ePGK) was used as a fusion
partner, the solubility of the heterologous proteins increased, but some of them
still had a large fraction of insoluble aggregates. Surprisingly, the fusion
expression using the N-domain of ePGK (N-ePGK) made the insoluble fraction
significantly reduce to less than 10% for all the heterologous fusion proteins
tested. Also, we evaluated the efficacy of N-ePGK in making the target proteins
be expressed with their own native function or structure. It was found that of
human ferritin light chain, bacterial arginine deiminase, human granulocyte
colony stimulating factor were synthesized evidently with the self-assembly
function, L-arginine-degrading activity, and the correct secondary structure,
respectively, through the fusion expression using N-ePGK. These results indicate
that N-ePGK is a highly potent fusion partner that can be widely used for the
synthesis of a variety of heterologous proteins in E. coli.
PMID- 21882176
TI - Randomized controlled trial of acitretin versus placebo in patients at high-risk
for basal cell or squamous cell carcinoma of the skin (North Central Cancer
Treatment Group Study 969251).
AB - BACKGROUND: Chemoprevention with systemic retinoids has demonstrated promise in
decreasing the incidence of new primary nonmelanoma skin cancers (NMSCs) in
immunocompromised post-transplantation recipients. There is limited evidence for
the use of systemic retinoids in the nontransplantation patient. To the authors'
knowledge, this is the first randomized controlled trial to assess the efficacy
of acitretin as a chemopreventive agent in nontransplantation patients at high
risk for NMSC. METHODS: The study was designed as a prospective, randomized,
double-blind, placebo-controlled clinical trial. To test the possible skin cancer
preventing effect of a 2-year treatment with acitretin, 70 nontransplantation
patients aged >=18 years who had a history of >=2 NMSCs within 5 years of trial
onset were randomized to receive either placebo or acitretin 25 mg orally 5 days
per week. The primary outcome measure was the rate of new NMSC development.
RESULTS: Seventy patients were randomized to receive either acitretin alone (N =
35) or placebo (N = 35). During the 2-year treatment period, the patients who
received acitretin did not have a statistically significant reduction in the rate
of new primary NMSCs (odds ratio, 0.41; 95% confidence interval, 0.15-1.13; 54%
vs 74%; P = .13). However, using the incidence of new NMSC, the time to new NMSC,
and total NMSC counts, an umbrella test indicated a significant trend that
favored the use of acitretin (chi-square statistic, 3.94; P = .047). The patients
who received acitretin reported significantly more mucositis and skin toxicities
compared with the patients who received placebo. CONCLUSIONS: Although there was
not a statistically significant benefit observed with the use of acitretin, this
may have been the result of low statistical power.
PMID- 21882177
TI - A combined molecular-pathologic score improves risk stratification of thyroid
papillary microcarcinoma.
AB - BACKGROUND: Thyroid papillary microcarcinoma (TPMC) is an incidentally discovered
papillary carcinoma that measures <=1.0 cm in size. Most TPMCs are indolent,
whereas some behave aggressively. The objective of the study was to evaluate
whether the combination of v-raf murine sarcoma viral oncogene homolog B1 (BRAF)
mutation and specific histopathologic features allows risk stratification of
TPMC. METHODS: A group aggressive TPMCs was selected based on the presence of
lymph node metastasis or tumor recurrence. Another group of nonaggressive tumors
included TPMCs matched with the first group for age, sex, and tumor size, but
with no extrathyroid spread. A molecular analysis was performed, and histologic
slides were scored for multiple histopathologic criteria. A separate validation
cohort of 40 TPMCs was evaluated. RESULTS: BRAF mutations were detected in 77% of
aggressive TPMCs and in 32% of nonaggressive tumors (P = .001). Several
histopathologic features differed significantly between the groups. By using
multivariate regression analysis, a molecular-pathologic (MP) score was developed
that included BRAF status and 3 histopathologic features: superficial tumor
location, intraglandular tumor spread/multifocality, and tumor fibrosis. By
adding the histologic criteria to BRAF status, sensitivity was increased from 77%
to 96%, and specificity was increased from 68% to 80%. In the independent
validation cohort, the MP score stratified tumors into low-risk, moderate-risk,
and high-risk groups with the probability of lymph node metastases or tumor
recurrence in 0%, 20%, and 60% of patients, respectively. CONCLUSIONS: BRAF
status together with several histopathologic features allowed clinical risk
stratification of TPMCs. The combined MP risk stratification model was a better
predictor of extrathyroid tumor spread than either mutation or histopathologic
findings alone.
PMID- 21882178
TI - Prognostic value of MYC rearrangement in cases of B-cell lymphoma,
unclassifiable, with features intermediate between diffuse large B-cell lymphoma
and Burkitt lymphoma.
AB - BACKGROUND: B-cell lymphoma, Unclassifiable with features intermediate between
diffuse large B-cell lymphoma (DLBCL) and Burkitt lymphoma, for convenience
referred to here as unclassifiable B-cell lymphoma, is a category in the 2008
World Health Organization system used for a group of histologically aggressive
neoplasms that are difficult to classify definitively. Currently, there is no
established standard therapy for these neoplasms. METHODS: The authors assessed
MYC status and correlated it with treatment response and outcome in a group of 52
patients with unclassifiable B-cell lymphoma treated with either a standard DLBCL
regimen (R-CHOP [rituximab plus cyclophosphamide, doxorubicin, vincristine, and
prednisolone-related therapy]) or more intensive regimens, such as R-hyper-CVAD
(rituximab plus hyperfractionated cyclophosphamide, vincristine, doxorubicin, and
dexamethasone alternating with high-dose methotrexate and cytarabine). The
regimens were selected by the treating clinicians based on the overall clinical
and pathological findings. RESULTS: Thirty (58%) unclassifiable B-cell lymphomas
had MYC abnormalities (MYC(+) ) including 27 with rearrangement, 2 with
amplification, and 1 with both. The MYC(+) and MYC(-) groups were similar in
their age distribution and International Prognostic Index scores. Progression
free survival of patients with MYC(+) unclassifiable B-cell lymphoma treated
initially with R-CHOP was significantly worse than patients treated with R-hyper
CVAD (P = .0358). In contrast, for the MYC(-) unclassifiable B-cell lymphoma
group, some patients responded to R-CHOP, and others were refractory to R-hyper
CVAD. CONCLUSIONS: MYC aberrations are common in unclassifiable B-cell lymphoma.
The presence of MYC aberrations identifies a patient subset that requires more
aggressive therapy than R-CHOP. In contrast, MYC(-) unclassifiable B-cell
lymphoma patients responded variably to either R-CHOP or aggressive therapy, and
the latter showed no survival advantage.
PMID- 21882179
TI - Influence of socioeconomic status and hospital type on disparities of lymph node
evaluation in colon cancer patients.
AB - BACKGROUND: A minimum of 12 dissected lymph nodes (LNs) has been recommended as a
consensus guideline for resections in colon cancer patients. This study assessed
the influence of both socioeconomic status (SES) and hospital type on compliance
with this colon LN dissection guideline and examined the time trend for >=12 LNs
dissected. METHODS: Stage I to III incident colon cancer cases diagnosed from
1996 to 2007 were obtained from the Louisiana Tumor Registry. A composite census
tract-level SES score was created to serve as a surrogate for individual-level
SES. Hospitals performing colon resections were categorized into 5 groups
according to the Commission on Cancer Accreditation Program. Multiple logistic
regression analyses were used. RESULTS: Of 10,460 colon cancer cases diagnosed
during the study period, 43.9% had >=12 LNs dissected. Patients residing in less
affluent SES areas were less likely to receive a dissection of >=12 nodes than
those residing in more affluent areas. SES was no longer significant after
adjusting for race, sex, age, stage, grade, anatomic subsite, diagnosis year, and
hospital type. In contrast, hospital type was significantly associated with the
number of LNs dissected, even after adjusting for other factors. Patients
diagnosed from 2002 to 2007 were twice as likely (95% confidence interval, 1.84
2.17) to have >=12 LNs dissected than those diagnosed from 1996 to 2001 after
adjustment. CONCLUSIONS: In Louisiana, hospital type is an independent
significant predictor of adequate LN evaluation for colon cancer. Training and
education are needed to reduce this disparity in the facilities with consistently
lower LN yield in their dissections.
PMID- 21882180
TI - Pretreatment and routine echocardiogram monitoring during chemotherapy for
anthracycline-induced cardiotoxicity rarely identifies significant cardiac
dysfunction or alters treatment decisions: a 5-year review at a single pediatric
oncology center.
AB - BACKGROUND: The widespread use of anthracycline chemotherapy has contributed to
improved outcomes in children with cancer. The most feared complication of the
anthracyclines is cardiotoxicity. Routine echocardiographic monitoring typically
is used before, during, and after treatment to minimize cardiotoxicity. The ideal
use of screening before and during chemotherapy remains uncertain. METHODS: This
was a retrospective review of children who were treated at a single cancer
treatment center over 5 years. The results of all echocardiograms and related
clinical decisions were reviewed. RESULTS: In 356 patients who were identified
for review (age range, 3 months to 22 years; mean age, 10 years; median age, 11
years), 991 echocardiograms were reviewed (average, 2.78 echocardiograms per
patient; median, 2 echocardiograms per patient; mode, 1; maximum, 11
echocardiograms per patient). Nine abnormal echocardiograms were identified (2.5%
of patients and 0.9% of echocardiograms performed). Four echocardiograms were
performed during episodes of septic shock, 2 echocardiograms represented false
positive studies after repeat evaluation, and 1 echocardiogram demonstrated mild
abnormality of function on the day of surgical resection of a large Wilms tumor.
None of the 356 pretreatment echocardiograms altered treatment decisions. In 635
follow-up echocardiograms during treatment, cardiac defects were detected in 2
patients (0.5%). CONCLUSIONS: The routine use of echocardiograms to screen for
anthracycline-induced cardiac damage before and during chemotherapy rarely
identified significant cardiac damage to impact treatment decisions. Improved
screening techniques with better discrimination and predictability are needed.
Pediatric Oncology cooperative groups should consider a revision of standard
monitoring protocols before and during treatment.
PMID- 21882181
TI - Association of VEGF and VEGFR2 single nucleotide polymorphisms with hypertension
and clinical outcome in metastatic clear cell renal cell carcinoma patients
treated with sunitinib.
AB - PURPOSE: Biomarkers that predict response or toxicity to antiangiogenic therapy
are sought to favorably inform the risk/benefit ratio. This study evaluated the
association of vascular endothelial growth factor (VEGF) and VEGF receptor 2
(VEGFR2) genetic polymorphisms with the development of hypertension (HTN) and
clinical outcome in metastatic clear cell renal cell carcinoma (MCCRCC) patients
treated with sunitinib. PATIENT AND METHODS: Sixty-three MCCRCC patients
receiving sunitinib (50 mg 4/2) with available blood pressure (BP) data and
germline DNA were retrospectively identified. A panel of candidate VEGF and
VEGFR2 single nucleotide polymorphisms (SNPs) were evaluated for associations
with the development of hypertension and clinical outcome. RESULTS: VEGF SNP -634
genotype was associated with the prevalence and duration of sunitinib-induced
hypertension (as defined by systolic pressure >=150 mmHg and/or diastolic
pressure >=90 mmHg) in both univariable analysis (P = .03 and .01, respectively)
and multivariable analysis, which adjusted for baseline BP and use of
antihypertension medication (P = .05 and .02, respectively). Patients with the GG
genotype were estimated to have a greater likelihood of being hypertensive during
treatment compared with patients with the CC genotype (odds ratio of 13.62, 95%
confidence interval [CI] 3.71-50.04). No single VEGF or VEGFR SNPs were found to
correlate with clinical outcome. However, the combination of VEGF SNP 936 and
VEGFR2 SNP 889 were associated with overall survival after adjustment for
prognostic risk group (P = .03). CONCLUSIONS: In MCCRCC patients treated with
sunitinib, VEGF SNP -634 is associated with hypertension and a combination of
VEGF SNP 936 and VEGFR2 SNP 889 genotypes is associated with overall survival.
PMID- 21882182
TI - Adjuvant chemotherapy improves survival in patients with American Joint Committee
on Cancer stage II colon cancer.
PMID- 21882183
TI - Adult glioblastoma multiforme survival in the temozolomide era: a population
based analysis of Surveillance, Epidemiology, and End Results registries.
AB - BACKGROUND: Survival after a glioblastoma multiforme (GBM) diagnosis remained
static during the several decades before 1999. We hypothesized that the
progressive increase in temozolomide use for GBM treatment that began in 1999 in
the United States would be paralleled by a corresponding improvement in survival.
METHODS: We included 19,674 GBM cases, ages 20 years or greater, diagnosed 1993
to 2007 in the population-based Surveillance, Epidemiology, and End Results
Program database. We used proportional hazards models to calculate calendar
period hazard ratios (HR) and 95% confidence intervals (CI), adjusted for
demographic covariates. We compared survival across periods using the Kaplan
Meier method. RESULTS: Starting with cases diagnosed in 1999 to 2001, we observed
a progressive decrease in HRs compared with cases diagnosed in 1993 to 1995. The
multivariate-adjusted HR for 2005 to 2007 versus 1993 to 1995 was 0.69 (95% CI,
0.65-0.72). Age-stratified analyses revealed that this progressive decrease
occurred in all age groups except 80+ years. Two-year survival increased from 7%
among cases diagnosed in 1993 to 1995 and 1996 to 1998 to 9% among cases
diagnosed in 1999 to 2001, 13% in 2002 to 2004, and 17% in 2005 to 2007. The
disparity in survival between young and old patients increased in the
temozolomide era, with 2-year survival of 39% among cases diagnosed at ages 20 to
44 years and 1% among cases diagnosed at 80+ years in 2005 to 2007. CONCLUSIONS:
We observed a modest, but meaningful, population-based survival improvement for
GBM patients in the United States. Widespread adoption of temozolomide represents
the most likely explanation, although other treatment advances, such as increased
extent of surgical resection, also may have played a role.
PMID- 21882184
TI - The association of the BRAF(V600E) mutation with prognostic factors and poor
clinical outcome in papillary thyroid cancer: a meta-analysis.
AB - BACKGROUND: The effects of the BRAF(V600E) mutation on prognostic factors and
poor clinical outcomes in papillary thyroid cancer (PTC) have not been fully
quantified. The authors performed comprehensive meta-analysis to assess the
strength of associations between these conditions and the BRAF(V600E) mutation.
METHODS: The authors identified the clinical studies that examined the
association of the BRAF(V600E) mutation in surgical specimens with
clinicopathologic outcomes between January 2003 and October 2010 using the
Medline database. One hundred thirty-one relevant studies were hand-searched. The
authors selected 27 studies that included 5655 PTC patients. They calculated the
pooled odds ratios (ORs) or risk ratios with 95% confidence intervals (CIs) for
each study using a random effect model. RESULTS: The average prevalence rate of
the BRAF(V600E) mutation was 49.4%. In 26 studies, compared with the patients who
had the wild-type BRAF genes, the PTC patients with the BRAF(V600E) mutation had
increased ORs of an extrathyroidal invasion (OR, 2.14; 95% CI, 1.68-2.73), a
lymph node metastasis (OR, 1.54; 95% CI, 1.21-1.97), and an advanced TNM stage
(OR, 2.00; 95% CI, 1.61-2.49). In 8 studies, patients with the mutation had 2.14
fold increased risk of recurrent and persistent disease (95% CI, 1.67-2.74). The
associations were generally consistent across the different study populations.
CONCLUSIONS: This meta-analysis demonstrates that the BRAF(V600E) mutation is
closely related to the high-risk clinicopathological factors and poorer outcome
of PTC. The results obtained here suggest that the BRAF(V600E) mutation should be
considered as a poor prognostic marker in PTC and may lead to better management
for individual patients.
PMID- 21882186
TI - Radiation field design and regional control in sentinel lymph node-positive
breast cancer patients with omission of axillary dissection.
AB - BACKGROUND: Randomized data suggest that axillary clearance is not necessary in
select, clinically lymph node-negative women with positive sentinel lymph node
(SLN) biopsies (SLNBs) who undergo breast-conserving surgery or receive whole
breast radiotherapy and systemic therapy. The additional value of axillary
radiotherapy in these patients is unknown. METHODS: The authors identified 326
patients with positive SLNBs who underwent breast-conserving surgery without
axillary lymph node dissection from 1997 to 2009. SLN tumor deposits measured
<=0.2 mm in 58% of patients, 0.3 to 2.0 mm in 35% of patients, and >2 mm in 7%
Patients. Ninety-three percent of patients received adjuvant radiotherapy.
Radiation fields were categorized as standard tangents, high tangents,
comprehensive (tangents plus supraclavicular), or partial breast to reflect
coverage of the axilla. Standard tangents included both prone and supine
positions. Regional failure was defined as recurrence in the ipsilateral
supraclavicular, axillary, or internal mammary lymph nodes. RESULTS: The median
follow-up was 55 months (range, 1-158 months). The 4-year rates of regional
control, local control, disease-free survival, and overall survival were 99%,
98%, 95%, and 91%, respectively. Three patients had regional recurrences. Two of
those patients received adjuvant radiotherapy with standard supine tangents, and
1 patient did not receive radiotherapy. No regional recurrences occurred among 66
patients who received radiotherapy in the prone position. CONCLUSIONS: Regional
control was high (99% at 4 years) in patients who had low-volume SLN disease who
did not undergo axillary dissection, regardless of whether the axilla was
irradiated. Whole-breast radiation alone, including in the prone position, is
sufficient treatment after breast-conserving surgery for select patients with
tumor-containing SLNs who omit axillary dissection.
PMID- 21882187
TI - The preTCR-dependent DN3 to DP transition requires Notch signaling, is improved
by CXCL12 signaling and is inhibited by IL-7 signaling.
AB - The requirement for Notch signaling during T-cell development has been
extensively studied. Nevertheless, the developmental stage at which it is
required and whether additional signaling pathways are needed are still poorly
understood. By using a stromal-cell-free culture system, we show that sorted
double-negative 3 (DN3) thymocytes only require a Delta-like-4-induced Notch
signal to differentiate into double-positive (DP) cells. This differentiation
process is preTCR-alpha dependent. DN3 cells undergo 4-5 proliferation cycles,
and the addition of the chemokine CXCL12 improves proliferation. IL-7 blocks the
differentiation of DN3 cells to DP cells but not the Notch-induced proliferation
of cultured DN3 cells. The impaired differentiation correlates with an inhibition
of Rag-2 up-regulation. Overall, the in vitro stromal-cell-free culture system
presented here also provides a powerful and unique tool for studying the
mechanisms involved in the positive and negative selection of T cells.
PMID- 21882188
TI - Cysteine-rich protein 1 is regulated by transforming growth factor-beta1 and
expressed in lung fibrosis.
AB - Transforming growth factor-beta (TGF-beta) is a diverse cytokine regulating
growth, apoptosis, differentiation, adhesion, invasion, and extracellular matrix
production. Dysregulation of TGF-beta is associated with fibrotic disorders and
epithelial-mesenchymal transition, and has been linked with idiopathic pulmonary
fibrosis (IPF). Cysteine-rich protein 1 (CRP1) is a small LIM-domain containing
protein involved in smooth muscle differentiation. Here, we show that TGF-beta1
increases the expression of CRP1 protein and that CRP1 levels increase in a
biphasic fashion. A rapid transient (15-45 min) increase in CRP1 is followed by a
subsequent, sustained increase in CRP1 a few hours afterwards that lasts several
days. We find that TGF-beta1 regulates the expression of CRP1 through Smad and
non-conventional p38 MAPK signaling pathways in a transcription-independent
manner and that the induction occurs concomitant with an increase in
myofibroblast differentiation. Using CRP1 silencing by shRNA, we identify CRP1 as
a novel factor mediating cell contractility. Furthermore, we localize CRP1 to
fibroblastic foci in IPF lungs and find that CRP1 is significantly more expressed
in IPF as compared to control lung tissue. The results show that CRP1 is a novel
TGF-beta1 regulated protein that is expressed in fibrotic lesions and may be
relevant in the IPF disease.
PMID- 21882189
TI - CD73-generated adenosine promotes osteoblast differentiation.
AB - CD731 is a GPI-anchored cell surface protein with ecto-5'-nucleotidase enzyme
activity that plays a crucial role in adenosine production. While the roles of
adenosine receptors (AR) on osteoblasts and osteoclasts have been unveiled to
some extent, the roles of CD73 and CD73-generated adenosine in bone tissue are
largely unknown. To address this issue, we first analyzed the bone phenotype of
CD73-deficient (cd73(-/-)) mice. The mutant male mice showed osteopenia, with
significant decreases of osteoblastic markers. Levels of osteoclastic markers
were, however, comparable to those of wild-type mice. A series of in vitro
studies revealed that CD73 deficiency resulted in impairment in osteoblast
differentiation but not in the number of osteoblast progenitors. In addition,
over expression of CD73 on MC3T3-E1 cells resulted in enhanced osteoblastic
differentiation. Moreover, MC3T3-E1 cells expressed adenosine A(2A) receptors
(A(2A)AR) and A(2B) receptors (A(2B)AR) and expression of these receptors
increased with osteoblastic differentiation. Enhanced expression of osteocalcin
(OC) and bone sialoprotein (BSP) observed in MC3T3-E1 cells over expressing CD73
were suppressed by treatment with an A(2B)AR antagonist but not with an A(2A) AR
antagonist. Collectively, our results indicate that CD73 generated adenosine
positively regulates osteoblast differentiation via A(2B)AR signaling.
PMID- 21882190
TI - High glucose-induced repression of RAR/RXR in cardiomyocytes is mediated through
oxidative stress/JNK signaling.
AB - The biological actions of retinoids are mediated by nuclear retinoic acid
receptors (RARs) and retinoid X receptors (RXRs). We have recently reported that
decreased expression of RARalpha and RXRalpha has an important role in high
glucose (HG)-induced cardiomyocyte apoptosis. However, the regulatory mechanisms
of HG effects on RARalpha and RXRalpha remain unclear. Using neonatal
cardiomyocytes, we found that ligand-induced promoter activity of RAR and RXR was
significantly suppressed by HG. HG promoted protein destabilization and serine
phosphorylation of RARalpha and RXRalpha. Proteasome inhibitor MG132 blocked the
inhibitory effect of HG on RARalpha and RXRalpha. Inhibition of intracellular
reactive oxidative species (ROS) abolished the HG effect. In contrast, H(2)O(2)
stimulation suppressed the expression and ligand-induced promoter activity of
RARalpha and RXRalpha. HG promoted phosphorylation of ERK1/2, JNK and p38 MAP
kinases, which was abrogated by an ROS inhibitor. Inhibition of JNK, but not ERK
and p38 activity, reversed HG effects on RARalpha and RXRalpha. Activation of JNK
by over expressing MKK7 and MEKK1, resulted in significant downregulation of
RARalpha and RXRalpha. Ligand-induced promoter activity of RARalpha and RXRalpha
was also suppressed by overexpression of MEKK1. HG-induced cardiomyocyte
apoptosis was potentiated by activation of JNK, and prevented by all-trans
retinoic acid and inhibition of JNK. Silencing the expression of RARalpha and
RXRalpha activated the JNK pathway. In conclusion, HG-induced oxidative stress
and activation of the JNK pathway negatively regulated expression/activation of
RAR and RXR. The impaired RAR/RXR signaling and oxidative stress/JNK pathway
forms a vicious circle, which significantly contributes to hyperglycemia induced
cardiomyocyte apoptosis.
PMID- 21882192
TI - Human keratinocytes respond to direct current stimulation by increasing
intracellular calcium: preferential response of poorly differentiated cells.
AB - A direct current (DC) endogenous electric field (EF) is induced in the wound
following skin injury. It is potentially implicated in the wound healing process
by attracting cells and altering their phenotypes as indicated by the response to
an EF of keratinocytes cultured as individual cells. To better define the
signalization induced by a direct current electric field (DCEF) in human
keratinocytes, we took advantage of an in vitro model more representative of the
in vivo situation since it promotes cell-cell interactions and stratification.
Human keratinocytes were grown into colonies. Their exposure to a DCEF of
physiological intensity induced an increase of intracellular calcium. This
variation of intracellular calcium resulted from an extracellular calcium influx
and was mediated, at least in part, by the L-type voltage-gated calcium channel.
The increase in intracellular calcium in response to a DCEF was however not
observed in all the cells composing the colonies. The intracellular calcium
increase was only detected in keratinocytes that didn't express involucrin, a
marker of differentiated cells. These results indicate that DCEF is able to
induce a specific calcium response in poorly differentiated keratinocytes. This
study brings a new perspective for the understanding of the signaling mechanism
of endogenous EF in reepithelialization, a critical process during skin wound
healing.
PMID- 21882191
TI - Development and characterization of an organotypic model of Barrett's esophagus.
AB - Understanding the molecular and cellular processes underlying the development,
maintenance, and progression of Barrett's esophagus (BE) presents an empirical
challenge because there are no simple animal models and standard 2D cell culture
can distort cellular processes. Here we describe a three-dimensional (3D) cell
culture system to study BE. BE cell lines (CP-A, CP-B, CP-C, and CP-D) and
esophageal squamous keratinocytes (EPC2) were cultured on a matrix consisting of
esophageal fibroblasts and collagen. Comparison of growth and cytokeratin
expression in the presence of all-trans retinoic acid or hydrochloric acid was
made by immunohistochemistry and Alcian Blue staining to determine which
treatments produced a BE phenotype of columnar cytokeratin expression in 3D
culture. All-trans retinoic acid differentially affected the growth of BE cell
lines in 3D culture. Notably, the non-dyplastic metaplasia-derived cell line (CP
A) expressed reduced squamous cytokeratins and enhanced columnar cytokeratins
upon ATRA treatment. ATRA altered the EPC2 squamous cytokeratin profile towards a
more columnar expression pattern. Cell lines derived from patients with high
grade dysplasia already expressed columnar cytokeratins and therefore did not
show a systematic shift toward a more columnar phenotype with ATRA treatment.
ATRA treatment, however, did reduce the squamoid-like multilayer stratification
observed in all cell lines. As the first study to demonstrate long-term 3D growth
of BE cell lines, we have determined that BE cells can be cultured for at least 3
weeks on a fibroblast/collagen matrix and that the use of ATRA causes a general
reduction in squamous-like multilayered growth and an increase in columnar
phenotype with the specific effects cell-line dependent.
PMID- 21882193
TI - Implementation of educational video improves patient understanding of basic
breast cancer concepts in an undereducated county hospital population.
AB - BACKGROUND: The purpose of this study was to evaluate the effect of a video on
patient understanding of basic breast cancer concepts. METHODS: An 11 item tool
of breast cancer concepts was devised. A previous study obtained baseline
information on patient knowledge. Subsequently an 8 min video was designed to
facilitate the understanding of these concepts. The next 40 consecutive patients
who saw the video were then administered the same 11 item questionnaire. RESULTS:
Eighty-one women agreed to participate in the study, 41 before and 40 after the
implementation of the video. Fifty-one percent had less than a high school
education. The group who saw the video had a higher mean number of questions
correct (6.7 vs. 8.9, P = 0.0007). Interestingly 90% of all respondents correctly
answered the question on the value of screening mammography, however, only 37% of
these patients underwent screening mammograms. A multiple linear regression model
adjusting for years of education, language, and seeing the video, revealed that
having seen the video (P = 0.0029) and years of education (P = 0.0002) remained
significantly associated with higher score. CONCLUSIONS: Implementation of an
educational video significantly improved understanding of breast cancer concepts
in an undereducated population.
PMID- 21882194
TI - Intraperitoneal docetaxel combined with S-1 for advanced gastric cancer with
peritoneal dissemination.
AB - BACKGROUND: Our previous phase I study indicated that combination chemotherapy
with intraperitoneal docetaxel and S-1 was well tolerated by gastric cancer
patients with peritoneal carcinomatosis (PC). This study evaluated the benefits
of this combination chemotherapy and subsequent surgery. PATIENTS AND METHODS:
Neoadjuvant Intra-Peritoneal and Systemic chemotherapy (NIPS) was introduced to
gastric cancer patients with positive cytology or with PC. Two cycles of
intraperitoneal chemotherapy with docetaxel combined with S-1, were administrated
and gastrectomy with lymph node dissection was performed in cases without
macroscopic PC at post-NIPS staging laparoscopy. RESULTS: Eighteen patients were
enrolled in this study. Eight patients had measurable lymph node metastases by
the RECIST criteria and computed tomography (CT) showed that five (62.5%)
displayed a major response to the treatment. Out of 18 patients, 14 (78%) showed
negative results on peritoneal cytology and no macroscopic PC, while the
remaining four were cancer cell positive on peritoneal cytology or showed
macroscopic PC even after NIPS. The median survival time of the entire group was
24.6 months. No treatment-related mortality was observed during NIPS and surgery.
CONCLUSION: This study indicated that the NIPS combined with surgery was highly
active and well tolerated by advanced gastric cancer patients with PC.
PMID- 21882195
TI - The impact of recurrent laryngeal neuromonitoring on multi-dimensional voice
outcomes following thyroid surgery.
AB - BACKGROUND: Voice changes after thyroidectomy are common but not always related
to recurrent laryngeal nerve (RLN) injury. We evaluated if RLN neuromonitoring
correlated with non-RLN injury-related changes in voice after thyroidectomy.
METHODS: Prospective multi-dimensional voice assessment was conducted on patients
undergoing thyroidectomy before, 1-4 weeks, and 6 months postoperatively. Voice
outcome (VO) was determined as normal (NormVO) or negative (NegVO) based upon
combinations of patient-reported symptoms, videolaryngoscopy, a composite of
acoustic measurements, and clinician-perceived voice quality. Groups with and
without neuromonitoring were compared for early and durable differences in VO.
RESULTS: Ninety-one patients underwent thyroidectomy; 39 with RLN neuromonitoring
and 52 without. The two study groups were similar with regard to baseline
characteristics including voice assessment. There was no difference in NegVO
between neuromonitored and non-monitored patients at 1-4 weeks (n = 89; 32% vs.
27%; P = 0.81) and 6 months (n = 71, 14% vs. 7%; P = 0.42) after thyroidectomy.
Neuromonitoring was associated with a 48-min increase in median operative time,
but this finding was not statistically significant in a multivariate model.
CONCLUSION: In this study, recurrent laryngeal neuromonitoring did not appear to
influence non-RLN injury related VO as measured by a comprehensive
multidimensional voice assessment.
PMID- 21882196
TI - MiR-142-3p as a potential prognostic biomarker for esophageal squamous cell
carcinoma.
AB - BACKGROUND AND OBJECTIVES: microRNAs (miRNAs), small non-coding RNAs, are always
aberrantly expressed in many diseases including human cancers. The aim of this
study was to examine and determine the clinical significance of hsa-miR-31, hsa
miR-142-3p, hsa-miR-338-3p, and hsa-miR-1261 expression in esophageal squamous
cell carcinoma (ESCC). METHODS: Expression levels of four selected miRNAs,
initially evaluated by microarray, were validated by qRT-PCR. Various statistical
methods were used to analyze the relationship between miRNA expression and
clinicopathologic features and prognosis in 91 patients with ESCC. RESULTS: MiR
31 and miR-142-3p expression were correlated to histological differentiation in
ESCC (P < 0.05, Student's t-test); high miR-142-3p expression was associated with
a poor prognosis in all 91 ESCC patients (P = 0.014, log-rank) and identified as
an independent prognostic factor in ESCC (P = 0.017, univariate Cox; P = 0.022,
multivariate Cox). More importantly, stratified analysis indicated that high miR
142-3p expression was correlated to a poor prognosis within good-prognosis groups
comprised of ESCC patients with small tumor size, negative lymph node metastasis,
or early stage (all P < 0.05). CONCLUSION: The main findings suggest that miR-142
3p is involved in the progression of ESCC and is a potential prognostic biomarker
for ESCC.
PMID- 21882198
TI - High rate of solitary sentinel node metastases identification by fluorescence
guided lymphatic imaging in breast cancer.
AB - BACKGROUND: The lymph node status was shown to be an important prognostic factor
for breast cancer, but controversial issues remain. There has been increased
focus on optimizing the visualization of lymph nodes for an accurate and
selective approach to axillary lymph nodes. Fluorescence-guided lymphatic imaging
is a potential candidate for further research on remaining controversies.
METHODS: Forty-seven patients were subject to injection of indocyanine green for
navigation to the SLN based on fluorescent dye retention detection. In two
groups, patients either received intended axillary lymph node dissection (ALND)
and sentinel lymph node biopsy (SLNB) for superstaging or isolated SLNB for
minimal-invasive staging. The prospective study was designed to evaluate the
technical feasibility with focus on solitary positive SLN. RESULTS: Visualization
of lymphatic vessels with bright fluorescence of the SLN was feasible in 46 of 47
patients. Eighteen of 19 nodal positive patients were correctly identified with a
sensitivity of 94.7% in all patients after ALND. After immunohistochemistry, in
19 of 25 overall nodal positive patients (76%) the SLN was the only positive
lymph node. CONCLUSION: Fluorescence-guided imaging using fluorescence retention
detection allows transcutaneous navigation with a high rate of solitary positive
SLN identification as an alternative technique for further research.
PMID- 21882197
TI - Six primary cancers in one Lynch syndrome patient with chronic arsenic exposure.
PMID- 21882199
TI - Is a level III dissection necessary for a positive sentinel lymph node in
melanoma?
AB - BACKGROUND: For melanoma patients with a positive axillary SLN, the extent of
ALND remains controversial, with debate over whether a level III dissection is
needed. METHODS: We queried our IRB approved prospective database for patients
with a positive axillary SLN who had a level I/II dissection only, and compared
recurrence and complication rates to the existing literature. RESULTS: Between
1998 and 2008, 270 patients had 285 level I/II ALNDs for a positive SLN. Median
number of SLN removed was 2, while the median number of involved SLN was 1 (range
1-4). An average of 18.7 nodes/ALND were removed, with 13% having positive non
SLN. Post-operative complications occurred in 31 patients (11%), primarily
cellulitis (8%). After a mean follow-up of 44 months, 14 patients had a regional
recurrence in the axillary basin (5%). CONCLUSIONS: The complication rate and
regional recurrence rate for patients undergoing a level I/II ALND for a positive
SLN are either lower than or on par with reported series of ALND for level I, II,
and III dissections, suggesting that in this setting, the level III dissection
may be of minimal benefit.
PMID- 21882200
TI - Gastric cancer: biology and clinical manifestations in Israel.
AB - BACKGROUND: Gastric cancer (GC) in Israel remains incompletely characterized. The
aim of this study was to define the clinical and pathological characteristics of
GC in Israel and to compare them to the general Western population. PATIENTS AND
METHODS: This is a retrospective analysis of 461 consecutive GC patients treated
at a single institution between 1995 and 2007. Epidemiological and clinical
pathological data were retrieved from the patients' medical files and the
institutional electronic database and analyzed using standard statistical
methods. RESULTS: Epidemiology, clinical manifestations, histopathological
findings, clinical course, and prognostic factors for disease outcome were all
similar to those reported in the Western literature. Findings unique to the
Israeli population included: (1) rarity of GC-associated risk factors; (2)
increased GC incidence in Ashkenazi Jews; (3) high incidence of second primary
malignancy and family history of cancer; and (4) no dominancy of proximal GCs.
CONCLUSION: There do not appear to be any major differences in the biology or
clinical manifestations of GC in Israel. Western recommendations for diagnosis
and treatment of GC may therefore be applied to the Israeli patient population.
PMID- 21882201
TI - Clinicopathologic variables predicting tumor response to neoadjuvant chemotherapy
in patients with locally advanced gastric cancer.
AB - BACKGROUND AND OBJECTIVES: To identify clinicopathologic variables that could
predict pathologic tumor response to neoadjuvant chemotherapy for patients with
locally advanced gastric cancer. METHODS: The study enrolled 108 patients who
underwent neoadjuvant chemotherapy followed by surgery between July 2004 and
December 2010. Tumor responses to neoadjuvant chemotherapy were assessed in terms
of tumor regression. Statistical analyses were performed to identify factors
associated with pathologic tumor response. RESULTS: Tumor regression was found in
22.2% (24/108) patients, patients with tumor regression observed better overall
survival as compared to that of patients without tumor regression. Univariate and
multivariate analyses observed that both tumor differentiation and tumor size
were independent predictors of tumor regression. CONCLUSIONS: This study suggests
that both tumor differentiation and tumor size is the most important clinical
predicator of pathologic tumor response, it may be of benefit in the selection of
treatment options in locally advanced gastric cancer.
PMID- 21882202
TI - Clinical significance of pathologic subtype in curatively resected ampulla of
vater cancer.
AB - BACKGROUND: Ampullary cancer is considered to have a better prognosis than
cancers of the distal bile duct and pancreas, and recent publications emphasize
the prognostic importance of the histologic differentiation of the intestinal and
pancreatobiliary types of ampullary cancer. The aims of this study were to
identify those factors that affect recurrence after curative resection and to
investigate differences between the clinicopathologic features of these two
pathologic subtypes. PATIENTS AND METHODS: The medical records of patients that
underwent pancreatoduodenectomy for ampullary carcinoma from February 1995 to
March 2009 at our institute were retrospectively reviewed. One hundred and four
patients that underwent curative resection for ampullary carcinoma were enrolled
in this study. One pathologist reviewed all pathologic reports and
histopathologic findings. Data on clinicopathologic factors and disease free and
overall survival were analyzed. RESULTS: The 3- and 5-year disease free survival
rates of the 104 study subjects were 62.2% and 57.7%, respectively, and overall
survival rates were 69.4% and 60.1%, respectively. Multivariate analysis showed
that an advanced T stage (P = 0.049), the presence of lymph node metastasis (P =
0.003), poor differentiation (P = 0.039), and the pancreatobiliary type (P =
0.022) significantly increased the risk of recurrence. Furthermore, the
pancreatobiliary type was found to be more associated with an advanced T stage (P
= 0.009), regional lymph node metastasis (P = 0.007), and perineural invasion (P
= 0.026) than the intestinal type. In addition, pathologic subtype analysis
showed that Carcinoembryonic antigen (CEA) level and lymph node metastasis were
important predictors of recurrence in patients with the intestinal (P = 0.013)
and pancreatobiliary types, respectively (P = 0.003). CONCLUSIONS: An advanced T
stage, nodal metastasis, poor differentiation, and the pancreaticobiliary type
were found to be independent predictors of recurrence after curative resection of
ampullary carcinoma by multivariate analysis. In addition, the pancreatobiliary
type tended to present in a more advanced T stage and more frequently with
regional lymph node involvement and perineural invasion than the intestinal type.
Furthermore, CEA level and lymph node metastasis were found to be independent
predictors of recurrence for the intestinal and pancreatobiliary types,
respectively.
PMID- 21882203
TI - Swallowing function after transoral laser microsurgery (TLM) +/- adjuvant therapy
for advanced-stage oropharyngeal cancer.
AB - OBJECTIVES/HYPOTHESIS: To perform a longitudinal description of swallowing
function following transoral laser microsurgery (TLM) +/- adjuvant therapy for
advanced-stage oropharyngeal cancer (OPC) and identify prognostic factors
associated with swallowing performance. STUDY DESIGN: Retrospective analysis and
longitudinal descriptive study of swallowing outcomes. METHODS: Patients treated
with TLM for AJCC stage III-IV OPC at Washington University from 1996 to 2008
were included. A search of medical records and direct patient contact were
performed to obtain swallowing function at multiple time points. Persistently
poor swallowing at 2 year after surgery was the primary outcome measure. Two year
swallowing outcomes stratified by tumor site and T stage are presented. RESULTS:
One hundred eighteen patients met criteria for the study (median follow-up 53.9
months). There were 44 T1's, 41 T2's, 23 T3's and 10 T4's. Forty seven percent
received radiotherapy and 41% received chemoradiotherapy. Ninety-eight percent
underwent neck dissection. Patients tolerated TLM well with 82% enjoying good
swallowing at 1 month after surgery. During adjuvant therapy, at 3 months, good
swallowing dropped to 55%. At 1 and 2 years after TLM, 89% and 88% of patients
had good swallowing function, respectively. At 2 years, 9 patients had
persistently poor swallowing function. 93% of patients with T1 through T3 enjoyed
good swallowing at 2 years. T4 base of tongue disease was associated with
persistently poor swallowing function in multivariate analyses (P = 0.0023), with
40% having good swallowing at 2 years. Preexisting comorbidities and conversion
to an open procedure were associated with delayed return of swallowing function,
but not with persistently poor swallowing. Seven patients developed late-onset
swallowing dysfunction. CONCLUSIONS: Treatment of advanced stage OPC with TLM +/-
adjuvant therapy results in excellent swallowing outcomes for patients with
either T1 to T3 tonsil or T1 to T3 base of tongue resections. A detailed,
longitudinal swallowing profile is presented to assist in preoperative
counseling.
PMID- 21882204
TI - The role of TNF-alpha in inflammatory olfactory loss.
AB - BACKGROUND: Despite the significant health impact of olfactory loss in chronic
rhinosinusitis (CRS), the underlying pathophysiology is incompletely understood.
A transgenic mouse model of olfactory inflammation induced by tumor necrosis
factor-alpha (TNF-alpha) has provided new insights into the cellular and
molecular basis of inflammatory olfactory loss. Here, we utilize systemic
corticosteroids to suppress downstream cytokine expression, in order to study the
direct role of TNF-alpha in CRS-associated olfactory dysfunction. METHODS:
Transgenic mice were induced to express TNF-alpha in the olfactory epithelium for
6 weeks. In a subset of mice, 1 mg/kg prednisolone was administered concurrently
to inhibit downstream inflammatory responses. The olfactory epithelium (OE) was
analyzed by histology and electro-olfactogram (EOG) recordings. RESULTS:
Treatment with prednisolone successfully prevented inflammatory infiltration over
significant regions of the OE. In areas where significant subepithelial
inflammation was present, a corresponding loss of olfactory neurons was observed.
In contrast, areas without major inflammatory changes had normal olfactory neuron
layers, despite chronic local expression of TNF-alpha. Prednisolone partially
reversed the complete loss of olfaction in the mouse model, preserving odorant
responses that were significantly diminished compared to controls, but not
absent. CONCLUSIONS: The addition of prednisolone to the transgenic model of
olfactory inflammation isolates the direct effects of induced TNF-alpha
expression on the OE. The finding that prednisolone treatment prevents neuronal
loss in some regions of the OE suggests that TNF-alpha does not directly cause
neuronal apoptosis--rather, that subepithelial inflammation or other downstream
mediators may be responsible. At the same time, EOG results imply that TNF-alpha
directly causes physiologic dysfunction of olfactory neurons, independent of the
inflammatory state. An understanding of the role of TNF-alpha and other
inflammatory cytokines may suggest novel therapeutic strategies for CRS
associated olfactory loss.
PMID- 21882205
TI - Prenatal sonographic features of dyssegmental dysplasia Rolland-Desbuquois type.
AB - Dyssegmental dysplasia is a rare, lethal, autosomal-recessive disorder
characterized by severe camptomicromelia and anisospondyly. We describe the
prenatal sonographic findings in an index case of the Rolland-Desbuquois type,
with the diagnosis made by neonatal skeletal survey. Recognition of the unique
vertebral disorganization may be used to prenatally distinguish dyssegmental
dysplasia from other severe short-limbed conditions.
PMID- 21882206
TI - Engineered nanostructural materials for application in cancer biology and
medicine.
AB - Nanotechnology covers a wide variety of fields of research, including chemistry,
physics, biology and medicine, with extensive applications in cancer, ranging
from accurate, early detection of malignant lesions to minimizing metastasis.
Continued development of cancer-targeted therapy has promising advantages:
maximizing the effectiveness of anticancer drugs while decreasing the harmful
systemic effects; tumor destruction via heating that takes advantage of magnetic
nanoparticles' size, magnetization and biocompatibility; novel drug-delivery
systems; and gene therapy functions to facilitate controlled drug loading and
release inside the cytoplasm. These and other nanotechnology applications can
contribute essential new knowledge in the fight against cancer.
PMID- 21882207
TI - Simultaneous determination of cypermethrin and fenvalerate residues in tomato by
gas chromatography and their applications to kinetic studies after field
treatment.
AB - In this study simultaneous determination of cypermethrin and fenvalerate residues
in tomato fruit (Lycopesicon esculentum) grown in Khartoum, Sudan, was carried
out using gas chromatography with electron capture detector (GC-ECD). The method
was linear in the ranges of 0.075-0.009 and 0.75-0.037 mg/mL for cypermethrin and
fenvalerate, respectively. The limits of detection and quantification were found
to be 0.003 and 0.01 mg/mL and 0.014, 0. 047 mg/mL for cypermethrin and
fenvalerate, respectively. The recoveries of cypermethrin and fenvalerate spiked
in tomato were 97 +/- 7.5 and 99.5 +/- 1.8%, respectively. The kinetic study of
the degradation of both pesticides was performed and the ultimate evaluation of
the kinetic data revealed a first-order kinetics with respect to the tomato
fruit; an explanation was put forward to account for the results.
PMID- 21882208
TI - Identification and quantification of free radical scavengers in the flower buds
of Lonicera species by online HPLC-DPPH assay coupled with electrospray
ionization quadrupole time-of-flight tandem mass spectrometry.
AB - Flos Lonicerae, derived from the flower buds of several medicinal Lonicera
species, is a commonly used herbal medicine with multiple pharmacological
activities, one of the major ones being antioxidant activity. In this study, free
radical scavengers in the flower buds of six Lonicera species were screened,
identified and quantified by online HPLC-DPPH (1,1-diphenyl-2-picrylhydrazyl)
assay coupled with LC quadrupole time-of-flight tandem mass spectrometry (Q-TOF
MS/MS). The antioxidants were firstly screened from the complex plant matrix by
the online HPLC-DPPH assay. Then the active compounds were identified by LC Q-TOF
MS/MS, and the possible fragmentation pathways were proposed. The reactivity of
antioxidants available was investigated using an internal standard method by
online LC assay. The contents of 12 antioxidants were also determined or
estimated by HPLC coupled with diode array detector. The total antioxidant
capability determined by the online method was used as the marker to evaluate the
quality of Flos Lonicerae. The results were important to clarify the material
basis and therapeutic mechanism of Flos Lonicerae.
PMID- 21882209
TI - Development of a sensitive liquid chromatography/tandem mass spectrometry method
for the determination of fenofibric acid in rat plasma.
AB - A rapid and sensitive LC-MS/MS method for the quantification of fenofibric acid
in rat plasma was developed and validated. Plasma samples were prepared by liquid
liquid extraction with a mixture of N-hexane-dichloromethane-isopropanol
(100:50:5, v/v/v). Isocratic chromatographic separation was performed on a
reversed-phase Discovery C(18) column (2.1 * 50 mm, 5 um). The mobile phase was
methanol-water-formic (75:25:0.25, v/v/v). Detection of fenofibric acid and the
internal standard (IS) diclofenac acid was achieved by ESI MS/MS in the negative
ion mode using m/z 317 -> m/z 213 and m/z 294 -> m/z 250 transitions,
respectively. The method was linear from 0.005 to 1.250 ug/mL when 100 MUL plasma
was analyzed. The lower limit of quantification was 0.005 ug/mL. The intra- and
inter-day precision values were below 8.2%, and accuracy ranged from -0.9 to 2.1%
in all quality control samples. The recovery was 90.3-94.7% and 83.3% for
fenofibric acid and IS, respectively. Total run time for each sample analysis was
2.5 min. The validated method was successfully applied to a pharmacokinetic study
in six rats after oral administration of fenofibrate, the ester prodrug of
fenofibric acid (equivalent to fenofibric acid 5 mg/kg). The method permits
laboratory scientists with access to the appropriate instrumentation to perform
rapid fenofibric acid determination.
PMID- 21882210
TI - Quantification of leonurine, a novel potential cardiovascular agent, in rat
plasma by liquid chromatography-tandem mass spectrometry and its application to
pharmacokinetic study in rats.
AB - Leonurine (SCM-198), an alkaloid from Herba Leonuri, has been suggested as a
novel cardiovascular agent by pharmacology studies in preclinical stage. In
present study, we report a simple, rapid and sensitive high-performance liquid
chromatography-tandem mass spectrometry method (HPLC-MS/MS) for determination of
leonurine in rat plasma. Leonurine and its internal standard (IS) n-benzoyl-l
arginine ethyl ester (BAEE) were extracted from plasma samples by one-step
protein precipitation with perchloric acid. Chromatographic separation was
performed on an Agilent Zorbax SB-C18 column (150 * 2.1 mm, 5 um) using an
isocratic elution with acetonitrile-ammonium acetate buffer (10 mm, pH 4.0;
25:75, v/v) as mobile phase at a flow rate of 0.2 mL/min. Analytes were detected
by tandem mass spectrometry in positive electrospray ionization (ESI) mode using
multiple reaction monitoring (MRM) with the transitions of m/z 312.3 -> 181.1 for
leonurine and m/z 307.2 -> 104.6 for IS. The calibration curves were linear over
the range of 4-256 ng/mL with a lower limit of quantitation (LLOQ) of 4 ng/mL.
The intra- and inter-day assay precision (as relative standard deviation) were
<15%, except which at LLOQ were <20%, with accuracy in the range 98.73-105.42%.
The validated HPLC-MS/MS method was successfully applied to the pharmacokinetic
study in rats following oral administration of leonurine.
PMID- 21882211
TI - Increased expression of putative cancer stem cell markers in primary prostate
cancer is associated with progression of bone metastases.
AB - BACKGROUND: A number of putative stem cell markers have been associated with
aggressiveness of prostate cancer, including alpha 2 and alpha 6 integrin and c
met. The study aimed to test the hypothesis that the development of bone
metastasis correlates with the proportion of prostate cancer stem cell-like cells
present in the primary tumor. METHODS: Prostate tissue samples were obtained from
patients with high-risk prostatic adenocarcinoma. Prostate cancer tumor tissue
samples underwent immunohistochemical staining for alpha 2 and alpha 6 integrin
and c-met; positive and negative controls were included. Samples were scored as
positive if >5% of cells within the sample stained positively. Survival and bone
metastasis-free survival curves on the patient cohort were estimated by the
actuarial method of Kaplan-Meier. RESULTS: A total of 62 patients were included
in the study. Bone metastases progression rate was 46% at 105 months with a
median time of 46 months (95% CI: 1-62.5 months); prostate cancer-specific
survival was 33% at 122 months with a median survival time of 69.4 months (95%
CI: 63.5-109.4 months). Survival curves show that c-met-, alpha 2, and alpha 6
integrin-positive tumors were positively associated with the occurrence of bone
metastasis-free survival. There was a higher level of significance when at least
c-met and either alpha 2 or alpha 6 integrin was positive. CONCLUSION: It can be
concluded that percentage of stem cell-like prostate cancer cells has a
prognostic impact especially on the risk of metastatic bone progression.
PMID- 21882212
TI - Refining the orthotopic dog prostate cancer (DPC)-1 model to better bridge the
gap between rodents and men.
AB - BACKGROUND: Rodent models are often suboptimal for translational research on
human prostate cancer (PCa). To better fill the gap with human, we refined the
previously described orthotopic dog prostate cancer (DPC)-1 model. METHODS:
Cyclosporine (Cy) A was used for immune suppression at varying doses and time
periods prior and after orthotopic DPC-1 cell implantation in the dog prostate (n
= 12). Follow up included digital rectal examination, ultrasound prostate imaging
and biopsies of hypoechoic areas. At necropsy, the prostate, iliosacral lymph
nodes (LN), lung nodules, and suspicious bone segments were collected for
histopathology. RESULTS: 15 mg CyA/kg daily for 10 days was optimal for tumor
take. Maintaining these conditions post-implantation resulted in a rapid tumor
development within and beyond the prostate and in iliosacral LNs. To minimize
tumor burden, 10 times less DPC-1 cells were implanted. A series of dogs was next
followed for 3-4 months, under continuous immune suppression (n = 3) or with CyA
interruption at 8.5 weeks (n = 2). In all instances, multifocal tumors were found
within the prostate. Predominant patterns were micropapillary and cribriform.
Metastases were present in iliosacral LNs and lungs. Moreover, pelvic bone
metastases producing a mixed osteoblastic/osteolytic reaction were confirmed in
two dogs, one per group. Lastly, the release of CyA 1-2 weeks post-implantation
(n = 3) did not prevent tumor growth and spreading to LNs. CONCLUSIONS: The
continuing growth of DPC-1 tumors despite the release of CyA and, for the first
time, spreading to bones renders this refined model closer to the spontaneous
canine and hormone-refractory phase of human PCa.
PMID- 21882213
TI - Comment on "Finasteride upregulates expression of androgen receptor in
hyperplastic prostate and LNCaP cells: implications for chemoprevention of
prostate cancer" by Hsieh et al.
PMID- 21882214
TI - Tumor focality is not associated with biochemical outcome after radical
prostatectomy.
AB - BACKGROUND: The clinical and prognostic significance of unifocal prostatic
carcinoma is not clearly understood. In the current study, we sought to
characterize the clinical and pathologic characteristics of unifocal and
multifocal prostate cancers and to investigate the effects of tumor focality on
biochemical outcome after radical prostatectomy. METHODS: Our analysis included
1,444 radical prostatectomy patients with available information concerning the
number and location of tumor foci in the specimen. Each patient was assigned to
one of three groups depending on whether they had unifocal, multifocal, or
extensive cancer. Clinical and pathological features as well as biochemical
outcomes were compared between the groups. RESULTS: Two hundred and seventy-two
mens in the study cohort (18.8%) had unifocal cancer. The rates of unifocal
cancer did not differ significantly between the three studied time intervals
(17.3% in 1992-1998, 20.5% in 1999-2004, and 17.8% in 2005-2011). The number of
positive biopsy cores was slightly lower in the unifocal group, while the overall
amount of biopsy tissue containing cancer was similar in both groups. The
patients in the multifocal group had higher pathologic Gleason scores, increased
incidence of positive surgical margin, and larger tumors. The rate of clinically
significant Gleason score upgrade was significantly higher in the multifocal
group compared to the unifocal group (35.7% vs. 21.7%, respectively, P < 0.001).
The biochemical outcome after radical prostatectomy did not differ between
patients with unifocal and multifocal cancers both on univariate and multivariate
analyses. CONCLUSIONS: Tumor focality is not an independent prognostic factor of
biochemical outcome in radical prostatectomy patients.
PMID- 21882215
TI - Agricultural injury among rural California public high school students.
AB - OBJECTIVES: The University of California, Davis Youth Agricultural Injury Study
characterized the farm work and agricultural injury experience among rural
California Central Valley public high school students enrolled in an agricultural
sciences curriculum. METHODS: We conducted a cross-sectional survey of
agricultural injury among students from 10 California Central Valley high schools
during the 2001-2005 school years. RESULTS: Of 1,783 subjects, 946 (53.1%)
reported farm work in the previous year, including 97 (10.3%) reporting at least
one farm work-related injury in the preceding year. After adjustment for sex,
ethnicity, and hours spent in farm work, injury risk was associated with large
animal operations (OR 4.15; 95%CI: 1.18, 14.65), feeding large animals (OR 2.38;
95%CI: 1.15, 4.96), mixing chemicals (OR 1.86; 95%CI: 1.15, 3.03), welding (OR
2.09; 95%CI: 1.17, 3.72), non-use of seatbelts, and frequent riding in the back
of an uncovered pick-up truck. Risky attitudes toward farm safety were
significantly associated with injury. Girls were more likely to suffer an animal
related injury and boys to suffer injury related to motor vehicles, machinery, or
tool use. CONCLUSIONS: Adolescents are at similar risk to adults for agricultural
injury. Although limitations on hazardous tasks and time spent on farm work are
likely to be the most efficacious means for reducing injury, education will play
an important role. Educational measures should include inculcating healthy safety
related attitudes and focus on hazardous tasks, such as those involving animals
(for girls) and motor vehicles and machinery (for boys).
PMID- 21882216
TI - Exposure to tobacco smoke and prevalence of symptoms decreased among Finnish
restaurant workers after the smoke-free law.
AB - INTRODUCTION: The impact of tobacco legislation and exposure to environmental
tobacco smoke (ETS) in bars and restaurants were assessed in a national survey.
METHODS: Questionnaire surveys among restaurant workers were conducted in 2007
before the smoking ban, and in 2009. RESULTS: The exposure to ETS decreased
significantly after the launch of the 2007 smoke-free law. During 2007-2009, the
prevalence of those restaurant workers with no exposure increased from 54% to 82%
(P-value < 0.0001), and among bartenders from 10% to 70% (P < 0.0001). Employees
exposed daily for more than 4 hr decreased from 24% to 4% (P < 0.0001) and from
67% to 9% among bartenders (P < 0.0001). The prevalence of respiratory symptoms
decreased from 18% to 4% (P < 0.0001) and of eye symptoms from 23% to 6% (P <
0.0001). CONCLUSION: The reform of tobacco legislation in 2007, which prohibited
smoking in restaurants and bars, significantly decreased the exposure to ETS and
the prevalence of symptoms among restaurant workers.
PMID- 21882217
TI - A case-control study of asphalt and tar exposure and lung cancer in minorities.
AB - OBJECTIVES: Considerable controversy surrounds the carcinogenic potential of
asphalt and tar. Since minority individuals may have had relatively high
historical exposures, we investigated asphalt and tar exposure and lung cancer
risk among African Americans and Latino Americans. METHODS: We conducted a case
control study of lung cancer among African Americans and Latino Americans in the
San Francisco Bay area (422 cases, 894 controls). A questionnaire was used to
obtain detailed work histories and exposure information. Self-reported exposure
to asphalt and tar as well as other factors (e.g., smoking, automobile exhaust,
and asbestos) were evaluated as predictors of lung cancer risk. Potential effect
modification by cytochrome P450 (CYP) 1A1 was also explored. RESULTS: Self
reported duration of exposure to asphalt and tar was associated with a
statistically significant excess risk of lung cancer in the overall population
(OR: 1.11, 95% CI: 1.01-1.22), evaluating risk per year of exposure. Years of
exposure to automobile exhaust (OR: 1.02, 95% CI: 1.00-1.05) and asbestos (OR:
1.04, 95% CI: 1.02-1.06) were also associated with statistically significant
elevations in risk. In Latino Americans, the lung cancer risks associated with
polycyclic aromatic hydrocarbon-related exposures were consistently higher in the
CYP1A1 wild-type subjects as compared to the variant genotype subjects, and the
interaction was statistically significant for smoking and the CYP1A1 M2
polymorphism (P-value(interaction) = 0.02). CONCLUSIONS: These data are
consistent with the literature suggesting that exposure to asphalt and tar may
increase risk of lung cancer. However, it was not possible to separate the
effects and asphalt and tar in this study.
PMID- 21882219
TI - A Monte Carlo investigation of factors influencing latent class analysis: an
application to eating disorder research.
AB - OBJECTIVE: Latent class analysis (LCA) has frequently been used to identify
qualitatively distinct phenotypes of disordered eating. However, little
consideration has been given to methodological factors that may influence the
accuracy of these results. METHOD: Monte Carlo simulations were used to evaluate
methodological factors that may influence the accuracy of LCA under scenarios
similar to those seen in previous eating disorder research. RESULTS: Under these
scenarios, the aBIC provided the best overall performance as an information
criterion, requiring sample sizes of 300 in both balanced and unbalanced
structures to achieve accuracy proportions of at least 80%. The BIC and cAIC
required larger samples to achieve comparable performance, while the AIC
performed poorly universally in comparison. Accuracy generally was lower with
unbalanced classes, fewer indicators, greater or nonrandom missing data,
conditional independence assumption violations, and lower base rates of indicator
endorsement. DISCUSSION: These results provide critical information for
interpreting previous LCA research and designing future classification studies.
PMID- 21882218
TI - Effects of reducing the frequency and duration criteria for binge eating on
lifetime prevalence of bulimia nervosa and binge eating disorder: implications
for DSM-5.
AB - OBJECTIVE: We assessed the impact of reducing the binge eating frequency and
duration thresholds on the diagnostic criteria for bulimia nervosa (BN) and binge
eating disorder (BED). METHOD: We estimated the lifetime population prevalence of
BN and BED in 13,295 female twins from the Swedish Twin study of Adults: Genes
and Environment employing a range of frequency and duration thresholds. External
validation (risk to cotwin) was used to investigate empirical evidence for an
optimal binge eating frequency threshold. RESULTS: The lifetime prevalence
estimates of BN and BED increased linearly as the frequency criterion decreased.
As the required duration increased, the prevalence of BED decreased slightly.
Discontinuity in cotwin risk was observed in BN between at least four times per
month and at least five times per month. This model could not be fit for BED.
DISCUSSION: The proposed changes to the DSM-5 binge eating frequency and duration
criteria would allow for better detection of binge eating pathology without
resulting in a markedly higher lifetime prevalence of BN or BED.
PMID- 21882220
TI - Bulimia nervosa presenting as rectal purging and rectal prolapse: case report and
literature review.
AB - Rectal prolapse, but not rectal purging (excessive finger evacuation to induce
defecation), has been formally associated with eating disorders in the medical
literature. We describe a young woman with bulimia nervosa and irritable bowel
syndrome who used rectal purging as a method of counteracting the effects of her
binge eating and who underwent two corrective surgeries for rectal prolapse in a
15-month interval. Further research into the relationship between eating
disorders, rectal purging, and gastrointestinal dysfunction is called for.
PMID- 21882221
TI - Forkhead box A1 (FOXA1) is a key mediator of insulin-like growth factor I (IGF-I)
activity.
AB - The insulin-like growth factor receptor (IGF-IR) has been implicated in a number
of human tumors, including breast cancer. Data from human breast tumors has
demonstrated that IGF-IR is over-expressed and hyper-phosphorylated.
Additionally, microarray analysis has shown that IGF-I treatment of MCF7 cells
leads to a gene signature comprised of induced and repressed genes, which
correlated with luminal B tumors. FOXA1, a forkhead family transcription factor,
has been shown to be crucial for mammary ductal morphogenesis, similar to IGF-IR,
and expressed at high levels in luminal subtype B breast tumors. Here, we
investigated the relationship between FOXA1 and IGF-I action in breast cancer
cells. We show that genes regulated by IGF-I are enriched for FOXA1 binding
sites, and knock down of FOXA1 blocked the ability of IGF-I to regulate gene
expression. IGF-I treatment of MCF7 cells increased the half-life of FOXA1
protein and this increase in half-life appeared to be dependent on canonical IGF
I signal transduction through both MAPK and AKT pathways. Finally, knock down of
FOXA1 led to a decreased ability of IGF-I to induce proliferation and protect
against apoptosis. Together, these results demonstrate that IGF-I can increase
the stability of FOXA1 protein expression and place it as a critical mediator of
IGF-I regulation of gene expression and IGF-I-mediated biological responses.
PMID- 21882222
TI - Decreased bone mineral density and reduced bone quality in H(+) /K(+) ATPase beta
subunit deficient mice.
AB - Proton pump inhibitors (PPIs) are widely used against gastroesophageal reflux
disease. Recent epidemiological studies suggest that PPI users have an increased
risk of fractures, but a causal relationship has been questioned. We have
therefore investigated the skeletal phenotype in H(+) /K(+) ATPase beta-subunit
knockout (KO) female mice. Skeletal parameters were determined in 6- and 20-month
old KO mice and in wild-type controls (WT). Whole body bone mineral density (BMD)
and bone mineral content (BMC) were measured by dual energy X-ray absorptiometry
(DXA). Femurs were examined with uCT analyses and break force were examined by a
three-point bending test. Plasma levels of gastrin, RANKL, OPG, osteocalcin,
leptin, and PTH were analyzed. KO mice had lower whole body BMC at 6 months (0.53
vs. 0.59 g, P = 0.035) and at 20 months (0.49 vs. 0.74 g, P < 0.01) compared to
WT as well as lower BMD at 6 months (0.068 vs. 0.072 g/cm(2) , P = 0.026) and 20
months (0.067 vs. 0.077 g/cm(2) , P < 0.01). Mechanical strength was lower in KO
mice at the age of 20 months (6.7 vs. 17.9 N, P < 0.01). Cortical thickness at 20
months and trabecular bone volume% at 6 months were significantly reduced in KO
mice. Plasma OPG/RANKL ratio and PTH was increased in KO mice compared to
controls. H(+) /K(+) ATPase beta subunit KO mice had decreased BMC and BMD,
reduced cortical thickness and inferior mechanical bone strength. Whereas the
mechanism is uncertain, these findings suggest a causal relationship between long
term PPI use and an increased risk of fractures.
PMID- 21882223
TI - Facilitation of human osteoblast apoptosis by sulindac and indomethacin under
hypoxic injury.
AB - Hypoxic-ischemia injury occurs after trauma causes consequential bone necrosis.
Non-steroid anti-inflammatory drugs (NSAIDs) are frequently used in orthopedic
clinics for pain relief. However, the underlying mechanism and outcome for usage
of NSAIDs is poorly understood. To investigate the damage and loss of osteoblast
function in hypoxia, two hypoxia mimetics, cobalt chloride (CoCl(2)) and
desferrioxamine (DFO), were used to create an in vitro hypoxic microenvironment.
The cell damage was observed by decreases of cell viability and increases in
cyclooxygenase-2 and cleaved poly(ADP-ribose) polymerase (PARP). Cell apoptosis
was confirmed by WST-1 cytotoxic assays and flow cytometry. The functional
expression of osteoblast in alkaline phosphatase (ALP) activity was significantly
decreased by CoCl(2) and inhibited when treated with DFO. To simulate the use of
NSAID after hypoxic injury, four types of anti-inflammatory drugs, sulindac
sulfide (SUL), indomethacin (IND), aspirin (Asp), and sodium salicylate (NaS),
were applied to osteoblasts after 1 h of hypoxia mimetic treatment. SUL and IND
further enhanced cell death after hypoxia. ALP activity was totally abolished in
hypoxic osteoblasts under IND treatment. Facilitation of osteoblast apoptosis
occurred regardless of IND dosage under hypoxic conditions. To investigate
osteoblast in vivo, local hypoxia was created by fracture of tibia and then
treated the injured mice with IND by oral feeding. IND-induced osteoblast
apoptosis was confirmed by positive staining of TUNEL assay in fractured mice.
Significant delay of fracture healing in bone tissue was also observed with the
treatment of IND. These results provide information pertaining to choosing
appropriate anti-inflammatory drugs for orthopedic patients.
PMID- 21882224
TI - Recovery of DeltaF508-CFTR function by analogs of hyaluronan disaccharide.
AB - We recently discovered that hyaluronan was exported from fibroblasts by MRP5 and
from epithelial cells by cystic fibrosis (CF) transmembrane conductance regulator
(CFTR) that was known as a chloride channel. On this basis we developed membrane
permeable analogs of hyaluronan disaccharide as new class of compounds to modify
their efflux. We found substances that activated hyaluronan export from human
breast cancer cells. The most active compound 2-(2-acetamido-3,5
dihydroxyphenoxy)-5-aminobenzoic acid (Hylout4) was tested for its influence on
the activity of epithelial cells. It activated the ion efflux by normal and
defective DeltaF508-CFTR. It also enhanced the plasma membrane concentration of
the DeltaF508-CFTR protein and reduced the transepithelial resistance of
epithelial cells. In human trials of healthy persons, it caused an opening of
CFTR in the nasal epithelium. Thus compound Hylout4 is a corrector that recovered
DeltaF508-CFTR from intracellular degradation and activated its export function.
PMID- 21882225
TI - Interaction between PKR and PACT mediated by LPS-inducible NF-kappaB in human
gingival cells.
AB - The double-stranded RNA-dependent protein kinase (PKR) is a serine/threonine
kinase expressed constitutively in mammalian cells. PKR is activated upon virus
infection by double-stranded RNA (dsRNA), and plays a critical role in host
antiviral defense mechanisms. PKR is also known to regulate various biological
responses, including cell differentiation and apoptosis. However, whether PKR is
involved in the progress of periodontitis is not clear. The present study
explained the phosphorylation of PKR by LPS in the human gingival cell line, Sa3.
Expression of genes encoding LPS receptors was detected in Sa3 cells and
treatment of cells with 1 ug/mL LPS for 6 h caused PKR phosphorylation. LPS
elevated the expression of the protein activator of PKR (PACT) mRNA and protein,
followed by the enhanced association between PACT and PKR within 3 h. In
addition, LPS treatment induced the translocation of NF-kappaB to the nucleus
after 30 min, and inhibition of NF-kappaB decreased the PACT-PKR interaction
induced by LPS. The level of pro-inflammatory cytokine mRNA, including
interleukin-6 (IL-6) and tumor necrosis factor alpha (TNFalpha), appeared within
45 min and reached at the maximal levels by 90 min after the addition of LPS.
This induction of pro-inflammatory cytokines was not affected by RNAi-mediated
silencing of PKR and a pharmacological inhibitor of PKR, whereas the inhibition
of NF-kappaB decreased it. These results indicated that LPS induces PKR
phosphorylation and the PACT-PKR association in Sa3 cells. Our results also
suggest that NF-kappaB is involved in the PACT-PKR interaction and the production
of pro-inflammatory cytokines in periodontitis.
PMID- 21882226
TI - A microRNA "target pools" remains mysterious.
PMID- 21882227
TI - Experimental hyperprolinemia induces mild oxidative stress, metabolic changes,
and tissue adaptation in rat liver.
AB - The present study investigated the effects of chronic hyperprolinemia on
oxidative and metabolic status in liver and serum of rats. Wistar rats received
daily subcutaneous injections of proline from their 6th to 28th day of life.
Twelve hours after the last injection the rats were sacrificed and liver and
serum were collected. Results showed that hyperprolinemia induced a significant
reduction in total antioxidant potential and thiobarbituric acid-reactive
substances. The activities of the antioxidant enzymes catalase and superoxide
dismutase were significantly increased after chronic proline administration,
while glutathione (GSH) peroxidase activity, dichlorofluorescin oxidation, GSH,
sulfhydryl, and carbonyl content remained unaltered. Histological analyses of the
liver revealed that proline treatment induced changes of the hepatic
microarchitecture and increased the number of inflammatory cells and the glycogen
content. Biochemical determination also demonstrated an increase in glycogen
concentration, as well as a higher synthesis of glycogen in liver of
hyperprolinemic rats. Regarding to hepatic metabolism, it was observed an
increase on glucose oxidation and a decrease on lipid synthesis from glucose.
However, hepatic lipid content and serum glucose levels were not changed. Proline
administration did not alter the aminotransferases activities and serum markers
of hepatic injury. Our findings suggest that hyperprolinemia alters the liver
homeostasis possibly by induction of a mild degree of oxidative stress and
metabolic changes. The hepatic alterations caused by proline probably do not
implicate in substantial hepatic tissue damage, but rather demonstrate a process
of adaptation of this tissue to oxidative stress. However, the biological
significance of these findings requires additional investigation.
PMID- 21882228
TI - Identification and characterization of a bactericidal and proapoptotic peptide
from Cycas revoluta seeds with DNA binding properties.
AB - Nowadays, novel pharmacies have been screened from plants. Among them are the
peptides, which show multiple biotechnological activities. In this report, a
small peptide (Ala-Trp-Lys-Leu-Phe-Asp-Asp-Gly-Val) with a molecular mass of
1,050 Da was purified from Cycas revoluta seeds by using reversed-phase liquid
chromatography. This peptide shows clear deleterious effects against human
epidermoid cancer (Hep2) and colon carcinoma cells (HCT15). It caused inhibition
of cancer cell proliferation and further disruption of nucleosome structures,
inducing apoptosis by direct DNA binding. A remarkable antibacterial activity was
also observed in this same peptide. Nevertheless, no significant lysis of normal
RBC cells was observed in the presence of peptide. Additionally, an acetylation
at the N-termini portion is able to reduce both activities. Bioinformatics tools
were also utilized for construction of a three-dimensional model showing a single
amphipathic helix. Since in vitro binding studies show that the target of this
peptide seems to be DNA, theoretical docking studies were also performed to
better understand the interaction between peptide and nucleic acids and also to
shed some light on the acetyl group role. Firstly, binding studies showed that
affinity contacts basically occur due to electrostatic attraction. The complex
peptide-ssDNA was clearly oriented by residues Ala(1), Lys(3), and Asp(6), which
form several hydrogen bonds that are able to stabilize the complex. When acetyl
was added, hydrogen bonds are broken, reducing the peptide affinity. In summary,
it seems that information here provided could be used to design a novel
derivative of this peptide which a clear therapeutic potential.
PMID- 21882229
TI - Apoptosis- and endoplasmic reticulum stress-related genes were regulated by
estrogen and progesterone in the uteri of calbindin-D(9k) and -D(28k) knockout
mice.
AB - Calcium (Ca(2+)) is an important regulator of apoptotic signaling. Calbindin
D(9k) (CaBP-9k) and -D(28k) (CaBP-28k) have a high affinity for Ca(2+) ions.
Uterine calbindins appear to be involved in the regulation of myometrial activity
by intracellular Ca(2+). In addition, uterine calbindins are expressed in the
mouse endometrium and are regulated by steroid hormones during implantation and
development. The aim of the present study was to evaluate the regulation of
apoptosis in the uteri of CaBP-9k, CaBP-28k, and CaBP-9k/28k knockout (KO) mice.
Our findings indicated that Bax protein was enhanced in the uteri of CaBP-28k and
CaBP-9k/28k KO mice compared to wild-type (WT) and CaBP-9k KO mice, but no
difference was observed in Bcl-2 protein expression. The expressions of caspase
3, 6, and 7 proteins were higher in both CaBP-28k and CaBP-9k/28k KO mice than in
WT and CaBP-9k KO mice. These results suggest that the absence of CaBP-28k
increases apoptotic signaling. We also investigated the expression of endoplasmic
reticulum (ER) stress genes by Western blot analysis in calbindin KO mice. C/EBP
homologous protein and immunoglobulin heavy chain-binding protein protein levels
were elevated in CaBP-28k KO mice compared to WT mice. When immature mice were
treated with 17beta-estradiol (E2) or progesterone (P4) for 3 days, we found that
the expressions of Bax and caspase 3 protein were increased by E2 treatment in WT
and CaBP-9k KO mice, and by P4 treatment in CaBP-28k KO mice. These results
indicate that CaBP-28k blocks the up-regulation of apoptosis-related genes and ER
stress genes, implying that CaBP-28k may decrease the expression of genes
involved in apoptosis and ER stress in murine uterine tissue.
PMID- 21882230
TI - The feasibility of using mosquitofish (Gambusia affinis) for detecting endocrine
disrupting chemicals in the freshwater environment.
AB - We evaluated the utility of gene-transcriptional responses in the liver of
mosquitofish (Gambusia affinis), a species introduced to many countries and
therefore widely available, for detecting endocrine-disrupting activity in water.
Exposure to beta-naphthoflavone, an aryl hydrocarbon receptor (AhR) agonist,
significantly increased the transcript of the cytochrome P4501A gene (cyp1a),
peaking at 24 h, in both sexes at concentrations of 10 ug/L or more. 17beta
Estradiol (E(2) ) at 500 ng/L increased the number of males showing gene
transcription of precursors of yolk protein, vitellogenin (Vtga, Vtgb, and Vtgc),
at 24, 48, and 72 h. Exposure for 48 h to bisphenol A (BPA), an estrogen mimic,
also increased vtg-positive males at 1 mg/L or more. Leachate from a Japanese
stable-type landfill significantly increased vtg-positive males after 48 h
exposure, and the in vitro activity of the leachate against the estrogen receptor
(ER) was estimated as an E(2) equivalent of 240 ng/L by yeast transfected with
the ER. Chemical analysis showed that major contributors to the ER activation
were BPA and 4-tert-octylphenol. This leachate and drainage water from a control
type landfill had AhR activities, estimated by yeast with the AhR, but had no
significant effect on cyp1a transcription. These results showed that mosquitofish
are suitable for detecting in vivo AhR and ER effects, but are insensitive to
E(2).
PMID- 21882231
TI - Polybrominated diphenyl ethers in surface soils from e-waste recycling areas and
industrial areas in South China: concentration levels, congener profile, and
inventory.
AB - Polybrominated diphenyl ethers (PBDEs) were determined in 60 surface soils from
two e-waste recycling sites (Qingyuan and Guiyu, China) and their surrounding
areas to assess the extent and influence of PBDEs from e-waste recycling sites on
the surrounding areas. A total of 32 surface soils from industrial areas in South
China were also investigated for comparison. The mean concentrations of total
PBDEs in the e-waste recycling sites of Guiyu and Qingyuan were 2,909 and 3,230
ng/g dry weight, respectively, whereas the PBDE concentrations decreased
dramatically (1-2 orders of magnitude) with increasing distance from the
recycling site, suggesting that the e-waste recycling activities were the major
source of PBDEs in the surrounding areas. Decabromodiphenyl ethers accounted for
77.0 to 85.8% of total PBDEs in e-waste recycling areas, whereas it accounted for
90.2% in industrial areas. Principal component analysis showed that the major
source of PBDEs in e-waste recycling areas were a combination of penta-, octa-,
and deca-BDE commercial formulations, whereas deca-BDE commercial formulations
were the major source of PBDE congeners in industrial areas. The inventories of
PBDEs gave preliminary estimates of 6.22 tons and 13.4 tons for the e-waste
recycling areas and industrial areas. The results suggested that significantly
higher PBDEs in the e-waste recycling sites have already affected surrounding
areas negatively within a relatively large distance. Because of the environmental
persistence, bioaccumulation, and toxicity of PBDEs, improving the recycling
techniques employed at such facilities and developing e-waste management policies
are necessary.
PMID- 21882232
TI - Therapeutic apheresis instrumentation.
AB - This article provides a concise overview of therapeutic apheresis medicine
instrumentation. Three instrument modalities are discussed: (1) centrifugation
based instruments, (2) extracorporeal photopheresis instruments (a subset of
centrifugation-based instruments), and (3) column-based instruments.
PMID- 21882233
TI - Conventional apheresis therapies: a review.
AB - This article reviews advances in the scientific basis and medical practice of
plasmapheresis and cytapheresis therapies. Newly-characterized autoantibodies in
neuromyelitis optica, Guillain-Barre variants, anti-neutrophil cytoplasmic
antibody (ANCA) vasculitides, etc., exemplify the modern molecular biology which
now provides a rigorous framework of understanding for the clinical practice of
plasmapheresis. Clinical trials continue to clarify the appropriate use of
therapeutic plasmapheresis (TPE) in these and other diseases. Centrifugal (cTPE)
and membrane filtration (mTPE) types of plasmapheresis are compared, with details
of the plasmapheresis prescription, anticoagulation choices, replacement fluids
and other practical considerations. Plasma removal is more efficient with cTPE;
mTPE systems have a lower plasma extraction ratio, and therefore require higher
blood flow rates or longer procedure times. Autoantibodies and other pathogenic
macromolecules targeted for removal by plasmapheresis can be depleted predictably
when the plasma is discarded, as in conventional TPE. On-line plasma processing
to regenerate the patient's own plasma avoids the need for replacement albumin
solutions or plasma transfusion, but is inherently less efficient at removing the
target molecule, so usually requires a longer procedure. Therapeutic white cell
reduction (leukapheresis), platelet reduction (thrombocytapheresis) and red cell
exchange (erythrocytapheresis) require centrifugal apheresis systems.
PMID- 21882234
TI - Apheresis medicine state of the art in 2010: American Society for Apheresis fifth
special edition of the Journal of Clinical Apheresis.
AB - The quality of evidence supporting the use of apheresis in the treatment of
individual diseases and disorders is often limited. For most diseases and
disorders, randomized controlled trials of the use of apheresis have not been
performed and for many, due to rarity of the condition, it is unlikely that they
will ever be performed. In keeping with its vision, the American Society for
Apheresis (ASFA) has created and regularly updated guidelines on the use of
apheresis in the treatment of disease. These guidelines seek to summarize the
literature on the use of apheresis in treating diseases, provide a critical
review of this literature, and give practical guidance to apheresis
practitioners. The most recent ASFA guidelines were published in 2010. This
article reviews the history of the ASFA guidelines, the changes that were made in
the 2010 guidelines, and future directions and plans for these guidelines. The
2010 ASFA guidelines on the use of therapeutic apheresis in clinical practice
represent the state of the art in apheresis medicine in 2010.
PMID- 21882235
TI - Introduction to this issue: violent and antisocial behavior in women.
PMID- 21882236
TI - Effect of cementing technique and cement type on thermal necrosis in hip
resurfacing arthroplasty--a numerical study.
AB - Femoral fractures within resurfacing implants have been associated with bone
necrosis, possibly resulting from heat generated by cement polymerization. The
amount of heat generated depends on cement mantle volume and type of cement.
Using finite element analysis, the effect of cement type and volume on thermal
necrosis was analyzed. Based on CT-data of earlier implantations, two different
models were created: a thick mantle model, representing a low-viscosity "cement
filling" technique, and a thin mantle model, representing a high viscosity
"cement packing" technique. Six cement types were analyzed. The polymerization
heat generation and its effect on bone necrosis were predicted. In the thin
cement mantle models, no thermal necrosis was predicted. Thick cement mantle
models produced thermal necrosis at the cement-bone interface depending on cement
type. In the worst case, 6% of the bone at the cement-bone interface became
necrotic, covering almost the entire cross-sectional area. The current findings
suggest a potential thermal drawback of thick cement mantles, although it is
unclear whether thermal bone necrosis significantly affects implant fixation or
increases the fracture risk. Furthermore, our study showed distinct differences
between the heat generated and resulting thermal damage caused by the various
cement types.
PMID- 21882237
TI - Microbiological and pharmacological tests on new antibiotic-loaded PMMA-based
composites for the treatment of osteomyelitis.
AB - Local antibiotic diffusion in rabbit femurs from two new PMMA-based and nail
shaped composites, enriched with beta-tricalcium phosphate (P-TCP) and BaSO(4) or
only with BaSO(4) (P-BaSO(4) ), and soaked in a solution of gentamicin (G) and
vancomycin (V) was studied. Nails were implanted into the intramedullary cavity
of healthy and osteomyelitic femurs to study the resolution of infection and to
quantify the antibiotic penetration into bone by microbiological,
pharmacological, and histological tests. A significant progression of
osteomyelitis was recorded 7 weeks after MRSA inoculation, whereas no bacteria
were found in animals treated with antibiotic-loaded nails as confirmed by
microbiology and histology (Smeltzer score). The release of both antibiotics from
composites was high and prompt both in healthy and infected bone; the amount of V
was higher than that of G in all bone samples. Antibiotics of both composites
were still present in bone 3 weeks after nail implantation. The P-BaSO4 composite
released a lower amount of antibiotics than did P-TCP. The G-V combination in
vivo exerted a synergistic bactericidal effect, which was confirmed by
microbiological, histological, and clinical results (no infection). These new
porous PMMA composites, soaked in G-V solution in the operating room, might be an
effective and useful drug delivery system for osteomyelitis treatment.
PMID- 21882238
TI - Mechanically induced calcium signaling in chondrocytes in situ.
AB - Changes in intracellular calcium (Ca(2+)) concentration, also known as Ca(2+)
signaling, have been widely studied in articular cartilage chondrocytes to
investigate pathways of mechanotransduction. Various physical stimuli can
generate an influx of Ca(2+) into the cell, which in turn is thought to trigger a
range of metabolic and signaling processes. In contrast to most studies, the
approach used in this study allows for continuous real time recording of calcium
signals in chondrocytes in their native environment. Therefore, interactions of
cells with the extracellular matrix (ECM) are fully accounted for. Calcium
signaling was quantified for dynamic loading conditions and at different
temperatures. Peak magnitudes of calcium signals were greater and of shorter
duration at 37 degrees C than at 21 degrees C. Furthermore, Ca(2+) signals were
involved in a greater percentage of cells in the dynamic compared to the
relaxation phases of loading. In contrast to the time-delayed signaling observed
in isolated chondrocytes seeded in agarose gel, Ca(2+) signaling in situ is
virtually instantaneous in response to dynamic loading. These differences between
in situ and in vitro cell signaling responses might provide crucial insight into
the role of the ECM in providing pathways of mechanotransduction in the intact
cartilage that are absent in isolated cells seeded in gel constructs.
PMID- 21882239
TI - Age-related changes in organization and content of the collagen matrix in rabbit
cortical bone.
AB - The organization and composition of the collagen matrix of cortical bone changes
as the bone matures due to growth and mechanical loading. We aimed to investigate
the composition and organization of the collagen matrix in rabbit cortical bone
during maturation using Fourier transform infrared (FTIR) microspectroscopy and
polarized light microscopy (PLM). FTIR and PLM findings were compared to
biochemical analysis from an earlier study. Mid-diaphyseal samples from left
femora of female New Zealand White rabbits were used. The animal age ranged from
newborn to 18-month old (5 age groups, n = 10 per group). The bones had earlier
been decalcified and evaluated with biochemistry. In this study, collagen
content, orientation, collagen cross-linking and spatial heterogeneity of all
parameters was evaluated. Similar results were obtained when collagen content was
evaluated with FTIR and PLM compared to the collagen content assessed with BA.
Collagen content, orientation and collagen maturity increased significantly until
the age of 3 months and remained similar thereafter. Simultaneously, spatial
heterogeneity of the measured parameters decreased. Based on these findings, it
seems that the collagen matrix of rabbit bone attains its mature state around 3
months of age, which is before the overall skeletal maturity is reached.
PMID- 21882240
TI - Optical requirements on magnification systems for intracranial video
microsurgery.
AB - INTRODUCTION: The basic idea of video-microsurgery is the improvement of
ergonomic conditions in microsurgical procedures by replacing the bulky operating
microscope with a compact videosystem. OBJECTIVE: To specify optical requirements
on a videosystem for microsurgical intracranial procedures in neurosurgery.
METHODS: During 27 microsurgical intracranial procedures (12 cerebellopontine
angle and 15 supratentorial) zoom factor, focus distance and illumination
parameters of the operating microscope were continuously recorded. Ergonomic
aspects were documented as well. RESULTS: The zoom factor ranged from 1.7 to 13.5
in CPA procedures and from 1.4 to 13.4 in supratentorial procedures. The focus
distance ranged from 180 mm to 367 mm in CPA procedures and from 188 mm-472 mm in
supratentorial procedures. CONCLUSION: From an optical point of view current
operating microscopes meet the requirements of intracranial microneurosurgery.
However, ergonomically further developments are highly desirable. Video
microsurgery is a promising field and could hold a solution to this problem.
PMID- 21882241
TI - No significant association between SIRT1 gene and methamphetamine-induced
psychosis in the Japanese population.
AB - OBJECTIVES: We previously showed that the sirtuin 1 gene (SIRT1 gene), one of the
clock genes, was associated with schizophrenia in a Japanese patient population.
Because the symptoms of methamphetamine (METH)-induced psychosis are similar to
those of paranoid type schizophrenia and because not every METH user develops
psychosis, it is conceivable that METH-induced psychosis and schizophrenia have
common susceptibility genes. Therefore, we conducted an analysis of the
association of SIRT1 gene with METH-induced psychosis, hypothesizing a
significant relationship. METHODS: This paper presents a case-control study of
the SIRT1 gene in 515 Japanese individuals (197 with METH-induced psychosis and
318 age-matched and sex-matched controls) with four tagging single nucleotide
polymorphisms (rs12778366, rs2273773, rs4746720, and rs10997875), selected a
priori using the HapMap database. RESULTS: rs10997875 (located in the 3' flanking
region) was associated with METH-induced psychosis (unadjusted p(genotype) =
0.0203). However, these results became non-significant after Bonferroni
correction (corrected p(genotype) = 0.0812). In the all-marker haplotype
analysis, the SIRT1 gene was not associated with METH-induced psychosis (p =
0.146). CONCLUSION: Our findings suggest that SIRT1 gene does not contribute to
the development of METH-induced psychosis in the Japanese population. However, a
replication study using larger samples should be conducted to obtain conclusive
results.
PMID- 21882242
TI - Engagement in leisure activities and benzodiazepine use in a French community
dwelling elderly population.
AB - OBJECTIVE: The prevalence of benzodiazepine use among community-dwelling older
persons varies between 10% and 30%. The aim of this study was to explore the
association between leisure activities and the use of benzodiazepine among older
persons living at home. METHODS: The study population included 4848 persons aged
65 years and over living in either of two French cities. Information was
collected from a questionnaire administered to the respondents by trained
psychologists during face-to-face interviews at home and from a self-administered
questionnaire. Baseline examination included socio-demographic characteristics,
drug use and leisure activities. We classified as benzodiazepine users subjects
who reported use of at least one benzodiazepine during the month preceding the
interview. The association between the use of benzodiazepine and leisure
activities was assessed by logistic regression adjusted on known potential
confounders. RESULTS: More than 18% of participants reported use of at least one
benzodiazepine. The adjusted odds ratio (OR) of benzodiazepine use associated
with no or lower participation versus participation in the following activities
were as follows: OR = 1.31 (95% confidence interval (CI): 1.09 to 1.58) for
mental activity; OR = 1.50 (CI: 1.12 to 2.03) for physical activity; OR = 1.28
(CI: 1.05 to 1.55) for productive activity and OR = 0.82 (CI: 0.69 to 0.97) for
recreational activity. CONCLUSION: Low engagement in stimulating activities and
high engagement in sedentary activities were associated with recent
benzodiazepine use.
PMID- 21882243
TI - Nrf2 deficiency potentiates methamphetamine-induced dopaminergic axonal damage
and gliosis in the striatum.
AB - Oxidative stress that correlates with damage to nigrostriatal dopaminergic
neurons and reactive gliosis in the basal ganglia is a hallmark of
methamphetamine (METH) toxicity. In this study, we analyzed the protective role
of the transcription factor Nrf2 (nuclear factor-erythroid 2-related factor 2), a
master regulator of redox homeostasis, in METH-induced neurotoxicity. We found
that Nrf2 deficiency exacerbated METH-induced damage to dopamine neurons, shown
by an increase in loss of tyrosine hydroxylase (TH)- and dopamine transporter
(DAT)-containing fibers in striatum. Consistent with these effects, Nrf2
deficiency potentiated glial activation, indicated by increased striatal
expression of markers for microglia (Mac-1 and Iba-1) and astroglia (GFAP) one
day after METH administration. At the same time, Nrf2 inactivation dramatically
potentiated the increase in TNFalpha mRNA and IL-15 protein expression in GFAP+
cells in the striatum. In sharp contrast to the potentiation of striatal damage,
Nrf2 deficiency did not affect METH-induced dopaminergic neuron death or
expression of glial markers or proinflammatory molecules in the substantia nigra.
This study uncovers a new role for Nrf2 in protection against METH-induced
inflammatory and oxidative stress and striatal degeneration.
PMID- 21882244
TI - Reduction in expression of the astrocyte glutamate transporter, GLT1, worsens
functional and histological outcomes following traumatic spinal cord injury.
AB - The astrocyte glutamate transporter, GLT1, is responsible for the vast majority
of glutamate uptake in the adult central nervous system (CNS), thereby regulating
extracellular glutamate homeostasis and preventing excitotoxicity. Glutamate
dysregulation plays a central role in outcome following traumatic spinal cord
injury (SCI). To determine the role of GLT1 in secondary cell loss following SCI,
mice heterozygous for the GLT1 astrocyte glutamate transporter (GLT1+/-) and wild
type mice received thoracic crush SCI. Compared with wild-type controls, GLT1+/-
mice had an attenuated recovery in hindlimb motor function, increased lesion
size, and decreased tissue sparing. GLT1+/- mice showed a decrease in intraspinal
GLT1 protein and functional glutamate uptake compared with wild-type mice,
accompanied by increased apoptosis and neuronal loss following crush injury.
These results suggest that astrocyte GLT1 plays a role in limiting secondary cell
death following SCI, and also show that compromise of key astrocyte functions has
significant effects on outcome following traumatic CNS injury. These findings
also suggest that increasing intraspinal GLT1 expression may represent a
therapeutically relevant target for SCI treatment.
PMID- 21882245
TI - Adolescent exposure to the World Trade Center attacks, PTSD symptomatology, and
suicidal ideation.
AB - This study examined the associations between different types of trauma exposure,
posttraumatic stress disorder (PTSD) symptoms, and suicidal ideation among New
York City adolescents 1 year after the World Trade Center attacks. A sample of
817 adolescents, aged 13-18, was drawn from 2 Jewish parochial high schools (97%
participation rate). We assessed 3 types of trauma exposure, current (within the
past month) and past (within the past year) suicidal ideation, and current PTSD
symptoms. Findings indicated that probable PTSD was associated with increased
risk for suicidal ideation. Exposure to attack-related traumatic events increased
risk for both suicidal ideation and PTSD. However, specific types of trauma
exposure differentially predicted suicidal ideation and PTSD: knowing someone who
was killed increased risk for PTSD, but not for suicidal ideation, and having a
family member who was hurt but not killed, increased risk for suicidal ideation,
but not for PTSD. This study extends findings from the adult literature showing
associations between trauma exposure, PTSD, and increased suicidal ideation in
adolescents.
PMID- 21882247
TI - The September 11, 2001 terrorist attacks: ten years after.
PMID- 21882246
TI - PTSD and alcohol use after the World Trade Center attacks: a longitudinal study.
AB - Research suggests that posttraumatic stress disorder (PTSD) is associated with
increased alcohol use, but the findings have not been consistent. We assessed
alcohol use, binge drinking, and psychotropic medication use longitudinally in
1,681 New York City adults, representative of the 2000 census, 2 years after the
World Trade Center attacks. We found that, with the exception of a modified CAGE
Questionnaire index for alcohol, alcohol use showed a modest increase over time
and was related to PTSD symptoms, with an increase of about 1 more drink per
month for those with PTSD, even though overall levels appeared to be within the
National Institute on Alcohol Abuse and Alcoholism's safe range. Psychotropic
medication use followed a similar trend; those with PTSD used psychotropics about
20 more days over the past year than those without. Because the study analyses
adjusted for key psychosocial variables and confounders, it is not clear if the
increased alcohol use following trauma exposure is associated with self
medication of PTSD symptoms, whether increased alcohol use prior to exposure is a
risk for delayed-onset PTSD, or whether a third unmeasured variable is involved.
Further research is warranted.
PMID- 21882248
TI - Predictors of the impact of the September 11th terrorist attacks on victims of
intimate partner violence.
AB - This study examined the role of several hypothesized predictors of the impact of
a potentially traumatic event, the terrorist attacks of September 11, 2001
(9/11), on a sample of women experiencing intimate partner violence (IPV) and
related posttraumatic stress symptoms (PTSS). We found that IPV-related PTSS
mediated the relationship between IPV and 9/11-related PTSS, confirming the
hypothesis that severity of symptoms related to prior trauma plays a role in the
development and severity of PTSS related to subsequent potentially traumatic
events. Media exposure and threat appraisal were significantly positively
associated with 9/11-related PTSS, whereas social support was significantly
negatively associated with 9/11-related PTSS, with none of these variables
serving as moderators of the relationship between IPV-related and 9/11-related
PTSS. Our results suggest that trauma-related psychiatric history is an important
factor in the development of PTSS subsequent to an additional potentially
traumatic event, even after adjusting for relevant variables occurring at the
time of that event.
PMID- 21882249
TI - Alterations in affective processing of attack images following September 11,
2001.
AB - The events of September 11, 2001 created unprecedented uncertainty about safety
in the United States and created an aftermath with significant psychological
impact across the world. This study examined emotional information encoding in 31
healthy individuals whose stress response symptoms ranged from none to a moderate
level shortly after the attacks as assessed by the Impact of Event Scale-Revised.
Participants viewed attack-related, negative (but attack-irrelevant), and neutral
images while their event-related brain potentials (ERPs) were recorded. Attack
images elicited enhanced P300 relative to negative and neutral images, and
emotional images prompted larger slow waves than neutral images did. Total
symptoms were correlated with altered N2, P300, and slow wave responses during
valence processing. Specifically, hyperarousal and intrusion symptoms were
associated with diminished stimulus discrimination between neutral and unpleasant
images; avoidance symptoms were associated with hypervigilance, as suggested by
reduced P300 difference between attack and other images and reduced appraisal of
attack images as indicated by attenuated slow wave. The findings in this
minimally symptomatic sample are compatible with the alterations in cognition in
the posttraumatic stress disorder (PTSD) literature and are consistent with a
dimensional model of PTSD.
PMID- 21882250
TI - Shared traumatic stress and the long-term impact of 9/11 on Manhattan clinicians.
AB - A sample of 481 social workers from Manhattan participated in a study of the
impact of the September 11, 2001 (9/11) World Trade Center (WTC) attacks. A
variety of risk factors associated with posttraumatic stress and secondary trauma
were examined in relation to shared traumatic stress (STS), a supraordinate
construct reflecting the dual nature of exposure to traumatic events. Risk
factors included attachment style, exposure to potentially traumatic life events,
and enduring distress attributed to the WTC attacks. It was expected that
clinicians' resilience would mediate the relationship between these risk factors
and STS. Using path analytic modeling, the findings support the study's
hypotheses that insecure attachment, greater exposure to potentially traumatic
life events in general, and the events of 9/11 in particular are predictive of
higher levels of STS. Contrary to expectation, enduring distress attributed to
9/11 was not associated with resilience. Resilience, however, was found to be a
mediator of the relationships between insecure attachment, exposure to
potentially traumatic life events, and STS but did not mediate the relationship
between enduring distress attributed to 9/11 and STS. Implications for theory,
research, and practice are discussed.
PMID- 21882251
TI - Media use by children and adolescents from New York City 6 months after the WTC
attack.
AB - Six months after the World Trade Center (WTC) attacks of September 11, 2001
(9/11), a representative sample of New York City students (N = 8,236) in Grades 4
through 12 reported their use of TV, Web, and combined radio and print media
regarding the WTC attack. Demographic factors, WTC exposure, other exposure to
trauma, and probable posttraumatic stress disorder (PTSD) were used to predict
intensive use of the 3 types of media. Intensive use was associated with direct
exposure to the WTC attack (with the exception of Web use) and to having reported
symptoms of PTSD. Stratified analyses indicated that the association between
probable PTSD and intensive media use was more consistently present among those
who had no direct or familial exposure to the WTC attack. As well, media,
particularly TV, was intensively used by children after the WTC attack.
Variations existed in the factors associated with intensive media use, which
should be considered when planning postdisaster media coverage and advising
families.
PMID- 21882252
TI - Green tea catechin extract in intervention of chronic breast cell carcinogenesis
induced by environmental carcinogens.
AB - Sporadic breast cancers are mainly attributable to long-term exposure to
environmental factors, via a multi-year, multi-step, and multi-path process of
tumorigenesis involving cumulative genetic and epigenetic alterations in the
chronic carcinogenesis of breast cells from a non-cancerous stage to precancerous
and cancerous stages. Epidemiologic and experimental studies have suggested that
green tea components may be used as preventive agents for breast cancer control.
In our research, we have developed a cellular model that mimics breast cell
carcinogenesis chronically induced by cumulative exposures to low doses of
environmental carcinogens. In this study, we used our chronic carcinogenesis
model as a target system to investigate the activity of green tea catechin
extract (GTC) at non-cytotoxic levels in intervention of cellular carcinogenesis
induced by cumulative exposures to pico-molar 4-(methylnitrosamino)-1-(3-pyridyl)
1-butanone (NNK) and benzo[a]pyrene (B[a]P). We identified that GTC, at a non
cytotoxic, physiologically achievable concentration of 2.5 ug/mL, was effective
in suppressing NNK- and B[a]P-induced cellular carcinogenesis, as measured by
reduction of the acquired cancer-associated properties of reduced dependence on
growth factors, anchorage-independent growth, increased cell mobility, and acinar
conformational disruption. We also detected that intervention of carcinogen
induced elevation of reactive oxygen species (ROS), increase of cell
proliferation, activation of the ERK pathway, DNA damage, and changes in gene
expression may account for the mechanisms of GTC's preventive activity. Thus, GTC
may be used in dietary and chemoprevention of breast cell carcinogenesis
associated with long-term exposure to low doses of environmental carcinogens.
PMID- 21882253
TI - Celecoxib inactivates epithelial-mesenchymal transition stimulated by hypoxia
and/or epidermal growth factor in colon cancer cells.
AB - Celecoxib, a selective cyclooxygenase-2 (COX-2) inhibitor, has been reported to
exert chemopreventive and antitumor effects on colon cancer, one of the most
common solid epithelial malignancy worldwide. The aim of this study was to
elucidate whether celecoxib may be able to affect epithelial-mesenchymal
transition (EMT), a critical process involved in cancer cell invasiveness and
metastasis and then proposed to be relevant for cancer progression. Human HT-29
colon cancer cells were exposed to carefully controlled hypoxic conditions and/or
epidermal growth factor (EGF) and then investigated for EMT changes and signal
transduction pathways involved by using morphological, molecular, and cell
biology techniques. Celecoxib inhibited basal and EGF-stimulated proliferation,
hypoxia-related HIF-1alpha recruitment/stabilization as well as hypoxia- and EGF
dependent activation of ERK and PI3K. Interestingly, celecoxib prevented EMT
related changes, as shown by modifications of beta-catenin intracellular
localization or vimentin and E-cadherin levels, as well as HT-29 invasiveness
induced by hypoxia, EGF, or hypoxia plus EGF. Finally, experiments performed on
SW-480 colon cancer cells (i.e., cells lacking COX-2) exposed to hypoxia, used
here as a stimulus able to induce EMT and invasiveness, revealed that in these
cells celecoxib was ineffective. Results of the present study indicate that
celecoxib has the potential to negatively affect induction of EMT and increased
invasiveness of colon cancer cells as elicited by different signals originating
from tumor microenvironment (i.e., hypoxia and EGF). Moreover, these effects are
likely be related to the pharmacological inhibitory effect exerted on COX-2
activity.
PMID- 21882254
TI - PAX3-FOXO1 and FGFR4 in alveolar rhabdomyosarcoma.
AB - We and others have identified FGFR4 as a direct transcriptional target of the
alveolar rhabdomyosarcoma (ARMS) specific fusion protein, PAX3-FOXO1. We
hypothesized fibroblast growth factor receptor 4 (FGFR4) may act as an effector
of PAX3-FOXO1, contributing to PAX3-FOXO1 tumorigenic phenotypes. However, we
demonstrate that enhanced expression of FGFR4 does not contribute to inhibited
differentiation, enhanced proliferation, or transformation downstream of PAX3
FOXO1 in primary mouse myoblasts. Therefore we were unable to identify any
contribution of up regulation of wild type FGFR4 to PAX3-FOXO1 driven
tumorigenesis. Conversely, a constitutively active mutant of FGFR4 can enhance
primary myoblast proliferation and transformation, indicating activating
mutations of FGFR4 could contribute to the development and progression of ARMS.
We sequenced the FGFR4 mRNA from five ARMS cell lines and identified no somatic
mutations, nor any association with any human single nucleotide polymorphism
within the FGFR4 coding region.
PMID- 21882255
TI - Ras inhibition in hepatocarcinoma by S-trans-trans-farnesylthiosalicyclic acid:
association of its tumor preventive effect with cell proliferation, cell cycle
events, and angiogenesis.
AB - Activation of Ras and its downstream signaling pathways, likely contribute to the
development of hepatocarcinoma. We have previously shown that intraperitoneal
injections of the Ras inhibitor S-trans, trans-farnesylthiosalicyclic acid (FTS)
blocks Ras activation and prevents heptocarcinoma development in rats receiving
weekly injections of the carcinogene diethylnitrosamine (DEN) for 16 wk. Using
this in vivo model, we evaluated the relationship between the tumor preventive
effect of Ras inhibition and activation of downstream signaling pathways, cell
proliferation, cell cycle events, and angiogenesis. Western blotting,
quantitative PCR, immunohistochemistry, and transcription factor activity assays
were used. DEN-induced activation of NFkB and Stat3 was abrogated by FTS
treatment. FTS treatment showed no effect on DEN-induced elevation of TNFalpha,
interleukin 6 and TLR4, known activators of these transcription factors. FTS
significantly reduced phosphorylation of the MAPkinase p38 and of the p70S6
kinase, a surrogate marker for mTor activation, without affecting ERK and AKT
phosphorylation. These events were associated with reduced c-myc and cyclin D
expression as well as reduced cell proliferation in transformed, GSTp-positive
hepatocytes. Moreover, FTS treatment shifted cell proliferation from transformed
hepatocytes to apparently normal, GSTp negative hepatocytes. FTS treatment did
not down-regulate expression of angiogenesis markers HIFalpha, VEGF, VEGF
receptor1, and placenta growth factor. FTS treatment inhibits important signaling
pathways involved in cellular proliferation leading to strongly reduced
proliferation of transformed hepatocytes without affecting normal hepatocytes.
This re-adjustment of the proliferation balance likely contributes to the tumor
preventive of FTS in the context of Ras inhibition in hepatocarcinogenesis.
PMID- 21882256
TI - PPP2R1A mutations are common in the serous type of endometrial cancer.
AB - Recently unbiased sequencing efforts identified PPP2R1A mutations in clear cell
ovarian cancers (OCC). Similar mutations were also noted with high frequency in
uterine serous carcinoma. Because the endometrium develops from the same
developmental precursors we further examined the hypothesis that PPP2R1A
mutations might also occur in diverse histologic subtypes of uterine cancer. We
sequenced the PPP2R1A in 22 cell line models of uterine cancer and 10 primary
cancers. We found no mutations in the cell lines originally derived from
endometrioid (n = 13), undifferentiated (n = 3), clear cell (n = 1), and
carcinosarcoma (n = 3) cancers. However, we found a CCC (Pro) to CGC (Arg) codon
179 mutation in the ACI-158 serous carcinoma cell line, a CCC (Pro) to CTC (Leu)
in a primary serous carcinoma as well as a CGC (Arg) to CAC (His) codon 258
mutation in a poorly differentiated endometrioid cancer. We sequenced a large
panel of endometrial malignancies (n = 181) and found 12 mutants. Importantly, we
confirmed a high frequency of mutation in 8 of 25 (32%) serous carcinomas a
subtype with well-recognized poor prognosis. Mutations were infrequent in
endometrioid cancer and absent in clear cell and carcinosarcoma subtypes. The
PPP2R1A mutation regions are conserved among species and known to interact with
the regulatory subunits of the PP2A enzyme. PPP2R1A mutant endometrial cancers
may represent good candidates for personalized drug therapies particularly for
women with the lethal serous histologic variant of uterine cancer.
PMID- 21882257
TI - Silibinin modulates TNF-alpha and IFN-gamma mediated signaling to regulate COX2
and iNOS expression in tumorigenic mouse lung epithelial LM2 cells.
AB - Silibinin inhibits mouse lung tumorigenesis in part by targeting tumor
microenvironment. Tumor necrosis factor-alpha (TNF-alpha) and interferon-gamma
(IFN-gamma) can be pro- or anti-tumorigenic, but in lung cancer cell lines they
induce pro-inflammatory enzymes cyclooxygenase 2 (COX2) and inducible nitric
oxide synthase (iNOS). Accordingly, here we examined mechanism of silibinin
action on TNF-alpha + IFN-gamma (hereafter referred as cytokine mixture) elicited
signaling in tumor-derived mouse lung epithelial LM2 cells. Both signal
transducers and activators of the transcription (STAT)3 (tyr705 and ser727) and
STAT1 (tyr701) were activated within 15 min of cytokine mixture exposure, while
STAT1 (ser727) activated after 3 h. Cytokine mixture also activated Erk1/2 and
caused an increase in both COX2 and iNOS levels. Pretreatment of cells with a
MEK, NF-kappaB, and/or epidermal growth factor receptor (EGFR) inhibitor
inhibited cytokine mixture-induced activation of Erk1/2, NF-kappaB, or EGFR,
respectively, and strongly decreased phosphorylation of STAT3 and STAT1 and
expression of COX2 and iNOS. Also, janus family kinases (JAK)1 and JAK2
inhibitors specifically decreased cytokine-induced iNOS expression, suggesting
possible roles of JAK1, JAK2, Erk1/2, NF-kappaB, and EGFR in cytokine mixture
caused induction of COX2 and iNOS expression via STAT3/STAT1 activation in LM2
cells. Importantly, silibinin pretreatment inhibited cytokine mixture-induced
phosphorylation of STAT3, STAT1, and Erk1/2, NF-kappaB-DNA binding, and
expression of COX2, iNOS, matrix metalloproteinases (MMP)2, and MMP9, which was
mediated through impairment of STAT3 and STAT1 nuclear localization. Silibinin
also inhibited cytokine mixture-induced migration of LM2 cells. Together, we
showed that STAT3 and STAT1 could be valuable chemopreventive and therapeutic
targets within the lung tumor microenvironment in addition to being targets
within tumor itself, and that silibinin inhibits their activation as a plausible
mechanism of its efficacy against lung cancer.
PMID- 21882258
TI - Loss of Trp53 promotes medulloblastoma development but not skin tumorigenesis in
Sufu heterozygous mutant mice.
AB - Basal cell carcinoma of the skin typically carries genetic alterations in
components of the hedgehog (HH) signaling pathway. Previously, we generated a
knockout mouse with a loss-of-function mutation in suppressor of fused (Sufu), an
essential repressor of the pathway downstream of Hh ligand cell surface
reception. Mice heterozygous for the mutated Sufu allele develop a skin phenotype
that includes lesions similar to basaloid follicular hamartomas. The purpose of
the current study was to test the possibility that the simultaneous loss of the
tumor suppressor gene, transformation related protein 53 (Trp53), would aggravate
the Sufu skin phenotype since Trp53 loss is known to enhance the growth of other
Hh-driven tumors. Consistent with previous reports, medulloblastomas and
rhabdomyosarcomas developed in Sufu(+/-) ;Trp53(-/-) mice. However, the
characteristic Sufu(+/-) skin phenotype was not altered in the absence of Trp53,
and showed no changes in latency, multiplicity, cellular phenotype, or
proliferative capacity of the basaloid lesions. This finding was both novel and
intriguing and demonstrated a differential, tissue-specific sensitivity to Sufu
and Trp53 tumor suppressor gene loss, which may be linked to developmental stage
and the degree of proliferative activity in specific cell types.
PMID- 21882259
TI - Down-regulation of Connexin43 expression reveals the involvement of caveolin-1
containing lipid rafts in human U251 glioblastoma cell invasion.
AB - Glioblastoma cells are characterized by high proliferation and invasive
capacities. Tumor development has been associated with a decrease of gap
junctional intercellular communication, but the concrete involvement of gap
junction proteins, connexins, remains elusive since they are also suspected to
promote cell invasion. In order to better understand how connexins control the
glioma cell phenotype, we studied the consequences of inhibiting the intrinsic
expression of the major astrocytic connexin, Connexin43, in human U251
glioblastoma cells by the shRNA strategy. The induced down-regulation of Cx43
expression has various effects on the U251 cells such as increased clonogenicity,
angiogenesis and decreased adhesion on specific extracellular matrix proteins. We
demonstrate that the invasion capacity measured in vitro and ex vivo correlates
with Cx43 expression level. For the first time in a cancer cell context, our work
demonstrates that Cx43 cofractionates, colocalizes and coimmunoprecipitates with
a lipid raft marker, caveolin-1 and that this interaction is inversely correlated
to the level of Cx43. This localization of Cx43 in these lipid raft microdomains
regulates both homo- and heterocellular gap junctional communications
(respectively between U251 cells, or between U251 cells and astrocytes).
Moreover, the adhesive and invasive capacities are not dependent, in our model,
on Cav-1 expression level. Our results tend to show that heterocellular gap
junctional communication between cancer and stroma cells may affect the behavior
of the tumor cells. Altogether, our data demonstrate that Cx43 controls the tumor
phenotype of glioblastoma U251 cells and in particular, invasion capacity,
through its localization in lipid rafts containing Cav-1.
PMID- 21882260
TI - Interleukin-8 derived from local tissue-resident stromal cells promotes tumor
cell invasion.
AB - The aim of this study is to evaluate the role of adipose tissue resident stromal
cells on tumor cell invasion. Our data show that a subpopulation of adipose
tissue derived stromal cells expressing Nestin, NG2, alpha-smooth muscle actin
and PDGFR-alpha migrate toward the cancer cells. Microarray analysis revealed the
upregulation of IL-8 in the migrated cells. We demonstrated that stromal cell
derived IL-8 promote the invasion and the anchorage-independent growth of cancer
cells. We conclude that human breast cancer cells attract a subpopulation of
stromal cells that secrete IL-8 to promote tumor cell invasion in a paracrine
fashion.
PMID- 21882261
TI - Cu-Si nanocable arrays as high-rate anode materials for lithium-ion batteries.
PMID- 21882262
TI - Continuous patterning of nanogratings by nanochannel-guided lithography on liquid
resists.
PMID- 21882263
TI - Aptamer-mediated efficient capture and release of T lymphocytes on nanostructured
surfaces.
PMID- 21882264
TI - Transfer-free growth of few-layer graphene by self-assembled monolayers.
PMID- 21882265
TI - Textured fluorine-doped tin dioxide films formed by chemical vapour deposition.
AB - The use of an aerosol delivery system enabled fluorine-doped tin dioxide films to
be formed from monobutyltin trichloride methanolic solutions at 350-550 degrees
C with enhanced functional properties compared with commercial standards. It was
noted that small aerosol droplets (0.3 MUm) gave films with better figures of
merit than larger aerosol droplets (45 MUm) or use of a similar precursor set
using atmospheric pressure chemical vapour deposition (CVD) conditions. Control
over the surface texturing and physical properties of the thin films were
investigated by variation in the deposition temperature and dopant concentration.
Optimum deposition conditions for low-emissivity coatings were found to be at a
substrate temperature of about 450 degrees C with a dopant concentration of 1.6
atm% (30 mol% F:Sn in solution), which resulted in films with a low visible light
haze value (1.74%), a high charge-carrier mobility (25 cm(2) V s(-1)) and a high
charge-carrier density (5.7*10(20) cm(-3)) resulting in a high transmittance
across the visible (~80%), a high reflectance in the IR (80% at 2500 nm) and
plasma-edge onset at 1400 nm. Optimum deposition conditions for coatings with
applications as top electrodes in thin film photovoltaics were found to be a
substrate temperature of about 500 degrees C with a dopant concentration of 2.2
atm% (30 mol% F:Sn in solution), which resulted in films with a low sheet
resistance (3 Omega sq(-1)), high charge-carrier density (6.4*10(20) cm(-3)), a
plasma edge onset of 1440 nm and the films also showed pyramidal surface
texturing on the micrometer scale which corresponded to a high visible light haze
value (8%) for light scattering and trapping within thin film photovoltaic
devices.
PMID- 21882266
TI - Synthesis, structure, and physical properties of a partial pi-stacked phenalenyl
based neutral radical molecular conductor.
AB - We report the synthesis, crystallization, and solid-state characterization of the
3,7-ethoxy-substituted spirobiphenalenyl-boron neutral radical 22. The radical is
distinguished by its low disproportionation energy and one-dimensional structure.
We show that our strategy of substitution of OEt group at the active positions of
the phenalenyl units changes the crystal packing from its previously known OMe
analogue and the solid-state properties are dictated by the partial pi-stack
structure and the oxygen atoms at the 3,7-positions and can be best rationalized
in terms of the resonating valence bond model. Magnetic susceptibility
measurements show that in the solid state the radical remains paramagnetic but
there is significant spin-spin interaction between the molecules. Band structure
calculations reflect efficient overlap between the molecules along the pi stack
and show evidence of interactions between the spin-bearing oxygen atoms. The room
temperature electrical conductivity (sigma(RT)=2.0*10(-2) S cm(-1)) of 22 is
higher than that observed in previously known one-dimensional phenalenyl
radicals.
PMID- 21882267
TI - Probing spin density and local structure in the Prussian blue analogues
CsCd[Fe/Co(CN)6].0.5H2O and Cd3[Fe/Co(CN)6]2.15H2O with solid-state MAS NMR
spectroscopy.
AB - Magic-angle spinning (MAS) NMR spectroscopy is used to study the local structure
and spin delocalisation in Prussian blue analogues (PBAs). We selected two common
archetypes of PBAs (A(I)M(II)[M(III)(CN)(6)].xH(2)O and
M(II)(3)[M(III)(CN)(6)](2).xH(2)O, in which A(I) is an alkali ion, and M(II) and
M(III) are transition-metal ions) that exhibit similar cubic frameworks but
different microscopic structures. Whereas the first type of PBA contains
interstitial alkali ions and does not exhibit any [M(III)(CN)(6)](3-) vacancies,
the second type of PBA exhibits [M(III)(CN)(6)](3-) vacancies, but does not
contain inserted alkali ions. In this study, we selected Cd(II) as a divalent
metal in order to use the (113)Cd nuclei (I=1/2) as a probe of the local
structure. Here, we present a complete MAS NMR study on two series of PBAs of the
formulas Cd(II)(3)[Fe(III)(x)Co(III)(1-x)(CN)(6)](2).15H(2)O with x=0 (1), 0.25
(2), 0.5 (3), 0.75 (4) and 1 (5), and CsCd(II)[Fe(III)(x)Co(III)(1
x)(CN)(6)].0.5H(2)O with x=0 (6), 0.25 (7), 0.5 (8), 0.75 (9) and 1 (10).
Interestingly, the presence of Fe(III) magnetic centres in the vicinity of the
cadmium sites has a magnifying-glass effect on the NMR spectrum: it induces a
striking signal spread such that the resolution is notably improved compared to
that achieved for the diamagnetic PBAs. By doping the sample with varying amounts
of diamagnetic Co(III) and comparing the NMR spectra of both types of PBAs, we
have been able to give a view of the structure which is complementary to that
usually obtained from X-ray diffraction studies. In particular, this study has
shown that the vacancies are not randomly distributed in the mesoporous PBAs.
Moreover the cadmium chemical shift, which is a measure of the hyperfine
coupling, allows the estimation of the spin density on the cadmium nucleus, and
consequently, the elucidation of the spin delocalisation mechanism in these
compounds along with its dependency on structural parameters.
PMID- 21882268
TI - Tuning of the electronic properties of a cyclopentadienylruthenium catalyst to
match racemization of electron-rich and electron-deficient alcohols.
AB - The synthesis of a new series of cyclopentadienylruthenium catalysts with varying
electronic properties and their application in racemization of secondary alcohols
are described. These racemizations involve two key steps: 1) beta-hydride
elimination (dehydrogenation) and 2) re-addition of the hydride to the
intermediate ketone. The results obtained confirm our previous theory that the
electronic properties of the substrate determine which of these two steps is rate
determining. For an electron-deficient alcohol the rate-determining step is the
beta-hydride elimination (dehydrogenation), whereas for an electron-rich alcohol
the re-addition of the hydride becomes the rate-determining step. By matching the
electronic properties of the catalyst with the electronic properties of the
alcohol, we have now shown that a dramatic increase in racemization rate can be
obtained. For example, electron-deficient alcohol 15 racemized 30 times faster
with electron-deficient catalyst 6 than with the unmodified standard catalyst 4.
The application of these protocols will extend the scope of
cyclopentadienylruthenium catalysts in racemization and dynamic kinetic
resolution.
PMID- 21882269
TI - Noncovalent one-to-one donor-acceptor assembled systems based on porphyrin
molecular gels for unusually high electron-transfer efficiency.
AB - A new approach for fabricating donor-acceptor assembled systems is demonstrated,
based on J-type ordered aggregation of a low-molecular zinc porphyrin derivative
and subsequent integration of a pyridylated fullerene derivative with
coordination and orientation onto the porphyrin aggregates. This system achieves
unusually high efficiencies in fluorescence quenching during one-to-one mixing of
the donor and acceptor. Moreover, the Stern-Volmer constant (K(SV)) and
association constant (K) of this system are 2520 and 56 times higher,
respectively, than those of the corresponding nonassembled system. The quenching
efficiency is thermotropically switchable, since ordered-to-disordered
transitions are essential characteristics of noncovalent low molecular
assemblies.
PMID- 21882270
TI - Controlled rearrangement of lactam-tethered allenols with brominating reagents: a
combined experimental and theoretical study on alpha- versus beta-keto lactam
formation.
AB - N-Bromosuccinimide (NBS) smoothly promotes the ring expansion of lactam-tethered
allenols to efficiently afford cyclic alpha- or beta-ketoamides with good yields
and high chemo-, regio-, and diastereoselectivity, through controlled C-C bond
cleavage of the beta- or gamma-lactam nucleus. Interestingly, in contrast to the
rearrangement reactions of 2-azetidinone-tethered allenols, which lead to the
corresponding tetramic acid derivatives (beta-keto lactam adducts) as the sole
products, the reactions of 2-indolinone-tethered allenols under similar
conditions give quinoline-2,3-diones (alpha-keto lactam adducts) as the exclusive
or major products. To rationalize the experimental observations, theoretical
studies have been performed.
PMID- 21882271
TI - Cycloaddition reactions: a controlled approach for carbon nanotube
functionalization.
AB - Controlled functionalization of carbon nanotubes (CNTs) through the use of
cycloaddition reactions is described. By employing various cycloaddition
reactions, a wide range of molecules could be coupled onto CNTs without
disruption of the structural integrity as well as with a statistical distribution
of functional groups onto the surface of the CNTs. The cycloaddition reactions
represent an effective and tailored approach for preparing CNT-based advanced
hybrid materials that would be useful for a wide range of applications from
nanobiotechnology to nanoelectronics.
PMID- 21882273
TI - Conjugated 4-methoxybipyrrole thiophene azomethines: synthesis, opto-electronic
properties, and crystallographic characterization.
AB - In the search of functional materials with improved electrochromic properties,
thiophenes and asymmetric bipyrroles have been conjugated with azomethine units.
4-Methoxy-2,2'-bipyrroles 3-6 were first synthesized by a general route from 4
hydroxyproline and converted subsequently to dialdehydes 8-15, which underwent
condensations with different aminothiophenes to provide azomethine conjugates 14
18 and 20-22. The crystallization and X-ray analysis of 20 showed the
heterocycles and azomethine bonds were all co-planar with the heterocycles
adopting an anti-parallel arrangement. These configurations result in extended
conjugation and enhanced opto-electronic properties of the azomethines. Oxidation
potential (E(pa)) was tailored by modification of the substitution pattern of the
terminal thiophenes and central pyrroles of the azomethines. The combined low
E(pa) and extended azomethine degree of conjugation resulted in stark color
transitions occurring between their neutral and oxidized states. Reversible color
formation was induced both electrochemically and by doping/de-doping with
trifluoroacetic acid/triethylamine.
PMID- 21882272
TI - Biomolecule-assisted route to prepare titania mesoporous hollow structures.
AB - Amino acids, as a particularly important type of biomolecules, have been used as
multifunctional templates to intelligently construct mesoporous TiO(2) hollow
structures through a simple solvothermal reaction. The structure-directing
behaviors of various amino acids were systematically investigated, and it was
found that these biomolecules possess the general capability to assist mesoporous
TiO(2) hollow-sphere formation. At the same time, the nanostructures of the
obtained TiO(2) are highly dependent on the isoelectric points (pI) of amino
acids. Their molecular-structure variations can lead to pI differences and
significantly influence the final TiO(2) morphologies. Higher-pI amino acids
(e.g., L-lysine and L-arginine) have better structure-directing abilities to
generate nanosheet-assembled hollow spheres and yolk/shell structures. The
specific morphologies and mesopore size of these novel hollow structures can also
be tuned by adjusting the titanium precursor concentration. Heat treatment in air
and vacuum was further conducted to transform the as-prepared structures to
porous nanoparticle-assembled hollow TiO(2) and TiO(2)/carbon nanocomposites,
which may be potentially applied in the fields of photocatalysts, dye-sensitized
solar cells, and Li batteries. This study provides some enlightenment on the
design of novel templates by taking advantage of biomolecules.
PMID- 21882274
TI - In situ phosphine oxide reduction: a catalytic Appel reaction.
AB - Several important reactions in organic chemistry thrive on stoichiometric
formation of phosphine oxides from phosphines. To avoid the resulting burden of
waste and purification, cyclic phosphine oxides were evaluated for new catalytic
reactions based on in situ regeneration. First, the ease of silane-mediated
reduction of a range of cyclic phosphine oxides was explored. In addition, the
compatibility of silanes with electrophilic halogen donors was determined for
application in a catalytic Appel reaction based on in situ reduction of
dibenzophosphole oxide. Under optimized conditions, alcohols were effectively
converted to bromides or chlorides, thereby showing the relevance of new catalyst
development and paving the way for broader application of organophosphorus
catalysis by in situ reduction protocols.
PMID- 21882275
TI - Polystyrene-supported diarylprolinol ethers as highly efficient organocatalysts
for Michael-type reactions.
AB - alpha,alpha-Diphenylprolinol methyl- and trimethylsilyl ethers anchored onto a
polystyrene resin have been prepared by a copper-catalyzed azide-alkyne
cycloadditions (CuAAC). The catalytic activity and enantioselectivity displayed
by the O-trimethylsilyl derivative are comparable to those exhibited by the best
known homogeneous catalysts for the addition of aldehydes to nitroolefins and of
malonates or nitromethane to alpha,beta-unsaturated aldehydes. The combination of
the catalytic unit, the triazole linker, and the polymeric matrix provides
unprecedented substrate selectivity, in favor of linear, short-chain aldehydes,
when the organocatalyzed reaction proceeds by an enamine mechanism. High
versatility is noted in reactions that proceed via an iminium ion intermediate.
The catalytic behavior of polystyrene-supported alpha,alpha-diphenylprolinol
methyl ether was also evaluated in asymmetric Michael addition reactions. As a
general trend, the CuAAC immobilization of diarylprolinol ethers onto insoluble
polystyrene resins offers important operational advantages, such as high
catalytic activity, easy recovery from the reaction mixture by simple filtration,
and the possibility of extended reuse.
PMID- 21882276
TI - Cu(I)/H2/NaOH-catalyzed cross-coupling of two different alcohols for carbon
carbon bond formation: "borrowing hydrogen"?
PMID- 21882277
TI - Visible-near-infrared and fluorescent copper sensors based on julolidine
conjugates: selective detection and fluorescence imaging in living cells.
AB - We present novel Schiff base ligands julolidine-carbonohydrazone 1 and julolidine
thiocarbonohydrazone 2 for selective detection of Cu(2+) in aqueous medium. The
planar julolidine-based ligands can sense Cu(2+) colorimetrically with
characteristic absorbance in the near-infrared (NIR, 700-1000 nm) region.
Employing molecular probes 1 and 2 for detection of Cu(2+) not only allowed
detection by the naked eye, but also detection of varying micromolar
concentrations of Cu(2+) due to the appearance of distinct coloration. Moreover,
Cu(2+) selectively quenches the fluorescence of julolidine-thiocarbonohydrazone 2
among all other metal ions, which increases the sensitivity of the probe.
Furthermore, quenched fluorescence of the ligand 2 in the presence of Cu(2+) was
restored by adjusting the complexation ability of the ligand. Hence, by treatment
with ethylenediaminetetraacetic acid (EDTA), thus enabling reversibility and dual
check signaling, julolidine-thiocarbonohydrazone (2) can be used as a fluorescent
molecular probe for the sensitive detection of Cu(2+) in biological systems. The
ligands 1 and 2 can be utilized to monitor Cu(2+) in aqueous solution over a wide
pH range. We have investigated the structural, electronic, and optical properties
of the ligands using ab initio density functional theory (DFT) combined with time
dependent density functional theory (TDDFT) calculations. The observed absorption
band in the NIR region is attributed to the formation of a charge-transfer
complex between Cu(2+) and the ligand. The fluorescence-quenching behavior can be
accounted for primarily due to the excited-state ligand 2 to metal (Cu(2+))
charge-transfer (LMCT) processes. Thus, experimentally observed characteristic
NIR and fluorescence optical responses of the ligands upon binding to Cu(2+) are
well supported by the theoretical calculations. Subsequently, we have employed
julolidine-thiocarbonohydrazone 2 for reversible fluorescence sensing of
intracellular Cu(2+) in cultured HEK293T cells.
PMID- 21882278
TI - Highly enantioselective rhodium-catalyzed asymmetric 1,4-addition reactions of
arylboronic acids to acyclic alpha,beta-unsaturated compounds: the formal
synthesis of (-)-indatraline.
PMID- 21882279
TI - Heteroscorpionate rare-earth metal zwitterionic complexes: syntheses,
characterization, and heteroselective catalysis on the ring-opening
polymerization of rac-lactide.
AB - Novel neutral phosphine-modified heteroscorpionate ligand (3,5
Me(2)Pz)(2)CHPPh(2) (1) and its derivatives oxophosphine (2) and iminophosphine
(3) heteroscorpionates were synthesized for the first time. These neutral
heteroscorpionate ligands displayed unique chemistry towards rare-earth metal
tris(alkyl)s [Ln(CH(2)SiMe(3))(3)(thf)(2)] (Ln=Y, Lu, Sc). The reaction between
compound 1 and [Ln(CH(2)SiMe(3))(3)(thf)(2)] afforded heteroscorpionate rare
earth metal trialkyl adduct complexes 4a-c. Compounds 2 and 3 were treated with
[Ln(CH(2)SiMe(3))(3)(thf)(2)] to give the unprecedented zwitterionic
heteroscorpionate rare-earth metal dialkyls 5 and 6, respectively. In the
process, the heteroscorpionates transferred to the carbanions by means of methine
C-H bond cleavage that was attributed to the presence of the electron-withdrawing
groups. In addition the ligand and central metal showed a concerted effect on
both the catalytic activity and specific selectivity of complexes 4-6 for the
ring-opening polymerization (ROP) of rac-lactide (rac-LA). All the adduct
complexes 4 were nonselective and gave atactic polylactide (PLA), probably due to
the dissociation of ligand 1 from the active metal center during the
polymerization. Strikingly, zwitterionic complexes 5 catalyzed rapid ROP of rac
LA to produce PLAs with heterotacticity up to 0.87. However, the zwitterionic
complexes 6 were less active and less selective than 5, which might be on account
of the stronger coordination of the tetradentate ligand. Complexes 5 represent
rare examples of the selective ROP of rac-LA mediated by rare-earth metal
complexes supported by non-bisphenolate ligands.
PMID- 21882280
TI - Enantioselective and regioselective Friedel-Crafts alkylation of pyrroles with
nitroalkenes catalyzed by a tridentate Schiff base-copper complex.
PMID- 21882282
TI - Molecular profiling reveals frequent gain of MYCN and anaplasia-specific loss of
4q and 14q in Wilms tumor.
AB - Anaplasia in Wilms tumor, a distinctive histology characterized by abnormal
mitoses, is associated with poor patient outcome. While anaplastic tumors
frequently harbour TP53 mutations, little is otherwise known about their
molecular biology. We have used array comparative genomic hybridization (aCGH)
and cDNA microarray expression profiling to compare anaplastic and favorable
histology Wilms tumors to determine their common and differentiating features. In
addition to changes on 17p, consistent with TP53 deletion, recurrent anaplasia
specific genomic loss and under-expression were noted in several other regions,
most strikingly 4q and 14q. Further aberrations, including gain of 1q and loss of
16q were common to both histologies. Focal gain of MYCN, initially detected by
high resolution aCGH profiling in 6/61 anaplastic samples, was confirmed in a
significant proportion of both tumor types by a genomic quantitative PCR survey
of over 400 tumors. Overall, these results are consistent with a model where
anaplasia, rather than forming an entirely distinct molecular entity, arises from
the general continuum of Wilms tumor by the acquisition of additional genomic
changes at multiple loci.
PMID- 21882283
TI - Microarray-based genomic profiling as a diagnostic tool in acute lymphoblastic
leukemia.
AB - In acute lymphoblastic leukemia (ALL) specific genomic abnormalities provide
important clinical information. In most routine clinical diagnostic laboratories
conventional karyotyping, in conjunction with targeted screens using e.g.,
fluorescence in situ hybridization (FISH), is currently considered as the gold
standard to detect such aberrations. Conventional karyotyping, however, is
limited in its resolution and yield, thus hampering the genetic diagnosis of ALL.
We explored whether microarray-based genomic profiling would be feasible as an
alternative strategy in a routine clinical diagnostic setting. To this end, we
compared conventional karyotypes with microarray-deduced copy number aberration
(CNA) karyotypes in 60 ALL cases. Microarray-based genomic profiling resulted in
a CNA detection rate of 90%, whereas for conventional karyotyping this was 61%.
In addition, many small (< 5 Mb) genetic lesions were encountered, frequently
harboring clinically relevant ALL-related genes such as CDKN2A/B, ETV6, PAX5, and
IKZF1. From our data we conclude that microarray-based genomic profiling serves
as a robust tool in the genetic diagnosis of ALL, outreaching conventional
karyotyping in CNA detection both in terms of sensitivity and specificity. We
also propose a practical workflow for a comprehensive and objective
interpretation of CNAs obtained through microarray-based genomic profiling,
thereby facilitating its application in a routine clinical diagnostic setting.
PMID- 21882281
TI - 13C MRS studies of neuroenergetics and neurotransmitter cycling in humans.
AB - In the last 25 years, (13)C MRS has been established as the only noninvasive
method for the measurement of glutamate neurotransmission and cell-specific
neuroenergetics. Although technically and experimentally challenging, (13)C MRS
has already provided important new information on the relationship between
neuroenergetics and neuronal function, the energy cost of brain function, the
high neuronal activity in the resting brain state and how neuroenergetics and
neurotransmitter cycling are altered in neurological and psychiatric disease. In
this article, the current state of (13)C MRS as it is applied to the study of
neuroenergetics and neurotransmitter cycling in humans is reviewed. The focus is
predominantly on recent findings in humans regarding metabolic pathways,
applications to clinical research and the technical status of the method. Results
from in vivo (13)C MRS studies in animals are discussed from the standpoint of
the validation of MRS measurements of neuroenergetics and neurotransmitter
cycling, and where they have helped to identify key questions to address in human
research. Controversies concerning the relationship between neuroenergetics and
neurotransmitter cycling and factors having an impact on the accurate
determination of fluxes through mathematical modeling are addressed. We further
touch upon different (13)C-labeled substrates used to study brain metabolism,
before reviewing a number of human brain diseases investigated using (13)C MRS.
Future technological developments are discussed that will help to overcome the
limitations of (13)C MRS, with special attention given to recent developments in
hyperpolarized (13)C MRS.
PMID- 21882284
TI - Response to regulatory stringency: the case of antipsychotic medication use in
nursing homes.
AB - This paper studies the impact of regulatory stringency, as measured by the
statewide deficiency citation rate over the past year, on the quality of care
provided in a national sample of nursing homes from 2000 to 2005. The quality
measure used is the proportion of residents who are using antipsychotic
medication. Although the changing case-mix of nursing home residents accounts for
some of the increase in the use of antipsychotics, we find that the use of
antipsychotics by nursing homes is responsive to state regulatory enforcement in
a manner consistent with the multitasking incentive problem. Specifically, the
effect of the regulations is dependent on the degree of complementarity between
the regulatory deficiency and the use of antipsychotics.
PMID- 21882285
TI - Accounting for between-study variation in incremental net benefit in value of
information methodology.
AB - Previous applications of value of information methods for determining optimal
sample size in randomized clinical trials have assumed no between-study variation
in mean incremental net benefit. By adopting a hierarchical model, we provide a
solution for determining optimal sample size with this assumption relaxed. The
solution is illustrated with two examples from the literature. Expected net gain
increases with increasing between-study variation, reflecting the increased
uncertainty in incremental net benefit and reduced extent to which data are
borrowed from previous evidence. Hence, a trial can become optimal where current
evidence is sufficient assuming no between-study variation. However, despite the
expected net gain increasing, the optimal sample size in the illustrated examples
is relatively insensitive to the amount of between-study variation. Further
percentage losses in expected net gain were small even when choosing sample sizes
that reflected widely different between-study variation.
PMID- 21882286
TI - Matched and mismatched cognitive appraisals in patients with breast cancer and
their partners: implications for psychological distress.
AB - BACKGROUND: The present study sought to identify couples' cognitive appraisals of
breast cancer and the extent to which matched or mismatched appraisals within a
couple contribute to distress. METHODS: Women with breast cancer (n = 57) and
their partners completed the Cognitive Appraisals of Health Scale along with two
self-report measures of distress, the Profile of Mood States and the Impact of
Events Scale. Four groups were created based on their cognitive appraisals.
Couples where both patient and partner scored highest on challenge or benign
appraisals formed the positive outlook group (P+S+); when both scored highest on
threat or harm/loss, they formed the negative outlook group (P-S-). In the
mismatched groups, the patient had a positive outlook, and their partner had a
negative outlook (P+S-), or vice versa (P-S+). RESULTS: In general, lower
distress was related to participants' own positive outlook. Higher distress for
patients was found in the matched group P-S-; for partners, it was found in the
mismatched group P+S-. CONCLUSIONS: These findings suggest partner effects for
both patients and partners. When the patient had a negative outlook, a partner
negative outlook was associated with the highest psychological distress. When the
partner had a negative outlook, a patient positive outlook was associated with
the highest psychological distress. There are several possible explanations for
these findings, each with different implications for clinical practice. Future
research with different groups of cancer patients and longitudinal, mixed methods
designs may clarify their meaning.
PMID- 21882287
TI - Psychological treatment outcomes for cancer patients: what do meta-analyses tell
us about distress reduction?
AB - OBJECTIVE: The effectiveness of psychological treatment for distress reduction in
cancer patients has been frequently studied and reviewed in systematic reviews
but reviewer conclusions vary considerably. Clear and consistent evidence is
needed to assist clinicians and administrators with their decision-making. We
hypothesized that uneven handling of confounding methodological features are at
least partly the reason for disagreements and reviewed the literature in this
light. METHOD: A systematic review of 14 published meta-analyses was conducted to
determine whether due consideration of moderating variables in psycho-oncological
treatments permits clearer recommendations. Quality of the reviews, treatment
type, dosage, therapist qualities, outcomes at follow-up, and screening versus
not screening for elevated distress were examined as moderator variables.
RESULTS: Treatment effects are consistently positive but also vary greatly in
magnitude. There is lacking evidence for many important questions, in particular,
differential treatment effects for different cancer types and stages. Regarding
moderators of outcome, quality of review had no impact on results for depression
but including lower quality reviews actually lead to underestimation of treatment
effects for anxiety. The most potent negative moderator variable, however, is a
floor effect that arises when patients are recruited for treatment studies
without being selected for high levels of distress. Such indiscriminate
recruitment is very frequent in psycho-oncology and leads to small reported
treatment effects; when, however, patients are first screened for elevated
distress, the ratio of observed treatment effects sizes is roughly three times
greater. CONCLUSION: Sweeping judgments about the effectiveness of psycho
oncological treatments for distress reduction are somewhat misleading and counter
productive. Among moderator variables, floor effects are particularly pervasive
and have a large suppressor effect on observed outcomes.
PMID- 21882288
TI - Differences in depressed oncologic patients' narratives after receiving two
different therapeutic interventions for depression: a qualitative study.
AB - BACKGROUND: This study aims to explore differences in personal narratives of the
experience of illness and treatment in depressed oncologic patients who received
either combined treatment for depression (psychotherapy plus antidepressants) or
standard treatment (antidepressants alone). METHODS: We employed a qualitative
research design based on grounded theory. Data were collected from eight
videotaped focus groups and semi-structured interviews with a total of 28
participants. The research team reviewed interview transcripts and categorized
the participants' responses using the ATLAS.ti (ATLAS.ti Scientific Software
Development GmbH Hardenbergstr. 7 D-10623, Berlin) software package. RESULTS:
Compared with patients in the standard treatment group, patients in the combined
treatment group were better able to relate their experiences of physical and
emotional discomfort and find meaning in the experience of illness by viewing
cancer as a transformative experience. In addition, patients in the combined
treatment group tended to use more active coping strategies based on acceptance
of their situation and emphasized that psychotherapy had been helpful.
CONCLUSIONS: Qualitative analysis is an efficient method of examining the meaning
of quantitative results in depth, particularly patients' perspectives on quality
of life. Patients undergoing combined treatment consider psychotherapy to be a
helpful tool and exhibit more personal growth than do patients undergoing
standard treatment.
PMID- 21882289
TI - A comparative analysis approach to determining the pathogenicity of mitochondrial
tRNA mutations.
AB - Distinguishing pathogenic from polymorphic changes poses significant problems for
geneticists and despite 30 years of postgenomic experience this remains the case
in mitochondrial genetics. Base substitutions in mitochondrial tRNA (mt-tRNA)
genes are particularly difficult, but important, because they are common causes
of pathology and associated with high rates of transmission. Providing accurate
genetic advice to patients and their families is of paramount importance in
disease prevention, and brings into sharp focus the factors used to distinguish
pathogenic from polymorphic variants. We have reevaluated our pathogenicity
scoring system for mt-tRNA mutations following a considerable increase in the
number reported since the system was devised in 2004. This allowed us to address
notable issues including the underestimation of "definitely pathogenic" mutations
resulting from insufficient data collection. We illustrate the robustness of our
revised scoring system using novel pathogenic and previously reported polymorphic
changes and conclude that while clear evidence from single-fiber and/or trans
mitochondrial cybrid studies remains the gold standard for assigning
pathogenicity, our scoring system is valuable for deciding which mt-tRNA
mutations to investigate further using these labor-intensive techniques.
PMID- 21882291
TI - Large deletions of the KCNV2 gene are common in patients with cone dystrophy with
supernormal rod response.
AB - Cone dystrophy with supernormal rod response (CDSRR) is considered to be a very
rare autosomal recessive retinal disorder. CDSRR is associated with mutations in
KCNV2, a gene that encodes a modulatory subunit (Kv8.2) of a voltage-gated
potassium channel. In this study, we found that KCNV2 mutations are present in a
substantial fraction (2.2-4.3%) of a sample of 367 independent patients with a
variety of initial clinical diagnoses of cone malfunction, indicating that CDSRR
is underdiagnosed and more common than previously thought. In total, we
identified 20 different KCNV2 mutations; 15 of them are novel. A new finding of
this study is the substantial proportion of large deletions at the KCNV2 locus
that accounts for 15.5% of the mutant alleles in our sample. We determined the
breakpoints and size of all five different deletions, which ranged between 10.9
and 236.8 kb. Two deletions encompass the entire KCNV2 gene and one also includes
the adjacent VLDLR gene. Furthermore, we investigated N-terminal amino acid
substitution mutations for its effect on interaction with Kv2.1 using yeast two
hybrid technology. We found that these mutations dramatically reduce or abolish
this interaction suggesting a lack of assembly of heteromeric Kv channels as one
underlying pathomechanism of CDSRR.
PMID- 21882292
TI - High frequency of rare copy number variants affecting functionally related genes
in patients with structural brain malformations.
AB - During the past years, significant advances have been made in our understanding
of the development of the human brain, and much of this knowledge comes from
genetic studies of disorders associated with abnormal brain development. We
employed array-comparative genomic hybridization (CGH) to investigate copy number
variants (CNVs) in a cohort of 169 patients with various structural brain
malformations including lissencephaly, polymicrogyria, focal cortical dysplasia,
and corpus callosum agenesis. The majority of the patients had intellectual
disabilities (ID) and suffered from symptomatic epilepsy. We detected at least
one rare CNV in 38 patients (22.5%). All genes located within the rare CNVs were
subjected to enrichment analysis for specific Gene Ontology Terms or Kyoto
Encyclopedia of Genes and Genomes pathways and to protein-protein network
analysis. Based on these analyses, we propose that genes involved in "axonal
transport," "cation transmembrane transporter activity," and the "c-Jun N
terminal kinase (JNK) cascade" play a significant role in the etiology of brain
malformations. This is to the best of our knowledge the first systematic study of
CNVs in patients with structural brain malformations and our data show that CNVs
play an important role in the etiology of these malformations, either as direct
causes or as genetic risk factors.
PMID- 21882290
TI - Evidence of association of APOE with age-related macular degeneration: a pooled
analysis of 15 studies.
AB - Age-related macular degeneration (AMD) is the most common cause of incurable
visual impairment in high-income countries. Previous studies report inconsistent
associations between AMD and apolipoprotein E (APOE), a lipid transport protein
involved in low-density cholesterol modulation. Potential interaction between
APOE and sex, and smoking status has been reported. We present a pooled analysis
(n = 21,160) demonstrating associations between late AMD and APOepsilon4 (odds
ratio [OR] = 0.72 per haplotype; confidence interval [CI]: 0.65-0.74; P =
4.41*10(-11) ) and APOepsilon2 (OR = 1.83 for homozygote carriers; CI: 1.04-3.23;
P = 0.04), following adjustment for age group and sex within each study and
smoking status. No evidence of interaction between APOE and sex or smoking was
found. Ever smokers had significant increased risk relative to never smokers for
both neovascular (OR = 1.54; CI: 1.38-1.72; P = 2.8*10(-15) ) and atrophic (OR =
1.38; CI: 1.18-1.61; P = 3.37*10(-5) ) AMD but not early AMD (OR = 0.94; CI: 0.86
1.03; P = 0.16), implicating smoking as a major contributing factor to disease
progression from early signs to the visually disabling late forms. Extended
haplotype analysis incorporating rs405509 did not identify additional risks
beyond epsilon2 and epsilon4 haplotypes. Our expanded analysis substantially
improves our understanding of the association between the APOE locus and AMD. It
further provides evidence supporting the role of cholesterol modulation, and low
density cholesterol specifically, in AMD disease etiology.
PMID- 21882293
TI - Extending the phenotypes associated with DICER1 mutations.
AB - DICER1 is crucial for embryogenesis and early development. Forty different
heterozygous germline DICER1 mutations have been reported worldwide in 42
probands that developed as children or young adults, pleuropulmonary blastoma
(PPB), cystic nephroma (CN), ovarian sex cord-stromal tumors (especially Sertoli
Leydig cell tumor [SLCT]), and/or multinodular goiter (MNG). We report DICER1
mutations in seven additional families that manifested uterine cervix embryonal
rhabdomyosarcoma (cERMS, four cases) and primitive neuroectodermal tumor (cPNET,
one case), Wilms tumor (WT, three cases), pulmonary sequestration (PS, one case),
and juvenile intestinal polyp (one case). One carrier developed (age 25 years) a
pleomorphic sarcoma of the thigh; another carrier had transposition of great
arteries (TGA). These observations show that cERMS, cPNET, WT, PS, and juvenile
polyps fall within the spectrum of DICER1-related diseases. DICER1 appears to be
the first gene implicated in the etiology of cERMS, cPNET, and PS. Young
adulthood sarcomas and perhaps congenital malformations such as TGA may also be
associated.
PMID- 21882294
TI - SgD-CNV, a database for common and rare copy number variants in three Asian
populations.
AB - Copy number variants (CNVs) extend our understanding of the genetic diversity in
humans. However, the distribution and characteristics of CNVs in Asian
populations remain largely unexplored, especially for rare CNVs that have emerged
as important genetic factors for complex traits. In the present study, we
performed an in-depth investigation of common and rare CNVs across 8,148
individuals from the three major Asian ethnic groups: Chinese (n = 1,945), Malays
(n = 2,399), and Indians (n = 2,217) in Singapore, making this investigation the
most comprehensive genome-wide survey of CNVs outside the European-ancestry
populations to date. We detected about 16 CNVs per individual and the ratio of
loss to gain events is ~2:1. The majority of the CNVs are of low frequency
(<10%), and 40% are rare (<1%). In each population, ~20% of the CNVs are not
previously catalogued in the Database of Genomic Variants (DGV). Contrary to
findings from European studies, the common CNVs (>5%) in our populations are not
well tagged by SNPs in Illumina 1M and 610K arrays, and most disease-associated
common CNVs previously reported in Caucasians are rare in our populations. We
also report noticeable population differentiation in the CNV landscape of these
Asian populations, with the greatest diversity seen between the Indians and the
Chinese.
PMID- 21882295
TI - Integrating theories and concepts: formulation driven CBT for a client with a
diagnosis of schizo-affective disorder.
AB - Therapists working with people who have complex problems associated with
psychosis may find it difficult to apply currently available cognitive
behavioural therapy (CBT) manuals to client's presenting problems. This can lead
to activation of negative therapist beliefs about themselves, the client or the
therapy and subsequent problems maintaining the therapeutic relationship. This
paper describes the integration of a range of models and concepts derived from
CBT which were used to assist one such client with complex problems associated
with psychosis. As such, it is proposed that taking a flexible, formulation
driven approach to therapy can assist therapists to remain client centred and
work effectively. The therapy described draws on a number of concepts including
those taken from schema focussed therapy, mindfulness and compassionate mind
training. Therapist and client summary letters were used as part of the described
intervention, but in addition, the client's summary is reproduced in the paper
aiming to address the issue of the under reporting in the literature of the
client's perspective on therapy.
PMID- 21882296
TI - What basic emotions are experienced in bipolar disorder?
AB - AIMS: The aims of this study were to investigate the basic emotions experienced
within and between episodes of bipolar disorder and, more specifically, to test
the predictions made by the Schematic, Propositional, Analogical and Associative
Representation Systems (SPAARS) model that mania is predominantly characterized
by the coupling of happiness with anger whereas depression (unipolar and bipolar)
primarily comprises a coupling between sadness and disgust. DESIGN: A cross
sectional design was employed to examine the differences within and between the
bipolar, unipolar and control groups in the emotional profiles. Data were
analysed using one-way ANOVAs. METHOD: Psychiatric diagnoses in the clinical
groups were confirmed using the Structured Clinical Interview for DSM-IV (SCID).
It was not administered in the control group. Current mood state was measured
using the Beck Depression Inventory-II, the State-Trait Anxiety Inventory and the
Bech-Rafaelsen Mania Scale. The Basic Emotions Scale was used to explore the
emotional profiles. RESULTS: The results confirmed the predictions made by the
SPAARS model about emotions in mania and depression. Outwith these episodes,
individuals with bipolar disorder experienced elevated levels of disgust.
DISCUSSION: Evidence was found in support of the proposal of SPAARS that there
are five basic emotions, which form the basis for both normal emotional
experience and emotional disorders. Disgust is an important feature of bipolar
disorder. Strengths and limitations are discussed, and suggestions for future
research are explored.
PMID- 21882297
TI - Using plan analysis in psychotherapeutic case formulation of borderline
personality disorder.
AB - A comprehensive understanding of the patient's problems is essential for a
constructive therapeutic behaviour, especially in borderline personality disorder
(BPD) where difficult interpersonal patterns are persistent. In these
circumstances, the use of an integrative case formulation approach such as Plan
Analysis, developed by K. Grawe and F. Caspar, can be of help for therapy
planning. The focus here is on instrumental relations between behaviours and the
hypothetical Plans and motives 'behind' those behaviours. The present qualitative
study aimed at setting a prototypical Plan structure for n = 15 patients
presenting a Diagnostic and Statistical Manual of Mental Disorders, Fourth
Edition, diagnosis of BPD using Plan Analysis. The first psychotherapeutic
session of every outpatient was video-taped and evaluated according to the Plan
Analysis procedure. Inter-rater reliability was established between two
independent raters and was considered sufficient. The detailed prototypical Plan
structure of BPD showed two main tendencies: first, the important presence of
support-seeking among these patients and second, the will to be in control and to
protect oneself. This study confirms the existence of several core similarities
in the functioning of patients with BPD. These findings are in line with earlier
studies and expand the latter with the aim of contributing to the understanding
of BPD psychopathology. Clinical implications are discussed.
PMID- 21882298
TI - Qualitative exploration of empowerment from the perspective of young people with
psychosis.
AB - Evidence suggests that empowerment is central to improving the effectiveness and
quality of mental health care. Empowerment includes increased involvement, choice
and access to health information for service users. Within the process of
empowerment, individuals may better understand their health needs and accordingly
improve their prognoses. Despite the widespread use of the term 'empowerment'
within mental health, there have been no studies examining how young people with
psychosis understand and conceptualize the term empowerment or which factors are
conductive to them developing a sense of empowerment. This study aims to
qualitatively conceptualize empowerment from the perspective of young people aged
14-18 years experiencing psychosis. Individual interviews were conducted with
nine young people with a diagnosis of a psychotic disorder regarding their
understanding and experience of empowerment. The interviews were audiotaped,
transcribed verbatim and analysed using interpretative phenomenological analysis.
Results indicated that young people who have experienced psychosis conceptualized
empowerment as being listened to, being understood, taking control and making
decisions for themselves. Young people place high importance on experiencing
personal empowerment in relation to being users of mental health services and
regard being empowered as the most important factor for determining their own
recovery. Results also revealed that young people view mental health workers as
very variable in their ability and willingness to address and help facilitate
empowerment. They also identified daily routine, structure and avoidance of
inactivity as additional means of increasing empowerment. The implications for
research and practice are discussed. KEY PRACTITIONER MESSAGE: The way
practitioners interact with young people impacts upon their experience of
empowerment. Young people with psychosis equate being listened to with being
empowered. Young people with psychosis, especially when hospitalized, want to
develop and use their own coping styles. Clinicians who give choices and have a
sense of humour are valued by young people with psychosis. Clinicians must
consider whose needs are being met when decisions are made for young people with
psychosis.
PMID- 21882299
TI - Role of medial cortical networks for anticipatory processing in obsessive
compulsive disorder.
AB - Recurrent anticipation of ominous events is central to obsessions, the core
symptom of obsessive-compulsive disorder (OCD), yet the neural basis of intrinsic
anticipatory processing in OCD is unknown. We studied nonmedicated adults with
OCD and case matched healthy controls in a visual-spatial working memory task
with distractor. Magnetoencephalography was used to examine the medial cortex
activity during anticipation of to-be-inhibited distractors and to-be-facilitated
retrieval stimuli. In OCD anticipatory activation to distractors was abnormally
reduced within the posterior cingulate and fusiform gyrus compared to prominent
activation in controls. Conversely, OCD subjects displayed significantly
increased activation to retrieval stimuli within the anterior cingulate and
supplementary motor cortex. This previously unreported discordant pattern of
medial anticipatory activation in OCD was accompanied by normal performance
accuracy. While increased anterior cortex activation in OCD is commonly viewed as
failure of inhibition, the current pattern of data implicates the operation of an
anterior compensatory mechanism amending the posterior medial self-regulatory
networks disrupted in OCD.
PMID- 21882300
TI - Evaluating and reducing the impact of white matter lesions on brain volume
measurements.
AB - MR-based measurements of brain volumes may be affected by the presence of white
matter (WM) lesions. Here, we assessed how and to what extent this may happen for
WM lesions of various sizes and intensities. After inserting WM lesions of
different sizes and intensities into T1-W brain images of healthy subjects, we
assessed the effect on two widely used automatic methods for brain volume
measurement such as SIENAX (segmentation-based) and SIENA (registration-based).
To explore the relevance of partial volume (PV) estimation, we performed the
experiments with two different PV models, implemented by the same segmentation
algorithm (FAST) of SIENAX and SIENA. Finally, we tested potential solutions to
this issue. The presence of WM lesions did not bias measurements for registration
based method such as SIENA. By contrast, the presence of WM lesions affected
segmentation-based brain volume measurements such as SIENAx. The
misclassification of both gray matter (GM) and WM volumes varied considerably
with lesion size and intensity, especially when the lesion intensity was similar
to that of the GM/WM interface. The extent to which the presence of WM lesions
could affect tissue-class measures was clearly driven by the PV modeling used,
with the mixel-type PV model giving a lower error in the presence of WM lesions.
The tissue misclassification due to WM lesions was still present when they were
masked out. By contrast, refilling the lesions with intensities matching the
surrounding normal-appearing WM ensured accurate tissue-class measurements and
thus represents a promising approach for accurate tissue classification and brain
volume measurements.
PMID- 21882302
TI - Platinum nanoparticles encapsulated by aminopeptidase: a multifunctional
bioinorganic nanohybrid catalyst.
PMID- 21882301
TI - Concomitant therapy with methotrexate and anti-TNF-alpha in pediatric patients
with refractory crohn's colitis: a case series.
AB - BACKGROUND: Crohn's colitis refractory to anti-tumor necrosis factor alpha (TNF
alpha) therapy is commonly seen in tertiary care centers for pediatric
inflammatory bowel disease (IBD). We report our experience in managing pediatric
refractory Crohn's colitis with concomitant use of methotrexate and anti-TNF
alpha therapy. METHODS: We reviewed records from 2007 to 2010 at the Mayo Clinic
pediatric IBD center. We included all patients with Crohn's disease (CD) failing
anti-TNF-alpha therapy who then received concomitant methotrexate. The primary
endpoint was clinical remission, defined as inactive disease in accordance with
the short pediatric CD activity index (PCDAI). The secondary endpoint was last
day of follow-up. RESULTS: Fourteen patients with CD received concomitant
methotrexate and anti-TNF-alpha treatment (age, mean [range], 15.7 [6-20] years;
standard deviation [SD], 3.4 years). Mean age at diagnosis was 12.5 years (range,
3-17 years; SD, 3.83 years). The male-to-female ratio was 10:4. All patients had
moderate to severe disease activity using the short PCDAI and had predominately
Crohn's colitis. Twelve patients were previously treated with thiopurines
(85.7%). Seven patients (50%) were in clinical remission within an average of 6
weeks postmethotrexate induction. Five patients (35.7%) experienced adverse
events including nausea and headache, yet only one discontinued therapy due to
adverse events. Infection with Clostridium difficile was common, complicating
therapy in four patients (28.6%). CONCLUSIONS: Concomitant use of methotrexate
and anti-TNF-alpha therapy is a promising option for children with refractory
Crohn's colitis.
PMID- 21882303
TI - Direct correlation between local pressure and fluorescence output in
mechanoresponsive polyelectrolyte brushes.
PMID- 21882304
TI - Mixed-metal lanthanide-iron triple-decker complexes with a cyclo-P5 building
block.
PMID- 21882305
TI - Multifunctional nanoprobes for nanoscale chemical imaging and localized chemical
delivery at surfaces and interfaces.
PMID- 21882307
TI - Germanium nanotubes prepared by using the Kirkendall effect as anodes for high
rate lithium batteries.
PMID- 21882306
TI - Nanoscale phase segregation of mixed thiolates on gold nanoparticles.
PMID- 21882308
TI - Systems-based analysis of modified tRNA bases.
PMID- 21882309
TI - Construction of substituted benzene rings by palladium-catalyzed direct cross
coupling of olefins: a rapid synthetic route to 1,4-naphthoquinone and its
derivatives.
AB - Ring the changes: the direct cross-coupling of electron-deficient 1,4
benzoquinone or its derivatives with electron-rich alkyl vinyl ethers proceeds in
a tandem manner to produce substituted benzene rings with good selectivity and in
good to excellent yields. The reaction has the potential for the rapid synthesis
of diverse substituted benzene rings as it is not limited by substituent effects.
PMID- 21882310
TI - Impairments in multisensory processing are not universal to the autism spectrum:
no evidence for crossmodal priming deficits in Asperger syndrome.
AB - Individuals suffering from autism spectrum disorders (ASD) often show a tendency
for detail- or feature-based perception (also referred to as "local processing
bias") instead of more holistic stimulus processing typical for unaffected
people. This local processing bias has been demonstrated for the visual and
auditory domains and there is evidence that multisensory processing may also be
affected in ASD. Most multisensory processing paradigms used social-communicative
stimuli, such as human speech or faces, probing the processing of simultaneously
occuring sensory signals. Multisensory processing, however, is not limited to
simultaneous stimulation. In this study, we investigated whether multisensory
processing deficits in ASD persist when semantically complex but nonsocial
stimuli are presented in succession. Fifteen adult individuals with Asperger
syndrome and 15 control persons participated in a visual-audio priming task,
which required the classification of sounds that were either primed by
semantically congruent or incongruent preceding pictures of objects. As expected,
performance on congruent trials was faster and more accurate compared with
incongruent trials (crossmodal priming effect). The Asperger group, however, did
not differ significantly from the control group. Our results do not support a
general multisensory processing deficit, which is universal to the entire autism
spectrum.
PMID- 21882311
TI - Rapid, simple, and high-throughput antimicrobial susceptibility testing and
antibiotics screening.
PMID- 21882312
TI - Adjustable electrophilicity by cooperative hydrogen bonds.
PMID- 21882313
TI - Rapid photoassisted access to N,O,S-polyheterocycles with benzoazocine and
hydroquinoline cores: intramolecular cycloadditions of photogenerated
azaxylylenes.
PMID- 21882314
TI - Cobalt-catalyzed 1,4-hydrobutadienylation of 1-aryl-1,3-dienes with 2,3-dimethyl
1,3-butadiene.
PMID- 21882315
TI - Asymmetric allylic monofluoromethylation and methylation of Morita-Baylis-Hillman
carbonates with FBSM and BSM by cooperative cinchona alkaloid/FeCl2 catalysis.
PMID- 21882316
TI - Simple proton spectra from complex spin systems: pure shift NMR spectroscopy
using BIRD.
PMID- 21882317
TI - Advances with ammonia-borane: improved recycling and use as a precursor to
atomically thin BN films.
PMID- 21882318
TI - A highly enantioselective Overman rearrangement through asymmetric counteranion
directed palladium catalysis.
PMID- 21882319
TI - Synthesis of 1-aza-2-borabutatriene rhodium complexes by thermal borylene
transfer from [(OC)5Mo=BN(SiMe3)2].
PMID- 21882320
TI - Proline-based P,O ligand/iridium complexes as highly selective catalysts:
asymmetric hydrogenation of trisubstituted alkenes.
PMID- 21882321
TI - Tuning chemoselectivity in iron-catalyzed Sonogashira-type reactions using a
bisphosphine ligand with peripheral steric bulk: selective alkynylation of
nonactivated alkyl halides.
PMID- 21882322
TI - Hydrogenation of CO at a uranium(III) center.
PMID- 21882323
TI - Aromatic metal-centered monocyclic boron rings: Co(c)B8- and Ru(c)B9-.
PMID- 21882325
TI - Direct asymmetric alpha benzoyloxylation of cyclic ketones.
PMID- 21882326
TI - Aromatic ylide-stabilized carbocyclic silylene.
PMID- 21882324
TI - A fluorescent probe for fast and quantitative detection of hydrogen sulfide in
blood.
PMID- 21882327
TI - Capture of carbon dioxide at the gas-liquid interface elucidated by surface
science approaches.
PMID- 21882328
TI - Theoretical chemistry--quo vadis?
PMID- 21882331
TI - New insight into marine alkaloid metabolic pathways: revisiting oroidin
biosynthesis.
AB - Sponge natural product biosynthesis: A highly sensitive in vivo protocol based on
(14)C radiolabeled precursors and beta-imager autoradiography allowed the
unraveling of the origin of the pyrrole 2-aminoimidazole-containing key
biosynthetic intermediate oroidin. Proline and lysine are now proposed as the
early precursors of the pyrrole and the 2-aminoimidazole moieties of oroidin
respectively.
PMID- 21882332
TI - Ultrafast photochemistry of dithizonatophenylmercury(II).
AB - The initial photochromic reaction of dithizonatophenylmercury(II) in solution was
investigated by femtosecond transient absorption spectroscopy. Ultrafast
excitation within less than 100 fs caused a radiationless photoreaction with a
time constant of 1.5 ps, which is interpreted as C=N isomerization through a
conical intersection. The orthogonally twisted intermediate state was observed
through its excited-state absorption. Bifurcation along pathways towards the
ground states of the orange cis and blue trans configurations occurs below the
funnel of the conical intersection. The photochromism of the title compound in a
very polar solvent such as methanol is observed for the first time.
PMID- 21882333
TI - Comparative study of CeO2 and doped CeO2 with tailored oxygen vacancies for CO
oxidation.
AB - We report on the preparation and characterization of CeO(2) nanofibers (CeO(2)
NFs) and nanocubes (CeO(2)-NCs), as well as Sm- and Gd-doped CeO(2) nanocubes (Sm
CeO(2)-NCs and Gd-CeO(2)-NCs), synthesized by a simple hydrothermal process for
CO catalytic oxidation. The samples were characterized by X-ray diffraction
(XRD), Brunauer-Emmett-Teller (BET), X-ray photoelectron spectroscopy (XPS),
transmission electron microscopy (TEM), Raman spectroscopy, and photoluminescence
spectroscopy. Their oxygen-storing capacity (OSC) was examined by means of
hydrogen temperature-programmed reduction (H(2)-TPR) and oxygen pulse techniques.
Their catalytic properties for CO catalytic oxidation were comparatively
investigated. The results showed that the CeO(2)-NFs possessed a higher catalytic
activity compared to the CeO(2)-NCs because of their smaller size and the greater
number of oxygen vacancies. The activity of the Sm-CeO(2)-NCs was higher than
that of the CeO(2)-NCs due to an increase in the number of oxygen vacancies,
which results from the substitution of Ce(4+) species with Sm(3+) ions. In
contrast, Gd doping had a negative effect on the CO catalytic oxidation due to
the special electron configuration of Gd(3+) (4f(7)). Our work demonstrates that
the oxygen vacancies in pure CeO(2) and the electron configuration of the dopants
in doped CeO(2) play an important role in CO oxidation.
PMID- 21882335
TI - Influence of electron doping on the hydrogenation of fullerene C60 : a
theoretical investigation.
AB - The influence of electron attachment on the stability of the mono- and
dihydrogenated buckminsterfullerene C(60) was studied using density functional
theory and semiempirical molecular orbital techniques. We have also assessed the
reliability of computationally accessible methods that are important for
investigating the reactivity of graphenic species and surfaces in general. The
B3LYP and M06L functionals with the 6-311+G(d,p) basis set and MNDO/c are found
to be the best methods for describing the electron affinities of C(60) and
C(60)H(2) . It is shown that simple frontier molecular orbital analyses at both
the AM1 and B3LYP/6-31G(d) levels are useful for predicting the most favourable
position of protonation of C(60)H(-) , that is, formation of the kinetically
controlled product 1,9-dihydro[60]fullerene, which is also the thermodynamically
controlled product, in agreement with experimental and previous theoretical
studies. We have shown that reduction of exo- and endo-C(60)H makes them more
stable in contrast to the reduction of the exo,exo-1,9-C(60)H(2) , reduced forms
of which decompose more readily, in agreement with experimental electrochemical
studies. However, most other dihydro[60]fullerenes are stabilized by reduction
and the regioselectivity of addition is predicted to decrease as the less stable
isomers are stabilized more by the addition of electrons than the two most stable
ones (1,9 and 1,7).
PMID- 21882334
TI - Long-lived states to monitor protein unfolding by proton NMR.
AB - The relaxation of long-lived states (LLS) corresponds to the slow return to
statistical thermal equilibrium between symmetric and antisymmetric proton spin
states. This process is remarkably sensitive to the presence of external spins
and can be used to obtain information about partial unfolding of proteins. We
detected the appearance of a destabilized conformer of ubiquitin when urea is
added to the protein in its native state. This conformer shows increased mobility
in the C-terminus, which significantly extends the lifetimes of proton LLS
magnetisation in Ser-65. These changes could not be detected by conventional
measurements of T(1) and T(2) relaxation times of protons, and would hardly be
sensed by carbon-13 or nitrogen-15 relaxation measurements. Conformers with
similar dynamic and structural features, as revealed by LLS relaxation times,
could be observed, in the absence of urea, in two ubiquitin mutants, L67S and
L69S.
PMID- 21882336
TI - The plasmonic ruler goes 3D!
PMID- 21882337
TI - The fluorescence of Mg-Al-Eu ternary layered hydroxides response to tryptophan.
AB - We have studied the fluorescence of Mg-Al-Eu ternary layered hydroxides (TLH)
quenched by tryptophan (Trp). IR spectroscopy was used to evaluate the change of
Trp structure which was caused by TLH. XRD and TG-DTA results further suggested a
structural change of Trp after being reacted with TLH. XPS characterization
confirmed a strong chemical reaction between Trp and TLH. These studies may
present more direct evidence to explain the interrelation between the structural
change of Trp and the fluorescent quenching of TLH.
PMID- 21882338
TI - A novel chemiluminescence system for the determination of daidzein and its
hydroxyl radical-scavenging capacity.
AB - A novel chemiluminescence (CL) system was established for the determinations of
daidzein in pharmaceutical preparations and to assess its ability to scavenge
hydroxyl radicals. It was shown that a strong CL signal generated when eosin Y
was mixed with Fenton reagent was decreased significantly when daidzein was added
to the reaction system due to partial scavenging of the hydroxyl radicals in the
solution. The extent of decrease in the CL intensity had a good stoichiometric
relationship with the daidzein concentration. Based on this, we developed a new
method for the determination of daidzein, using a flow-injection
chemiluminescence (FI-CL) technique. Under the optimal conditions, the linear
range of daidzein concentration was 8.0 * 10(-8) -3.0 * 10(-6) mol/L (R =
0.9982), with a detection limit of 9.0 * 10(-9) mol/L (S:N = 3), and the RSD was
5.8% for 1.0 * 10(-6) mol/L daidzein (n = 11). This method was successfully used
in the determination of daidzein in tablets and for evaluation of the hydroxyl
radical-scavenging capacity of daidzein. The possible reaction mechanism of the
CL system is discussed.
PMID- 21882339
TI - What should be said to the lay public regarding ADHD etiology based on unbiased
systematic quantitative empirical evidence.
PMID- 21882340
TI - What should be said to the lay public regarding ADHD etiology.
PMID- 21882341
TI - Novel approach to inhibiting chemokine function.
PMID- 21882342
TI - Hepcidin and iron-mediated resistance to malaria.
PMID- 21882343
TI - Silencing of microRNA-21 in vivo ameliorates autoimmune splenomegaly in lupus
mice.
AB - MicroRNAs (miRNAs) have been implicated in B cell lineage commitment, regulation
of T cell differentiation, TCR signalling, regulation of IFN signalling, and
numerous other immunological processes. However, their function in autoimmunity,
and specifically in systemic lupus erythematosus (SLE), remains poorly
understood. B6.Sle123 is a spontaneous genetic mouse model of SLE characterized
by autoantibody production, lymphosplenomegaly, and glomerulonephritis. We
identified several differentially regulated miRNAs in B and T lymphocytes of
B6.Sle123 mice. We found that miR-21 expression in lupus B and T cells is up
regulated and that in vivo silencing of miR-21 using a tiny seed-targeting LNA
reversed splenomegaly, one of the cardinal manifestations of autoimmunity in
B6.Sle123 mice, and de-repressed PDCD4 expression in vivo and in vitro. In
addition, treatment with anti-miR-21 altered CD4/CD8 T cell ratios and reduced
Fas receptor-expressing lymphocyte populations. Our study shows that tiny LNAs
can be used to efficiently antagonize endogenous miRNAs in peripheral lymphocytes
in vivo and in primary lymphocytes cultured ex vivo and can alter the course of a
spontaneous genetic disease in mice.
PMID- 21882344
TI - Neurodegeneration and functional impairments associated with glycogen synthase
accumulation in a mouse model of Lafora disease.
AB - Lafora disease (LD) is caused by mutations in either the laforin or malin gene.
The hallmark of the disease is the accumulation of polyglucosan inclusions called
Lafora Bodies (LBs). Malin knockout (KO) mice present polyglucosan accumulations
in several brain areas, as do patients of LD. These structures are abundant in
the cerebellum and hippocampus. Here, we report a large increase in glycogen
synthase (GS) in these mice, in which the enzyme accumulates in LBs. Our study
focused on the hippocampus where, under physiological conditions, astrocytes and
parvalbumin-positive (PV(+)) interneurons expressed GS and malin. Although LBs
have been described only in neurons, we found this polyglucosan accumulation in
the astrocytes of the KO mice. They also had LBs in the soma and some processes
of PV(+) interneurons. This phenomenon was accompanied by the progressive loss of
these neuronal cells and, importantly, neurophysiological alterations potentially
related to impairment of hippocampal function. Our results emphasize the
relevance of the laforin-malin complex in the control of glycogen metabolism and
highlight altered glycogen accumulation as a key contributor to neurodegeneration
in LD.
PMID- 21882347
TI - Meeting report: 24th International symposium, exhibit and workshops on
preparative and process chromatography - PREP2011.
PMID- 21882345
TI - RNA dynamics: perspectives from spin labels.
AB - Dynamics are important and indispensible physical attributes that play essential
roles in RNA function. RNA dynamics are complex, spanning vast timescales, and
encompassing a large number of physical modes. The technique of site-directed
spin labeling (SDSL), which derives information on local structural and dynamic
features of a macromolecule by monitoring a chemically stable nitroxide radical
using electron paramagnetic resonance spectroscopy, has been applied to monitor
intrinsic dynamics at defined structural states as well as to probe
conformational transition dynamics of RNAs. The current state of SDSL studies of
RNA dynamics is summarized here. Further development and application of SDSL
promise to open up many more opportunities for probing RNA dynamics and
connecting dynamics to structure and function.
PMID- 21882349
TI - Z-DNA recognition in B-Z-B sequences by a cationic zinc porphyrin.
AB - For the first time it has been shown by spectroscopic studies such as circular
dichroism and UV/Vis that cationic zinc porphyrin serves as a selective
spectroscopic sensor that is able to recognize short left-handed Z-DNA tracts
embedded in the B-Z-B sequences.
PMID- 21882348
TI - Hydrophobic oxime ethers: a versatile class of pDNA and siRNA transfection
lipids.
AB - The manipulation of the cationic lipid structures to increase polynucleotide
binding and delivery properties, while also minimizing associated cytotoxicity,
has been a principal strategy for developing next-generation transfection agents.
The polar (DNA binding) and hydrophobic domains of transfection lipids have been
extensively studied; however, the linking domain comprising the substructure used
to tether the polar and hydrophobic domains has attracted considerably less
attention as an optimization variable. Here, we examine the use of an oxime ether
as the linking domain. Hydrophobic oxime ethers were readily assembled via click
chemistry by oximation of hydrophobic aldehydes using an aminooxy salt. A facile
ligation reaction delivered the desired compounds with hydrophobic domain
asymmetry. Using the MCF-7 breast cancer, H1792 lung cancer and PAR C10 salivary
epithelial cell lines, our findings show that lipoplexes derived from oxime ether
lipids transfect in the presence of serum at higher levels than commonly used
liposome formulations, based on both luciferase and green fluorescent protein
(GFP) assays. Given the biological compatibility of oxime ethers and their ease
of formation, this functional group should find significant application as a
linking domain in future designs of transfection vectors.
PMID- 21882350
TI - Metal-free 1,5-regioselective azide-alkyne [3+2]-cycloaddition.
AB - [3+2]-cycloaddition reactions of aromatic azides and silylated alkynes in aqueous
media yield 1,5-disubstituted-4-(trimethyl-silyl)-1H-1,2,3-triazoles. The
formation of the 1,5-isomer is highly favored in this metal-free cycloaddition,
which could be proven by 1D selective NOESY and X-ray investigations.
Additionally, DFT calculations corroborate the outstanding favoritism regarding
the 1,5-isomer. The described method provides a simple alternative protocol to
metal-catalyzed "click chemistry" procedures, widening the scope for
regioselective heavy-metal-free synthetic applications.
PMID- 21882351
TI - 10 years of click chemistry: synthesis and applications of ferrocene-derived
triazoles.
AB - Click chemistry has played a significant role as a rapid and versatile strategy
for conjugating two molecular fragments under very mild reaction conditions.
Introduction of ferrocene-derived triazole systems using click chemistry has
attracted enormous interest in various fields due to its potential applications
in electrochemical techniques for detection and sensing. The present discussion
focuses on the synthesis of ferrocene-triazole and the importance of using a
CuAAC reaction for such conjugation. Applications of ferrocene-based click
reactions in conjugate chemistry, asymmetric catalysis, medicinal chemistry, host
guest interactions, and materials chemistry have been highlighted.
PMID- 21882352
TI - Imaging the reaction dynamics of O(3P)+CH4->OH+CH3.
AB - The title reaction was studied in a crossed-beam experiment, in which the ground
state methyl products were probed using a time-sliced velocity-imaging technique.
By taking images over the energy range of chemical significance, from the
threshold to about 15 kcal mol(-1), the reactive excitation function as well as
the dependences of product angular distributions and of the energy disposal on
initial collision energies were determined. All experimental data are consistent
with the picture that the ground-state reaction of O((3)P)+CH(4) proceeds via a
direct abstraction rebound-type mechanism with a narrow cone of acceptance.
Deeper insights into the underlying mechanism and the key feature of the
potential-energy surface are elucidated by comparing the results with the
corresponding observables in the analogous Cl+CH(4) reaction.
PMID- 21882353
TI - Plume expansion dynamics of matrix-assisted laser desorption ionization.
AB - High-resolution angular and velocity distributions for neutral analytes
(tryptophan and poly-tryptophan) and matrix (2,4,6-trihydroxyacetophenon, THAP)
are measured by using 355 nm laser desorption. The information suggests that two
separate mechanisms dominate the angular and velocity distributions at the
beginning and before the end of desorption. A molecular jet-like isentropic
expansion dominates the plume expansion at the beginning of desorption. This only
occurs at high surface temperature, thus resulting in a large velocity normal to
the surface and a very narrow angular distribution. Most of the analytes are
produced under these conditions. Before the end of desorption, the surface
temperature decreases and the mechanism of thermal desorption at low vapor
pressure takes over. The velocities become small and the angular distribution is
close to costheta. Only a very small amount of analytes are generated under these
conditions. Compared to tryptophan, poly-tryptophan has a much narrower angular
distribution, thereby suggesting that it is only produced at the higher surface
temperatures.
PMID- 21882354
TI - Metal-crossing between thiolato-bridged tetragold(I) and tetrasilver(I)
metallorings.
PMID- 21882355
TI - Solvent-free, microwave-assisted N-arylation of indolines by using low palladium
catalyst loadings.
AB - Indoline-based compounds are abundant in nature, and the indoline skeleton is an
often-encountered scaffold in a range of biologically active alkaloids,
pharmaceutically active compounds, and functional molecules (e.g., sensitizers
for solar cells). The wide range of uses warrants further interest in the
structural modification of this class of compounds. A series of substituted N
aryl indolines is prepared by a solvent-free, palladium-catalyzed procedure. The
procedure requires only low loadings of catalyst, uses microwave irradiation, and
starts from commercially available substrates. The method proceeds in good yields
and in short reaction times with aryl bromides, chlorides, and iodides, also on 2
substituted indolines. The combination of solvent-free methods with microwave
heating will further increase in importance in the search for more
environmentally acceptable synthesis methods.
PMID- 21882356
TI - EGFR mutation testing in nonsmall cell lung cancer patients by using cytology
specimens: when the tissue is no longer the issue.
PMID- 21882357
TI - On-site adequacy evaluations performed by cytotechnologists: correlation with
final interpretations of 5241 image-guided fine-needle aspiration biopsies.
AB - BACKGROUND: Practice patterns regarding on-site assessment of the adequacy of
image-guided fine-needle aspiration biopsies (FNABs) vary among laboratories, but
in many laboratories primary responsibility rests with the cytotechnologists. On
site evaluation provides feedback on the need for additional passes and
facilitates triaging of the specimen for time-sensitive ancillary studies. Prior
studies have suggested that cytotechnologists can assess the initially obtained
specimens correctly, but they are few in number and limited by small size. The
purpose of this study was to assess the frequency with which our
cytotechnologists were able to correctly assess specimens as adequate using a
large-scale database that included a wide range of image-guided FNABs. METHODS:
The frequency that on-site adequacy assessments of 5241 image-guided FNABs were
correct was determined by correlating the cytotechnologists' assessments of
adequacy with the final cytologic interpretation. An adequacy assessment was
considered correct if the FNAB was ultimately reported as satisfactory and
unequivocally benign or malignant. An adequate reading on a case that was
ultimately reported as unsatisfactory, atypical, or suspicious was deemed
"incorrect." The effect of imaging modality was also analyzed. RESULTS: Of 5241
FNABs, 2784 (53%) were interpreted as adequate on site. Of these, 2637 (95%) were
correctly considered adequate. Of the common biopsy sites sampled, the adequacy
assessments for liver FNABs demonstrated the highest frequency for being
correctly considered adequate (97%) and those for kidney FNABs showed the lowest
(90%). Imaging modality had no effect on accuracy. CONCLUSIONS: Cytotechnologists
are almost always correct when assessing initial FNAB samples as adequate.
PMID- 21882358
TI - Cytologic and molecular diagnosis of thyroid cancers: is it time for routine
reflex testing?
AB - The Bethesda system for standardized reporting of thyroid fine needle aspiration
(FNA) cytology has positively affected the clarity of communication of results
and management of patients evaluated for thyroid nodules. Problematic areas still
exist in the triage of some of these samples, particularly those in the
categories of "follicular lesion with atypia of uncertain significance" and
"follicular lesion." The literature on molecular and genetic abnormalities in
thyroid lesions is reviewed. Potentially useful markers for distinguishing
currently problematic categories of FNA cytologic samples, especially
nondiagnostic samples, atypia of uncertain significance, and follicular lesions,
are discussed. The predictive value of the respective molecular analyses in these
settings is examined. Evaluation of FNA samples with negative or suboptimal
follicular cytology for Ras mutations may be useful in detecting potentially
significant follicular lesions (carcinomas) but is quite low in overall yield.
Cytologic samples with atypia of uncertain significance, which may include the
possibility of papillary carcinomas, may be fruitfully evaluated using a panel of
molecular tests for BRAF, RET/PTC, PAX8/PPARG1, and Ras. Other markers also have
potential utility in the workup of thyroid lesions. An era of combined modality
testing in thyroid cytology is emerging in which classical cytologic findings can
be coupled with molecular data to increase the predictive power of diagnostic
interpretations; however, there remains a group of atypical cytologic samples
negative for known molecular markers in which the risk of malignancy is too high
to simply follow expectantly.
PMID- 21882359
TI - Factor analysis of the Parenting Stress Index-Short Form with parents of young
children with autism spectrum disorders.
AB - The primary purpose of this study was to examine the underlying factor structure
of the Parenting Stress Index-Short Form (PSI-SF) in a large cohort of parents of
young children with autism spectrum disorder (ASD). A secondary goal was to
examine relationships between PSI-SF factors and autism severity, child behavior
problems, and parental mental health variables that have been shown to be related
to parental stress in previous research. A confirmatory factor analysis (CFA) was
used to examine the three-factor structure described in the PSI-SF manual
[Abidin, 1995]: parental distress, parent-child dysfunctional interaction, and
difficult child. Results of the CFA indicated that the three-factor structure was
unacceptable when applied to the study sample. Thus, an exploratory factor
analysis was conducted and suggested a six-factor model as the best alternative
for the PSI-SF index. Spearman's correlations revealed significant positive
correlations with moderate to large effect sizes between the revised PSI-SF
factors and autism severity, externalizing and internalizing child behaviors, and
an index of parent mental health. The revised factors represent more narrowly
defined aspects of the three original subscales of the PSI-SF and might prove to
be advantageous in both research and clinical applications. Autism Res 2011,4:336
346. (c) 2011 International Society for Autism Research, Wiley Periodicals, Inc.
PMID- 21882360
TI - Brain abnormalities in a Neuroligin3 R451C knockin mouse model associated with
autism.
AB - Magnetic resonance imaging (MRI) has been used quite extensively for examining
morphological changes in human and animal brains. One of the many advantages to
examining mouse models of human autism is that we are able to examine single gene
targets, like that of Neuroligin3 R451C knockin (NL3 KI), which has been directly
implicated in human autism. The NL3 KI mouse model has marked volume differences
in many different structures in the brain: gray matter structures, such as the
hippocampus, the striatum, and the thalamus, were all found to be smaller in the
NL3 KI. Further, many white matter structures were found to be significantly
smaller, such as the cerebral peduncle, corpus callosum, fornix/fimbria, and
internal capsule. Fractional anisotropy measurements in these structures were
also measured, and no differences were found. The volume changes in the white
matter regions, therefore, are not due to a general breakdown in the
microstructure of the tissue and seem to be caused by fewer axons or less mature
axons. A larger radial diffusivity was also found in localized regions of the
corpus callosum and cerebellum. The corpus callosal changes are particularly
interesting as the thinning (or reduced volume) of the corpus callosum is a
consistent finding in autism. This suggests that the NL3 KI model may be useful
for examining white matter changes associated with autism.
PMID- 21882362
TI - What is the impact of autism on mother-child interactions within families with a
child with autism spectrum disorder?
AB - This within-family study investigated whether mothers differentiate between
children in their interactive behavior. Mothers were observed during a play and a
task interaction separately with their child with autism spectrum disorder (ASD)
(between 46 and 84 months old, M = 68) and with a younger sibling (between 29 and
67 months old, M = 48). Additionally, the social behavior of the children with
ASD and their non-ASD siblings was compared. Results show that mothers
differentiated in their responsiveness but not in their initiatives toward the
children. Children with ASD and their non-ASD siblings were equally responsive
but children with ASD were more imperative toward their caregiver. Several
interpretations of these findings are discussed. Finally, it is concluded that
family-based interventions will benefit from a better understanding of the effect
of ASD on mother-child interactions within families with a child with ASD.
Therefore, between-family studies should be complemented with within-family
studies. Autism Res 2011,4:358-367. (c) 2011 International Society for Autism
Research, Wiley Periodicals, Inc.
PMID- 21882363
TI - Genetically inbred Balb/c mice differ from outbred Swiss Webster mice on discrete
measures of sociability: relevance to a genetic mouse model of autism spectrum
disorders.
AB - The Balb/c mouse is proposed as a model of human disorders with prominent
deficits of sociability, such as autism spectrum disorders (ASDs) that may
involve pathophysiological disruption of NMDA receptor-mediated
neurotransmission. A standard procedure was used to measure sociability in 8-week
old male genetically inbred Balb/c and outbred Swiss Webster mice. Moreover,
because impaired sociability may influence the social behavior of stimulus mice,
we also measured the proportion of total episodes of social approach made by the
stimulus mouse while test and stimulus mice were allowed to interact freely.
Three raters with good inter-rater agreement evaluated operationally defined
measures of sociability chosen because of their descriptive similarity to
deficits of social behavior reported in persons with ASDs. The data support
previous reports that the Balb/c mouse is a genetic mouse model of impaired
sociability. The data also show that the behavior of the social stimulus mouse is
influenced by the impaired sociability of the Balb/c strain. Interestingly,
operationally defined measures of sociability did not necessarily correlate with
each other within mouse strain and the profile of correlated measures differed
between strains. Finally, "stereotypic" behaviors (i.e. rearing, grooming and
wall climbing) recorded during the session of free interaction between the test
and social stimulus mice were more intensely displayed by Swiss Webster than
Balb/c mice, suggesting that the domains of sociability and "restricted
repetitive and stereotyped patterns of behavior" are independent of each other in
the Balb/c strain.
PMID- 21882361
TI - The autism diagnosis in translation: shared affect in children and mouse models
of ASD.
AB - In the absence of molecular biomarkers that can be used to diagnose ASD, current
diagnostic tools depend upon clinical assessments of behavior. Research efforts
with human subjects have successfully utilized standardized diagnostic
instruments, which include clinician interviews with parents and direct
observation of the children themselves [Risi et al., 2006]. However, because
clinical instruments are semi-structured and rely heavily on dynamic social
processes and clinical skill, scores from these measures do not necessarily lend
themselves directly to experimental investigations into the causes of ASD.
Studies of the neurobiology of autism require experimental animal models. Mice
are particularly useful for elucidating genetic and toxicological contributions
to impairments in social function [Halladay et al., 2009]. Behavioral tests have
been developed that are relevant to autism [Crawley, 2004, 2007], including
measures of repetitive behaviors [Lewis, Tanimura, Lee, & Bodfish, 2007; Moy et
al., 2008], social behavior [Brodkin, 2007; Lijam et al., 1997; Moretti,
Bouwknecht, Teague, Paylor, & Zoghbi, 2005], and vocal communication [D'Amato et
al., 2005; Panksepp et al., 2007; Scattoni et al., 2008]. Advances also include
development of high-throughput measures of mouse sociability that can be used to
reliably compare inbred mouse strains [Moy et al., 2008; Nadler et al., 2004], as
well as measures of social reward [Panksepp & Lahvis, 2007] and empathy [Chen,
Panksepp, & Lahvis, 2009; Langford et al., 2006]. With continued generation of
mouse gene-targeted mice that are directly relevant to genetic linkages in ASD,
there remains an urgent need to utilize a full suite of mouse behavioral tests
that allows for a comprehensive assessment of the spectrum of social difficulties
relevant to ASD. Using impairments in shared affect as an example, this paper
explores potential avenues for collaboration between clinical and basic
scientists, within an amply considered translational framework.
PMID- 21882364
TI - Are thyroid hormone concentrations at birth associated with subsequent autism
diagnosis?
AB - Thyroid hormones substantially influence central nervous system development
during gestation. We hypothesized that perturbations of early thyroid profiles
may contribute to the development of autism spectrum disorders (ASD). Thyroid
pathways could provide a mechanism by which environmental factors that affect the
thyroid system may impact autism occurrence or phenotypic expression. We
investigated whether thyroxine (T4) levels at birth are associated with
subsequent ASD, using two existing California study groups in multivariate
analysis. One study group included children born in the San Francisco Bay Area in
1994, with cases identified through the California Department of Developmental
Services (DDS) and/or the Kaiser Permanente Medical Care Program of Northern
California (244 cases, 266 controls); the other included children born in
California in 1995, with cases identified through DDS (310 cases, 518 controls).
Matched controls were selected from birth certificate records. This exploratory
analysis suggested that infants with very low T4 (<3rd percentile) may have
higher ASD risk, although results reached statistical significance only for the
1995 study group (1995: OR = 2.74 (95% CI 1.30-5.75; 1994: OR = 1.71 (95% CI 0.57
5.19). A variety of alternate analyses were conducted with available data,
without further resolution of the difference between the two study groups. The
results of our study indicate that further studies are warranted to investigate
whether thyroid hormone perturbations play a role in the development of ASD by
evaluating additional potential confounders and genotype or phenotype in larger
studies.
PMID- 21882366
TI - Something in the air.
PMID- 21882365
TI - Impact of gene vector design on the control of recombinant monoclonal antibody
production by Chinese hamster ovary cells.
AB - In this study, we systematically compare two vector design strategies for
recombinant monoclonal antibody (Mab) synthesis by Chinese hamster ovary (CHO)
cells; a dual open reading frame (ORF) expression vector utilizing separate
cytomegalovirus (CMV) promoters to drive heavy chain (HC) and light chain (LC)
expression independently, and a single ORF vector design employing a single CMV
promoter to drive HC and LC polypeptide expression joined by a foot and mouth
disease virus F2A polypeptide self-cleaving linker sequence. Initial analysis of
stable transfectants showed that transfectants utilizing the single ORF vector
designs exhibited significantly reduced Mab production. We employed an empirical
modeling strategy to quantitatively describe the cellular constraints on
recombinant Mab synthesis in all stable transfectants. In all transfectants, an
intracellular molar excess of LC polypeptide over HC polypeptide was observed.
For CHO cells transfected with the single ORF vectors, model-predicted, and
empirical intracellular intermediate levels could only be reconciled by inclusion
of nascent HC polypeptide degradation. Whilst a local sensitivity analysis showed
that qMab of all transfectants was primarily constrained by recombinant mRNA
translation rate, our data indicated that all single ORF transfectants exhibited
a reduced level of recombinant gene transcription and that Mab folding and
assembly reactions generically exerted greater control over qMab. We infer that
the productivity of single ORF transfectants is limited by ER
processing/degradation "capacity" which sets a limit on transcriptional input. We
conclude that gene vector design for oligomeric recombinant proteins should be
based on an understanding of protein-specific synthetic kinetics rather than
polypeptide stoichiometry.
PMID- 21882367
TI - Conference speaker's allegory found offensive.
PMID- 21882368
TI - Protecting horses at the track.
PMID- 21882369
TI - Low serum bilirubin concentrations are associated with impaired aortic elastic
properties, but not impaired left ventricular diastolic function.
AB - Elevated serum bilirubin concentrations protect from atherosclerotic diseases;
however,it is not clear whether higher serum bilirubin concentrations in
physiological ranges do the same. To investigate the association of high and low
serum bilirubin concentrations with left ventricular diastolic function and
aortic elastic properties.We evaluated left ventricular diastolic function and
aortic elastic properties of 42 healthy subjects with hypobilirubinemia (total
bilirubin 0.40 +/- 0.08 mg / dl; mean age 37.0 +/- 3.9) and 40 healthy subjects
with hyperbilirubinemia (total bilirubin 1.56 +/- 0.49 mg / dl; mean age 36.2 +/-
6.0) using transthoracic second harmonic Doppler echocardiography. Age, gender,
body mass index and coronary risk factors were similar between the groups, except
high-sensitivity C-reactive protein (hsCRP).Left ventricular diastolic parameters
were similar between the two groups. Aortic distensibility (AoD) was found to be
significantly lower (11.1 +/- 3.9 vs. 13.2 +/- 4.9,p = 0.03) and aortic stiffness
index (AoSI) (1.99 +/- 0.30 vs. 1.85 +/- 0.26,p = 0.02) and elastic modulus
(AoEM) (2.06 +/- 0.83 vs. 1.73 +/- 0.68, p = 0.03;the low and high bilirubin
groups, respectively) higher in the low bilirubin group.Serum total bilirubin
concentration correlated with hsCRP levels, AoD, AoSI and AoEM. In conclusion,
left ventricular systolic and diastolic functions were similar between hypo- and
hyperbilirubinemic subjects, but aortic elastic properties were impaired in
subjects with lower serum bilirubin concentrations.
PMID- 21882370
TI - Retraction. Activation of peroxisome proliferator-activated receptor beta/delta
(PPARbeta/delta) increases the expression of prostaglandin E2 receptor subtype
EP4. The roles of phosphatidylinositol 3-kinase and CCAAT/enhancer-binding
protein beta.
PMID- 21882371
TI - Sepsis and multiorgan dysfunction. Weimar Sepsis Update 2011- Bridging the Gap.
Abstracts of the 5th International Congress of the German Sepsis Society.
September 7-11, 2011. Weimar, Germany.
PMID- 21882372
TI - The government's changes will only delay the tough decisions.
PMID- 21882373
TI - Major powers to be focused at centre.
PMID- 21882374
TI - Commissioning. No deadline set for transferring powers and budgets. Fears raised
of two-tier system.
PMID- 21882375
TI - Private sector complains brakes have been put on competition too fiercely.
PMID- 21882376
TI - Readmissions penalties could cost trusts 600m pounds.
PMID- 21882377
TI - Why clinicians need to get fiscal.
PMID- 21882378
TI - On learning from the past. Raid the past for golden nuggets.
PMID- 21882379
TI - [Long-wavelength infrared imaging of the subcutaneous vascular bed].
PMID- 21882380
TI - Appeals court blasts mandate. 11th circuit ruling sets up high court showdown.
PMID- 21882381
TI - Strong performance. Healthcare executives reaped big rewards in 2010, with
returning hospital CEOs seeing a 58.2% gain in compensation.
AB - Healthcare CEOs saw their compensation slip relative to other industries but
still earned big paydays last year. "2010 was a great year for corporate earnings
and stock performance," says Steve Kaplan, left, a professor of finance and
entrepreneurship. "Part of the reason for the increase in pay is that the CEOs
delivered in 2010."
PMID- 21882382
TI - A swing and a hit. Reimbursement cuts potentially 'toxic,' S&P says.
PMID- 21882383
TI - Wait and see. Downgrade may affect some more than others.
PMID- 21882384
TI - On their terms. Providers take own direction with ACOs.
PMID- 21882385
TI - Move beyond denial. Providers must accept that cuts are coming in government
healthcare programs.
PMID- 21882386
TI - Unsatisfactory marks. Hospitals question use of HCAHPS in scoring for value-based
purchasing.
PMID- 21882387
TI - Feeling pinched. Hospitals, docs anxious as 'provider payment cuts are pretty
easy to do'.
AB - In the aftermath of the debt-ceiling battle, providers are feeling nervous since
lawmakers need to come up with another $1.5 trillion in cuts by the end of the
year. "Provider payment cuts are pretty easy to do, relatively speaking. And
there doesn't seem to be a clear connection in the minds of policymakers that
equates payment cuts with access problems," says Michael Regier, left, of
provider alliance VHA.
PMID- 21882388
TI - Payment boost. But future adjustments concern hospitals.
PMID- 21882389
TI - Holding steady. Operating margins same, with overall increase.
PMID- 21882390
TI - Going private again. Blackstone to buy Emdeon for $3 billion.
PMID- 21882392
TI - Plan shake-up. Cuts to SNF reimbursement causes scramble.
PMID- 21882391
TI - Cadillac tax revs worries. Employers look for ways to avoid excise tax.
PMID- 21882393
TI - Head of the pack. Early EHR subsidy recipients may have experience.
PMID- 21882394
TI - We must provide needed services. Challenge for government, healthcare: helping
poor without going broke.
PMID- 21882395
TI - It started with a palm pilot. Ingenious Med chief named Entrepreneur of the Year.
PMID- 21882397
TI - Retraction. Fibronectin increases matrix metalloproteinase 9 expression through
activation of c-Fos via extracellular-regulated kinase and phosphatidylinositol 3
kinase pathways in human lung carcinoma cells.
PMID- 21882398
TI - Abstracts of the 41st Annual European Society for Dermatological Research (ESDR)
Meeting. September 7-10, 2011. Barcelona, Spain.
PMID- 21882396
TI - ESC/EAS Guidelines for the management of dyslipidaemias The Task Force for the
management of dyslipidaemias of the European Society of Cardiology (ESC) and the
European Atherosclerosis Society (EAS).
PMID- 21882473
TI - Reply: describing life to define death: a Cuban perspective.
PMID- 21882474
TI - Education--the AAE takes it seriously.
PMID- 21882475
TI - [Survival analysis and prognosis of lung cancer related factors].
AB - AIM: of non-small cell lung cancer (non a small eelllungeaneer, NSCLC) patients
with survival analysis and prognostic factors. METHODS: The research I our
hospital from 2005 to September 2010 on the treatment of 275 cases of clinical
data of patients with NSCLC, and its age, pathological type, clinical stage,
lymph node micrometastasis on prognosis and treatment Investigation of influence,
and to analyze the prognostic factors for patients. RESULTS: The patients in the
family history, clinical stage and other factors after treatment for patients
with poor prognostic factors after treatment for patients with poor prognostic
factors for survival. The treatment of choice for the greatest impact factor.
This group of patients selected surgery plus chemotherpy, chemotherapy +
radiotherapy, chemotherapy and symptomatic treatment 4, in the survival rates of
patients in the four groups was significantly different (P < 0.05). The patients
age, clinical stage, lymph node micrometastasis in pathological type on the
prognosis of patients was statistically significant. CONCLUSION: The treatment of
non-small cell lung cancer is an important prognostic factor.
PMID- 21882476
TI - [Correlation between TGF- beta1 expression and Treg cell infiltration in glioma].
AB - AIM: To investigate correlation between the expression of TGF-beta1 and the
amount of Treg cell in glioma, and evaluate their clinical values in predicting
the prognosis of glioma. METHODS: Double immunohistochemistry staining was used
to detect the expression of TGF-beta1, CD4 and Foxp3 in 135 specimens of human
gliomas (WHO I 18, WHO II 45, WHO III 53, WHO IV 19) and 15 normal brain.
RESULTS: OF the 135 specimans of glioma, 58 showed low TGF-beta1 expression and
77 (57.03%) showed high TGF-beta1 expression while ws not expression in normal
brain tissue. Average Treg cell density in glioma was 2. 031/HP, but there was no
expression of Treg in normal brain tissue. Expression of TGF-beta1 was positively
correlated with the mount of Treg in glioma tissues (r = 0.294, P < 0.01).
Compared with the low grade, The levels of TGF-beta1 and the amount of Treg cells
with significant higher in high-grade glioma, however the mount of Treg had no
correlations with Sex, KPS score. The Laplan-Meier analysis showed that there wer
significant difference in overall survival (OS) between the TGF-beta1 high
expression and low-expression group (P < 0.001). Cox multivariate analysis showed
that TGF-beta1 and Treg were not independent prognostic factors (P > 0.05).
CONCLUSION: Intratumoral of TGF-beta1 may relate to the infiltration of Treg
cells in glioma tissues. The level of TGF-beta1 was obviously increased in high
grade. Glioma patients with TGF-beta1 or Trg high expression have poorer
prognosis, while TGF-beta1 and Treg cannot serve as independent prognostic
factors of glioma survival time.
PMID- 21882477
TI - [Changes of MMP-9 and TIMP-1 levels in gingival crevicular fluid during
orthodontic tooth movement under orthodontic forces].
AB - AIM: To investigate the dynamic changes of MMP-9 and TIMP-1 levels in gingival
crevicular fluid during orthodontic tooth movement under orthodontic forces.
METHODS: select 20 cases which fit experiment condition. The cases divided
randomly into 2 groups, 1- cases for each group. The distal forces of 100g and
250 g were exerted to the canine on the one side of two groups separately. The
GCF were taken before activation and at 1, 2, 3, 4, 5, 6, 7 week respectively
after initiation of the experiment. The levels of MMP-9 and TIMP-1 in GCF were
determined by ELISA. RESULTS: The levels of MMP-9 in A group began to increase at
1 week and reached to its peak value at 3 week after initiation of the
experiment, but the level returned to baseline at 7 week. The levels of TIMP-1 in
A group began to increase at 1, 2, 3 week and decreased at 4 week after
initiation of the experiment, but the level at 6,7 week was higher than that
before initiation of the experiment. The level of MMP-9 in A group was higher
than that in B group at all times and the level of TIMP-1 in A group was lower
than that in B group. CONCLUSION: The level of MMP-9 and TIMP-1 in GCF may play
an importance role in maintaining the health, the regular remodeling of the
periodontium and the fast-moving of orthodontic tooth.
PMID- 21882478
TI - Medical School Hotline: from Kaka'ako to Manila: lessons learned in global
health.
PMID- 21882480
TI - Persistent danger from weight loss supplements.
PMID- 21882479
TI - UH Cancer Center Hotline: addressing cancer care disparities for Filipinos in
Hawai'i; a unique approach to communicating about cancer treatment.
PMID- 21882481
TI - [Effect of ciglitazone on THP-1 macrophage CD36 expression and cholesterol
influx].
AB - AIM: To investigate the effect of ciglitazone on CD36 expression and cholesterol
influx in THP-1 macrophage. METHODS: After exposure of the cultured THP-1
macrophage to ciglitazone for 24 h, [(3)H] labeled Cholesterol influx was
determined by FJ-2107P typed liquid scintillator. CD36 mRNA and protein level
were determined by reverse transcription-polymerase chain reaction (RT-PCR) and
Western blotting respectively. RESULTS: PPARgamma agonist, ciglitazone, elevated
CD36 in both protein and mRNA levels, and increased cholesterol influx in THP-1
macrophage. The levels of cholesterol influx were 20. 3%, 28. 6%, 37. 2%, 44. 3%,
48. 7% respectively. CONCLUSION: Our results indicated that ciglitazone may play
an important role in cholesterol influx and modulating CD36 expression in THP-1
macrophage.
PMID- 21882482
TI - [Expression of IL-18, IL-6 and oxidative stress in rat peritoneal mesothelial
cells stimulated by lipopolysaccharide].
AB - AIM: To observe the expression of IL-18, IL-6 and the production of oxidative
stress Malondialdehyde (MDA) in rat peritoneal mesothelial cells (RPMC)
stimulated by lipopolysaccharide (LPS). METHODS: RPMC were primordially culture
and stimulated with different concentrations (1, 10, 100 mg/L) LPS for 6h; RPMC
were stimulated by 10 mg/L LPS for 3, 6, 12, 24 h. IL-18 mRNA was detected by
real time-PCR. IL-6 and IL-18 were detected by ELISA in supernatants. MDA was
measured by thiobarbiuric acid method. RESULTS: Compared with the control group,
the expression of IL-18, IL-6 and MDA were gradually increased by LPS with
different concentrations (P < 0.05) ; the expression of targets above were little
by little increased with stimulated time prolonging. then the peak of IL-18 were
appeared in 12 h. CONCLUSION: The expression of proinflammatory cytokine IL-6 and
the production of oxidative stress MDA was increased in the RPMCs stimulated by
LPS, then leads to the perpetuating amplificated inflammation, aggravate
peritoneal impairment and hyperfiltration losed.
PMID- 21882483
TI - [Establishment and primary application of sandwich ELISA method to detect
tenascin-C].
AB - AIM: To establish a sandwich method to detect tenascin-c on the basis of
preparation of monoclonal antibodies (mAbs) against tenascin-C (TN-C). METHODS:
The ascites of three stains of mAbs (No. 1A8, 3H7 and 4D6) were prepared and
purified. The mAbs were conjugated with HRP and paired, respectively. The
recombinant TN-C was taken as standard to analyze the optimal combination between
mAbs. The sera TN-C concentrations of patients with osteosarocoma and the normal
persons were evaluated with the sandwich ELISA method. RESULTS: Among these mAbs,
the sensitivity was obtained when combined the coated 1A8 with HRP-4D6. The sera
TN-C significantly higher than the normal controls. CONCLUSION: The sandwich
ELISA method to detect TN-C was established successfully. The sera TN-C
concentrations of patients with osteosarcoma and the normal persons were found
distinct with the sandwich method.
PMID- 21882484
TI - [Effects of different doses of nano silver on vascular endothelial cell
proliferation in vitro].
AB - AIM: To explore the effects of different doses of nano silver of proliferation of
cultured vascular endothelial cells in vitro. METHODS: The hearts of three
newborn SD rats 5 day old were mechanically minced the enzymatically digested
with collagenase and trypsin, then vascular endothelial cell were counted, washed
and resuspended in Dulbecco's minimumes sential medium (DMEM) added with 20% heat
in activated fetal calf serum, then inoculated d in 2% gelatin coated tissue
culture flasks. Vascular endothelial cells at passage 3 were used in the
experiment. Except for the normal control group, the vascular endothelial cells
were cultured with nano silver in various concentrations (0.5, 0.25, 0.125,
0.0625, 0.03125 g/l) for 24 hours, and the morphology and the number of the
cultured endothelial cells were observed. Methly thiazolyl tetrazolium (MTT)
colorimetry was used to determine the proliferation of the cultured vascular
endothelial cells. Flow cytometry (FCM) were used to detect the proliferation
index (PI) of the vascular endothelial cells and the expressions of proliferating
cell nuclear antigen (PCNA) was detected by immunohistochemical method. RESULTS:
The cell morphology was normal under the inverted microscoped in each group. The
number and proliferation activities of vascular endothelial cells were
significantly decreased by 0.5, 0.25, 0.125, 0.0625, 0.03125 g/l nano silver
compared with those of the blank control group, especially the 0.25 g/l nao
silver group, and there were no remarkable changes in with 0.5 g/L, 0.125 g/L,
0.0625 g/L and 0.03125 g/L nano silver groups compared to each other. The same
results were seen in the positive rate of PCNA expression and PI. CONCLUSION:
Nano silver has dose-dependent effects on the proliferation activity of vascular
endothelial cells. It inhibited the proliferation of vascular endothelial cells.
PMID- 21882485
TI - [Significance of topographic placement of incision during limited incision
technique in carpal tunnel release].
PMID- 21882486
TI - Idiopathic ventricular tachycardia originating from the posteroseptal mitral
annulus: a case report.
AB - Idiopathic VT originating from posteroseptal mitral annulus. We describe a 71
year-old man with a ventricular tachycardia (VT) originating from the mitral
annulus. A sustained VT was induced by exercise or an isoproterenol
administration, but not by pacing. Frequent premature ventricular
contractions(PVCs) with the same QRS as the VT were transiently suppressed by an
adenosine triphosphate injection,suggesting that it was due to cyclic-AMP
mediated triggered activity. The PVCs and VT were all abolished by radiofrequency
catheter ablation guided by the earliest activation and a perfect pace map, which
was located at the posteroseptal mitral annulus. The patient has been free from
any symptoms for 2 years.(J Cardiovasc Electrophysiol, Vol. 17, pp. 1375-1377,
December 2006)
PMID- 21882487
TI - Real-world challenges of treating osteoporosis.
AB - Numerous treatments have been shown to significantly reduce the risk of
osteoporosis-related fracture. Treating patients with osteoporosis therapies
after an initial osteoporotic fracture can reduce the risk of future fractures
40% to 60%, resulting in significant cost reductions. But despite the
availability of effective management strategies, osteoporosis management is still
suboptimal. Barriers to providing quality care include knowledge, competency, and
performance on the part of healthcare professionals, and knowledge and adherence
to therapy on the part of patients. Addressing these barriers and treating
patients appropriately can help address the potential health and economic
consequences of osteoporosis.
PMID- 21882488
TI - [Molecular diagnostics for monitoring and predicting therapeutic effect in
cancer].
PMID- 21882489
TI - Myofascial trigger points in subjects presenting with mechanical neck pain: a
blinded, controlled study.
AB - The aim of this study was to describe the differences in the presence of
myofascial trigger points (TrPs) in the upper trapezius,sternocleidomastoid,
levator scapulae and suboccipital muscles between patients presenting with
mechanical neck pain and control healthy subjects. Twenty subjects with
mechanical neck pain and 20 matched healthy controls participated in this study.
TrPs were identified, by an assessor blinded to the subjects' condition, when
there was a hypersensible tender spot in a palpable taut band, local twitch
response elicited by the snapping palpation of the taut band, and reproduction of
the referred pain typical of each TrP. The mean number of TrPs present on each
neck pain patient was 4.3 (SD: 0.9), of which 2.5 (SD: 1.3) were latent and 1.8
(SD: 0.8) were active TrPs. Control subjects also exhibited TrPs (mean: 2; SD:
0.8). All were latent TrPs. Differences in the number of TrPs between both study
groups were significant for active TrPs (P < 0.001), but not for latent TrPs (P >
0.5). Moreover, differences in the distribution of TrPs within the analysed
cervical muscles were also significant (P < 0.01) for all muscles except for both
levators capulae. All the examined muscles evoked referred pain patterns
contributing to patients' symptoms. Active TrPs were more frequent in patients
presenting with mechanical neck pain than in healthy subjects.
PMID- 21882490
TI - Cardiac remodeling rather than disturbed myocardial energy metabolism is
associated with cardiac dysfunction in diabetic rats.
AB - BACKGROUND: Diabetes mellitus (DM) alters the energy substrate metabolism in the
heart and the early sign of diabetic cardiomyopathy is the diastolic dysfunction.
Although it is known that the extracellular matrix must be altered in the
presence of diabetes, its local regulation has not been fully elucidated. Our aim
was to evaluate in vivo left ventricular (LV) structure; function and
bioenergetics in streptozotocin (STZ) induced diabetes mellitus. METHODS: Cardiac
function was evaluated using echocardiography in anesthetized Sprague-Dawley rats
12 weeks after injection of STZ and in age-matched control rats before and after
atrial pacing. In vivo 31P magnetic resonance spectroscopy was done to measure
the phosphocreatine (PCr) to ATP ratio. Myocardial protein expression of
metalloproteinases MMP-2, -9, tissue inhibitor TIMP-1, -2 and collagen was
measured using Western blot. RESULTS: Bodyweight (BW) was decreased in diabetic
rats. Heart weight/BW and LV mass/BW ratios were higher in diabetic animals
compared to controls (2.3 +/- 08 vs 2.1 +/- 08 mg/g p <0.05). Heart rate was
lower in diabetic rats (293 +/- 20 vs 394 +/- 36 bpm p <0.05). The velocity of
circumferential shortening and peak aortic velocity were lower in diabetic
animals and were more pronounced during atrial pacing. The basal PCr/ATP ratio
was not different in the two groups. Total collagen was higher in diabetic rats
(3.8 +/- 0.3 vs 2.9 +/- 01 mg/g, p <0.05). Protein expression of MMP-2 was
significantly diminished in diabetic rats by ~ 60%, while MMP-9, TIMP-1 and -2
were unchanged. CONCLUSION: Streptozotocin induced diabetes led to increased
LV/bodyweight, increased collagen content, and diminished MMP-2 with no change in
PCr/ATP. Therefore, remodeling rather than disturbed energetics may underlie
diabetic cardiomyopathy.
PMID- 21882491
TI - FPIN's clinical inquiries: hormone therapy for postmenopausal women with urinary
incontinence.
PMID- 21882492
TI - A pilot study on the effects of carvedilol on right ventricular remodelling and
exercise tolerance in patients with systemic right ventricle.
AB - BACKGROUND: Patients with atrial repair for transposition of the great arteries
and patients with congenitally corrected transposition have a right ventricle
(RV) in the systemic position and they may develop RV dysfunction and exercise
intolerance with advancing age. No data is available on the effect of carvedilol
in patients with dysfunctional systemic RV. METHODS: We studied with
cardiovascular magnetic resonance (CMR), cardiopulmonary exercise testing, and
standard 12-leads electrocardiogram, 8 adults (median age 26 years, range 18-31)
with chronic stable heart failure and systemic RV dysfunction (6 patients with
atrial repair and 2 patients with congenitally corrected transposition).
Assessment was done before and after 12 months of carvedilol administration. The
initial dose was 3.125 mg twice daily, and the target dose was 25 mg twice a day.
RESULTS: Carvedilol administration was safe and the target dose was achieved in
5/8 (62%) patients. Right ventricular end-diastolic (119 +/- 31 vs. 112 +/- 28
ml/m2, p=0.01) and end-systolic volumes decreased (79 +/- 17 vs. 65 +/- 14 ml/m2,
p=0.006), and RV ejection fraction improved (34 +/- 6 vs. 42 +/- 7%, p=0.004).
Left ventricular ejection fraction increased (44 +/- 8 vs. 49 +/- 9%, p=0.01),
suggesting a positive biventricular remodelling. Peak oxygen uptake did not
change with carvedilol (26.8 +/- 5.3 vs. 27.3 +/- 5.7 ml O2/Kg/min, p=0.58),
whereas exercise duration increased (13.4 +/- 2.6 vs. 17.3 +/- 3.1 min, p=0.008).
CONCLUSIONS: In this small cohort, carvedilol administration was safe and it was
associated with positive RV remodelling as well as improved exercise duration.
PMID- 21882493
TI - [A 84-year-old man with apraxia and parkinsonism on his left side,who became
bedridden for 6 years].
PMID- 21882494
TI - [One hundred books which built up neurology (56)--Moritz Heinrich Romberg:
"Klinische Ergebnisse. Gesammelt in dem Koniglichen poliklinischen Institut der
Universitat" (1846)].
PMID- 21882495
TI - MSD's patient-centered medical home pilot.
PMID- 21882496
TI - Drug overdose with refractory bradycardia and hypotension.
AB - BACKGROUND: In the emergency department physicians are often called upon to make
decisions with limited information. Often the correct diagnosis and treatment
hinge on one piece of information, which may be the key to the entire
presentation. OBJECTIVE: We present a case report of a patient who presented with
refractory bradycardia and hypotension who had over-dosed on calcium channel
blockers as well as beta blockers. The underlying cause however was myxedema.
Were it not for the presence of hypothermia, the correct diagnosis of myxedema
secondary to severe hypothyroidism could have been missed. We also briefly review
the pathophysiology and treatment of myxedema. CONCLUSION: We present a case of
refractory bradycardia and hypotension in a patient who had overdosed on calcium
channel blockers as well as beta blockers. Paying close attention to all the
details of the case eventually uncovered the underlying severe hypothyroidism and
myxedema. In the emergency department we are always called upon to make decisions
with limited information. It is also paying close attention to all of the
information presented that allows one to not miss any key pieces central to the
final diagnosis.
PMID- 21882497
TI - What is the best operative practice for small benign parotid pleomorphic adenoma?
AB - Most surgeons will reduce the risk of recurrence and permanent facial nerve
dysfunction with PSP for PPA with dissection and control of the facial nerve.
High volume, very experienced parotid surgeons can offer ECD with the expectation
of less transient facial nerve dysfunction, Frey's syndrome, and numbness. Long
term recurrence rates await further reports.
PMID- 21882498
TI - The "casual" patient is still your patient.
PMID- 21882499
TI - [Projection of dentists in films].
PMID- 21882500
TI - [Rotting children's dentition].
PMID- 21882501
TI - [A part time job in dentistry is good to have for dental students. Yes].
PMID- 21882502
TI - [A part time job in dentistry is good to have for dental students. No].
PMID- 21882503
TI - [Caries: diagnostics, monitoring and guidance in good oral health behaviour. A
reorientation].
AB - Caries is a dynamic process which can develop either progressively or
regressively under the all-determining influence of oral health behaviour.
Management of caries therefore involves first of all: diagnostics, monitoring and
preventive care and treatment which result in good oral health behaviour. The
oral care provider should recognize all phases ofprogression of the caries
process, and should be able to differentiate the symptoms which indicate an
active or inactive process. A well maintained patient dossier is necessary to
monitor this process over time. Following this procedure, the oral care provider
is in a position to guide the patient with relevant information in order to
achieve the final objective, good oral health.
PMID- 21882504
TI - [Joseph Lister, pioneer of asepsis].
PMID- 21882505
TI - [A patient with hypoaesthesia of the mandible].
AB - In a patient with hypoaesthesia of the central region of the mandible, no oral
cause could be found which could explain his complaint. Further examination by a
neurologist and a specialist in internal medicine revealed the numb chin
syndrome. The syndrome was caused by meningeal localisation of a high-grade B
cell lymphoma stade IV. After intensive chemotherapy and radiotherapy of the
skull, the complaints disappeared.
PMID- 21882506
TI - [Work related health risks among dentist's assistants in Flanders, Belgium].
AB - The aim of this research project was to learn more about work-related health
risks among dental assistants in Flanders, Belgium. Forty-seven dental assistants
completed an extensive questionnaire concerning ionized radiation, protection
against infection and exposure to chemicals such as mercury, disinfectants and
acrylates. Collective and personal means of protection, musculoskeletal disorders
and work-related stress were also evaluated. Pain in the lower back, neck pain
and shoulder pain were reported by, respectively, 15%, 17% and 22% of the
respondents. Eczema resulting from skin irritation or contact-allergy was
reported by 13%. Twenty-three percent of the assistants did not consistently make
use ofa radiation badge; 80% wore a mouth mask and 33% wore protective glasses;
and 82% was vaccinated against hepatitis B. Almost 10% reported verbal or
physical aggression by patients. The mean stress score was 3.95 on a scale from 0
to 10. The results may contribute to the formulation of a number of
recommendations for the improvement of the health of dental assistants.
PMID- 21882507
TI - [Oral surgery as the patient's immediate cause of death].
AB - The purpose of this study was to determine how often patients have died after
treatment by an oral surgeon in the Netherlands. A review of the literature
showed that this kind of complication has rarely been described in international
literature. Subsequently, a small questionnaire was sent to 274 Dutch oral
surgeons; 140 questionnaires were returned (51% response). The oral surgeons
participating in the survey had had, on average, 21 years of work experience and
4.3% were women. Forty percent of the respondents confirmed that they had
experienced the death of a patient after oral surgery. Most (18 patients) died
after a dental extraction. The most important causes of death were post-operative
spreading of an infection (15 patients), failing to survive oncology treatment (9
patients) and as the third cause of death, heart and/or lung failure was named (6
patients).
PMID- 21882508
TI - [Placing of oral implants in patients using bisphosphonates].
AB - Dentists are with increasing frequency confronted with patients who are being
treated with bisphosphonates for certain bone diseases, hypercalcaemia, and bone
metastases of solid malignancies. A side effect of the use of bisphosphonates is
osteonecrosis of the jaw. Bisphosphonate-induced osteonecrosis of the jaw occurs
mainly after treatment with intravenous bisphosphonates and to a much lesser
extent after oral administration of bisphosphonates. The question remains whether
oral implants can be safely placed in patients using bisphosphonates. A survey of
the literature shows that the risk ofosteonecrosis of the jaw and loss of oral
implants is not increased when the implants are placed within 3 years after
starting treatment with oral bisphosphonates. After this period there is the
possibility of a slightly increased risk of complications. The possibility of
complications is higher in patients receiving the intravenous bisphosphonates,
and, therefore, elective surgical treatments is not advocated.
PMID- 21882510
TI - The CDT 2011-2012 changes.
PMID- 21882509
TI - [Permanent molar crypts in the human mandible].
AB - A few decades ago, relatively little was known concerning the pre-eruptive
spatial development of permanent molars. The material that formed the basis for
this study consisted of 114 dry human mandibles of young children and
adolescents. Measurements were made on radiographs of the bony crypts in which
the tooth germs had existed ante mortem and the pattern of spatial development
was studied macroscopically and histologically. The findings revealed a
consistent developmental pattern, in which the means of expansion of the crypts
of the second and third molars, prior to crown-calcification, displayed strong
similarities. The displacements as they were indicated by the measurements on the
radiographs were confirmed by the macro- and micro-morphological study of the
bone surfaces. The mesio-distal relationship of adjacent crypts appeared to be
influenced by morphological characteristics of the mandible early on in their
development. New insights have not been achieved subsequently, up to the present
day, because little follow-up research into the spatial development of the bony
crypts has been undertaken.
PMID- 21882511
TI - The power of the pack can be insurmountable.
PMID- 21882512
TI - Working to ensure patients have the quality of care they deserve.
PMID- 21882513
TI - IOM issues its first report on Medicare's GPCIs-IMS' quest for GPCI equity
continues.
PMID- 21882514
TI - Changing environment, student interest curriculum.
PMID- 21882515
TI - A year of independence.
PMID- 21882516
TI - Reconfiguration. Talking services into shape.
PMID- 21882517
TI - Legal. Foundation trusts face the future of boom and bust.
PMID- 21882518
TI - Patient involvement. Loud and clear: nine shouts for the Health Bill.
PMID- 21882519
TI - [Research progress of new antibacterial drugs that target bacterial quorum
sensing systems].
AB - In recent years, antibiotic resistance of bacteria has become a global health
crisis. Especially, the new class of "superbug" was found in South Asia, which is
resistant to almost known antibiotics and causes worldwide alarm. Through the
underlying mechanisms of bacterial pathogenecity, the expression of many pathogen
virulence factors is regulated by the process of quorum sensing. Screening
efficient quorum sensing inhibitors is an especially compelling approach to the
future treatment of bacterial infections and antibiotic resistance. This article
focuses on bacterial quorum sensing system, quorum sensing screening model for in
vitro and evaluation of animal models in vivo, recent research of quorum sensing
inhibitors and so on.
PMID- 21882520
TI - [Advances in the structure-activity relationship study of natural flavonoids and
its derivatives].
AB - Flavonoids are a large class of compounds widely distributed in nature. Many
pharmacological activities of flavonoids have been reported such as anti-cancer,
antioxidant, anti-inflammatory, hepatoprotective, antithrombotic, vasodilator,
antiviral, antibacterial, antiallergic, and so on. In recent years, domestic and
foreign research groups choose natural flavonoids and optimize their chemical
structures in order to develop a number of new derivatives with stronger
pharmacological activities. As part of the mechanisms are not clear, we need to
strengthen in-depth research in the SAR (structure-activity relationship) study
for targeted and efficient structure optimization. This paper systematically
summarize current researches in the SAR studies of flavonoids and their
derivatives, which can serve as a reference for synthesizing new flavonoid
derivatives.
PMID- 21882521
TI - [Progress of the hydrokinetic chromatography and its application in the
characterization of particulate drug delivery systems].
AB - In the present paper, the basic principles, the device and the analytical method
of the hydrodynamic chromatography (HDC) were summarized, which is most widely
used in hydrokinetic chromatography. The application of the hydrodynamic
chromatography in the determination of the particle size and size distribution of
the particulate drug delivery system was also reviewed. The method can determine
the particle size of nano- and micron-scale particulate drug delivery systems
rapidly. And this method also has the advantages of economic, convenient and no
damage to the samples. In summary, there will be a good prospect for the
application of HDC in the determination of particle size distribution features of
particulate drug delivery systems.
PMID- 21882522
TI - [Research progress of enhancing quantitative sensitivity by using LC-MS(n) with
derivatization method in bio-matrices].
AB - Liquid chromatography/mass spectrometry (LC-MS(n)) has been essential to a large
number of quantitative analytical applications in drug research, and especially
in the drug PK/PD research, due to its high sensitivity and high specificity. But
following the appearance of drugs with high activity and low dosage and the
especial structural compounds, a number of limitations of LC-MS(n) have been
noted. Derivatization changes the structure of drugs and therefore changes their
physical and chemical properties, resulting in high ionization efficiency, low
matrix effect and low disturbance by inorganic salts and endogenous compounds in
LC-MS(n). In this article, recent progress in the research of the chemical
derivatization strategy with LC-MS(n) is reviewed on breakthrough of some LC
MS(n) limitations, in particular focusing on the applications involving some
drugs in bio-matrices.
PMID- 21882523
TI - [Effect of pinocembrin on brain mitochondrial respiratory function].
AB - There are growing evidences that pinocembrin has better neuroprotective effect.
In the present study, the effect of pinocembrin on mitochondrial respiratory
function was evaluated in global brain ischemia/ reperfusion (4-vessel occlusion,
4-VO) rats. The results showed that pinocembrin improved the respiratory activity
of 4-VO brain mitochondria, through increasing ADP/O, state 3 respiration state
(V3), respiration control rate index (RCI) and oxidative phosphorylation rate
(OPR). And then, the effect of pinocembrin on brain mitochondria was verified in
vitro. The results showed that pinocembrin increased ADP/O, state 3 respiration
state, respiration control rate index, oxidative phosphorylation rate in
NADH/FADH2 dependent respiratory chain and decreased state 4 respiration state
(V4) in NADH dependent respiratory chain. Pinocembrin improved ATP content in
brain mitochondria in vitro and in SH-SY5Y cells.
PMID- 21882524
TI - [Effects of yin qiaojiedu soft capsule on influenza virus load and M1 expression
in mice].
AB - This study is to investigate the treatment of YinQiaojiedu soft capsule for
influenza virus A/PR8/34 (H1N1) infection. The model of pneumonia was established
by dropping influenza virus into the nose of normal mice, and the lung index and
death rate were observed. Real time RT-PCR and Western blotting technique were
used to detect the virus load and the relative expression of M1 protein in lungs
of mice on the 1st, 3rd, 5th and 7th day after infection. The results showed that
YinQiaojiedu soft capsule in 1 g x kg(-1) and 0.5 g x kg(-1) dose groups can
decrease the lung index significantly on the 3rd, 5th and 7th day after being
infected (P < 0.05, P < 0.01), and the number of death in the two groups of
animals decreased significantly. YinQiaojiedu soft capsule in 1 g x kg(-1) dose
group can decreased virus load at each time point, and lower it in 0.5 g x kg(-1)
dose group at the 3rd, 5th and 7th day (P < 0.05, P < 0.01). YinQiaojiedu soft
capsule can decrease the relative expression of M1 protein in lungs of mice, 1 g
x kg(-1) and 0.5 g x kg(-1) dose groups are significantly lower in expression of
M1 protein compared with model group at the 3rd and 7th day (P < 0.05, P < 0.01).
It can be concluded that YinQiaojiedu soft capsule exerts antiviral effects
against influenza virus by downregulating expression of virus load and M1
protein.
PMID- 21882525
TI - Effects of bicyclol on the activity and expression of CYP450 enzymes of rats
after partial hepatectomy.
AB - The present study was performed to investigate the effect of bicyclol on hepatic
microsomal cytochrome P450 (CYP) activity, as well as gene and protein
expressions in rats after partial hepatectomy (PH). Bicyclol (300 mg x kg(-1))
was given to rats subjected to 70% hepatectomy three times before operation. At 6
and 48 h after PH, blood and liver tissue samples were collected for the
measurement of serum alanine aminotransferase (ALT), hepatic microsomal
malondialdehyde (MDA) and total hepatic CYP content. The activities of four CYP
isozymes were detected with liquid chromatography-mass spectrometry (LC-MS) and
the gene and protein expressions were determined by RT-PCR and Western blotting
assay. As a result, bicyclol pretreatment markedly inhibited the elevation of
serum ALT and hepatic microsomal MDA, and prevented the decrease of total hepatic
CYP content in PH rats. In addition, bicyclol significantly attenuated the
reduction of CYP2C6 activity and mRNA expression, as well as the reduction of
CYP2C11 activity in PH rats. Bicyclol can inhibit the decrease of CYP3A1/2
activity, and up-regulate the mRNA and protein expressions of CYP3A1 and CYP2E1.
These results showed that bicyclol pretreatment might ameliorate abnormality in
CYP450 isoforms during liver regeneration after PH, and this protective effect
was likely due to its anti-oxidative property and enzyme induction.
PMID- 21882526
TI - [The semi-quantitative method for evaluating lipid accumulation in pancreas of
diabetic mice].
AB - To investigate the semi-quantitative method for evaluating the lipid accumulation
in pancreas, the KKAy mice, a classical type 2 diabetes mellitus model mice, were
used and treated with rosiglitazone (Rosi); and the age-matched C57BL/6J mice
were used as normal control. Pancreas was fixed quickly for histological
examination with HE staining. For the estimation of the lipid accumulation in
pancreas, semi-quantitative method was designed: the number and the size of
islet, lipid accumulation in islet and in exocrine gland were observed and the
integrative score calculated under the microscope, separately. In KKAy mice, the
characteristics of the increased amount of islet, the enlarged area of islet, an
abundance of large vacuolations, lipid droplets, and fat proliferation were
exposed frequently, and the integrative score increased 2.1 folds compared with
that in C57BL/6J mice. Meanwhile, the levels of serum glucose, insulin, and
triglyceride (TG) were 1.7, 18.0, and 9.0 times as those in C57BL/6J mice,
respectively. With the rosiglitazone (10 mg x kg(-1)) treatment, compared with
that in KKAy mice, the pancreatic pathological changes were ameliorated
significantly, and the integrative score in KKAy + Rosi mice decreased by 28.9%;
and the levels of serum glucose, insulin, and triglyceride decreased by 48.3%,
81.3% and 64.1%, respectively. It showed there is a correlation between the
pancreatic pathological semi-quantitative score and the values of serum
parameters. In conclusion, this semi-quantitative scoring method is simple and
objective for the evaluation of lipid accumulation in pancreas of mice.
PMID- 21882527
TI - Lipid-lowering effect of cordycepin (3'-deoxyadenosine) from Cordyceps militaris
on hyperlipidemic hamsters and rats.
AB - 3'-Deoxyadenosine, so-called cordycepin, is a bioactive component of the fungus
Cordyceps militaris. It has been known to exhibit multiple-biological effects
including: modulation of immune response, inhibition of tumor growth, hypotensive
and vasorelaxation activities, and promoting secretion of adrenal hormone. To
investigate its lipid-lowering effect, hyperlipidemic hamsters and rats fed by
high-fat diet were both administered orally with cordycepin extracted from
Cordyceps militaris for four weeks. The levels of lipids in hamsters and rats
were measured enzymatically before and after the administration of cordycepin
(12.5, 25 and 50 mg x kg(-1)). The results suggested that levels of serum total
cholesterol (TC), triglyceride (TG), low density lipoprotein cholesterol (LDL-C)
and very low density lipoprotein cholesterol (VLDL-C) increased markedly in the
two animal models by feeding high-fat diet. Meanwhile, cordycepin reduced levels
of serum TC, TG, LDL-C, VLDL-C as well as LDL-C/HDL-C (high density lipoprotein
cholesterol) and TC/HDL-C ratios. In concert with these effects, an increase in
lipoprotein lipase (LPL) and hepatic lipase (HL) activity afforded by cordycepin
was considered to contribute to the regulation on lipid profiles. Furthermore, no
toxicity of cordycepin was observed by intragastric administration at the maximal
tolerant dose in ICR mice for 14 days. The exact lipid-lowering effect of
cordycepin needs further investigation.
PMID- 21882528
TI - [The interaction between genistein and beta-glucosidase].
AB - The interaction between genistein and beta-glucosidase was studied using
fluorescence quenching method and synchronous fluorimetry. The binding reaction
was simultaneously studied by the AutoDock 4.2 molecular docking model. Data from
fluorescence spectroscopy indicated that these interactions resulted in the
endogenous fluorescence quenching of beta-glucosidase, which belongs to a static
quenching mechanism. The calculated binding constants were 3.69 x 10(4), 3.06 x
10(4) and 2.36 x 10(4) L x mol(-1) at 17, 27 and 37 degrees C, respectively. The
evidences from synchronous fluorescence showed the effect of genistein on the
microenvironment around beta-glucosidase in aqueous solution. The inhibition test
showed that the activity of beta-glucosidase could be inhibited by genistein. The
determined bimolecular rate constant (k(i)) was 1.2 x 10(3) (mol x L(-1)(-1) x
min(-1). Molecular docking was performed to reveal the possible binding mode or
mechanism and suggested that genistein could bind strongly to beta-glucosidase.
The results revealed that genistein tended to bind with beta-glucosidase mainly
by hydrogen bond and hydrophobic interaction as well as electrostatic forces.
PMID- 21882529
TI - [Synthesis and antiviral activities of geldanamycin analog TC-GM in vitro].
AB - In order to find antiviral compounds with novel structures, geldanamycin and
lamivudine with different antiviral mechanisms were conjunctively synthesized to
acquire a new compound TC-GM, and the antiviral activity of TC-GM was measured.
The antiviral activity against HIV-1 was examined by p24 antigen ELISA kit. The
activity against HBV was examined by dotblot. The activity against HSV and CoxB
virus was examined by CPE. TC-GM exhibited broad-spectrum antiviral activities
similarly like geldanamycin. TC-GM inhibited the replication of different
viruses, including HIV-1, HBV, HSV 1 and 2, CoxB6. TC-GM showed more potent
inhibitory activity against HIV-1 and HBV than other detected virus.
PMID- 21882530
TI - [Design, synthesis and evaluation of novel 2H-1, 4-benzodiazepine-2-ones as
inhibitors of HIV-1 transcription].
AB - HIV-1 trans-activator of transcription (Tat) plays a critical role in HIV-1
transcription. Based on the beta-turn motif present in HIV-1 Tat, a series of
novel benzodiazepine analogs were designed as beta-turn mimetics and prepared
from p-chloro-nitrobenzene/2-phenylacetonitrile, p-toluidine/benzoyl chloride, or
(Z)-7-nitro-5-phenyl-1H-benzo[e][1, 4]diazepin-2(3H)-one (nitrazepam) through
different synthetic routes. Preliminary biological evaluation indicated that
compound 30 exhibited inhibitory activity on HIV-1 tat-mediated LTR transcription
with EC50 of 25.0 micromol x L(-1) and showed no obvious cytotoxic effects on TZM
BI cells under the concentration of 100 micromol x L(-1).
PMID- 21882531
TI - [Effect of OATP1B1 521T --> C heterogenesis on pharmacokinetic characterstics of
rosuvastatin in Chinese volunteers].
AB - This study is to report the effect of OATP1B1 gene mutation in the 521T --> C in
Chinese human on the pharmacokinetics of rosuvastatin and guide the reasonable
clinical application of rosuvastatin by the feature of genetic polymorphism of
OATP1B1. Plasma samples were determined with LC-MS: the analyte and internal
standard pitavastatin were both analyzed by MS in the ESI, m/z was 480.0 for
rosuvastatin and 420.0 for the IS, separately. Genotyping of OATP1B1 was
determined with the method of polymerase chain reaction--amplification refractory
mutation system targeted at 40 healthy volunteers and showed that there were 7
subjects with 521T --> C mutant, accounting to 17.5% of total and wild type
homozygote accounted to 82.5%. It was found that there were significant
differences between OATP1B1 mutation in the 521T --> C and wild type homozygote
for rosuvastatin pharmacokinetic process in Chinese human. In contrast to OATP1B1
wild type group, OATP1B1 mutation group's absorption degree increased,
elimination process decreased. The OATP1B1 mutation should be noted for guiding
the reasonable application of rosuvastatin during its clinical use.
PMID- 21882532
TI - [Tissue distribution of arsenic of liushen pills and realgar].
AB - This study is to report the tissue distribution of arsenic after giving different
doses of realgar and Liushen pills to Beagle dogs, in order to provide basis for
the safety evaluation of Liushen pills. ICP-MS was used to measure arsenic
concentration, and HPLC-ICP-MS was used to analyze arsenic speciation. The
concentration of total arsenic and As(III) + DMA (arsenite + dimethylarsenic
acid) increased with dosing of realgar. Total arsenic concentration in most
tissues and As(III) + DMA concentration in all tissues of Liushen pills group are
lower than that of realgar group, but AsB concentration in liver, spleen and
kidney of Liushen pills group increased. The concentration of total arsenic
showed a dose-dependent manner with dosage administered. It was indicated that
components in Liushen pills can reduce solubility of arsenic in realgar, which
may decrease toxicity of realgar.
PMID- 21882533
TI - [Microcosmic mechanisms of amorphous indomethacin crystallization and the
influence of nano-coating on crystallization].
AB - Amorphous drugs have higher solubility, better oral bioavailability and are
easier to be absorbed than their crystalline counterparts. However, the amorphous
drugs, with weak stability, are so easy to crystallize that they will lose the
original advantages. Polarization microscope, scanning electron microscope,
differential scanning calorimetry, X-ray diffractomer and Raman spectroscopy were
used to study the microcosmic crystallization mechanisms of amorphous indometacin
and the performance of the drug crystals. The results showed that the growth rate
of amorphous indometacin crystals at the free surface was markedly faster than
that through the bulk, and that the crystal growth rate decreased observably
after spraying an ultrathin melting gold (10 nm) at the free surface of the drug.
These results indicated that the high growth rates of amorphous drugs crystals at
the free surface were the key to their stability and that an ultrathin coating
could be applied to enhance the stability of amorphous drugs.
PMID- 21882534
TI - In vitro study of transdermal penetration and iontophoresis of hepatitis B
vaccines through rat skin.
AB - In vitro percutaneous delivery of hepatitis B vaccines was investigated in order
to assess the penetration of vaccine under passive diffusion and iontophoresis
conditions. The study was carried out using Franz vertical diffusion cell through
the hairless abdominal skin of Sprague-Dawley (SD) rats. Enzyme-linked
immunosorbent assay (ELISA) was used to determine the cumulative amount of
permeation and the retention amount of drug in skin. Passive diffusion alone
resulted in less skin permeation and retention of hepatitis B vaccines, only (2.1
+/- 0.1) ng x cm(-2) and (2.3 +/- 0.1) ng x cm(-2) after 24 h when the initial
concentration of vaccine in the donor compartment was 23 microg x mL(-1) and 46
microg x mL(-1), respectively. After removing the stratum corneum, the permeation
and retention amount of hepatitis B vaccines increased to (383.7 +/- 86.2) ng x
cm(-2) and (16.8 +/- 4.6) ng x cm(-2), respectively, 171.6-folds and 2.1-folds
more than that from its intact skin with the drug loaded at 46 microg x mL(-1).
Iontophoresis induced a significant increase of cumulative and retention amount
of hepatitis B vaccines through the skin (P < 0.05). Application of iontophoresis
significantly enhanced the permeation of hepatitis B vaccines (P < 0.05) by 2.7
folds and 6.6-folds for the intact skin, and by 1.6-folds and 1.8-folds for the
tape-stripped skin with initial drug loading of 23 microg x mL(-1) and 46 microg
x mL(-1), respectively. Iontophoresis also significantly increased the amount of
drug retained in the skin. After applying iontophoresis for 6 h, the amount of
skin retention was nearly the same as passive diffusion for 24 h both from intact
skin [(16.8 +/- 4.6) ng x cm(-2) vs (13.3 +/- 5.4) ng x cm(-2)] (P > 0.05) and
tape-stripped skin [(36.7 +/- 14.1) ng x cm(-2) vs (26.8 +/- 11.2) ng x cm(-2)]
(P > 0.05). Overall, these findings revealed that the transportation efficiency
of bioactive substance like hepatitis B vaccines may be improved by
iontophoresis, which can be potentially used in the field of transcutaneous
immunization.
PMID- 21882535
TI - [Water in oil microemulsions containing NaCl for transdermal delivery of
fluorouracil].
AB - This study is to prepare the W/O microemulsion containing NaCl and fluorouracil
(5-Fu) as a model drug to investigate the transdermal characteristics and skin
irritation of the microemulsion in vitro. Isopropylmyristate (IPM) acting as oil
phase, Aerosol-OT (AOT) as surfactant, Tween 85 as cosurfactant, NaCl solution
was added dropwise to the oil phase to prepare W/O microemulsion at room
temperature using magnetic stirring, and then 5-Fu powder was added. According to
the area of microemulsion based on the pseudo-tertiary phase diagrams, the
optimum formulation was screened initially. And the permeation flux of
fluorouracil across excised mice skin was determined in vitro using Franz
diffusion cells to study the influence of the amount of water and the drug
loading capacity and optimize the formulation further. Refer to 5-Fu cream, the
irritation of microemulsion on the rat skin was studied. The optimum formulation
was composed of 0.7% (w/v) 5-Fu, 50% NaCl solution (0.05 mol x L(-1)), 20% mix
surfactant (AOT/Tween 85, K(m) = 2) and 29.3% oil (IPM). The cumulative amount of
fluorouracil permeated in 12 h was (2 013.4 +/- 41.6) microg x cm(-2), 20.23
folds and 10.38 folds more than 0.7% fluorouracil aqueous solution and 2.5% (w/w)
fluorouracil cream, respectively. Microemulsion exhibited some irritation, but
could be reversed after drug withdrawal. The addition of NaCl significantly
increased the content of water and the drug loading in microemulsion systems. The
NaCl/AOT-Tween 85/IPM microemulsion system promoted the permeation of
fluorouracil greatly, which may be a promising vehicle for the transdermal
delivery of fluorouracil and other hydrophilic drug.
PMID- 21882536
TI - [Thermosensitive in situ gel of boanmycin hydrochloride for injection].
AB - Poloxamer F127, poloxamer F68 and hydroxypropyl methylcellulose K4M were used to
prepare the thermosensitive in situ gel of boanmycin hydrochloride for injection.
Its gelation temperature, rheological behavior, texture characteristics, scanning
electron microscopy, in vitro and in vivo drug release were evaluated. These
results showed that the formulation was a fluid solution at room temperature,
which could become semisolid at the temperature of 37 degrees C, and the
thermally induced sol-gel transition allowed to be injectable and in situ
setting. The formulation was constructed into a tridimensional network at
gelation temperature. The drug release was controlled by the diffusion of the
drug and the erosion of the gelmatrix. The pharmacokinetics indicated that the
drug could be released slowly for up to 48 hours after subcutaneous
administration in rats.
PMID- 21882537
TI - Microbial glycosylation of cardamonin by Mucor spinosus.
AB - Microbial transformation of cardamonin by Mucor spinosus (CGMCC 3.3450) in
preparative scale resulted in the isolation of two new products. Their structures
were elucidated unambiguously by ESI-MS, 1H NMR, 13C NMR and 2D NMR spectra
analyses as 4-O-beta-D-glucopyranosyl-6-hydroxy-2-methoxychalcone (1, 4-GluC) and
6-O-beta-D-glucopyranosyl-4-hydroxy-2-methoxychalcone (2, 6-GluC), respectively.
The time-course of biotransformation by M. spinosus showed that both 4-GluC and 6
GluC appeared on the 2nd day. The optimal biotransformation temperature was 28
degrees C, the optimal biotransformation time was 72 h and the optimal
concentration for cardamonin was 40 mg x mL(-1). This is the first time for
successful microbial glycosylation of cardamonin in present research.
PMID- 21882538
TI - [Effects of puerarin on proliferation and differentiation of umbilical cord
mesenchymal stem cells into osteoblasts in vitro].
AB - This study is to investigate the effects of puerarin on the proliferation and
differentiation of umbilical cord mesenchymal stem cells (MSCs) into osteoblasts.
Umbilical cord MSCs were cultured by tissue adherence and the third passage of
cells was used in the experiment. The effect of puerarin on proliferation of
umbilical cord MSCs was measured with MTT. The effects of puerarin on umbilical
cord MSCs were evaluated by ALP immunohistochemisty and von kossa staining. The
OD value decreased with the increase of puerarin concentration. On 7th day, ALP
expression of puerarin group was higher than that of control group. On 14th day,
ALP staining showed that the positive rate of puerarin group was higher than that
of control group. Von kossa staining showed the quantity of calcium nodules was
higher in puerarin group than that of control group. Puerarin can promote the
umbilical cord MSCs to differentiate into osteoblasts and has an effect on the
proliferation of umbilical cord MSCs.
PMID- 21882539
TI - [Sedative, hypnotic and anticonvulsive effects of an adenosine analogue
WS090501].
AB - This study is to examine the sedative, hypnotic and anticonvulsive effects of an
adenosine analogue, WS090501. The spontaneous locomotor activity was recorded by
open field equipment, and the EEG of rats was recorded by polyphysiograph.
Pentylenetetrazol (PTZ)-induced seizure model was used. The spontaneous locomotor
activity was decreased by WS090501 at various doses (0.06, 0.13, and 0.25 mg x
kg(-1)), and the decreasing rate was 28.4%, 47.1% and 61.2% respectively.
Furthermore, the effect of WS090501 on spontaneous locomotor activity of mice can
be antagonized by DPCPX, a selective adenosine A1R antagonist, but cannot be
antagonized by SCH58261, a selective adenosine A2AR antagonist. The NREM sleep
was significantly increased by WS090501 (0.05 and 0.2 mg x kg(-1)), and the
increasing rate was 27.6% and 102.8%, respectively, at 6th hour after
administration. The REM sleep decreased significantly at the higher dose. PTZ
induced serious convulsion in mice. The latency of convulsion was prolonged, and
the number of seizure and mortality decreased after administration of WS090501.
These results show that WS090501 has potent sedative, hypnotic and anticonvulsive
effects, which may be mediated through adenosine A1R.
PMID- 21882540
TI - [Visual presentation of psychiatric clinical decision-making by "graphic
assessment sheet for diagnoses and treatments"].
AB - Psychiatrists often have to treat patients even when the clinical information is
insufficient to make a definite diagnosis. This is the case especially when we
are treating first-visit outpatients or inpatients who have just been admitted.
One of the causes of information insufficiency is a delay in obtaining clinical
information on the patient, and another is a lack of characteristic
manifestations of the disease because of an immature developmental stage. Even in
such situations, however, clinicians have to make reasonable judgements using the
information that is available at that time. The framework for making judgements
on such occasions, or "the framework of decision-making under imperfect
information conditions", is becoming more and more important in psychiatric
clinical practice in Japan for the following reasons. First, team members in
charge of a patient became very heterogeneous in terms of their career and
motivation after the start of the new post-graduate clinical training system in
Japan several years ago, resulting in a higher risk of miscommunication.
Secondly, the need for precise explanation to patients and their families has
become crucial in recent years as the result of various social changes. Ota T,
one of the authors, once put forward the framework of decision-making under
imperfect-information conditions on the basis of Bayesian statistics. In the
present paper, in consideration of the above background, we devised a sheet for
visualizing the above framework so that relevant staff could share the clinical
decision-making process. Specifically, we visually arranged on a sheet of paper
the components and variables of the framework, so that the staff could
communicate with each other explicitly and precisely about the estimated
probability of each possible disease, merits and demerits of each treatment
option, etc. We employed the sheet on treating patients in our acute psychiatric
ward, 2 of whom are presented in the paper. Discussions were made on the
usefulness, limitations, and remaining problems.
PMID- 21882541
TI - [Molecular pathophysiology of schizophrenia and preventive strategy in pubertal
period].
AB - A novel frameshift mutation in glyoxalase 1 (GLO1) gene was detected in a patient
with schizophrenia of a pedigree with multiple affected individuals. The patient
carrying the mutation showed decreased enzymatic activity by 50%, 3.7 times high
level of advanced glycation end products (AGEs) that is substrate of GLO1 and 20%
of serum vitamin B6 compared to controls. Case-control study of GLO1 gene
suggested that Ala allele of Glu111Ala was associated with schizophrenia. In
vitro study using COS-7 cells transfected with cDNA of GLO1 yielded that
enzymatic activity is lower in GLO1 with Ala111 than that of Glu111. The
homozygotes of Ala111 showed 16% decreased GLO1 activities in RBC as compared
with that of Glu111/Ala111 and Glu111/Glu111. Plasma AGEs levels were
significantly high and serum vitamin B6 was significantly low in 45
schizophrenics than that of 61 control subjects. Supplementation of vitamin B6 to
cases with the genetic defect of GLO1 before onset of psychosis is suggested to
be possible strategy for prevention of schizophrenia until pubertal stage since
such mutation carriers could have been exposed by high level of AGEs for a long
time before disease onset.
PMID- 21882542
TI - [Integrative studies for early psychosis: toward realizing youth mental health].
AB - The editorial of the new-year issue of Nature 2010 features "A decade for
psychiatric disorders". The DALY estimation clearly shows that psychiatric
disorders are the top source for burden of diseases to the individual life and
society. Schizophrenia is a most devastating psychiatric disorder in which the
onset is usually at youth and the cognitive dysfunction persists for life-long in
some patients. Schizophrenia is associated with neurodevelopmental abnormalities.
It has been unknown whether post-onset progressive pathology is also present in
schizophrenia until the recent sophistication of in vivo neuroimaging techniques.
Longitudinal neuroimaging studies on first-episode schizophrenia have shown a
progressive deterioration of structure and function of neocortical regions in the
early stage of the disorder. Insult to dendritic spines through glutamatergic
dysfunction may underlie this process, which may in turn be a promising molecular
target for intervention to improve the functional outcome of schizophrenia. More
recently, the question of whether early intervention can be targeted at prodromal
stage of schizophrenia has called special attention in psychiatry. In University
of Tokyo, the integrative neuroimaging studies for schizophrenia targeting early
intervention and prevention (IN-STEP) is ongoing. Through these efforts, we would
like to contribute to the establishment of "youth mental health", where every
youth in the community can know, prevent, and have easy access to needs- and
value-based services, and pursue mental well-being and recovery.
PMID- 21882543
TI - [On the case management of youth with early psychosis].
AB - Case management lies at the foundation of clinical activities for youth with
early psychosis. While introducing the systems and skills accumulated in Anglo
American countries, the author affirms tasks associated with care management in
Japan. The characteristics of case management for youths with early psychosis are
as follows: 1) a primary therapeutic model, 2) emphasizing engagement by means of
outreach, 3) limiting caseloads for the sake of fostering collaborative
relationships, 4) adopting a method of active brokerage, and 5) establishing time
limits of two or three years. The author calls for the establishment of a new
training system for implementing these activities in Japan, due to the existence
of significant misunderstandings of care management in that country.
PMID- 21882544
TI - [Childhood-onset schizophrenia and schizophrenia spectrum disorder].
AB - The concept, diagnosis and clinical picture of childhood-onset schizophrenia were
presented. The cases with childhood-onset schizophrenia were classified into 4
groups according to their process of growth and development. Furthermore, it has
been suggested that the progression of childhood-onset schizophrenia developed on
the basis of neuro-developmenal hypothesis might contribute to the understanding
of adult onset schizophrenia and early diagnosis and intervention of
schizophrenia.
PMID- 21882545
TI - [The neurotic disorders].
AB - The clinical practice of child and adolescent psychiatry includes encounters with
disorders not particular to childhood and adolescence, but seen in adulthood as
well. For example, among the neurotic disorders, obsessive-compulsive disorder
can be seen from around 3 years of age, with rapid rise in prevalence from around
age 10. Increase is also seen in cases of anorexia nervosa from around age 11.
This report examines the association between disorders in childhood and
adolescence, in comparison to that in adulthood, with focus on obsessive
compulsive disorder. To start with, the characteristics of childhood onset cases
with onset under age 7 were reviewed, revealing a relatively large proportion of
subjects with experience of separation anxiety. Analyses revealed the possibility
of anticipating obsessional tendencies in the parents of such subjects. Further
clarification of the features of such early onset cases is hoped for in future.
Next, we conducted a literature review comparing the characteristics of child and
adolescent obsessive-compulsive disorder with that in adulthood. It has been
determined that obsessive-compulsive symptoms in childhood and adolescence have a
relatively unyielding 4-factor construct that persists through life, namely: 1)
symmetry factor, 2) forbidden thoughts factor, 3) cleaning factor, and 4)
hoarding factor. Of these, children with primary symptoms of hoarding are said to
have poorer long-term diagnoses than children with other symptoms. Another point
of note is the presence of large disparity regarding the prognosis of cases with
concomitant tics. While the prognosis of childhood-obsessive compulsive disorder
is generally favorable in many reports, the need for caution has also been noted
regarding the possibility of transition on to schizophrenia in more than just a
few cases.
PMID- 21882546
TI - Modelling the energy demands of aerobic and anaerobic membrane bioreactors for
wastewater treatment.
AB - A modelling study has been developed in which the energy requirements of aerobic
and anaerobic membrane bioreactors (MBRs) are assessed in order to compare these
two wastewater treatment technologies. The model took into consideration the
aeration required for biological oxidation in aerobic MBRs (AeMBRs), the energy
recovery from methane production in anaerobic MBRs (AnMBRs) and the energy
demands of operating submerged and sidestream membrane configurations. Aeration
and membrane energy demands were estimated based on previously developed
modelling studies populated with operational data from the literature. Given the
difference in sludge production between aerobic and anaerobic systems, the model
was benchmarked by assuming high sludge retention times or complete retention of
solids in both AeMBRs and AnMBRs. Analysis of biogas production in AnMBRs
revealed that the heat required to achieve mesophilic temperatures (35 degrees C)
in the reactor was only possible with influent wastewater strengths above 4-5 g
COD L(-1). The general trend of the submerged configuration, which is less energy
intensive than the sidestream configuration in aerobic systems, was not observed
in AnMBRs, mainly due to the wide variation in gas demand utilized in anaerobic
systems. Compared to AeMBRs, for which the energy requirements were estimated to
approach 2 kWh m(-3) (influent up to 1 g COD L(-1)), the energy demands
associated with fouling control in AnMBRs were lower (0.80 kWh m(-3) for influent
of 1.14 g COD L(-1)), although due to the low fluxes reported in the literature
capital costs associated with membrane material would be three times higher than
this.
PMID- 21882547
TI - The role of external and internal mass transfer in the process of Cu2+ removal by
natural mineral sorbents.
AB - The kinetics of Cu2+ sorption on to zeolite, clay and diatomite was investigated
as a function of initial metal concentrations. For consideration of the mass
transfer phenomena, single resistance models based on both film and intraparticle
diffusion were tested and compared. The obtained results suggested that the rate
limiting step in Cu2+ sorption strongly depended on the sorbent type, as well as
on initial cation concentration. The decrease in external mass transfer
coefficients with the increase in initial metal concentrations was in excellent
agreement with expressions based on Sherwood and Schmidt dimensionless numbers.
The internal diffusivities through zeolite particles were in the range 1.0 x 10(
11) to 1.0 x 10(-13) m2/min, depending on the Cu2+ concentration and the applied
theoretical model.
PMID- 21882548
TI - A comparative study of UV-fenton, UV-H2O2 and fenton reaction treatment of
landfill leachate.
AB - In this study, laboratory experiments were conducted to compare the efficacy of
several H2O2-based advanced oxidation processes (AOPs): UV-Fenton, UV-H2O2, and
Fenton reagent, to treat landfill leachate with the objective of removing COD and
improving the leachate's overall biodegradability (evaluated in terms of BOD5/COD
ratio). The results indicated that, at optimized experimental conditions of 25
degrees C, pH 4.0, 4000 mg L(-1) H2O2, 30 mg L(-1) Fe(II) (for UV-Fenton and
Fenton) and 60 min reaction time, the UV-Fenton system showed the highest COD
removal. The COD removal rate in the H2O2-based AOPs was strongly dependent on
the concentration of H2O2 and the pH value. Temperature had little effect on
overall COD removal rate in the Fenton and Fenton-like systems. All three systems
would result in a significant increase in the ratio of BOD5/COD, from 0.17 to
about 0.60, at the applied H2O2 dosage of 4000 mg L(-1). The increase in
biodegradability of the AOP leachate indicates that these AOPs would be
beneficial for the subsequent biological treatment process. The UV-Fenton process
was found to be the most effective approach of these H2O2-based AOPs to enhance
the biodegradability and eliminate the colour of the leachate.
PMID- 21882549
TI - Performance evaluation of an on-site volume reduction system with synthetic urine
using a water transport model.
AB - The parameters of a model of the transport of water from a wet cloth sheet to the
air, developed for deionized water, to establish design procedures of an on-site
volume reduction system, were identified for high salt concentrations present in
synthetic urine. The results showed that the water penetration was affected
neither by the salts, urea or creatinine present in the synthetic urine nor by
the salts accumulated on the surface of the vertical gauze sheet. However, the
saturated vapour pressure decreased, leading to reduction in the evaporation
rate, which occurred as a result of the salts accumulating on the surface of the
vertical gauze sheet. Furthermore, a steady-state evaporation condition was
established, illustrating salts falling back to the tank from the vertical gauze
sheet. Accordingly, the existing design procedure was amended by incorporating
the calculation procedure for the saturated vapour pressure using Raoult's law.
Subsequently, the effective evaporation area of the vertical gauze sheet was
estimated using the amended deign procedures to assess feasibility. This
estimation showed that the arid, tropical, temperate and cold climates are
suitable for the operation of this system, which require requires a small place
at household level for 80% volume reduction of 10 L of urine per day for 12
hours' operation in the daytime.
PMID- 21882550
TI - A preliminary study on Jatropha curcas as coagulant in wastewater treatment.
AB - Many coagulants, mainly inorganic, are widely used in conventional water and
wastewater treatment. Recent studies reported the occurrence of some chronic
diseases associated with residual coagulant in treated wastewater. The use of
alternative coagulants which are biodegradable and environmentally friendly could
alleviate the problem associated with these diseases. This work investigates the
capability of Jatropha curcas seed and presscake (the residue left after oil
extraction) to reduce the turbidity of wastewater through coagulation. The
coagulant was prepared by dissolving Jatropha curcas seed and presscake powder
into solution. Then jar tests were conducted on kaolin solution as the model
wastewater. The Jatropha seed was found to be an effective coagulant with more
than 96% of turbidity removal at pH 1-3 and pH 11-12. The highest turbidity
removal was recorded at pH 3 using a dosage of 120 mg/L. The flocs formed using
Jatropha were observed to be bigger and to sediment faster when compared with
flocs formed using alum. The turbidity removal was high (>98%) at all turbidities
(100 NTU to 8000 NTU), suggesting its suitability for a wide range of industrial
wastewater. The performance of Jatropha presscake after extraction of oil was
also comparable to the fresh seed and alum at highly acidic and highly alkaline
conditions. The addition of Jatropha did not significantly affect the pH of the
kaolin samples after treatment and the sludge volume produced was less in
comparison to alum. These results strongly support the use of Jatropha curcas
seed and presscake as a potential coagulant agent.
PMID- 21882551
TI - Ecological risk assessment of heavy metals from the surficial sediments of a
shallow coastal lagoon, Egypt.
AB - Sediment quality of Lake Maryout (one of the four Nile Delta shallow brackish
water lakes on the south-eastern coast of the Mediterranean Sea) is of concern as
this lake is used for land reclamation and aquaculture and is an important
fishing source. The magnitude and ecological relevance of metal pollution in Lake
Maryout Main Basin was investigated by applying different sediment quality
assessment approaches. The aim of this study was to estimate ecological risk of
trace elements (Cd, Ni, Pb, Cr, Cu and Zn) in the surficial sediments (<63 jtm
fraction) of Lake Maryout. Heavily contaminated sediments were evaluated by the
Sediment Quality Guideline (SQG) of the US Environmental Protection Agency. The
degree of contamination (Cd) was estimated as very high for each site. Two sets
of SQGs effect range-low/effect range-median values and threshold effect
concentration (TEC) and probable effect concentration (PEC) values were used in
this study. Sediments from each site were judged toxic when more of the PEC
values exceeded EPA guidelines. Based on the geoaccumulation index (Ieo) of
target trace elements, the Main Basin of Lake Maryout has to be considered as
extremely polluted with Cd (Igeo > or =5), strongly polluted with Zn (2 < or =
Igeo < or =3), moderately polluted with Cu (1 < or = Igeo < or = 2), unpolluted
to moderately polluted with Cr and Pb (0 < or = Igeo < or = 1 for each) and
unpolluted with Ni (Igeo < or = 0). Lake Maryout sediments had heavy
accumulations of Cd, which apparently come from drains that include industrial
and raw domestic wastes. Therefore, a sequential extraction technique was applied
to assess the five fractions (exchangeable, metals bound to carbonate, acid
reducible, oxidizable-organic and residual) of Cd in surface sediments. The Cd
concentration in most sampling stations was dominated by the non-resistant
fraction (anthropogenic). The result showed that those stations located in the
vicinity of municipal and mixed waste drains posed a high potential risk to fauna
and flora of Maryout Lake.
PMID- 21882552
TI - Removal of phosphorus from water using active barriers: Al2O3 immobilized on to
polyolefins.
AB - Phosphorus is known to contribute to eutrophication of fresh water systems, as
generally it is the limiting nutrient controlling algae growth. Laboratory
studies were conducted to develop and test active barriers composed of aluminium
oxide immobilized on to polyolefins to remove phosphorus from water. For this
purpose, flat plates of polyethylene and polyethylene grafted with maleic
anhydride were prepared and tested. The adsorption mechanism of phosphorus on to
aluminium oxide was described by the Freundlich isotherm. The optimum pH interval
for phosphorus removal was between 5.2 and 7.8, which includes the pH of natural
waters. The maximum phosphorus removal capacity was around 11.1 microg/cm2 for
both active barriers. Both barriers removed more than 90% of phosphorus from a
100 mirog/L solution in a static batch experiment carried out for 90 d. The in
situ implementation of the active barriers developed in the present study might
be a valuable strategy to sequester phosphate and thus to control eutrophication
in natural ecosystems, though further work is required to evaluate possible
interferences coming from other substances present in the water.
PMID- 21882553
TI - Distribution of the concentration of heavy metals associated with the sediment
particles accumulated on road surfaces.
AB - The heavy metal pollution caused by road run-off water constitutes a problem in
urban areas. The metallic load associated with road sediment must be determined
in order to study its impact in drainage systems and receiving waters, and to
perfect the design of prevention systems. This paper presents data regarding the
sediment collected on road surfaces in the city of Torrelavega (northern Spain)
during a period of 65 days (132 samples). Two sample types were collected: vacuum
dried samples and those swept up following vacuuming. The sediment loading (g m(
2)), particle size distribution (63-2800 microm) and heavy metal concentrations
were determined. The data showed that the concentration of heavy metals tends to
increase with the reduction in the particle diameter (exponential tendency). The
concentrations ofPb, Zn, Cu, Cr, Ni, Cd, Fe, Mn and Co in the size fraction <63
microm were 350, 630, 124, 57, 56, 38, 3231, 374 and 51 mg kg(-1), respectively
(average traffic density: 3800 vehicles day(-1)). By increasing the residence
time of the sediment, the concentration increases, whereas the ratio of the
concentration between the different size fractions decreases. The concentration
across the road diminishes when the distance between the roadway and the sampling
siteincreases; when the distance increases, the ratio between size fractions for
heavy metal concentrations increases. Finally, the main sources of heavy metals
are the particles detached by braking (brake pads) and tyre wear (rubber), and
are associated with particle sizes <125 microm.
PMID- 21882554
TI - Effects of nitrate reduction on the eutrophication of an urban man-made lake
(Palacio de Cristal, Porto, Portugal).
AB - The monitoring of phytoplankton is an important component of water quality
assessment in artificial and natural lakes. The evolution of eutrophication in an
urban man-made lake (Palacio de Cristal, Porto, Portugal) was followed after
remediation measures were applied. Nutrients as well as the phytoplankton
community were monitored and the results compared with those of a previous study.
Sampling was done in four sites of the lake so as to assess the efficiency of NO3
removal by the phytoplankton. The data of this study showed a reduction in NO3
levels in the underground source water, which caused a reduction in the
phytoplankton abundance. The nitrate removal by the phytoplankton in the lake was
on average 51% and was much lower compared with previous studies of the same
lake. The dominant cyanobacteria species were Pseudanabaena spp., probably due to
the low water residence time. Monitoring of potentially toxic cyanobacteria, by
molecular methods based on the use of toxin gene primers, will be an efficient
early warning method. This will allow the application of corrective management
measures before an intense toxic bloom occurs.
PMID- 21882555
TI - Study of the scaling formation mechanism in recycling water.
AB - To better understand the phenomenon of scaling of water that occurs in the
cooling systems of nuclear power plants and thus to propose effective measures
against scaling, the analysis of the scaling capacity of Salvetat mineral water
and Seine river water was carried out in this study by the method of rapid
controlled precipitation (RCP), which was used to estimate the scaling power of
natural waters and to characterize the scaling formation mechanisms. The results
showed that RCP allowed the establishment of thermodynamic conditions where the
scaling was formed with a degree of oversaturation that much less than 40 in
relation to a real-life scaling phenomenon. Some factors, such as the water
composition, temperature, and initial presence or absence of calcium carbonate
(CaCO3) nucleus, promoted or inhibited the precipitation of (CaCO3). In the water
with a higher scaling potential, deposition on a copper surface did not occur or
remained negligible because of the electrochemical reactions between the copper
ions and water. The electrostatic treatment was quite good for delaying
precipitation because it could affect the water's composition in an infinitesimal
way.
PMID- 21882556
TI - Advanced oxidation processes for treatment of effluents from a detergent
industry.
AB - Ozonation, catalytic ozonation, Fenton's and heterogeneous Fenton-like processes
were investigated as possible pretreatments of a low biodegradable and highly
toxic wastewater produced by a detergent industry. The presence of a Mn-Ce-O
catalyst in ozonation enhances the biodegradability and improves the degradation
at low pH values. However, a high content of carbonyl compounds adsorbed on the
recovered solid indicates some limitations for real-scale application. A
commercial Fe2O3-MnOx catalyst shows higher activity as well as higher stability
concerning carbon adsorption, but the leaching of metals is larger than for Mn-Ce
O. Regarding the heterogeneous Fenton-like route with an Fe-Ce-O catalyst, even
though a high activity and stability are attained, the intermediates are less
biodegradable than the original compounds, indicating that the resulting effluent
cannot be conducted to an activated sludge post-treatment. The highest
enhancement of effluent biodegradability is obtained with the classic homogeneous
Fenton's process, with the BOD5/COD ratio increasing from 0.32 to 0.80. This
process was scaled up and the treated effluent is now safely directed to a
municipal wastewater treatment plant.
PMID- 21882557
TI - Biodegradation of polyether-polyol-based polyurethane elastomeric films:
influence of partial replacement of polyether polyol by biopolymers of renewable
origin.
AB - In this work we investigated the degradation process ofpolyether-polyol-based
polyurethane (PUR) elastomeric films in the presence of a mixed thermophilic
culture as a model of a natural bacterial consortium. The presence of PUR
material in cultivation medium resulted in delayed but intensive growth of the
bacterial culture. The unusually long lag phase was caused by the release of
unreacted polyether polyol and tin catalyst from the material. The lag phase was
significantly shortened and the biodegradability of PUR materials was enhanced by
partial replacement (10%) of polyether polyol with biopolymers (carboxymethyl
cellulose, hydroxyethyl cellulose, acetyl cellulose and actylated starch). The
process of material degradation consisted of two steps. First, the materials were
mechanically disrupted and, second, the bacterial culture was able to utilize
abiotic degradation products, which resulted in supported bacterial growth.
Direct utilization of PUR by the bacterial culture was observed as well, but the
bacterial culture contributed only slightly to the total mass losses. The only
exception was PUR material modified by acetyl cellulose. In this case, direct
biodegradation represented the major mechanism of material decomposition.
Moreover, PUR material modified by acetyl cellulose did not tend to undergo
abiotic degradation. In conclusion, the modification of PUR by proper biopolymers
is a promising strategy for reducing potential negative effects of waste PUR
materials on the environment and enhancing their biodegradability.
PMID- 21882558
TI - Chemical techniques for pretreating and regenerating active slag filters for
improved phosphorus removal.
AB - Active slag filters are an emerging technology for removing phosphorus (P) from
wastewaters. Recent research revealed that adsorption onto Fe
oxides/oxyhydroxides at near-neutral pH and oxidizing Eh is the key mechanism of
P removal by melter slag filters. Currently, filter lifespan is limited by
available adsorption sites. This study examined whether the performance and
longevity of active filters could be improved via chemical treatment to create
additional reactive sites as well as regenerate exhausted ones. Fresh original
melter slag as well as slag from an exhausted full-scale filter was tested.
Chemical reagents that could manipulate the pH/Eh of the slag granule surfaces
and potentially activate them for further P removal were used, namely
hydrochloric acid (HCI), sodium hydroxide (NaOH) and sodium dithionite (Na2S2O4).
Waste stabilization pond effluent was then applied to the treated slag to assess
the effectiveness of the treatments at improving P removal. Fresh slag treated
with Na2S204 and HCl, respectively, retained 1.9 and 1.4 times more P from the
effluent than the untreated fresh slag. These reagents were even more effective
at regenerating the exhausted slag, increasing total retained P by a factor of 13
and six, respectively, compared with untreated slag. Sodium hydroxide was
ineffective at increasing P removal. The higher P retention by the 'treated
exhausted slag' compared with the 'treated fresh media' indicates that adsorption
sites on melter slag filters become increasingly reactive with time. This
research is the first study to provide evidence that P retention by active slag
filters can be increased by both (1) chemical pre treatment and (2) chemical post
treatment once their P removal is exhausted, thereby potentially transforming
them from a single use system to a more viable, reusable treatment technology.
PMID- 21882559
TI - UV and VUV photolysis vs. UV/H2O2 and VUV/H2O2, treatment for removal of
clofibric acid from aqueous solution.
AB - Clofibric acid (CA), a metabolite of lipid regulators, was investigated in ultra
pure water and sewage treatment plant (STP) effluent at 10 degrees C under UV,
vacuum UV (VUV), UV/H2O2 and VUV/H2O2 processes. The influences of NO3-, HCO3-
and humic acid (HA) on CA photolysis in all processes were examined. The results
showed that all the experimental data well fitted the pseudo-first-order kinetic
model, and the apparent rate constant (k(ap)) and half-life time (t(1/2)) were
calculated accordingly. Direct photolysis of CA through UV irradiation was the
main process, compared with the indirect oxidation of CA due to the slight
generation of hydroxyl radicals dissociated from water molecules under UV
irradiation below 200 nm monochromatic wavelength emission. In contrast, indirect
oxidation was the main CA degradation mechanism in UV/H2O2 and VUV/H2O2, and
VUV/H2O2 was the most effective process for CA degradation. The addition of 20 mg
L(-1) HA could significantly inhibit CA degradation, whereas, except for UV
irradiation, the inhibitive effects of NO3- and HCO3- (1.0 x 10(-3) and 0.1 mol
L(-1), respectively) on CA degradation were observed in all processes, and their
adverse effects were more significant in UV/H2O2 and VUV/H2O2 processes,
particularly at the high NO3- and HCO3- concentrations. The degradation rate
decreased 1.8-4.9-fold when these processes were applied to a real STP effluent
owing to the presence of complex constituents. Of the four processes, VUV/H2O2
was the most effective, and the CA removal efficiency reached over 99% after 40
min in contrast to 80 min in both the UV/H2O2 and VUV processes and 240 min in
the UV process.
PMID- 21882560
TI - Use of Raphanus sativus L. press cake, a solid residue from biodiesel processing,
in the production of adsorbents by microwave activation.
AB - The objective of this study was to evaluate the performance of an adsorbent,
based on a solid residue arising from biodiesel production, for the removal of
cationic dyes from wastewaters. The adsorbent was produced by microwave thermal
activation. The Freundlich model provided the best fit for equilibrium data,
indicating heterogeneous adsorption. The adsorption capacity increased in
comparison to the adsorbent obtained by thermal processing of the same residue in
a conventional oven, showing that microwave processing is an attractive
alternative for adsorbent production, given the significant reduction in
processing time (decreased from 60 min to 3 min). Both the removal efficiency and
the removal capacity decreased with an increase in temperature, pointing towards
the exothermic nature of the removal process.
PMID- 21882561
TI - Aerobic pretreatment of olive oil mill wastewater using Ralstonia eutropha.
AB - Olive oil mill wastewater (OMW) has a high polluting power, with total phenolics
(TP) around 2.5 g l(-1) and chemical oxygen demand (COD) 85 g l(-1). Biological
systems offer advantages in treating this type of agro-industrial wastewater. The
performance of phenol-adapted Ralstonia eutropha for aerobic biotreatment of OMW
has been studied, and a TP concentration of 250 mg l(-1) found to be fully
degraded within 24 h. This simple procedure may be adopted as a pretreatment
prior to the normal aerobic or anaerobic techniques used for treating OMW. The
biodegradative capability of this non-pathogenic gram-negative bacterium towards
the TP and COD content of OMW has been evaluated. The adapted free cells were
found able to decrease TP and COD in the undiluted OMW by 56% and 42%,
respectively. The Monod equation was found suitable to describe the capacity of
the cells for growing on undiluted OMW, giving micromax 0.083 per day and Ks =
1846 mg l(-1). Using a packed-bed reactor the performance of loofa-immobilized R.
eutropha was assessed and the reduction in TP and COD shown to be 73% and 64%,
respectively.
PMID- 21882562
TI - Comparison of two online flocculation monitoring techniques for predicting
turbidity removal by granular media filtration.
AB - Particulate matter removal in drinking water treatment via direct granular
filtration requires specific flocculation conditions (a process typically termed
'high energy flocculation'). Predicting filtered water turbidity based on
flocculated water characteristics remains difficult. This study has sought to
establish a relationship between filtered water turbidity and the flocculated
water characteristics. Flocculation oflow-turbidity raw water was evaluated
online using a Photometric Dispersion Analyser (PDA) and a Dynamic Particle
Analyser in a modified jar test followed by a bench-scale anthracite filter.
Coagulants used were alum, PASS100 and ferric sulphate, in addition to a
polydiallyldimethylammonium chloride (polyDADMAC) cationic polymer. They were
dosed in warm and cold waters, and flocculated with intensities (G) from 0 to 100
s(-1). Of the two instruments selected to analyse flocculation performance, the
Dynamic Particle Analyser was shown to be the most sensitive, detecting small
changes in floc growth kinetics and even floc growth under low flocculation
conditions which remained undetected by the PDA. Floc size was shown to be
insufficient in predicting particulate matter removal by direct granular
filtration as measured by turbidity, although a threshold d(v) value (50 microm)
could be identified for the test conditions evaluated in this project, above
which turbidity was systematically lower than 0.2 NTU.
PMID- 21882563
TI - Abiotic and biotic dynamics during the initial stages of high solids switchgrass
degradation.
AB - An understanding of the underlying dynamics of how biotic variables drive changes
in abiotic parameters in the early stages of biomass biodegradation is essential
for better control of the process. Probe hybridization was used to quantitatively
study the growth of bacteria, yeast and fungi for three levels of initial
moisture content (60, 65 and 75% MC) over a period of 64 h. Changes in abiotic
parameters were also documented. By 64 h, samples were significantly
differentiated both in temporal and spatial dimension, proving that considerable
changes had occurred in these initial stages. Maximum carbon (C) conversion
occurred in the 75% MC reactor at a peak value of 49%, with 40% and 37% in the 65
and 60% MC reactors, respectively. Higher temperature, higher pH, higher rates of
O2 consumption and CO2 evolution were also observed in the highest moisture
reactor; suggesting that of the three MCs studied, 75% MC was the optimal one for
the process. MC during the process also proved to be important because it greatly
influenced variation in the spatial dimension, further underscoring the
importance of characterizing changes with bed height. Most importantly, we were
able to positively correlate the rate of substrate degradation with bacterial
biomass levels and highlight the critical role of bacteria in biological
decomposition.
PMID- 21882564
TI - Sequencing batch biofilm reactor: from support design to reactor operation.
AB - The aim of this work was to improve the overall understanding of sequencing batch
biofilm reactors (SBBRs) from support selection (biofilm formation) to reactor
operation (carbon and nitrogen removal). Supports manufactured with different
materials and geometries were tested in 2.5 L SBBRs and it was observed that
biofilm accumulation was favoured on the supports that presented a higher
internal surface area. The geometry of the supports and the hydrodynamic
conditions established in the SBBRs seemed to play a more important role in
biofilm formation than the thermodynamic interaction, expressed as free energy of
adhesion (deltaG), between the support material and the biomass. The support that
presented the highest biofilm accumulation per unit of surface area (DupUM) was
used in a 28 L SBBR and it was observed that, along a typical SBBR cycle, time
profiles of nitrogen compounds showed the typical behaviour of nitrification and
denitrification reactions. During the fill phase (without aeration) acetate was
simultaneously consumed in biomass growth and denitrification. Immediately after
the beginning of the aeration phase (without influent addition), acetate was
depleted from the liquid phase and stored as poly-beta-hydroxybutyrate that was
later on used in the growth of biomass, owing to the high oxygen concentration in
the reactor.
PMID- 21882565
TI - Annual and nycthemeral studies of the survival and circulation of indicator
bacteria in a schist aquifer.
AB - Escherichia coli and Enterococci are widely used as indicators of faecal
contamination of groundwater while total coliforms, which are of environmental
but also of faecal origin, are indicators of the overall quality of the water.
The survival of bacteria in groundwater is dependent on many factors including
temperature, competition with indigenous bacteria and entrapment in aquifer
material. Previous studies showed two sources of faecal contamination of a schist
aquifer: infiltration into the ground from nearby septic tank effluents and
seepage of landfill leachate. Water samples for bacterial analysis were collected
from a piezometer on a monthly basis (15 months) and every six hours over two non
consecutive days. The intermittent sampling showed relatively stable
concentrations of bacteria over time after the removal of stagnant water.
Therefore, a continuous bacterial contamination without significant daily
variation exists. The ratio of E. coli densities to total coliforms densities
(EC/TC) allowed differentiation between the sources of faecal pollution in
groundwater by comparing the populations of faecal bacteria with those of
environmental bacteria. Enumeration indicated that the densities of bacteria were
much higher in this schist aquifer than those in alluvial aquifers contaminated
by a septic tank reported in the literature.
PMID- 21882566
TI - Characterization of atmospheric deposition and runoff water in a small suburban
catchment.
AB - A study has been carried out as part of the mission assigned to IRSTV (Research
Institute of Urban Sciences and Techniques), a federative research network
supported by the Loire Valley Region, with the objective of characterizing
atmospheric deposition, roof runoff and street runoff in a small (31 ha) suburban
catchment in Nantes equipped with a separate sewer system. Trace metals,
polycyclic aromatic hydrocarbons (PAHs) and pesticides were investigated. The
characterization of atmospheric deposition reveals a high variability of trace
metal concentrations, which could not be explained by rainfall characteristics.
The relative abundance order of the metals was as follows: Zn > Cu > Cr
approximately Ni > Cd. Organic pollutants, i.e., PAHs and pesticides, were only
rarely detected in the atmospheric deposition. Zn and Pb appear to be the major
contaminants in runoff water, whereas the concentrations of Ni, Cu, Cr, Cd, PAHs
and pesticides tend to remain low. On the whole, concentrations were similar to
the lowest range reported in the literature. According to statutory thresholds,
runoff water quality is poor because of the high Pb and Zn concentrations. Based
on scanning electron microscopy observations, atmospheric particles do not
apparently differ from runoff particles, with a predominance of pollen, bacteria
and particles resulting from soil erosion. Spherical organic particles produced
during fuel combustion have also been observed.
PMID- 21882567
TI - Pollution profile and biodegradation characteristics of fur-suede processing
effluents.
AB - This study investigated the effect of stream segregation on the biodegradation
characteristics of wastewaters generated by fur-suede processing. It was
conducted on a plant located in an organized industrial district in Turkey. A
detailed in-plant analysis of the process profile and the resulting pollution
profile in terms of significant parameters indicated the characteristics of a
strong wastewater with a maximum total COD of 4285 mg L(-1), despite the
excessive wastewater generation of 205 m3 (ton skin)(-1). Respirometric analysis
by model calibration yielded slow biodegradation kinetics and showed that around
50% of the particulate organics were utilized at a rate similar to that of
endogenous respiration. A similar analysis on the segregated wastewater streams
suggested that biodegradation of the plant effluent is controlled largely by the
initial washing/pickling operations. The effect of other effluent streams was not
significant due to their relatively low contribution to the overall organic load.
The respirometric tests showed that the biodegradation kinetics of the joint
treatment plant influent of the district were substantially improved and
exhibited typical levels reported for tannery wastewater, so that the inhibitory
impact was suppressed to a great extent by dilution and mixing with effluents of
the other plants. The chemical treatment step in the joint treatment plant
removed the majority of the particulate organics so that 80% of the available COD
was utilized in the oxygen uptake rate (OUR) test, a ratio quite compatible with
the biodegradable COD fractions of tannery wastewater. Consequently, process
kinetics and especially the hydrolysis rate appeared to be significantly
improved.
PMID- 21882568
TI - Contamination and chemical fractionation of heavy metals in street dust from the
metropolitan area of Monterrey, Mexico.
AB - The prevalence of heavy metal pollution and mobility of both Pb and Cd was
investigated in street dust samples from the Metropolitan Area of Monterrey (MAM)
in northern Mexico. Street dust samples from 30 selected sites were analysed for
their content of Zn, Cd, Pb, Cr and Ni after digestion according to U.S. EPA
Method 3051. Multivariate analysis including correlation coefficient analysis,
Principal Component Analysis and Cluster Analysis was used to analyse the data
and identify possible sources of these heavy metals. Compared with background
values, elevated concentrations of Pb (300 mg kg(-1)), Cd (7.6 mg kg(-1)) and Cr
(78 mg kg(-1)) were observed in street dust of MAM. Based on multivariate
statistical approaches, the studied elements were classified in three main
sources: (1) Cr, Ni and Zn mainly derived from industrial activities; (2) Cd
originating from traffic-related activities; and (3) Pb associated with vehicular
emissions. A sequential extraction procedure using the Tessier method was applied
to evaluate the mobility of Pb and Cd in street dust. The majority of Pb was
associated with the residual fraction followed by the carbonate fraction. The
majority of Cd was associated with the residual fraction. These results indicated
that the mobility was higher in Pb (26%) compared with Cd (11%), posing a
potential risk to the environment.
PMID- 21882569
TI - Intelligent failure leads to personal and professional growth.
PMID- 21882570
TI - Politics: a natural next step for nurses.
PMID- 21882572
TI - IOM future of nursing report recommendations are in: now what? Implications for
nursing.
PMID- 21882571
TI - POLST is coming to New Jersey.
PMID- 21882573
TI - Mandated aggression management training.
PMID- 21882574
TI - Medication error: could this be a crime?
PMID- 21882575
TI - Indigenous health: taking the next step.
PMID- 21882576
TI - What do you say when the end of life is close? A nurse's voice.
PMID- 21882577
TI - Enhancing nursing practice potential: breast free flap reconstruction following
mastectomy.
AB - BACKGROUND: Breast cancer is the most common cancer for women in both the
developed and the developing world, comprising nearly one quarter of all female
cancers. The most advanced forms of treatment, including mastectomy, may produce
a five-year survival rate of 75% or more for certain types of cancer. For women
experiencing breast cancer, breast reconstruction is often a positive option that
can enhance quality of life and plays a significant role in a woman's recovery
from breast cancer. AIM: The aim of this article is to present some different
cases of women who have undergone autologous breast reconstruction free flaps and
discuss advanced and intensive nursing care practice. IMPLICATIONS FOR PRACTICE:
Nurses need to not only be proficient in the postoperative physical care of
patients who undergo mastectomy but also demonstrate advanced skills related to
the educational needs of patients and their families and to ensure care is
delivered in a manner that is patient centred and individualised. Nurses require
advanced skills to meet the social and psychological care needs of the patient
and their family during this major life event.
PMID- 21882578
TI - Making wound care real.
PMID- 21882579
TI - The benefits of a nurse practitioner wound outreach service.
PMID- 21882580
TI - Electronic online infection prevention and control modules for health care
workers.
PMID- 21882581
TI - Copper surfaces cut hospital infection risk.
PMID- 21882582
TI - Problem or puzzle the choice is yours.
PMID- 21882583
TI - [The pros and cons of intravitreal drug injection].
PMID- 21882584
TI - [Long-term outcome of radiation therapy for exudative age-related macular
degeneration in Japan].
AB - PURPOSE: To evaluate the long-term outcome of radiation therapy in eyes with
exudative age-related macular degeneration (AMD). METHODS: Eighty eyes of 80
patients (54 men and 26 women) with exudative AMD, which underwent radiation
therapy with a photon beam of 20 Gy (2 Gy per day for 10 days) between 1998 and
2003, were retrospectively reviewed. Average age was 69 +/- 8.1 and follow-up
period was 66 months. Best-corrected visual acuity (BCVA), additional therapies
and complications were assessed. RESULTS: Mean duration till the best value of
postoperative BCVA could be reached was 10 months. The best BCVA was improved in
20 eyes (25.0%), stabilized in 56 eyes (70.0%), and deteriorated in 4 eyes
(5.0%). On the final visit visual improvement was observed in 9 (11.3%),
stabilization in 25 (31.3%), and deterioration in 46 eyes (57.5%). Additional
therapies for exudative AMD were performed in 24 eyes (30.0%). Severe subretinal
hemorrhage was observed in 9 eyes (11.3%), which resulted in severe vision loss
despite additional vitrectomy. CONCLUSIONS: Low-dose radiation therapy for
exudative AMD achieved short-term efficacy but seemed less effective in the long
term.
PMID- 21882585
TI - [Correlation between visual field index values and mean deviation values of
Humphrey field analyzer].
AB - PURPOSE: We examined the correlation between the visual field index (VFI) and
mean deviation (MD), as well as the correlation on VFI slope and MD slope.
METHODS: This retrospective study was performed on 105 eyes of 60 patients. All
eyes underwent examination by the Humphrey visual field analyzer. The correlation
of the (i) VFI and MD, (ii) VFI slope and MD slope were analyzed in all eyes and
the eyes were classified by visual field patterns (paracentral, peripheral, mixed
and normal defect type). (iii) The agreement degree between the VFI slope and MD
slope was examined. A generalized-estimating-equation(GEE) model and kappa
statistic were used for analysis. RESULT: A significant correlation between MD
and VFI was shown in all eyes, including eyes classified of visual field defect.
The correlation between the VFI slope and MD slope was significant in all eyes.
However, these correlations were not evident in paracentral type of defect but in
the other types. K statistic evaluated a moderate agreement between the VFI slope
and MD slope. CONCLUSION: A significant correlation was shown between the VFI and
MD, VFI slope and MD slope in all eyes. However, no correlation between the VFI
slope and MD slope existed in the paracentral area. It seems that the evaluation
of progression of visual field defect in the central area needs to be considered
carefully.
PMID- 21882586
TI - [Eyelid surgery for refractory filamentary keratitis].
AB - PURPOSE: Cases of filamentary keratitis that are unresponsive to conventional
medical treatment methods are often encountered in the clinical setting. Here we
report on cases of filamentary keratitis that showed no recurrence for long
periods after performing eyelid surgery. SUBJECTS AND METHODS: Enrolled were 17
eyes of 13 patients with filamentary keratitis who had eyelid surgery (4 men and
9 women ranging in age from 54-89 years; mean age: 73.6 years). Ptosis operations
were performed with blepharoptosis (14 eyes of 11 patients) and entropion
operations were performed on cases with filamentary keratitis but without
entropion (3 eyes of 2 patients). RESULTS: Following blepharoptosis, the
filamentary keratitis disappeared in all cases except in one which experienced a
recurrence of filamentary keratitis probably due to the recurrence of ptosis.
However, the filamentary keratitis in that case also disappeared following
reoperation for the blepharoptosis. After entropion surgery, the keratitis
disappeared in all cases with eye drops. CONCLUSION: The satisfactory, long-term
postoperative results obtained in this study suggest that eyelid surgery is an
effective treatment for filamentary keratitis unresponsive to conventional
medical treatment methods.
PMID- 21882587
TI - [A case report of Kimura's disease causing visual dysfunction with remarkable
eyelid swelling].
AB - PURPOSE: We report a case of Kimura's disease involving the eyelids, presenting
with bilateral eyelid swelling so severe that the eyelids could not be opened.
CASE: A 37-year-old man presented with eyelid swelling and was unable to open
both eyelids. He noted swelling and mass of bilateral eyelids since a year
previously, and the masses enlarged gradually impairing eye-opening. Hard soft
elastic tumors were palpated in both eyelids. Blood examination revealed marked
peripheral blood eosinophilia and an increased serum IgE level. On T 1-weighted
MR images, the tumors were observed as isointense masses compared to the
extraocular muscles. On T 2-weighted images, the tumors showed hypointense
signals while some parts were hyperintense compared to the extraocular muscles.
On Gd-enhanced T 1-weighted images, the tumors demonstrated marked enhancement.
The tumors in both eyelids were extirpated en bloc. Histopathology of the tumors
demonstrated many lymphoid follicles and infiltration of large numbers of
eosinophils and lymphocytes. Eosinophilic abscess and proliferation of blood
capillaries were observed between the lymphoid follicles. These findings led to a
diagnosis of Kimura's disease. PCR revealed clonal rearrangement of the T cell
receptor gene. CONCLUSION: Kimura's disease may cause remarkable eyelid swelling
and lead to visual dysfunction. Since recurrence of Kimura's disease is known to
be associated with clonal rearrangement of T cell receptor genes, similar cases
should be followed carefully for recurrence.
PMID- 21882588
TI - [Six cases of sterile endophthalmitis developed consecutively after intravitreal
injection of bevacizumab].
AB - PURPOSE: To report a series of 6 cases of sterile endophthalmitis that developed
consecutively after an intravitreal injection of bevacizumab (IVB). PATIENTS AND
METHODS: On April 13 and 14, 2009, we performed IVB for consecutive 12 eyes of 12
patients in a sterile condition. Within two days after injection, blurred vision
without ocular pain, anterior chamber inflammation, and vitreous opacity were
developed in 6 of the eyes of 6 patients. Hypopyon was not observed in any
patient. Anterior chamber fluid from each eye and all syringes of bevacizumab
that have been separated from the same bottle of same lot number were sent for
bacterial culture examination. RESULTS: No bacterial colony was developed from
the anterior chamber fluid of any of the patients nor from any of the syringes.
Antibiotic treatment of all 6 patients was unsuccessful. The symptoms improved in
all cases following treatment with topical corticosteroid within several days.
CONCLUSIONS: Both the clinical course and the results of bacterial culture, lead
to the possibility that our patients developed sterile endophthalmitis rather
than infectious endophthalmitis. The exact causes of the endophthalmitis were not
identified in our cases, but similar events are reported by several institutions,
endophthalmitis following IVB needs to be considered a possibility.
PMID- 21882589
TI - [A case of button battery-induced corneal and conjunctive burn injury and
experimental findings of local damage].
AB - PURPOSE: To report a case of button battery-induced alkaline burn injury of the
cornea and conjunctiva, with experimental findings of local damage. CASE: A three
year-old girl had a hard and polished white opacity on the nasal lower cornea and
conjunctival injection, caused by a button battery remaining in the conjunctival
sac for several hours. The ocular surface was washed carefully with distilled
water. The opacity improved gradually over several months and scarring was
replaced by secondary pterygium. EXPERIMENT: A button battery was placed on an
eyeball of a pig, with the cathode directed toward the cornea. Corneal opacity
developed in five minutes and increased thereafter. Another battery was sanded
with saline-soaked gauze and the gauze near the cathode turned dark brown. This
change intensified when the gauze was in contact with the side of the battery.
CONCLUSIONS: The cornea and conjunctiva of the patient were damaged by continuous
exposure to alkaline solution (concentrated NaOH) after the button battery had
entered the lacrimal sac causing fixation by chemosis. The recent development of
smaller batteries increases the risk of similar accidents. Button battery-induced
burn injuries may be severe and require immediate correct diagnosis and
treatment, especially in small children who may be difficult to examine.
PMID- 21882590
TI - The influence of hair bleach on the ultrastructure of human hair with special
reference to hair damage.
AB - The influence of human hair bleaching agents with different bleaching strength on
the ultrastructure of human hair was studied using a transmission electron
microscope (TEM) and an energy dispersive X-ray spectrometer equipped with TEM
(EDS-TEM). Two kinds of bleaching agents were used: a lightener agent with a weak
bleaching effect and a powder-bleach with a stronger bleaching effect. From the
comparison of the bleaching properties obtained by the electronic staining of
black and white hair samples, it was suggested that the permeability of hair was
increased by bleaching, and there was an increase of the stainability of hair
subjected to electronic staining. The bleaching action provoked the decomposition
of melanin granules and the flow out of granular contents into the
intermacrofibrillar matrix. Some metal elements were detected in the melanin
granular matrix by EDS-TEM. As a result, the diffusion of metal elements into the
intermacrofibrillar matrix promoted further damage to the hair by catalytic
action with the hydrogen peroxide in the bleaching agents outside the melanin
granules. Further study will lead us to the edge of the development of a new
bleaching agent, which reacts only with melanin granules and causes the minimum
of damage to outside the melanin granules.
PMID- 21882591
TI - EFTEM cytochemistry and sexual dimorphism of secretory granules in male and
female hamster submandibular glands.
AB - After glutaraldehyde fixation followed by osmium tetroxide postfixing, the
secretory granules of acinar cells in male hamster submandibular glands (SGs)
exhibit a characteristic bipartite substructure, with an electron-lucid rim and a
more electron-dense central core. In female hamsters, the reverse is seen, with
the larger portion of the granules forming an electron-lucid core and an outer
electron-dense crescent rim. In the present study of endogenous peroxidase (PO)
activity of male and female hamster SGs, secretory granules in the acinar cells
were studied by DAB cytochemical technique. Individual granules showed bipartite
substructure with the PO activity in a positive center core and unreacted lucid
rim in both the male and the female acinar cells. Through isolation of granular
fractions, the male and the female granules exhibited the same bipartite
structure. We also examined the relation between the PO activity and
counterstained areas in male and female hamster SGs, and the secretory granules
of acinar cells by using EFTEM. In the male SG, the secretory granules exhibited
the characteristic bipartite substructure to carry out parallel-EELS, nitrogen
reflecting the presence of DAB moieties and uranium from counterstaing the
presence the central core but not in the rim. On the other hand, the female
bipartite secretory granules of the SG, exhibit the nitrogen reflecting the
presence in the central core and uranium in the rim.
PMID- 21882592
TI - Scanning electron microscopic study of the tongue in the rainbow lorikeet
(Trichoglossus haematodus).
AB - The dorsal lingual surfaces of rainbow lorikeet (Trichoglossus haematodus) were
examined by scanning electron microscopy. Macroscopically, the tongue of the
rainbow lorikeet has a finger-like shape. Three parts are distinguished in the
dorsal surface of the tongue: the apex, body, and root of the tongue. The apex of
the tongue has numerous processes inclined toward medial side from lateral side.
These processes are rod-like structure and smooth surfaces. Many grooves are
observed in both lateral sides of the lingual body. A large opening of the
lingual gland exists in central part of the lingual root and some large openings
of the lingual glands exist in both lateral sides of the lingual root.
PMID- 21882593
TI - Morphology of the lingual papillae in the sitatunga.
AB - We examined the dorsal lingual surfaces of an adult sitatunga (Tragelaphus
spekei) by scanning electron microscopy. Filiform, fungiform and vallate papillae
were observed. The filiform papillae consisted of a larger main papilla and
smaller secondary papillae. The filiform papilla contained connective tissue core
consisting of several processes. The fungiform papillae were round in shape. The
connective tissue core of the fungiform papilla was flower-bud shaped. Lenticular
papillae were limited on the torus lingua. The connective tissue core of the
lenticular papilla consisted of numerous small spines, or these spines and rod
shaped processes. The vallate papillae were flattened-oval shaped and the
papillae were surrounded by a circular trench. The connective tissue core of the
vallate papilla was covered with numerous small spines. These findings indicate
that the tongue of the sitatunga is similar to that of the blackbuck and Barbary
sheep.
PMID- 21882594
TI - Relationship between light and dark period activity cycles and oral condition in
senescence-accelerated mice.
AB - We investigated the effect of tooth absence and masticatory abnormalities due to
powdered food feeding starting during the juvenile period on light and dark
period activity cycles in senescence-accelerated mice (SAMP1). SAMP1 were divided
into 5 groups: Group 1, maxillo-mandibular molar tooth extraction; Group 2,
maxillary molar tooth extraction; Group 3, mandibular molar tooth extraction;
Group 4, powdered food; and Group 5, sham-operated control. Senescence was
observed earliest in the powdered food group. Total 24-hour activity was higher
in the control group than in the four other groups. In the powdered food group,
the dark period activity decreased to less than 60% of the total activity in the
36th week. In the tooth extraction groups (Groups 1-3), dark period activity
decreased to less than 60% of the total activity in the 40th week. The control
group dark period activity remained above 60% for the entire experimental period.
Thus, the distinction between the light and dark periods disappeared earlier in
the four experimental groups compared with the control group. Significant
correlations were noted among total activity, degree of senescence, and percent
dark period activity in each experimental group. Functional masticatory
insufficiency promoted dementia and behavioral abnormalities in SAMP1.
PMID- 21882595
TI - Double superior vena cava and anomaly of cardiovascular system with a review of
the literature.
AB - In a student course of gross anatomy dissection at Kanagawa Dental College in
2008, we found an extremely rare case of the double superior vena cava that has a
shunt between the right and left atria of a 81-year-old Japanese male cadaver.
The left superior vena cava passed through the space between the left cardiac
auricle and the left pulmonary vein and entered the coronary sulcus. Then it
opened near the opening of the inferior vena cava as the coronary venous sinus to
the right atrium. The upper edge of the interatrial septum was located at the
site where the right superior vena cava opened to the right atrium. Accordingly,
the right atrium connected with left atrium through this site. We discuss the
anatomy and etiology of these anomalous structures with a brief review of the
literature.
PMID- 21882596
TI - [Current concepts of prognostic factors for colorectal cancer].
PMID- 21882597
TI - [Matrix metalloproteinases: features, role in leukogenesis and prognostic
significance].
PMID- 21882598
TI - [Molecular markers of lymphatic and blood vessels, tumorigenesis and targets for
blocking metastatic spreading].
PMID- 21882599
TI - [Assay of methylated gene RARbeta2 in circulating DNA of blood from patients with
lung cancer as a potential prognostic marker].
AB - Blood-based methylated DNA gene RARbeta2 in circulating plasma (cir DNA) and one
associated with blood cell surface were assayed in patients with non small cell
lung cancer before and after combined treatment. The levels in both appeared to
be significantly higher than in healthy subjects. Enhanced levels prior to
treatment were associated with greater advancement of the disease and unfavorable
prognosis (overall survival). After two courses of neoadjuvant therapy plus
surgery methylation indices fell down to match those in healthy subjects. Our
data may be instrumental in working out additional criteria to be used in
diagnosis, prognosis and follow-up of patients with non small cell lung cancer.
PMID- 21882600
TI - [Surgical and combined treatment for primary carcinoma of the trachea].
AB - Our study was conducted in patients with primary squamous cell carcinoma of the
trachea and adenoid cystic carcinoma (191) (radical surgery--90; combined
treatment--101). The former pathology was relatively more aggressive. Combined
treatment proved more effective as compared with surgery alone (5- and 10-year
survival after surgery was 79 and 64%; combined treatment--92 and 79%,
respectively).
PMID- 21882601
TI - [Influence of intraoperative sodium adenosine triphosphate infusion on leukocyte
dynamics following colonic resection in cancer patients].
AB - The paper evaluates effects of different methods of systemic intraoperative
anesthesia on the dynamics of leukocytes following colonic resection in tumor
patients. Lymphocytes levels rose on day 2 after operation in patients receiving
non-selective purine receptor agonists (sodium adenosine triphosphate) as an
intraoperative analgesic and were significantly higher than in controls treated
with conventional drugs (p> installation), 460 mHz, 41-45 deg. C, 60
min, days 8, 12, 15 and 17. Diarrhea (stage III) was reported in 3 (8.3%); no
toxicity (grade IV). Radical surgery was carried out in 35 (97.2%); sphincter
saving operation--20 (55.5%). Therapy-related pathomorphism (grade III-IV) was
detected in 15 (42.8%). Combined neoadjuvant chemoradiotherapy plus
polyradiomodification featured low toxicity and good tolerability and immediate
effect.
PMID- 21882612
TI - [Long-term follow-up of a case of thyroid medullary carcinoma spreading to lung
and liver].
PMID- 21882614
TI - [Comparison of the structure of the wall of arachnoid cysts with the clinical
course in children].
PMID- 21882613
TI - [Influence of lighting on formation of carcinogenic N-nitrocompounds].
PMID- 21882615
TI - [Surgical treatment of peripheral lung cancer in patients over 70 years of age:
lobectomy or wedge resection?].
PMID- 21882616
TI - ["Florence is dead, Agnes is dead, and we overwork as well].
PMID- 21882617
TI - [The difficult relationship of industry and science. Money versus research].
PMID- 21882618
TI - [Intercultural initiative "dignified life in aging". Orthodox Christians look
forward to their own living quarters].
PMID- 21882619
TI - [Requirements for culture sensitivity geriatric nursing. Explaining the issue as
chief priority].
PMID- 21882620
TI - [Culture sensitive geriatric nursing of immigrants with dementia. The heart does
not forget].
PMID- 21882621
TI - [Violence - (not) a topic in health care facilities, 2. Escalating spiral? -
without me!].
PMID- 21882622
TI - [Qualitative research: nursing diagnoses in general practice. Better quality -
more satisfaction].
PMID- 21882623
TI - [Klinikum am Urban organizes an interdisciplinary migration group. Multicultural
in psychiatry].
PMID- 21882624
TI - [Restrictive measures in long-term care. Which interventions reduce or prevent
them?].
PMID- 21882625
TI - [Continuous patient survey as an instrument to improve patient satisfaction: the
relevance of nurses within the process of quality judgement].
AB - The satisfaction of patients with their hospital stay as well as their
willingness to recommend the clinic to friends and relatives are important
parameters for a hospital's success. To achieve ascertained indications for
necessary improvements concerning the quality of care, HELIOS Hospital Group has
established a continuous patient survey. Similar to their medical quality
management system, HELIOS puts high emphasize on transparency and benchmarks in
order to initiate improvement processes. A critical analysis and close look
especially on bad feedbacks of patients regarding their hospital stay allows each
hospital, department or ward to monthly identify major drivers for critical
responses and suggests opportunities for improvement. In the first six months of
2009 64.741 questionaries were returned. Having analyzed them the patient survey
showed, that nurses and medical doctors had a special influence on the patient's
satisfaction and dissatisfaction with the hospital.
PMID- 21882626
TI - [Sense of connection of cultural minorities: effect on leadership styles and
acculturation pressure].
PMID- 21882627
TI - [When MDK stands outside the door... Of errors, pitfalls and facts].
PMID- 21882628
TI - [Practical nursing education. The future tolerates no delay].
PMID- 21882629
TI - [The role of nursing science and research in education - a news blog facilitates
gathering information by interested persons. "Should I now do research as
well"?].
PMID- 21882630
TI - [Demonstration regarding West German nursing shortage status 1989. "Florence is
dead, Agnes is dead, and we are overworked as well].
PMID- 21882631
TI - [Illness, accident, work disability - overview of rights and responsibilities.
Sometimes only legal action is left].
PMID- 21882632
TI - [Migration and nursing: in the family circle].
PMID- 21882633
TI - [Influence of genetic mutations on clinical presentation of subretinal
neovascularization. Report 1: The impact of CFH and IL-8 genes polymorphism].
AB - Genetic analysis was performed in patients with subretinal neovascularization
(CNV). The results showed significant association of CFH (compliment factor H)
gene polymorphism with increase (rs1061170, rs514943 and rs380390) or decrease
(rs529825, rs7524776, rs1831281, rs2274700, rs1576340, rs12144939, rs7540032) of
CNV development risk. The incidence of IL-8 gene mutation was significantly (p =
0.008) higher in patients after chorioretinitis. Apparently -125 > A polymorphism
in patients with chorioretinitis increases risk of CNV development, thus
promoting raise of proangiogenic factors concentration in eyes with inflammatory
background. The clinical presentation in patients with AMD and myopic disease
associated with (-125) A mutation of promoter region of IL-8 gene was similar to
that of patients with chorioretinitis. The features are the following: focal
pattern, no drusen and RPE detachment, predominantly classic form of CNV (without
occult pattern), formation of well-organized newly developed vessels.
PMID- 21882634
TI - [Influence of genetic mutations on clinical presentation of subretinal
neovascularization. Report 2: The impact of HTRA and VEGF genes polymorphism].
AB - A detailed analysis of influence of HTRA (serine peptidase) and VEGF (vascular
endothelial growth factor) genes mutations is presented. The presence of one gene
copy with allele of A- polymorphism rs1120638 of HTRA1 gen, T- polymorphism
rs10490924 and de11443in54 of ARMS2 gene increases the risk of CNV in patients
with AMD. The feature of clinical presentation in patients with CNV associated
with (-625) A mutation of promoter region of HTRA1 gene in two chromosomes was
fulminant course of the disease from exudative to scarring processes with fibrous
tissue formation not just with sub-, but also intra- and preretinal localization.
Genetic screening showed that combination of studied mutations (402H, (-625) A
and (-251) A in both gene copies of CFH, HTRA and IL-8) results in the most
severe and rapidly progressing form of the disease. Two new mutations were
revealed in promoter region of VEGF gene: G > A replacement in position of (-72)
nucleotide from transcription start and G > A replacement in 5'-nontranslated
region of the 1st gene exon in position of (+31) nucleotide from transcription
start.
PMID- 21882635
TI - [Normal and pathological structural features of lacrimal gland based on spatial
ultrasound digital examination].
AB - For the first time vital morphological structure of lacrimal gland was
investigated based on spatial ultrasound digital examination. Structural
characteristics of lacrimal gland are described considering anatomic topography
and blood supply. These characteristics are analyzed based on planar and
volumetric sonography depending on the patient age and pattern of changes. Their
correlation is studied. The results of lacrimal gland examination allowed us to
analyze a number of ultrasound diagnostic signs and compare them with changes in
acute dacryoadenitis, MALT-lymphoma, Sjogren disease, sarcoidosis and thyroid eye
disease. Revealed features may be useful for understanding of nature of clinical
presentation. The results of the study may help to interpret diagnostic signs and
follow up their evolution in a clinical course of a disease.
PMID- 21882636
TI - [Complex ultrasound examination children with persisting hyperplastic primary
vitreous].
AB - Complex ultrasound examination was performed in 108 children with unilateral
congenital cataract (UCC) and persisting hyperplastic primary vitreous syndrome
(PHPVS) to reveal indications and contraindications for surgery. The presence of
functioning vessels with linear blood flow velocity (LBFV) measurement was
performed in fibrovascular band and retrolenticular membrane (RLM) in different
stages of PHPVS using color Doppler and energetic mapping. The echographic
density of fibrovascular band and RLM was estimated using echodensitometry. The
results of the study showed correlation of PHPVS severity and fibrovascular band
diameter, LBFV in the vessels of the band and echographic density of the band,
RLM and their thickness as well.
PMID- 21882637
TI - [Potential of ultrasound biomicroscopy in diagnosis of ocular trauma with
intraocular metallic foreign bodies].
AB - A detailed analysis of ultrasound biomicroscopy (UBM) scanogramms of 4 patients
with a history of trauma and signs of metallosis is performed. In all cases
foreign body was found and its precise localization in relation to anterior
segment structures was identified. The following changes were revealed and
described: pathological changes of cornea, lens and its fibers, uveal tract in
silent zone, zone of retinal periphery and secondary vitreous changes due to
trauma itself and chemically active foreign body invasion and long persistence.
UBM is recommended to be the part of examination algorithm in patients with
penetrating ocular trauma and screening of latent metallosis.
PMID- 21882638
TI - [Potential of ultrasound biomicroscopy of retinal periphery in cataract of
different etiology].
AB - Presence and pattern of peripheral retinal changes were studied using ultrasound
biomicroscopy (UBM) in patients with cataract of different etiology. UBM was
performed in 85 eyes of 65 patients. Mean age was 65, 75+8, 19 years old. UBM was
performed using "Sonomed" equipment (USA). Morphology of revealed by means of UBM
peripheral retinal changes was made in 5 eyes with uveal melanoma. The signs of
retinoschisis were found in 82 eyes (96.5%) using UBM. The initial UBM signs
represent inclusions in the inner retinal layers. With the progressing of schisis
cystic splitting of retina was found. Confluence of distinct cysts into one
schisis zone was characteristic for further progressing. Thus peripheral
retinoschisis has acoustic stages, that are confirmed morphologicaly and can be
revealed by means of UBM in the majority of old patients with cataract of
different etiology.
PMID- 21882639
TI - [Digital X-ray examination in diagnosis of lacrimal pathways disorders].
AB - Digital radiological images of normal and patients with lacrimal pathways
disorders were analyzed. Potentials of digital X-ray in diagnosis of lacrimal
pathways disorders are demonstrated.
PMID- 21882640
TI - [Efficacy of valve drainage devices in secondary glaucoma in patients with
artificial iridolenticular diaphragm].
AB - Results of 5 Akhmed valve implantations in patients with secondary glaucoma
various time after artificial iridolenticular diaphragm implantation after
concomitant iris and lens trauma were analyzed. Surgery allowed to achieve
reliable IOP decrease when severe scar deformation of drainage zone of the
anterior chamber prevents traditional hipotensive procedures because of their
ineffectiveness. Besides visual acuity stabilization a safe performance of
subsequent reconstructive procedures can become possible as well.
PMID- 21882641
TI - [The value of anterior stromal puncture in the treatment of bullous keratopathy].
AB - Results of anterior stromal puncture (ASP) in treatment of painful bullous
keratopathy (PBK) are presented and its mechanism of action is hypothesized. ASP
is an effective, simple, safe and low-cost procedure for symptomatic relief in
patients with PBK.
PMID- 21882642
TI - [Comparative experimental morphological study of efficacy and safety of near
infrared and visible wave-length laser iridoplasty].
AB - The features of interaction of laser radiation with iris tissue were determined
in experimental morphological study. The potential of laser irradiation with
different spectral characteristics for pupil ectopia correction is estimated. The
results of morphometry showed effectiveness of near-infrared diode laser
radiation, that presents as an iris contraction in coagulation region with
minimal tissue trauma. This fact allows us to propose a near-infrared diode laser
radiation as a treatment option for correction of pupil form and location.
Alternatively visible wave-length laser showed to be less effective and more
traumatic.
PMID- 21882643
TI - [Possible complications of orthokeratology in myopia correction].
AB - Clinical cases representing complications (refractive, infectious and trophic) of
orthokeratologic lenses (OKL) use are described. These clinical cases show that
complications of OKL use can be both similar to those of routine contact
correction and caused by features of mechanism of corneal refraction change as a
result of OKL wear. In our opinion efficacy and safety of this option is directly
depends on the correct lens fitting, patient's compliance and regular monitoring
of corneal changes.
PMID- 21882644
TI - [An experience of dried cornea transplantation].
AB - Sometimes an urgent lamellar keratoplasty remains the only treatment option for
corneal defect closure. When fresh donor tissue is absent as it is regular in
recent years dried cornea transplantation becomes reasonable. In recent years in
ocular trauma department 320 transplantations of dried on silicagel cornea were
performed. Analysis of results allows to conclude that use of dried cornea is a
promising surgical procedure to preserve the globe and in some cases to prepare
the eye with severe trauma for subsequent optic surgery.
PMID- 21882645
TI - [Options for intraocular pressure measurement in patients with corneal
disorders].
AB - Intraocular pressure was estimated in patients with corneal disorders and
secondary glaucoma. 25 patients (29 eyes) with complicated corneal opacities and
secondary glaucoma were included into the study. In these patients the most
reliable results were revealed using bidirectional corneal applanation (ORA) with
contact lens on a cornea. If ORA is not available tonometer TGDc01-diaton should
be used.
PMID- 21882646
TI - Supplemental UV-B radiation induced changes in growth, pigments and antioxidant
pool of bean (Dolichos lablab) under field conditions.
AB - Present study is conducted to evaluate the response of bean (Dolichos lablabcv .
pusa early prolific) plants to supplemental UV-B (sUV-B: 280-315 nm: 7.2 kJ m(-2)
d(-1)) radiation. UV-B caused alteration in biomass translocation pattern with
more retention of biomass in below ground parts leading to an increment in root
shoot ratio. Specific leaf area (SLA) which is the measure of leaf thinness,
increased in plants under sUV-B exposure by 95.7 and 82.3% after 15 and 30 days
after germination. Photosynthetic machinery of bean plants was the potential
target of UV-B as photosynthetic rate was decreased by 88.6% at 30 days after
germination. sUV-B lead to the formation of reactive oxygen species thus
generating oxidative stress. Stimulation of antioxidant defense system (enzymatic
and non-enzymatic) was observed due to sUV-B radiation. Phenolic content
decreased (34.7 and 18.6%) but protein showed varied response, increased
initially (34%) thereafter declined (10.2%) under sUV-B radiation.
PMID- 21882647
TI - Life history of a free-living marine nematode Daptonema normandicum reared in
laboratory.
AB - Life history of a free-living meiobenthic nematode Daptonema normandicum (DeMan,
1890) was studied in the laboratory. Live specimens were primarily collected from
the sewage outlet site near the mouth of the Mandovi estuary, Goa This species
was the most dominant (> 67%) among the meiobenthic nematodes. Vertically,
nematode abundance was highest at the surface sediment and correlated with the
organic carbon and sediment chlorophyll-a. Considering their dominance in the
meiofauna, attempts were made to rear D. normandicum in laboratory. Salinity of
the culture medium was maintained at 14 to 17 PSU (same as the collection site).
All the culture experiments were conducted in semisolid nutrient agar media at 27
+/- 2 degrees C temperature for 12 hr dark: 12 hr light conditions. The food
consists primarily of an unidentified bacterium and mixed algae, but diatom and
ciliates were also observed in culture. Females produced first batch of eggs at
the age of 23 days. Gravid female normally carry 8-10 eggs. Embryonic development
is completed in -72 hr and entire life cycle (egg to adult) was completed in 22
24 days. Average size of juveniles at the hatching was 0.189 mm. Young
individuals attains a maximum size of 1.23 mm (male) and 1.04 mm (female) in -21
23 days. Growth, in terms of length was augmented upto 23rd day and ceased
thereafter. The daily growth increment for the first 5 days was 0.01-0.04 mm
which increased upto 0.05-0.08 mm d(-1) during the maturation (10-18 days). Male
: female ratio was 1:2. In this laboratory study, we provided information on the
embryonic development, the life cycle and ecology Our results demonstrated that
D. normandicum can be reared successfully under the controlled conditions,
suggesting possible use of this species in toxicological and aquaculture studies.
The culture method described is very handy and can be applicable for rearing
other meiobenthic species particularly the nematodes with comparable feeding
habits.
PMID- 21882648
TI - Seasonal variations in abundance of nitrifying bacteria in fish pond ecosystem.
AB - Seasonal changes in abundance of nitrifiers (ammonia-oxidizing and nitrite
oxidizing bacteria) in surface and bottom water of freshwater ponds were examined
with respect to temperature, DO, pH as well as concentration of ammonia and
nitrite. The most probable number (MPN) of ammonia-oxidizers in different ponds
varied from 1297 +/- 3.6 to 1673.23 +/- 0.36 ml(-1) in bottom and 720.5 +/- 8.1
to 955.3 +/- 10.8 ml(-1) in surface water during the rainy season while the MPN
ranged from 1074 +/- 1.07 to 1372.17 +/- 4.6 ml(-1) in bottom and 515 +/- 10.1 to
678 +/- 11.8 ml(-1) in surface water in winter. However, the MPN were greatly
reduced in summer and ranged from 435.05 +/- 15.7 to 547.54 +/- 2.12 ml(-1) in
bottom and 218.7 +/- 7.3 to 368.4 +/- 9.32 ml(-1) in surface water. Similar
seasonal trends were also observed in MPN of nitrite-oxidizers. Among all the
physico-chemical parameters, abundance of nitrifiers was more positively
correlated with ammonia and nitrite concentration in all the seasons. The
abundance of nitrifiers in surface and bottom water was highest in rainy season
followed by winter and modest in summer. The potential nitrification activities
and oxidation rates were shown to be linear and activity of ammonia-oxidizing and
nitrite-oxidizing bacteria was highest during rainy season.
PMID- 21882649
TI - Lytic bacteriophages specific to Flavobacterium columnare rescue catfish, Clarias
batrachus (Linn.) from columnaris disease.
AB - This investigation was aimed to find out appropriate strategy against antibiotic
resistant bacterial fish pathogen, F. columnare. This pathogen was found
persistently associated with fishes causing columnaris disease and ensuing mass
mortality in hatchery and culture system of Sub - Himalayan region. Nine lytic F.
columnare phages (FCP1 - FCP9) specific to its fifteen isolates were isolated
from the water and bottom sediments of various geo-climatic regions of North
India. The F. columnare phage FCP1 (made of hexagonal head and non contractile
long tail belonging to family Podovariedae, a member of DNA virus) exhibited
broader host range to lyse 9 out of 15 isolates of F. columnare. Therapeutic
ability of FCP1 phage was assessed in C. batrachus inoculated intramuscularly
(im) with virulent bacterial isolate FC8 and post inoculated (PI) with FCP1 phage
(@ 10(8) : 10(6):: cfu : pfu) through intramuscular (im), immersion (bath) and
oral (phage impregnated feed) treatment. Significant (p < 0.001) reduction (less
than 10(-3) cfu ml(-1)) in host bacterium in the sera, gill, liver and kidney of
challenged fishes was noted after 6 hr of phage treatment. Quantum of phage
played a significant role in bringing down bacterial population as in the sera of
dose 1 (@ 4.55 x 10(6) pfu ml(-1)) and dose 2 (@ 9.15 x 10(6) pfu ml(-1)) treated
fishes mean log10 cfu value reduced by 3 logs (58.39%) and 5 logs (73.77%) at 96
hr, respectively. Phage treatment led to disappearance of gross symptoms,
negative bacteriological test, detectable phage and 100% survival in
experimentally infected C. batrachus. Result of this study provides evidence of
profound lytic impact of FCP1 phage and represents its interesting therapeutic
importance against antibiotic resistant F. columnare.
PMID- 21882650
TI - Methane emission from rice fields in relation to management of irrigation water.
AB - A field experiment was conducted for two years to find out best water management
practice to mitigate methane emission from the rice-fields. Continuously flooded
conditions yielded two major flushes of methane emission and on an average
resulted in relatively higher rate of methane emission (2.20 and 1.30 mg m(-2)
hr(-1), respectively in 2005 and 2006) during the kharif season. The methane flux
was reduced to half (1.02 and 0.47 mg m(-2) hr(-1), respectively in 2005 and
2006) when rice fields were irrigated 2-3 days after infiltration of flood water
into the soil. Irrigating the field at 0.15 bar matric potential reduced seasonal
methane flux by 60% (0.99 and 0.41 mg m(-2) hr(-1), respectively in 2005 and
2006) as compared to completely flooded conditions, without any decline in grain
yield (60 q ha(-1)).
PMID- 21882651
TI - Drought induced changes in growth, leaf gas exchange and biomass production in
Albizia lebbeck and Cassia siamea seedlings.
AB - Diurnal trends in net photosynthesis rate (P(N)), stomatal conductance (g(s)),
water use efficiency (WUE) and biomass were compared in six-month-old seedlings
of Albizia lebbeck and Cassia siamea, under different levels of drought stress.
The potted plants were subjected to four varying drought treatment by withholding
watering for 7 (D1), 14(D2) and 25 (D3) days. The fourth group (C) was watered
daily and treated as unstressed (control). Species differed significantly (p <
0.001) in their physiological performance under varying stress conditions. Higher
P(N) of 11.6 +/- 0.05 in control followed by 4.35 +/- 0.4 in D1 and 2.83 +/- 0.18
micromol m(-2) s(-1) in D2 was observed in A. lebbeck. A significant (p < 0.001)
reduction in P(N) was observed in C. siamea (C 7.65 +/- 0.5 micromol m(-2) s(-1),
D1, 2.56 +/- 0.33 micromol m(-2) s(-1) and D2, 1.4 +/- 0.01 micromol m(-2) s(-1))
at 9 hr. A positive correlation was seen between P(N) and g(s) (A. lebbeck, r2 =
0.84; C. siamea, r2 = 0.82). Higher WUE was observed in C. siamea (D2, 7.1 +/-
0.18 micromol m(-2) s(-1); D3, 8.39 +/- 0.11 micromol m(-2) s(-1)) than A.
lebbeck, (control, 7.58 +/- 0.3 micromol m(-2) s(-1) and D3, 8.12 +/- 0.15
micromol m(-2) s(-1)). The chlorophyll and relative water content (RWC) was more
in A. lebbeck than C. siamea. Maximum biomass was produced by A. lebbeckthan C.
siamea. From the study, one could conclude that A. lebbeckis better than C.
siamea in adopting suitable resource management strategy and be best suited for
the plantation programs in the semi-arid dry lands.
PMID- 21882652
TI - Effect of caffeine, norfloxacin and nimesulide on heartbeat and VEGF expression
of zebrafish larvae.
AB - The use of pharmaceuticals during pregnancy may causes abnormalities to the
embryo. Sometime the drug also effect to the new born if the drug transferred
through lactation. We have used zebrafish model to see the effect of some
pharmaceuticals on embryos and larvae. Three drugs, caffeine, norfloxacin and
nimesulide, were used for this study to see the effect mainly the hatching rate
of eggs, heart beat rate and the vascular endothelial growth factor (VEGF)
expression of the larvae. VEGF is an important signaling protein that involved
generating the new blood vessels during embryonic development. We have used 10,
20, 50, 100 microg ml(-1) concentrations of all the drugs to see the effect. No
significant mortality or malformations were observed in zebrafish embryos.
Hatching was stared from 60 hr. In control group, 91% hatching rate was observed.
Lowest hatching rate was observed using highest concentration of norfloxacin (100
microg ml(-1)) and nimesulide (100 microg ml(-1)) i.e. 55 and 56% respectively.
In control group, 110 to 115 heart beat rate was counted per minute.
Significantly higher heart beat was observed in caffeine treated group which is
125 to 140 min(-1) Lower heart beat was noted in nimesulide treated group which
is 100 min(-1). We have tried to observe the possible effect of VEGF of the
larvae by these three drugs. Expression of VEGF was very low in caffeine treated
group. Almost no VGF expression was observe in 100 microg ml(-1) caffeine treated
group. These studies suggest that there is a possibility that high dosage of
caffeine can harm the unborn baby or new born babies, if the mothers use
caffeine.
PMID- 21882653
TI - Impact of paper mill effluent on growth and development of certain agricultural
crops.
AB - The physico-chemical characteristics of paper mill industry effluent were
measured and some were found to be above the permissible limits prescribed by
Indian irrigation water standard. A study was conducted in pots to investigate
the effects of different concentrations (10, 20, 30, 40, 50, 60, 70, 80 and 100%)
of paper mill effluent on growth and production of rice, mustard and peafor three
years. The study reveals that the paper mill effluent has deleterious effect on
the growth of crop at higher concentrations. However, at lower concentration
(viz. 10 to 40% in rice, 10 to 50% in mustard and 10 to 60% in pea) of effluent,
beneficial impact on general welfare of the crops was noticed. Growth and
development was increased with increasing the concentration of the effluent up to
30% in rice, 40% in mustard and 50% in pea. Investigation showed that the growth
and production of rice, mustard and pea was found maximum at a concentration of
30, 40 and 50% effluent respectively.
PMID- 21882654
TI - Comparative studies on morphological and biochemical characters of chickpea
genotypes under chilling stress.
AB - Comparison of chickpea (Cicer arietinum L.) genotypes for morphological and
biochemical attributes was done. Morphological characters viz. Plant height,
number of branches and number of leaves were recorded highest in chilling
tolerant genotypes at early stages of development (30 and 60 DAS) whereas at
later stages (90 and 120 DAS) these characters were recorded highest in chilling
sensitive genotypes. Pollen viability percentage at 5 and 10 degrees C
temperatures were recorded highest in chilling tolerant genotypes as compared to
sensitive genotypes. Biochemical characters viz. electrolyte leakage (%), total
soluble sugars and total free amino acids were recorded highest in chilling
tolerant genotypes as compared to sensitive genotypes.
PMID- 21882655
TI - Determination of atmospheric heavy metals using two lichen species in Katni and
Rewa cities, India.
AB - A biomonitoring study was conducted to assess the levels of atmospheric heavy
metal pollution in Katni and Rewa cities of Madhya Pradesh, state in central
India. The Pyxine cocoes and Phaeophyscia hispidula, two epiphytic foliose lichen
were used as bioindicators in the present study and seven metals (As, Al, Cd, Cr,
Fe, Zn, Pb) were analyzed in naturally growing thallus. The concentrations of
these metals was observed to be in higher range as maximum values of Al, Cd, Cr
and Zn were reported from the lichen samples from Rewa city which was 561.8 +/-
2.4, 6.8 +/- 0.8, 35.2 +/- 1.4, 214.6 +/- 2.0 microg g(-1) dry weight
respectively. Whereas As, Fe and Pb were reported maximum in the lichen samples
collected from Katni city areas with 33.4 +/- 0.05, 689.4 +/- 2.6, 13.3 +/- 0.5
microg g(-1) dry weight respectively. However the accumulation of Cd and Pb from
both the cities are more or less similar in concentration. The selectivity
sequence of metals were Fe>Al>Zn>As>Cr>Pb>Cd in Katni city, and
Al>Fe>Zn>Cr>As>Pb>Cd in Rewa city. The findings of this study indicates that
extent of heavy metal pollution in the atmosphere of the two cities which may
lead to adverse health affects.
PMID- 21882656
TI - Diversity and community structure of butterfly of Arignar Anna Zoological Park,
Chennai, Tamil Nadu.
AB - Investigation was carried out on the diversity of butterfly fauna in selected
localities of conservation and breeding center of Arignar Anna Zoological Park
(AAZP), Chennai, Tamil Nadu. Atotal of 56 species were recorded, 15 of them
belonged to Pieridae, 12 Nymphalidae, 9 Satyridae, 8 Papilionidae, 7 Danaidae, 3
Lycaenidae and 1 species each belonged to the families Acraeidae and Hesperidae.
Qualitatively and quantitatively Pieridae family were comparatively dominant than
that of other families. The notable addition to the 25 more species listed during
this observation were compared to previous field survey. Comparison of butterfly
species distribution between the different localities revealed that butterfly
species richness was higher at mountain region with 52 species and lowest of 25
species at public visiting areas. Visitor's activities may be that reason for
effects on butterfly distribution and lack of vegetation. Each five endemic and
protected species (i.e. endangered) listed under the Wildlife (Protection)Act
were highlighted greater conservation importances of the AAZP. It is suggest that
butterfly species diversity generally increase with increase in vegetation and
declines with the increase in disturbance.
PMID- 21882657
TI - Effect of marble dust on plants around Vishwakarma Industrial Area (VKIA) in
Jaipur, India.
AB - The paper describes the effect of marble dust on plants in and around Vishwakarma
Industrial Area (VKIA) Jaipur, Rajasthan (India). Trees species growing in and
around VKIA area were selected and various morphological characteristics were
studied such as leaf area dry weight ratio (LADWR), Dust retaining capacity (DRC)
and Chlorophyll content. In the study the effects of marble dust on selected tree
species was observed. LADWR was recorded maximunm 217.90 cm2 g(-1) dry wt. in
Polyalthia longifolia in VKIA and minimum 98.74 cm2 g(-1) dry wt. in Ficus
religiosa in Nindar whereas The DRC was observed maximum 178.51 mg cm(-2) in
Azadirachta indica in VKIA as well as minimum recorded 66.41 mg cm(-2) in
Thevetie pervvianain in Vidyadher Nagar. However the Total Chlorophyll Content
was also determined and it was found maximum in Bougainvillea i.e. 2.949 mg g(-1)
(fresh wt.) in VKIA whereas minimum for Nindar i.e. 0.784 mg g(-1) (fresh wt.) in
Ficus religiosa.
PMID- 21882658
TI - Marine ecological habitat: a case study on projected thermal power plant around
Dharamtar Creek, India.
AB - Estuaries and tidal creeks, harboring mangroves particularly, face tremendous
anthropogenic pressures. Expansion of mega cities and the thermal power plants
are generally proposed in the vicinity of estuaries and creek, due to the
feasibility of intake and discharge of water for cooling. Discharges from such
developments remain constant threat of increasing thermal pollution and affecting
the quality of environment. The baseline information on prevailing quality of
aquatic environment comes handy for understanding alterations due to such
activities. Principle component analysis (PCA) revealed that temperature, pH,
salinity, suspended solids, DO, BOD and phaeophytins are major parameters
influencing the creek system. Heated effluents may have direct and adverse
impacts on these parameters, altering biotic constituents. Hence, periodic and
detailed observations are necessary to estimate exact response of biotic
communities to changing environment. The present paper is based on case study,
projecting a power plant in the vicinity of major mangrove habitats of Dharamtar
creek.
PMID- 21882659
TI - Selection of facility location under environmental damage priority and using
ELECTRE method.
AB - In the recent years, the environmental problems have reached to a vital extent,
which is pushing the boundaries and far beyond daily evaluations. Industrial
plants, the energy sources and uncontrolled release of pollutant gases (SO2, CO2
etc.) in the production stage have the greatest share in the occurrence of
unfavorable environmental conditions. For this reason, the dimension of the
problems that may arise in the production stage of industrial plants is directly
related to the selection of facility location. In this study, geographical
regions (a total of 7 regions) of our country have been analyzed in terms of
environmental values based on their basins and the unfavorable environmental
problems that are currently being experienced. Considered as such, with the
directives of an expert group composed of nature scientists, the criteria and
alternative areas are determined using the data gathered on ecosystem, basin
characteristics, and land types. Since the primary goal is to keep the
environmental damages at the minimum level, comprehensive definition of the
problem is constructed by consultation of the expert group and the criteria are
determined. Considering the fact that it will prevent the drawbacks generated by
making decisions depending on certain stereotypes toa great extent, ELECTRE
(Elimination and Choice Translating Reality English - Elimination Et Choix
Traduisant la Realite) method is used to determine in which geographic region our
country's industrial plants should be located.
PMID- 21882660
TI - Shift in nutrient and plankton community in eutrophic lake following introduction
of a freshwater bivalve.
AB - The impact of the freshwater bivalve Corbicula leana on plankton community
dynamics was examined during a cyanobacterial bloom period. Nutrient and
chlorophyll concentrations, primary productivity, and phytoplankton and
zooplankton communities in the experimental enclosures were measured at 2-3 day
intervals. The introduction of mussels reduced net primary productivity and
phytoplankton and chlorophyll. Chlorophyll decreased immediately following
addition of 100 mussels and then increased over time. After 600 mussels were
added, chlorophyll decreased continuously from 87to 25 microg l(-1), approaching
that in the mussel-free enclosure. Simultaneously, water transparency increased
and concentrations of suspended solids and total phosphorus decreased. Mussel
addition caused short-term increases in nutrient concentrations, especially
following high-density treatment: phytoplankton density decreased, while cell
density in the mussel-free enclosure increased. Zooplankton densities in the two
enclosures were similar; however, carbon biomass in the mussel enclosure
increased, associated with an increase in large zooplankton. The trophic
relationship between phytoplankton and zooplankton was positive in the mussel
free enclosure and negative in the mussel-treatment enclosure, possibly
reflecting effects of mussels on both consumer and resource control in the
plankton community. Thus, filter feeding by Corbicula affects nutrient recycling
and plankton community structure in a freshwater ecosystem through direct feeding
and competition for food resources.
PMID- 21882661
TI - Combined effect of iron and zinc on micronutrient levels in wheat (Triticum
aestivum L.).
AB - A nutrient solution experiment was conducted to investigate the effect of Fe and
Zn supply on Fe, Zn, Cu, and Mn concentrations in wheat plants. The experiment
used a factorial combination of two Fe levels (0 and 5 mg l(-1)) and three Zn
levels (0, 0.1 and 10 mg I(-1)). The supply of Fe (5 mg l(-1)) and Zn (0.1 mg l(
1)) increased plant dry weight and leaf chlorophyll content compared to the Fe or
Zn deficient (0 mg 11) treatments. However, excess Zn supply (10 mg l(-1))
reduced plant dry weights and leaf chlorophyll content. Iron supply (5 mg l(-1))
reduced wheat Zn concentrations by 49%, Cu concentrations by 34%, and Mn by 56%
respectively. Zinc supply (10 mg l(-1)) reduced wheat Fe concentrations by an
average of 8%, but had no significant effect on Cu and Mn concentrations.
Stepwise regression analyses indicated that Zn, Cu, and Mn concentrations were
negatively correlated with root- and leaf-Fe concentrations, but positively
correlated with stem-Fe concentrations. Leaf-Mn concentrations were negatively
correlated with root-, stem- and leaf-Zn concentrations.
PMID- 21882663
TI - Studies on antimicrobial activities of solvent extracts of different spices.
AB - The antimicrobial activities of the ethyl acetate, acetone and methanol extract
of 12 plant species were studied. The extract of Capsicum annuum (red pepper)
(fruit) Zingiber officinale (ginger) (root), Cuminum cyminum (cumin), Alpinia
ficinarum (galingale), Coriandrum sativum (coriander), Cinnamomun zeylanicum Nees
(cinnamomun), Origanum onites L. (thyme), Folium sennae (senna), Eugenia
caryophyllata (cloves), Flos tiliae (lime), Folium menthae crispae (peppermint)
and Piper nigrum (blackpepper) were tested in vitro against 2 fungi and 8
bacterial species by the disc diffusion method. Klebsiella pneumonia 13883,
Bacillus megaterium NRS, Pseudomonas aeroginosa ATCC 27859, Staphylococcus aureus
6538 P, Escherichia coil ATCC 8739, Enterobacter cloaca ATCC 13047,
Corynebacterium xerosis UC 9165, Streptococcus faecalis DC 74, Kluyveromyces
marxianus, Rhodotorula rubra were used in this investigation. The results
indicated that extracts of different spices has shown antibacterial activity in
the range of 7-24 mm 30 microl(-1) inhibition zone Eugenia caryophyllata (clove),
7-20 mm 30microl(-1) inhibition zone Capsicum annum (red pepper) and Cinnamomun
zeylanicum (cinnamon) bark, 7-18 mm 30microl(-1) inhibition zone Folium sennae
(senna) leaves, 7-16 mm 30 microl(-1) inhibition zone Zingiber officinale
(ginger) root, 7-15 mm 30microl(-1) inhibition zone Cuminum cyminum (cumin) seed,
7-14 mm 30 microl(-1) inhibition zone Folium menthae crispae (peppermint),
Origanum onites (thyme) leaves and Alpinia ficinarum (galingale) root, 7-12 mm 30
microl(-1) inhibiton zone Piper nigrum (blackpepper), 7-11 mm 30microl(-1)
inhibition zone Flos tiliae (lime) leaves, 7-8 mm 30microl(-1) inhibition zone
Coriandrum sativum (coriander) to the microorganisms tested.
PMID- 21882662
TI - Characterization in the archaeological excavation site of heterotrophic bacteria
and fungi of deteriorated wall painting of Herculaneum in Italy.
AB - Microbiological characterization of frescos in four different locations (Collegio
degli Augustali, Casa del Colonnato Tuscanico, Casa dello Scheletro and Casa del
Gran Portale) of excavation sites of Herculaneum was carried out. The use of
infrared thermography allowed detecting sample points on frescos with greatest
moisture not visible to the naked eye, resulting in structural damage. The
microclimatic conditions provided perfect habitat for bacteria and fungi,
particularly of spore forming and mould. In fact, heterotrophic bacteria were
prevalent in all wall paintings monitored (ranging from 18 +/- 2 CFU 100 cm(-2)
to 68 +/- 4 CFU 100 cm(-2)), whereas fungi were also detected but at lower levels
(ranging from 9 +/- 2 CFU 100 cm(-2) to 45 +/- 3 CFU 100 cm(-2)). Cultural-based
method allow us to identify by 16S and 26S rRNA partial sequence analysis
heterotrophic microorganisms belonging to different genera of Bacillus and
Aspergillus, Penicillium and Fusarium together with the unusual genera as
Microascus and Coprinus. By using this approach, Bacillus-related species (B.
cereus/B. thuringiensis group, B. simplex/B. muralis group, B. megaterium and B.
subtilis) were isolated in all sample points analysed with the exception of the
Casa dello Scheletro in which Micrococcus luteus/Arthrobactersp. group and
Streptomyces fragilis were found. DGGE analysis of PCR amplified V3 region of
rDNA from DNA directly recovered from frescos samples, enabled identification of
bacterial species not identified using culturable technology asthose closest
related to Microbacterium group, often associated with Brevibacterium,
Streptomyces and Stenotrophomonas. Combination of culture-dependent and
independent methods provided better microbiology characterization of
heterotrophic microbiota present on the surface of ancient frescos of this
important archaeological site.
PMID- 21882664
TI - Phytoremediation potential of indigenous plants from Thai Nguyen province,
Vietnam.
AB - This study was focused on determining Arsenic (As), Lead (Pb), Cadmium (Cd) and
Zinc (Zn) in 33 indigenous plants and 12 soil in-situ plant samples in Thai
Nguyen Province, Vietnam. The results showed that the soils of surveyed mining
areas contained 181.2- 6754.3 mg kg(-1) As, 235.5-4337.2 mg kg(-1) Pb, 0.8- 419
mg kg(-1) Cd and 361.8-17565.1 mg kg(-1) Zn depending on the characteristics of
each mining site. These values are much higher than those typical for normal
soil. The heavy metal uptake into shoots and roots of 33 indigenous plant species
was also determined. Two species of the plants investigated, Pteris vittata L.
and Pityrogramma calomelanos L. were As hyperaccumulators, containing more than
0.1% heavy metals in their shoots. Eleusine indica L., Cynodon dactylon L.,
Cyperus rotundus L. and Equisetum ramosissimum (Vauch) accumulate very high Pb
(0.15-0.65%) and Zn (0.22-1.56%) concentration in their roots. Additional
experiments to clarify the potential of six these plants as good candidates for
phytoremediation of heavy metal pollution soil are being carried out in our
laboratory.
PMID- 21882665
TI - Predicting case conviction and domestic violence recidivism: measuring the
deterrent effects of conviction and protection order violations.
AB - Previous research offered little guidance on sentencing outcomes for protection
order (PO) violations in cases of domestic assault and whether PO violation
charges affected offender recidivism rates. Using data from local court records,
this study examined the effect of PO violation charges on the odds of case
conviction relative to dismissal, and whether case conviction or a PO violation
charge results in lower domestic violence rearrest rates compared to offenders
not receiving these sanctions. The models indicate that PO violation charges has
no significant effect on the odds of conviction or recidivism rates, and these
trends were not significantly different for convicted versus dismissed offenders.
Findings are discussed in relation to previous research with recommendations for
future areas of study.
PMID- 21882666
TI - College students' electronic victimization in friendships and dating
relationships: anticipated distress and associations with risky behaviors.
AB - This study investigated college students' reports of electronic victimization in
friendships and dating relationships. We examined 22 items representing four
categories of electronic victimization: hostility, humiliation, exclusion, and
intrusiveness. Nearly all participants (92%) reported some electronic
victimization in the past year, with males reporting more victimization and
females anticipating more distress. Both females and males anticipated more
distress from electronic victimization in dating relationships than friendships.
More actual experience with electronic victimization related to lower anticipated
distress. Electronic victimization was associated with females' alcohol use, even
after controlling for other victimization experiences. Discussion focuses on the
contextualized nature of electronic victimization, and on the importance of
understanding what makes electronic victimization highly distressing for some
individuals.
PMID- 21882667
TI - Facilitators and barriers to disclosing abuse among women with disabilities.
AB - An anonymous audio computer-assisted self-interview (A-CASI) designed to increase
awareness of abuse was completed by 305 women with diverse disabilities. Data
were also collected about lifetime and past year abuse; perpetrator risk
characteristics; facilitators and barriers to disclosing abuse; abuse disclosure
to a health provider, case manager, or police officer; and whether a health
provider had ever discussed abuse or personal safety. A total of 276 (90%) women
reported abuse, 208 (68%) reported abuse within the past year. Women who reported
the most abuse experiences in the past year and the most dangerous perpetrators
endorsed fewer facilitators and more barriers, but were also more likely to have
ever disclosed abuse. Only 15% reported that a health provider had ever discussed
abuse and personal safety.
PMID- 21882668
TI - Profiles of distress in sheltered battered women: implications for intervention.
AB - Heterogeneity in patterns of distress and psychological functioning was
investigated using a person-oriented approach to data analysis in two diverse
samples of battered women in shelters. In order to provide some empirically
derived guidance to clinicians, scores on measures of depressive symptoms as well
as state and trait anxiety were cluster analyzed. A four-cluster solution
provided the best fit for both samples of women, and despite the demographic
differences in the women, generally similar clusters were found in both samples.
One cluster contained women with minimal distress; another, those who were mildly
anxious; in a third cluster, the women were moderately to highly depressed and
anxious; and in a fourth cluster, the women were reporting severe levels of
distress. Implications for treatment include the importance of providing
individually tailored interventions for the women, based on differential
combinations of depression and anxiety, especially for women whose distress
levels are in the high and severe ranges of distress.
PMID- 21882669
TI - Posttraumatic symptoms following a campus shooting: the role of psychosocial
resource loss.
AB - Conservation of resources (COR) theory has proven a useful framework for
understanding posttrauma adjustment. A key tenet of this theory is the centrality
of resource loss in determining adjustment. However, COR theory research has
often been limited by retrospective research design, a focus on material loss
(e.g., one's home), and a lack of attention to other adjustment predictors. This
study examined whether psychosocial resource loss prospectively predicted PTSD
symptomatology both immediately and 8 months following a campus shooting in a
sample of college women (n = 691). Results supported that resource loss predicted
symptomatology, even after controlling for other predictors, including prior
trauma, psychological distress, initial PTSD symptomatology, and shooting
exposure. Implications of the results for research and intervention following
mass trauma are discussed.
PMID- 21882670
TI - Cumulative victimization, psychological distress, and high-risk behavior among
substance-involved women.
AB - This research addressed two questions: (a) What is the relationship between
different patterns of cumulative victimization and psychological distress? And
(b) How does the pattern of cumulative victimization and psychological distress
influence women's engagement in substance- and sex-related risk behavior? Data
were analyzed from interviews with 149 sexually active, crack-using women who
completed a follow-up interview after participating in the Kentucky National
Institute on Drug Abuse (NIDA) AIDS Cooperative Agreement. Findings from the
multivariate analyses indicated that victimization accounted for 5% and 39% of
the variance in psychological distress and high-risk behavior, respectively;
cumulative victimization and psychological distress accounted for 6% to 11% of
the variance in the high-risk behaviors. Results highlight the affects of
childhood and adult victimization on psychological distress and the associations
between different types of psychological distress and risk behavior.
PMID- 21882671
TI - The relation of violence exposure and ethnicity to intelligence and verbal
performance discrepancies in incarcerated male adolescents.
AB - Incarcerated populations have an estimated incidence of intellectual disabilities
(IDs) far higher than national norms, ranging as high as 10%. In the present
study, the relation between ID and violence exposure in 115 incarcerated
adolescents was examined. Interpersonal violence exposure (IPV-E) predicted an
average decrease in full scale IQ of 4.5 points, explaining approximately 5% of
the difference in IQ. Child maltreatment increased the odds of having a verbal
disability by three folds and explained 17% of the variance in verbal disability.
Hierarchical regression was used to examine the relative contribution of
ethnicity, poverty, and violence exposure to intellectual functioning. The
literature on racial bias in incarceration and the implications for the present
study are discussed.
PMID- 21882672
TI - The victimization experiences of women in the workforce: moving beyond single
categories of work or violence.
AB - The examination of the co-occurrence of different types of victimization in the
lives of women in the workforce appears infrequently in the literature. We
examine multiple types of victimization in women's lives across a range of
employment and income levels. Our research indicates that more than two-thirds of
women in the workplace experienced at least one type of violence against women
(VAW). These women hold positions at various workforce levels, indicating that
victims of VAW are not limited to the lower employment rungs. This research is a
step toward highlighting the scope, prevalence, and interconnectedness of
different forms of VAW in the lives of women in the workforce.
PMID- 21882673
TI - Application of commercial software to the classification of suicide cases: a
brief report.
AB - Mosaic Profiler software was used to classify suicide and open verdict cases
during 1996 to 1998 in England and within England, for the London and the North
West regions. The classification system was based on the socioeconomic
characteristics of the last place of residence of the cases at the level of
postcode. The results highlighted that deprived areas and areas that contain
elderly population or those areas that suffer from lack of social cohesion are
overrepresented, whereas affluent areas are underrepresented. All of these,
although in the larger scale, seem to support the results of other studies.
Nevertheless, more studies would be required before one can fully evaluate the
application of the Mosaic Profiler in the field of spatial epidemiology.
PMID- 21882674
TI - Chemical ecology and management of Lobesia botrana (Lepidoptera: Tortricidae).
AB - The moth Lobesia botrana (Denis & Schiffermuller) (Lepidoptera: Tortricidae)
feeds on grapes (Vitis vinifera L.), reducing yield and increasing susceptibility
to fungal infections. L. botrana is among the most economically important insects
in Europe and has recently been found in vineyards in Chile, Argentina, and
California. Here, we review L. botrana biology and behavior in relation to its
larval host (the grapevine) and its natural enemies. We also discuss current and
future control strategies in light of our knowledge of chemical ecology, with an
emphasis on the use of the sex pheromone-based strategies as an environmentally
safe management approach. Pheromone-mediated mating disruption is the most
promising technique available on grapes and is currently implemented on
approximately 140,000 ha in Europe. Experience from several growing areas
confirms the importance of collaboration between research, extension, growers,
and pheromone-supply companies for the successful implementation of the mating
disruption technique. In the vineyards where mating disruption has been
successfully applied as an areawide strategy, the reduction in insecticide use
has improved the quality of life for growers, consumers, as well as the public
living near wine-growing areas and has thereby reduced the conflict between
agricultural and urban communities.
PMID- 21882675
TI - A decision-support tool to predict spray deposition of insecticides in commercial
potato fields and its implications for their performance.
AB - Approximately US $1.3 billion is spent each year on insecticide applications in
major row crops. Despite this significant economic importance, there are
currently no widely established decision-support tools available to assess
suitability of spray application conditions or of the predicted quality or
performance of a given commercial insecticide applications. We conducted a field
study, involving 14 commercial spray applications with either fixed wing airplane
(N=8) or ground rig (N=6), and we used environmental variables as regression fits
to obtained spray deposition (coverage in percentage). We showed that (1) ground
rig applications provided higher spray deposition than aerial applications, (2)
spray deposition was lowest in the bottom portion of the canopy, (3) increase in
plant height reduced spray deposition, (4) wind speed increased spray deposition,
and (5) higher ambient temperatures and dew point increased spray deposition.
Potato psyllid, Bactericera cockerelli (Sulc) (Hemiptera: Triozidae), mortality
increased asymptotically to approximately 60% in response to abamectin spray
depositions exceeding around 20%, whereas mortality of psyllid adults reached an
asymptotic response approximately 40% when lambda-cyhalothrin/thiamethoxam spray
deposition exceeded 30%. A spray deposition support tool was developed
(http://pilcc.tamu.edu/) that may be used to make decisions regarding (1) when is
the best time of day to conduct spray applications and (2) selecting which
insecticide to spray based on expected spray deposition. The main conclusion from
this analysis is that optimization of insecticide spray deposition should be
considered a fundamental pillar of successful integrated pest management programs
to increase efficiency of sprays (and therefore reduce production costs) and to
reduce risk of resistance development in target pest populations.
PMID- 21882676
TI - Asynchronous development of honey bee host and Varroa destructor (Mesostigmata:
Varroidae) influences reproductive potential of mites.
AB - A high proportion of nonreproductive (NR) Varroa destructor Anderson & Trueman
(Mesostigmata: Varroidae), is commonly observed in honey bee colonies displaying
the varroa sensitive hygienic trait (VSH). This study was conducted to determine
the influence of brood removal and subsequent host reinvasion of varroa mites on
mite reproduction. We collected foundress mites from stages of brood (newly
sealed larvae, prepupae, white-eyed pupae, and pink-eyed pupae) and phoretic
mites from adult bees. We then inoculated these mites into cells containing newly
sealed larvae. Successful reproduction (foundress laid both a mature male and
female) was low (13%) but most common in mites coming from sealed larvae.
Unsuccessful reproductive attempts (foundress failed to produce both a mature
male and female) were most common in mites from sealed larvae (22%) and prepupae
(61%). Lack of any progeny was most common for mites from white-eyed (83%) and
pink-eyed pupae (92%). We also collected foundress mites from sealed larvae and
transferred them to cells containing newly sealed larvae, prepupae, white-eyed
pupae, or pink-eyed pupae. Successful reproduction only occurred in the transfers
to sealed larvae (26%). Unsuccessful reproductive attempts were most common in
transfers to newly sealed larvae (40%) and to prepupae (25%). Unsuccessful
attempts involved the production of immature progeny (60%), the production of
only mature daughters (26%) or the production of only a mature male (14%).
Generally, lack of progeny was not associated with mites having a lack of stored
sperm. Our results suggest that mites exposed to the removal of prepupae or older
brood due to hygiene are unlikely to produce viable mites if they invade new
hosts soon after brood removal. Asynchrony between the reproductive status of
reinvading mites and the developmental stage of their reinvasion hosts may be a
primary cause of NR mites in hygienic colonies. Even if reinvading mites use
hosts having the proper age for infestation, only a minority of them will
reproduce.
PMID- 21882677
TI - Performance of Apis mellifera, Bombus impatiens, and Peponapis pruinosa
(Hymenoptera: Apidae) as pollinators of pumpkin.
AB - Pollination services of pumpkin, Cucurbita pepo L., provided by the European
honey bee, Apis mellifera L., were compared with two native bee species, the
common eastern bumble bee, Bombus impatiens (Cresson), and Peponapis pruinosa
Say, in New York from 2008 to 2010. Performance of each species was determined by
comparing single-visit pollen deposition, percentage of visits that contacted the
stigma, flower-handling time, fruit and seed set, and fruit weight per number of
visits. Fruit yield from small fields (0.6 ha) supplemented with commercial B.
impatiens colonies was compared with yield from those not supplemented. A.
mellifera spent nearly 2 and 3 times longer foraging on each pistillate flower
compared with B. impatiens and P. pruinosa, respectively. A. mellifera also
visited pistillate flowers 10-20 times more frequently than B. impatiens and P.
pruinosa, respectively. Yet, B. impatiens deposited 3 times more pollen grains
per stigma and contacted stigmas significantly more often than either A.
mellifera or P. pruinosa. Fruit set and weight from flowers visited four to eight
times by B. impatiens were similar to those from open-pollinated flowers, whereas
flowers pollinated by A. mellifera and P. pruinosa produced fewer fruit and
smaller fruit compared with those from open-pollinated flowers. Fields
supplemented with B. impatiens produced significantly more pumpkins per plant
than nonsupplemented fields. B. impatiens was a better pollinator of pumpkin than
P. pruinosa and should be considered as a promising alternative to A. mellifera
for pollinating this crop.
PMID- 21882678
TI - A fluctuating thermal regime improves survival of cold-mediated delayed emergence
in developing Megachile rotundata (Hymenoptera: Megachilidae).
AB - A significant concern in the commercial application of the alfalfa leafcutting
bee, Megachile rotundata (F.) (Hymenoptera: Megachilidae), for pollination is
synchronizing bee emergence and activity with peak crop bloom. Previous studies
have demonstrated that the commercial spring incubation of this species can be
successfully interrupted by low temperature incubation, thereby slowing
development and giving producers flexibility in timing emergence to weather
conditions or crop bloom. In this study, we demonstrate that the implementation
of a fluctuating thermal regime, during which bees are given a daily one hour
pulse of high temperature, markedly increases the "shelf-life" of individuals of
this species. Although constant temperatures can be used to store bees for up to
1 wk with no decrease in survival, properly staged bees can be stored for up to 6
wk without a significant decrease in percentage of emergence. Hence, we expect
fluctuating thermal regime protocols to become a valuable tool for M. rotundata
managers, especially when timing nesting activity with peak bloom to maximize
effectiveness.
PMID- 21882679
TI - Species composition of aphid vectors (Hemiptera: Aphididae) of barley yellow
dwarf virus and cereal yellow dwarf virus in Alabama and western Florida.
AB - Yellow dwarf is a major disease problem of wheat, Triticum aestivum L., in
Alabama and is estimated to cause yield loss of 21-42 bu/acre. The disease is
caused by a complex of viruses comprising several virus species, including Barley
yellow dwarf virus-PAV and Cereal yellow dwarf virus-RPV. Several other strains
have not yet been classified into a specific species. The viruses are transmitted
exclusively by aphids (Hemiptera:Aphididae). Between the 2005 and 2008 winter
wheat seasons, aphids were surveyed in the beginning of each planting season in
several wheat plots in Alabama and western Florida Collected aphids were
identified and bioassayed for their yellow dwarf virus infectivity. This survey
program was designed to identify the aphid species that serve as fall vectors of
yellow dwarf virus into winter wheat plantings. From 2005 to 2008, bird cherry
oat aphid, Rhopalosiphum padi (L.); rice root aphid, Rhopalosiphum rufiabdominale
(Sasaki); and greenbug, Schizaphis graminum (Rondani), were found consistently
between October and December. The species of aphids and their timing of
appearance in wheat plots were consistent with flight data collected in North
Alabama between 1996 and 1999. Both R. padi and R. rufiabdominale were found to
carry and transmit Barley yellow dwarf virus-PAV and Cereal yellow dwarf virus
RPV. The number of collected aphids and proportion of viruliferous aphids were
low. Although this study has shown that both aphids are involved with
introduction of yellow dwarf virus to winter wheat in Alabama and western
Florida, no conclusions can be made as to which species may be the most important
vector of yellow dwarf virus in the region.
PMID- 21882680
TI - Cold treatment of Ceratitis capitata (Diptera: Tephritidae) in oranges using a
larval endpoint.
AB - South Africa currently exports fresh citrus (Citrus spp.) fruit to Japan using an
in-transit cold treatment protocol of 14 d or 12 d at temperatures <0 degrees C
for treatment of Ceratitis capitata (Wiedemann) (Diptera: Tephritidae) in
'Clementine' mandarins (Citrus reticulata Blanco) and other citrus types,
respectively. To reduce the risk of chilling injury with this treatment, research
was conducted with temperatures >0 degrees C. Earlier South African research had
shown that young (6-d-old) larvae were slightly more tolerant of cold treatment
and that there were no significant differences between cold tolerance of these
larvae in different citrus types [oranges, Citrus sinensis (L.) Osbeck;
grapefruits, Citrus paradisi Macfad.; lemons, Citrus limon (L.) Burm.f.; and
mandarins). Due to their ready availability, 'Valencia' oranges were used in this
study. When 62,492 larvae in total were treated in three replicates at a mean
temperature of 1.5 degrees C for 16 d, there were three larval survivors. The
trial was therefore repeated with oranges using a 16-d period at a mean
temperature of 1.0 degrees C and a mean of 1.4 degrees C for the hourly maximum
probe readings. Three replicates were again conducted and the resultant mean
mortality in the control was 8.1% of 21,801 larvae, whereas the cold treatment
mortality was 100% of 71,756 larvae. This treatment at a mean temperature of 1
degree C exceeded the Japanese confidence level requirement and also exceeded the
Probit-9 mortality level, but not at a confidence level of 95%. These data
support the establishment of a treatment protocol of 16 d at temperatures <1.4
degrees C, commencing once all fruit pulp probes reach a temperature of 1 degree
C or lower.
PMID- 21882681
TI - Cold susceptibility and disinfestation of Bactrocera invadens (Diptera:
Tephritidae) in oranges.
AB - To develop a cold disinfestation treatment for the fruit fly Bactrocera invadens
Drew, Tsuruta & White (Diptera: Tephritidae) that is rapidly spreading across
Africa, research was conducted in Nairobi, Kenya, using flies from a laboratory
culture and 'Valencia' orange (Citrus sinensis L. Osbeck) as the host. The
developmental rate of B. invadens in Valencia oranges was determined at 28
degrees C, and the third instar was found to be the least susceptible of the egg
and larval life stages to cold treatment at 1.1 degrees C in oranges. When 22,449
B. invadens third instars were exposed in oranges to a cold treatment with an
approximate midpoint of 1.1 +/- 0.5 degrees C, the results suggested that a
period of 16 d would be worthwhile verifying on a larger scale in oranges.
Results from the first replicate of 16,617 larvae showed no survivors, but the
second replicate of 23,536 larvae had three survivors. Because a longer cold
treatment based on a mean temperature of 1.1 degrees C would create logistical
difficulties for some export markets, further replicates were conducted at an
approximate midpoint of 0.5 degrees C and at mean hourly maximum of 0.9 +/- 0.5
degrees C, for 16 d. After three replicates, in which 65,752 B. invadens third
instars in total were treated with no survivors, the Japanese requirement of
99.99% mortality at the 95% confidence level was surpassed. The following
treatment protocol for B. invadens larvae in oranges can therefore be
recommended: fruit pulp to be maintained at temperatures of 0.9 degrees C or
lower for 16 consecutive days.
PMID- 21882682
TI - Evidence of female-produced sex pheromone of Adelphocoris suturalis (Hemiptera:
Miridae): effect of age and time of day.
AB - After the reduction in the use of broad-spectrum insecticides, Adelphocoris
suturalis (Hemiptera: Miridae) has become an important pest of transgenic cotton,
Gossypium hirsutum L., in China. A. suturalis is a highly mobile insect, moving
rapidly in and out of crop fields, and traps baited with sex pheromones may be
useful in monitoring and controlling its numbers. However, little is currently
known regarding the chemical communication based on sex pheromones by this
species. To use the synthesized sex pheromone effectively as part of the
integrated pest management, some fundamental biological parameters under which
the pheromone is produced must be understood. In this study, attractiveness of
adult females or males of A. suturalis to conspecific individuals was examined by
Y-tube bioassay; we also investigated the female sexually mature age and
circadian rhythm of male attraction to females of A. suturalis in the field in
2008 and 2010. Y-tube bioassay results indicated that only males were attracted
to odors from sexually mature virgin females. Two-year field trapping experiments
showed that 4-18-d-old virgin females effectively attracted males, and males were
attracted to virgin females during the scotophase, with a peak between 1900 and
2300 hours. These results improve our understanding of the sexual communication
behavior of A. suturalis and provide evidence of female-produced sex pheromone in
this species.
PMID- 21882683
TI - A novel attractant for Anastrepha ludens (Diptera: Tephritidae) from a Concord
grape product.
AB - An attractant for Mexican fruit fly, Anastrepha ludens (Loew) (Diptera:
Tephritidae), was developed from a commercial product called Sabor Uva containing
processed Concord grape juice. The principal volatile components of Sabor Uva
aroma were identified and an aqueous mixture of 15 components that was gas
chromatographically similar to Sabor Uva was prepared. This mixture was
equivalent to Sabor Uva in attractiveness by using wind-tunnel bioassays. After
deleting chemicals that did not contribute to attractiveness, and increasing the
concentrations of the remaining chemicals, the final attractant contained
propylene glycol (90,000 ppm, vol/vol), acetic acid (4500), methyl anthranilate
(1800), ethyl 2-methylpropionate (670), and one or both of the esters ethyl 3
methylbutyrate (44) and 2-methylbutyl propionate (44), in aqueous solution. This
mixture was approximately 1.8X as attractive as Sabor Uva by indirect comparison.
Deletion of propylene glycol, acetic acid, methyl anthranilate, or ethyl 2
methylpropionate from the mixture significantly decreased attractiveness.
Deletion of either of the other two esters seemed to diminish attractiveness
although effects were not statistically significant. Deletion of water from the
mixture significantly decreased attractiveness. We conclude that propylene
glycol, acetic acid, methyl anthranilate, water, and at least one or as many as
all three of the methyl-branched esters are essential for complete
attractiveness.
PMID- 21882684
TI - Anastrepha ludens and Anastrepha serpentina (Diptera: Tephritidae) do not infest
Psidium guajava (Myrtaceae), but Anastrepha obliqua occasionally shares this
resource with Anastrepha striata in nature.
AB - This study examined whether economically important fruit fly species Anastrepha
ludens (Loew), Anastrepha serpentina (Wiedemann), and Anastrepha obliqua
(Macquart) (Diptera: Tephritidae) may opportunistically exploit guavas, Psidium
guajava L. (Myrtaceae), growing near preferred natural hosts. We collected 3,459
kg of guavas and 895 kg of other known host species [sour orange, Citrus
aurantium L.; grapefruit, Citrus paradisi Macfadyen; mango, Mangifera indica L.;
white sapote, Casimiroa edulis La Llave and Lex.; sapote, Pouteria sapota
(Jacq.); sapodilla, Manilkara zapota L.; and wild plum, Spondias purpurea L. and
Spondias mombin L.] along an altitudinal gradient over a 4-yr period (2006-2009).
Plants were growing in sympatry in 23 localities where the guavas are usually
infested in the state of Veracruz, M6xico. The guava samples yielded 20,341
Anastrepha spp. pupae in total (overall mean, 5.88 pupae per kg of fruit).
Confirming previous reports, Anastrepha fraterculus (Wiedemann) and Anastrepha
striata (Schiner) were found heavily infesting guavas in Veracruz. Importantly,
although we did not find evidence that A. ludens and A. serpentina are able to
attack this valuable commodity, we document for the first time in the
agriculturally important state of Veracruz that P. guajava is an alternative
natural host plant of A. obliqua. We recovered two fruit in the mango-growing
locality of la Vibora, Tlalixcoyan, that harbored larvae of A. striata and A.
obliqua. This finding has important practical implications for management of A.
obliqua. Over the entire altitudinal gradient, when individual fruit infestation
was examined, a dynamic pattern of species dominance was unveiled with guavas
growing below 800 m above sea level mainly attacked by A. striata and a
progressive replacement with increasing altitude by A. fraterculus.
Interestingly, most individual fruit examined (97%) harbored a single species of
fruit fly, a finding that may be taken as evidence of competitive displacement
among sympatric species of fruit flies. Based on this study and previously
published work by us on this topic, we conclude that literature reports
indicating that A. ludens and A. serpentina infest guavas under field conditions
should be questioned.
PMID- 21882685
TI - Chemical composition and fumigant toxicity of some essential oils against
Ephestia kuehniella.
AB - The chemical constituents of some essential oils extracted from aromatic plants
(savory, Satureja thymbra L.; Turkish oregano, Origanum onites L.; myrtle, Myrtus
communis L.; marjoram, Origanum majorana L.; laurel, Laurus nobilis L.; lemon,
Citrus limon L.; sticky goosefoot, Chenopodium botrys L.; and tansy, Tanecetum
armenum [DC.] Suchultz Bip.) were analyzed by gas chromatography-mass
spectrometry. Fumigant toxicity of volatile compounds was tested against
Mediterranean flour moth, Ephestia kuehniella (Zeller) (Lepidoptera: Pyralidae),
eggs and adults. Percentage of mortality and longevity of E. kuehniella adults
were estimated after essential oil treatments. LC50 and LC99 values were
determined for each exposure time for each essential oil. Marjoram and lemon oils
were the most effective of all the essential oils tested. The major components
were linalool, 1.8-cineole, citral, 2-(4a.8-dimethyl-1.2.3.4.4a.5.6.7-octahydro
naphthalen-2-yl)-prop-2-en-l-ol, and p-cymene for marjoram, laurel, lemon,
goosefoot, and tansy, respectively. The LC50 and LC99 values were estimated as
3.27 and 5.13 microl liter(-1) air for marjoram and 4.05 and 5.57 microl liter(
1) air for lemon essential oils at the longest exposure time. Decreasing
longevity effect of marjoram and lemon was more prominent compared with other
essential oils. We suggest that essential oils obtained from certain aromatic
plants have potential as fumigants for stored product pests.
PMID- 21882686
TI - Chemical composition and acaricidal properties of Deverra scoparia essential oil
(Araliales: Apiaceae) and blends of its major constituents against Tetranychus
urticae (Acari: Tetranychidae).
AB - The essential oil of Deverra scoparia Coss. & Durieu was investigated for its
acaricidal activity against the worldwide pest twospotted spider mite,
Tetranychus urticae Koch (Acari: Tetranychidae). The essential oil was analyzed
by fast gas chromatography (GC) and GC-mass spectrometry. The activities of its
individual and blended constituents were determined. Our study showed that female
mortality increased with increasing D. scoparia oil concentrations, with LD50 and
LD90 values at 1.79 and 3.2 mg liter(-1), respectively. A reduction in fecundity
had already been observed for concentrations of 0.064, 0.08, and 0.26 mg liter(
1) D. scoparia essential oil. Ten major components, comprising 98.52% of the
total weight, were identified; a-pinene was the most abundant constituent
(31.95%) followed by sabinene (17.24%) and delta3-carene (16.85%). The 10 major
constituents of D. scoparia oil were individually tested against T. urticae
females. The most potent toxicity was found with alpha-pinene, delta3-carene, and
terpinen-4-ol. The presence of all constituents together in the artificial
mixture caused a significant decrease in the number of eggs laid by females, at
0.26 mg liter(-1) (11 eggs), compared with the control (50 eggs). The toxicity of
blends of selected constituents indicated that the presence of all constituents
was necessary to reproduce the toxicity level of the natural oil.
PMID- 21882687
TI - Long-term effects of methoxyfenozide on the adult reproductive processes and
longevity of Spodoptera exigua (Lepidoptera: Noctuidae).
AB - The long-term effects of methoxyfenozide on the longevity and reproductive
processes of beet armyworm, Spodoptera exigua (Hubner) (Lepidoptera: Noctuidae),
adults were assessed after exposure by ingestion. Methoxyfenozide significantly
reduced adult male longevity compared with females by 1.1 and 1.5 d at 75 and 150
mg (AI)/liter, respectively. Fecundity decreased by >60% with both concentrations
at 72 and 96 h after treatment, but at 48 h, no significant effect was observed.
The carbohydrate, protein, and lipid content in the eggs were determined as
representatives of the biochemical effects of methoxyfenozide associated with the
disruption of reproductive processes. The content of carbohydrates in the eggs
laid 48 h at treatment was similar to that of controls, but it increased by
approximately 1.5 and 2-fold in eggs laid after 72 and 96 h, respectively,
compared with controls (15 microg per egg). Protein content was reduced
approximately 2.5 and approximately 3-fold for each treatment concentration,
respectively, compared with the controls (25 and 23 microg per egg for 75 and 150
mg [AI]/liter, respectively) in eggs collected 72 and 96 h after treatment. Lipid
content significantly decreased by approximately 1.6-fold in both treatment
concentrations in eggs collected at 48 and 96 h after treatment compared with the
controls (24 and 21 microg per egg for 48 and 96, respectively), but it was
similar to controls (approximately 19 microg per egg) at 72 h (approximately 15
microg per egg) for both concentrations. The biochemical effects of
methoxyfenozide on S. exigua egg formation detected in this work are consistent
with the reduction in fertility observed, as reported previously.
PMID- 21882688
TI - Impact of planting dates on a seed maggot, Neotephritis finalis (Diptera:
Tephritidae), and sunflower bud moth (Lepidoptera: Tortricidae) damage in
cultivated sunflower.
AB - Neotephritisfinalis (Loew) (Diptera: Tephritidae), and sunflower bud moth,
Suleima helianthana (Riley) (Lepidoptera: Tortricidae) are major head-infesting
insect pests of cultivated sunflower (Helianthus annuus L.). Planting date was
evaluated as a cultural pest management strategy for control of N. finalis and S.
helianthana in several production regions of North Dakota during 2009 and 2010.
Results of the nine site-year study revealed that late planting date (early to
mid-June) reduced damage ratings and percentage of damaged heads for N. finalis
compared with early planting dates (mid- to late May). Visual observations of
adult N. finalis found that the majority of flies were found in the early planted
sunflower (78.2%) compared with the late planted sunflower (21.8%). Late planting
date also reduced the percentage of S. helianthana damaged heads compared with
early planting dates. Yield losses were reduced with late planting date when
populations of N. finalis and S. helianthana were high enough to cause damage.
Results of this study showed that delayed planting is an effective integrated
pest management strategy that can reduce head damage caused by N. finalis and S.
helianthana and mitigate yield losses.
PMID- 21882689
TI - Bark beetle pheromones and pine volatiles: attractant kairomone lure blend for
longhorn beetles (Cerambycidae) in pine stands of the southeastern United States.
AB - In 2006, we examined the flight responses of 43 species of longhorn beetles
(Coleoptera: Cerambycidae) to multiple-funnel traps baited with binary lure
blends of (1) ipsenol + ipsdienol, (2) ethanol + alpha-pinene, and a quaternary
lure blend of (3) ipsenol + ipsdienol + ethanol + alpha-pinene in the
southeastern United States. In addition, we monitored responses of Buprestidae,
Elateridae, and Curculionidae commonly associated with pine longhorn beetles.
Field trials were conducted in mature pine (Pinus pp.) stands in Florida,
Georgia, Louisiana, and Virginia. The following species preferred traps baited
with the quaternary blend over those baited with ethanol + alpha-pinene:
Acanthocinus nodosus (F.), Acanthocinus obsoletus (Olivier), Astylopsis arcuata
(LeConte), Astylopsis sexguttata (Say), Monochamus scutellatus (Say), Monochamus
titillator (F.) complex, Rhagium inquisitor (L.) (Cerambycidae), Buprestis
consularis Gory, Buprestis lineata F. (Buprestidae), Ips avulsus (Eichhoff), Ips
calligraphus (Germar), Ips grandicollis (Eichhoff), Orthotomicus caelatus
(Eichhoff), and Gnathotrichus materiarus (Fitch) (Curculionidae). The addition
ofipsenol and ipsdienol had no effect on catches of 17 other species of bark and
wood boring beetles in traps baited with ethanol and a-pinene. Ethanol + alpha
pinene interrupted the attraction of Ips avulsus, I. grandicollis, and
Pityophthorus Eichhoff spp. (but not I. calligraphus) (Curculionidae) to traps
baited with ipsenol + ipsdienol. Our results support the use of traps baited with
a quaternary blend of ipsenol + ipsdienol + ethanol + alpha-pinene for common
saproxylic beetles in pine forests of the southeastern United States.
PMID- 21882690
TI - Effect of aerosol surface lubricants on the abundance and richness of selected
forest insects captured in multiple-funnel and panel traps.
AB - Survey and detection programs for native and exotic forest insects frequently
rely on traps baited with odorants, which mediate the orientation of target taxa
(e.g., the southern pine beetle, Dendroctonusfrontalis Zimmermann) toward a
resource (e.g., host material, mates). The influence of trap design on the
capture efficiency of baited traps has received far less empirical attention than
odorants, despite concerns that intercept traps currently used operationally have
poor capture efficiencies for some target taxa (e.g., large woodborers). Several
studies have recently demonstrated that treating traps with a surface lubricant
to make them "slippery" can increase their capture efficiency; however,
previously tested products can be expensive and their application time-consuming.
The purpose of this study was to evaluate the effect of alternate, easier to
apply aerosol lubricants on trap capture efficiency of selected forest insects.
Aerosol formulations of Teflon and silicone lubricants increased both panel and
multiple-funnel trap capture efficiencies. Multiple-funnel traps treated with
either aerosol lubricant captured significantly more Monochamus spp. and
Acanthocinus obsoletus (Olivier) than untreated traps. Similarly, treated panel
traps captured significantly more Xylotrechus sagittatus (Germar), Ips
calligraphus (Germar), Pissodes nemorensis (Germar), Monochamus spp., A.
obsoletus, Thanasimus dubius (F.), and Ibalia leucospoides (Hochenwarth) than
untreated traps. This study demonstrates that treating multiple-funnel and panel
traps with an aerosol dry film lubricant can increase their capture efficiencies
for large woodborers (e.g., Cerambycidae) as well as bark beetles, a weevil, a
woodwasp parasitoid and a bark beetle natural enemy (Coleoptera: Cleridae).
PMID- 21882691
TI - Field evaluation of effect of temperature on release of disparlure from a
pheromone-baited trapping system used to monitor gypsy moth (Lepidoptera:
Lymantriidae).
AB - Traps baited with disparlure, the synthetic form of the gypsy moth, Lymantria
dispar (L.) (Lepidoptera: Lymantriidae), sex pheromone are used to detect newly
founded populations and estimate population density across the United States. The
lures used in trapping devices are exposed to field conditions with varying
climates, which can affect the rate of disparlure release. We evaluated the
release rate of disparlure from delta traps baited with disparlure string
dispenser from 1 to 3 yr across a broad geographic gradient, from northern
Minnesota to southern North Carolina. Traps were deployed over approximately 12
wk that coincided with the period of male moth flight and the deployment schedule
of traps under gypsy moth management programs. We measured a uniform rate of
release across all locations when considered over the accumulation of degree
days; however, due to differences in degree-day accumulation across locations,
there were significant differences in release rates over time among locations.
The initial lure load seemed to be sufficient regardless of climate, although
rapid release of the pheromone in warmer climates could affect trap efficacy in
late season. Daily rates of release in colder climates, such as Minnesota and
northern Wisconsin, may not be optimal in detection efforts. This work highlights
the importance of local temperatures when deploying pheromone-baited traps for
monitoring a species across a large and climatically diverse landscape.
PMID- 21882692
TI - Use of systemic fipronil and imidacloprid to control regeneration pests of
loblolly pine.
AB - Regeneration pests of loblolly pine (Pinus taeda L.) threaten growth and survival
in intensively managed loblolly pine plantations throughout the southeastern
United States. The Nantucket pine tip moth, Rhyacionia frustrana (Comstock), in
particular, often reduces growth of loblolly pine but has been difficult to
control with traditional insecticides due to multiple annual generations and
multi-year infestations which are difficult to predict in timing and location.
Relatively new systemic insecticide products offer a solution in that their
efficacy persists through multiple generations and years after a single
application. Efficacy of systemic imidacloprid and fipronil were evaluated side
by side across multiple sites in Virginia. Significant reductions in Nantucket
pine tip moth damage were noted in trees treated with either the imidacloprid or
fipronil product compared with check trees. After 2 yr, growth improvement of
treated trees relative to controls was modest and not significant at all sites,
but per acre volume indices were significantly greater in treated blocks as a
result of higher tree survival. Reduced seedling mortality was attributed
primarily to prevention of damage by pales weevil, Hylobius pales Herbst
(Coleoptera: Curculionidae), by both insecticide treatments. Control of pales
weevil in addition to pine tip moth suggests that systemic insecticide products
with a long window of efficacy might control additional nontargeted pests.
PMID- 21882693
TI - Application of molecular techniques to identification of three plusiine species,
Autographa nigrisigna, Macdunnoughia confusa, and Thysanoplusia intermixta
(Lepidoptera: Noctuidae), found in integrated pest management lettuce fields in
Japan.
AB - Three plusiine species, Autographa nigrisigna, Macdunnoughia confusa, and
Thysanoplusia intermixta (Lepidoptera: Noctuidae), are commonly found together in
lettuce, Lactuca sativa L., fields in Japan. Given the marked morphological
similarities between these species and the difficulty associated with
discriminating between them using only visual cues, we used multiplex polymerase
chain reaction (PCR) assay to distinguish between the three target species.
Multiplex PCR uses four primers to simultaneously amplify a specific region of
the mitochondrial DNA and produce species-specific banding patterns. The
stringency of the method was tested using specimens of different sex, location,
and developmental stage, and consistent results were obtained for all samples.
Indeed, our method has the potential to clarify the species structure of plusiine
species in lettuce fields.
PMID- 21882694
TI - Temperature thresholds and degree-day model for Marmara gulosa (Lepidoptera:
Gracillariidae).
AB - The developmental thresholds for Marmara gulosa Guillen & Davis (Lepidoptera:
Gracillariidae) were investigated in the laboratory by using 17, 21, 25, 29, and
33 degrees C. The lowest mortality occurred in cohorts exposed to 25 and 29
degrees C. Other temperatures caused >10% mortality primarily in egg and first
and second instar sap-feeding larvae. Linear regression analysis approximated the
lower developmental threshold at 12.2 degrees C. High mortality and slow
developmental rate at 33 degrees C indicate the upper developmental threshold is
near this temperature. The degree-day (DD) model indicated that a generation
requires an accumulation of 322 DD for development from egg to adult emergence.
Average daily temperatures in the San Joaquin Valley could produce up to seven
generations of M. gulosa per year. Field studies documented two, five, and three
overlapping generations of M. gulosa in walnuts (Juglans regia L.; Juglandaceae),
pummelos (Citrus maxima (Burm.) Merr.; Rutaceae), and oranges (Citrus sinensis
(L.) Osbeck; Rutaceae), for a total of seven observed peelminer generations.
Degree-day units between generations averaged 375 DD for larvae infesting walnut
twigs; however, availability of green wood probably affected timing of
infestations. Degree-day units between larval generations averaged 322 for
pummelos and 309 for oranges, confirming the laboratory estimation. First
infestation of citrus occurred in June in pummelo fruit and August in orange
fruit when fruit neared 60 mm in diameter. Fruit size and degree-day units could
be used as management tools to more precisely time insecticide treatments to
target the egg stage and prevent rind damage to citrus. Degree-day units also
could be used to more precisely time natural enemy releases to target larval
instars that are preferred for oviposition.
PMID- 21882695
TI - Evaluation of cucurbitacin-based gustatory stimulant to facilitate cucumber
beetle (Coleoptera: Chrysomelidae) management with foliar insecticides in melons.
AB - The bitter plant-derived compounds cucurbitacins are known to stimulate feeding
of adult cucumber beetles (Coleoptera: Chrysomelidae). A cucurbitacin-based
gustatory stimulant applied as a flowable bait combined with either spinosad or
carbaryl was compared with foliar sprays of spinosad and carbaryl for controlling
two cucumber beetle species (Diabrotica undecimpunctata undecimpunctata
Mannerheim and Acalymma trivittatum Mannerheim) in honeydew melons (Cucumis melo
L.). Field studies were conducted on the University of California-Davis plant
pathology farm in 2008 and 2009. Beetle densities after applications and fruit
damage from beetle feeding were compared among treatments. In addition, beetle
survival was compared within field cages placed over the treated foliage infested
with beetles. Using all three measures of efficacy, we determined that the
addition of cucurbitacin bait had no effect on the level of cucumber beetle
control with carbaryl in either 2008 or 2009. In both years, spinosad did not
significantly reduce cucumber beetle densities in either field cages or field
plots and did not reduce fruit damage relative to the untreated control. The
addition of the bait to spinosad did not improve its efficacy. A laboratory
bioassay of the spinosad formulation used in the field showed it had significant
lethal effects on adults of both cucumber beetle species. Results indicated that
the bait formulation used did not improve cucumber beetle control but may benefit
from the addition of floral attractants or using a different type of
cucurbitacin.
PMID- 21882696
TI - Comparative fitness of irradiated light brown apple moths (Lepidoptera:
Tortricidae) in a wind tunnel, hedgerow, and vineyard.
AB - Light brown apple moth, Epiphyas postvittana (Walker) (Lepidoptera: Tortricidae),
is the target of the sterile insect technique, but reduced moth fitness from
irradiation lowers the effective overflooding ratio of sterile to wild moths. New
measures of insect quality are being sought to improve field performance of
irradiated insects, thus improving the cost effectiveness of this technique. Male
pupae were irradiated at intervals between 0 and 300 Gy, and adult flight success
was assessed in a wind tunnel equipped with flight track recording software. A
dose response was evident with reduced successful search behaviors at higher
irradiation doses. Irradiation at 250 Gy reduced arrival success to 49% of
untreated controls, during 2-min assays. Mark-release-recapture of males
irradiated at 250 Gy indicated reduced male moth recapture in hedgerows (75% of
control values of 7.22% +/- 1.20 [SEM] males recaptured) and in vineyards (78% of
control values 10.5% +/- 1.66% [SEM] recaptured). Males dispersed similar
distances in both habitats, and overflooding ratios dropped off rapidly from the
release point in both landscapes. Transects of traps with central releases proved
to be an efficient method for measuring the quality of released males. Relative
field performance of moths was greater than suggested by wind tunnel performance,
which could be due to time differences between the two assays, two-minute wind
tunnel tests compared with days in the field treatments. Release strategies
involving ground releases should consider the effect of limited postrelease
dispersal. Aerial release could solve this problem and warrants investigation.
PMID- 21882697
TI - Microencapsulated pear ester enhances insecticide efficacy in walnuts for codling
moth (Lepidoptera: Tortricidae) and navel orangeworm (Lepidoptera: Pyralidae).
AB - The efficacy of combining insecticides with a microencapsulated formulation of
ethyl (2E,4Z) -2,4-decadienoate (pear ester, PE-MEC) was evaluated in walnuts,
Juglans regia L., for codling moth, Cydia pomonella (L.) (Lepidoptera:
Tortricidae), and navel orangeworm, Amyelois transitella Walker (Lepidoptera,
Pyralidae). Two types of studies were conducted to compare the use of
insecticides with and without PE-MEC. In the first study, PE-MEC in combination
with reduced rates of insecticides, including chlorpyrifos, phosmet,
methoxyfenozide, and codling moth granulovirus were evaluated in single tree
replicates. PE-MEC was tested at one to three rates (0.6, 1.8, and 4.4 g active
ingredient ha(-1)) with each insecticide. In the second study, seasonal programs
including sprays of esfenvalerate, chlorpyrifos, and ethyl parathion at full
rates were evaluated in replicated two ha blocks. Significant reductions in nut
injury occurred in the single-tree trial with treatments of PE-MEC plus
insecticide compared with the insecticides used alone against both pest species;
except with methoxyfenozide for navel orangeworm. Similarly, nut injury in the
large plots was significantly reduced with the addition of PE-MEC, except for
navel orangeworm in one of the two studies. These results suggest that adding
pear ester as a microencapsulated spray can improve the efficacy of a range of
insecticides for two key pests and foster the development of integrated pest
management tactics with reduced insecticide use in walnut.
PMID- 21882698
TI - Host suitability and gas exchange response of grapevines to potato leafhopper
(Hemiptera: Cicadellidae).
AB - Although potato leafhopper, Empoasca fabae (Harris) (Hemiptera: Cicadellidae), is
highly polyphagous, classic host studies do not recognize grapevines (Vitis
spp.), as suitable hosts. Recently, injury has been reported and reproduction
documented within grape vineyards, suggesting a host expansion for the
leafhopper. To document this apparent expansion in host use, we determined
whether grape plants were suitable hosts for potato leafhopper reproduction,
measured the consequence of feeding injury on gas exchange rates of grape leaves,
and compared the susceptibility to feeding injury among cultivars. We found that
potato leafhopper adults survived equally well on grape (Vitis vinifera L.),
alfalfa (Medicago sativa L.), and fava bean (Vicia faba L.). The total number of
offspring was greater on fava bean but did not differ between alfalfa and grape.
Injury to grapevines was assessed by measuring gas exchange responses of leaves
in field cages and in greenhouse tests. We found marginally significant declines
in photosynthesis and transpiration rates in the field (9.6 and 13.2%,
respectively), and much stronger effects in greenhouse tests (ranging between 22
and 52%). Our results verify that Vitis is a suitable host, and that potato
leafhopper is capable of injuring its gas exchange physiology. We discuss
possible explanations for the host expansion, and its potential to damage
commercial grapevines.
PMID- 21882699
TI - Accuracy, precision, and economic efficiency for three methods of thrips
(Thysanoptera: Thripidae) population density assessment.
AB - Western flower thrips, Frankliniella occidentalis (Pergande) (Thysanoptera:
Thripidae), is a major horticultural pest and an important vector of plant
viruses in many parts of the world. Methods for assessing thrips population
density for pest management decision support are often inaccurate or imprecise
due to thrips' positive thigmotaxis, small size, and naturally aggregated
populations. Two established methods, flower tapping and an alcohol wash, were
compared with a novel method, plant desiccation coupled with passive trapping,
using accuracy, precision and economic efficiency as comparative variables.
Observed accuracy was statistically similar and low (37.8-53.6%) for all three
methods. Flower tapping was the least expensive method, in terms of person-hours,
whereas the alcohol wash method was the most expensive. Precision, expressed by
relative variation, depended on location within the greenhouse, location on
greenhouse benches, and the sampling week, but it was generally highest for the
flower tapping and desiccation methods. Economic efficiency, expressed by
relative net precision, was highest for the flower tapping method and lowest for
the alcohol wash method. Advantages and disadvantages are discussed for all three
methods used. If relative density assessment methods such as these can all be
assumed to accurately estimate a constant proportion of absolute density, then
high precision becomes the methodological goal in terms of measuring insect
population density, decision making for pest management, and pesticide efficacy
assessments.
PMID- 21882700
TI - Ecology and management of the woolly whitefly (Hemiptera: Aleyrodidae), a new
invasive citrus pest in Ethiopia.
AB - Distribution and importance of woolly whitefly (Aleurothrixus floccosus)
(Maskell) (Hemiptera: Aleyrodidae), was studied in Ethiopia with an evaluation of
treatments against it. Results showed that the pest is distributed in most citrus
growing parts of the country equally infesting all types of citrus crops. Only
one pupal parasitoid, Amitus sp., was recorded at Melkaoba. During 2006-2007,
eight treatments gave better control of woolly whitefly compared with the
control: endod (Phytolacca dodecandra L'Herit) berry extract, white oil 80%, neem
oil, omo detergent soap, band application of gasoline, cyhalothrin (karate) 5%
EC, selecron (profenofos) 500 EC, and rimon (novaluron) 10 EC. Treatments were
applied on 6-8 yr-old orange trees at Melkaoba and Nazareth. At Melkaoba,
application of cyhalothrin, selecron, white oil, and Neem gave better control of
woolly whitefly compared with the control. All the treatments resulted in a lower
number of ants than the control. Ants disrupt biocontrol agents of honeydew
secreting pests, including woolly whiteflies. Mean infestation score was higher
in the control than the rest of the treatments. Similarly, at Nazareth, woolly
whitefly numbers were lower recorded on cyhalothrin-treated plants. However, the
numbers of eggs were significantly higher in endod extract-sprayed plants than
the control. All treatments controlled ants better than the control except endod.
Infestation scores were lower on endod- and cyhalothrin-treated plants than the
control. Mean number of adult woolly whiteflies and eggs were significantly
higher on newly grown leaves than older leaves. In general, the number of live
adult woolly whiteflies showed a decreasing trend at both sites after treatment
applications compared with the control.
PMID- 21882701
TI - Effectiveness of commercial and experimental termite monitors for the desert
subterranean termite Heterotermes aureus (Isoptera: Rhinotermitidae) in southern
Arizona.
AB - In Arizona, the subterranean termite Heterotermes aureus (Snyder) (Isoptera:
Rhinotermitidae) is the most economically important termite pest. We report here
the evaluation of several commercial and experimental monitoring stations to
capture and monitor H. aureus. In total, 12 monitoring stations were evaluated
over two study periods. In 2001-2002, the commercial monitors Firstline and
Termicon did not capture any H. aureus, whereas Termitrol did not capture
significantly more termites than these two monitors. In contrast, three
experimental Arizona Research Monitoring Stations (ARMS)--ARMS-PINE, ARMS-ASH,
and ARMS-BBT--captured significantly more termites than Firstline and Termicon,
and ARMS-BBT captured termites significantly more frequently than the commercial
monitors. Similarly in 2003, the commercial monitors Firstline and Defender did
not capture any H. aureus, whereas Extera did not capture significantly more
termites than these two monitors. However, four monitor designs including the
three most successful ARMS in 2001-2002 captured significantly more termites than
Firstline and Defender, and ARMS-ASH captured termites significantly more often
than the commercial monitors. On-ground ARMS monitors in general captured
significantly more termites than commercial in-ground stations.
PMID- 21882702
TI - Generating susceptible strain and resistance status of field populations of
Spodoptera exigua (Lepidoptera: Noctuidae) against some conventional and new
chemistry insecticides in Pakistan.
AB - Two field populations of Spodoptera exigua (Hubner) (Lepidoptera: Noctuidae) from
Dera Ghazi Khan (D. G. Khan) and Multan, Pakistan, were tested for resistance to
the 10 most commonly used insecticides in Pakistan by using a standard leaf disc
bioassay on the F1 progeny. For comparison, a susceptible strain was generated
from the Multan strain, which displayed lower LC50 values for most of the
insecticides, by either mass rearing without exposure to insecticides or single
pair crosses against selected insecticides. The single-pair crosses generated a
more susceptible strain than mass rearing. The D. G. Khan field strain was highly
resistant to cypermethrin, profenofos, spinosad, abamectin, and chlorpyrifos and
moderately resistant to deltamethrin, indoxacarb, and methoxyfenozide compared
with susceptible lab strain. The Multan strain was highly resistant to profenofos
and indoxacarb. Both field populations were susceptible to emamectin benzoate and
lufenuron. Rotating these two insecticides with others that show very low, low,
or moderate levels of resistance and have different modes of action may be useful
for the effective management of this pest.
PMID- 21882703
TI - Cross-resistance to insecticides in a malathion-resistant strain of Ceratitis
capitata (Diptera: Tephritidae).
AB - Resistance to malathion has been reported in field populations of the
Mediterranean fruit fly, Ceratitis capitata (Wiedemann) (Diptera: Tephritidae),
in areas of Spain where an intensive use of this insecticide was maintained for
several years. The main goal of this study was to determine whether resistance to
malathion confers cross-resistance to different types of insecticides.
Susceptibility bioassays showed that the malathion-resistant W-4Km strain (176
fold more resistant to malathion than the susceptible C strain) has moderate
levels of cross-resistance (three- to 16-fold) to other organophosphates
(trichlorphon, diazinon, phosmet and methyl-chlorpyrifos), the carbamate
carbaryl, the pyrethroid lambda-cyhalothrin, and the benzoylphenylurea derivative
lufenuron, whereas cross-resistance to spinosad was below two-fold. The W-4Km
strain was selected with lambda-cyhalothrin to establish the lambda-cyhalothrin
resistant W-1Klamda strain (35-fold resistant to lambda-cyhalothrin). The
synergistic activity of the esterase inhibitor DEF with lambda-cyhalothrin and
the increase in esterase activity in the W-1Klamda strain suggests that esterases
may be involved in the development of resistance to this insecticide. Our results
showed that resistance to malathion may confer some degree of cross-resistance to
insecticides currently approved for the control of Mediterranean fruit fly in
citrus crops (lambda-cyhalothrin, lufenuron, and methyl-chlorpyrifos). Especially
relevant is the case of lambda-cyhalothrin, because we have shown that resistance
to this insecticide can rapidly evolve to levels that may compromise its
effectiveness in the field.
PMID- 21882704
TI - An aphid-dip bioassay to evaluate susceptibility of soybean aphid (Hemiptera:
Aphididae) to pyrethroid, organophosphate, and neonicotinoid insecticides.
AB - Since the discovery of the soybean aphid, Aphis glycines Matsumura (Hemiptera:
Aphididae), in North America in 2000, chemical control has been the most
effective method to manage aphid outbreaks. Increased insecticide use in soybean
raises the possibility of developing insecticide resistance in soybean aphid, and
monitoring insecticide susceptibility is essential to maintain pesticide tools.
We developed a simple and reliable aphid-dip bioassay by using a tea strainer
that resulted in -90% survival in controls. Using this technique, we tested
susceptibility of a greenhouse strain of soybean aphid that has never been
exposed to insecticides, and field-collected aphid strains from two counties in
Michigan. Aphid susceptibility was tested for five insecticides by dipping groups
of five aphids in each insecticide dose for 10 s. After 48 h, aphids were
classified as dead or alive, and counted. Aphids from all strains were highly
susceptible to chlorpyrifos, lambda-cyhalothrin, esfenvalerate, and dimethoate,
with LC50 and LC90 values well below the recommended application rates. However,
aphids showed less susceptibility after 48 h to neonicotinoid imidacloprid, with
higher LC90s and wider fiducial limits. This illustrated the potential limitation
of using a 48-h assay to evaluate insecticides with longer-term, sublethal
impacts. Nevertheless, this study made use of a simple aphid-dip method to test
and compare insecticide susceptibility of soybean aphid. In the event of a field
failure, the aphid populations involved can be tested in comparison to a
susceptible greenhouse strain to determine the extent of resistance development.
PMID- 21882705
TI - Differential resistance and cross-resistance to three phenylpyrazole insecticides
in the planthopper Nilaparvata lugens (Hemiptera: Delphacidae).
AB - Cross-resistance to two fipronil analogs, butene-fipronil and ethiprole, was
detected in fipronil-resistant field populations and a resistant laboratory
strain of the planthopper Nilaparvata lugens (Stal) (Hemiptera: Delphacidae),
although the two analogs have not been used widely in rice-growing areas in
China. The results showed that six field populations with 23.8-43.3-fold
resistance to fipronil had reached a higher level of cross-resistance to
ethiprole (resistance ratio [RR] = 47.1-100.9-fold) and had a minor level of
cross-resistance (RR = 3.4- 8.1-fold) to butene-fipronil. After 10 generations of
selection, the RR to fipronil increased from 7.3-fold to 41.3-fold. At the same
time, the insect increased cross-RR to ethiprole from 16.3-fold to 65.6-fold,
whereas it had only minor increase in cross-resistance to butene-fipronil from
2.8-fold to 4.0-fold. These results confirmed that fipronil-resistant N. lugens
could develop a higher level of cross-resistance to ethiprole, although it still
maintained a lower level cross-resistance to butene-fipronil. Our data suggest
that ethiprole is not a suitable alternative for controlling N. lugens, once the
insect has developed a high level resistance to fipronil. Further investigation
is necessary to understand the cross-resistance mechanisms in N. lugens.
PMID- 21882706
TI - Behavioral responses and bioefficacy of some aromatic amides against Aedes
aegypti.
AB - A series of substituted aromatic amides by varying the chain length, substitution
of methyl, methoxy, chloro, and fluoro groups at ortho-, meta-, and para
positions of the phenyl ring of N,N-diethyl-2-phenylacetamide were synthesized.
Laboratory studies were carried out to observe the behavioral responses and
repellent activity of these newly synthesized aromatic amides against Aedes
aegypti (L.) mosquitoes. The deterrent activity of these synthetic amides against
any vectors has not been reported previously. These aromatic amides were tested
for their behavioral responses and compared with the well known insect
repellents, namely, N,N-diethyl toluamide; N,N-diethyl phenylacetamide; and N,N
diethylbenzamide. Out of the 14 compounds synthesized, seven compounds were
selected on the basis of those showing >75% of repellent response for the
bioefficacy test on human volunteers. The potential use of lead compounds in
personal protection management is discussed.
PMID- 21882707
TI - Effect of vermicompost and cucumber cultivar on population growth attributes of
the melon aphid (Hemiptera: Aphididae).
AB - Worldwide, the developing industry of cucumbers (Cucumis sativus L.) grown in
greenhouses is threatened by damage from sucking pests, especially aphids. Among
these, the melon aphid, Aphis gossypii Glover (Hemiptera: Aphididae), is the most
serious. We tested the effect of two cucumber cultivars ('Royal' and'Storm') and
three vermicompost concentrations (0 [control], 20, and 30%) in field soil on the
development and fecundity rates of A. gossypii, by using a randomized complete
block design with four replicates as a factorial experiment. The developmental
times of nymphs reared on plants grown into the three vermicompost concentrations
ranged from 5.5 (0%) to 8.7 (30%) d (on Storm) and from 4.3 (0%) to 7 (30%) d (on
Royal). The developmental time of melon aphid's nymphs was greatest on plants
grown in the culture medium with 30% vermicompost rate and least on plants reared
in the soil without vermicompost. The intrinsic rate of natural increase (r,,) of
A. gossypii ranged from 0.204 d(-1) on plants grown in the soil amended with 30%
vermicompost rate (on Storm seedlings) to 0.458 d(-1) on plants grown in the soil
without vermicompost (on Royal seedlings). Accordingly, our findings confirm that
a combination of a low level of vermicompost and a partially resistant cucumber
cultivar might play an important role in managing this aphid on cucumbers in
greenhouses.
PMID- 21882708
TI - Changes in phytohormones and fatty acids in wheat and rice seedlings in response
to Hessian fly (Diptera: Cecidomyiidae) infestation.
AB - Phytohormones and fatty acids (FAs) play important roles in plant resistance to
insects and pathogens. In this study, we investigated the similarities and
differences in the accumulations of phytohormones and FAs in the resistant wheat
(Triticum aestivum L.) 'Molly' and the nonhost rice (Oryza sativa L.) 'Niponbare'
in responses to Hessian fly, Mayetiola destructor (Say) (Diptera: Cecidomyiidae),
larval attacks. Using chemical ionization-gas-chromatography/mass spectrometry,
we analyzed the concentrations of 13 phytohomones and FAs at the attack site of
wheat and rice plants at 1, 6, 24, or 48 h after the initial attack. Hessian fly
attack resulted in increases of salicylic acid (SA), 12-oxo-phytodienoic acid
(OPDA), palmitic acid (FA16:0), but a decrease of abscisic acid in both wheat and
rice plants. In addition, the accumulation of jasmonic acid (JA) increased,
whereas the accumulation of cinnamic acid (CA) decreased in wheat plants, but no
changes were observed in the accumulation of JA, and the accumulation of CA
increased in rice plants after Hessian fly attack. However, the accumulations of
benzoic acid, strearic acid (FA18:0), and oleic acid (FA18:1) increased in rice
plants, but no changes were observed in wheat plants after Hessian fly attack.
Hessian fly-induced changes were more rapid in wheat plants in comparison with
those in rice plants. Our study suggests that SA and OPDA may be involved in
resistance of wheat and rice plants to Hessian fly and that the R gene-mediated
resistance responses are more rapid than nonhost resistance responses.
PMID- 21882709
TI - No fitness cost for wheat's H gene-mediated resistance to Hessian fly (Diptera:
Cecidomyiidae).
AB - Resistance (R) genes have a proven record for protecting plants against biotic
stress. A problem is parasite adaptation via Avirulence (Avr) mutations, which
allows the parasite to colonize the R gene plant. Scientists hope to make R genes
more durable by stacking them in a single cultivar. However, stacking assumes
that R gene-mediated resistance has no fitness cost for the plant. We tested this
assumption for wheat's resistance to Hessian fly, Mayetiola destructor (Say)
(Diptera: Cecidomyiidae). Our study included ten plant fitness measures and four
wheat genotypes, one susceptible, and three expressing either the H6, H9, or H13
resistance gene. Because R gene-mediated resistance has two components, we
measured two types of costs: the cost of the constitutively-expressed H gene,
which functions in plant surveillance, and the cost of the downstream induced
responses, which were triggered by Hessian fly larvae rather than a chemical
elicitor. For the constitutively expressed Hgene, some measures indicated costs,
but a greater number of measures indicated benefits of simply expressing the H
gene. For the induced resistance, instead of costs, resistant plants showed
benefits of being attacked. Resistant plants were more likely to survive attack
than susceptible plants, and surviving resistant plants produced higher yield and
quality. We discuss why resistance to the Hessian fly has little or no cost and
propose that tolerance is important, with compensatory growth occurring after H
gene-mediated resistance kills the larva. We end with a caution: Given that
plants were given good growing conditions, fitness costs may be found under
conditions of greater biotic or abiotic stress.
PMID- 21882710
TI - Wheat curl mite resistance: interactions of mite feeding with wheat streak mosaic
virus infection.
AB - The majority of plant viruses are dependent on arthropod vectors for spread
between plants. Wheat streak mosaic virus (family Potyviridae, genus
Tritimovirus, WSMV) is transmitted by the wheat curl mite, Aceria tosichella
Keifer, and this virus and vector cause extensive yield losses in most major
wheat (Triticum aestivum L.)-growing regions of the world. Many cultivars in use
are susceptible to this vector-virus complex, and yield losses of 10-99% have
been documented. wheat curl mite resistance genes have been identified in goat
grass, Aegilops tauschii (Coss) Schmal., and transferred to hexaploid wheat, but
very few varieties contain effectively wheat curl mite resistance, due to
virulent wheat curl mite populations. However, wheat curl mite resistance remains
an effective strategy to reduce losses due to WSMV. The goal of our project was
to identify the most effective, reproducible, and rapid method for assessing
wheat curl mite resistance. We also wanted to determine whether mite resistance
is affected by WSMV infection, because the pathogen and pest commonly occur
together. Single and group wheat curl mite infestations produced similar amounts
of leaf rolling and folding on wheat curl mite-susceptible wheat varieties that
were independent of initial wheat curl mite infestation. This finding will allow
accurate, efficient, large-scale screening of wheat germplasm for wheat curl mite
resistance by infesting plants with sections of wheat leaf tissue containing
mixed stages of wheat curl mite. The wheat curl mite-resistant breeding line
'OK05312' displayed antibiosis (reduced wheat curl mite population development).
The effect of WSMV infection on wheat curl mite reproduction was genotype
dependent. Mite populations increased on infected wheat curl mite- and WSMV
susceptible plants compared with uninfected plants, but WSMV infection had no
significant effect on wheat curl mite populations on resistant plants. OK05312 is
a strong source of wheat curl mite resistance for wheat breeding programs.
PMID- 21882711
TI - Efficacy of heat treatment for disinfestation of concrete grain silos.
AB - Field experiments were conducted in 2007 and 2008 to evaluate heat treatment for
disinfestations of empty concrete elevator silos. A Mobile Heat Treatment Unit
was used to introduce heat into silos to attain target conditions of 50 degrees C
for at least 6 h. Ventilated plastic containers with a capacity of 100 g of
wheat, Triticum aestivum L., held Rhyzopertha dominica (F.) (Coleoptera:
Bostrichidae) and Tribolium castaneum (Herbst) (Coleoptera: Tenebrionidae).
Polyvinyl chloride containers with a capacity of 300 g of wheat held adults of
Liposcelis corrodens (Heymons) (Psocoptera: Liposcelididae) and Liposcelis
decolor (Pearman), which were contained in 35-mm Petri dishes within the grain.
Containers were fastened to a rope suspended from the top of the silo at depths
of 0 m (just under the top manhole), 10 m, 20 m, and 30 m (silo floor). When the
highest temperature achieved was approximately 50 degrees C for 6 h, parental
mortality ofR. dominica and T. castaneum, and both psocid species was 98-100%.
Progeny production of R. dominica occurred when there was parental survival, but
in general R. dominica seemed less impacted by the heat treatment than T.
castaneum. There was 100% mortality of L. corrodens at all depths in the heat
treatments but only 92.5% mortality for L. decolor, with most survivors located
in the bioassay containers at the top of the silo. Results show wheat kernels may
have an insulating effect and heat treatment might be more effective when used in
conjunction with sanitation and cleaning procedures.
PMID- 21882712
TI - Freeze mortality characteristics of the mold mite Tyrophagus putrescentiae, a
significant pest of stored products.
AB - The mold mite Tyrophagus putrescentiae (Shrank) is a common pest of stored food
products. Until recently, commodity and facility treatments have relied on
acaricides and fumigants to control this mite. However, T. putrescentiae will
cause infestations in areas where acaricide or fumigant use may be restricted,
prohibited, or highly impractical. Because temperature is an essential factor
that limits the survival of arthropod species, extreme temperatures can be
exploited as an effective method of control. Making low-temperature treatments
reliable requires better temperature-time mortality estimates for different
stages of this mite. This was accomplished by exposing a representative culture
(eggs, nymphs, and adults) of noncold-acclimated T. putrescentiae to subfreezing
temperatures to determine their supercooling points (SCPs), lower lethal
temperatures (LLTs) and lethal times (LTimes) at set temperatures. The results
indicate that the adult and nymphal stages of T. putrescentiae are freeze
intolerant; based on 95% CIs, the adult LLT90 of -22.5 degrees C is not
significantly different from the SCP of -24.2 degrees C and the nymphal LLT90 of
28.7 degrees C is not significantly different from the SCP of -26.5 degrees C.
The egg stage seems to be freeze tolerant, with an LLT90 of -48.1 degrees C,
significantly colder by approximately 13.5 degrees C than its SCP of -35.6
degrees C. The LTime demonstrates that 90% of all mite stages of T. putrescentiae
can be controlled within commodity or packaged product by freezing to -18 degrees
C for 5 h. By achieving the recommended time and temperature exposures, freezing
conditions can be an effective way of controlling mites and reducing chronic
infestations.
PMID- 21882713
TI - Evaluating light attraction to increase trap efficiency for Tribolium castaneum
(Coleoptera: Tenebrionidae).
AB - The red flour beetle, Tribolium castaneum (Herbst) (Coleoptera: Tenebrionidae),
is a major coleopteran pest in flour mills and storage facilities. An aggregation
pheromone has been identified for this pest; however, the pheromone is of limited
value for population monitoring. To develop more efficient methods to monitor
this pest, experiments were conducted to determine whether light functioned as an
attractant for the red flour beetle. Light-emitting diodes (LEDs) of various
wavelengths were examined as light sources because they produce bright, narrow
light spectra. A comparison of responses to light spectra across the visible and
UV regions of the electromagnetic spectrum indicated that the beetle was most
attracted to near UV LED at a 390 nm dominant wavelength. The use of LEDs in
competitive laboratory experiments resulted in a 20% capture of released beetles,
compared with a 1% capture with the aggregation pheromone alone. Even more
beetles were captured with a combination of LEDs and commercially available
chemical lures in traps. LEDs can easily be added onto existing trap designs or
new traps can be designed to take full advantage of positive phototaxis.
PMID- 21882714
TI - Development, survival, and reproduction of the psocid Liposcelis yunnaniensis
(Psocoptera: Liposcelididae) at constant temperatures.
AB - We investigated the effects often constant temperatures (20.0, 22.5, 25.0, 27.5,
30.0, 32.5, 35.0, 37.5, 39.0, and 41.0 degrees C) on the development, survival,
and reproduction of the psocid Liposcelis yunnaniensis Li & Li (Psocoptera:
Liposcelididae). At 39.0 and 41.0 degrees C, none of individuals could develop
successfully or reproduce. From 20 to 37.5 degrees C, the development period from
egg to adult ranged from 64.3 d at 20 degrees C to 16.1 d at 35 degrees C. The
lower developmental threshold for egg, nymph, and combined immature stages were
estimated at 15.08, 15.13, and 14.77 degrees C, respectively. After emergence the
females went through a preoviposition period that ranged from 18.5 d at 22.5
degrees C to 3.11 d at 35 degrees C, whereas it was 16.3 d at 20 degrees C.
Liposcelis yunnaniensis produced most eggs at 35 degrees C and the fewest at 22.5
degrees C. The population reared at 35 degrees C had the highest intrinsic rate
of increase, shorter mean generation time, and shortest population doubling time
compared with other temperatures. According to Weibull frequency distribution, L.
yunnaniensis reared at all the temperatures had type III survivorship curves (c <
1.0). Based on life-table parameter estimations, we suggest that optimum range of
temperatures for this species is from 25 to 37.5 degrees C. These data give us
useful information on population biology of L. yunnaniensis and can be used to
better manage this species.
PMID- 21882715
TI - Contact toxicity, feeding reduction, and repellency of essential oils from three
plants from the ginger family (Zingiberaceae) and their major components against
Sitophilus zeamais and Tribolium castaneum.
AB - The essential oils from rhizomes of Alpinia conchigera Griff, Zingiber zerumbet
Smitt, Curcuma zedoaria (Berg.) Roscoe; their major compounds (camphene, camphor,
1,8-cineole, alpha-humulene, isoborneol, alpha-pinene, beta-pinene and terpinen-4
ol); and synthetic essential oils comprised of mixtures of major pure compounds
in the same ratios as the extracted essential oils were tested for contact,
feeding reduction, and repellency against Sitophilus zeamais Motschulsky and
Tribolium castaneum (Herbst) adults. Via topical applications, the three
extracted oils had similar toxicity against S. zeamais (LD50 fiducial limits: 18
24 microg oil/mg insect). T. castaneum had similar sensitivity to all three oils
(35-58 microg/mg), and it was less sensitive than S. zeamais. The LD50 values of
synthetic A. conchigera and synthetic Z. zerumbet oils were similar to those of
their corresponding extracted essential oils. The synthetic C. zedoaria oils
showed lower contact toxicity than the extracted C. zedoaria oils to both
insects. Sitophilus zeamais and T. castaneum were sensitive to terpinen-4-ol and
isoborneol in contact toxicity tests. In antifeedant tests, the three extracted
oils were able to decrease the consumption of flour disks, especially Z. zerumbet
oils, whereas both insect species could feed on the flour disks treated with
three synthetic essential oils. Only terpinen-4-ol deterred feeding in both
insects. In repellency tests, A. conchigera oils at highest concentration
repelled S. zeamais and T. castaneum. None of the synthetic essential oils
repelled S. zeamais (315 microl/cm2) and T. castaneum (31 microl/cm2) Only
terpinen-4-ol showed repellent activity against both insects.
PMID- 21882716
TI - Perception as interacting psychophysical functions. Could the configuring of
features replace a specialised receptor?
AB - This paper illustrates how perception is achieved through interactions among the
psychophysical functions of judged features of an object. The theory is that the
perceiver places processed features in a multidimensional space of discriminal
processes. Each dimension is scaled in units of discrimination performance. The
zero coordinate of each feature is its level in an internal standard (norm)
established by previous experience of that category of object in context.
Experiments are reported which show that one, two, or three concurrent single
featured objects matched the multiple features of another object in two ways.
Either stimulation from the two objects had discrimination distances from norm
that added, or the stimulation by one object was processed through a concept
describing stimulation by the other object. It follows that, in this case,
perception via a receptor for the multi-featured object can be replaced by a
point of balance among receptors for each single feature. The object with its own
receptor is the gustatory stimulant L-glutamic acid as its monosodium salt. The
features that stimulate diverse gustatory receptors of their own are sodium
chloride, citric acid, sucrose, and caffeine. A more complex approach to
dimensional coding was developed earlier for photoreceptors in colour judgments.
The present approach is modality independent, mathematically simple, and
economical in experimental data.
PMID- 21882717
TI - Action-specific effects underwater.
AB - Action-specific effects on perception are apparent in terrestrial environments.
For example, targets that require more effort to walk, jump, or throw to look
farther away than when the targets require less effort. Here, we examined whether
action-specific effects would generalize to an underwater environment. Instead,
perception might be geometrically precise, rather than action-specific, in an
environment that is novel from an evolutionary perspective. We manipulated ease
to swim by giving participants swimming flippers or taking them away. Those who
estimated distance while wearing the flippers judged underwater targets to be
closer than did participants who had taken them off. In addition, participants
with better swimming ability judged the targets to be closer than did those with
worse swimming ability. These results suggest perceived distance underwater is a
function of the perceiver's ability to swim to the targets.
PMID- 21882718
TI - Object-position binding in visual short-term memory for sequentially presented
unfamiliar stimuli.
AB - The effect of spatial position on visual short-term memory (VSTM) for
sequentially presented objects has been investigated relatively little, despite
the fact that vision in natural environments is characterised by frequent changes
in object position and gaze location. We investigated the effect of reusing
previously examined spatial positions on VSTM for object appearance. Observers
performed a yes-no recognition task following a memory display comprising briefly
presented 1/f noise discs (ie possessing spectral properties akin to natural
images) shown sequentially at random coordinates. At test, single stimuli were
presented either at original spatial positions, new positions, or at a fixed
central position. Results, interpreted in terms of appearance and position
preview effects, indicate that, where original spatial positions were reused at
test, memory performance was elevated by more than 25%, despite that spatial
position was task-irrelevant (in the sense that it could not be used to
facilitate a correct response per se). This study generalises object-spatial
position binding theory to a sequential display scenario in which the influences
of extrafoveal processing, spatial context cues, and long-term memory support
were minimised, thereby eliminating the hypothesis that object priming is the
principal cause of the 'same-position advantage' in VSTM.
PMID- 21882719
TI - Different signals of personality and health from the two sides of the face.
AB - Previous studies demonstrate that people with different personality traits have
different-looking faces. We investigated whether personality and health
information are differently signalled by the two hemifaces. Using composite
images created from women with high and low scores on health and personality
dimensions, we investigated discrimination accuracy with original and mirrored
hemifaces. By comparing discrimination accuracy for particular types of hemiface,
we address issues regarding both the location of information signals and how
these signals are conveyed. From the hemiface stimuli, participants could
accurately identify three of the Big Five traits, along with health. We found
differences in which hemiface could be more accurately identified, depending on
the expressed trait. Emotional stability and health were more accurately
discriminated from the right hemiface, while extraversion showed higher accuracy
from the left hemiface. We found evidence for differences between hemifaces
related to both directional asymmetries and to other information content.
Finally, our results also address ongoing debate about which side of the face is
more attractive, as we found attractiveness differences between hemifaces
depended upon the personality trait most clearly expressed.
PMID- 21882721
TI - Haptic object recognition: how important are depth cues and plane orientation?
AB - Raised-line drawings of familiar objects are very difficult to identify with
active touch only. In contrast, haptically explored real 3-D objects are usually
recognised efficiently, albeit slower and less accurately than with vision. Real
3-D objects have more depth information than outline drawings, but also extra
information about identity (eg texture, hardness, temperature). Previous studies
have not manipulated the availability of depth information in haptic object
recognition whilst controlling for other information sources, so the importance
of depth cues has not been assessed. In the present experiments, people named
plastic small-scale models of familiar objects. Five versions of bilaterally
symmetrical objects were produced. Versions varied only in the amount of depth
information: minimal for cookie-cutter and filled-in outlines, partial for
squashed and half objects, and full for 3-D models. Recognition was faster and
much more accurate when more depth information was available, whether exploration
was with both hands or just one finger. Novices found it almost impossible to
recognise objects explored with two hand-held probes whereas experts succeeded
using probes regardless of the amount of depth information. Surprisingly, plane
misorientation did not impair recognition. Unlike with vision, depth information,
but not object orientation, is extremely important for haptic object recognition.
PMID- 21882720
TI - Gaze patterns during identity and emotion judgments in hearing adults and deaf
users of American Sign Language.
AB - Deaf individuals rely on facial expressions for emotional, social, and linguistic
cues. In order to test the hypothesis that specialized experience with faces can
alter typically observed gaze patterns, twelve hearing adults and twelve deaf,
early-users of American Sign Language judged the emotion and identity of
expressive faces (including whole faces, and isolated top and bottom halves),
while accuracy and fixations were recorded. Both groups recognized individuals
more accurately from top than bottom halves, and emotional expressions from
bottom than top halves. Hearing adults directed the majority of fixations to the
top halves of faces in both tasks, but fixated the bottom half slightly more
often when judging emotion than identity. In contrast, deaf adults often split
fixations evenly between the top and bottom halves regardless of task demands.
These results suggest that deaf adults have habitual fixation patterns that may
maximize their ability to gather information from expressive faces.
PMID- 21882722
TI - Labeling, identification, and recognition of wine-relevant odorants in expert
sommeliers, intermediates, and untrained wine drinkers.
AB - In this study we examined the development of wine expertise. We asked four groups
-untrained wine drinkers, second- and third-level trainee sommeliers, and
professional sommeliers--to engage in a range of olfactory tasks to assess
perceptual and semantic aspects of expertise. These tasks included
identification, recognition, and description of a range of domain-specific and
common odour stimuli, including wines. Trainee sommeliers were significantly
poorer at identification of wine-relevant odours than untrained wine drinkers and
professional sommeliers. Trainee and professional sommeliers were, however,
significantly better than untrained wine drinkers in a delayed matching-to-sample
wine-recognition task, but not in the case of other odorous stimuli. The wine
description task demonstrated a degree of skill, in terms of specificity and
quantity of wine-relevant descriptors, as a function of expertise. These results,
of one of the first studies of examining wine expertise by a cross-sectional
developmental approach, indicate that perceptual aspects of expertise are
probably rapidly acquired, being present even in the second- and third-level
trainees, while semantic expertise is slower to develop, and may incur time for
the identification of wine-specific odorants during training.
PMID- 21882723
TI - Increment of the extinction illusion by long stimulation.
AB - We quantitatively examined the effect of stimulus duration on the extinction
illusion. A white disc was presented or not presented at an intersection of a
grey grid (intersection configuration) or on a homogeneous background (background
configuration). The extinction illusion was quantified as the subtraction of no
disc responses in the background configuration (ie baseline) from no-disc
responses in the intersection configuration, when the disc was presented.
Experiment 1 showed a temporal effect: the extinction illusion increased as
stimulus duration increased; this temporal effect was observed when the disc was
presented at 9 deg from the fixation point and when the stimulus duration was
1000-6000 ms. Experiment 2 showed a visual field anisotropy: the extinction
illusion occurred more frequently in the upper visual field than in the lower
visual field, when the stimulus duration was 200 ms; the anisotropy was not
observed when the stimulus duration was 6000 ms. Experiment 3 showed an alley
length effect: when the grid alley was long, the extinction illusion occurred
more frequently in the 6000 ms condition than in the 200 ms condition; the
temporal effect was not observed when the grid alley was short. These results
suggest that the temporal effect of the extinction illusion might be due to
perceptual filling-in of luminance information of the grid alley.
PMID- 21882724
TI - Relative influences of lightness and facial morphology on perceived race.
AB - In a recent study (Brooks and Gwinn, 2010 Perception 39 1142-1145), the lightness
contrast illusion was employed to study the influences of skin tone and facial
morphology on race perception. The findings were rather counterintuitive: they
suggested that skin tone does not play a major role in racial categorisation. To
investigate this further, we used a parametric paradigm including five lightness
levels, five morphing levels, and two face orientations. In accordance with
Brooks and Gwinn, we found that race categorisation of African-American and
Caucasian faces by Caucasian participants relied mainly on morphological cues.
However, the relative influence of lightness increased when morphological
information was ambiguous and when the faces were upside down. Overall, the
results point to a flexible multicue-based mechanism underlying race perception.
PMID- 21882725
TI - Me, myself, and I: different recognition rates for three photo-IDs of the same
person.
AB - In all contemporary societies, photo-identity documents are used routinely for
person identification, but this process is surprisingly fallible. Here we show
that this problem is not limited to the identification of specific photographs of
a person, but transcends three identity cards of the same person with different
images. These identity cards varied substantially from each other in how well
they could be recognised but identification rates were generally poor. We also
present a potential solution to this problem by demonstrating that person
identification can be improved when several photographs of the same person are
made available.
PMID- 21882726
TI - Flashed face distortion effect: grotesque faces from relative spaces.
AB - We describe a novel face distortion effect resulting from the fast-paced
presentation of eye-aligned faces. When cycling through the faces on a computer
screen, each face seems to become a caricature of itself and some faces appear
highly deformed, even grotesque. The degree of distortion is greatest for faces
that deviate from the others in the set on a particular dimension (eg if a person
has a large forehead, it looks particularly large). This new method of image
presentation, based on alignment and speed, could provide a useful tool for
investigating contrastive distortion effects and face adaptation.
PMID- 21882727
TI - Waiting can be so hard.
PMID- 21882728
TI - Marion's message. Working internationally: how to identify (and avoid) cultural
imperialism.
PMID- 21882729
TI - Allowing birth to be birth: re-mystifying second stage.
PMID- 21882730
TI - Midwifing second stage.
PMID- 21882731
TI - Prenatal vitamins: helpful or harmful?
PMID- 21882733
TI - An exploration of unusally long second stage: redefining normal.
PMID- 21882732
TI - Birth from baby's view.
PMID- 21882734
TI - Understanding stages of labour after the paradigm shift.
PMID- 21882735
TI - A VBAC in the kitchen.
PMID- 21882736
TI - Second stage evolution.
PMID- 21882737
TI - Getting pushy.
PMID- 21882738
TI - Vitamin K; did nature get it right?
PMID- 21882739
TI - Labor pattern and management during a prolonged second stage.
PMID- 21882740
TI - Getting to second stage.
PMID- 21882741
TI - Why wait until second stage?
PMID- 21882742
TI - An overview of upright positions during second stage labor.
PMID- 21882743
TI - How to support the autonomy of motherbaby in second stage of waterbirth.
PMID- 21882744
TI - Father's day surprise.
PMID- 21882746
TI - New birth paradigm.
PMID- 21882745
TI - Birth and freedom.
PMID- 21882747
TI - Policy changes in the UK.
PMID- 21882748
TI - Transitional phase of a new childbirth paradigm: Slovenia on the crossroad.
PMID- 21882749
TI - In search of hope for Rita.
PMID- 21882750
TI - Three stories, two continents, one birth journey.
PMID- 21882751
TI - Planned homebirth in Brazil with nurse-midwife assistance: perceptions of women
and companions.
PMID- 21882752
TI - Due for change?
PMID- 21882753
TI - The story of Bridget Shevlane: a labor of love.
PMID- 21882754
TI - More meaning for meaningful use?
PMID- 21882755
TI - Reshaping healthcare at the clinical boratory level.
PMID- 21882756
TI - LC-MS/MS in the clinical lab: strengths, applications, challenges.
PMID- 21882757
TI - Full micro-lab automation mass spectroscopy: fast and reliable microorganism
identification within reach.
PMID- 21882758
TI - The 'pneu' in pneumatic tube systems.
PMID- 21882759
TI - Take steps to prevent spoliation when using electronic records.
PMID- 21882760
TI - Molecular detection of toxigenic cdifficile: toxin A or B gene?
PMID- 21882761
TI - Always be ready: biohazard or pandemic, preparation is key.
PMID- 21882762
TI - Bacterial biofilms and HAIs.
PMID- 21882763
TI - A new reflex testing algorithm for syphilis screening.
AB - The laboratory diagnosis of syphilis is made possible through using a combination
of non-treponemal and treponemal tests. The use of only one type of test when
positive, without a confirmatory test being performed, is not sufficient for
diagnosis because of false-positive results due to different medical conditions.
All test results must be interpreted carefully, together with the patient's
clinical history and symptoms, to arrive at a clinical diagnosis of syphilis.
PMID- 21882764
TI - Biocare Medical CEO touts multiplex solution to solve complex cases.
PMID- 21882765
TI - MAJOR milestone. Project brings two operations together under one roof.
PMID- 21882766
TI - Baby watch. Ensuring proper operation of electronic infant-protection systems.
PMID- 21882767
TI - Never again. The role of design in preventing 'never events'.
PMID- 21882768
TI - Future directions. Vendors roll out the next generation of health care signage.
PMID- 21882769
TI - Outpatient options. A look at the changing ambulatory care facility.
PMID- 21882770
TI - Silent menace. C. difficile and its threat to health care facilities.
PMID- 21882771
TI - A new paradigm for military humanitarian medical operations: mission-generic
metrics.
AB - OBJECTIVE: We report the history of military humanitarian medical operations,
define the current science of process and outcome evaluation, and propose a set
of generic metrics for monitoring and evaluation in military humanitarian
operations. METHODS: We comprehensively reviewed the unclassified literature and
used our own experiences in military humanitarian activities. RESULTS: Our
literature review shows that efforts to evaluate the relative quality or cost
effectiveness of military humanitarian missions have been largely unsuccessful.
In response to this finding, the authors propose a monitoring and evaluation
checklist system with generic metrics, which are broadly applicable but also can
be customized specifically for the user. CONCLUSIONS: Military humanitarian
operations can provide substantial security value to their many different
stakeholders. Refinement of our proposed mission-generic metrics list is one
method to measure performance and relative quality. Better assessment of outcomes
can clarify decisions about the utilization of limited military medical
humanitarian funds and personnel.
PMID- 21882772
TI - The supply of pharmaceuticals in humanitarian assistance missions: implications
for military operations.
AB - In this article, we provide an overview of key international guidelines governing
the supply of pharmaceuticals during disasters and complex emergencies. We review
the World Health Organization's guidelines on pharmaceutical supply chain
management and highlight their relevance for military humanitarian assistance
missions. Given the important role of pharmaceuticals in addressing population
health needs during humanitarian emergencies, a good understanding of how
pharmaceuticals are supplied at the local level in different countries can help
military health personnel identify the most appropriate supply options.
Familiarity with international guidelines involved in cross-border movement of
pharmaceuticals can improve the ability of military personnel to communicate more
effectively with other actors involved in humanitarian and development spheres.
Enhancing the knowledge base available to military personnel in terms of existing
supply models and funding procedures can improve the effectiveness of
humanitarian military operations and invite policy changes necessary to establish
more flexible acquisition and funding regulations.
PMID- 21882773
TI - Finally "deliberate by design": milestones in the delivery of health care for
U.S. military family members.
AB - The development of medical care for U.S. military families and retirees was
serendipitous, a fortunate accident. The formal development of military family
medical care required the evolution of three factors: the emergence of a standing
army, frontiers to guard, and a peace to defend. These factors were first
realized in the late 19th Century, and beginning at that point in U.S. history,
seven key years highlight major milestones in the history of military family
member medical care. At the same time, these years exemplify changing ideas of
disease and of health care and how the physical design of clinics and hospitals
reflects and impacts these ideas. The Fort Belvoir Community Hospital, which
opens in 2011, exemplifies the Nation's best example of green hospital
construction, patient and family centered care, and evidence-based design in a
Culture of Excellence that demonstrates that military family medical care is
finally "deliberate by design."
PMID- 21882774
TI - Deployment-related testing and treatment for latent tuberculosis infection, Part
I.
AB - Current Topics in Military Tropical Medicine is a Continuing Medical Education
series, which updates military medical personnel on questions related to clinical
practice while deployed. This issue is Part I of a two-part series on the
approach to decision to test, testing and management of latent tuberculosis
infection. A representative case is explored in both parts to highlight how to
approach service members and their units with regards to latent tuberculosis
infection screening and intervention.
PMID- 21882775
TI - Bringing in the Bystander in-person prevention program to a U.S. military
installation: results from a pilot study.
AB - OBJECTIVES: This pilot study describes an evaluation of the Bringing in the
Bystander (BITB) in-person program conducted with United States Army Europe
personnel. METHODS: The sample was comprised of 394 soldiers (29% participated in
and 71% had not participated in the BITB program). Data were analyzed 4V2 months
after the program was presented. RESULTS: Compared to the soldiers who did not
participate in the program, soldiers who participated in the program were
significantly more likely to report that they had engaged in one or more of the
117 behaviors, that they had helped an acquaintance or a stranger, and that they
had taken action when they saw sexual assault or stalking occurring, about to
occur or after it had occurred. CONCLUSIONS: The results indicate that with
thoughtful and appropriate modifications, the BITB in-person prevention program,
initially developed for a college audience, can be transferred to a military
audience.
PMID- 21882776
TI - Complex regional pain syndrome in an 8-year-old female with emotional stress
during deployment of a family member.
AB - INTRODUCTION: This pediatric case of complex regional pain syndrome (CRPS)
illustrates the need to expand the typical age range and raise awareness of the
psychological impact military deployment may have on its development. CASE: An
emotional 8-year-old female, with a recently deployed father, presented with left
foot pain. Over an 11-week-period, she developed symptoms, signs, and radiologic
findings consistent with CRPS. DISCUSSION: Pediatric CRPS is characterized by
ecchymosis, edema, allodynia, mottling, and abnormal hair growth in the region of
pain after minor trauma. It occurs predominately in adolescent females, mainly
affects the lower limbs, and is associated with psychological stressors. This
patient with CRPS presents several years younger than what is commonly described
in the literature in the stressful setting of a deployed parent. CONCLUSION: CRPS
can occur in younger than expected age ranges of children who experience the
unique emotional stressor of a deployed family member.
PMID- 21882777
TI - Sleep disruptions among returning combat veterans from Iraq and Afghanistan.
AB - BACKGROUND: Post-traumatic stress disorder (PTSD) and traumatic brain injury
(TBI) are common injuries among returning combat veterans from the wars in Iraq
and Afghanistan. Although these combat injuries have been associated with
increased sleep disruption, little is known about the nature and specificity of
sleep problems within these common injury categories. METHOD: A retrospective
chart review of 69 consecutive referrals to the Waiter Reed Army Medical Center
sleep clinic was conducted. All cases were active duty soldiers who had recently
returned from combat deployment in Iraq or Afghanistan. Data from
polysomnographically (PSG) recorded sleep stages, sleepiness scales, and
documented medical diagnoses were extracted from medical records. Sleep data were
compared across diagnoses of PTSD, TBI, and other clinical conditions. RESULTS:
As expected, clinical sleep disturbances, including rates of obstructive sleep
apnea, excessive awakenings, daytime sleepiness, and hypoxia, were high for the
sample as a whole. However, no differences across diagnostic groups were found.
Differences were observed, however, on PSG measures of sleep quality, suggesting
more frequent arousals from sleep among patients with PTSD and greater slow wave
sleep among those with TBI. Except for REM latency, medication status had
virtually no effect on sleep variables. CONCLUSIONS: Among recently redeployed
combat veterans, clinically significant sleep disturbances and problems with
sleep-disordered breathing are common but nonspecific findings across primary
diagnoses of PTSD, TBI, major depression, and anxiety disorder, whereas more
subtle differences in sleep architecture and arousals as measured by overnight
PSG recordings were modestly, but significantly, effective at distinguishing
among the diagnostic groups.
PMID- 21882778
TI - Anaphylaxis: assessment of a disease-based military medical standard.
AB - Although widespread, the use of disease-based employment medical standards is
poorly understood or researched. A probabilistic model and threshold value are
developed and applied to a military (Canadian Forces [CF]) medical standard for
anaphylaxis. Frequency estimates of prevalence, occurrence, and impairing
reactions are determined from the literature for military applicants and from
medical chart review of military members identified by prescriptions for self
administered epinephrine. The prevalence of prescriptions is 1.13% (CI 1.05,
1.22) and 0.86% (CI 0.72, 1.00) in the CF Regular Force and applicant
populations, respectively. The proposed model predicts the annual risk of an
impairing allergic reaction in the CF population ranges from 0.1% to 0.16%/year,
well below the proposed threshold of 0.5%. The majority of this risk arises from
new cases and not recurrences. Requirement for care increases with recurrence.
This model allows a useful method of disease-based medical standard review.
PMID- 21882780
TI - Diagnoses and mechanisms of musculoskeletal injuries in an infantry brigade
combat team deployed to Afghanistan evaluated by the brigade physical therapist.
AB - Musculoskeletal injuries are the most common cause for disability in deployed
environments. Current research is limited to body region affected by the injury.
OBJECTIVE: To determine the prevalence of musculoskeletal diagnoses and
mechanisms of injury (MOI) as well as associations to specific Military
Occupational Specialties (MOS) in a deployed Brigade Combat Team (BCT). METHODS:
Data collected on 3,066 patient encounters by the Brigade Combat Team physical
therapist over 15 months were analyzed using descriptive statistics and X2 tests.
RESULTS: Mechanical low back pain was the most common diagnosis (19%), whereas
overuse was the most prevalent MOI (22%). The Infantry MOS was significantly
associated with meniscal tears and pre-existing injuries, the Maintenance MOS
with contusions, Signal and Transportation MOSs with weight lifting injuries, and
the Administrative MOS with running injuries. CONCLUSION: Different MOSs are
preferentially susceptible to different diagnoses and MOIs. Therefore, different
injury prevention strategies may be needed across occupations.
PMID- 21882779
TI - The relationship between Gulf War illness, brain N-acetylaspartate, and post
traumatic stress disorder.
AB - A previous study (Haley RW, Marshall WW, McDonald GG, Daugherty MA, Petty F,
Fleckenstein JL: Brain abnormalities in Gulf War syndrome: evaluation with 1H MR
spectroscopy. Radiology 2000; 215: 807-817) suggested that individuals with Gulf
War Illness (GWI) had reduced quantities of the neuronal marker N-acetylaspartate
(NAA) in the basal ganglia and pons. This study aimed to determine whether NAA is
reduced in these regions and to investigate correlations with other possible
causes of GWI, such as psychological response to stress in a large cohort of Gulf
War veterans. Individuals underwent tests to determine their physical and
psychological health and to identify veterans with (n=81) and without (n=97) GWI.
When concentrations of NAA and ratios of NAA to creatine- and choline-containing
metabolites were measured in the basal ganglia and pons, no significant
differences were found between veterans with or without GWI, suggesting that GWI
is not associated with reduced NAA in these regions. Veterans with GWI had
significantly higher rates of post-traumatic stress disorder, supporting the idea
that GWI symptoms are stress related.
PMID- 21882781
TI - Association between barracks type and acute respiratory infection in a gender
integrated Army basic combat training population.
AB - BACKGROUND: Acute respiratory infections (ARIs) are the leading cause of acute
morbidity and lost work time in the United States. Few studies have looked at
building design and transmission of ARIs. OBJECTIVES: This study explores the
association of ventilation design, room occupancy numbers, and training week with
ARI rates in Army Basic Combat Training barracks. METHODS: This observational
study captured the overall incidence of ARI in a cohort of 16,258 individuals
attending basic combat training at Fort Jackson, South Carolina. RESULTS: ARI
risk was higher among trainees living in the 60-person room barracks compared
with those living in 8-person rooms, which increased rapidly for the first few
weeks of training and then declined to baseline. CONCLUSIONS: Findings support
direct contact as primary ARI transmission mode in this study population based on
observed lower ARI risk in smaller room barracks and similar risk in large room
barracks despite heating, ventilation, and air conditioning system variability.
PMID- 21882782
TI - Assessment of changes in mental health conditions among sailors and marines
during postdeployment phase.
AB - Previous research regarding the mental health ramifications of military
deployments focused on the U.S. Army population. As part of its deployment health
surveillance mission, Navy and Marine Corps Public Health Center conducted a
study of the Department of Navy population to identify reported mental health
effects associated with Operation Iraqi Freedom, describe mental health care
utilization by returning service members previously deployed in support of
Operation Iraqi Freedom, and examine the relationships between self-identified
risks and provider referral practices. Despite a considerable number of self
reported mental health concerns, referral for mental health consultations and
health care utilization were rare. The psychological well-being of service
members is essential to the military's optimum functionality and operational
readiness; therefore, continued research in this area has significant bearing on
future force health protection efforts. Additionally, this study highlights the
need for further research on deployment-related mental health concerns.
PMID- 21882783
TI - Self-reported physical activity and preaccession fitness testing in U.S. Army
applicants.
AB - The Assessment of Recruit Motivation and Strength (ARMS) study evaluated a
physical fitness screening test for Army applicants before basic training. This
report examines applicants' self-reported physical activity as a predictor of
objective fitness measured by ARMS. In 2006, the ARMS study administered a
fitness test and physical activity survey to Army applicants during their medical
evaluation, using multiple logistic regression for comparison. Among both men and
women, "qualified" and "exceeds-body-fat" subjects who met American College of
Sports Medicine adult physical activity guidelines were more likely to pass the
fitness test. Overall, subjects who met physical activity recommendations,
watched less television, and played on sports teams had a higher odds of passing
the ARMS test after adjustment for age, race, and smoking status. This study
demonstrates that self-reported physical activity was associated with physical
fitness and may be used to identify those at risk of failing a preaccession
fitness test.
PMID- 21882784
TI - Pregnant soldiers' participation in physical training: a descriptive study.
AB - This study identifies factors that influence U.S. Army soldiers' participation in
the Pregnant Soldiers Wellness Program (PSWP), an exercise and wellness education
program for soldiers who are either pregnant or in the postpartum period. A
retrospective survey was administered prior to initial postpartum hospital
discharge. Seventy-four soldiers who delivered babies at Womack Army Medical
Center participated in this study. Of those surveyed, 66.2% of respondents
participated in the PSWP, 59.5% were encouraged to participate by their provider.
Few participants stated that the overall safety, structure, and quality of the
PSWP were important factors contributing to their participation. Additionally,
less than 20% reported that instructor's knowledge influenced their decision to
participate in the PSWP. Most soldiers participated in the program for the health
of their fetus and to quickly return to required Army weight standards. This
study offers insights that will potentially increase the overall soldier
participation rate in the PSWP, thus promoting greater health benefits for the
pregnant soldier and increasing sustainment of force readiness.
PMID- 21882785
TI - The introduction of extracorporeal membrane oxygenation to aeromedical
evacuation.
AB - OBJECTIVE: To review the principles of extracorporeal membrane oxygenation (ECMO)
and to describe the recent advancements in ECMO technology that permit use of
this rescue therapy for severe lung injury in combat casualties. METHODS/RESULTS:
Lung protective ventilation has defined the state-of-the-art treatment for acute
lung injury for more than a decade. Despite the benefits provided by a low tidal
volume strategy, lung injury patients may experience deterioration in gas
exchange to the point that other rescue interventions are needed or the patient
succumbs to progressive respiratory failure. When this occurs in combat
casualties, management of the patient in an austere environment and movement to
definitive care become problematic. Recent advances in ECMO technology permit
long-range transport of these critically ill casualties with greater physiologic
reserve and potentially less mortality. CONCLUSIONS: Advances in ECMO technology
now enable the stabilization and aeromedical evacuation of even the most
critically ill combat casualties with severe lung injury.
PMID- 21882786
TI - Retrospective case series of five nontraumatic deaths among U.S. Air Force basic
military trainees (1997-2007).
AB - INTRODUCTION: Basic military training is both physically and mentally demanding.
New recruits represent a young and relatively healthy subpopulation of
individuals, and premature mortality is rare. The purpose of this retrospective
case series is to discuss the causes of nontraumatic deaths among U.S. Air Force
Basic Military Trainees (BMTs) at Lackland Air Force Base in San Antonio, Texas.
STUDY OBJECTIVE: The study objective is to describe the demographic,
environmental, and clinical factors associated with nontraumatic deaths among
BMTs. METHODS: Data were extracted from medical records and autopsy reports.
RESULTS: During the time period of 1997 to 2007, there were 5 nontraumatic
deaths. CONCLUSION: Implementation of new policies or revisions to existing
policies has reduced the number of nontraumatic deaths in the U.S. Air Force BMT
population.
PMID- 21882787
TI - A rare case of anaphylaxis to bowel prep: a case report and review of the
literature.
AB - Polyethylene glycols (PEGs) are commonly utilized as a bowel prep prior to
colonoscopy. They are generally well-tolerated, and reports of allergic reactions
are rare. A recent search of the literature reveals only 4 prior reported cases
of allergic reactions and only 1 other case of anaphylaxis. We report a second
case of anaphylaxis following the ingestion of PEG solution, making this the
fifth reported case of an allergic reaction to PEG bowel prep.
PMID- 21882788
TI - Brugada syndrome unmasked by fever.
AB - Brugada syndrome (BS) is a cardiac rhythm disturbance that predisposes patients
to sudden cardiac death. Brugada is classically described with specific
electrocardiographic (EKG) findings of ST elevation and right bundle branch block
in precordial leads and is an often unrecognized contributor to sudden cardiac
death. We present a case of BS with cyclic EKG findings in a febrile 20-year-old
active duty, Vietnamese male who presented following a witnessed syncopal event.
His classic findings of Brugada pattern on EKG demonstrated reversibility with
clinical defervescence. In patients with a suggestive history, a normal EKG
cannot definitively rule out BS as the Brugada pattern can be unmasked by stress,
which in this case was represented by a pneumonia-induced fever.
PMID- 21882789
TI - Performance of military tasks after clavicle plating.
AB - Management of displaced midshaft clavicle fractures in the military, a largely
shoulder-bearing population, is controversial. We aimed to report the military
relevant functional outcomes after plate fixation. We performed a nested cross
sectional analysis of active duty service members enrolled in an ongoing
multicenter, randomized trial on clavicle plating. For this analysis, we included
subjects with 26 months follow-up. Outcome measures included radiographic
appearance, physical examination, a military-specific questionnaire, and
validated shoulder surveys. Mean follow-up for 28 clavicle fractures was 13
months. Union rate by 12 weeks was 93% (26/28). There was one case of soft tissue
irritation requiring hardware removal. At latest follow-up, 75% of patients were
satisfied; 68% had mild/no pain; 79% had full range of motion; 75% could perform
push-ups; and 21% have deployed. For the majority of active duty personnel, rapid
healing, return to military-specific tasks, and satisfaction with outcome are
possible after plate fixation of clavicle fractures. However, approximately 25%
report some functional limitations at 1 year.
PMID- 21882790
TI - Prevalence of short partial thromboplastin times in a military treatment
facility.
AB - BACKGROUND: It was recently noted that a shortened activated partial
thromboplastin time (aPTT) is associated with increased venous thromboembolic
events. The prevalence of aPTT shortening remains unknown. METHODS: We conducted
a retrospective analysis of aPTT results over a 2-month period. These results
were not associated with patient clinical information. RESULTS: We obtained 3,376
aPTT samples, which were analyzed in groups: <25.0, 25.0-35.0, and >35.0 seconds
(two standard deviations from our laboratory's normal values). Eighty-six samples
had aPTT<25 (8.5%), 2,026 samples between 25.0-35.0 (60.0%), and 1,064
samples>35.0 (31.5%). Using chi-square goodness-of-fit, we found a clinically
significant greater-than-expected prevalence of low aPTT levels (p<0.001).
CONCLUSIONS: Although elevated aPTT samples could be explained by anticoagulation
therapy, the reason for our findings of an increased number of low-aPTT studies
remains unexplained. Further studies are required to investigate the clinical
correlation of low aPTT levels and the incidence of venous thromboembolic events
(VTEs) in our population.
PMID- 21882791
TI - Heat strain during explosive ordnance disposal.
AB - Bomb technicians perform their work while encapsulated in explosive ordnance
disposal suits. Designed primarily for safety, these suits have an unintended
consequence of impairing the body's natural mechanisms for heat dissipation.
Consequently, bomb technicians are known to experience symptoms of heat illness
while performing their work. This research provides the first field based
analysis of heat strain in bomb technicians. Six participants undertook simulated
operational tasks across 2 days of variable climate. All subjects demonstrated
high levels of heat strain as evidenced by elevated heart rate, core body
temperature, and physiological strain index. Participants also reported signs and
symptoms associated with heat illness. These results were exacerbated by more
intense physical activity despite being undertaken in a cooler environment. The
universal experience of heat strain in this sample has significant implications
for the health of bomb technicians and additional research examining methods to
improve temperature regulation and performance is warranted.
PMID- 21882792
TI - Negative-pressure pulmonary edema following a lateral internal sphincterotomy.
AB - Negative-pressure pulmonary edema (NPPE) is an infrequent but known postoperative
complication following endotracheal intubation and general anesthesia. We report
a case of a healthy 24-year-old man requiring intensive care unit management for
NPPE following a routine surgical procedure. This article discusses how rare but
serious the complication of NPPE can be; it also describes the diagnosis,
evaluation, and treatment from one institution's experience.
PMID- 21882793
TI - Quality: the impact of rehabilitation nurses on patient outcomes.
PMID- 21882794
TI - Communication: the key to effective interdisciplinary collaboration in the care
of a child with complex rehabilitation needs.
AB - Healthcare providers have the unique opportunity to provide care and treatment to
patients with complex medical needs. They learn early in their careers that the
care they provide doesn't just include the patient. Communication is essential
across the continuum and involves multiple providers and the family. An
interdisciplinary team facilitates a comprehensive plan for recovery and
treatment. This case study centers on the interdisciplinary approach to the
rehabilitation continuum of care across the spectrum and its effects on patient
outcomes.
PMID- 21882795
TI - Introduction of rehabilitation nursing concepts in Cambodia.
AB - Cambodia is a poor country in Southeast Asia; 80% of its 14.1 million people are
sustenance farmers (Central Intelligence Agency, 2006). Health Volunteers
Overseas, based in Washington, DC, and Sihanouk Hospital of Hope in Phnom Penh,
Cambodia, collaborate to recruit master's-prepared nurse educators to participate
in volunteer teaching trips to enhance the knowledge and skill set of Cambodian
staff nurses. A methodical series of steps were taken to develop a basic lecture
series regarding the care of patients with brain and spinal cord injuries, taking
into consideration Cambodian healthcare beliefs and health system resources. This
article describes the processes used to develop the lectures and the realities of
teaching on the other side of the world.
PMID- 21882796
TI - Invest in the future: become a volunteer in your professional nursing
organization.
AB - In this article, volunteer activity in professional organizations is explored.
Volunteerism is defined as the giving of a person's time, energy, and talent to
organizations without monetary compensation. A combination of other-serving or
altruistic and self-serving or instrumental factors motivates people to
volunteer. Examples of these motivations include wanting to help others,
contributing to an important cause, encouraging an action, belonging to a
community that promotes a positive sense of self believing in a professional
commitment to serve others, and being passionate about the things an organization
brings to a profession. Challenges surrounding volunteerism are discussed in this
article, and activities and opportunities for volunteer involvement in
professional organizations are illustrated. Personal examples related to the
Association of Rehabilitation Nurses (ARN) that detail the ways in which members
can get involved also are highlighted.
PMID- 21882797
TI - Nurses' experiences with bed exit alarms may lead to ambivalence about their
effectiveness.
AB - The literature reports conflicting evidence regarding the effectiveness of any
single intervention, including bed exit alarms, in preventing falls. Yet bed exit
alarms are widely used in healthcare settings as part of comprehensive fall
prevention programs even though no large-scale randomized controlled trials have
demonstrated their effectiveness. As a part of a quality improvement project, bed
alarms were piloted on two nursing units in a Level I trauma center. Nurses'
patterns of use, their experiences and beliefs about bed alarms, and the
literature regarding bed exit alarms were explored. Alarms were used with
confused and agitated patients who did not fall. Nurses said that bed alarms may
have helped prevent falls, but, even with bed alarms in use, nurses still needed
to monitor their patients hourly. The conflicting experiences of nurses using the
alarms, combined with nurses' comments and literature both supporting and not
supporting bed alarms, shed light on the dilemma nurses face when prioritizing
safe patient care and the ambivalence some nurses experience regarding bed
alarms.
PMID- 21882798
TI - Therapeutic music and nursing in poststroke rehabilitation.
AB - Individuals who experience stroke undergo a critical rehabilitation process with
the aid of professionals including physical, occupational, and speech therapists,
as well as primary care from nursing staff. However, the extent of the role that
music can play in facilitating the rehabilitation process is unknown. Board
certified music therapists are employed in several capacities within the
rehabilitation environment. There is a need for nursing professionals in this
area to better understand the role a music therapist may play and how they can
assist clients in using music in a therapeutically beneficial way. The purpose of
this article is to educate nurses about music therapy and provide evidence for
the therapeutic use of music in the rehabilitation setting for victims of stroke.
PMID- 21882799
TI - Case management in care of Turkish rheumatoid arthritis patients.
AB - This study examined the effectiveness of a case management (CM) intervention in
the care of patients with rheumatoid arthritis (RA) as a pilot study in a
teaching hospital in Turkey. Two groups were compared with respect to disability,
quality of life, cost, and patient satisfaction: RA patients who received CM plus
usual nursing care and RA patients who received usual nursing care alone. All
patients underwent follow-up interviews at 3 and 6 months after being discharged
from the hospital. Disability scores were significantly better in the RA group
receiving CM, but there were no significant differences between the two groups
with regard to quality of life, patient satisfaction, and total healthcare costs.
Using CM in the care of patients with RA may favorably affect disease-related
outcomes.
PMID- 21882800
TI - Delirium: a critical diagnosis for every member of the rehabilitation team.
PMID- 21882801
TI - Urinary tract infections in patients admitted to rehabilitation from acute care
settings: a descriptive research study.
AB - The use of an indwelling urinary catheter comes with associated risks. At a
hospital in southern California, nurses on the acute rehabilitation unit
suspected their patients were arriving from acute care with undiagnosed urinary
tract infections (UTIs). This descriptive research study quantified the incidence
of UTI on admission to a rehabilitation unit and correlations with catheter use.
During the study period, 132 patients were admitted to acute rehabilitation from
an acute care setting, and 123 met criteria to participate in the study. Among
participants, 12% had a UTI upon admission. Questionnaires examined nursing
attitudes toward appropriate urinary catheter use and proactive catheter removal.
The data revealed that nurses want to be involved in decisions about urinary
catheter use and that medical/surgical and rehabilitation nurses agree strongly
about advocating for patients with indwelling urinary catheters.
PMID- 21882802
TI - Study of influential factors on oligosaccharide formation by fructosyltransferase
activity during stachyose hydrolysis by Pectinex ultra SP-L.
AB - The influence of reaction conditions for oligosaccharide synthesis from stachyose
using a commercial enzymatic preparation from Aspergillus aculeatus (Pectinex
Ultra SP-L) was studied. Oligosaccharides were analyzed by gas chromatography
with flame ionization detection (GC-FID) and matrix-assisted laser
desorption/ionization-time-of-flight-mass spectrometry (MALDI-TOF-MS). Galactosyl
melibiose (DP(3)) was synthesized as a result of fructosidase activity, whereas
fructosyl-stachyose (DP(5)) and difructosyl-stachyose (DP(6)) were formed as a
consequence of the fructosyltransferase activity of Pectinex Ultra SP-L. The
optimal reaction conditions for the synthesis of penta- and hexasaccharides were
60 degrees C, pH 5.5, 600 mg/mL stachyose, and 34 U/mL enzyme. Reaction time
played an important role in oligosaccharide mixture composition constituted by
20% DP(5), 0.7% DP(6), 55% stachyose, 21% galactosyl-melibiose, and 1%
monosaccharides after 1 h and 16% DP(5), 4% DP(6), 27% stachyose, 44% galactosyl
melibiose, and 2% monosaccharides after 3 h. In conclusion, stachyose could be
used as a substrate for the enzymatic synthesis of new oligosaccharides that may
open new opportunities in the development of future prebiotics.
PMID- 21882803
TI - Mechanisms controlling the cellular accumulation of copper
bis(thiosemicarbazonato) complexes.
AB - Copper (Cu) bis(thiosemicarbazonato) metal complexes [Cu(II)(btsc)s] have unique
tumor-imaging and treatment properties and more recently have revealed potent
neuroprotective actions in animal and cell models of neurodegeneration. However,
despite the continued development of Cu(II)(btsc)s as potential therapeutics or
diagnostic agents, little is known of the mechanisms involved in cell uptake,
subcellular trafficking, and efflux of this family of compounds. Because of their
high lipophilicity, it has been assumed that cellular accumulation is through
passive diffusion, although this has not been analyzed in detail. The role of
efflux pathways in cell homeostasis of the complexes is also largely unknown. In
the present study, we investigated the cellular accumulation of the Cu(II)(btsc)
complexes Cu(II)(gtsm) and Cu(II)(atsm) in human neuronal (M17) and glial (U87MG)
cell lines under a range of conditions. Collectively, the data strongly suggested
that Cu(II)(gtsm) and Cu(II)(atsm) may be taken into these cells by combined
passive and facilitated (protein-carrier-mediated) mechanisms. This was supported
by strong temperature-dependent changes to the uptake of the complexes and the
influence of the cell surface protein on Cu accumulation. We found no evidence to
support a role for copper-transporter 1 in accumulation of the compounds.
Importantly, our findings also demonstrated that Cu from both Cu(II)(gtsm) and
Cu(II)(atsm) was rapidly effluxed from the cells through active mechanisms.
Whether this was in the form of released ionic Cu or as an intact metal complex
is not known. However, this finding highlighted the difficulty of trying to
determine the uptake mechanism of metal complexes when efflux is occurring
concomitantly. These findings are the first detailed exploration of the cellular
accumulation mechanisms of Cu(II)(btsc)s. The study delineates strategies to
investigate the uptake and efflux mechanisms of metal complexes in cells, while
highlighting specific difficulties and challenges that need to be considered
before drawing definitive conclusions.
PMID- 21882804
TI - Probing the structure of the crystalline core of field-aligned, monodisperse,
cylindrical polyisoprene-block-polyferrocenylsilane micelles in solution using
synchrotron small- and wide-angle X-ray scattering.
AB - The self-assembly of block copolymers in selective solvents represents a powerful
approach to functional core-shell nanoparticles. Crystallization of the core can
play a critical role in directing self-assembly toward desirable, nonspherical
morphologies with low mean interfacial curvature. Moreover, epitaxial growth
processes have been implicated in recent advances that permit access to
monodisperse cylinders, cylindrical block comicelles with segmented cores and/or
coronas, and complex hierarchical architectures. However, how the core-forming
block crystallizes in an inherently curved nanoscopic environment has not been
resolved. Herein we report the results of synchrotron small-angle X-ray
scattering (SAXS) and wide-angle X-ray scattering (WAXS) studies of well-defined,
monodisperse crystalline-coil polyisoprene-block-polyferrocenylsilane cylindrical
micelles aligned in an electric field. WAXS studies of the aligned cylinders have
provided key structural information on the nature of the PFS micelle core
together with insight into the role of polymer crystallinity in the self-assembly
of these and potentially related crystalline-coil block copolymers.
PMID- 21882805
TI - Current-driven dynamics in molecular junctions: endohedral fullerenes.
AB - We introduce a new paradigm for single molecule devices based on electronic
actuation of the internal atom/cluster motion within a fullerene cage. By
combining electronic structure calculations with dynamical simulations, we
explore current-triggered dynamics in endohedrally doped fullerene molecular
junctions. Inelastic electron tunneling through a Li atom localized resonance in
the Au-Li@C(60)-Au junction initiates fascinating, strongly coupled 2D dynamics,
wherein the Li atom exhibits large amplitude oscillation with respect to the
fullerene wall and the fullerene cage bounces between the gold electrodes,
slightly perturbed by the embedded atom motion. Implications to the fields of
single molecule electronics and nanoelectromechanical systems are discussed.
PMID- 21882806
TI - A new structural model of Abeta40 fibrils.
AB - The amyloid fibrils of beta-amyloid (Abeta) peptides play important roles in the
pathology of Alzheimer's disease. Comprehensive solid-state NMR (SSNMR)
structural studies on uniformly isotope-labeled Abeta assemblies have been
hampered for a long time by sample heterogeneity and low spectral resolution. In
this work, SSNMR studies on well-ordered fibril samples of Abeta(40) with an
additional N-terminal methionine provide high-resolution spectra which lead to an
accurate structural model. The fibrils studied here carry distinct structural
features compared to previous reports. The inter-beta-strand contacts within the
U-shaped beta-strand-turn-beta-strand motif are shifted, the N-terminal region
adopts a beta-conformation, and new inter-monomer contacts occur at the
protofilament interface. The revealed structural diversity in Abeta fibrils
points to a complex picture of Abeta fibrillation.
PMID- 21882807
TI - Magnetically induced decrease in droplet contact angle on nanostructured
surfaces.
AB - We report a magnetic technique for altering the apparent contact angle of aqueous
droplets deposited on a nanostructured surface. Polymeric tubes with embedded
superparamagnetic magnetite (Fe(3)O(4)) nanoparticles were prepared via layer-by
layer deposition in the 800 nm diameter pores of polycarbonate track-etched
(PCTE) membranes. Etching away the original membrane yields a superparamagnetic
film composed of mostly vertical tubes attached to a rigid substrate. We
demonstrate that the apparent contact angle of pure water droplets deposited on
the nanostructured film is highly sensitive to the ante situm strength of an
applied magnetic field, decreasing linearly from 117 +/- 1.3 degrees at no
applied field to 105 +/- 0.4 degrees at an applied field of approximately 500 G.
Importantly, this decrease in contact angle did not require an inordinately
strong magnetic field: a 15 degrees decrease in contact angle was observed even
with a standard alnico bar magnet. We interpret the observed contact angle
behavior in terms of magnetically induced conformation changes in the film
nanostructure, and we discuss the implications for reversibly switching
substrates from hydrophilic to hydrophobic via externally tunable magnetic
fields.
PMID- 21882808
TI - Butterfly-shaped conjugated oligoelectrolyte/graphene oxide integrated assay for
light-up visual detection of heparin.
AB - A water-soluble pyrene-based butterfly shaped conjugated oligoelectrolyte (TFP)
is synthesized and integrated with graphene oxide (GO) to form a label-free assay
for heparin detection. Efficient fluorescence quenching occurs between TFP and GO
because of strong electrostatic and pi-pi interactions, leading to nearly dark
emission in the absence of analytes. Addition of heparin into TFP solution
significantly minimizes the fluorescence quenching of GO toward TFP, which is
less effective for the heparin analogues, such as hyaluronic acid and chondroitin
4-sulfate. As a consequence, the solution emits strong yellow fluorescence only
in the presence of heparin, which allows for light-up visual discrimination of
heparin from its analogues. Moreover, the linear light-up response of the TFP/GO
integrated assay enables heparin quantification in the range of 0-1.76 U/mL with
a limit of detection of 0.046 U/mL, which is practical for heparin monitoring
during postoperative and long-term care. This study thus demonstrates a new
synthetic strategy to develop GO-based chemical and biological sensing without
the employment of dye-labeled biomolecules.
PMID- 21882809
TI - Quantum chemistry behind bioimaging: insights from ab initio studies of
fluorescent proteins and their chromophores.
AB - The unique properties of green fluorescent protein (GFP) have been harnessed in a
variety of bioimaging techniques, revolutionizing many areas of the life
sciences. Molecular-level understanding of the underlying photophysics provides
an advantage in the design of new fluorescent proteins (FPs) with improved
properties; however, because of its complexity, many aspects of the GFP
photocycle remain unknown. In this Account, we discuss computational studies of
FPs and their chromophores that provide qualitative insights into mechanistic
details of their photocycle and the structural basis for their optical
properties. In a reductionist framework, studies of well-defined model systems
(such as isolated chromophores) help to understand their intrinsic properties,
while calculations including protein matrix and/or solvent demonstrate, on the
atomic level, how these properties are modulated by the environment. An
interesting feature of several anionic FP chromophores in the gas phase is their
low electron detachment energy. For example, the bright excited pipi* state of
the model GFP chromophore (2.6 eV) lies above the electron detachment continuum
(2.5 eV). Thus, the excited state is metastable with respect to electron
detachment. This autoionizing character needs to be taken into account in
interpreting gas-phase measurements and is very difficult to describe
computationally. Solvation (and even microsolvation by a single water molecule)
stabilizes the anionic states enough such that the resonance excited state
becomes bound. However, even in stabilizing environments (such as protein or
solution), the anionic chromophores have relatively low oxidation potentials and
can act as light-induced electron donors. Protein appears to affect excitation
energies very little (<0.1 eV), but alters ionization or electron detachment
energies by several electron volts. Solvents (especially polar ones) have a
pronounced effect on the chromophore's electronic states; for example, the
absorption wavelength changes considerably, the ground-state barrier for cis
trans isomerization is reduced, and fluorescence quantum yield drops
dramatically. Calculations reveal that these effects can be explained in terms of
electrostatic interactions and polarization, as well as specific interactions
such as hydrogen bonding. The availability of efficient computer implementations
of predictive electronic structure methods is essential. Important challenges
include developing faster codes (to enable better equilibrium sampling and
excited-state dynamics modeling), creating algorithms for properties calculations
(such as nonlinear optical properties), extending standard excited-state methods
to autoionizing (resonance) states, and developing accurate QM/MM schemes. The
results of sophisticated first-principle calculations can be interpreted in terms
of simpler, qualitative molecular orbital models to explain general trends. In
particular, an essential feature of the anionic GFP chromophore is an almost
perfect resonance (mesomeric) interaction between two Lewis structures, giving
rise to charge delocalization, bond-order scrambling, and, most importantly,
allylic frontier molecular orbitals spanning the methine bridge. We demonstrate
that a three-center Huckel-like model provides a useful framework for
understanding properties of FPs. It can explain changes in absorption wavelength
upon protonation or other structural modifications of the chromophore, the
magnitude of transition dipole moment, barriers to isomerization, and even non
Condon effects in one- and two-photon absorption.
PMID- 21882810
TI - Group 14 hydrides with low valent elements for activation of small molecules.
AB - Transition metal compounds are well known as activators of small molecules, and
they serve as efficient catalysts for a variety of homogeneous and heterogeneous
transformations. In contrast, there is a general feeling that main group
compounds cannot act as efficient catalysts because of their inability to
activate small molecules. Traditionally, the activation of small molecules is
considered one of the key steps during a catalytic cycle with transition metals.
As a consequence, researchers have long neglected the full range of possibilities
in harnessing main group elements for the design of efficient catalysts. Recent
developments, however, have made it possible to synthesize main group compounds
with low-valent elements capable of activating small molecules. In particular,
the judicious use of sterically appropriate ligands has been successful in
preparing and stabilizing a variety of Group 14 hydrides with low-valent
elements. In this Account, we discuss recent advances in the synthesis of Group
14 hydrides with low-valent elements and assess their potential as small-molecule
activators. Group 14, which comprises the nonmetal C, the semimetals Si and Ge,
and the metals Sn and Pb, was for years a source of hydrides with the Group 14
element almost exclusively in tetravalent form. Synthetic difficulties and the
low stability of Group 14 hydrides in lower oxidation states were difficult to
overcome. But in 2000, a divalent Sn(II) hydride was prepared as a stable
compound through the incorporation of sterically encumbered aromatic ligands.
More recently, the stabilization of GeH(2) and SnH(2) complexes using an N
heterocyclic carbene (NHC) as a donor and BH(3) or a metal carbonyl complex as an
acceptor was reported. A similar strategy was also employed to synthesize the
Si(II) hydride. This class of hydrides may be considered coordinatively
saturated, with the lone pair of electrons on the Group 14 elements taking part
in coordination. We discuss the large-scale synthesis of hydrides of the form
LMH (where M is Ge or Sn, L is CH(N(Ar)(CMe))(2), and Ar is 2,6-iPr(2)C(6)H(3)),
which has made it possible to test their reactivity in the activation of small
molecules. Unlike the tetravalent Group 14 hydrides, the Ge(II) and Sn(II)
hydrides were found to be able to activate a number of small molecules in the
absence of any externally added catalyst. For example, the Ge(II) hydride and
Sn(II) hydride can activate CO(2), and the reaction results in the formation of
Ge(II) and Sn(II) esters of formic acid. This product represents a prototype of a
new class of compounds of Group 14 elements. Moreover, we examined the activation
of carbonyl compounds, alkynes, diazo and azo compounds, azides, and compounds
containing the C?N bond. These Group 14 hydrides with low-valent elements are
shown to be able to activate a number of important small molecules with C=C, C?O,
N?N, and C?N bonds. The activation of small molecules is an important step
forward in the realization of main group catalyst development. Although it is not
yet customary to assay the potential of newly synthesized main group compounds
for small-molecule activation, our results offer good reason to do so.
PMID- 21882811
TI - Trafficking of platelet-activating factor acetylhydrolase type II in response to
oxidative stress.
AB - Platelet-activating factor acetylhydrolase type II (PAFAH-II) is an intracellular
phospholipase A(2) enzyme that hydrolyzes platelet-activating factor and
oxidatively fragmented phospholipids. This N-terminally myristoylated protein
becomes associated with cytoplasm-facing cell membranes under oxidative stress.
The structural requirements for binding of PAFAH-II to membranes in response to
oxidative stress are unknown. To begin elucidating the mechanism of trafficking
and stress response, we constructed a homology model of PAFAH-II. From the
predicted membrane orientation of PAFAH-II, the N-terminal myristoyl group and a
hydrophobic patch are hypothesized to be involved in membrane binding.
Localization studies of human PAFAH-II in HEK293 cells indicated that an
unmyristoylated mutant remained cytoplasmic under stressed and unstressed
conditions. The myristoylated wild-type enzyme was partially localized to the
cytoplasmic membranes prior to stress and became more localized to these
membranes upon stress. A triple mutation of three hydrophobic patch residues of
the membrane binding region likewise did not localize to membranes following
stress. These results indicate that both the myristoyl group and the hydrophobic
patch are essential for proper trafficking of the enzyme to the membranes
following oxidative stress. Additionally, colocalization studies using organelle
specific proteins demonstrate that PAFAH-II is transported to the membranes of
both the endoplasmic reticulum and Golgi apparatus.
PMID- 21882812
TI - Regioselective copper-mediated synthesis of thieno[2,3-c]pyrane-7-one, indolo[2,3
c]pyrane-1-one, and indolo[3,2-c]pyrane-1-one.
AB - In the presence of copper(I) iodide, heteroaromatic beta-iodo-alpha,beta
unsaturated carboxylic acid systems opposed to terminal alkyne afford selectively
6-endo-dig cyclization products via a tandem coupling oxacyclization reaction.
PMID- 21882814
TI - A practical, one-pot synthesis of highly substituted thiophenes and
benzo[b]thiophenes from bromoenynes and o-alkynylbromobenzenes.
AB - An efficient synthesis of thiophenes and benzo[b]thiophenes has been developed
from easily available bromoenynes and o-alkynylbromobenzene derivatives. This
novel one-pot procedure involves a Pd-catalyzed C-S bond formation using a
hydrogen sulfide surrogate followed by a heterocyclization reaction. Moreover, in
situ functionalization with selected electrophiles further expands the potential
of this methodology to the preparation of the corresponding highly substituted
sulfur heterocycles.
PMID- 21882816
TI - Therapeutic and cytotoxic effects of the novel antipsoriasis codrug, naproxyl
dithranol, on HaCaT cells.
AB - A novel topical codrug, naproxyl-dithranol (Nap-DTH), in which dithranol and
naproxen are linked via an ester in a 1:1 ratio to form a single chemical entity,
was synthesized. The antiproliferative, anti-inflammatory and toxic effects of
Nap-DTH were assessed, at the cellular level, using various in vitro methods.
Cultured HaCaT keratinocytes were treated with Nap-DTH, and the cellular effects
were compared with those of the parent compounds, individually and as a 1:1
mixture of naproxen:dithranol to mimic 1:1 in situ liberation from Nap-DTH. The
results demonstrate that Nap-DTH did not modify proliferation and only exhibited
slight toxic effects after 24 h at concentrations >21 MUM. At a lower
concentration (3.4 MUM), Nap-DTH did not alter cell proliferation or
inflammation, which suggests that the codrug is therapeutically inert. Relating
to this, the 1:1 mixture of naproxen:dithranol exhibited the lowest toxic effect
and the highest antiproliferative effect on HaCaT keratinocytes compared to
dithranol at the same concentration. Moreover, the 1:1 mixture exhibited a
reduced inflammatory effect compared to dithranol alone, as reflected by the
upregulation of cyclooxygenase-2 by 45% and 136%, respectively. In spite of the
1:1 mixture showing a greater downregulation of Ki-67 and a 2-fold reduction of
proliferating cell nuclear antigen (both cellular markers of proliferation) than
dithranol, dithranol showed a much greater induction of cleaved caspase-3 protein
expression (upregulated by 287%, compared to 85% for the 1:1 mixture). This
suggests that when dithranol was administered with naproxen, inhibition of cell
growth plays a more important role in the antiproliferation effects than the
induction of apoptotic cell death. These results confirm that the codrug would
lead to a better therapeutic profile and fewer adverse effects compared to its
parent compounds.
PMID- 21882817
TI - Gas phase synthesis of Au clusters deposited on titanium oxide clusters and their
reactivity with CO molecules.
AB - Titanium oxide clusters were formed in the gas phase by the laser ablation of a
Ti rod in the presence of oxygen in a He gas. Not only stoichiometric but also
nonstoichiometric titanium oxide clusters, Ti(n)O(2n+x)(+) (n = 1-22 and x = -1
3), were formed. The content of oxygen atoms depends strongly on a partial
pressure of oxygen. Gold clusters, Au(m) (m = 1-4), were generated by the laser
ablation, which were then deposited on Ti(n)O(2n+x) clusters. The formation of
Au(m)Ti(n)O(2n+x)(+) follows electron transfer from Au(m) to Ti(n)O(2n+x)(+). The
reactivity of Au(m)Ti(n)O(2n+x)(+) cluster ions with CO was examined for
different m, n, and x by the mass spectrometry. It was found that Au(m) on
Ti(n)O(2n-1)(+) are less reactive than those on the other Ti(n)O(2n+x)(+) (x = 0
and 1). In addition, the reactivity is highest when Au(m) (m = 1 and 3) is on the
stoichiometric titanium oxide (x = 0), whereas the reactivity is also high when
Au(2) is on the oxygen-rich titanium oxide (x = 1). The reactivity was found to
relate to geometrical structures of Au(m)Ti(n)O(2n+x)(+), which were studied by
density functional calculations.
PMID- 21882818
TI - A compound that inhibits the HOP-Hsp90 complex formation and has unique killing
effects in breast cancer cell lines.
AB - The chaperone Hsp90 is required for the correct folding and maturation of certain
"client proteins" within all cells. Hsp90-mediated folding is particularly
important in cancer cells, because upregulated or mutant oncogenic proteins are
often Hsp90 clients. Hsp90 inhibitors thus represent a route to anticancer agents
that have the potential to be active against several different types of cancer.
Currently, various Hsp90 inhibitors that bind to Hsp90 at its ATP-binding site
are in preclinical and clinical trials. Some of the most promising Hsp90 ATP
binding site inhibitors are the well characterized geldanamycin derivative 17-AAG
and the recently described compounds PU-H71 and NVP-AUY922. An undesirable
characteristic of these compounds is the transcriptional upregulation of Hsp70
that has prosurvival effects. Here we characterize the activity of a new type of
chaperone inhibitor, 1,6-dimethyl-3-propylpyrimido[5,4-e][1,2,4]triazine-5,7
dione (named C9 for simplicity). Using purified protein components in vitro, C9
prevents Hsp90 from interacting with the cochaperone HOP and is thus expected to
impair the Hsp90-dependent folding pathway in vivo. We show that this compound is
effective in killing various breast cancer cell lines including the highly
metastatic MDA-MB-231. An important property of this compound is that it does not
induce the transcriptional upregulation of Hsp70. Moreover, when cells are
treated with a combination of C9 and either 17-AAG or NVP-AUY922, the
overexpression of Hsp70 is counteracted considerably and C9's lethal-IC50
decreases compared to its value when added alone.
PMID- 21882819
TI - Plasmonic green nanolaser based on a metal-oxide-semiconductor structure.
AB - Realization of smaller and faster coherent light sources is critically important
for the emerging applications in nanophotonics and information technology.
Semiconductor lasers are arguably the most suitable candidate for such purposes.
However, the minimum size of conventional semiconductor lasers utilizing
dielectric optical cavities for sustaining laser oscillation is ultimately
governed by the diffraction limit (~(lambda/2n)(3) for three-dimensional (3D)
cavities, where lambda is the free-space wavelength and n is the refractive
index). Here, we demonstrate the 3D subdiffraction-limited laser operation in the
green spectral region based on a metal-oxide-semiconductor (MOS) structure,
comprising a bundle of green-emitting InGaN/GaN nanorods strongly coupled to a
gold plate through a SiO(2) dielectric nanogap layer. In this plasmonic
nanocavity structure, the analogue of MOS-type "nanocapacitor" in nanoelectronics
leads to the confinement of the plasmonic field into a 3D mode volume of 8.0 *
10(-4) MUm(3) (~0.14(lambda/2n)(3)).
PMID- 21882820
TI - Small molecule receptor protein tyrosine phosphatase gamma (RPTPgamma) ligands
that inhibit phosphatase activity via perturbation of the tryptophan-proline
aspartate (WPD) loop.
AB - Protein tyrosine phosphatases (PTPs) catalyze the dephosphorylation of tyrosine
residues, a process that involves a conserved tryptophan-proline-aspartate (WPD)
loop in catalysis. In previously determined structures of PTPs, the WPD-loop has
been observed in either an "open" conformation or a "closed" conformation. In the
current work, X-ray structures of the catalytic domain of receptor-like protein
tyrosine phosphatase gamma (RPTPgamma) revealed a ligand-induced "superopen"
conformation not previously reported for PTPs. In the superopen conformation, the
ligand acts as an apparent competitive inhibitor and binds in a small hydrophobic
pocket adjacent to, but distinct from, the active site. In the open and closed
WPD-loop conformations of RPTPgamma, the side chain of Trp1026 partially occupies
this pocket. In the superopen conformation, Trp1026 is displaced allowing a 3,4
dichlorobenzyl substituent to occupy this site. The bound ligand prevents closure
of the WPD-loop over the active site and disrupts the catalytic cycle of the
enzyme.
PMID- 21882821
TI - Neptunium thiophosphate chemistry: intermediate behavior between uranium and
plutonium.
AB - Black crystals of Np(PS(4)), Np(P(2)S(6))(2), K(11)Np(7)(PS(4))(13), and
Rb(11)Np(7)(PS(4))(13) have been synthesized by the reactions of Np, P(2)S(5),
and S at 1173 and 973 K; Np, K(2)S, P, and S at 773 K; and Np, Rb(2)S(3), P, and
S at 823 K, respectively. The structures of these compounds have been
characterized by single-crystal X-ray diffraction methods. Np(PS(4)) adopts a
three-dimensional structure with Np atoms coordinated to eight S atoms from four
bidentate PS(4)(3-) ligands in a distorted square antiprismatic arrangement.
Np(PS(4)) is isostructural to Ln(PS(4)) (Ln = La-Nd, Sm, Gd-Er). The structure of
Np(P(2)S(6))(2) is constructed from three interpenetrating diamond-type
frameworks with Np atoms coordinated to eight S atoms from four bidentate
P(2)S(6)(2-) ligands in a distorted square antiprismatic geometry. The
centrosymmetric P(2)S(6)(2-) anion comprises two PS(2) groups connected by two
bridging S centers. Np(P(2)S(6))(2) is isostructural to U(P(2)S(6))(2).
A(11)Np(7)(PS(4))(13) (A = K, Rb) adopts a three-dimensional channel structure
built from interlocking [Np(7)(PS(4))(13)](11-)-screw helices with A cations
residing in the channels. The structure of A(11)Np(7)(PS(4))(13) includes four
crystallographically independent Np atoms. Three are connected to eight S atoms
in bicapped trigonal prisms. The other Np atom is connected to nine S atoms in a
tricapped trigonal prism. A(11)Np(7)(PS(4))(13) is isostructural to
A(11)U(7)(PS(4))(13). From Np-S bond distances and charge-balance, we infer that
Np is trivalent in Np(PS(4)) and tetravalent in Np(P(2)S(6))(2) and
A(11)Np(7)(PS(4))(13). Np exhibits a behavior intermediate between U and Pu in
its thiophosphate chemistry.
PMID- 21882822
TI - Theoretical investigation for the cycle reaction of N2O (x1?+) with CO (1?+)
catalyzed by IrO(n)+ (n = 1, 2) and utilizing the energy span model to study its
kinetic information.
AB - The mechanisms of the reactions between N(2)O and CO catalyzed by IrO(n)(+) (n =
1, 2) have been investigated using B3LYP and CCSD(T) levels of theory. Spin
inversion among three reaction profiles corresponding to the quintet, triplet,
and singlet multiplicities was discussed by using spin-orbit coupling (SOC)
calculations. The probability of electron hopping in the vicinity of the (MECP)
has been calculated by the Landau-Zener-type model. The single P(1)(ISC) and
double P(2)(ISC) passes estimated at MECP1(#) (SOC = 198.61 cm(-1)) are
approximately 0.11 and 0.20, respectively. Important analysis and explanations
were done using molecular orbital theory and natural bonding orbital (NBO). The
energetic span (deltaE) model coined by Kozuch was applied in this cycle. The
turnover frequency (TOF)-determining transition state (TDTS) and TDI (TOF
determining intermediate) were confirmed. Finally, TOF(IrO(+))/TOF(IrO(2)(+)) =
0.38 at 298 K.
PMID- 21882823
TI - Preparation of cystamine core dendrimer and antibody-dendrimer conjugates for MRI
angiography.
AB - Herein we report the preparation along with the in vivo and in vitro MRI
characterization of two generation four and five cystamine core dendrimers loaded
with thirty and fifty-eight derivatized Gd-DOTA (G4SS30, G5SS58) respectively.
Likewise the development and characterization of two half-dendrimers conjugated
to the F(ab')(2) fragment of the monoclonal antibody (mAb) panitumumab
functionalized with a maleimide conjugation functional group site (Ab-(G4S15)(4),
Ab-(G5S29)(4)) are also described. The in vitro molar relaxivity of the Ab
(G4S15)(4) conjugate, measured at pH 7.4, 22 degrees C, and 3T showed a moderate
increase in relaxivity as compared to Magnevist (6.7 vs 4.0 mM(-1) s(-1)) while
the Ab-(G5S29)(4) conjugate was 2-fold higher (9.1 vs 4.0 mM(-1) s(-1)). The data
showed that only a high injection dose (0.050 mmol Gd(3+)/kg) produced a
detectable contrast enhanced contrast for the Ab-(G4S15)(4) conjugate while a
lower dose (0.035 mmol Gd(3+)/kg) was sufficient for the Ab-(G5S29)(4) conjugate.
The antibody-SMCC conjugate was purified by a Sephadex G-100 column, and the
antibody-dendrimer-based agents were purified by spin filtration using a
Centricon filter (50,000 MCO). The protein assay coupled with cysteine and
Ellman's assay indicated an antibody to dendrimer ratio of 1:4. The in vivo blood
clearance half-lives of the four agents measured at the jugular vein were ~12-22
min.
PMID- 21882824
TI - Ar solvation shells in K(+)-HFBz: from cluster rearrangement to solvation
dynamics.
AB - The effect of some leading intermolecular interaction components on specific
features of weakly bound clusters involving an aromatic molecule, a closed shell
ion, and Ar atoms is analyzed by performing molecular dynamics simulations on
potential energy surfaces properly formulated in a consistent way. In particular,
our investigation focuses on the three-dimensional Ar distributions around the
K(+)-hexafluorobenzene (K(+)-HFBz) dimer, in K(+)-HFBz-Ar(n) aggregates (n <=
15), and on the gradual evolution from cluster rearrangement to solvation
dynamics when ensembles of 50, 100, 200, and 500 Ar atoms are taken into account.
Results indicate that the Ar atoms compete to be placed in such a way to favor an
attractive interaction with both K(+) and HFBz, occupying positions above and
below the aromatic plane but close to the cation. When these positions are
already occupied, the Ar atoms tend to be placed behind the cation, at larger
distances from the center of mass of HFBz. Accordingly, three different groups of
Ar atoms are observed when increasing n, with two of them surrounding K(+), thus,
disrupting the K(+)-HFBz equilibrium geometry and favoring the dissociation of
the solvated cation when the temperature increases. The selective role of the
leading intermolecular interaction components directly depending on the ion size
repulsion is discussed in detail by analyzing similarities and differences on the
behavior of the Ar-solvated K(+)-HFBz and Cl(-)-Bz aggregates.
PMID- 21882825
TI - Mannose-functionalized "pathogen-like" polyanhydride nanoparticles target C-type
lectin receptors on dendritic cells.
AB - Targeting pathogen recognition receptors on dendritic cells (DCs) offers the
advantage of triggering specific signaling pathways to induce a tailored and
robust immune response. In this work, we describe a novel approach to targeted
antigen delivery by decorating the surface of polyanhydride nanoparticles with
specific carbohydrates to provide "pathogen-like" properties that ensure
nanoparticles engage C-type lectin receptors on DCs. The surface of polyanhydride
nanoparticles was functionalized by covalent linkage of dimannose and lactose
residues using an amine-carboxylic acid coupling reaction. Coculture of
functionalized nanoparticles with bone marrow-derived DCs significantly increased
cell surface expression of MHC II, the T cell costimulatory molecules CD86 and
CD40, the C-type lectin receptor CIRE and the mannose receptor CD206 over the
nonfunctionalized nanoparticles. Both nonfunctionalized and functionalized
nanoparticles were efficiently internalized by DCs, indicating that
internalization of functionalized nanoparticles was necessary but not sufficient
to activate DCs. Blocking the mannose and CIRE receptors prior to the addition of
functionalized nanoparticles to the culture inhibited the increased surface
expression of MHC II, CD40 and CD86. Together, these data indicate that
engagement of CIRE and the mannose receptor is a key mechanism by which
functionalized nanoparticles activate DCs. These studies provide valuable
insights into the rational design of targeted nanovaccine platforms to induce
robust immune responses and improve vaccine efficacy.
PMID- 21882826
TI - Functional regulation of an immobilized redox protein on an oriented metal
coordinated peptide monolayer as an electron mediator.
AB - We fabricated a vertically and unidirectionally oriented metal coordinated alpha
helical peptide monolayer, Leu(2)Ala(Pyri)(Co(II))Leu(6)Ala(4
Pyri)(Co(II))Leu(6), by stepwise polymerization on a mixed self-assembled
monolayer consisting of amino-alkanethiol, dialkyl disulfide, and ferrocenyl
alkanethiol acted as a photoresponsive electron donor. Redox-active protein,
nitrate reductase (NR), was fixed on the surface of the peptide monolayer. By
contrast, we fixed NR on the mixed self-assembled monolayer directly. Upon
photoirradiation, electron flow occurred from the excited ferrocenyl group on the
substrate to the electron acceptor, NR, on the surface of the molecular layers.
The activated NR on the molecular layers reduced the nitrate to nitrite. The
amount of the bioelectrocatalytic product, nitrite, generated by the immobilized
NR on the peptide monolayer was larger than that produced by the immobilized NR
on the mixed self-assembled monolayer directly. That is to say, the NR on the
peptide monolayer has been more activated rather than that on the peptide absent
monolayer by photoirradiation. The effective activation of the NR on the peptide
monolayer can be explained in terms of enhancement of the vectorial electron flow
along the macro-dipole moment of the alpha-helical peptide that arranged
unidirectionally. It suggested that the ordered metal coordinated alpha-helical
peptide monolayer acted as an efficient electron mediator to achieve a
communication between the electron donor and the redox-active moiety. Such a
hybrid molecular system looks promising for novel nanodevices, such as nano
photoreactors.
PMID- 21882828
TI - Supramolecular polymers in aqueous medium: rational design based on directional
hydrophobic interactions.
AB - Self-assembly in aqueous medium is of primary importance and widely employs
hydrophobic interactions. Yet, unlike directional hydrogen bonds, hydrophobic
interactions lack directionality, making difficult rational self-assembly design.
Directional hydrophobic motif would significantly enhance rational design in
aqueous self-assembly, yet general approaches to such interactions are currently
lacking. Here, we show that pairwise directional hydrophobic/pi-stacking
interactions can be designed using well-defined sterics and supramolecular
multivalency. Our system utilizes a hexasubstituted benzene scaffold decorated
with 3 (compound 1) or 6 (compound 2) amphiphilc perylene diimides. It imposes a
pairwise self-assembly mode, leading to well-defined supramolecular polymers in
aqueous medium. the assemblies were characterized using cryogenic electron
microscopy, small-angle X-ray scattering, optical spectroscopy, and EPR.
Supramolecular polymerization studies in the case of 2 revealed association
constants in 10(8) M(-1) range, and significant enthalpic contribution to the
polymerization free energy. The pairwise PDI motif enables exciton confinement
and localized emission in the polymers based on 1 and 2's unique photonic
behavior, untypical of the extended pi-stacked systems. Directional pairwise
hydrophobic interactions introduce a novel strategy for rational design of
noncovalent assemblies in aqueous medium, and bring about a unique photofunction.
PMID- 21882829
TI - Total synthesis of echinopines A and B: exploiting a bioinspired late-stage
intramolecular cyclopropanation.
AB - Total synthesis of echinopine A and B have been accomplished, based on a strategy
that involved two transition-metal-mediated ene-yne cycloisomerizations. A
modified Pd-catalyzed enyne cycloisomerization/intramolecular Diels-Alder cascade
rendered a more streamlined synthesis of tricyclic ketone 15, and a Ru-catalyzed
ene-yne cycloisomerization/cyclopropanation resembled the late-stage [5/7] ->
[3/5/5/7] ring-forming sequence in the proposed biosynthetic pathway.
PMID- 21882827
TI - The development of N-alpha-(2-carboxyl)benzoyl-N(5)-(2-fluoro-1-iminoethyl)-l
ornithine amide (o-F-amidine) and N-alpha-(2-carboxyl)benzoyl-N(5)-(2-chloro-1
iminoethyl)-l-ornithine amide (o-Cl-amidine) as second generation protein
arginine deiminase (PAD) inhibitors.
AB - Protein arginine deiminase (PAD) activity is upregulated in a number of human
diseases, including rheumatoid arthritis, ulcerative colitis, and cancer. These
enzymes, there are five in humans (PADs 1-4 and 6), regulate gene transcription,
cellular differentiation, and the innate immune response. Building on our
successful generation of F- and Cl-amidine, which irreversibly inhibit all of the
PADs, a structure-activity relationship was performed to develop second
generation compounds with improved potency and selectivity. Incorporation of a
carboxylate ortho to the backbone amide resulted in the identification of N-alpha
(2-carboxyl)benzoyl-N(5)-(2-fluoro-1-iminoethyl)-l-ornithine amide (o-F-amidine)
and N-alpha-(2-carboxyl)benzoyl-N(5)-(2-chloro-1-iminoethyl)-l-ornithine amide (o
Cl-amidine), as PAD inactivators with improved potency (up to 65-fold) and
selectivity (up to 25-fold). Relative to F- and Cl-amidine, the compounds also
show enhanced potency in cellulo. As such, these compounds will be versatile
chemical probes of PAD function.
PMID- 21882830
TI - From HCOOH to CO at Pd electrodes: a surface-enhanced infrared spectroscopy
study.
AB - The decomposition of HCOOH on Pd surfaces over a potential range of practical
relevance to hydrogen production and fuel cell anode operation was probed by
combining high-sensitivity in situ surface-enhanced IR spectroscopy with
attenuated total reflection and thin-layer flow cell configurations. For the
first time, concrete spectral evidence of CO(ad) formation has been obtained, and
a new main pathway from HCOOH to CO(ad) involving the reduction of the
dehydrogenation product of HCOOH (i.e., CO(2)) is proposed.
PMID- 21882832
TI - Synthesis and biological evaluation of novel analogues of the pan class I
phosphatidylinositol 3-kinase (PI3K) inhibitor 2-(difluoromethyl)-1-[4,6-di(4
morpholinyl)-1,3,5-triazin-2-yl]-1H-benzimidazole (ZSTK474).
AB - A structure-activity relationship (SAR) study of the pan class I PI 3-kinase
inhibitor 2-(difluoromethyl)-1-[4,6-di(4-morpholinyl)-1,3,5-triazin-2-yl]-1H
benzimidazole (ZSTK474) identified substitution at the 4 and 6 positions of the
benzimidazole ring as having significant effects on the potency of substituted
derivatives. The 6-amino-4-methoxy analogue displayed a greater than 1000-fold
potency enhancement over the corresponding 6-aza-4-methoxy analogue against all
three class Ia PI 3-kinase enzymes (p110alpha, p110beta, and p110delta) and also
displayed significant potency against two mutant forms of the p110alpha isoform
(H1047R and E545K). This compound was also evaluated in vivo against a U87MG
human glioblastoma tumor xenograft model in Rag1(-/-) mice, and at a dose of 50
mg/kg given by ip injection at a qd * 10 dosing schedule it dramatically reduced
cancer growth by 81% compared to untreated controls.
PMID- 21882833
TI - Silica shell/gold core nanoparticles: correlating shell thickness with the
plasmonic red shift upon aggregation.
AB - Differences in the wavelengths of the surface plasmon band of gold nanoparticles
(AuNP)--before and after particle aggregation--are widely used in bioanalytical
assays. However, the gold surfaces in such bioassays can suffer from exchange and
desorption of noncovalently bound ligands and from nonspecific adsorption of
biomolecules. Silica shells on the surfaces of the gold can extend the available
surface chemistries for bioconjugation and potentially avoid these issues.
Therefore, silica was grown on gold surfaces using either hydrolysis/condensation
of tetraethyl orthosilicate 1 under basic conditions or diglyceroxysilane 2 at
neutral pH. The former precursor permitted slow, controlled growth of shells from
about 1.7 to 4.3 nm thickness. By contrast, 3-4 nm thick silica shells formed
within an hour using diglyceroxysilane; thinner or thicker shells were not
readily available. Within the range of shell thicknesses synthesized, the
presence of a silica shell on the gold nanoparticle did not significantly affect
the absorbance maximum (~5 nm) of unaggregated particles. However, the change in
absorbance wavelength upon aggregation of the particles was highly dependent on
the thickness of the shell. With silica shells coating the AuNP, there was a
significant decrease in the absorbance maximum of the aggregated particles, from
~578 to ~536 nm, as the shell thicknesses increased from ~1.7 to ~4.3 nm, because
of increased distance between adjacent gold cores. These studies provide guidance
for the development of colorimetric assays using silica-coated AuNP.
PMID- 21882831
TI - Discovery of novel alkylated (bis)urea and (bis)thiourea polyamine analogues with
potent antimalarial activities.
AB - A series of alkylated (bis)urea and (bis)thiourea polyamine analogues were
synthesized and screened for antimalarial activity against chloroquine-sensitive
and -resistant strains of Plasmodium falciparum in vitro. All analogues showed
growth inhibitory activity against P. falciparum at less than 3 MUM, with the
majority having effective IC(50) values in the 100-650 nM range. Analogues
arrested parasitic growth within 24 h of exposure due to a block in nuclear
division and therefore asexual development. Moreover, this effect appears to be
cytotoxic and highly selective to malaria parasites (>7000-fold lower IC(50)
against P. falciparum) and is not reversible by the exogenous addition of
polyamines. With this first report of potent antimalarial activity of polyamine
analogues containing 3-7-3 or 3-6-3 carbon backbones and substituted terminal
urea- or thiourea moieties, we propose that these compounds represent a
structurally novel class of antimalarial agents.
PMID- 21882834
TI - Shape and eccentricity effects in adhesive contacts of rodlike particles.
AB - The effects of shape and eccentricity on adhesion and detachment behavior of
long, rodlike particles in contact with a half-space are analyzed using contact
mechanics. The particles are considered to have cross sections that are squarish,
oblate, or prolate rather than circular. Such cross sections are represented very
generally by using superellipses. The contact mechanics model allows deduction of
closed-form expressions for the contact pressure, load-contact size relation,
detachment load, and detachment contact size. It is found that even relatively
small deviations in shape from a cylinder have a significant influence on the
detachment load. Eccentricity also affects the adhesive behavior, but to a lesser
extent, with oblate shapes requiring larger separation loads than prolate shapes.
The load-contact size solution reduces to that for a right-circular, cylindrical
rod when the appropriate limit is taken. The detachment behavior of right
circular cylinders is also found to be mimicked by an entire family of rod shapes
with different cross sections.
PMID- 21882835
TI - Fractionation of stable zinc isotopes in the zinc hyperaccumulator Arabidopsis
halleri and nonaccumulator Arabidopsis petraea.
AB - Zn isotope fractionation may provide new insights into Zn uptake, transport and
storage mechanisms in plants. It was investigated here in the Zn hyperaccumulator
Arabidopsis halleri and the nonaccumulator A. petraea. Plant growth on hydroponic
solution allowed us to measure the isotope fractionation between source Zn (with
Zn(2+) as dominant form), shoot and root. Zn isotope mass balance yields mean
isotope fractionation between plant and source Zn Delta(66)Zn(in-source) of -0.19
+/- 0.200/00 in the nonaccumulator and of -0.05 +/- 0.120/00 in the
hyperaccumulator. The isotope fractionation between shoot Zn and bulk Zn
incorporated (Delta(66)Zn(shoot-in)) differs between the nonaccumulator and the
hyperaccumulator and is function of root-shoot translocation (as given by mass
ratio between shoot Zn and bulk plant Zn). The large isotope fractionation
associated with sequestration in the root (0.370/00) points to the binding of
Zn(2+) with a high affinity ligand in the root cell. We conclude that Zn stable
isotopes may help to estimate underground and aerial Zn storage in plants and be
useful in studying extracellular and cellular mechanisms of sequestration in the
root.
PMID- 21882836
TI - Optimizing sensitization processes in dinuclear luminescent lanthanide oligomers:
selection of rigid aromatic spacers.
AB - This work illustrates a simple approach for optimizing the lanthanide
luminescence in molecular dinuclear lanthanide complexes and identifies a
particular multidentate europium complex as the best candidate for further
incorporation into polymeric materials. The central phenyl ring in the bis
tridentate model ligands L3-L5, which are substituted with neutral (X = H, L3),
electron-withdrawing (X = F, L4), or electron-donating (X = OCH3, L5) groups,
separates the 2,6-bis(benzimidazol-2-yl)pyridine binding units of linear
oligomeric multi-tridentate ligand strands that are designed for the complexation
of luminescent trivalent lanthanides, Ln(III). Reactions of L3-L5 with
[Ln(hfac)3(diglyme)] (hfac- is the hexafluoroacetylacetonate anion) produce
saturated single-stranded dumbbell-shaped complexes [Ln2(Lk)(hfac)6] (k = 3-5),
in which the lanthanide ions of the two nine-coordinate neutral [N3Ln(hfac)3]
units are separated by 12-14 A. The thermodynamic affinities of [Ln(hfac)3] for
the tridentate binding sites in L3-L5 are average (6.6 <= log(beta(2,1)(Y,Lk)) <=
8.4) but still result in 15-30% dissociation at millimolar concentrations in
acetonitrile. In addition to the empirical solubility trend found in organic
solvents (L4 > L3 >> L5), which suggests that the 1,4-difluorophenyl spacer in L4
is preferable, we have developed a novel tool for deciphering the photophysical
sensitization processes operating in [Eu2(Lk)(hfac)6]. A simple interpretation of
the complete set of rate constants characterizing the energy migration mechanisms
provides straightforward objective criteria for the selection of [Eu2(L4)(hfac)6]
as the most promising building block.
PMID- 21882837
TI - Evaluation of HPbetaCD-PEG microparticles for salmon calcitonin administration
via pulmonary delivery.
AB - For therapeutic peptides, the lung represents an attractive, noninvasive route
into the bloodstream. To achieve optimal bioavailability and control their fast
rate of absorption, peptides can be protected by coprocessing with polymers such
as polyethylene glycol (PEG). Here, we formulated and characterized salmon
calcitonin (sCT)-loaded microparticles using linear or branched PEG (L-PEG or B
PEG) and hydroxypropyl-beta-cyclodextrin (HPbetaCD) for pulmonary administration.
Mixtures of sCT, L-PEG or B-PEG and HPbetaCD were co-spray dried. Based on the
particle properties, the best PEG:HPbetaCD ratio was 1:1 w:w for both PEGs. In
the sCT-loaded particles, the L-PEG was more crystalline than B-PEG. Thus, L-PEG
based particles had lower surface free energy and better aerodynamic behavior
than B-PEG-based particles. However, B-PEG-based particles provided better
protection against chemical degradation of sCT. A decrease in sCT permeability,
measured across Calu-3 bronchial epithelial monolayers, occurred when the PEG and
HPbetaCD concentrations were both 1.6 wt %. This was attributed to an increase in
buffer viscosity, caused by the two excipients. sCT pharmacokinetic profiles in
Wistar rats were evaluated using a 2-compartment model after iv injection or lung
insufflation. The maximal sCT plasma concentration was reached within 3 min
following nebulization of sCT solution. L-PEG and B-PEG-based microparticles were
able to increase T(max) to 20 +/- 1 min and 18 +/- 8 min, respectively.
Furthermore, sCT absolute bioavailability after L-PEG-based microparticle
aerosolization at 100 MUg/kg was 2.3 times greater than for the nebulized sCT
solution.
PMID- 21882838
TI - In situ raman spectral mapping study on the microscale fibers in blue coral
(Heliopora coerulea) skeletons.
AB - The presence and localization of organic matrix associated with the aragonite
phase in the fibers of blue coral Heliopora coerulea skeletons were studied by in
situ microRaman mapping spectra, with a spatial resolution of ~0.3 MUm. Spatial
variations in the amounts and chemical compositions of the fibers were imaged.
The results showed that the amide I and the alpha-helix of amide III were
perpendicular to the c-axis of fibers' growth, whereas the beta-turns/sheet of
amide III was in the parallel conformation. Visible S-S and C-S bonds were
consistent with the XANES results, which indicated the existence of organic
sulfur in coral skeletons. Regular cyclic changes between aragonite and organic
matrix refined a stepping growth mode of the fibers' biomineralization. An
inorganic PO(4) bond was detected and exhibited the same concentration variation
trends as the v(4) aragonite bands. Instead of providing an ocean P proxy on the
subseasonal to centennial scale by LA-ICPMS, the possibility was raised of
producing high resolution surface ocean phosphorus records on daily environmental
variation via P/Ca variation cycles determined from Raman mapping data.
PMID- 21882839
TI - Planar multipole ion trap/time-of-flight mass spectrometer.
AB - We present a novel, hybrid ion trap/time-of-flight mass spectrometer that is
based on a planar multipole design. Compared with Paul trap/time-of-flight
instruments, this design possesses the principal advantages of higher injection
efficiency and more homogeneous extraction fields. We demonstrate the viability
of the concept and describe the characterization of a first prototype. Ions can
be injected into the trap with little mass discrimination and stored for several
minutes. A resolution of over 1300 is achieved in reflectron mode, and the
influence of the RF amplitude and pressure on the resolution is analyzed. We
suggest several applications in which this new instrument could offer advantages
over existing technology.
PMID- 21882840
TI - Composites of aminodextran-coated Fe3O4 nanoparticles and graphene oxide for
cellular magnetic resonance imaging.
AB - Formation of composites of dextran-coated Fe(3)O(4) nanoparticles (NPs) and
graphene oxide (Fe(3)O(4)-GO) and their application as T(2)-weighted contrast
agent for efficient cellular magnetic resonance imaging (MRI) are reported.
Aminodextran (AMD) was first synthesized by coupling reaction of
carboxymethyldextran with butanediamine, which was then chemically conjugated to
meso-2,3-dimercaptosuccinnic acid-modified Fe(3)O(4) NPs. Next, the AMD-coated
Fe(3)O(4) NPs were anchored onto GO sheets via formation of amide bond in the
presence of 1-ethyl-3-(3-dimethyaminopropyl) carbodiimide (EDC). It is found that
the Fe(3)O(4)-GO composites possess good physiological stability and low
cytotoxicity. Prussian Blue staining analysis indicates that the Fe(3)O(4)-GO
nanocomposites can be internalized efficiently by HeLa cells, depending on the
concentration of the composites incubated with the cells. Furthermore, compared
with the isolated Fe(3)O(4) NPs, the Fe(3)O(4)-GO composites show significantly
enhanced cellular MRI, being capable of detecting cells at the iron concentration
of 5 MUg mL(-1) with cell density of 2 * 10(5) cells mL(-1), and at the iron
concentration of 20 MUg mL(-1) with cell density of 1000 cells mL(-1).
PMID- 21882841
TI - Tailoring homochirality at surfaces: going beyond molecular handedness.
AB - Chirality can be bestowed upon a surface by the adsorption of molecules and is
usually discussed in terms of the molecular handedness. However, the adsorption
process often leads to a new manifestation of chirality in the form of the
adsorption footprint, which can also be chiral and generate mirror-images in 2-D.
Therefore, in describing the chirality of the interface, one must consider both
the handedness and the adsorption 'footedness' of the system. Specifically, the
creation of a truly homochiral surface must ensure that a single chirality is
expressed for each aspect, and requires not only the control of molecule
handedness but also direct control over footedness. Here, we demonstrate the
ability to engineer homochiral footedness by a structural modification of
enantiopure (S)-proline, which normally creates a (4 * 2) organization on a
Cu(110) surface with heterochiral footedness. This modification of proline via
the addition of a double bond within the pyrrolidine ring, yielding 3-pyrroline-2
carboxylic acid (PCA), is sufficient to drive the footprints of the entire (4 *
2) assembly from heterochiral to homochiral, leading to the creation of a truly
homochiral interface The effects of modifications upon the footprint arrangements
were characterized at the single-molecule level by scanning tunnelling
microscopy, reflection absorption infrared spectroscopy and periodic density
functional theory calculations. The control of adsorption footprints is not only
pivotal to tailoring chirality at surfaces but also plays a key role in dictating
the organization, the outward facing functionalities and the response of the
organic-inorganic interface.
PMID- 21882842
TI - Computational high-frequency overtone spectra of the water-ammonia complex.
AB - We have computed vibrational high-frequency overtone spectra of the water-ammonia
complex, H(2)O-NH(3), and its isotopomers. The complex has been modeled as two
independently vibrating monomer units. The internal coordinate Hamiltonians for
each monomer unit have been constructed using exact gas phase kinetic energy
operators. The potential energy and dipole moment surfaces have been calculated
with the explicitly correlated coupled cluster method CCSD(T)-F12A and the
valence triple-zeta VTZ-F12 basis around the equilibrium geometry of the complex.
The vibrational eigenvalues have been calculated variationally and the
eigenfunctions obtained have been used to compute the intensities of the
absorption transitions. In H(2)O-NH(3), the water molecule acts as the proton
donor and its symmetry is broken. The hydrogen-bonded OH bond oscillator
undergoes a large redshift and intensity enhancement compared to the free
hydrogen bond. Broken degeneracy of the asymmetric vibrations, quenched inversion
splittings, and blueshift of the symmetric bending mode are the most visible
changes in the ammonia unit.
PMID- 21882843
TI - New silicon architectures by gold-assisted chemical etching.
AB - Silicon nanowires (SiNWs) were produced by nanosphere lithography and metal
assisted chemical etching. The combination of these methods allows the morphology
and organization control of Si NWs on a large area. From the investigation of
major parameters affecting the etching such as doping type, doping concentration
of the substrate, we demonstrate the formation of new Si architectures consisting
of organized Si NW arrays formed on a micro/mesoporous silicon layer with
different thickness. These investigations will allow us to better understand the
mechanism of Si etching to enable a wide range of applications such as molecular
sensing, and for thermoelectric and photovoltaic devices.
PMID- 21882844
TI - Evaluation of the boron tolerant grass, Puccinellia distans, as an initial
vegetative cover for the Phytorestoration of a boron-contaminated mining site in
Southern California.
AB - Land damaged by boron (B) mining should be restored to its natural state with a
zero net impact on biodiversity. In an earlier study (Environ. Sci.
Technol.2010,44, 7089-7095), we characterized a Turkish ecotype of the grass,
Puccinellia distans, which exhibited extreme tolerance to B. Here we evaluated
the use of a US ecotype of P. distans as an initial vegetative cover for the
phytorestoration of a B mine in southern California. Hydroponic studies revealed
that this P. distans ecotype tolerated B concentrations >100 mg B/L and could be
germinated and grown in B-contaminated soils taken from the sites to be restored.
P. distans grew well in moderately B-contaminated soil (~88 mg B/L saturated
extract) amended with added organic matter (peat moss); other soil treatments
such as gypsum addition or pH correction were not needed. P. distans also grew in
severely B-contaminated soil (~1506 mg B/L) provided that toxic levels of soil B
were diluted by the addition of sand and/or organic matter. Our results provide
evidence in support of the concept of using the US ecotype of P. distans as an
initial vegetative cover for the phytorestoration of B-contaminated soil.
PMID- 21882845
TI - Self-organization of 1-methylnaphthalene on the surface of artificial snow
grains: a combined experimental-computational approach.
AB - A combined experimental-computational approach was used to study the self
organization and microenvironment of 1-methylnaphthalene (1MN) deposited on the
surface of artificial snow grains from vapors at 238 K. The specific surface area
of this snow (1.1 * 10(4) cm(2) g(-1)), produced by spraying very fine droplets
of pure water from a nebulizer into liquid nitrogen, was determined using
valerophenone photochemistry to estimate the surface coverage by 1MN.
Fluorescence spectroscopy at 77 K, in combination with molecular dynamics
simulations, and density functional theory (DFT) and second-order coupled cluster
(CC2) calculations, provided evidence for the occurrence of ground- and excited
state complexes (excimers) and other associates of 1MN on the snow grains'
surface. Only weak excimer fluorescence was observed for a loading of 5 * 10(-6)
mol kg(-1), which is ~2-3 orders of magnitude below monolayer coverage. However,
the results indicate that the formation of excimers is favored at higher surface
loadings (>5 * 10(-5) mol kg(-1)), albeit still being below monolayer coverage.
The calculations of excited states of monomer and associated moieties suggested
that a parallel-displaced arrangement is responsible for the excimer emission
observed experimentally, although some other associations, such as T-shape dimer
structures, which do not provide excimer emission, can still be relatively
abundant at this surface concentration. The hydrophobic 1MN molecules, deposited
on the ice surface, which is covered by a relatively flexible quasi-liquid layer
at 238 K, are then assumed to be capable of dynamic motion resulting in the
formation of energetically preferred associations to some extent. The
environmental implications of organic compounds' deposition on snow grains and
ice are discussed.
PMID- 21882846
TI - Group additivity values for estimating the enthalpy of formation of organic
compounds: an update and reappraisal. 1. C, H, and O.
AB - This study examines critically the present state and utility of the Benson
additivity schemes for estimating the enthalpy of formation of organic compounds.
Old and new group additivity values (GAV) for a wide variety of compounds
containing C, H and O are described and are revised where appropriate. When new
terms are proposed, or old ones significantly altered, the rationale for so doing
is provided. Corrections for such items as cis-isomer effects, gauche
interactions, ring strain energies, double-bond position, conjugation effects,
steric hindrance in aromatic molecules, etc. are included and discussed. Also
provided are the thermochemical consequences of functional group replacements, in
which one group in a molecule is substituted by another, thus providing quick
short cuts to estimating new Delta(f)H(0) values. Results derived from the new
additivity terms are consistent with those produced by computational chemistry
methods in general use.
PMID- 21882847
TI - Exploration of the topology of chemical spaces with network measures.
AB - Discontinuous changes in molecular structure (resulting from continuous
transformations of molecular coordinates) lead to changes in chemical properties
and biological activities that chemists attempt to describe through structure
activity or structure-property relationships (QSAR/QSPR). Such relationships are
commonly envisioned in a continuous high-dimensional space of numerical
descriptors, referred to as chemistry space. The choice of descriptors defining
coordinates within chemistry space and the choice of similarity metrics thus
influence the partitioning of this space into regions corresponding to local
structural similarity. These are the regions (known as domains of applicability)
most likely to be successfully modeled by a structure-activity relationship. In
this work the network topology and scaling relationships of chemistry spaces are
first investigated independent of a specific biological activity. Chemistry
spaces studied include the ZINC data set, a qHTS PubChem bioassay, as well as the
space of protein binding sites from the PDB. The characteristics of these
networks are compared and contrasted with those of the bioassay SALI subnetwork,
which maps discontinuities or cliffs in the structure-activity landscape. Mapping
the locations of activity cliffs and comparing the global characteristics of SALI
subnetworks with those of the underlying chemistry space networks generated using
different representations, can guide the choice of a better representation. A
higher local density of SALI edges with a particular representation indicates a
more challenging structure-activity relationship using that fingerprint in that
region of chemistry space.
PMID- 21882848
TI - Annual variation of natural 15N abundance in tea leaves and its practicality as
an organic tea indicator.
AB - To obtain basic knowledge about the relationship between the application of
organic fertilizers and the delta15N values of leaves of organically grown tea
plants, annual variations in the delta15N values of the tea leaves were
investigated. Although variations did not immediately arise after the application
of organic fertilizers, differences in the delta15N values between organic and
conventional cultivations appeared basically after three years from the beginning
of the organic cultivation except when an organic fertilizer with a low delta15N
value was applied, and the variation depended on the delta15N values of the
fertilizers. In addition, the effectiveness of the delta15N values as a practical
indicator of organic teas was examined. The tea leaves collected from organic
farms did not always have higher delta15N values than the commercially available
nonorganic teas. This result demonstrates that it is not easy to discriminate
organic teas from nonorganic teas simply by their delta15N values.
PMID- 21882849
TI - Restructuring of hydrophobic surfaces created by surfactant adsorption to mica
surfaces.
AB - Hydrophobic surfaces created by the adsorption of a monolayer of surfactants,
such as CTAB or DODAB, to mica display long-range mutual attraction when placed
in water. Initially, this attraction was considered to be due to hydrophobic
interaction, but more careful measurements using AFM showed that the surfactant
monolayer undergoes rearrangements to produce charged patches on the surface;
therefore, the nature of the long-range interaction is due to the electrostatic
interaction between patches. The monolayer rearrangement depends on the nature of
the surfactant and its counterion. To study possible monolayer rearrangements in
molecular detail, we performed detailed molecular dynamics computer simulations
on systems containing a monolayer of surfactants RN(CH(3))(3)(+)Cl(-) (R
indicates a saturated hydrocarbon chain) adsorbed on a mica surface and immersed
in water. We observe that when chain R is 18 carbons long the monolayer
rearranges into a micelle but it remains a monolayer when the chain contains 24
carbons.
PMID- 21882850
TI - Designed hybridization properties of DNA-gold nanoparticle conjugates for the
ultraselective detection of a single-base mutation in the breast cancer gene
BRCA1.
AB - We have investigated the hybridization properties of DNA-gold nanoparticle
conjugates and have discovered that the hybridization properties are dramatically
affected by controlling various synthetic and environmental conditions. We have
further demonstrated that moderate DNA loading instead of high loading per
nanoparticle significantly enhances the hybridization rates of DNA-gold
nanoparticle conjugates, which allows one to precisely design their hybridization
properties to distinguish a single-nucleotide polymorphism (SNP). A diagnostic
application for the colorimetric detection of an SNP associated with a mutation
in the breast cancer gene BRCA1 has been carefully designed and demonstrated.
PMID- 21882851
TI - Genomic profiling of microRNAs and proteomics reveals an early molecular
alteration associated with tumorigenesis induced by MC-LR in mice.
AB - Studies have demonstrated that microcystins (MCs) can act as potential
carcinogens and have caused serious risk to public environmental health. The
molecular mechanisms of MC-induced susceptibility to carcinogenesis are largely
unknown. In this study, we performed for the first time a comprehensive analysis
of changes in microRNAs (miRNAs) and proteins expression in livers of mice
treated with MC-LR. Utilizing microarray and two-dimensional gel electrophoresis
(2-DE) analysis, we identified 37 miRNAs and 42 proteins significantly altered.
Many aberrantly expressed miRNAs were related to various cancers (e.g., miR-125b,
hepatocellular carcinoma; miR-21, leukemia; miR-16, chronic lymphocytic leukemia;
miR-192, pituitary adenomas; miR-199a-3p, ovarian cancer; miR-34a, pancreatic
cancer). Several miRNAs (e.g., miR-34a, miR-21) and proteins (e.g., TGM2, NDRG2)
that play crucial roles in liver tumorigenesis were first found to be affected by
MC-LR in mouse liver. MC-LR also altered the expression of a number of miRNAs and
proteins involved in several pathways related to tumorigenesis, such as
glutathione metabolism, VEGF signaling, and MAPK signaling pathway. Integration
of post-transcriptomics, proteomics, and transcriptomics reveals that the
networks miRNAs and their potential target genes and proteins involved in had a
close association with carcinogenesis. These results provide an early molecular
mechanism for liver tumorigenesis induced by MCs.
PMID- 21882852
TI - Structural changes of carotenoid astaxanthin in a single algal cell monitored in
situ by Raman spectroscopy.
AB - The changes of structure of astaxanthin (AXT), a superpotent antioxidant, upon
thermal stress were investigated in unicellular microalgae Haematococcus
pluvialis by measuring Raman spectra in situ and analyzing obtained results with
DFT calculations. Although no visual changes are observed in the Haematococcus
cells upon heating, discernible changes in Raman spectra occur from -100 degrees
C systematically up to 150 degrees C. The exponential increase of the Raman
shift of the nu C?C band at ca. 1520 cm(-1) along with the change of the
intensity ratio of bands at 1190 and 1160 cm(-1) is observed, that correlates
with the changes predicted by calculations for astaxanthin conformers ordered by
decreasing energy. It is assumed that AXT molecules, initially in the form of H
aggregates with the trans conformations of the end-rings, interconvert toward
more stable gauche forms upon thermal stress of the algae. The applied approach
enables one to follow structural changes of the carotenoid upon temperature
stress both in a single algal cell and in a multicellular sample in situ.
Obtained information might be of use to improve the industrial process of
extraction of AXT in its most bioavailable form.
PMID- 21882853
TI - Measurement of heterogeneous reaction rates: three strategies for controlling
mass transport and their application to indium-mediated allylations.
AB - We describe three new strategies for determining heterogeneous reaction rates
using photomicroscopy to measure the rate of retreat of metal surfaces: (i)
spheres in a stirred solution, (ii) microscopic powder in an unstirred solution,
and (iii) spheres on a rotating shaft. The strategies are applied to indium
mediated allylation (IMA), which is a powerful tool for synthetic chemists
because of its stereoselectivity, broad applicability, and high yields. The rate
limiting step of IMA, reaction of allyl halides at indium metal surfaces, is
shown to be fast, with a minimum value of the heterogeneous rate constant of 1 *
10(-2) cm/s, an order of magnitude faster than the previously determined minimum
value. The strategies described here can be applied to any reaction in which the
surface is retreating or advancing, thereby broadening the applicability of
photomicroscopy to measuring heterogeneous reaction kinetics.
PMID- 21882854
TI - High dynamic range bio-molecular ion microscopy with the Timepix detector.
AB - Highly parallel, active pixel detectors enable novel detection capabilities for
large biomolecules in time-of-flight (TOF) based mass spectrometry imaging (MSI).
In this work, a 512 * 512 pixel, bare Timepix assembly combined with chevron
microchannel plates (MCP) captures time-resolved images of several m/z species in
a single measurement. Mass-resolved ion images from Timepix measurements of
peptide and protein standards demonstrate the capability to return both mass
spectral and localization information of biologically relevant analytes from
matrix-assisted laser desorption ionization (MALDI) on a commercial ion
microscope. The use of a MCP-Timepix assembly delivers an increased dynamic range
of several orders of magnitude. The Timepix returns defined mass spectra already
at subsaturation MCP gains, which prolongs the MCP lifetime and allows the gain
to be optimized for image quality. The Timepix peak resolution is only limited by
the resolution of the in-pixel measurement clock. Oligomers of the protein
ubiquitin were measured up to 78 kDa.
PMID- 21882855
TI - Gold(III)-catalyzed direct acetoxylation of arenes with iodobenzene diacetate.
AB - AuCl(3)-catalyzed direct acetoxylation of electron-rich aromatic compounds has
been achieved with iodobenzene diacetate as the acetoxylation reagent.
PMID- 21882857
TI - Cathode composites for Li-S batteries via the use of oxygenated porous
architectures.
AB - Li-S rechargeable batteries are attractive for electric transportation because of
their low cost, environmentally friendliness, and superior energy density.
However, the Li-S system has yet to conquer the marketplace, owing to its
drawbacks, namely, soluble polysulfide formation. To tackle this issue, we
present here a strategy based on the use of a mesoporous chromium trimesate metal
organic framework (MOF) named MIL-100(Cr) as host material for sulfur
impregnation. Electrodes containing sulfur impregnated within the pores of the
MOF were found to show a marked increase in the capacity retention of Li-S
cathodes. Complementary transmission electron microscopy and X-ray photoelectron
spectroscopy measurements demonstrated the reversible capture and release of the
polysulfides by the pores of MOF during cycling and evidenced a weak binding
between the polysulphides and the oxygenated framework. Such an approach was
generalized to other mesoporous oxide structures, such as mesoporous silica, for
instance SBA-15, having the same positive effect as the MOF on the capacity
retention of Li-S cells. Besides pore sizes, the surface activity of the
mesoporous additives, as observed for the MOF, appears to also have a pronounced
effect on enhancing the cycle performance. Increased knowledge about the
interface between polysulfide species and oxide surfaces could lead to novel
approaches in the design and fabrication of long cycle life S electrodes.
PMID- 21882858
TI - Universally applicable model for the quantitative determination of lake sediment
composition using fourier transform infrared spectroscopy.
AB - Fourier transform infrared spectroscopy (FTIRS) can provide detailed information
on organic and minerogenic constituents of sediment records. Based on a large
number of sediment samples of varying age (0-340,000 yrs) and from very diverse
lake settings in Antarctica, Argentina, Canada, Macedonia/Albania, Siberia, and
Sweden, we have developed universally applicable calibration models for the
quantitative determination of biogenic silica (BSi; n = 816), total inorganic
carbon (TIC; n = 879), and total organic carbon (TOC; n = 3164) using FTIRS.
These models are based on the differential absorbance of infrared radiation at
specific wavelengths with varying concentrations of individual parameters, due to
molecular vibrations associated with each parameter. The calibration models have
low prediction errors and the predicted values are highly correlated with
conventionally measured values (R = 0.94-0.99). Robustness tests indicate the
accuracy of the newly developed FTIRS calibration models is similar to that of
conventional geochemical analyses. Consequently FTIRS offers a useful and rapid
alternative to conventional analyses for the quantitative determination of BSi,
TIC, and TOC. The rapidity, cost-effectiveness, and small sample size required
enables FTIRS determination of geochemical properties to be undertaken at higher
resolutions than would otherwise be possible with the same resource allocation,
thus providing crucial sedimentological information for climatic and
environmental reconstructions.
PMID- 21882859
TI - Reactive molecular dynamics study on the first steps of DNA damage by free
hydroxyl radicals.
AB - We employ a large scale molecular simulation based on bond-order ReaxFF to
simulate the chemical reaction and study the damage to a large fragment of DNA
molecule in the solution by ionizing radiation. We illustrate that the randomly
distributed clusters of diatomic OH radicals that are primary products of
megavoltage ionizing radiation in water-based systems are the main source of
hydrogen abstraction as well as formation of carbonyl and hydroxyl groups in the
sugar moiety that create holes in the sugar rings. These holes grow up slowly
between DNA bases and DNA backbone, and the damage collectively propagates to a
DNA single and double strand break.
PMID- 21882860
TI - Thermoelectricity in fullerene-metal heterojunctions.
AB - Thermoelectricty in heterojunctions, where a single-molecule is trapped between
metal electrodes, has been used to understand transport properties at organic
inorganic interfaces. (1) The transport in these systems is highly dependent on
the energy level alignment between the molecular orbitals and the Fermi level (or
work function) of the metal contacts. To date, the majority of single-molecule
measurements have focused on simple small molecules where transport is dominated
through the highest occupied molecular orbital. (2, 3) In these systems, energy
level alignment is limited by the absence of electrode materials with low Fermi
levels (i.e., large work functions). Alternatively, more controllable alignment
between molecular orbitals and the Fermi level can be achieved with molecules
whose transport is dominated by the lowest unoccupied molecular orbital (LUMO)
because of readily available metals with lower work functions. Herein, we report
molecular junction thermoelectric measurements of fullerene molecules (i.e.,
C(60), PCBM, and C(70)) trapped between metallic electrodes (i.e., Pt, Au, Ag).
Fullerene junctions demonstrate the first strongly n-type molecular thermopower
corresponding to transport through the LUMO, and the highest measured magnitude
of molecular thermopower to date. While the electronic conductance of fullerenes
is highly variable, due to fullerene's variable bonding geometries with the
electrodes, the thermopower shows predictable trends based on the alignment of
the LUMO with the work function of the electrodes. Both the magnitude and trend
of the thermopower suggest that heterostructuring organic and inorganic materials
at the nanoscale can further enhance thermoelectric performance, therein
providing a new pathway for designing thermoelectric materials.
PMID- 21882861
TI - New electrophilic addition of alpha-diazoesters with ketones for enantioselective
C-N bond formation.
AB - alpha-Diazoesters were discovered to be good electrophiles in a catalytic
asymmetric alpha-functionalization of ketones for the first time. This reaction
also provided a direct and efficient method for C-N bond formation with excellent
yields (up to 98%) and enantioselectivities (up to 99% ee) under mild conditions.
The application of the electrophilicity of alpha-diazoesters opens up a novel way
to access the diversity of diazo chemistry.
PMID- 21882863
TI - Bonding and magnetic response properties of several toroid structures. Insights
of the role of Ni2S2 as a building block from relativistic density functional
theory calculations.
AB - Relativistic density functional calculations were carried out on several nickel
toroid mercaptides of the general formula [Ni(MU-SR)(2)](n), with the aim to
characterize and analyze their stability and magnetic response properties, in
order to gain more insights into their stabilization and size-dependent behavior.
The Ni-ligand interaction has been studied by means projected density of states
and energy decomposition analysis, which denotes its stabilizing character. The
graphical representation of the response to an external magnetic field is applied
for the very first time taking into account the spin-orbit term. This map allows
one to clearly characterize the magnetic behavior inside and in the closeness of
the toroid structure showing the prescence of paratropic ring currents inside the
Ni(n) ring, and by contrast, diatropic currents confined in each Ni(2)S(2) motif
denoting an aromatic behavior (in terms of magnetic criteria). The calculated
data suggests that the Ni(2)S(2) moiety can be regarded as a stable constructing
block, which can afford several toroid structures of different nuclearities in
agreement with that reported in the experimental literature. In addition, the
effects of the relativistic treatment over the magnetic response properties on
these lighter compounds are denoted by comparing nonrelativistic, scalar
relativistic, and scalar plus spin-orbit relativistic treatments, showing their
acting, although nonpronunced, role.
PMID- 21882862
TI - Analysis of hemoglobin adducts from acrylamide, glycidamide, and ethylene oxide
in paired mother/cord blood samples from Denmark.
AB - The knowledge about fetal exposure to acrylamide/glycidamide from the maternal
exposure through food is limited. Acrylamide, glycidamide, and ethylene oxide are
electrophiles and form adducts with hemoglobin (Hb), which could be used for in
vivo dose measurement. In this study, a method for analysis of Hb adducts by
liquid chromatography-mass spectrometry, the adduct FIRE procedure, was applied
to measurements of adducts from these compounds in maternal blood samples (n =
87) and umbilical cord blood samples (n = 219). The adduct levels from the three
compounds, acrylamide, glycidamide, and ethylene oxide, were increased in tobacco
smokers. Highly significant correlations were found between cord and maternal
blood with regard to measured adduct levels of the three compounds. The mean
cord/maternal hemoglobin adduct level ratios were 0.48 (range 0.27-0.86) for
acrylamide, 0.38 (range 0.20-0.73) for glycidamide, and 0.43 (range 0.17-1.34)
for ethylene oxide. In vitro studies with acrylamide and glycidamide showed a
lower (0.38-0.48) rate of adduct formation with Hb in cord blood than with Hb in
maternal blood, which is compatible with the structural differences in fetal and
adult Hb. Together, these results indicate a similar life span of fetal and
maternal erythrocytes. The results showed that the in vivo dose in fetal and
maternal blood is about the same and that the placenta gives negligible
protection of the fetus to exposure from the investigated compounds. A trend of
higher levels of the measured adducts in cord blood with gestational age was
observed, which may reflect the gestational age-related change of the cord blood
Hb composition toward a higher content of adult Hb. The results suggest that the
Hb adduct levels measured in cord blood reflect the exposure to the fetus during
the third trimester. The evaluation of the new analytical method showed that it
is suitable for monitoring of background exposures of the investigated
electrophilic compounds in large population studies.
PMID- 21882864
TI - Atomistic molecular dynamics simulations of the interactions of oleic and 2
hydroxyoleic acids with phosphatidylcholine bilayers.
AB - Fatty oleic acid (OA) and, recently, its derivative 2-hydroxyoleic acid (2OHOA)
have been reported to display an important therapeutic activity. To understand
better these therapeutic effects at the molecular and cellular levels, in this
work we have carried out molecular dynamics simulations to elucidate the
structural and dynamical changes taking place in model 1,2-dimyristoyl-sn-glycero
3-phosphocholine (DMPC) and 1,2-dipalmitoyl-sn-glycero-3-phosphocholine (DPPC)
bilayers upon insertion of rising concentrations of these two fatty acids. The
simulations are performed using a united-atoms model to describe both the
phospholipids and the fatty acids. The process of insertion of the fatty acids
from the aqueous phase into the bilayers is simulated first, showing that it is
feasible and may lead to some degree of phase separation within the bilayer. The
interactions of the embedded homogeneously dispersed fatty acids with the
phospholipid chains of the bilayers are then simulated at different
concentrations of the fatty acids. The results from these simulations show that
accumulation of OA and 2OHOA up to high concentrations induces only small
structural changes in the bilayers. An increase of the mobility of the lipid and
fatty acid chains at rising fatty acid concentrations is also observed, which is
more marked for the fatty acid chains, along with an enhancement of the
permeability of the bilayers to the hydrophobic penetrant.
PMID- 21882865
TI - Enantiomer fractions of chiral Perfluorooctanesulfonate (PFOS) in human sera.
AB - Perfluorooctane sulfonate (PFOS) is the most prominent perfluoroalkyl contaminant
in humans and wildlife, but there is great uncertainty in exposure pathways,
particularly with respect to the importance of PFOS-precursors (PreFOS). We
explored the hypothesis that nonracemic proportions of chiral PFOS in serum are
qualitative and semiquantitative biomarkers of human PreFOS exposure. A new
chiral HPLC-MS/MS method was developed for alpha-perfluoromethyl branched PFOS
(1m-PFOS, typically 2-3% of total PFOS) and applied to enantiomer fraction (EF)
analysis in biological samples. In blood and tissues of rodents exposed
subchronically to electrochemical PFOS, 1m-PFOS was racemic (EF = 0.485-0.511)
and no evidence for enantioselective excretion was found in this model mammal. 1m
PFOS in serum of pregnant women, from Edmonton, was significantly nonracemic,
with a mean EF (+/-standard deviation) of 0.432 +/- 0.009, similar to pooled
North American serum. In a highly exposed Edmonton family (mother, father, and 5
children) living in a house where ScotchGard had been applied repeatedly to
carpet and upholstery, EFs ranged from 0.35 to 0.43, significantly more
nonracemic than in pregnant women. Semiquantitative estimates of % serum 1m-PFOS
coming from 1m-PreFOS biotransformation in both subpopulations were in reasonable
agreement with model predictions of human exposure to PFOS from PreFOS. The data
were overall suggestive that the measured nonracemic EFs were influenced by the
relative extent of exposure to PreFOS. The possibility of using 1m-PFOS EFs for
assessing the relative contribution of 1m-PreFOS (or PreFOS in general) in
biological samples requires further application before being fully validated, but
could be a powerful tool for probing general sources of PFOS in environments
where the importance of PreFOS is unknown.
PMID- 21882866
TI - Thermodynamic analysis of self-assembly in coiled-coil biomaterials.
AB - Coiled-coil protein structural motifs have proven amenable to the design of
structurally well-defined biomaterials. Mesoscale structural properties can be
fairly well predicted based on rules governing the chemical interactions between
the helices that define this structural motif. We explore the role of the
hydrophobic core residues on the self-assembly of a coiled-coil polymer through a
mutational analysis coupled with a salting-out procedure. Because the resultant
polymers remain in solution, a thermodynamic approach is applied to characterize
the polymer assembly using conventional equations from polymer theory to extract
nucleation and elongation parameters. The stabilities and lengths of the polymers
are measured using circular dichroism spectropolarimetry, sizing methods
including dynamic light scattering and analytical ultracentrifugation, and atomic
force microscopy to assess mesoscale morphology. Upon mutating isoleucines at two
core positions to serines, we find that polymer stability is decreased while the
degree of polymerization is about the same. Differences in results from circular
dichroism and dynamic light scattering experiments suggest the presence of a
stable intermediate state, and a scheme is proposed for how this intermediate
might relate to the monomer and polymer states.
PMID- 21882867
TI - Counter anion effect on the self-aggregation of dimethyl-di-N-octylammonium
cation: a dual behavior between hydrotropes and surfactants.
AB - Self-aggregation of eight dimethyl-di-N-octylammonium salts ([DiC(8)]) has been
investigated as a function of the nature of the counteranion. Tensiometry,
conductimetry, and [DiC(8)]-selective electrode measurements highlighted three
different behaviors and led to a rationalization of the aggregation process
depending on the counteranion: "hydrophilic" anions (MoO(4)(2-), WO(4)(2-),
SO(4)(2-), F(-)) give only unimers and micelles, whereas less hydrated anions
form unimers, dimers, and either one micelle-like structure (NO(3)(-), Br(-)) or
two micelle-like structures (CH(3)SO(3)(-), Cl(-)). Small-angle neutron and
dynamic light scattering confirms the unusual behavior of [DiC(8)][Cl], which
forms two types of aggregates: (i) disk or vesicles between 10 and 30 mM and (ii)
ellipsoidal micelles above 30 mM. For [DiC(8)][MoO(4)(2-)], the formation of
ellipsoidal micelles is supported between 10 and 300 mM. Finally, shapes and
sizes of the aggregates are confirmed by molecular dynamic experiments.
PMID- 21882869
TI - Increasing the thermal storage capacity of a phase change material by
encapsulation: preparation and application in natural rubber.
AB - Existing encapsulated organic phase change materials (PCM) usually contain a
shell material that possesses a poor heat storage capacity and so results in a
lowered latent heat storage density of the encapsulated PCM compared to
unencapsulated PCM. Here, we demonstrate the use of a novel microencapsulation
process to encapsulate n-eicosane (C20) into a 2:1 (w/w) ratio blend of ethyl
cellulose (EC):methyl cellulose (MC) to give C20-loaded EC/MC microspheres with
an increased heat storage capacity compared to the unencapsulated C20. Up to a 29
and 24% increase in the absolute enthalpy value during crystallization and
melting were observed for the encap-C20/EC/MC microparticles with a 9% (w/w)
EC/MC polymer content. The mechanism that leads to the increased latent heat
storage capacity is discussed. The blending of the water-dispersible C20-loaded
EC/MC microspheres into natural rubber latex showed excellent compatibility, and
the obtained rubber composite showed not only an obvious thermoregulation
property but also an improved mechanical property.
PMID- 21882868
TI - Bacterial self-resistance to the natural proteasome inhibitor salinosporamide A.
AB - Proteasome inhibitors have recently emerged as a therapeutic strategy in cancer
chemotherapy, but susceptibility to drug resistance limits their efficacy. The
marine actinobacterium Salinispora tropica produces salinosporamide A (NPI-0052,
marizomib), a potent proteasome inhibitor and promising clinical agent in the
treatment of multiple myeloma. Actinobacteria also possess 20S proteasome
machinery, raising the question of self-resistance. We identified a redundant
proteasome beta-subunit, SalI, encoded within the salinosporamide biosynthetic
gene cluster and biochemically characterized the SalI proteasome complex. The
SalI beta-subunit has an altered substrate specificity profile, 30-fold
resistance to salinosporamide A, and cross-resistance to the FDA-approved
proteasome inhibitor bortezomib. An A49V mutation in SalI correlates to clinical
bortezomib resistance from a human proteasome beta5-subunit A49T mutation,
suggesting that intrinsic resistance to natural proteasome inhibitors may predict
clinical outcomes.
PMID- 21882870
TI - Toxicity and reductions in intracellular calcium levels following uptake of a
tetracycline antibiotic in Arabidopsis.
AB - Plant responses to natural stresses have been the focus of numerous studies;
however less is known about plant responses to artificial (i.e., man-made)
stress. Chlortetracycline (CTC) is widely used in agriculture and becomes an
environmental contaminant when introduced into soil from manure used as
fertilizer. We show here that in the model plant Arabidopsis (Arabidopsis
thaliana), root uptake of CTC leads to toxicity, with growth reductions and other
effects. Analysis of protein accumulation and in vivo synthesis revealed numerous
changes in soluble and membrane-associated proteins in leaves and roots. Many
representative proteins associated with different cellular processes and
compartments showed little or no change in response to CTC. However, differences
in accumulation and synthesis of NAD-malic enzyme in leaves versus roots suggest
potential CTC-associated effects on metabolic respiration may vary in different
tissues. Fluorescence resonance energy transfer (FRET) analysis indicated reduced
levels of intracellular calcium are associated with CTC uptake and toxicity.
These findings support a model in which CTC uptake through roots leads to
reductions in levels of intracellular calcium due to chelation. In turn, changes
in overall patterns and levels of protein synthesis and accumulation due to
reduced calcium ultimately lead to growth reductions and other toxicity effects.
PMID- 21882871
TI - Electrically induced colloidal clusters for generating shear mixing and
visualizing flow in microchannels.
AB - When aqueous suspensions of 1 MUm, negatively charged polystyrene particles are
subject to a 1 kHz alternating electric field of strength greater than 7 kV(rms)
m(-1), dynamic elliptical clusters of particles spontaneously form. With
potential applications in microchannel fluidics in mind, we characterize how
cluster formation and particle circulation, driven by induced dipole-dipole
interactions, is critically dependent on time, field strength, electrolyte
concentration, and cell thickness. Logarithmic growth of cluster size is
observed, and particle velocity within the clusters is found to be proportional
to cluster length. Increasing cell thickness from 10 to 60 MUm increases the
projected cluster area but decreases cluster aspect ratio as the result of
changing particle dispersal rates. Clusters are shown to generate significant
fluid shear suitable for microchannel mixing applications. These clusters are
observed to distort under transverse fluid flow and, above a critical flow rate,
to undergo a transition to form regularly spaced particle streams, which may be
suitable for two-dimensional visualization of fluid flow.
PMID- 21882872
TI - Compositionally tunable Cu2ZnSn(S(1-x)Se(x))4 nanocrystals: probing the effect of
Se-inclusion in mixed chalcogenide thin films.
AB - Nanocrystals of multicomponent chalcogenides, such as Cu(2)ZnSnS(4) (CZTS), are
potential building blocks for low-cost thin-film photovoltaics (PVs). CZTS PV
devices with modest efficiencies have been realized through postdeposition
annealing at high temperatures in Se vapor. However, little is known about the
precise role of Se in the CZTS system. We report the direct solution-phase
synthesis and characterization of Cu(2)ZnSn(S(1-x)Se(x))(4) nanocrystals (0 <= x
<= 1) with the aim of probing the role of Se incorporation into CZTS. Our results
indicate that increasing the amount of Se increases the lattice parameters,
slightly decreases the band gap, and most importantly increases the electrical
conductivity of the nanocrystals without a need for annealing.
PMID- 21882873
TI - Electrochemical mechanism of ion-ionophore recognition at plasticized polymer
membrane/water interfaces.
AB - Here, we report on the first electrochemical study that reveals the kinetics and
molecular level mechanism of heterogeneous ion-ionophore recognition at
plasticized polymer membrane/water interfaces. The new kinetic data provide
greater understanding of this important ion-transfer (IT) process, which
determines various dynamic characteristics of the current technologies that
enable highly selective ion sensing and separation. The theoretical assessment of
the reliable voltammetric data confirms that the dynamics of the ionophore
facilitated IT follows the one-step electrochemical (E) mechanism controlled by
ion-ionophore complexation at the very interface in contrast to the
thermodynamically equivalent two-step electrochemical-chemical (EC) mechanism
based on the simple transfer of an aqueous ion followed by its complexation in
the bulk membrane. Specifically, cyclic voltammograms of Ag(+), K(+), Ca(2+),
Ba(2+), and Pb(2+) transfers facilitated by highly selective ionophores are
measured and analyzed numerically using the E mechanism to obtain standard IT
rate constants in the range of 10(-2) to 10(-3) cm/s at both plasticized
poly(vinyl chloride) membrane/water and 1,2-dichloroethane/water interfaces. We
demonstrate that these strongly facilitated IT processes are too fast to be
ascribed to the EC mechanism. Moreover, the little effect of the viscosity of
nonaqueous media on the IT kinetics excludes the EC mechanism, where the kinetics
of simple IT is viscosity-dependent. Finally, we employ molecular level models
for the E mechanism to propose three-dimensional ion-ionophore complexation at
the two-dimensional interface as the unique kinetic requirement for the
thermodynamically facilitated IT.
PMID- 21882874
TI - Synthesis, properties, and applications of oligonucleotides containing an RNA
dinucleotide phosphorothiolate linkage.
AB - RNA represents a prominent class of biomolecules. Present in all living systems,
RNA plays many essential roles in gene expression, regulation, and development.
Accordingly, many biological processes depend on the accurate enzymatic
processing, modification, and cleavage of RNA. Understanding the catalytic
mechanisms of these enzymes therefore represents an important goal in defining
living systems at the molecular level. In this context, RNA molecules bearing 3'
or 5'-S-phosphorothiolate linkages comprise what are arguably among the most
incisive mechanistic probes available. They have been instrumental in showing
that RNA splicing systems are metalloenzymes and in mapping the ligands that
reside within RNA active sites. The resulting models have in turn verified the
functional relevance of crystal structures. In other cases, phosphorothiolates
have offered an experimental strategy to circumvent the classic problem of
kinetic ambiguity; mechanistic enzymologists have used this tool to assign
precise roles to catalytic groups as general acids or bases. These insights into
macromolecular function are enabled by the synthesis of nucleic acids bearing
phosphorothiolate linkages and the unique chemical properties they impart. In
this Account, we review the synthesis, properties, and applications of
oligonucleotides and oligodeoxynucleotides containing an RNA dinucleotide
phosphorothiolate linkage. Phosphorothioate linkages are structurally very
similar to phosphorothiolate linkages, as reflected in the single letter of
difference in nomenclature. Phosphorothioate substitutions, in which sulfur
replaces one or both nonbridging oxygens within a phosphodiester linkage, are now
widely available and are used routinely in numerous biochemical and medicinal
applications. Indeed, synthetic phosphorothioate linkages can be introduced
readily via a sulfurization step programmed into automated solid-phase
oligonucleotide synthesizers. In contrast, phosphorothiolate oligonucleotides,
in which sulfur replaces a specific 3'- or 5'-bridging oxygen, have presented a
more difficult synthetic challenge, requiring chemical alterations to the
attached sugar moiety. Here we begin by outlining the synthetic strategies used
to access these phosphorothiolate RNA analogues. The Arbuzov reaction and
phosphoramidite chemistry are often brought to bear in creating either 3'- or 5'
S-phosphorothiolate dinucleotides. We then summarize the responses of the
phosphorothiolate derivatives to chemical and enzymatic cleavage agents, as well
as mechanistic insights their use has engendered. They demonstrate particular
utility as probes of metal-ion-dependent phosphotransesterification, general acid
base-catalyzed phosphotransesterification, and rate-limiting chemistry. The 3'-
and 5'-S-phosphorothiolates have proven invaluable in elucidating the mechanisms
of enzymatic and nonenzymatic phosphoryl transfer reactions. Considering that RNA
cleavage represents a fundamental step in the maturation, degradation, and
regulation of this important macromolecule, the significant synthetic challenges
that remain offer rich research opportunities.
PMID- 21882875
TI - One product, two pathways: initially divergent radical reactions reconverge to
form a single product in high yield.
AB - The paper describes examples of net diastereotopic-group-selective radical
processes having the unusual feature that a single product is formed even though
the key reaction of the two diastereotopic radical precursors is nonselective.
For example, reaction of (R)-N-(cyclohex-2-en-1-yl)-N-(2,6-diiodo-4
methylphenyl)acetamide with tributyltin hydride produces 1-((4aR,9aR)-6-methyl
2,3,4,4a-tetrahydro-1H-carbazol-9(9aH)-yl)ethanone with high product selectivity
and in high yield. Analysis of the concentration profiles of the closed-shell
intermediates at the halfway point of the reaction shows that nonselective
abstraction of diastereotopic iodides by tin radicals occurs, leading to
diastereomeric aryl radicals. These isomeric intermediates evolve via two
nonintersecting reaction pathways, cyclization and bimolecular trapping or vice
versa, into the same final product. Origins of the selectivity are suggested on
the basis of conformational analysis of the products using both X-ray
crystallography and density functional theory calculations.
PMID- 21882876
TI - A powerful approach for the selection of 2-aminopurine substitution sites to
investigate RNA folding.
AB - A precise tertiary structure must be adopted to allow the function of many RNAs
in cells. Accordingly, increasing resources have been devoted to the elucidation
of RNA structures and the folding of RNAs. 2-Aminopurine (2AP), a fluorescent
nucleobase analogue, can be substituted in strategic positions of DNA or RNA
molecules to act as site-specific probe to monitor folding and folding dynamics
of nucleic acids. Recent studies further demonstrated the potential of 2AP
modifications in the assessment of folding kinetics during ligand-induced
secondary and tertiary RNA structure rearrangements. However, an efficient way to
unambiguously identify reliable positions for 2AP sensors is as yet unavailable
and would represent a major asset, especially in the absence of crystallographic
or NMR structural data for a target molecule. We report evidence of a novel and
direct correlation between the 2'-OH flexibility of nucleotides, observed by
selective 2'-hydroxyl acylation analyzed by primer extension (SHAPE) probing and
the fluorescence response following nucleotide substitutions by 2AP. This
correlation leads to a straightforward method, using SHAPE probing with benzoyl
cyanide, to select appropriate nucleotide sites for 2AP substitution. This clear
correlation is presented for three model RNAs of biological significance: the SAM
II, adenine (addA), and preQ(1) class II (preQ(1)cII) riboswitches.
PMID- 21882877
TI - Binary mixtures of cationic and anionic microgels.
AB - Colloidal behaviors of binary mixtures composed of cationic and anionic microgels
are reported. Both microgels were synthesized by aqueous free radical
precipitation polymerization using N-isopropylacrylamide and N,N'
methylenebisacrylamide but using different types of water-soluble initiators and
comonomer. Effects of temperature and salt concentration on phase behaviors of
binary mixtures of cationic and anionic microgels were investigated as well as
single-species microgels by UV-vis spectroscopy. We found that the presence of a
small amount of NaCl altered the dispersing behavior of the binary mixtures of
cationic and anionic microgels when they were in hydrated and swollen states. In
particular, scanning electron microscope observation clarified that the binary
mixtures containing a small amount of NaCl were not flocculated, and microgels
showed non-close-packed structures on a planar substrate in the dry state.
Furthermore, flocculations formed when both microgels were in the swollen states
could be redispersed by adding a small amount of NaCl and gently stirring. These
tunable properties have not been observed in mixtures of hard particles, and are
due to the coexistence of electrostatic interactions and steric hindrance of
highly hydrated soft particles.
PMID- 21882878
TI - Bifunctional nanoparticles with fluorescence and magnetism via surface-initiated
AGET ATRP mediated by an iron catalyst.
AB - Fluorescent/magnetic nanoparticles are of interest in many applications in
biotechnology and nanomedicine for its living detection. In this study, a novel
method of surface modification of nanoparticles was first used to modify a
fluorescent monomer on the surfaces of magnetic nanoparticles directly. This was
achieved via iron(III)-mediated atom-transfer radical polymerization with
activators generated by electron transfer (AGET ATRP). Fluorescent monomer 9-(4
vinylbenzyl)-9H-carbazole (VBK) was synthesized and was grafted from magnetic
nanoparticles (ferroferric oxide) via AGET ATRP using FeCl(3).6H(2)O as the
catalyst, tris(3,6-dioxaheptyl)amine (TDA-1) as the ligand, and ascorbic acid
(AsAc) as the reducing agent. The initiator for ATRP was modified on magnetic
nanoparticles with the reported method: ligand exchange with 3
aminopropyltriethoxysilane (APTES) and then esterification with 2-bromoisobutyryl
bromide. After polymerization, a well-defined nanocomposite (Fe(3)O(4)@PVBK) was
yielded with a magnetic core and a fluorescent shell (PVBK). Subsequently, well
dispersed bifunctional nanoparticles (Fe(3)O(4)@PVBK-b-P(PEGMA)) in water were
obtained via consecutive AGET ATRP of hydrophilic monomer poly(ethylene glycol)
methyl ether methacrylate (PEGMA). The chemical composition of the magnetic
nanoparticles' surface at different surface modification stages was investigated
with Fourier transform infrared (FT-IR) spectra. The magnetic and fluorescent
properties were validated with a vibrating sample magnetometer (VSM) and a
fluorophotometer. The Fe(3)O(4)@PVBK-b-P(PEGMA) nanoparticles showed an effective
imaging ability in enhancing the negative contrast in magnetic resonance imaging
(MRI).
PMID- 21882879
TI - Potential-dependent adsorption and transfer of poly(diallyldialkylammonium) ions
at the nitrobenzene|water interface.
AB - Electrochemically driven adsorption and partition of a series of
poly(diallyldialkylammonium) ions (PDADAA(+): alkyl = methyl, ethyl, propyl, and
butyl) at the nitrobenzene (NB)|water (W) interface have been studied using
voltammetry and electrocapillary measurements. When the phase-boundary potential,
Deltaphi, that is, the inner potential of the W phase referred to that of the NB
phase, is negative, poly(diallyldimethylammonium) (PDADMA(+)) shows little
surface activity. The scanning of Deltaphi in the positive direction induces,
first, the adsorption of PDADMA(+) at the interface and, then, the desorption of
adsorbed PDADMA(+) ions into the NB phase, followed by the diffusion-limited
transfer of PDADMA(+) from W to NB. The elongation of the dialkyl chains gives
the stronger surface activity of PDADAA(+) even when Deltaphi < 0. The PDADAA(+)
polyions studied are only slightly more hydrophilic than the corresponding
monomers. However, the polycationic character of PDADAA(+) renders the
adsorption, desorption, and ion transfer strongly dependent on Deltaphi and gives
rise to unusual, M-shaped electrocapillary curves. The interplay of adsorption
desorption and ion transfer of PDADAA(+) ions induces the electrochemical
instability of the interface and the emulsion formation on the NB side of the
interface.
PMID- 21882880
TI - Temperature-triggered micellization of block copolymers on an ionic liquid
surface.
AB - In situ neutron reflectivity was used to study thermally induced structural
changes of the lamellae-forming polystyrene-block-poly(2-vinylpyridine) (PS-b
P2VP) block copolymer thin films floating on the surface of an ionic liquid (IL).
The IL, 1-butyl-3-methylimidazolium trifluoromethanesulfonate, is a nonsolvent
for PS and a temperature-tunable solvent for P2VP, and, as such, micellization
can be induced at the air-IL interface by changing the temperature. Transmission
electron microscopy and scanning force microscopy were used to investigate the
resultant morphologies of the micellar films. It was found that highly ordered
nanostructures consisting of spherical micelles with a PS core surrounded by a
P2VP corona were produced. In addition, bilayer films of PS homopolymer on top of
a PS-b-P2VP layer also underwent micellization with increasing temperature but
the micellization was strongly dependent on the thickness of the PS and PS-b-P2VP
layers.
PMID- 21882882
TI - Charge delocalization in self-assembled mixed-valence aromatic cation radicals.
AB - The spontaneous assembly of aromatic cation radicals (D(+*)) with their neutral
counterpart (D) affords dimer cation radicals (D(2)(+*)). The intermolecular
dimeric cation radicals are readily characterized by the appearance of an
intervalence charge-resonance transition in the NIR region of their electronic
spectra and by ESR spectroscopy. The X-ray crystal structure analysis and DFT
calculations of a representative dimer cation radical (i.e., the
octamethylbiphenylene dimer cation radical) have established that a hole (or
single positive charge) is completely delocalized over both aromatic moieties.
The energetics and the geometrical considerations for the formation of dimer
cation radicals is deliberated with the aid of a series of cyclophane-like
bichromophoric donors with drastically varied interplanar angles between the
cofacially arranged aryl moieties. X-ray crystallography of a number of mixed
valence cation radicals derived from monochromophoric benzenoid donors
established that they generally assemble in 1D stacks in the solid state.
However, the use of polychromophoric intervalence cation radicals, where a single
charge is effectively delocalized among all of the chromophores, can lead to
higher-order assemblies with potential applications in long-range charge
transport. As a proof of concept, we show that a single charge in the cation
radical of a triptycene derivative is evenly distributed on all three benzenoid
rings and this triptycene cation radical forms a 2D electronically coupled
assembly, as established by X-ray crystallography.
PMID- 21882881
TI - The influence of long-term copper contaminated agricultural soil at different pH
levels on microbial communities and springtail transcriptional regulation.
AB - Copper has long been applied for agricultural practises. Like other metals,
copper is highly persistent in the environment and biologically active long after
its use has ceased. Here we present a unique study on the long-term effects (27
years) of copper and pH on soil microbial communities and on the springtail
Folsomia candida an important representative of the soil macrofauna, in an
experiment with a full factorial, random block design. Bacterial communities were
mostly affected by pH. These effects were prominent in Acidobacteria, while
Actinobacteria and Gammaroteobacteria communities were affected by original and
bioavailable copper. Reproduction and survival of the collembolan F. candida was
not affected by the studied copper concentrations. However, the transcriptomic
responses to copper reflected a mechanism of copper transport and detoxification,
while pH exerted effects on nucleotide and protein metabolism and (acute)
inflammatory response. We conclude that microbial community structure reflected
the history of copper contamination, while gene expression analysis of F. candida
is associated with the current level of bioavailable copper. The study is a first
step in the development of a molecular strategy aiming at a more comprehensive
assessment of various aspects of soil quality and ecotoxicology.
PMID- 21882883
TI - Two-photon 3D FIONA of individual quantum dots in an aqueous environment.
AB - We report the first two-photon (2P) microscopy of individual quantum dots (QDs)
in an aqueous environment with both widefield and point-scan excitations at
nanometer accuracy. Thiol-containing reductants suppress QD blinking and enable
measurement of the 36 nm step size of individual Myosin V motors in vitro. We
localize QDs with an accuracy of 2-3 nm in all three dimensions by using a 9 * 9
matrix excitation hologram and an array detector, which also increases the 3D
scan imaging rate by 80-fold. With this 3D microscopy we validate the LamB
receptor distribution on E. coli and the endocytosis of EGF-receptors in breast
cancer cells.
PMID- 21882884
TI - A novel method using a silicone diffusion membrane for continuous 222Rn
measurements for the quantification of groundwater discharge to streams and
rivers.
AB - 222Rn is a natural radionuclide that is commonly used as tracer to quantify
groundwater discharge to streams, rivers, lakes, and coastal environments. The
use of sporadic point measurements provides little information about short- to
medium-term processes (hours to weeks) at the groundwater-surface water
interface. Here we present a novel method for high-resolution autonomous, and
continuous, measurement of 222Rn in rivers and streams using a silicone diffusion
membrane system coupled to a solid-state radon-in-air detector (RAD7). In this
system water is pumped through a silicone diffusion tube placed inside an outer
air circuit tube that is connected to the detector. 222Rn diffuses from the water
into the air loop, and the 222Rn activity in the air is measured. By optimizing
the membrane tube length, wall thickness, and water flow rates through the
membrane, it was possible to quantify radon variations over times scales of about
3 h. The detection limit for the entire system with 20 min counting was 18 Bq m-3
at the 3sigma level. Deployment of the system on a small urban stream showed that
groundwater discharge is dynamic, with changes in 222Rn activity doubling on the
scale of hours in response to increased stream flow.
PMID- 21882886
TI - Acetylation of chicken feathers for thermoplastic applications.
AB - Poultry feathers are renewable resources, inexpensive and abundantly available,
but have limited applications. Although keratin extracted from feathers has been
chemically modified, there are no reports on the chemical modification or
development of thermoplastics from poultry feathers. Acetylation is an
inexpensive and environmentally friendly approach to make biopolymers
thermoplastic. Several biopolymers have been acetylated and used to produce
fibers, films, and extrudates. In this research, chicken feathers were
acetylated, and the structure and properties of the acetylated feathers were
studied. Acetylation conditions such as concentration of chemicals and catalyst
and time and temperature of acetylation were optimized. Acetylation of feathers
was confirmed using Fourier transform infrared (FTIR) and pyrolysis-gas
chromatography-mass spectrometry (P-GC-MS). The acetylated feathers were analyzed
using thermogravimetric analysis (TGA) and differential scanning calorimetry
(DSC) to understand their thermal behavior. Acetylated feathers were
thermoplastic and could be compression molded to form transparent films despite
the relatively low percentage of acetyl content.
PMID- 21882885
TI - Effects of a protecting osmolyte on the ion atmosphere surrounding DNA duplexes.
AB - Osmolytes are small, chemically diverse, organic solutes that function as an
essential component of cellular stress response. Protecting osmolytes enhance
protein stability via preferential exclusion, and nonprotecting osmolytes, such
as urea, destabilize protein structures. Although much is known about osmolyte
effects on proteins, less is understood about osmolyte effects on nucleic acids
and their counterion atmospheres. Nonprotecting osmolytes destabilize nucleic
acid structures, but effects of protecting osmolytes depend on numerous factors
including the type of nucleic acid and the complexity of the functional fold. To
begin quantifying protecting osmolyte effects on nucleic acid interactions, we
used small-angle X-ray scattering (SAXS) techniques to monitor DNA duplexes in
the presence of sucrose. This protecting osmolyte is a commonly used contrast
matching agent in SAXS studies of protein-nucleic acid complexes; thus, it is
important to characterize interaction changes induced by sucrose. Measurements of
interactions between duplexes showed no dependence on the presence of up to 30%
sucrose, except under high Mg(2+) conditions where stacking interactions were
disfavored. The number of excess ions associated with DNA duplexes, reported by
anomalous small-angle X-ray scattering (ASAXS) experiments, was sucrose
independent. Although protecting osmolytes can destabilize secondary structures,
our results suggest that ion atmospheres of individual duplexes remain
unperturbed by sucrose.
PMID- 21882887
TI - pH-induced intramolecular folding dynamics of i-motif DNA.
AB - Using the combination of fluorescence resonance energy transfer (FRET) and
fluorescence correlation spectroscopy (FCS) technique, we investigate the
mechanism and dynamics of the pH-induced conformational change of i-motif DNA in
the bulk phases and at the single-molecule level. Despite numerous studies on i
motif that is formed from cytosine (C)-rich strand at slightly acidic pH, its
detailed conformational dynamics have been rarely reported. Using the FRET
technique to provide valuable information on the structure of biomolecules such
as a protein and DNA, we clearly show that the partially folded species as well
as the single-stranded structure coexist at neutral pH, supporting that the
partially folded species may exist substantially in vivo and play an important
role in a process of gene expression. By measuring the FCS curves of i-motif, we
observed the gradual decrease of the diffusion coefficient of i-motif with
increasing pH. The quantitative analysis of FCS curves supports that the gradual
decrease of diffusion coefficient (D) associated with the conformational change
of i-motif is not only due to the change in the intermolecular interaction
between i-motif and solvent accompanied by the increase of pH but also due to the
change of the shape of DNA. Furthermore, FCS analysis showed that the intrachain
contact formation and dissociation for i-motif are 5-10 times faster than that
for the open form. The fast dynamics of i-motif with a compact tetraplex is due
to the intrinsic conformational changes at the fluorescent site including the
motion of alkyl chain connecting the dye to DNA, whereas the slow intrachain
contact formation observed from the open form is due to the DNA motion
corresponding to an early stage interaction in the folding process of the
unstructured open form.
PMID- 21882888
TI - Effect of buckwheat extract on the antioxidant activity of lipid in mouse brain
and its structural change during in vitro human digestion.
AB - This study was conducted to investigate the effects of buckwheat ( Fagopyrum
esculentum Moench cv. Yangjul No. 2) extract on the antioxidant activity of
lipids in mouse brain and the structural change during in vitro human digestion.
Buckwheat was collected from a wild farm and extracted with water. The buckwheat
extracts were then passed through an in vitro human digestion model that
simulated the composition of the mouth, stomach, and small intestine juice. The
results confirmed that the main phenolics of buckwheat extract were rutin,
quercitrin, and quercetin. The rutin content increased with digestion of the
buckwheat (from 48.82 to 96.34 MUg/g) and rutin standard samples (from 92.76 to
556.56 MUg/g). Antioxidant activity was more strongly influenced by in vitro
human digestion of both buckwheat and rutin standard. After digestion by the
small intestine, the antioxidant activity values were dramatically increased
(from 5.06 to 87.82%), whereas the antioxidant activity was not influenced by
digestion in the stomach for both buckwheat extract and rutin standard.
Inhibition of lipid oxidation of buckwheat in mouse brain lipids increased after
digestion in the stomach for both buckwheat extract and the rutin standard. The
major finding of this study was that in vitro human digestion may be an important
modulator of the antioxidant capacity of buckwheat and that this may be because
in vitro human digestion increased the antioxidative activity via an increase in
antioxidants such as rutin and quercetin.
PMID- 21882889
TI - Use of mid-upper arm circumference in determining undernutrition and illness in
rural adult Oraon men of Gumla District, Jharkhand, India.
AB - INTRODUCTION: Body mass index (BMI) is widely accepted as one of the best
indicators of nutritional status in adults. Mid-upper arm circumference (MUAC) is
another anthropometric measure that has also been used to evaluate adult
nutritional status. The objective of this study was to evaluate the use of MUAC
as a simpler and reliable alternative to BMI. A suitable cut-off value was also
proposed for identification of chronic energy deficiency (CED) in relation to
self-reported illness among the adult Oraon males of Jharkhand state in India.
METHODS: The study was based on a cross-sectional survey involving 205 rural
adult men belonging to the Oraon tribal group of Jharkand State in India. Height
and weight were measured for each participant. The BMI was calculated as kg/m2.
The internationally accepted cut-off points of BMI and MUAC were utilised to
determine nutritional status. An episode of illness was recorded for each subject
if any working day was lost. Receiver operating characteristic curve analyses
were undertaken to discover the most suitable values of MUAC both for CED and
illness. RESULTS: The MUAC values of 243 and 239 mm were identified as the best
cut offs to identify CED (BMI<18.5) and illness, respectively. There were marked
increases in both CED and illness prevalence rates at a MUAC level lower than 240
mm. Therefore, a MUAC value of close to 240 mm seemed appropriate as a simple and
efficient cut-off point for the determination of undernutrition and higher rates
of illness and loss of working days in adult Oraon males. CONCLUSION: Because
MUAC is a much simpler measure than BMI, the use of MUAC 240 mm as a cut-off
point is predicted to have considerable public health implications, especially
with respect to primary health care related to CED and morbidity.
PMID- 21882895
TI - Neurite outgrowth in fibrin gels is regulated by substrate stiffness.
AB - Fibrin is a promising matrix for use in promoting nerve repair given its natural
occurrence in peripheral nerve injuries, and the biophysical properties of this
matrix can be regulated to modulate tissue regeneration. In this study, we
examined the effect of physical and mechanical properties of fibrin gels on
dorsal root ganglia (DRG) neurite extension. Increases in fibrinogen
concentration increased the number of fibrin strands, resulting in decreased pore
size and increased stiffness. Neurite extension was reduced when DRG explants
were cultured within fibrin gels of increasing fibrinogen concentrations (from
9.5 to 141 mg/mL). The addition of NaCl also increased the number of fibrin
strands, reducing fiber diameter and porosity, while increasing mechanical
strength, and reductions in neurite extension correlated with increases in NaCl
content. We determined that neurite extension within fibrin gels is dependent on
fibrinolysis and is mediated by the secretion of serine proteases and matrix
metalloproteinases by entrapped DRGs, as confirmed by culturing cells in the
presence of inhibitors against these enzymes and real-time-polymerase chain
reaction. Taken together, the results of this study provide new insight into the
effect of fibrin gel biophysical properties on neurite extension and suggest new
opportunities to improve the efficacy of these materials when used as nerve
guidance conduits.
PMID- 21882896
TI - Enzymatic crosslinking and degradation of gelatin as a switch for bone
morphogenetic protein-2 activity.
AB - Current therapies for tissue regeneration rely on the presence or direct delivery
of growth factors to sites of repair. Bone morphogenetic protein-2 (BMP-2),
combined with a carrier (usually collagen), is clinically proven to induce new
bone formation during spinal fusion and nonunion repair. However, due to BMP-2's
short half-life and its diffusive properties, orders of magnitude above
physiological levels are required to ensure effectiveness. In addition, a high
dose of this multifunctional growth factor is known to induce adverse effects in
patients. To circumvent these challenges, we proposed and tested a new approach
for BMP-2 delivery, by controlling BMP activity via carrier binding and localized
proteolysis. BMP-2 was covalently bound to gelatin through site-specific
enzymatic crosslinking using a microbial transglutaminase. Binding of BMP-2 to
gelatin can completely switch off BMP-2 activity, as evidenced by loss of its
transdifferentiating ability toward C2C12 promyoblasts. When gelatin sequestered
BMP-2 is incubated with either microbial collagenase or tissue-derived matrix
metalloproteinases, BMP-2 activity is fully restored. The activity of released
BMP-2 correlates with the protease activity in a dose- and time-dependent manner.
This observation suggests a novel way of delivering BMP-2 and controlling its
activity. This improved delivery method, which relies on a physiological
feedback, should enhance the known potential of this and other growth factors for
tissue repair and regeneration.
PMID- 21882897
TI - The detection of food soils on stainless steel using energy dispersive X-ray and
Fourier transform infrared spectroscopy.
AB - Organic soiling is a major issue in the food processing industries, causing a
range of biofouling and microbiological problems. Energy dispersive X-ray (EDX)
and Fourier transform infra red spectroscopy (FT-IR) were used to quantify and
determine the biochemical groups of food soils on stainless steel surfaces. EDX
quantified organic material on surfaces where oily based residues predominated,
but was limited in its usefulness since other food soils were difficult to
detect. FT-IR provided spectral 'fingerprints' for each of the soils tested. Key
soiling components were associated with specific peaks, viz. oils at 3025 cm(-1)
3011 cm(-1), proteins at 1698 cm(-1)-1636 cm(-1) and carbohydrates at 1658 cm(-1)
1596 cm(-1), 783 cm(-1)-742 cm(-1). High concentrations of some soils (10%) were
needed for detection by both EDX and FT-IR. The two techniques may be of use for
quantifying and identifying specific recalcitrant soils on surfaces to improve
cleaning and hygiene regimes.
PMID- 21882899
TI - Engineered antifouling microtopographies: kinetic analysis of the attachment of
zoospores of the green alga Ulva to silicone elastomers.
AB - Microtopography has been demonstrated as an effective deterrent to biofouling.
The majority of published studies are fixed-time assays that raise questions
regarding the kinetics of the attachment process. This study investigated the
time-dependent attachment density of zoospores of Ulva, in a laboratory assay, on
a micropatterned and smooth silicone elastomer. The attachment density of
zoospores was reduced on average 70-80% by the microtopography relative to smooth
surfaces over a 4 h exposure. Mapping the zoospore locations on the topography
revealed that they settled preferentially in specific, recessed areas of the
pattern. The kinetic data fit, with high correlation (r(2) > 0.9), models
commonly used to describe the adhesion of bacteria to surfaces. The grouping of
spores on the microtopography indicated that the pattern inhibited the ability of
attached spores to recruit neighbors. This study demonstrates that the
antifouling mechanism of topographies may involve disruption of the cooperative
effects exhibited by fouling organisms such as Ulva.
PMID- 21882900
TI - MCF-7 cells as a three-dimensional model for the study of human breast cancer.
AB - The increasing use of three-dimensional (3D) cell culture is because it
reproduces in vitro results similar to in vivo results. Multicellular tumor
spheroids generated in vitro exhibit important characteristics of avascular
tumors, mainly with respect to tumor physiology and microenvironment. The
interaction among cells in a tridimensional culture environment enhances cell
differentiation and leads to luminal formation in some breast-derived cell
cultures. The present work describes a method that permits luminal formation in
breast adenocarcinoma cell (MCF-7)-derived spheroids in a 3D environment. In the
proposed model, several relevant parameters, such as cell survival, apoptosis,
autophagy, and E-cadherin expression, were analyzed to understand the
organization of MCF-7 cells during different culture phases, including luminal
and bud formation.
PMID- 21882898
TI - Inhibition of marine biofouling by bacterial quorum sensing inhibitors.
AB - Seventy eight natural products from chemical libraries containing compounds from
marine organisms (sponges, algae, fungi, tunicates and cyanobacteria) and
terrestrial plants, were screened for the inhibition of bacterial quorum sensing
(QS) using a reporter strain Chromobacterium violaceum CV017. About half of the
natural products did not show any QS inhibition. Twenty four percent of the
tested compounds inhibited QS of the reporter without causing toxicity. The QS
inhibitory activities of the most potent and abundant compounds were further
investigated using the LuxR-based reporter E. coli pSB401 and the LasR-based
reporter E. coli pSB1075. Midpacamide and tenuazonic acid were toxic to the
tested reporters. QS-dependent luminescence of the LasR-based reporter, which is
normally induced by N-3-oxo-dodecanoyl-L-homoserine lactone, was reduced by
demethoxy encecalin and hymenialdisin at concentrations >6.6 MUM and 15 MUM,
respectively. Hymenialdisin, demethoxy encecalin, microcolins A and B and kojic
acid inhibited responses of the LuxR-based reporter induced by N-3-oxo-hexanoyl-L
homoserine lactone at concentrations >0.2 MUM, 2.2 MUM, 1.5 MUM, 15 MUM and 36
MUM, respectively. The ability to prevent microfouling by one of the compounds
screened in this study (kojic acid; final concentrations 330 MUM and 1 mM) was
tested in a controlled mesocosm experiment. Kojic acid inhibited formation of
microbial communities on glass slides, decreasing the densities of bacteria and
diatoms in comparison with the control lacking kojic acid. The study suggests
that natural products with QS inhibitory properties can be used for controlling
biofouling communities.
PMID- 21882901
TI - Is pacemaker deactivation at the end of life unique? A case study and ethical
analysis.
AB - Although there has been considerable controversy regarding the deactivation of
pacemakers near the end of life, clinicians can expect to face more requests for
pacemaker withdrawal as the number of implants grows. Despite a clear ethical and
legal precedent, these requests may elicit significant psychological and moral
distress on the part of the clinical team. We illustrate some of the difficulties
clinicians may face by describing the case of a patient with end-stage heart
failure who asked to have her pacemaker turned off near the end of life. We
discuss the challenges in determining pacemaker dependency, differing attitudes
toward deactivating pacemakers versus other cardiac devices, and how the issues
of perceived burden and timing of death may contribute to a clinician's sense of
moral distress.
PMID- 21882902
TI - Metformin as a geroprotector.
AB - Abstract Geroprotectors are drugs that decrease the rate of aging and therefore
extend life span. Metformin has been described as a geroprotector, and several
studies have shown that metformin can slow down the rate of aging. The mechanisms
behind the geroprotective effect of metformin are less established. The goal of
this review is to investigate the evidence for the geroprotective effect of
metformin and to describe the possible mechanisms behind it.
PMID- 21882903
TI - Inferring mechanisms of compensation from E-MAP and SGA data using local search
algorithms for max cut.
AB - A new method based on a mathematically natural local search framework for max cut
is developed to uncover functionally coherent module and BPM motifs in high
throughput genetic interaction data. Unlike previous methods, which also consider
physical protein-protein interaction data, our method utilizes genetic
interaction data only; this becomes increasingly important as high-throughput
genetic interaction data is becoming available in settings where less is known
about physical interaction data. We compare modules and BPMs obtained to previous
methods and across different datasets. Despite needing no physical interaction
information, the BPMs produced by our method are competitive with previous
methods. Biological findings include a suggested global role for the prefoldin
complex and a SWR subcomplex in pathway buffering in the budding yeast
interactome.
PMID- 21882904
TI - Pain, health related quality of life and healthcare resource utilization in
Spain.
AB - OBJECTIVES: The aim of this paper is to consider the relationship between the
experience of pain, health related quality of life (HRQoL) and healthcare
resource utilization in Spain. METHODS: The analysis contrasts the contribution
of pain severity and frequency of pain reported against respondents reporting no
pain in the previous month. Data are from the 2010 National Health and Wellness
Survey (NHWS) for Spain. Single equation generalized linear regression models are
used to evaluate the association of pain with the physical and mental component
scores of the SF-12 questionnaire as well as health utilities generated from the
SF-6D. In addition, the role of pain is assessed in its association with self
reported healthcare provider visits, emergency room visits and hospitalizations
in the previous 6 months. RESULTS: The results indicate that the experience of
pain, notably severe and frequent pain, is substantial and is significantly
associated with the SF-12 physical component scores, health utilities and all
aspects of healthcare resource utilization, which far outweighs the role of
demographic and socioeconomic variables, health risk factors (in particular body
mass index) and the presence of comorbidities. In the case of severe daily pain,
the marginal contribution of the SF-12 physical component score is a deficit of
17.86 compared to those reporting no pain (population average score 46.49), while
persons who are morbidly obese report a deficit of only -6.63 compared to those
who are normal weight. The corresponding association with health utilities is
equally dramatic with a severe daily pain deficit of -0.186 compared to those
reporting no pain (average population utility 0.71). The impact of pain on
healthcare resource utilization is marked. Severe daily pain increases
traditional provider visits by 208.8%, emergency room visits by 373.0% and
hospitalizations by 348.5%. LIMITATIONS: As an internet-based survey there is the
possibility of bias towards those with internet access, although telephone
sampling is used to supplement responses. Respondents are asked to describe their
experience of pain; there is no independent check on the accuracy of responses.
Finally, while certain acute pain categories are omitted, the study focuses on
pain in the last month and not on pain chronicity. CONCLUSIONS: The societal
burden of severe and frequent pain in Spain is substantial. Although not reported
on before, at a national level, the deficit impact of the experience of pain far
outweighs the contribution of more traditional explanations of HRQoL deficits as
well as being the primary factor associated with increased provider visits,
emergency room visits and hospitalizations.
PMID- 21882906
TI - Familial Chiari malformation: case series.
AB - Chiari malformations (Types I-IV) are abnormalities of the posterior fossa that
affect the cerebellum, brainstem, and the spinal cord with prevalence rates of
0.1%-0.5%. Case reports of familial aggregation of Chiari malformation, twin
studies, cosegregation of Chiari malformation with known genetic conditions, and
recent gene and genome-wide association studies provide strong evidence of the
genetic underpinnings of familial Chiari malformation. The authors report on a
series of 3 family pairs with Chiari malformation Type I: 2 mother-daughter pairs
and 1 father-daughter pair. The specific genetic causes of familial Chiari
malformation have yet to be fully elucidated. The authors review the literature
and discuss several candidate genes. Recent advances in the understanding of the
genetic influences and pathogenesis of familial Chiari malformation are expected
to improve management of affected patients and monitoring of at-risk family
members.
PMID- 21882907
TI - Chiari malformation associated with craniosynostosis.
AB - OBJECT: Chiari malformation (CM) Type I is frequently associated with
craniosynostosis. Optimal management of CM in patients with craniosynostosis is
not well-established. The goal of this study was to report on a series of
pediatric patients with both craniosynostosis and CM and discuss their
management. METHODS: The authors searched the medical records of 383 consecutive
patients treated for craniosynostosis at a single institution over a 15-year
period to identify those with CM. They recorded demographic data as well as
surgical treatment and outcomes for these patients. When MR imaging was
performed, cerebellar tonsillar descent was recorded and any other associated
findings, such as hydrocephalus or spinal syringes, were noted. RESULTS: A total
of 29 patients with both CM and craniosynostosis were identified. Of these cases,
28% had associated occipital venous abnormalities, 45% were syndromic, and 52%
also had hydrocephalus. Chiari malformation was more likely to be present in
those patients with isolated lambdoid synostosis (55%), multisuture synostosis
(35%), and pansynostosis (80%), compared with patients with coronal synostosis
(6%) or sagittal synostosis (3%). All patients underwent surgical repair of
craniosynostosis: 16 had craniosynostosis repair as well as CM decompression, and
13 patients did not undergo CM decompression. Of the 7 patients in whom
craniosynostosis repair alone was performed, 5 had decreased tonsillar ectopia
postoperatively and 5 had improved CSF flow studies postoperatively. Both
patients with a spinal syrinx had imaging-documented syrinx regression after
craniosynostosis repair. In 12 patients in whom CM was diagnosed after primary
craniosynostosis repair, 5 had multiple cranial vault expansions and evidence of
elevated intracranial pressure. In 5 cases, de novo CM development was documented
following craniosynostosis repair at a mean of 3.5 years after surgery.
CONCLUSIONS: Chiari malformation is frequently seen in patients with both multi-
and single-suture lambdoid craniosynostosis. Chiari malformation, and even a
spinal cord syrinx, will occasionally resolve following craniofacial repair. De
novo development of CM after craniosynostosis repair is not unusual.
PMID- 21882908
TI - Associated disorders of Chiari Type I malformations: a review.
AB - A single pathophysiological mechanism of Chiari Type I malformations (CM-I) has
been a topic of debate. To help better understand CM-I, the authors review
disorders known to be associated with CM-I. The primary methodology found among
most of them is deformation of the posterior cranial fossa, usually with
subsequent decrease in volume. Other mechanisms exist as well, which can be
categorized as either congenital or acquired. In understanding the relationship
of such disorders with CM-I, we may gain further insight into the process by
which cerebellar tonsillar herniation occurs. Some of these pathologies appear to
be true associations, but many appear to be spurious.
PMID- 21882909
TI - Volumetric analysis of syringomyelia following hindbrain decompression for Chiari
malformation Type I: syringomyelia resolution follows exponential kinetics.
AB - OBJECT: Resolution of syringomyelia is common following hindbrain decompression
for Chiari malformation, yet little is known about the kinetics governing this
process. The authors sought to establish the volumetric rate of syringomyelia
resolution. METHODS: A retrospective cohort of patients undergoing hindbrain
decompression for a Chiari malformation Type I with preoperative cervical or
thoracic syringomyelia was identified. Patients were included in the study if
they had at least 3 neuroimaging studies that detailed the entirety of their
preoperative syringomyelia over a minimum of 6 months postoperatively. The
authors reconstructed the MR images in 3 dimensions and calculated the volume of
the syringomyelia. They plotted the syringomyelia volume over time and
constructed regression models using the method of least squares. The Akaike
information criterion and Bayesian information criterion were used to calculate
the relative goodness of fit. The coefficients of determination R(2) (unadjusted
and adjusted) were calculated to describe the proportion of variability in each
individual data set accounted for by the statistical model. RESULTS: Two patients
were identified as meeting inclusion criteria. Plots of the least-squares best
fit were identified as 4.01459e(-0.0180804)(x) and 13.2556e(-0.00615859)(x).
Decay of the syringomyelia followed an exponential model in both patients (R(2) =
0.989582 and 0.948864). CONCLUSIONS: Three-dimensional analysis of syringomyelia
resolution over time enables the kinetics to be estimated. This technique is yet
to be validated in a large cohort. Because syringomyelia is the final common
pathway for a number of different pathological processes, it is possible that
this exponential only applies to syringomyelia related to treatment of Chiari
malformation Type I.
PMID- 21882910
TI - Standard and cardiac-gated phase-contrast magnetic resonance imaging in the
clinical course of patients with Chiari malformation Type I.
AB - OBJECT: The causal treatment of Chiari malformation Type I (CM-I) consists of
removing the obstruction of CSF flow at the level of the foramen magnum.
Cerebrospinal fluid flow can be visualized using dynamic phase-contrast MR
imaging. Because there is only a paucity of studies evaluating CSF dynamics in
the region of the spinal canal on the basis of preoperative and postoperative
measurements, the authors investigated the clinical usefulness of cardiacgated
phase-contrast MR imaging in patients with CM-I. METHODS: Ninety patients with CM
I underwent preoperative MR imaging of CSF pulsation. Syringomyelia was present
in 59 patients and absent in 31 patients. Phase-contrast MR imaging of the entire
CNS was used to investigate 22 patients with CM-I before surgery and after a mean
postoperative period of 12 months (median 12 months, range 3-33 months). In
addition to the dynamic studies, absolute flow velocities, the extension of the
syrinx, and tonsillar descent were also measured. RESULTS: The changes in
pulsation were highly significant in the region of the (enlarged) cistern (p =
0.0005). Maximum and minimum velocities (the pulsation amplitude) increased
considerably in the region where the syrinx was largest in diameter. The changes
of pulsation in these patients were significant in the subarachnoid space in all
spinal segments but not in the syrinx itself and in the central canal.
CONCLUSIONS: The demonstration of CSF flow pulsation can contribute to
assessments of surgical outcomes. The results presented here, however, raise
doubts about current theories on the pathogenesis of syringomyelia.
PMID- 21882911
TI - Chiari malformation.
PMID- 21882912
TI - Developmental anatomy of the atlas and axis in childhood by computed tomography.
AB - OBJECT: The CT modality plays a central role in the diagnosis of cervical spine
fractures. In childhood, radiolucent synchondroses between ossification centers
can resemble fractures, and they can be the sites of fractures as well.
Recognition of cervical spine fractures in children requires familiarity with
normal developmental anatomy and common variants as they appear on CT scans.
METHODS: A convenience sample of 932 CT scans of the cervical spine accessible on
the picture archiving and communications system (known as PACS) at a single
children's hospital was examined. Scans were excluded from further analysis if
they did not include the atlantoaxial region or were otherwise technically
unsatisfactory; if the patient carried the diagnosis of a skeletal dysplasia; or
if there were developmental lesions noted at other levels of the spine. No more
than 1 scan per patient was analyzed. Synchondroses were graded as radiolucent,
not totally radiolucent but still visible, or no longer visible. Their locations
and symmetries were noted. The presence or absence of the tubercles of the
transverse ligament was noted as well. RESULTS: After exclusions, 841 studies of
the atlas and 835 studies of the axis were analyzed. The 3 common ossification
centers of the atlas arose in the paired neural arches and the anterior arch, but
in as many as 20% of cases the anterior arch developed from paired symmetrical
ossification centers. The 5 common ossification centers of the axis arose in the
paired neural arches, in the basal center, in the dentate center (from which most
of the dentate process develops), and in the very apex of the dentate process.
The appearance of each synchondrosis was noted at sequential ages. The tubercles
for the transverse ligament generally did not appear until the ossification of
the synchondroses of the atlas was far advanced. Anomalies of the atlas included
anterior and posterior spina bifida, absence of sectors of the posterior arch,
and anomalous ossification centers and synchondroses. Anomalies of the axis were
much less common. What appeared possibly to be chronic, incompletely healed
fractures of the atlas were discovered on review for this analysis in 6 cases. No
fractures of the axis were discovered. CONCLUSIONS: There is substantial
variation in the time course and pattern of development of the atlas, and
anomalies are common. Some fractures of the atlas may escape recognition without
manifest sequelae. Variation in the time course of the development of the axis is
notable as well, but anomalies seem much less common.
PMID- 21882913
TI - Repeat surgery for residual ependymoma.
PMID- 21882914
TI - Second-look surgery for ependymoma: the Italian experience.
AB - OBJECT: Complete ependymoma resection ensures a better prognosis for children
with this tumor, but the complete excision of infratentorial ependymomas involves
serious risks. Second-look surgery for tumor remnants may be less harmful and
enable complete removal. There is a potential, although still unclear, role for
neoadjuvant chemotherapy in preparation for further surgery. METHODS: Since 1994,
the authors have adopted two successive protocols for intracranial ependymoma,
both including a phase of adjuvant chemotherapy for children with surgical tumor
remnants with a plan for potential second-look surgery before radiotherapy.
RESULTS: In the first protocol, 9 of 63 children underwent further surgery, and 6
became tumor free with no additional sequelae. Their prognosis for progression
free survival and freedom from local relapse was comparable to that of children
who were operated on only once. In the second protocol, efforts were made to
achieve complete resection and 29 of 110 patients underwent reoperations: 9 after
the first surgery, 17 after chemotherapy, and 3 soon after radiotherapy. Fourteen
of the 29 patients became tumor free, 1 of them with worsening neurological
symptoms. The outcome of the 66 patients who became tumor free after 1 operation
was compared with that of the 14 who became tumor free after reoperation. The 3
year progression-free survival of the 66 patients compared with the 14 other
patients was 71.4% +/- 6.9% and 90% +/- 9.5%, respectively; the 3-year freedom
from local relapse was 84.7% +/- 5.9% and 90% +/- 9.5%, respectively; and the 3
year overall survival was 85.9% +/- 5.4% and 87.5% +/- 11.7%, respectively.
CONCLUSIONS: Second-look surgery proved feasible with no major morbidity, and
results improved with time. Local tumor control was comparable in patients
undergoing 1 or more resections.
PMID- 21882915
TI - Resection of infantile brain tumors after neoadjuvant chemotherapy: the St. Jude
experience.
AB - OBJECT: Brain tumors in infants are often large, high grade, and vascular, making
complete resection difficult and placing children at risk for neurological
complications and excessive blood loss. Neoadjuvant chemotherapy may reduce tumor
vascularity and volume, which can facilitate resection. The authors evaluated how
an ongoing institutional prospective chemotherapy trial would affect patients who
did not have a gross-total resection (GTR) immediately and who therefore required
further surgical intervention to achieve definitive tumor resection. METHODS:
Thirteen infants (4 girls and 9 boys) who were enrolled in an institutional
protocol in which they were treated with multiagent chemotherapy (methotrexate,
vincristine, cisplatin, and cyclophosphamide with vinblastine for high-risk
patients) subsequently underwent second-look surgery. The primary outcome was
extent of resection achieved in postchemotherapy surgery. Secondary outcomes
included intraoperative blood loss, radiographic response to the chemotherapy,
complications during chemotherapy, and survival. RESULTS: Three infants underwent
biopsy, 9 underwent subtotal resection, and 1 patient did not undergo surgery
prior to chemotherapy. On subsequent second-look surgery, 11 of 13 patients had a
GTR, 1 had a near-total resection, and 1 had a subtotal resection. In each case,
a marked reduction in tumor vascularity was observed intraoperatively. The
average blood loss was 19% of estimated blood volume, and 6 (46%) of 13 patients
required a blood transfusion. Radiographically, chemotherapy induced a reduction
in tumor volume in 9 (69%) of 13 patients. Emergency surgery was required in 2
patients during chemotherapy, 1 for intratumoral hemorrhage and 1 for worsening
peritumoral edema. The average follow-up period for this cohort was 16.5 months,
and at last follow-up, 4 patients (31%) had died, 1 patient had progressive
metastatic spinal disease, and the rest had either no evidence of disease or
stable disease. CONCLUSIONS: A GTR of pediatric brain tumors is one of the most
important predictors of outcome. The application of the authors' neoadjuvant
induction chemotherapy protocol in a variety of tumor types resulted in
devascularization of all tumors and volume regression in the majority, and
subsequently facilitated resection, with acceptable intraoperative blood loss.
Intracranial complications may occur during chemotherapy, ranging from incidental
and asymptomatic to life threatening, necessitating close monitoring of these
children.
PMID- 21882916
TI - Pooling data on antibiotic-impregnated shunts.
PMID- 21882917
TI - Cerebrospinal shunt infection in patients receiving antibiotic-impregnated versus
standard shunts.
AB - OBJECT: Cerebrospinal fluid shunt infections are associated with significant
morbidity and mortality in the treatment of adult and pediatric hydrocephalus.
Antibiotic-impregnated shunt (AIS) catheters have been used with the aim of
reducing shunt infection. While many studies have demonstrated a reduction in
shunt infection with AIS, this reported efficacy has varied within the
literature. METHODS: The authors performed a systematic literature review to
identify all published articles comparing the incidence of CSF shunt infection in
AIS versus non-AIS catheters. The incidence of infection for AIS versus non-AIS
catheters was calculated using the Mantel-Haenszel common odds ratio, and
baseline demographics were compared between AIS and non-AIS cohorts. RESULTS:
Twelve AIS versus non-AIS cohort comparisons were identified in the literature (5
pediatric hydrocephalus, 3 adult hydrocephalus, and 4 mixed populations). In a
total of 5613 reported shunt procedures (2664 AISs vs 2949 non-AISs), AISs were
associated with a reduction in shunt infection (3.3% vs 7.2%; OR 0.439, p <
0.0001). In 787 shunt procedures for adult hydrocephalus (427 AIS vs 360 non
AIS), AISs were associated with reduction in shunt infection (0.9% vs 5.8%; OR
0.153, p < 0.0001). In 1649 shunt procedures for pediatric hydrocephalus (854 AIS
vs 795 non-AIS), AISs were associated with reduction in shunt infection (5.0% vs
11.2%; OR 0.421, p < 0.0001). CONCLUSIONS: The authors' systematic review of the
literature demonstrates that AIS catheters are associated with a significant
reduction over non-AIS catheters in the reported incidence of CSF shunt infection
in adult and pediatric populations. The AIS catheters do not appear to be
associated with an increased incidence of antibiotic-resistant microorganisms.
Prospective, randomized trials are needed to firmly assess and confirm this
apparent difference in infection incidence.
PMID- 21882918
TI - Invasive monitoring.
PMID- 21882919
TI - Outcome of no resection after long-term subdural electroencephalography
evaluation in children with epilepsy.
AB - OBJECT: The aim of this study was to identify the reasons for and predictors of
no resection of the epileptogenic zone in children with epilepsy who had
undergone long-term invasive subdural grid electroencephalography (SDG-EEG)
evaluation. METHODS: The authors retrospectively reviewed the consecutive medical
records of children (< 19 years of age) who had undergone SDG-EEG evaluation over
a 7-year period (1997-2004). To determine the predictors of no resection, the
authors obtained the clinical characteristics and imaging and EEG findings of
children who had no resection after long-term invasive SDG-EEG evaluation and
compared these data with those in a group of children who did undergo resection.
They describe the indications for SDG-EEG evaluation and the reasons for no
resection in these patients. RESULTS: Of 66 children who underwent SDG-EEG
evaluation, 9 (13.6%) did not undergo subsequent resection (no-resection group; 6
males). Of these 9 patients, 6 (66.7%) had normal neurological examinations and 5
(55.6%) had normal findings on brain MR imaging. Scalp video EEG localized
epilepsy to the left hemisphere in 6 of the 9 patients and to the right
hemisphere in 2; it was nonlocalizable in 1 of the 9 patients. Indications for
SDG-EEG in the no-resection group were ictal onset zone (IOZ) localization (9 of
9 patients), motor cortex localization (5 of 9 patients), and language area
localization (4 of 9 patients). Reasons for no resection after SDG-EEG evaluation
were the lack of a well-defined IOZ in 5 of 9 patients (4 multifocal IOZs and 1
nonlocalizable IOZ) and anticipated new permanent postoperative neurological
deficits in 7 of 9 patients (3 motor, 2 language, and 2 motor and language
deficits). Comparison with the resection group (57 patients) demonstrated that
postictal Todd paralysis in the dominant hand was the only variable seen more
commonly (chi(2) = 4.781, p = 0.029) in the no-resection group (2 [22.2%] of 9 vs
2 [3.5%] of 57 patients). The no-resection group had a larger number of SDG
electrode contacts (mean 126. 5 +/- 26.98) as compared with the resection group
(100.56 +/- 25.52; p = 0.010). There were no significant differences in the
demographic data, seizure characteristics, scalp and invasive EEG findings, and
imaging variables between the resection and no-resection groups. CONCLUSIONS:
Children who did not undergo resection of the epileptogenic zone after SDG-EEG
evaluation were likely to have normal neurological examinations without
preexisting neurological deficits, a high probability of a new unacceptable
permanent neurological deficit following resection, or multifocal or
nonlocalizable IOZs. In comparison with the group that underwent resection after
SDG-EEG, a history of Todd paralysis in the dominant hand and arm was the only
predictor of no resection following SDG-EEG evaluation. Data in this study will
help to better select pediatric patients for SDG-EEG and to counsel families
prior to epilepsy surgery.
PMID- 21882920
TI - Combined intraoperative magnetic resonance imaging and navigated neuroendoscopy
in children with multicompartmental hydrocephalus and complex cysts: a
feasibility study.
AB - OBJECT: The rationale for using endoscopy to treat complex cysts and
multiloculated hydrocephalus is to combine several CSF compartments into a
minimum number, establish a connection to functioning CSF compartments (that is,
ventricles), and decrease shunt dependency. The aim is to decrease the number of
proximal shunt catheters, the number of shunt revisions, and in selected cases
even to avoid a shunt. In cases of distorted anatomy and multiloculated cysts,
endoscopy may be problematic because of orientation issues. Standard navigation
becomes useless soon after CSF loss due to brain shift. Therefore, the concept of
"real-time" navigation and intraoperative imaging in combination with endoscopic
surgery has been previously suggested. The goal of the present study was to
assess the feasibility and efficacy of combining intraoperative MR (iMR) imaging
and navigated neuroendoscopy in infants. METHODS: The authors report their
experience in treating 5 infants (aged 6-14 months), who underwent surgery for
multicystic hydrocephalus presenting with shunt malfunction (4 patients) and a
quadrigeminal fetal arachnoid cyst (1 patient). In all infants, a low-field
portable iMR imaging system (0.12-T PoleStar N-10/0.15-Tesla PoleStar N-20) was
used in conjunction with navigated endoscopy. The authors used e-steady, T1
weighted, and T2-weighted sequences (acquisition time 24 seconds to 3.5 minutes).
RESULTS: The iMR imaging system provided clear images that correlated with the
endoscopic appearance of the cystic membranes in all patients, and the images
were helpful in determining trajectories and redefining targets. The iMR images
documented brain shift and changes in CSF spaces during surgery. There were no
intraoperative complications or technical difficulties of visualization. No
infection or any other immediate postoperative complication occurred. Patients
were followed up for 9 months to 7 years. The infant presenting with the
quadrigeminal cyst remains shunt free since surgery, and the patients with
multicystic hydrocephalus have 1-2 shunts each. Following endoscopic, iMR imaging
guided surgery, shunt catheter positioning was found to be optimal and as planned
according to the postoperative imaging. CONCLUSIONS: Navigated neuroendoscopy and
iMR imaging may complement each other, offering an advantage over other
modalities in complicated cases of hydrocephalus. Whenever targets and
trajectories need to be redefined, the iMR images provided an updated navigation
data set, allowing accurate navigation of the endoscope and minimizing the number
of CSF compartments. Direct vision through the endoscope provides microanatomical
details for the optimization of fenestration and catheter positioning. The
combined usage of the two modalities may transform a conventional procedure into
a visually controlled real-time navigated process.
PMID- 21882921
TI - Hydrocephalus status in spina bifida: an evaluation of variations in
neuropsychological outcomes.
AB - OBJECT: The effect of hydrocephalus status on neuropsychological outcomes in
children with spina bifida (SB) has not been carefully evaluated. The authors
hypothesized a stepwise progression of outcomes related to hydrocephalus status
(shunt-treated, arrested, or no hydrocephalus) and that motor, spatial, and
executive function tasks would be more sensitive to hydrocephalus status than
vocabulary and reading tasks. METHODS: Two hundred eight children (mean age 11.2
years) with SB were grouped according to hydrocephalus status: shunt-treated
hydrocephalus (166 children), arrested hydrocephalus (18 children), and no
hydrocephalus (24 children). Sixty-one typically developing children were
included as a control group (mean age 12.05 years). All children were tested
across neuropsychological content domains, including verbal and nonverbal IQ,
reading and mathematical achievement, explicit memory, visuospatial function,
executive function, and motor skills. RESULTS: There was a stepwise progression
of outcomes. Averaging across tasks, performance scores of children with SB and
no hydrocephalus (mean standard score 92.60) were higher than those of children
with SB and arrested hydrocephalus (mean standard score 86.86), and scores of
children in the latter group were higher than those of children with SB and shunt
treated hydrocephalus (mean standard score 82.30). All 3 groups scored lower than
the control group (mean standard score 105.94). Fine motor tasks best
differentiated the arrested-hydrocephalus and shunt-treated groups. Verbal and
executive function tasks, often associated with socioeconomic status, best
differentiated the group of children with SB and no hydrocephalus from the
control group. CONCLUSIONS: With the exception of fine motor skills and small
differences in memory and spatial domains, children with SB and arrested or shunt
treated hydrocephalus have similar neuropsychological profiles. Performance of
all 3 groups of children with SB was below that of the control group, which also
reflects the lower socioeconomic status of the children with SB.
PMID- 21882922
TI - A sacral arachnoid cyst causing holocord syringomyelia.
AB - Spinal extradural arachnoid cysts (ACs) have an infrequent predilection for the
sacrum. As with their counterparts in other regions of the spine, cysts in this
location are mostly asymptomatic. Common presentations in symptomatic cases
include pain in the low back or perineum, radiculopathy, and sphincteric
dysfunction. The authors report a hitherto undescribed presentation in which the
predominant symptoms are those related to an associated holocord syrinx. This 15
year-old boy presented with fluctuating, spastic paraparesis and a dissociated
sensory loss in the trunk. Admission MR imaging of the spine showed an extradural
AC from S-2 to S-4 and a holocord, nonenhancing syrinx. The patient underwent S-2
laminectomy, fenestration of the cyst, and partial excision of its wall.
Intradural exploration revealed a normal-looking filum terminale and the absence
of any dural communication with the cyst. At a follow-up visit 6 months after
surgery, his motor and sensory deficits had resolved. Follow-up MR imaging showed
complete resolution of the syrinx in the absence of the sacral AC. This is the
first report of a sacral extradural AC causing holocord syringomyelia. Because
conventional theories of syrinx formation were not helpful in elucidating this
case, a hypothesis is postulated to explain the clinicoradiological oddity.
PMID- 21882923
TI - Long-term social outcome in children with moyamoya disease who have reached
adulthood.
AB - OBJECT: Although the reported surgical outcome is favorable, there is little
information regarding the long-term quality of life in pediatric patients with
moyamoya disease (MMD) when they grow to adulthood. The authors conducted a
survey to provide details of social adaptation and satisfaction in adults who
underwent revascularization surgery for MMD during childhood. METHODS: One
hundred twenty-three patients with MMD who had undergone surgery during childhood
and were older than 18 years of age were recruited for this study. The authors
mailed self-administered questionnaires regarding each patient's education,
employment, marriage, driver's license, physical condition, and satisfaction.
Sixty-five patients (53%) responded. RESULTS: Compared with the general
population, the patients showed a similar rate of attaining a higher education.
Forty-nine (80%) of 61 patients who were not currently high school students had
entered college or university. However, the presence of neurological deficits on
preoperative examination was a negative predictor of entrance into a college or
university, as well as employment. Some patients had difficulty in planning
marriage because of physical handicaps, and the rate of acquiring a driver's
license and actual driving were relatively low. Approximately 80% of the
responders were satisfied with their treatment outcomes, but more than one-half
reported subjectively assessed neurological problems. CONCLUSIONS: In this study,
pediatric patients with MMD showed favorable social adaptation in adulthood. The
findings also indicated that early diagnosis and intervention before the
establishment of neurological deficits are essential to children with MMD in
becoming better socially adapted. Further, more thorough clinical examinations
are required during follow-up in patients with MMD.
PMID- 21882924
TI - Severely impaired cerebrovascular reserve in patients with cerebral proliferative
angiopathy.
AB - OBJECT: Cerebral proliferative angiopathy (CPA) has been morphologically
distinguished from classically appearing brain arteriovenous malformations (AVMs)
by exhibition of functional brain parenchyma that is intermingled with abnormal
vascular channels. The presence of oligemia in this intralesional brain tissue
may suggest ischemia, which is not detected in classic brain AVMs. The authors
hypothesized that patients with CPA would exhibit a greater impairment of
cerebrovascular reserve in neuronal tissue surrounding the true nidus compared
with those with brain AVMs. METHODS: Four patients with CPA, 10 patients with
brain AVMs and seizures, and 12 young healthy individuals were studied. The 4
patients with CPA underwent blood oxygen level-dependent MR imaging examinations
while applying normoxic step changes in end-tidal CO(2) to obtain quantitative
cerebrovascular reactivity measurements. RESULTS: Patients with a CPA lesion
exhibited severely impaired perilesional cerebrovascular reserve in comparison
with patients with brain AVMs and seizures (0.10 +/- 0.03 vs 0.16 +/- 0.03,
respectively; p < 0.05), and young healthy individuals (0.10 +/- 0.03 vs 0.21 +/-
0.06, respectively; p < 0.01). CONCLUSIONS: This study demonstrated severely
impaired cerebrovascular reserve in the perilesional brain tissue surrounding the
abnormal vessels of patients with CPA. This finding may provide an additional
means to distinguish CPA from classic brain AVMs.
PMID- 21882925
TI - Encephalocraniocutaneous lipomatosis: a review of its clinical pathology and
neurosurgical indications.
AB - Encephalocraniocutaneous lipomatosis (ECCL) is a rare neurocutaneous syndrome
whose hallmark lesions are benign lipomas of the brain and spinal cord. The
authors present a case of a male infant with ECCL who had extensive brainstem and
spinal cord lipomas. The management of this patient's hydrocephalus,
cervicomedullary compression, tethered cord, and scoliosis over the course of his
first 2 years of life is described. This case report and review of the literature
is presented to provide a synopsis of the problems likely to be encountered by
neurosurgeons who treat patients with this syndrome.
PMID- 21882926
TI - Growing mammary choristoma masquerading as a lumbosacral lipomyelomeningocele in
a pubertal girl.
AB - The authors report, to the best of their knowledge, the first case of lumbosacral
choristoma of breast origin, presenting in a young girl with lumbosacral
lipomyelomeningocele. Although choristomas are considered to be benign, the
regrowth of this mass when the patient was 15 and 16 years of age, and its
involvement in the conus medullaris and cauda equina, warranted 2 additional
resections with spinal cordotomy resulting in cessation of any further growth.
The authors describe the case and provide a review of pertinent literature and a
discussion of the mechanisms involving the development and growth of this lesion.
PMID- 21882927
TI - Amenorrhea complicating endoscopic third ventriculostomy in the pediatric age
group.
AB - Endoscopic third ventriculostomy (ETV) is an accepted option in the treatment of
obstructive hydrocephalus in children and is considered by many pediatric
neurosurgeons to be the treatment of choice in this population. The procedure
involves perforation of the floor of the third ventricle, specifically, the tuber
cinereum, which is part of the hypothalamic-pituitary axis of cerebral endocrine
regulation. Endocrine dysfunction, such as amenorrhea, weight gain, and
precocious puberty, which are recognized only months to years after the
procedure, may be underreported because patients and physicians may not relate
the endocrine sequelae to the ETV. Few detailed reports of endocrine-related
complications following ETV exist to better understand these issues. In this
study, the authors add to the literature with case descriptions of and
correlative laboratory findings in 2 adolescent girls who underwent ETV for
obstructive hydrocephalus and in whom amenorrhea subsequently developed.
PMID- 21882928
TI - Are ultrasonography measurements of optic nerve sheath diameter an alternative to
funduscopy in children with syndromic craniosynostosis?
AB - OBJECT: Children with syndromic or complex craniosynostosis are evaluated for
increased intracranial pressure (ICP) using funduscopy to detect papilledema.
However, papilledema is a late sign of increased ICP. Because papilledema might
be preceded by an increase in optic nerve sheath (ONS) diameter, the authors
conducted a prospective study to establish the validity and applicability of
measuring the ONS using ultrasonography. METHODS: From January 2007 to December
2009, 175 bilateral ultrasonography ONS measurements were performed in 128
patients with syndromic or complex craniosynostosis during the daytime. The
measurements were correlated with ONS diameter assessed on CT and simultaneous
funduscopy, when available. Furthermore, results were compared by using
thresholds for ONS diameters on ultrasonography that are available in the
literature. RESULTS: The mean ONS diameter on ultrasonography was 3.1 +/- 0.5 mm.
The CT measurement was significantly correlated with the ultrasonography
measurement (r = 0.41, p < 0.001). The mean ONS diameter in 38 eyes with
papilledema was 3.3 +/- 0.5 mm, compared with 3.1 +/- 0.5 mm in the eyes of
patients without papilledema (p = 0.039). Relative to the age-related thresholds,
the ONS diameter was too large in 11 eyes (3%), particularly in patients with
Crouzon syndrome. Compared with funduscopy, ultrasonography sensitivity was 11%,
specificity was 97%, and positive and negative predictive values were 40% and
86%, respectively. CONCLUSIONS: Ultrasonography is a valid and easy way of
quantifying the ONS. Although the ONS diameter is larger in children with
papilledema, it cannot be used as a daytime screening tool instead of funduscopy.
The ONS diameter is possibly a more real-time indicator of ICP.
PMID- 21882929
TI - Cerebellopontine angle cyst.
PMID- 21882930
TI - Validity of the Psycho-Oncology Screening Tool (POST).
AB - Identifying cancer patients who are experiencing psychosocial challenges during
the early phase of oncology treatment can prevent escalating patient distress.
Standardized screening methods allow the medical team to identify those at high
risk for poor adjustment. The purpose of this study was to provide preliminary
psychometric evidence for a brief, self-administered screening instrument, the
Psycho-Oncology Screening Tool (POST), designed to evaluate emotional and
physical distress, depressive symptoms, and social concerns. Participants
included 944 radiation oncology patients who completed the POST prior to their
treatment appointment and a subgroup of 516 patients who completed the POST and
one of six concurrent validity measures. Psychometric analysis included construct
validity evidence provided through confirmatory factor analysis (CFA), internal
reliability estimates, and concurrent validity estimates assessed with bivariate
correlations between POST subscales scores and conceptually similar established
measures. A three-factor CFA model was found to produce acceptable model fit,
supporting the three domain structure of the POST. Furthermore, the three
subscales--emotional and physical distress, depressive symptoms and social
concerns--were found to produce acceptable internal reliability estimates (alpha
= .73-.88). Concurrent validity evidence was observed with significant, moderate
to large correlations between the POST subscales and all relevant measures (i.e.,
Profile of Mood States, Hospital Anxiety and Depression Scale, Functional
Assessment of Cancer Therapy Fatigue, Beck Depression Inventory, Pain Disability
Index, and the Interpersonal Support Evaluation List) with correlations ranged
from 0.42 to 0.83, p < 0.01. Patients and clinic staff reported no problems
administering or completing the POST. Results of this study support the
psychometric soundness as well as the feasibility and acceptability of the POST
as a brief screening tool for oncology patients receiving outpatient services.
PMID- 21882931
TI - Analysis of memory deficits following chemotherapy in breast cancer survivors:
evidence from the doors and people test.
AB - Studies of cognitive effects of chemotherapy among breast cancer patients show
that not all women who are exposed to chemotherapy develop cognitive dysfunction
and that the observed declines in cognitive functioning may be quite subtle. The
use of measures that are sensitive to subtle cognitive decline are recommended
yet rarely used among clinical populations. The purpose of this study is to
specify the types of memory changes observed among breast cancer survivors
treated with chemotherapy and tamoxifen, by using an analytic test of memory, the
Doors and People test, which uses age-adjusted norms. The participants were 40
women who were survivors of breast cancer, 20 of whom had completed chemotherapy
treatment and 20 women who were treated only with tamoxifen. There were no
significant differences between the two groups in overall scores and in all four
subtests: visual memory, verbal memory, recall, and recognition measured by age
adjusted scores. Forty percent of patients in both of the groups were classified
as having mild impairment in episodic memory. No between-group differences were
found in the frequency of subjective, cognitive complaints. Subjective complaints
were reported by 69% of patients but were unrelated to objective performance.
Memory deficits were observed in breast cancer patients who receive either
chemotherapy or tamoxifen alone compared to age-adjusted norms. The Doors and
People Test is a sensitive measure of memory deficits that is feasible for use
with clinical populations of breast cancer patients in order to monitor changes
in cognitive function.
PMID- 21882932
TI - Psychosocial adjustment among low-income Latina cervical cancer patients.
AB - Cancer-related coping strategies and social support, life stress, and optimism
were tested in regression analyses as predictors of depression, affect, and
quality of life among 54 low-income, immigrant Latina cervical cancer patients.
Sixty-seven percent of the patients endorsed symptoms similar to diagnosable
depression. Predictors significantly accounted for 35% to 54% of the variance in
outcomes. Cancer-related coping strategies were found to mediate several of the
relations between life stress, social support, and optimism and outcomes.
Findings emphasize the need to consider the context within which patients live
when assessing adjustment to cancer and developing culturally-sensitive
interventions.
PMID- 21882933
TI - Gynecological cancer survivors: assessment of psychological distress and unmet
supportive care needs.
AB - This study highlights psychosocial needs of gynecological cancer survivors,
contributing to evaluation of the Cancer Survivors Unmet Needs measure. Of the 45
participants, 28.9% reported clinical anxiety, 20.0% mild-to-severe depression,
and 15.6% had probable posttraumatic stress disorder. Strength of unmet needs was
associated with anxiety, depression, posttraumatic stress, poorer quality of
life, younger age, and greater time since diagnosis. Linear regressions showed
clinical measures, quality of life, optimism, and self-blaming coping style
explained 56.4% of strength of unmet needs. Anxiety, functional well-being,
posttraumatic stress, and emotional well-being accounted for 40.7% of variance in
fear of recurrence, with emotional well-being the strongest predictor.
PMID- 21882934
TI - Stage of disease progression moderates the association between social support and
depression in prostate cancer survivors.
AB - This study was designed to test the association between social support and
depression at different stages of disease progression in men with prostate
cancer.Seventy-one men with prostate cancer completed measures of social support
and depression three times: T1 (at baseline), T2 (T1 + 2 months), and at T3 (T1 +
4 months). Stage of disease progression was significantly associated with
increasing symptoms of depression. Stage of disease progression also moderated
the association between social support and depression. Social support was
associated with a subsequent worsening of depression for men with early-stage
disease. For men with more advanced prostate cancer, social support was
associated with improvements in subsequent depression. Social support has
different prognostic value for psychological distress among men with prostate
cancer depending upon the stage of their disease.
PMID- 21882935
TI - Posttraumatic growth in women one year after diagnosis for gynecologic cancer or
benign conditions.
AB - The authors sought to examine levels and predictors of posttraumatic growth over
one year after surgery in women diagnosed with gynecologic cancer or benign
conditions necessitating surgical intervention. Women with advanced-stage cancer
(n = 16), early-stage cancer (n = 18), benign gynecologic disease (n = 21), and
no disease (n = 14; postannual pelvic exam) completed questionnaires (Perceived
Threat, PTSD Checklist [PCL]) 1 week prior to surgery and completed the
Posttraumatic Growth Inventory (PTGI) 16 months postsurgery. The four groups'
scores varied significantly on the PTGI. The three disease groups reported higher
levels of posttraumatic growth than the no disease group. Higher PCL score
presurgery and greater disease severity were positively related to posttraumatic
growth, and higher income level was negatively related to posttraumatic growth.
Women with gynecologic cancer, regardless of stage, and women with benign
gynecologic conditions experience posttraumatic growth.
PMID- 21882936
TI - I am proud and hopeful: age-based comparisons in positive coping affect among
women who use online peer-support.
AB - How do women who seek psychosocial support on the Internet by participating in
cancer peer support groups respond to the process of coping with cancer? The
current study examines whether older women with cancer have different perceptions
about and are influenced to a different extent by online peer support than
younger women. The study also explores age-based variations in outlook on coping
with cancer as a result of using online support. Separate multivariate regression
models estimated the effects of covariates on (1) positive coping affect (PCA)
(2) positive coping affect-hopeful (PCA-H), and (3) positive coping affect-proud
(PCA-P). A stratified analysis examined variations within age-based subsamples
(>=51 and <=50). Positive coping affect includes feeling proud about coping with
cancer from a position of strength and empowerment rather than being a victim and
being hopeful for a healthier future postdiagnosis. Online support was found to
increase PCA significantly. This finding is consistent across age groups but was
more pronounced for older patients. The only exception is that younger women
perceive more benefit from using online support in terms of feeling proud. As
severity of the symptoms increased, though the younger women increased the amount
of time they were online, the older women increased the number of groups they
participated in. The study concludes that the Internet might be particularly
helpful for older adults who feel helpless to cope with cancer in old age. Online
peer support services may help improve the patients' outlook on fighting with
cancer and help them to feel more in control of their health.
PMID- 21882937
TI - Ethical issues related to clinical trials outside the International Conference on
Harmonization regions.
PMID- 21882939
TI - Cambridge Healthtech Institute Drug Discovery Chemistry. San Diego, CA, USA, 12
14 April, 2011.
PMID- 21882940
TI - The role of solvent selection at exploratory and production stages in the
pharmaceutical industry.
PMID- 21882942
TI - Conformational adaptation in drug-target interactions and residence time.
AB - Although drug-target interactions are commonly illustrated in terms of
structurally static binding and dissociation events, such descriptions are
inadequate to explain the impact of conformational dynamics on these processes.
For high-affinity interactions, both the association and dissociation of drug
molecules to and from their targets are often controlled by conformational
changes of the target. Conformational adaptation can greatly influence the
residence time of a drug on its target (i.e., the lifetime of the binary drug
target complex); long residence time can lead to sustained pharmacology and may
also mitigate off-target toxicity. In this perspective, the kinetics of drug
target association and dissociation reactions are explored, with particular
emphasis on the impact of conformational adaptation on drug-target residence
time.
PMID- 21882941
TI - Marine natural products: a new wave of drugs?
AB - The largely unexplored marine world that presumably harbors the most biodiversity
may be the vastest resource to discover novel 'validated' structures with novel
modes of action that cover biologically relevant chemical space. Several
challenges, including the supply problem and target identification, need to be
met for successful drug development of these often complex molecules; however,
approaches are available to overcome the hurdles. Advances in technologies such
as sampling strategies, nanoscale NMR for structure determination, total chemical
synthesis, fermentation and biotechnology are all crucial to the success of
marine natural products as drug leads. We illustrate the high degree of
innovation in the field of marine natural products, which in our view will lead
to a new wave of drugs that flow into the market and pharmacies in the future.
PMID- 21882943
TI - Predicting in vivo safety characteristics using physiochemical properties and in
vitro assays.
AB - There is increasing pressure on the pharmaceutical industry to deliver safer and
more effective medicines while constraining research and development costs. In
order to meet these demands, the industry is looking for basic design principles
in terms of physicochemical properties as well as the use of higher throughput in
vitro assays to select and evaluate new molecular entities for further
development. Recent advances in understanding the relationships between a
chemical's properties and its propensity for adverse events, as well as the
development of new in vitro screening technologies, have enhanced our ability to
potentially select molecules more likely to succeed in becoming drugs. However,
these approaches are still limited by the availability of data and our lack of
understanding of the mechanisms by which compounds can cause toxicity.
PMID- 21882944
TI - Iminosugars as therapeutic agents: recent advances and promising trends.
AB - For the purpose of this article, iminosugars are polyhydroxylated secondary and
tertiary amines in which the molecules resemble monosaccharide sugars in which
the ring oxygen is replaced by the nitrogen. The bicyclic structures may
biologically resemble disaccharides. Very few iminosugars have been available up
to now for evaluation of their pharmaceutical applications. The early compounds
were discovered and selected for study due to glycosidase inhibition, which is
now known to not be necessary for pharmacological activity and may cause off
target effects. Glyset(r) and Zavesca(r), derived from the glucosidase-inhibiting
natural product 1-deoxynojirimycin, are the first two examples of iminosugar
drugs. Since the discovery of this first generation, many new natural products
have been identified with a wide range of biological activities but few are
widely available. Among the biological properties of these compounds are good
oral bioavailability and very specific immune modulatory and chaperoning
activity. Although the natural products from plants and microorganisms can have
good specificity, modifications of the template natural products have been very
successful recently in producing bioactive compounds with good profiles. The
field of iminosugars continues to open up exciting new opportunities for
therapeutic agent discovery and offers many new tools for precisely modifying
carbohydrate structures and modulating glycosidase activity in vivo. Current
efforts are directed towards a greater range of structures and a wider range of
biochemical targets.
PMID- 21882946
TI - Discovering small molecules to control stem cell fate.
AB - Pluripotent stem cells promise to revolutionize drug discovery and offer new
modes of therapy. The ease with which they can be grown in bulk and their
differentiation controlled in vitro is of importance for their widespread
adoption by industry and their clinical efficacy. Small molecules have already
had a positive impact on several areas of stem cell biology, from maintenance of
pluripotency, the promotion of single cell survival and steering differentiation
to involvement in reprogramming somatic cells. High-throughput technology has
played an important role in identifying novel compounds, however to date there
are few published examples of medicinal chemistry input in this area. This review
discusses the potential of pluripotent stem cells, the successful uses of small
molecules and future prospects.
PMID- 21882947
TI - Cyclin-dependent kinases: bridging their structure and function through
computations.
AB - Cyclin-dependent kinases (CDKs) are one of the most promising target families for
drug discovery for several diseases, such as cancer and neurodegenerative
disorders. Over the years, structural insights on CDKs have demonstrated high
protein plasticity, with several cases where two or more structures of the same
protein adopt different conformations. This has generated a great deal of
interest in understanding the relationship between CDK structure and function.
Here, we highlight how computer simulations have recently contributed in
characterizing some key rare and transient events in CDKs, such as the reaction
transition state and activation loop movement. Although not yet fully defined, we
can now portray the enzymatic mechanism and plasticity of CDKs at high spatial
and temporal resolution. These theoretical studies bridge with experiments and
highlight structural determinants that could help in designing specific CDK
inhibitors.
PMID- 21882950
TI - Attempt to develop taste bud models in three-dimensional culture.
AB - Taste buds are the end organs of taste located in the gustatory papillae, which
occur on the surface of the oral cavity. The goal of the present study was to
establish a culture model mimicking the lingual taste bud of the mouse. To this
end, three cell lines were employed: taste bud-derived cell lines (TBD cell
lines), a lingual epithelial cell-derived cell line (20A cell line), and a
mesenchymal cell-derived cell line (TMD cell line). TBD cells embedded in
collagen gel formed three-dimensional clusters, which had an internal cavity
equipped with a tight junction-like structure, a microvilluslike structure, and a
laminin-positive layer surrounding the cluster. The cells with this
epitheliumlike morphology expressed marker proteins of taste cells: gustducin and
NCAM. TBD cells formed a monolayer on collagen gel when they were co-cultured
with TMD cells. TBD, 20A, and TMD cell lines were maintained in a triple cell co
culture, in which TBD cells were pre-seeded as aggregates or in suspension on the
collagen gel containing TMD cells, and 20A cells were laid over the TBD cells.
TBD cells in the triple cell co-culture expressed NCAM. This result suggests that
co-cultured TBD cells exhibited a characteristic of Type III taste cells. The
culture model would be useful to study morphogenesis and functions of the
gustatory organ.
PMID- 21882951
TI - Exposure to external environment of low ion concentrations is the trigger for
rapid wound closure in Xenopus laevis embryos.
AB - Wounds in Xenopus laevis embryos close rapidly, as previously described. In this
study, we examined the dependence on extracellular Na(+) and/or Cl(-) ion
concentrations of the closure of wounds in Xenopus embryos inflicted by thermal
injury. Wound closure did not occur in normal amphibian medium (100% NAM), while
wound areas remarkably decreased either in 10-50% NAM or in 100% NAM lacking
Na(+) or Cl(-). Similarly, wound areas did not change in a set of Na(+) and Cl(-)
ion concentrations equivalent to those of the humoral fluids of intact Xenopus
embryos, but rapid wound closure was induced by decreasing the concentration of
either of the two ions. A tangential accumulation of actin cytoskeleton along the
wound edge was associated with wound closure. However, a similar actin alignment
formed even under the 100% NAM condition, in which wounds did not close, as
stated above. The epidermis around the wound edge exhibited ellipse-shaped
hypertrophy, and the marginal cells centripetally elongated during wound closure.
On the other hand, no distinct morphological changes occurred in 100% NAM,
although the epidermis was somewhat thickened. Thus, the morphological changes in
the epidermis specific to the low ionic environment most likely play active roles
in the wound closure of Xenopus laevis embryos, whereas the tangential actin
alignment alone may be insufficient. Taken together, we propose that the wound
closure in Xenopus embryos is triggered by a decline in either the extracellular
Na(+) or Cl(-) ion concentration, and that this process is required for the
abovementioned changes in the shape of the marginal cells.
PMID- 21882952
TI - Population structure in the endangered cyprinid fish Pararasbora moltrechti in
Taiwan, based on mitochondrial and microsatellite DNAs.
AB - The genetic structure of four populations of Pararasbora moltrechti, an endemic
species of the Cyprinidae in Taiwan, was investigated based on the genetic
variation of mtDNA Cyt b gene and five microsatellite loci. High haplotype
diversity (h = 0.92) but low nucleotide diversity (0.004) in mtDNA was detected
in this endangered species. In total, 33 haplotypes and four clusters were
identified in its mtDNA. Nevertheless, low correspondence was found between
geographical division and mtDNA clusters. In contrast, Bayesian cluster analysis
of the microsatellite data identified four genetic groups and revealed highly
structured populations. Significantly negative Tajima's D statistics and mismatch
distribution analyses suggest that P. moltrechti populations may have experienced
a demographic expansion. In light of the results of a nested clade analysis of
mtDNA haplotypes, we conclude that recent population fluctuations and restricted
gene flow played major roles in shaping the spatial genetic structure of P.
moltrechti populations.
PMID- 21882945
TI - Molecular chaperones and regulation of tau quality control: strategies for drug
discovery in tauopathies.
AB - Tau is a microtubule-associated protein that accumulates in at least 15 different
neurodegenerative disorders, which are collectively referred to as tauopathies.
In these diseases, tau is often hyperphosphorylated and found in aggregates,
including paired helical filaments, neurofibrillary tangles and other abnormal
oligomers. Tau aggregates are associated with neuron loss and cognitive decline,
which suggests that this protein can somehow evade normal quality control
allowing it to aberrantly accumulate and become proteotoxic. Consistent with this
idea, recent studies have shown that molecular chaperones, such as heat shock
protein 70 and heat shock protein 90, counteract tau accumulation and
neurodegeneration in disease models. These molecular chaperones are major
components of the protein quality control systems and they are specifically
involved in the decision to retain or degrade many proteins, including tau and
its modified variants. Thus, one potential way to treat tauopathies might be to
either accelerate interactions of abnormal tau with these quality control factors
or tip the balance of triage towards tau degradation. In this review, we
summarize recent findings and suggest models for therapeutic intervention.
PMID- 21882953
TI - Phylogeography of Japanese population of Phelotrupes auratus (Coleoptera,
Geotrupidae) inferred from mitochondrial DNA sequences.
AB - A partial sequence of the mitochondrial cytochrome c oxidase subunit I (COI) gene
(745 bp) was determined for 57 specimens of a geotrupid beetle (Phelotrupes
auratus) from throughout the Japanese archipelago. Of the 57 beetles examined, 42
haplotypes were identified. Phylogenetic trees inferred using maximum parsimony,
neighbor joining, and Bayesian inference methods were highly congruent.
Reconstructed phylogenetic relationships indicated that P. auratus from the
Japanese archipelago was separated into two distinct lineages: Group A, which
consisted of 35 haplotypes from Honshu, Shikoku, and Hokkaido Islands, and Group
B, which consisted of seven haplotypes from Kyushu and Yakushima Islands. In
addition, two sublineages were also recognized within Group A: Subgroup A-1,
which consisted of 11 haplotypes from eastern Honshu and Hokkaido, and Subgroup A
2, which consisted of 10 haplotypes from western Honshu and Shikoku. Average
genetic distances within Group A were positively correlated to geographic
distance between sampling localities. Phylogenetic relationships among haplotypes
did not correspond to subspecies classification.
PMID- 21882954
TI - Male aggressive behavior and exaggerated hindlegs of the bean bug Riptortus
pedestris.
AB - Males of the bean bug species Riptortus pedestris possess larger hindlegs than
females. Observations of male-male interactions showed that the enlarged hindlegs
are used as weapons in male fights, and that males with larger hindlegs win
fights more frequently. Morphological analysis based on the positive allometry
test showed that the femora of larger males are relatively bigger than those of
smaller males, but femora of larger females are not relatively larger than those
of smaller females. These results suggest that sexual selection in R. pedestris
favors larger hindlegs for male fighting. In addition, the thorax and abdomen
lengths were larger in the male than in the female. The males often lift their
abdomen with their back to the opponent for displays against an opponent. As a
result, abdominal size may be under stronger selection in the male than in the
female, as for the exaggerated hindlegs.
PMID- 21882955
TI - Localization of water channels in the skin of two species of desert toads,
Anaxyrus (Bufo) punctatus and Incilius (Bufo) alvarius.
AB - Anuran amphibians obtain water by osmosis across their ventral skin. A
specialized region in the pelvic skin of semiterrestrial species, termed the seat
patch, contains aquaporins (AQPs) that become inserted into the apical plasma
membrane of the epidermis following stimulation by arginine vasotocin (AVT) to
facilitate rehydration. Two AVT-stimulated AQPs, AQP-h2 and AQP-h3, have been
identified in the epidermis of seat patch skin of the Japanese tree frog, Hyla
japonica, and show a high degree of homology with those of bufonid species. We
used antibodies raised against AQP-h2 and AQP-h3 to characterize the expression
of homologous AQPs in the skin of two species of toads that inhabit arid desert
regions of southwestern North America. Western blot analysis of proteins gave
positive results for AQP-h2-like proteins in the pelvic skin and also the urinary
bladder of Anaxyrus (Bufo) punctatus while AQP-h3-like proteins were found in
extracts from the pelvic skin and the more anterior ventral skin, but not the
urinary bladder. Immunohistochemical observations showed both AQP-h2- and AQP-h3
like proteins were present in the apical membrane of skin from the pelvic skin of
hydrated and dehydrated A. punctatus. Further stimulation by AVT or isoproterenol
treatment of living toads was not evident. In contrast, skin from hydrated
Incilius (Bufo) alvarius showed very weak labeling of AQP-h2- and AQP-h3-like
proteins and labeling turned intense following stimulation by AVT. These results
are similar to those of tree frogs and toads that occupy mesic habitats and
suggest this pattern of AQP expression is the result of phylogenetic factors
shared by hylid and bufonid anurans.
PMID- 21882956
TI - Molecular characterization of alternative transcripts of the horse BMAL1 gene.
AB - The horse BMAL1 gene encodes the brain and muscle Arnt-like protein 1, which is a
key regulator of circadian rhythmic systems in most organs and cells. The first
exon of the horse-specific BMAL1 gene is produced by an exonization event of
LINE3 (CR1) and SINE (MIR) was detected by bioinformatic analysis. Alternative
variants generated by cassette exon event in various horse tissues were also
detected by RT-PCR amplification and sequencing. The cDNA sequences of the horse
transcripts (BMAL1a, BMAL1b) contain additional 21 bp and 71 bp fragments
relative to horse BMAL1. Quantitative real-time RT-PCR was performed to compare
the expression patterns between transcript variants in various horse tissues. The
results of these experiments showed splice variants that were widely expressed in
most tissues. Furthermore, they were highly expressed in cerebellum, heart, and
kidney.
PMID- 21882957
TI - Allometric comparison of skulls from two closely related weasels, Mustela itatsi
and M. sibirica.
AB - We conducted an interspecific comparison of skulls from two closely related but
differently sized mustelid species, Mustela itatsi and M. sibirica (Mammalia,
Carnivora, Mustelidae); a sexual comparison within the latter species showed
remarkable size dimorphism. We clarified several differences in skull proportion
related to size using allometric analyses and qualitative comparisons. Allometric
analysis revealed that the skulls of male M. itatsi (the smaller species) have a
relatively long palate; a slender viscerocranium and postorbital constriction; a
broad, short, and low neurocranium; small carnassials; and a short mandible with
a thin body and small ramus compared to the skulls of male M. sibirica (the
larger species). Similar results were obtained when male M. itatsi were compared
to female M. sibirica, although the male M. itatsi had a broader viscerocranium
than female M. sibirica. A sexual comparison in M. sibirica revealed a larger
skull size among the males with a relatively wide viscerocranium; wide
postorbital constriction; a slender, long, and high neurocranium; short and wide
auditory bullae; short carnassials; and a long and high mandible compared to
females. Qualitative comparisons revealed changes in a few characters depending
on skull size or with respect to some cranial components in each species. The
interspecific differences observed were clearly larger than the intraspecific
differences for three qualitative characters. The allometric and qualitative
differences detected between these species suggest that each species is not
simply the dwarf and/or giant morph of the other, and complicated differences
were clarified.
PMID- 21882958
TI - Spermiophagy in the male reproductive tract of some passerine birds.
AB - In order to elucidate the locus and means of spermiophagy in passerine birds, we
examined histologically the entire male reproductive tract of sexually mature
birds of three passerine species with different forms of sperm competition,
namely, the alpine accentor (Prunella collaris), the redflanked bush robin
(Tarsiger cyanurus), and the Bengalese finch (Lonchura striata var. domestica).
Spermiophagy occurred consistently and frequently in the epithelial layer of the
seminal glomera and ejaculatory duct in each species, which were regularly
identified by non-ciliated epithelial cells. The epithelial spermiophagy was
occasional or infrequent in other portions of the seminal tract, and spermiophagy
by macrophages was uncommon throughout the tract. Quantitative data in the
seminal glomera and ejaculatory duct gave no clear answer concerning a possible
relationship between the epithelial spermiophagy and different levels of sperm
competition among these passerine species. In conclusion, the epithelial lining
of the terminal region of the seminal tract is the main site for spermiophagy in
the male reproductive tract of these passerine species, which activity serves to
maintain the quality of semen by eliminating infertile spermatozoa as well as
sperm remaining at the end of the breeding season.
PMID- 21882959
TI - Immunocytochemical localization of calcium-binding proteins, calbindin D28K-,
calretinin-, and parvalbumin-containing neurons in the dog visual cortex.
AB - Although the dog is widely used to analyze the function of the brain, it is not
known whether the distribution of calcium-binding proteins reflects a specific
pattern in the visual cortex. The distribution of neurons containing calcium
binding proteins, calbindin D28K, calretinin, and parvalbumin in adult dog visual
cortex were studied using immunocytochemistry. We also compared this labeling to
that of gamma-aminobutyric acid (GABA). Calbindin D28K-immunoreactive (IR)
neurons were predominantly located in layer II/III. Calretinin- and parvalbumin
IR neurons were located throughout the layers with the highest density in layers
II/III and IV. The large majority of calbindin D28K-IR neurons were multipolar
stellate cells. The majority of the calretinin-IR neurons were vertical fusiform
cells with long processes traveling perpendicular to the pial surface. And the
large majority of parvalbumin-IR neurons were multipolar stellate and round/oval
cells. More than 90% of the calretinin- and parvalbumin-IR neurons were double
labeled with GABA, while approximately 66% of the calbindin D28K-IR neurons
contained GABA. This study elucidates the neurochemical structure of calcium
binding proteins. These data will be informative in appreciating the functional
significance of different laminar distributions of calcium-binding proteins
between species and the differential vulnerability of calcium-binding proteins
containing neurons, with regard to calcium-dependent excitotoxic procedures.
PMID- 21882966
TI - Interagency Biological Restoration Demonstration (IBRD): a collaborative approach
to biological incident recovery.
AB - Following the terrorist attacks in 2001, much time and effort has been put toward
improving catastrophic incident response. But recovery--the period following
initial response that focuses on the long-term viability of the affected area-
has received less attention. Recognizing the importance of being able to recover
an area following a catastrophic incident, the Department of Defense, through its
Defense Threat Reduction Agency (DTRA), and the Department of Homeland Security,
through its Science and Technology Directorate (DHS S&T), created the Interagency
Biological Restoration Demonstration (IBRD) program. IBRD was a 4-year program
jointly managed and funded by DTRA and DHS S&T, the goal of which was to reduce
the time and resources necessary to recover a wide urban area from an intentional
release of Bacillus anthracis. Specific program objectives included understanding
the social, economic, and operational interdependencies that affect recovery;
establishing long-term coordination between the Departments of Defense and
Homeland Security; developing strategic recovery/restoration plans; identifying
and demonstrating technologies that support recovery; and exercising recovery
activities and technology solutions. IBRD has made important first steps toward
improving national preparedness in the area biological incident recovery.
Specifically, IBRD has helped enhance the efficacy and efficiency of recovering
large urban areas by developing consequence management guidance; identifying key
S&T capabilities and integrating them with planning and guidance documents; and
establishing key relationships across the federal interagency, federal-to
regional, civilian-to-military, and public-to-private stakeholders. Upon
completion of IBRD in fall 2010, both DTRA and DHS S&T planned follow-on
programs.
PMID- 21882964
TI - Rapidly progressive, fatal, inhalation anthrax-like infection in a human: case
report, pathogen genome sequencing, pathology, and coordinated response.
AB - CONTEXT: Ten years ago a bioterrorism event involving Bacillus anthracis spores
captured the nation's interest, stimulated extensive new research on this
pathogen, and heightened concern about illegitimate release of infectious agents.
Sporadic reports have described rare, fulminant, and sometimes fatal cases of
pneumonia in humans and nonhuman primates caused by strains of Bacillus cereus ,
a species closely related to Bacillus anthracis. OBJECTIVES: To describe and
investigate a case of rapidly progressive, fatal, anthrax-like pneumonia and the
overwhelming infection caused by a Bacillus species of uncertain provenance in a
patient residing in rural Texas. DESIGN: We characterized the genome of the
causative strain within days of its recovery from antemortem cultures using next
generation sequencing and performed immunohistochemistry on tissues obtained at
autopsy with antibodies directed against virulence proteins of B anthracis and B
cereus. RESULTS: We discovered that the infection was caused by a previously
unknown strain of B cereus that was closely related to, but genetically distinct
from, B anthracis . The strain contains a plasmid similar to pXO1, a genetic
element encoding anthrax toxin and other known virulence factors.
Immunohistochemistry demonstrated that several homologs of B anthracis virulence
proteins were made in infected tissues, likely contributing to the patient's
death. CONCLUSIONS: Rapid genome sequence analysis permitted us to genetically
define this strain, rule out the likelihood of bioterrorism, and contribute
effectively to the institutional response to this event. Our experience strongly
reinforced the critical value of deploying a well-integrated, anatomic, clinical,
and genomic strategy to respond rapidly to a potential emerging, infectious
threat to public health.
PMID- 21882967
TI - The challenge of determining the need for remediation following a wide-area
biological release.
AB - Recovering from a biological attack is a complex process requiring the successful
resolution of numerous challenges. The Interagency Biological Restoration
Demonstration program is one of the first multiagency efforts to develop
strategies and tools that could be effective following a wide-area release of B.
anthracis spores. Nevertheless, several key policy issues and associated science
and technology issues still need to be addressed. For example, more refined risk
assessment and management approaches are needed to help evaluate "true" public
health risk. Once the risk is understood, that information can be considered
along with the types of characterization activities deemed necessary to determine
whether the cost and time of decontamination are actually warranted. This
commentary offers 5 recommendations associated with decision making regarding
decontamination and clearance options that should accompany a comprehensive risk
analysis leading to more effective risk management decisions. It summarizes some
of the most important technological gaps that still need to be addressed to help
decision makers in their objective of reducing health risks to an acceptable
level. The risk management approach described should enable decision makers to
improve credibility and gain public acceptance, especially when an adequate
science and technology base is available to support the required decisions.
PMID- 21882968
TI - The national framework and consequence management guidance following a biological
attack.
AB - Consequence management following a release of aerosolized Bacillus anthracis
spores requires a high level of technical understanding and direction. National
policies and regulations address the topics of preparedness goals and
organizational structure, but they do not tell responders how to perform
remediation. Essential considerations include determining what must be cleaned,
evaluating health risks, ascertaining the priority of cleanup, and selecting
appropriate decontamination technologies to meet consensus and risk-derived
clearance goals. This article highlights key features of a national-level
framework that has been developed to guide a risk-based decision process and
inform technical personnel of the best practices to follow during each activity
leading to the restoration of functions at affected facilities or areas. The
framework and associated guidance follows the scheme of 6 phases for response and
recovery arrived at through interagency consensus and approval. Each phase is
elaborated in a series of detailed decision flowcharts identifying key questions
that must be addressed and answered from the time that first indications of a
credible biological attack are received to final reoccupancy of affected areas
and a return to normal daily functions.
PMID- 21882969
TI - Developing a regional recovery framework.
AB - A biological attack would present an unprecedented challenge for local, state,
and federal agencies, the military, the private sector, and individuals on many
fronts, ranging from vaccination and treatment to prioritization of cleanup
actions to waste disposal. To prepare for recovery from this type of incident,
the Seattle Urban Area Security Initiative (UASI) partners collaborated with
military and federal agencies to develop a regional recovery framework. The goal
was to identify key information that will assist policymakers and emergency
managers in shortening the timeline for recovery and minimizing the economic and
public health impacts of a catastrophic anthrax attack. Based on discussions in
workshops, tabletop exercises, and interviews with local, state, federal,
military, and private sector entities responsible for recovery, the authors
identified goals, assumptions, and concepts of operation for various areas to
address critical issues the region will face as recovery progresses. Although the
framework is specific to a catastrophic, wide-area biological attack using
anthrax, it was designed to be flexible and scalable so it could also serve as
the recovery framework for an all-hazards approach in other regions and
jurisdictions. Benefits from this process include enhanced coordination and
collaboration across agencies, a more thorough understanding of the anthrax
threat, an opportunity to proactively consider long-term recovery, and a better
understanding of the specific policy questions requiring resolution.
PMID- 21882970
TI - Transport of Bacillus thuringiensis var. kurstaki via fomites.
AB - The intentional and controlled release of an aerosolized bacterium provides an
opportunity to investigate the implications of a biological attack. Since 2006,
Los Alamos National Laboratory has worked with several urban areas, including
Fairfax County, VA, to design experiments to evaluate biodefense concepts of
operations using routine spraying of Bacillus thuringiensis var. kurstaki (Btk).
Btk is dispersed in large quantities as a slurry to control the gypsy moth,
Lymantria dispar. Understanding whether personnel and equipment pick up residual
contamination during sampling activities and transport it to other areas is
critical for the formulation of appropriate response and recovery plans. While
there is a growing body of literature surrounding the transmission of viral
diseases via fomites, there is limited information on the transport of Bacillus
species via this route. In 2008, LANL investigated whether field sampling
activities conducted near sprayed areas, post-spray, resulted in measurable cross
contamination of sampling personnel, equipment, vehicles, and hotel rooms. Viable
Btk was detected in all sample types, indicating transport of the agent occurred
via fomites.
PMID- 21882971
TI - A biological decontamination process for small, privately owned buildings.
AB - An urban wide-area recovery and restoration effort following a large-scale
biological release will require extensive resources and tax the capabilities of
government authorities. Further, the number of private decontamination
contractors available may not be sufficient to respond to the needs. These
resource limitations could create the need for decontamination by the building
owner/occupant. This article provides owners/occupants with a simple method to
decontaminate a building or area following a wide-area release of Bacillus
anthracis using liquid sporicidal decontamination materials, such as pH-amended
bleach or activated peroxide; simple application devices; and high-efficiency
particulate air-filtered vacuums. Owner/occupant decontamination would be
recommended only after those charged with overseeing decontamination-the Unified
Command/Incident Command-identify buildings and areas appropriate for
owner/occupant decontamination based on modeling and environmental sampling and
conduct health and safety training for cleanup workers.
PMID- 21882972
TI - Challenges in disposing of anthrax waste.
AB - Disasters often create large amounts of waste that must be managed as part of
both immediate response and long-term recovery. While many federal, state, and
local agencies have debris management plans, these plans often do not address
chemical, biological, and radiological contamination. The Interagency Biological
Restoration Demonstration's (IBRD) purpose was to holistically assess all aspects
of an anthrax incident and assist in the development of a plan for long-term
recovery. In the case of wide-area anthrax contamination and the follow-on
response and recovery activities, a significant amount of material would require
decontamination and disposal. Accordingly, IBRD facilitated the development of
debris management plans to address contaminated waste through a series of
interviews and workshops with local, state, and federal representatives. The
outcome of these discussions was the identification of 3 primary topical areas
that must be addressed: planning, unresolved research questions, and resolving
regulatory issues.
PMID- 21882973
TI - Parasite assemblages of Australian species of Pseudomys (Rodentia: Muridae:
Murinae).
AB - The parasite fauna of many Australian rodents is poorly known. The ectoparasite
and helminth faunas of Pseudomys delicatulus, Pseudomys desertor, Pseudomys
gracilicaudatus, and Pseudomys hermannsburgensis were determined and compared. In
total, 12 species of arthropods, 2 cestodes, and 13 nematodes were found. Species
richness of parasites was highest in P. hermannsburgensis and lowest in P.
desertor. Despite the sampling effort, the number of parasite species discovered
did not reach an asymptote for any of the host species, indicating that the full
parasite fauna was not examined. Helminth species richness was highest in the
insectivorous P. hermannsburgensis and lower in the obligate herbivores. The
structure of parasite component communities was influenced by the social
structure of the host species, not surprisingly, with the most highly social
species having the highest richness of parasites. Habitat preferences also
provided contrast between the helminth component communities, with heligmonellid
nematodes occurring in damp woodlands and dominating the parasite fauna of P.
gracilicaudatus. Oxyurid nematodes dominated the component communities of the 3
other species, all of which inhabit drier habitats.
PMID- 21882974
TI - Direct evidence for Toxoplasma gondii infection in a wild serow (Capricornis
crispus) from mainland Japan.
AB - Toxoplasma gondii infection was studied in 41 Japanese serows ( Capricornis
crispus ), a goat-antelope in mainland Japan. Blood and muscle specimens were
collected from 41 subjects between 2006 and 2010. Presence of antibodies to T.
gondii in the sera was examined by using the latex agglutination test (cutoff
titer 1:32); 10 of 41 (24.4%) were seropositive. Toxoplasma gondii DNA was
detected in muscle tissue of 1 seropositive serow using a semi-nested PCR assay
for the B1 gene. A partial nucleotide sequence (220 bp) corresponding to the B1
gene of T. gondii was obtained by direct sequencing; the sequence was 99.1%
identical to that of the RH strain. This study is the first report to show direct
evidence for the T. gondii infection in Japanese serows.
PMID- 21882975
TI - Three new genera and six new species of lecanicephalideans (Cestoda) from eagle
rays of the genus Aetomylaeus (Myliobatiformes: Myliobatidae) from northern
Australia and Borneo.
AB - New lecanicephalidean cestodes inhabiting the spiral intestine were investigated
in 4 of the 6 known species of eagle rays of the genus Aetomylaeus Garman. Hosts
examined consisted of 5 specimens of Aetomylaeus vespertilio from northern
Australia, 5 of Aetomylaeus maculatus from Borneo, 10 of Aetomylaeus nichofii
sensu stricto from Borneo, and 7 of Aetomylaeus cf. nichofii 2 from northern
Australia. As a result of these new collections, 3 new genera and 6 new species
of lecanicephalideans are formally described. Aetomylaeus vespertilio hosted the
new genera and species Collicocephalus baggioi n. gen., n. sp. and Rexapex nanus
n. gen., n. sp., as well as Aberrapex weipaensis n. sp. Aetomylaeus maculatus and
A. nichofii sensu stricto hosted 3 new species of the novel genus Elicilacunosus
, with the former eagle ray hosting Elicilacunosus sarawakensis n. sp. and the
latter hosting both Elicilacunosus dharmadii n. sp. and Elicilacunosus fahmii n.
sp. No new lecanicephalideans were described from A. cf. nichofii 2.
Collicocephalus n. gen. is conspicuously unique among the genera of its order in
possessing a large, retractable apical organ that, in cross-section, is
transversely oblong, rather than round. Rexapex n. gen. is distinctive in its
possession of an apical organ that bears 18 papilliform projections around its
perimeter, and Elicilacunosus n. gen. is unlike any other known
lecanicephalidean, or eucestode, in its possession of a region of musculo
glandular tissue along the midline of the dorsal and ventral surfaces of its
proglottids, manifested externally as a tandem series of depressions. Among other
features, A. weipaensis n. sp. differs from its congeners in its lack of post
ovarian vitelline follicles. All 6 new species were each restricted to a single
species of Aetomylaeus . These records formally establish species of Aetomylaeus
as hosts of lecanicephalideans. A summary of cestodes of myliobatid rays is
presented.
PMID- 21882976
TI - Human and mouse induced pluripotent stem cells are differentially reprogrammed in
response to kinase inhibitors.
AB - Conventional human induced pluripotent stem cells (hiPSCs), reprogrammed from
somatic cells by induced expression of Oct4, Sox2, Klf4, and c-Myc, are
phenotypically different from mouse embryonic stem cells (ESCs). In mice, culture
in N2B27 serum-free 2i media (mitogen-activated protein kinase/extracellular
signal-regulated kinase and glycogen synthase kinase 3 inhibitors; PD0325901 and
CHIR99021) plus leukemia inhibitory factor (LIF) (2i+LIF medium) enriches for
germline competent ESCs. Here, we demonstrate that flat-shaped hiPSC colonies can
be reprogrammed into bowl-shaped multi-potent stem cells (2i-hiPSCs) by using
2i+LIF medium. Mechanical dissociation of 2i-hiPSC colonies enables stable
maintenance for >20 passages. Importantly, gene expression profiling demonstrated
that 2i-hiPSCs more closely resemble primitive neural stem cells (PNSCs).
Notably, this 2i-induced phenotype was generated from conventional hiPSCs, but
not human ESCs (hESCs), thus correlating with the observation of neuroectodermal
SOX1-positive colonies in conventional hiPSCs, but not hESCs in 2i+LIF medium.
Thus, 2i-hiPSCs, which are nonteratoma forming PNSCs, may represent a safe source
of cells for neural research and regenerative medicine.
PMID- 21882977
TI - Anxiety disorders: differential diagnosis and their relationship to chronic pain.
AB - Anxiety disorders are the most prevalent type of mental disorder, and they
frequently co-occur with various medical conditions, including chronic pain.
Anxiety disorders are associated with higher health care costs, and comorbid
chronic pain and anxiety disorder leads to worse outcomes. Despite their
prevalence, anxiety disorders often go unrecognized in pain care facilities,
compromising clinical benefit of pain treatment. Differential diagnosis among the
anxiety disorders can be very difficult, and the high comorbidity with mood
disorders, unexplained physical symptoms, and medical disorder makes the precise
assessment complicated. Nevertheless, a better understanding of the research and
theory that has accumulated can help clinicians accurately diagnose,
conceptualize, and treat the patient's symptomatology. In this paper, the authors
provide comprehensive review of the diagnostic criteria, epidemiology,
differential diagnosis, and relation to chronic pain.
PMID- 21882978
TI - Ensuring patient access to essential medicines while minimizing harmful use: a
revised World Health Organization tool to improve national drug control policy.
AB - In 2011, the World Health Organization (WHO) published a series of 21 guidelines
to assist governments in improving their national drug control laws, regulations,
and administrative procedures to promote the availability of controlled medicines
for pain relief and for a variety of acute and chronic diseases and conditions.
These guidelines ultimately are designed to encourage the development of policies
designed to fulfill a country's dual obligation concerning these medicines: to
prevent their abuse, diversion and trafficking while ensuring access for medical
and scientific purposes. This article summarizes each guideline and outlines the
constituents who can actively participate in making controlled medicines
available to the patients who need them. It is hoped that representatives of
governments and medical institutions, as well as health care professionals, will
commonly and effectively use the revised WHO guidelines as a policy change tool.
PMID- 21882979
TI - Breakthrough cancer pain: mending the break in the continuum of care.
AB - On February 23, 2010, the American Pain Foundation (APF), a nonprofit
organization that promotes pain research and increased investments in pain
research, hosted a roundtable discussion at Beth Israel Medical Center on cancer
related pain, with a specific focus on breakthrough cancer pain. This report
summarizes discussions from that meeting, including recommendations and areas of
consensus.
PMID- 21882980
TI - Methodological challenges of comparative effectiveness research in pain:
implications for investigators, clinicians, and policy makers.
AB - The prevalence and costs of pain in the United States and throughout the world
are high. This demonstrates the importance and need for comparative effectiveness
research in pain. Comparative effectiveness research has been defined by the
Institute of Medicine as evidence that compares the benefits and harms of
treatment alternatives. Decision makers and consumers alike need comparative
effectiveness data to aid in the decision making process. However, comparative
effectiveness research presents many challenges and, in pain, has primarily been
conducted in randomized controlled trials. These trials have some limitations
that may prevent the application to the general population. Real world studies
complement the information from randomized trials, but contain their own
challenges and limitations. The International Society of Pharmacoeconomics and
Outcomes Research has provided guidelines for the use of real world studies in
comparative effectiveness research. The purpose of this article is to describe
and address some the key methodological challenges of comparative effectiveness
research, especially in pain.
PMID- 21882983
TI - FDA acts to reduce harm from opioid drugs.
PMID- 21882985
TI - The latest ASA mandate: CO(2) monitoring for moderate and deep sedation.
PMID- 21882986
TI - Analysis of oxygen saturations recorded during dental intravenous sedations: a
retrospective quality assurance of 3500 cases.
AB - The death of a patient under sedation in New South Wales, Australia, in 2002 has
again raised the question of the safety of dental sedation. This study sought
answers to 2 questions: Can safe oxygen saturation levels (>= 94%) be
consistently maintained by a single operator/sedationist? Does the additional use
of propofol, in subanesthetic doses, increase the risk of exposure to hypoxemia?
Three thousand five hundred cases generated between 1996 and 2006 were randomly
examined and divided into 2 subcohorts: 1750 patients were sedated with midazolam
and fentanyl, and 1750 patients received propofol, in subanesthetic increments,
in addition to midazolam and fentanyl. Initial sedation was established using
midazolam and fentanyl in both subcohorts. The second subcohort received propofol
during times of noxious stimulation. Patient exposure to 2 or more oxygen
desaturations below 94% was uncommon. The variables that were significantly
associated with low saturations were age, gender, and weight. Neither the dose of
midazolam nor the additional use of propofol was a significant risk factor. ASA
classification (I or II) was not a determinant of risk. The data, within the
limitations of the study, showed that a single operator/sedationist, supported by
a well-trained team of nurses, can consistently maintain safe oxygen saturation
levels. The additional use of propofol did not increase exposure to hypoxemia.
PMID- 21882987
TI - Nasal foreign body: an unexpected discovery.
AB - Abstract Nasal foreign bodies may result from the abundant availability of tiny
objects in our society and a curious child exploring his or her nasal cavities.
An inserted object that is not witnessed or retrieved can remain relatively
asymptomatic or cause local tissue damage and potentially yield more serious
consequences. An unusual case of a young child who presented for dental
rehabilitation under general anesthesia is described. Immediately prior to the
nasotracheal intubation, an unanticipated foreign body was detected and safely
removed before any injury occurred. This case report discusses the presentation
and pathophysiology of nasal foreign bodies. Moreover, applicable suggestions are
provided to aid in the prevention and management of the unexpected discovery of a
nasal foreign body after the induction of general anesthesia.
PMID- 21882988
TI - Modified i-gel airway for oral surgery.
PMID- 21882989
TI - Recognition and management of complications during moderate and deep sedation.
Part 2: cardiovascular considerations.
AB - The risk for cardiovascular complications while providing any level of sedation
or general anesthesia is greatest when caring for patients already medically
compromised. It is reassuring that significant untoward events can generally be
prevented by careful preoperative assessment, along with attentive intraoperative
monitoring and support. Nevertheless, providers must be prepared to manage
untoward events should they arise. This continuing education article will review
cardiovascular complications and address their appropriate management.
PMID- 21882992
TI - Affinity chromatography: a valuable strategy to isolate substrates of methionine
sulfoxide reductases?
AB - Reactive oxygen species fulfill key roles in development and signaling, but lead
at high concentration to damage in macromolecules. In proteins, methionine (Met)
is particularly prone to oxidative modification and can be oxidized into Met
sulfoxide (MetO). MetO reduction is catalyzed by specialized enzymes, termed
methionine sulfoxide reductases (MSRs), involved in senescence and protection
against diseases and environmental constraints. The precise physiological
functions of MSRs remain often elusive because of very poor knowledge of their
substrates. In this study, affinity chromatography was used to isolate partners
of Arabidopsis thaliana plastidial methionine sulfoxide reductase B1 (MSRB1).
Twenty-four proteins involved in photosynthesis, translation, and protection
against oxidative stress, as well as in metabolism of sugars and amino acids,
were identified. Statistical analysis shows that the abundance of MSRB1 partners
in chromatography affinity samples is proportional to Met content. All proteins,
for which structural modeling was feasible, display surface-exposed Met and are
thus potentially susceptible to oxidation. Biochemical analyses demonstrated that
H(2)O(2) treatment actually converts several MSRB1-interacting proteins into MSRB
substrates. In consequence, we propose that affinity chromatography constitutes
an efficient tool to isolate physiological targets of MSRs.
PMID- 21882993
TI - Single-incision laparoscopy: training, techniques, and safe introduction to
clinical practice.
AB - BACKGROUND: Single-incision laparoscopy is an emerging technique that brings new
challenges to laparoscopy and introduces new skills that a surgeon must learn.
The learning needs for single-incision skills acquisition are unknown and no
current guidelines exist for training or for its safe adoption. METHODS: We
developed an approach to adoption of new surgical techniques and applied it to
single-incision laparoscopy. It is based on the following principles: a defined
training algorithm, dry and wet-laboratory practice, a graded clinical
introduction, and careful review of early outcomes. We analyzed its impact in our
initial 40 patients. RESULTS: Our training paradigm consisted of the following:
attending a formal course, developing a simulation model, and animal laboratory
training, followed by graduated clinical adoption. A 20% conversion rate to
standard laparoscopy or open surgery occurred. CONCLUSION: Introducing a new
surgical technique may not only offer potential advantages but also present
significant risks. We developed a thoughtful approach to adoption that includes
simulation-based training, progressive clinical adoption, and early review of
outcomes. This approach may be applied to various new clinical applications.
PMID- 21882994
TI - Pectus excavatum repair according to Nuss: is it safe to place a retrosternal bar
by a transpleural approach, under thoracoscopic vision?
AB - We present a new case of cardiac perforation during retrosternal dissection
beginning a Nuss procedure for pectus excavatum repair in an 18-year-old boy. The
true incidence of life-threatening complications, such as heart injuries during
Nuss bar placement for pectus excavatum repair, as well as cardiac lacerations
during removal of the bar, remains unknown. Many papers suggest measures to
prevent these complications: approaching the retrosternal space through an
additional subxiphoid short incision, lifting the sternum during bar placement,
or placing the bar extrapleuraly. Nuss procedure is gaining more and more
popularity due to its apparent simplicity; however, its operational complications
should be well known and discussed to be avoided later on.
PMID- 21882995
TI - Domestic and peer violence in secondary school among adolescents: can
telemedicine help?
AB - Domestic and peer violence in Macedonia is similar to other developed nations. It
is present among adolescents and their families. A study was conducted on 664
secondary school students throughout Macedonia. The results indicated that 11.2%
male and 16.54% female students often face different kinds of family violence.
Peer violence of different forms is marked as "often" in 8.04% male respondents
and 5.63% female respondents. One of possibilities in preventing this negative
phenomenon is the application of telemedicine. There is a growing body of
evidence that the use of telemedicine offers a good tool for mental healthcare in
underserved communities, and that these services are as effective as face-to-face
work with adolescents. By building an effective telemedicine network in
Macedonia, both victims (adolescents) and their parents could be given a chance
to contact proper institutions for help with more confidence and less stress.
PMID- 21882996
TI - Assessing swallowing disorders online: a pilot telerehabilitation study.
AB - OBJECTIVE: Dysphagia (a swallowing disorder) is known to occur in numerous
clinical populations, but unfortunately because of issues accessing speech
pathology services, not all patients are able to receive dysphagia intervention
and rehabilitation services in a timely manner. Existing research supports the
use of telehealth technology for providing various aspects of speech pathology
service; however, to date there is limited evidence to support the utilization of
telerehabilitation in the assessment and management of dysphagia. The aim of this
research was to provide pilot information on the basic feasibility and validity
of conducting dysphagia assessments via telerehabilitation. MATERIALS AND
METHODS: Ten simulated patients, actors portraying patients with a range of
swallowing difficulties, were used rather than actual patients to minimize any
potential patient risk from unidentified aspiration. Dysphagia was assessed
simultaneously by a face-to-face (FTF) and telerehabilitation speech pathologist
(T-SP). Each simulated patient was assessed using a Clinical Swallowing
Examination (CSE) protocol that was modified to suit a telerehabilitation
environment. The CSE was administered with the support of an assistant via an
Internet-based videoconferencing telerehabilitation system using a bandwidth of
128 kilobits per second. RESULTS: Results revealed high to excellent levels of
agreement between the T-SP and the FTF-SP across all parameters of the CSE.
Agreement for aspiration risk was excellent. CONCLUSION: The pilot data indicate
that the current model of administering a CSE via telerehabilitation has
potential to be a feasible and valid method for the remote assessment of
swallowing disorders.
PMID- 21882997
TI - The accuracy of weight reported in a web-based obesity treatment program.
AB - OBJECTIVE: The overall goal of the study was to understand the accuracy of self
reported weight over a 6-month Web-based obesity program. MATERIALS AND METHODS:
As part of a larger study, subjects (n=323; 93% female; 28% African American)
were randomized to a 6-month Internet-based behavioral weight loss program with
weekly group meetings delivered either: (1) entirely by online synchronous chats
or (2) by a combination of online chats plus monthly in-person group sessions.
Observed weights were obtained at 0 and 6 months for all participants. Self
reported weights were submitted weekly to the study Web site. Differences in
Observed and Reported weights were examined by gender, race, and condition.
RESULTS: Observed and Reported weight were significantly correlated at 0 and 6
months (r=0.996 and 0.996, ps <0.001 respectively). However, Reported weight
underestimated Observed weight by 0.86 kg (p<0.001) at 6 months. Further, there
was a significant weight loss effect (p<0.001) with those losing more weight more
accurately estimating their Reported weight at 6 months. Additionally, 6-month
Reported weight change differed from Observed weight change (difference=0.72 kg,
p<0.001), with weight change using Reported weights estimating a slightly larger
weight loss than Observed weights. CONCLUSIONS: In general, the accuracy of self
reported weight is high for individuals participating in an Internet-based weight
loss treatment program. Accuracy differed slightly by amount of weight lost and
was not improved with periodic in-person assessment. Importantly, weight change
by self-report was comparable to observed, suggesting that it is suitable for Web
based obesity treatment.
PMID- 21882998
TI - One-year efficacy and safety of the telehealth system in poorly controlled type 2
diabetic patients receiving insulin therapy.
AB - OBJECTIVE: To evaluate the functionality of the telehealth system in poorly
controlled type 2 diabetic (T2D) patients receiving insulin injections. MATERIALS
AND METHODS: Sixty-four patients with glycosylated hemoglobin (HbA(1c)) values >
7% for more than 1 year were included. All patients underwent an intensive
diabetes management program, including titration of insulin, blood glucose-self
monitoring, and nutritional review, and 32 participated in the telehealth system.
The major outcome was to evaluate the change of the HbA(1c) and body weight, the
incidence of hospitalization, and hypoglycemic events. RESULTS: After 1-year
management, patients receiving telehealth care had significantly improved HbA(1c)
levels (9.5% +/- 1.8% to 8.1% +/- 1.2%; p < 0.01) without significant body weight
gain. In contrast, the control group patients had no significant improvement in
HbA(1c) levels but showed significant increase in body weight (66.8 +/- 9.8 to
67.3 +/- 10.0 kg; p < 0.01). No patient in the telehealth group was hospitalized
during the follow-up period, but six patients in the control group were.
Intergroup differences in hypoglycemic events were absent. CONCLUSION: The
intensive diabetes management program with the telehealth system is a useful
education method to improve blood sugar control and prevent hospitalization in
poorly controlled T2D patients receiving insulin injections.
PMID- 21882999
TI - Effects of modafinil on sleep-wake cycles in larval zebrafish.
AB - We describe, for the first time, the effects of the wakefulness-promoting drug
modafinil on sleep and wakefulness in larval zebrafish. Modafinil is currently
used to treat narcolepsy, hypersomnia, and shift-work disorder by increasing
wakefulness. Tolerance and dependence are limited with modafinil use,
differentiating it from common stimulants; however, the neural mechanisms of
action of modafinil are still unknown. Zebrafish, a low-cost, prolific, and
genetically tractable animal model, have recently become a key model in sleep
research. Zebrafish express circadian rhythms, sleep homeostasis, and sleep
pressure, and, in addition, respond to common hypnotics and stimulants in a
manner similar to mammals. Therefore, in the current experiment we characterize
the effects of modafinil on sleep-wake cycles in larval zebrafish as a first step
to gaining further insight into the neural mechanisms underlying the effects of
modafinil. We show that modafinil modulates sleep-wake activity in larval
zebrafish in a manner consistent with what would be predicted from mammalian
data. Modafinil increases wakefulness by lengthening wake-bouts, an effect that
likely restricted to the night (lights-off). These results validate the use of
zebrafish as an animal model for the study of sleep and provide a means for
dissecting the neural mechanisms of modafinil, and, more broadly, sleep
disorders.
PMID- 21883001
TI - Protective effects of Haematococcus astaxanthin on oxidative stress in healthy
smokers.
AB - Free radicals induced by cigarette smoking have been strongly linked to increased
oxidative stress in vivo, contributing to the pathobiology of various diseases.
This study was performed to investigate the effects of Haematococcus astaxanthin
(ASX), which has been known to be a potent antioxidant, on oxidative stress in
smokers. Thirty-nine heavy smokers (>=20 cigarettes/day) and 39 non-smokers were
enrolled in this study. Smokers were randomly divided into three dosage groups to
receive ASX at doses of 5, 20, or 40 mg (n=13, each) once daily for 3 weeks.
Oxidative stress biomarkers such as malondialdehyde, isoprostane, superoxide
dismutase, and total antioxidant capacity, and ASX levels in plasma were measured
at baseline and after 1, 2, and 3 weeks of treatment. Compared with baseline, the
plasma malondialdehyde and isoprostane levels decreased, whereas superoxide
dismutase level and total antioxidant capacity increased in all ASX intervention
groups over the 3-week period. In particular, isoprostane levels showed a
significant dose-dependent decrease after ASX intake. The results suggest that
ASX supplementation might prevent oxidative damage in smokers by suppressing
lipid peroxidation and stimulating the activity of the antioxidant system in
smokers.
PMID- 21883000
TI - Production of F1 offspring with vitrified sperm from a live-bearing fish, the
green swordtail Xiphophorus hellerii.
AB - This study reports the first production of offspring with vitrified sperm from a
live-bearing fish Xiphophorus hellerii. The overall goal of this study was to
develop streamlined protocols for integration into a standardized approach for
vitrification of aquatic species germplasm. The objectives were to (1) estimate
acute toxicity of cryoprotectants, (2) evaluate vitrification solutions, (3)
compare different thawing methods, (4) evaluate membrane integrity of post-thaw
sperm vitrified in different cryoprotectants, and (5) evaluate the fertility of
vitrified sperm. Nine cryoprotectants and two commercial vitrification additives
were tested for acute toxicity and glass forming ability, alone and in
combination. Two vitrification solutions, 40% glycerol (Gly) and 20% Gly+20%
ethylene glycol (EG) in 500 mOsmol/kg Hanks' balanced salt solution (HBSS), were
selected for vitrification of 10 MUL sperm samples using inoculating loops
plunged into liquid nitrogen. Samples were thawed at 24 degrees C (one loop in 5
MUL of HBSS or three loops in 500 MUL of HBSS). Samples thawed in 500 MUL were
concentrated by centrifugation (1000 g for 5 min at 4 degrees C) into 5 MUL for
artificial insemination. Offspring were produced from virgin females inseminated
with sperm vitrified with 20% Gly+20% EG and concentrated by centrifugation.
PMID- 21883002
TI - Citrus grandis peel increases the bioavailability of cyclosporine and tacrolimus,
two important immunosuppressants, in rats.
AB - Citrus grandis peel (CGP) is a beverage ingredient and a medicinal herb in
Oriental countries. Cyclosporine and tacrolimus, important immunosuppressants
with narrow therapeutic windows, are widely used in transplant patients. This
study investigated the effects of co-administering CGP on the bioavailability of
cyclosporine and tacrolimus. Male Sprague-Dawley rats were orally administered
tacrolimus or cyclosporine with and without CGP. The concentrations of
cyclosporine and tacrolimus in blood were assayed by monoclonal fluorescence
polarization immunoassay and microparticle enzyme immunoassay, respectively. P
glycoprotein- and cytochrome P 450 3A4 (CYP3A4)-associated mechanisms were
investigated by using everted rat intestinal sac and recombinant CYP3A4 isozyme.
The results showed that CGP significantly increased the bioavailability of
cyclosporine and tacrolimus by 100.0% and 234.7%, respectively. Ex vivo studies
indicated that the interaction was mediated by the inhibition of CYP3A4. We
suggest that CGP is contraindicated for transplant patients treated with
cyclosporine or tacrolimus to minimize the risk of intoxication.
PMID- 21883003
TI - (-)-epigallocatechin-3-O-gallate augments pentobarbital-induced sleeping
behaviors through Cl- channel activation.
AB - This experiment investigated whether (-)-epigallocatechin-3-O-gallate (EGCG) (5
20 mg/kg, p.o.) has hypnotic effects and/or enhances pentobarbital-induced
sleeping behaviors and whether these effects are mediated by gamma-aminobutyric
acid (GABA) receptors. EGCG prolonged sleeping time induced by pentobarbital (42
mg/kg, i.p.) and reduced sleeping latency induced by pentobarbital similarly to
muscimol (0.2 mg/kg, i.p.), a GABA(A) receptor agonist in mice. EGCG also
increased sleeping rate and sleeping time when co-administered with pentobarbital
(28 mg/kg, i.p.) at a subhypnotic dosage. In addition, EGCG and pentobarbital
increased chloride (Cl(-)) influx in primary cultured cerebellar cells. EGCG and
pentobarbital decreased GABA(A) receptors alpha-subunit expression and had no
effect on the expression of beta- and gamma-subunits and of glutamic acid
decarboxylase in the hippocampus of rats. In conclusion, the EGCG enhancement of
Cl(-) influx may play an important role in pentobarbital-induced sleeping
behaviors.
PMID- 21883004
TI - Caffeine increases sweating sensitivity via changes in sudomotor activity during
physical loading.
AB - We assessed the effect of caffeine on sudomotor activity and sweating sensitivity
during physical loading. Both physiological responses could occur due to energy
expenditure. Subjects were 13 athletically trained males (22.1 +/- 3.7 years old,
174.2 +/- 5.4 cm tall, and weighing 70.9 +/- 4.6 kg, with maximal oxygen
consumption [VO(2)max] of 53.6 +/- 4.4 mL/kg/minute). The study involved a within
subject, random, crossover design. Tests were performed following the ingestion
of 3 mg/kg caffeine. The physical loading involved running for 30 minutes at 60%
VO(2)max (24.0 +/- 0.5 degrees C, 40 +/- 3.0% relative humidity). Tympanic
temperature (TYMP) was significantly higher in the caffeine-consuming group
(Caffe-I) at pre-exercise (40 minutes after caffeine intake and immediately
before running) (P<.05). Mean body temperature (mT(b)) was significantly higher
in the Caffe-I group at pre- and post-exercise (30 min after start of running)
(P<.05). Onset time of localized sweating was significantly shorter in the Caffe
I group (P<.01), but localized sweat volume and active sweat gland output (per
single gland) was significantly higher in the Caffe-I group (P<.001). Activated
sweat gland density was significantly increased in the Caffe-I group on the
abdomen and thigh (P<.01). In conclusion, caffeine ingestion caused not only
increases in TYMP and mT(b) through thermogenesis, but also an increased sweating
sensitivity via changes in sudomotor activity.
PMID- 21883005
TI - Characterization of bla(CMY)-encoding plasmids among Salmonella isolated in the
United States in 2007.
AB - Salmonella enterica is one of the most common bacterial causes of foodborne
illness, and nontyphoidal Salmonella is estimated to cause ~1.2 million illnesses
in the United States each year. Plasmids are mobile genetic elements that play a
critical role in the dissemination of antimicrobial resistance determinants. AmpC
type CMY beta-lactamases (bla(CMY)) confer resistance to extended-spectrum
cephalosporins and beta-lactam/beta-lactamase inhibitor combinations and are
commonly plasmid-encoded. A variety of plasmids have been shown to encode CMY
beta-lactamases and certain plasmids may be associated with particular Salmonella
serotypes or environmental sources. In this study, we characterized bla(CMY) beta
lactamase-encoding plasmids among Salmonella isolates. Isolates of Salmonella
from specimens collected from humans in 2007 were submitted to the Centers for
Disease Control and Prevention National Antimicrobial Resistance Monitoring
System laboratory for susceptibility testing. Three percent (65/2161) of
Salmonella isolates displayed resistance to ceftriaxone (minimum inhibitory
concentration [MIC] >=4 mg/L) and amoxicillin/clavulanic acid (MIC >=32 mg/L), a
combination associated with the presence of a bla(CMY) mechanism of resistance.
Sixty-four (98.5%) isolates were polymerase chain reaction-positive for bla(CMY)
genes. Transformation and conjugation studies showed that 95% (61/64) of the
bla(CMY) genes were plasmid-encoded. Most of the bla(CMY)-positive isolates were
serotype Typhimurium, Newport, Heidelberg, and Agona. Forty-three plasmids were
replicon type IncA/C, 15 IncI1, 2 contained multiple replicon loci, and 1 was
untypeable. IncI1 plasmids conferred only the bla(CMY)-associated resistance
phenotype, whereas IncA/C plasmids conferred additional multi-drug resistance
(MDR) phenotypes to drugs such as chloramphenicol, sulfisoxazole, and
tetracycline. Most of the IncI1 plasmids (12/15) were sequence type 12 by plasmid
multi-locus sequence typing. CMY beta-lactamase-encoding plasmids among human
isolates of Salmonella in the United States tended to be large MDR IncA/C
plasmids or single resistance determinant IncI1 plasmids. In general, IncI1
plasmids were identified among serotypes commonly associated with poultry,
whereas IncA/C plasmids were more likely to be identified among cattle/beef
associated serotypes.
PMID- 21883006
TI - Vibrio parahaemolyticus isolates from southeastern Chinese coast are genetically
diverse with circulation of clonal complex 3 strains since 2002.
AB - Multilocus sequence typing (MLST) was used to examine the clonal relationship and
genetic diversity of 71 Vibrio parahaemolyticus isolates from clinical and
seafood-related sources in southeastern Chinese coast between 2002 and 2009. The
tested isolates fell into 61 sequence types (STs). Of 17 clinical isolates, 7
belonged to ST3 of the pandemic clonal complex 3, with 3 strains isolated in
2002. Although there was no apparent clonal relationship found between clinical
strains and those from seafood-related sources positive with pathogenic markers,
there were clonal relationships between clinical strains from this study and
those from environmental sources in other parts of China. Phylogenetic analysis
showed that strains of 112 STs (61 STs from this study and 51 retrieved from
PUBMLST database covering different continents) could be divided into four
branches. The vast majority of our isolates and those from other countries were
genetically diverse and clustered into two major branches of mixed distribution
(of geographic origins and sample sources), whereas five STs representing six
isolates split as two minor branches because of divergence of their recA genes,
which had 80%-82% nucleotide identity to typical V. parahaemolyticus strains and
73.3%-76.9% identity to the CDS24 of a Vibrio sp. plasmid p23023, indicating that
the recA gene might have recombined by lateral gene transfer. This was further
supported by a high ratio of recombination to mutation (3.038) for recA. In
conclusion, MLST with fully extractable database is a powerful system for
analysis of clonal relationship for strains of a particular region in a national
or global scale as well as between clinical and environmental or food-related
strains.
PMID- 21883007
TI - Association between antimicrobial resistance in Escherichia coli isolates from
food animals and blood stream isolates from humans in Europe: an ecological
study.
AB - BACKGROUND: In addition to medical antimicrobial usage, the use of antimicrobials
in food animals contributes to the occurrence of resistance among some bacterial
species isolated from infections in humans. Recently, several studies have
indicated that a large proportion of Escherichia coli causing infections in
humans, especially those resistant to antimicrobials, have an animal origin.
METHODS: We analyzed the correlation between the prevalence of antimicrobial
resistance in E. coli isolates from blood stream infections in humans and in E.
coli isolates from poultry, pigs, and cattle between 2005 and 2008 for 11
countries, using available surveillance data. We also assessed the correlation
between human antimicrobial usage and the occurrence of resistance in E. coli
isolates from blood stream infections. RESULTS: Strong and significant
correlations between prevalences of resistance to ampicillin (r=0.94),
aminoglycosides (r=0.72), third-generation cephalosporins (r=0.76), and
fluoroquinolones (r=0.68) were observed for human and poultry E. coli isolates.
Similar significant correlations were observed for ampicillin (r=0.91),
aminoglycosides (r=0.73), and fluoroquinolone resistance (r=0.74) in pig and
human isolates. In cattle isolates, only ampicillin resistance (r=0.72) was
significantly correlated to human isolates. When usage of antimicrobials in
humans was analyzed with antimicrobial resistance among human isolates, only
correlations between fluoroquinolones (r=0.90) and third-generation
cephalosporins (r=0.75) were significant. CONCLUSIONS: Resistance in E. coli
isolates from food animals (especially poultry and pigs) was highly correlated
with resistance in isolates from humans. This supports the hypothesis that a
large proportion of resistant E. coli isolates causing blood stream infections in
people may be derived from food sources.
PMID- 21883008
TI - A retrospective analysis of primary diagnosis, comorbidities, anticholinergic
load, and other factors on treatment for noisy respiratory secretions at the end
of life.
AB - BACKGROUND: Noisy respiratory secretions (NRS) at the end of life have been
described in 23%-92% of deaths in palliative care units. Despite limited evidence
to support the efficacy of the antisecretory medications, hyoscine hydrobromide
and glycopyrrolate, administration of these medications remains standard
palliation. Predicting those at risk of NRS could allow early and targeted
intervention. METHODS: A retrospective analysis of 199 deaths in a palliative
care unit was undertaken to identify if any patient, disease, or treatment
related factors might be predictive or protective of the symptom. RESULTS: In the
last 72 hours of life, 60% received antisecretory medication (mean, 2; range, 0
16 doses). Significant unadjusted associations were found between administration
of antisecretory medications and survival as well as the anticholinergic drug
load from other medications. Results obtained from logistic regressions revealed
patients with a higher anticholinergic load from prescribed medications were more
likely to require treatment for NRS (odds ratio [OR]=2.9, 95% confidence interval
[CI]=1.4-5.7). There were no other factors significantly associated with
developing the symptom. CONCLUSION: A high anticholinergic load from medications
was not protective and instead predicted the need for treatment for NRS at the
end of life.
PMID- 21883010
TI - Urolithiasis location and size and the association with microhematuria and stone
related symptoms.
AB - PURPOSE: To conduct a study to assess the association between calculus location
and size and the incidence of both microhematuria and symptoms of urolithiasis in
a urology office environment. PATIENTS AND METHODS: After Institutional Review
Board approval, a prospective study was conducted with data from 100 consecutive
patients who presented to our office with documented urolithiasis. The location
(caliceal, pelvic, or ureteral) and size (= 8 mm) of each calculus was
determined from available diagnostic radiographs. The incidence of microhematuria
was ascertained via a urine dipstick and microscopic examination. The presence of
any symptoms associated with urolithiasis, including pain, subjective fever or
chills, or urinary urgency, was recorded. RESULTS: A total of 111 stones were
found in the study population resulting in a 45.9% incidence of microhematuria.
In patients with renal pelvic and ureteral stones, 67.6% demonstrated
microhematuria vs 36.4% with caliceal stones, P=0.0035. For stones >= 8 mm, 62.5%
were positive for microhematuria vs 29.1% of stones <8 mm, P=0.0006. Ureteral or
renal pelvic stones caused the most symptoms (70.6%) compared with caliceal
stones (16.9%), P=0.0001. In those patients who reported pain associated with
urolithiasis, 65.6% had concomitant microhematuria vs 36.8% in those without
pain, P=0.0097. CONCLUSIONS: Urinary calculus location and size are associated
with the incidence of microhematuria and stone-related symptoms. Pain related to
urolithiasis may be a positive predictor for the presence of microhematuria.
PMID- 21883009
TI - Plasma citrate levels as a potential biomarker for glaucoma.
AB - PURPOSE: To determine the possibility of plasma citrate as a biomarker in
patients with glaucoma. METHODS: Twenty-one consecutive Caucasian patients with
glaucoma and 21 sex- and age-matched controls were investigated. Plasma citrate,
plasma creatinine, urine citrate, and urine creatinine were analyzed by ion
chromatography. Mean (+/-standard deviation) concentrations and the calculated
fractional citrate excretions were compared using the Mann-Whitney test.
Sensitivity and specificity to detect glaucoma using plasma citrate levels were
calculated. RESULTS: The mean plasma citrate (104.8+/-23.2 vs. 128.2+/-31.1
MUmol/L; P=0.01) concentrations were significantly lower among the patients with
glaucoma, whereas the mean urine citrate concentrations (1.7+/-0.9 vs. 2.8+/-1.9
MUmol/L; P=0.07) were slightly lower. Mean plasma and mean urine creatinine
concentrations showed no significant differences (plasma creatinine: 63.0+/-16.7
vs. 63.4+/-15.5 MUmol/L; P=0.72; urine creatinine: 9.6+/-5.1 vs. 11.5+/-8.4
MUmol/L; P=0.67). The calculated fractional citrate excretions were also not
different with 12.1% versus 13.6% (P=0.37). Setting the cut-off limit at 110
MUmol/L, the plasma citrate level evaluation would have a sensitivity of 66.7%
and a specificity of 71.4% to detect glaucoma. CONCLUSION: In this masked study,
plasma citrate levels were significantly decreased in Caucasian patients with
glaucoma giving the possibility to use them eventually as a biomarker. The kidney
function was normal in both groups, leaving the etiology of this hypocitraemia
yet unexplained.
PMID- 21883011
TI - Correlation between stress and kidney stone disease.
AB - BACKGROUND AND PURPOSE: Prevalence of kidney stone disease is increasing
worldwide, and several factors may be involved. We aimed to establish a
correlation between stress and kidney stones. PATIENTS AND METHODS: We
prospectively evaluated 200 patients with a diagnosis of kidney stone disease
having them self-respond to a validated questionnaire to measure stress
(Perceived Stress Scale-10 [PSS-10]). Stone-related characteristics and potential
stressing factors were assessed. Variables that were significant on the
univariate analysis were used to construct a model that was able to explain the
variability in PSS-10 score in our patients. RESULTS: Mean PSS-10 score was 15.3
+/- 1.1. Female sex (P=0.014), occurrence of death or serious illness of a family
member or close friend within the last 6 months of the interview (P=0.044),
occurrence of other psychological trauma (P<0.0001) all proved to be significant
factors. Stone-related aspects associated with stress were presence of symptoms
at the time of the interview (P=0.012) and passage of two or more stones per year
(P=0.022). We were able to construct a model that explains 34% of the variability
of the PSS-10 between subjects. Employment status was the only significant
variable, but sex, age, and presence of symptoms at the time of questionnaire
administration had to be kept in to achieve a model that explains the largest
variability. CONCLUSIONS: Passage of two or more stones per year and presence of
symptoms proved to be factors related to elevated stress in patients with a
diagnosis of kidney stone disease. Female sex, age, and unemployment may also
contribute to a stressed state in this population.
PMID- 21883012
TI - Continuous-wave laser stimulation of the rat prostate cavernous nerves using a
compact and inexpensive all single mode optical fiber system.
AB - BACKGROUND AND PURPOSE: Laser stimulation of the rat cavernous nerve (CN)
recently has been demonstrated as an alternative to electrical stimulation for
potential application in nerve mapping during nerve-sparing radical
prostatectomy. Advantages include noncontact stimulation and improved spatial
selectivity. Previous studies, however, have used large and/or expensive laser
sources for stimulation. This study demonstrates the feasibility of optical
stimulation of the rat CN, in vivo, using a compact, inexpensive all-single-mode
fiberoptic system. MATERIALS AND METHODS: A 1455-nm wavelength infrared diode
laser beam was coupled into a 9-MUm-core single-mode fiber for delivery through a
10F laparoscopic probe and used for laser stimulation of the CN in a total of
eight rats, in vivo. RESULTS: Laser stimulation of the CN was observed at
threshold temperatures of 41 degrees C, with intracavernous pressure response
times as short as 4 s, and magnitudes up to 50 mm Hg, compared with baselines of
10 mm Hg. CONCLUSION: This novel, all-single-mode-fiber laser nerve stimulation
system introduces several advantages including: (1) lower cost laser; (2) more
robust fiberoptic design, eliminating alignment and cleaning of bulk optical
components; and (3) improved Gaussian spatial beam profile for simplified
alignment of the laser beam with the nerve. With further development, laser nerve
stimulation may be useful for identification and preservation of the CN during
prostate cancer surgery.
PMID- 21883013
TI - [99mTc]demotensin VI: biodistribution and initial clinical results in tumor
patients of a pilot/phase I study.
AB - PURPOSE: Neurotensin subtype 1 receptor overexpression is found in a variety of
human tumors. The aim of this pilot/phase I study was to assess the safety
profile, pharmacokinetics, and imaging characteristics of (99m)Tc-Demotensin VI
in tumor patients. METHODS: Scintigraphy with (99m)Tc-Demotensin VI was performed
in 14 patients (2 female and 12 male) with advanced tumor stages. The diagnoses
were pancreatic adenocarcinoma (n=4), small cell lung cancer (SCLC) (n=4), non
small cell lung cancer (NSCLC) (n=4), and colon carcinoma (n=2). Patients were
injected with 500-550 MBq (99m)Tc-Demotensin VI. Blood samples were taken at
various time points and urine was also collected up to 24 hours post-injection
(p.i.) Planar images were acquired at 15-30 minutes, 1-2 hours, 4 hours, and 24
hours p.i. with additional SPECT imaging at 4 hours. RESULTS: Radiochemical
purity always exceeded 95% up to 4 hours. Urinary and blood excretion was rapid
with 5.05% ID (mean: n=5) in plasma after 4 hours. No side effects were observed
after injection of (99m)Tc-Demotensin VI. Focal tracer accumulation was observed
in 3 patients with brain metastases due to NSCLC, although specificity of this
uptake could not be proven. Further, no tumor-related findings were observed.
Although stability tests in human plasma revealed that (99m)Tc-Demotensin VI
remained intact up to 2 hours incubation, ex vivo urine analysis indicated rapid
metabolism. CONCLUSION: (99m)Tc-Demotensin VI was well tolerated by patients and
showed favorable pharmacokinetics; however, tumor targeting was limited to brain
metastases. Further studies on stability issues and receptor characterization in
tumors are warranted to introduce neurotensin receptors (NTSR) imaging into the
clinic.
PMID- 21883014
TI - Synthesis and preliminary bioevaluation of 99mTc(CO)3-17alpha-triazolylandrost-4
ene-3-one derivative prepared via click chemistry route.
AB - Azolyl steroids are known to manifest antiprostate cancer and antiandrogenic
activities. These azolyl steroids have been shown to express affinity toward
androgen receptors (ARs) overexpressed on LNCaP (human prostate adenocarcinoma)
cell line. Hence, suitably derivatized azolyl steroids can be envisaged as
potential vectors for targeting overexpression of ARs in prostate cancer. In the
present study, testosterone has been derivatized to 17alpha-azidoandrost-4-ene-3
one using microwave-mediated azidation of the mesylate. Subsequently, a facile
one-pot Cu(I)-catalyzed Click reaction was carried out to synthesize
(99m)Tc(CO)(3)-labeled 17alpha-triazolylandrost-4-ene-3-one, which was
characterized by HPLC. The chemical characterization of (99m)Tc(CO)(3)-17alpha
triazolylandrost-4-ene-3-one was carried out by preparing its corresponding
rhenium complex using [NEt(4)](2)[Re(CO)(3)Br(3)] precursor. The radiolabeled
complex could be prepared in >95% radiochemical yield as determined by HPLC. In
vitro studies of (99m)Tc(CO)(3)-17alpha-triazolylandrost-4-ene-3-one complex in
LNCaP cell lines overexpressing ARs showed binding of 4.95%+/-1.2%, with
inhibition of 8%+/-0.9%. In vivo biodistribution studies in male Wistar rats have
shown uptake in the prostate to the extent of 0.48%+/-0.19% injected dose/g at 1
hpi and retention therein till 3 hpi. The present study demonstrates a novel and
facile one-pot reaction for preparation of (99m)Tc-labeled 17alpha
triazolylandrost-4-ene-3-one complex using Click chemistry. The corresponding Re
analog has been prepared for purpose of comparative characterization with the
(99m)Tc-labeled complex. The radiosynthetic strategy described in this article
can be further extended toward preparation of radiolabeled complexes of other
triazolyl steroidal derivatives.
PMID- 21883015
TI - Role of inhibitor of apoptosis protein Livin in radiation resistance in nonsmall
cell lung cancer.
AB - OBJECTIVE: The objective of the present study was to explore the role of the
inhibitor of apoptosis protein (IAP) Livin in radioresistance in nonsmall cell
lung cancer (NSCLC). METHODS: Lung adenocarcinoma cell lines A549 and SPC-A1 were
used for this study. Using the technique of molecular cloning and gene
transfection, two Livin isoforms, Livinalpha and beta, respectively, were
expressed in A549 cells with the purpose of exploring the role of Livin in
radiation resistance of A549 cells. Moreover, a Livin-specific gene-silencing
system was developed using SPC-A1 cell line with the purpose of increasing
radiosensitivity of SPC-A1 cells. RESULTS: A549 cells were induced by radiation
to express Livin isoforms, Livinalpha and beta. A549 cells expressed Livin
isoforms stably after gene transfection and the transfected cells demonstrated
characteristics of antiradiation. However, Livin gene-silenced SPC-A1 cells
exhibited remarkably enhanced radiation sensitivity. CONCLUSION: The IAP Livin is
an important molecule in antiradiotherapy of NSCLC. Livin-specific gene silencing
is likely to be an effective means to enhance radiation sensitivity of lung
cancer.
PMID- 21883016
TI - Hair follicle regeneration in skin grafts: current concepts and future
perspectives.
AB - The repair and management of full-thickness skin defects resulting from burns and
chronic wounds remain a significant unmet clinical challenge. For those skin
defects exceeding 50%-60% of total body surface area, it is impractical to treat
with autologous skin transplants because of the shortage of donor sites. The
possibility of using tissue-engineered skin grafts for full-thickness wound
repair is a promising approach. The primary goal of tissue-engineered skin grafts
is to restore lost barrier function, but regeneration of appendages, such as hair
follicles, has to be yet achieved. The successful regeneration of hair follicles
in immunodeficient mice suggests that creating human hair follicles in tissue
engineered skin grafts is feasible. However, many limitations still need to be
explored, particularly enriching isolated cells with trichogenic capacity,
maintaining this ability during processing, and providing the cells with proper
environmental cues. Current advances in hair follicle regeneration, in vitro and
in vivo, are concisely summarized in this report, and key requirements to
bioengineer a hair follicle are proposed, with emphasis on a three-dimensional
approach.
PMID- 21883017
TI - Yukmijihwang-tang inhibits receptor activator for nuclear Factor-kappaB ligand
induced osteoclast differentiation.
AB - Yukmijihwang-tang (YMT) is a traditional herbal medicine known to enhance memory
in brain injury models. The aims of this study were to evaluate the inhibitory
effect of YMT on osteoclast differentiation and to determine its molecular
mechanism of action. YMT dose-dependently inhibited receptor activator for
nuclear factor-kappaB (NF-kappaB) ligand (RANKL)-induced tartrate-resistant acid
phosphatase (TRAP) activity and the formation of multinucleated osteoclasts in
RAW264.7 cells. In addition, quantitative reverse transcription-polymerase chain
reaction showed that YMT significantly decreased RANKL-induced expression of
osteoclast differentiation-specific genes (TRAP, matrix metalloproteinase-9,
cathepsin K, and the d2 isoform of vacuolar ATPase V(0) domain). Furthermore, YMT
inhibited RANKL-induced phosphorylation of mitogen-activated protein kinases
(extracellular signal-regulated kinase, c-Jun N-terminal kinase, and p38),
phosphorylation of I-kappaBalpha, phosphorylation of NF-kappaB p65, and the
expression of transcription factors Fra-2 and nuclear factor of activated T
cells, cytoplasmic 1. Furthermore, YMT inhibited the bone-resorptive activity of
differentiated osteoclasts, suggesting that YMT inhibits osteoclast
differentiation by suppressing RANKL-induced signaling molecules and
transcription factors that affect the regulation of genes for osteoclast
differentiation. As such, YMT may have therapeutic potential in bone diseases.
PMID- 21883019
TI - The Edward H. Angle research prize.
PMID- 21883021
TI - Re: Clinical outcomes for patients finished with the Sure Smile TM method
compared with conventional fixed orthodontic therapy. Angle Orthod. 2011;81:383
388). By Timothy J. Alford, W. Eugene Roberts, James K.Hartsfield Jr, George J.
Eckert, Ronald J. Snyder.
PMID- 21883022
TI - Decreasing the adverse effects of cancer therapy: an NCI Workshop on the
preclinical development of radiation injury mitigators/protectors.
PMID- 21883023
TI - Orthodontic movement of a maxillary incisor through the midpalatal suture: a case
report.
AB - Orthodontic space closure is a treatment alternative when a maxillary central
incisor is missing. The objective of this report was to present an unusual
treatment in which a right maxillary central incisor was moved through the
midpalatal suture to replace the absent contralateral tooth. The biologic aspects
and clinical appearance of the recontoured lateral and central incisors were
analyzed. The position of the examined teeth and the appearance of the
surrounding soft tissues were satisfactory; however, the upper midline frenulum
deviated to the left. The incisor was successfully moved with no obvious
detrimental effects as observed on the final radiographs. In the radiographic and
tomographic examinations, the midline suture seemed to have followed the tooth
movement. The patient expressed satisfaction with the results. It was concluded
that orthodontic movement of the central incisor to replace a missing
contralateral tooth is a valid treatment option, and the achievement of an
esthetic result requires an interdisciplinary approach, including restorative
dentistry and periodontics.
PMID- 21883018
TI - Inference of subgenomic origin of BACs in an interspecific hybrid sugarcane
cultivar by overlapping oligonucleotide hybridizations.
AB - Sugarcane (Saccharum spp.) breeders in the early 20th century made remarkable
progress in increasing yield and disease resistance by crossing Saccharum
spontaneum L., a wild relative, to Saccharum officinarum L., a traditional
cultivar. Modern sugarcane cultivars have approximately 71%-83% of their
chromosomes originating from S. officinarum, approximately 10%-21% from S.
spontaneum, and approximately 2%-13% recombinant or translocated chromosomes. In
the present work, C(0)t-based cloning and sequencing (CBCS) was implemented to
further explore highly repetitive DNA and to seek species-specific repeated DNA
in both S. officinarum and S. spontaneum. For putatively species-specific
sequences, overlappping oligonucleotide probes (overgos) were designed and
hybridized to BAC filters from the interspecific hybrid sugarcane cultivar 'R570'
to try to deduce parental origins of BAC clones. We inferred that 12 967 BACs
putatively originated from S. officinarum and 5117 BACs from S. spontaneum.
Another 1103 BACs were hybridized by both species-specific overgos, too many to
account for by conventional recombination, thus suggesting ectopic recombination
and (or) translocation of DNA elements. Constructing a low C(0)t library is
useful to collect highly repeated DNA sequences and to search for potentially
species-specific molecular markers, especially among recently diverged species.
Even in the absence of repeat families that are species-specific in their
entirety, the identification of localized variations within consensus sequences,
coupled with the site specificity of short synthetic overgos, permits researchers
to monitor species-specific or species-enriched variants.
PMID- 21883025
TI - Metabolic alterations and chronic hepatitis C: treatment strategies.
AB - INTRODUCTION: Chronic hepatitis C (HCV) infection is considered a metabolic
disease. It is associated with a specific metabolic syndrome, HCV-associated
dysmetabolic syndrome (HCADS), consisting of steatosis, hypocholesterolemia and
insulin resistance/diabetes. These metabolic derangements contribute to a
decrease in sustained virological response (SVR) to pegylated-interferon-alpha
ribavirin as standard of care (SOC), and are associated with progression of liver
fibrosis. AREAS COVERED: The review, highlighting the impact of HCADS and
metabolic syndrome components of HCV disease progression and SOC, discusses
current knowledge and perspectives on metabolic therapeutic strategies aimed at
improving SVR rate of SOC for chronic hepatitis C. EXPERT OPINION: HCV, features
of HCADS and of metabolic syndrome may coexist in the same patient, thus all
components of the metabolic syndrome must be assessed to individualize treatment.
The results of therapeutic trials evaluating metabolic strategies combined with
current SOC indicate that weight loss is a critical part of treatment which will
improve both disease outcome and therapeutic response to SOC. Similarly, statins
seem to improve response rate to SOC representing, once confirmed to be safe, an
important therapeutic tool for HCV-infected patients. Findings from studies using
insulin sensitizers combined with SOC are not conclusive and do not justify the
use of this class of drugs in clinical practice.
PMID- 21883026
TI - Influence of light on aryl hydrocarbon receptor signaling and consequences in
drug metabolism, physiology and disease.
AB - INTRODUCTION: A key to understanding the biological function(s) of the aryl
hydrocarbon receptor (AHR) - a xenobiotic-activated receptor - is to identify its
endogenous ligand(s). The discovery of a tryptophan photoproduct 6
formylindolo[3,2-b]carbazole (FICZ) as an endogenous, high affinity agonist of
AHR filled this knowledge gap in the context of skin physiology and pathology in
response to light and opened several new directions for research on AHR. AREA
COVERED: This paper reviews major developments in the study of light-elicited AHR
signaling and its impact on drug metabolism, skin physiology and disease with a
focus on the identification of AHR ligands from Trp photoproducts and the AHR
mediated UV response. This review consists of material obtained from Medline and
PubMed literature searches up to May 2011. EXPERT OPINION: The recognition of
FICZ as a potent, endogenous ligand of AHR provided a molecular link between
light exposure and AHR signaling and function. The uncovering of the bifurcated
signaling pathway of AHR in the mammalian UV response - that is, activation of
the cytoplasmic AHR by light via FICZ leads to: i) AHR/AH response element
dependent transcription to induce CYP1A1 and ii) activation of the AHR-pp60(src)
EGFR pathway to induce Cox-2 - put forward a working model for the multiple roles
of AHR in skin function and disease that include drug metabolism, circadian
oscillation, melanogenesis, inflammation, immunosuppression and cancer. Such
findings suggest AHR as a therapeutic target for cancer, autoimmune dysfunction,
inflammatory disease and stem cell therapy.
PMID- 21883027
TI - Pupillometry and 123I-DaTSCAN imaging in Parkinson's disease: a comparison study.
AB - The purpose of this study was the evaluation of pupil light reflex (PLR) in
patients with Parkinson's disease (PD) by using a modern pupillometry system and
the investigation of its potential relationship with dopamine transporter imaging
(DaTSCAN), which is an objective method for the evaluation of presynaptic
dopaminergic system. PLR was evaluated using pupillometry in 35 patients with PD
without clinical evidence of autonomic dysfunction and 44 healthy matched
controls. PLR was elicited using a fully automated pupillometry system and six
parameters were measured. Dopamine transporter imaging was performed using
radioactive ioflupane (123)I-FP-CIT [(123)I-N-omega-fluoropropyl-2beta
carbomethoxy-3beta-(4-iodophenyl)-nortropane]. A significant increase in latency
and a significant decrease in amplitude, maximum constriction velocity, as well
as maximum acceleration were observed in PD patients. There was no significant
difference in initial radius and minimum radius values. Investigating the
relationship between pupillometry parameters and (123)I-FP-CIT binding values, we
correlated values from the semiquantitative analysis of radioligand uptake with
pupillometry parameters, but we found no significant correlation. This study
demonstrates PLR impairment in patients with PD without overt autonomic
dysfunction. This impairment does not seem to correspond to the reduction of
radioligand binding in the striatum as the result of presynaptic dopaminergic
dysfunction, suggesting a different deterioration rate of these systems.
PMID- 21883024
TI - Cytomegalovirus antivirals and development of improved animal models.
AB - INTRODUCTION: Cytomegalovirus (CMV) is a ubiquitous pathogen that establishes a
lifelong asymptomatic infection in healthy individuals. Infection of
immunesuppressed individuals causes serious illness. Transplant and AIDS patients
are highly susceptible to CMV leading to life-threatening end-organ disease.
Another vulnerable population is the developing fetus in utero, where congenital
infection can result in surviving newborns with long-term developmental problems.
There is no vaccine licensed for CMV and current antivirals suffer from
complications associated with prolonged treatment. These include drug toxicity
and emergence of resistant strains. There is an obvious need for new antivirals.
Candidate intervention strategies are tested in controlled preclinical animal
models but species specificity of human CMV precludes the direct study of the
virus in an animal model. AREAS COVERED: This review explores the current status
of CMV antivirals and development of new drugs. This includes the use of animal
models and the development of new improved models such as humanized animal CMV
and bioluminescent imaging of virus in animals in real time. EXPERT OPINION:
Various new CMV antivirals are in development, some with greater spectrum of
activity against other viruses. Although the greatest need is in the setting of
transplant patients, there remains an unmet need for a safe antiviral strategy
against congenital CMV. This is especially important as an effective CMV vaccine
remains an elusive goal. In this regard, greater emphasis should be placed on
suitable preclinical animal models and greater collaboration between industry and
academia.
PMID- 21883028
TI - Pretransplant serum ferritin has a prognostic influence on allogeneic transplant
regardless of disease risk.
AB - A multicenter retrospective analysis of the influence of pretransplant serum
ferritin (SF) was performed in 261 adult recipients of allogeneic hematopoietic
stem cell transplant (allo-HSCT), including 159 patients with acute myeloid
leukemia (AML), 66 with acute lymphoid leukemia (ALL) and 36 with myelodysplastic
syndrome (MDS). Patients were divided into subgroups according to the
pretransplant SF level [< 1000 ng/mL (low) vs. >= 1000 ng/mL (high)] and disease
status at transplant. A high SF level was significantly associated with high
disease risk (p = 0.041), but pretransplant SF and disease risk were independent
significant prognostic factors for overall survival (OS), disease-free survival
(DFS) and non-relapse mortality rate (NRM) on multivariate analysis. The high-SF
group showed a worse outcome than the low-SF group among both standard-risk
patients (OS: 54% vs. 64%, p = 0.043; DFS: 46% vs. 57%, p = 0.031) and high-risk
patients (OS: 16% vs. 35%, p = 0.001; DFS: 15% vs. 34%, p = 0.001). In
conclusion, a high SF at transplant adversely influences the outcome of allo-HSCT
regardless of disease risk in patients with acute leukemia and MDS.
PMID- 21883029
TI - Association between quality of life and clinical parameters in patients with
myeloproliferative neoplasms.
AB - The Myeloproliferative Neoplasm Symptom Assessment Form (MPN-SAF) is a validated
quality of life (QoL) instrument. In our Swedish cohort of 114 patients the
symptomatic burden was found to be severe, with fatigue reported in 88% of the
patients and reduced QoL in the majority of patients. Patients with primary
myelofibrosis had the highest scores, low QoL, for most MPN-SAF items, compared
to patients with polycythemia vera and essential thrombocythemia. Higher age
showed significant associations with the BFI (Brief Fatigue Inventory) score,
early satiety, concentration problems, dizziness, insomnia, cough and weight
loss. Blood values, disease duration and myelosuppressive treatment did not
significantly associate with any of the MPN-SAF items, with the exception of
higher hemoglobin, which correlated with sad mood. Male patients with MPN scored
significantly higher as regards sexual problems and weight loss compared to
female patients. Overall, the MPN-SAF was found to be a valid instrument for
assessing symptomatic burden among this population.
PMID- 21883030
TI - Induction treatment of light chain deposition disease with bortezomib: rapid
hematological response with persistence of renal involvement.
PMID- 21883031
TI - Trafermin for stroke recovery: is it time for another randomized clinical trial?
AB - INTRODUCTION: Basic fibroblast growth factor (bFGF) has been shown to reduce
volume in acute ischemic stroke models, and to promote functional recovery as
well as new synapse formation when given to animals with completed cerebral
infarction. A recombinant native form of human bFGF, trafermin, has been tested
in Phase III clinical trials in patients with stroke. AREAS COVERED: The role of
trafermin in stroke. Data were identified by searching PubMed for single or
combined terms including: trafermin, basic fibroblast growth factors,
neuroprotection, neuroprotective drugs, stroke therapy, stroke rehabilitation and
acute stroke. Original research papers, clinical series and reviews are included.
Our research covered all relevant data up until 1 April 2011. EXPERT OPINION: To
date, all Phase III trials have failed to demonstrate the superiority of
trafermin over placebo when given within 6 h from stroke onset because trafermin
causes a dose-dependent hypotension and an increased mortality rate in treated
patients. However, a 24-h intravenous infusion seems to be safe for stroke
patients and may result in an improved outcome when given 5 - 6 h after infarct.
This finding may open renewed interest in restorative treatment for stroke, which
could enhance recovery mechanisms rather than immediate neuroprotection. Studies
suggest that growth factors can produce improvement in animal models of stroke,
even when administered at postischemic intervals from many hours to days, when
conventional neuroprotective approaches are typically ineffective. Because of the
number of side effects and increased mortality reported in the first clinical
studies with high dose of FGF, further experimental studies are necessary to
asses whether it is possible to achieve a pharmacologically significant
therapeutic level in the brain, by minimizing peripheral side effects. Another
randomized clinical trial is needed to test trafermin in stroke patients but to
enhance functional recovery.
PMID- 21883032
TI - Safety of hymenoptera venom immunotherapy: a systematic review.
AB - INTRODUCTION: The efficacy of venom immunotherapy (VIT) in patients with insect
sting allergy is not questioned. However, its safety, especially when honeybee is
used, is a matter of concern. AREAS COVERED: A systematic review of the
literature on VIT was done, with both aqueous and depot extracts, to compare the
frequency of systemic reactions to honeybee and vespid venoms. A Medline search
was performed using the keywords 'venom immunotherapy', 'safety' and
'tolerability'. The articles obtained were analyzed regarding the total number of
patients treated with either honeybee or vespid VIT, the number and severity of
systemic reactions during therapy, the type of extract used (aqueous or depot)
and the administration regimen. EXPERT OPINION: The incidence of systemic
reactions to VIT was 25.1% for honeybee venom and 5.8% for vespid venom (p <
0.0001), while it was similar with aqueous and depot extracts in the whole
population of patients. This confirms that during VIT systemic reactions are
significantly more frequent with honeybee venom compared with vespid venom, while
there are no significant overall differences in systemic reactions between
aqueous and depot extracts.
PMID- 21883033
TI - Pharmacokinetic evaluation of fluconazole in critically ill patients.
AB - INTRODUCTION: Invasive candidiasis has emerged over the last few decades as an
increasingly important nosocomial problem for the critically ill, affecting
around 2% of intensive care unit patients. Although poor outcomes associated with
invasive candidiasis among critically ill patients may relate to severe
underlying disease processes and delayed institution of antifungal therapy,
inadequate dosing of antifungal agents may also contribute. AREAS COVERED: This
drug evaluation provides a critical appraisal of the published literature
pertaining to the pharmacokinetics of fluconazole in critically ill, obese or
severely burned patients, including those receiving acute renal replacement
therapy. The pharmacodynamics of fluconazole is also covered, as well as the
likely clinical implications for optimal dosing and the toxicity of fluconazole.
Last, variations in fluconazole susceptibility patterns of Candida spp. are also
discussed. EXPERT OPINION: Recently, there has been an increased but
geographically variable prevalence of non-albicans Candida spp., causing invasive
candidiasis and an overall trend towards reduced fluconazole susceptibility. The
pathophysiological changes of critical illness, coupled with a lack of dose
finding studies, support the use of local susceptibility patterns to guide
fluconazole dosing until such time as pharmacokinetic-pharmacodynamic information
to guide optimal fluconazole dosing strategies and pharmacodynamic targets
becomes available.
PMID- 21883034
TI - Linagliptin for the treatment of type 2 diabetes.
AB - Dipeptidyl peptidase-4 (DPP-4) inhibitors are a new class of antidiabetic agents
that have been rapidly adopted since their introduction in 2006. There are now
five agents in global use, with three approved for treatment of patients with
type 2 diabetes (T2D) in the USA. The results of Phase III clinical trials for
linagliptin, a new addition to this class of inhibitors (approved by the FDA on 2
May 2011), have now been published. We reported on a 24-week Phase III trial of
linagliptin administered as monotherapy in patients with T2D. This agent is
characterized by a pharmacokinetic and metabolic profile that may have important
implications for the choice of treatment in certain patient populations.
PMID- 21883035
TI - Evaluation of respiratory viral pathogens in acute asthma exacerbations during
childhood.
AB - OBJECTIVE: Common upper respiratory tract viruses are the most frequent and
important causes of asthma exacerbations in both children and adults. Prospective
epidemiologic studies report that up to 80% of childhood exacerbations are
associated with viral upper respiratory tract infections. MATERIALS AND METHODS:
The study group consisted of 104 children with asthma aged 3-17 years who
received treatment for asthma exacerbations in our clinic between September 2009
and 2010. Nasopharyngeal and nasal swabs were obtained from all patients during
an acute attack, and from the control group (31 subjects). These specimens were
investigated for the presence of viral respiratory pathogens using a real-time
multiplex PCR method. The patients were compared for the presence of respiratory
pathogens and factors related to the severity of the asthma exacerbation.
RESULTS: A pathogenic respiratory virus was detected in 53.8% of patients in the
acute exacerbation group. The most commonly encountered viral agent was
Rhinovirus (35.6%). Patients who had an acute exacerbation with or without a
detectable viral pathogen were compared according to the severity of the
exacerbation, the need for systemic steroids, and hospitalization rates. No
statistically significant difference was found. CONCLUSION: Although viral upper
respiratory tract infections are the most common cause of asthma exacerbations,
the severity level of the exacerbation seems to be independent of whether a
respiratory virus has been detected.
PMID- 21883036
TI - An assessment of dose accuracy and injection force of a novel prefilled insulin
pen: comparison with a widely used prefilled insulin pen.
AB - OBJECTIVE: FlexTouch(r) (FT) (Novo Nordisk A/S, Bagsvaerd, Denmark) is a new
prefilled insulin pen with a novel injection mechanism encompassing no push
button extension at any dose-setting. This study assessed the dose accuracy and
injection force of FT compared with the established Next Generation FlexPen(r)
(NGFP) (Novo Nordisk A/S Bagsvaerd, Denmark). RESEARCH DESIGN AND METHODS: Dose
accuracy was measured at the minimum, medium and maximum doses (FT, 1, 40 and 80
international units (IU) and NGFP, 1, 30 and 60 IU). Injection force was measured
during the injection of the maximum dose (FT, 80 IU; NGFP, 60 IU). MAIN OUTCOMES:
FT and NGFP delivered insulin accurately and consistently at all doses (mean +/-
s.d., FT at 1 IU, 0.98 +/- 0.07; 40 IU, 39.86 +/- 0.33; 80 IU, 79.76 +/- 0.64;
NGFP at 1 IU, 1.02 +/- 0.08; 30 IU, 29.69 +/- 0.30; 60 IU, 59.50 +/- 0.51). FT
had a significantly (p < 0.0001) lower injection force than NGFP. CONCLUSIONS:
The study demonstrated that FT and NGFP deliver insulin accurately and
consistently at low, medium and high doses. The novel torque spring injection
mechanism of FT results in a significantly lower injection force than NGFP and a
pen requiring less thumb-pressure to inject insulin may be welcomed by many
people with diabetes.
PMID- 21883037
TI - Apoptotic, antioxidant and antiradical effects of majdine and isomajdine from
Vinca herbacea Waldst. and kit.
AB - In the present study, apoptotic, antioxidant and antiradical effects of majdine
and isomajdine from Vinca herbacea Waldst. and Kit were studied. For testing the
possible apoptotic effects of majdine and isomajdine from V. herbacea, DNA
fragmentation assay was conducted on the rat brain cortical tissue homogenates,
in vitro. Also their possible effects on mitochondrial activity were tested by
using the same tissue samples of rats. In addition, the antioxidant activity of
isomajdine and majdine was determined using various in vitro antioxidant assays,
including 2,2'-azino-bis(3-ethylbenzthiazoline-6-sulfonic acid) (ABTS(*+))
radical scavenging and N,N-dimethyl-p-phenylenediamine (DMPD(*+)) radical
scavenging, ferric ions (Fe(3+)) and cupric ions (Cu(2+)) reducing abilities and
ferrous ions (Fe(2+)) chelating activity. On the other hand, butylated
hydroxyanisole (BHA), butylated hydroxytoluene (BHT), alpha-tocopherol and trolox
(6-hydroxy-2,5,7,8-tetramethylchroman-2-carboxylic acid) were used as reference
antioxidants.
PMID- 21883038
TI - Anti-cancer characteristics of mevinolin against three different solid tumor cell
lines was not solely p53-dependent.
AB - Mevinolin (MVN) has been used clinically for the treatment of
hypercholesterolemia with very good tolerance by patients. Based on
epidemiological evidences, MVN was suggested strongly for the treatment of
neoplasia. Early experimental trials suggested the mixed apoptotic/necrotic cell
death pathway was activated in response to MVN exposure. Herein, the cytotoxic
profile of MVN was evaluated, compared to the robust and frequently used anti
cancer drug doxorubicin (DOX), against breast (MCF-7), cervical (HeLa) and liver
(HepG(2)) transformed cell lines. MVN was showed comparable results in cytotoxic
profile with DOX in all tested solid tumor cell lines. In addition, the MVN
induced cytotoxicity was inferred to be multi-factorial and not solely dependent
on p53 expression. It was concluded that molecular and genetic assessment of MVN
induced cell death would be useful for developing cancer therapeutic treatments.
PMID- 21883039
TI - Antiproliferative activity of some 1,4-dimethylcarbazoles on cells that express
estrogen receptors: part I.
AB - Several 9H-carbazole derivatives are used for various pharmacological
applications. Many of these compounds demonstrated cytotoxic and anticancer
activities. In this work, we have investigated the cytotoxic activity of some
substituted carbazoles against cancer cell lines (MCF-7, and ISK). The derivative
2a showed the highest inhibitory activity against both cell lines.
PMID- 21883040
TI - Using C60 fullerenes for photodynamic inactivation of mosquito iridescent
viruses.
AB - This article describes the photodynamic inactivation of mosquito iridescent virus
(MIV) Aedes flavescens in the presence of water-soluble C(60) fullerenes. It has
been observed that the photodynamic inactivation of MIV for about 1 h reduces the
infectious titre of the virus in large wax-moth larvae Galleria mellonella to 4.5
lg ID(50)/mL. The influence of the C(60) concentration on its anti-viral activity
was tested in the concentration range from 1 to 0.001 mg/mL. It has been found
that C(60) is able to inactivate the iridovirus even in low concentrations.
Consequently, the findings of this work suggest that photoexcited C(60)
fullerenes can be successfully used for the inactivation of iridoviruses in
biological systems.
PMID- 21883041
TI - Effects of some metals on paraoxonase activity from shark Scyliorhinus canicula.
AB - Paraoxonase (PON) is an organophosphate hydrolyser enzyme which also has
antioxidant properties in metabolism. Due to its crucial functions, the
inhibition of the enzyme is undesirable and very dangerous. PON enzyme activity
should not be altered in any case. Inhibitory investigations of this enzyme are
therefore important and useful. Metal toxicology of enzymes has become popular in
the recent years. Here, we report the in vitro inhibitory effects of some metal
ions, including Ni(2+), Cd(2+), Cu(2+) and Hg(2+), on the activity of shark serum
PON (SPON). For this purpose, we first purified the enzyme from shark
Scyliorhinus canicula (LINNAEUS, 1758) serum and analysed the alterations in the
enzyme activity in the presence of metal ions. The K(M) and V(max) is 0.227 mM
and 454.545 U/mL, respectively. The results show that metal ions exhibit
inhibitory effects on SPON1 at low concentrations with IC(50) values ranging from
0.29 to 2.00 mM. Copper was determined to be the most effective inhibitor with
IC(50) of 0.29 mM.
PMID- 21883044
TI - Redox eustress: roles for redox-active metabolites in bacterial signaling and
behavior.
AB - SIGNIFICANCE: Plant biologists and microbiologists have long discussed and
debated the physiological roles of so-called "redox-active metabolites." These
are natural products with unusually high redox activity that are not directly
required for active growth. Generally, the biological roles of these compounds
have been ascribed to interspecies competition and virulence, and they have been
considered important sources of distress. RECENT ADVANCES: In this review, we
discuss two examples of redox-active metabolites: nitric oxide and phenazines.
Both are known for their toxic effects in some organisms and conditions but have
recently been shown to provide benefits for some organisms under other
conditions. CRITICAL ISSUES: Biologists are identifying new roles for redox
active metabolites that are not directly related to their toxicity. These roles
prompt us to suggest a dismissal of the paradigm that all biological stress is
negative (i.e., distress). FUTURE DIRECTIONS: A more accurate view of redox
couples requires characterization of their specific biological effects in a
condition-dependent manner. The responses to these compounds can be termed
"distress" or "eustress," depending on whether they inhibit survival, provide
protection from a compound that would otherwise inhibit survival, or promote
survival.
PMID- 21883045
TI - Fortification of maternal milk for preterm infants.
AB - During the last few decades, neonatal survival rates for preterm infants have
markedly been improved. The American Academy of Pediatrics recommended that
preterm neonates should receive sufficient nutrients to enable them to grow at a
rate similar to that of fetuses of the same gestational age. Although human milk
is the recommended nutritional source for newborn infants for at least the first
six months of postnatal life, unfortified human breast milk may not meet the
recommended nutritional needs of growing preterm infants. Human milk must
therefore be supplemented (fortified) with the nutrients in short supply. The
fortification of human milk can be implemented in two different forms: standard
and individualized. The new concepts and recommendations for optimization of
human milk fortification is the "individualized fortification". Actually, two
methods have been proposed for individualization: the "targeted/tailored
fortification" and the "adjustable fortification". In summary, the use of
fortified human milk produces adequate growth in premature infants and satisfies
the specific nutritional requirements of these infants. The use of individualized
fortification is recommended.
PMID- 21883043
TI - Warburg meets autophagy: cancer-associated fibroblasts accelerate tumor growth
and metastasis via oxidative stress, mitophagy, and aerobic glycolysis.
AB - SIGNIFICANCE: Here, we review certain recent advances in oxidative stress and
tumor metabolism, which are related to understanding the contributions of the
microenvironment in promoting tumor growth and metastasis. In the early 1920s,
Otto Warburg, a Nobel Laureate, formulated a hypothesis to explain the
"fundamental basis" of cancer, based on his observations that tumors displayed a
metabolic shift toward glycolysis. In 1963, Christian de Duve, another Nobel
Laureate, first coined the phrase auto-phagy, derived from the Greek words "auto"
and "phagy," meaning "self" and "eating." RECENT ADVANCES: Now, we see that these
two ideas (autophagy and aerobic glycolysis) physically converge in the tumor
stroma. First, cancer cells secrete hydrogen peroxide. Then, as a consequence,
oxidative stress in cancer-associated fibroblasts drives autophagy, mitophagy,
and aerobic glycolysis. CRITICAL ISSUES: This "parasitic" metabolic coupling
converts the stroma into a "factory" for the local production of recycled and
high-energy nutrients (such as L-lactate)-to fuel oxidative mitochondrial
metabolism in cancer cells. We believe that Warburg and de Duve would be pleased
with this new two-compartment model for understanding tumor metabolism. It adds a
novel stromal twist to two very well-established cancer paradigms: aerobic
glycolysis and autophagy. FUTURE DIRECTIONS: Undoubtedly, these new metabolic
models will foster the development of novel biomarkers, and corresponding
therapies, to achieve the goal of personalized cancer medicine. Given the central
role that oxidative stress plays in this process, new powerful antioxidants
should be developed in the fight against cancer.
PMID- 21883046
TI - Assessment of physiological redox state with novel FRET protein probes.
AB - SIGNIFICANCE: Development of redox-sensing fluorescent proteins (redox probe
proteins) have enabled live imaging of the physiological redox state within a
cell, generating new strategies for detecting changes in the redox state during
developmental, pathogenic, and aging processes. Several of the probe proteins
utilize their characteristic redox-sensing segments as linkers in between two
fluorophores, where structural alternations of the segments lead to changes in
FRET efficiencies across the fluorophores. In this review we summarize two types
of novel FRET-based redox probe proteins, namely redox linker (RL)-derived probes
and Redoxfluor. RECENT ADVANCES: After these FRET-based redox probe proteins were
generated, their responsiveness toward redox-related compounds as well as toward
reactive oxygen species or reducing stimuli was investigated in vitro. Notably,
both the RL-derived probe and Redoxfluor were found to directly respond to the
redox state of glutathione, a main redox-formulating compound, showing a
promising property for their use in subsequent in vivo analyses. Redoxfluor was
not only used for redox sensing in the cytoplasm, but also utilized for assessing
the redox state within peroxisomes. CRITICAL ISSUES: In contrast to "one
fluorophore" redox probes such as roGFP and rxYFP proteins, whose usage has been
established and widely expanded to various experimental systems, FRET-based redox
probes were invented very recently and their applications to in vivo studies are
still in their infancy. FUTURE DIRECTIONS: FRET-based redox probes provide novel
approaches for redox sensing that are complementary to other methodologies.
PMID- 21883047
TI - Decellularized porcine brain matrix for cell culture and tissue engineering
scaffolds.
AB - The extracellular matrix (ECM) plays important roles in influencing cellular
behavior such as attachment, differentiation, and proliferation. However, in
conventional culture and tissue engineering strategies, single proteins are
frequently utilized, which do not mimic the complex extracellular
microenvironment seen in vivo. In this study we report a method to decellularize
brain tissue using detergents. This decellularized brain matrix is rich in
glycosaminoglycans and contains collagen I, collagen III, collagen IV, collagen
V, collagen VI, perlecan, and laminin. By further processing the material into a
liquid form, the brain matrix can be used as a cell culture coating. Neurons
derived from human induced pluripotent stem cells plated on the brain matrix
express neuronal markers and assume neuronal morphology. Additionally, the same
material can potentially be used as a scaffold for tissue engineering as it
reassembles upon injection in vivo to form a gel. Thus, our work demonstrates the
ability to use decellularized brain ECM for cell culture and tissue engineering
applications.
PMID- 21883049
TI - Comparison of articulating and static spacers regarding infection with resistant
organisms in total knee arthroplasty.
AB - INTRODUCTION: The result of treatment of infections involving antibiotic
resistant organisms in total knee arthroplasty (TKA) is often poor. We evaluated
the efficacy of 2-stage revision in TKAs infected with resistant organisms and
compared the clinical outcomes with articulating and conventional static spacers,
in terms of both infection control and function. METHODS: In a prospective
manner, from June 2003 to January 2007 selected patients with a TKA infected with
resistant organisms were enrolled and treated with 2-stage re-implantation. The
45 patients were divided into 2 groups: group A (23 patients) implanted with the
articulating spacers and group S (22 patients) implanted with static spacers. All
patients followed the same antibiotic protocols and had the same re-implantation
criteria. The efficacy of infection control was evaluated using re-implantation
rate, recurrence rate, and overall success rate. The functional and radiographic
results were interpreted with the Hospital of Special Surgery (HSS) knee score
and the Insall-Salvati ratio. RESULTS: With mean 40 (24-61) months of follow-up,
22 of 23 knees were re-implanted in group A and 21 of 22 were re-implanted in
group S. Of these re-implanted prostheses, 1 re-infection occurred in group A and
2 occurred in group S. Range of motion after re-implantation, the final
functional scores, and the satisfaction rate were better in group A. One third of
the patients in group S, and none in group A, had a patella baja. INTERPRETATION:
After 2-stage re-implantation of TKAs originally infected with resistant
organisms, the clinical outcome was satisfactory-and similar to that reported
after treatment of TKAs infected with low-virulence strains. Treatment with an
articulating spacer resulted in better functional outcome and lower incidence of
patella baja.
PMID- 21883050
TI - Epidemiology and treatment of distal radius fractures in Reykjavik, Iceland, in
2004. Comparison with an Icelandic study from 1985.
AB - BACKGROUND AND PURPOSE: Recent literature suggests that the incidence and
treatment modalities of distal radius fractures have been changing over the past
2 decades in the developed world. We examined the epidemiology of adult distal
radius fractures in Iceland in 2004 and compared it with an Icelandic study from
1985 and other studies. METHODS: A retrospective study of the epidemiology,
classification, and treatment of distal radius fractures in Reykjavik residents
aged 16 and older in 2004 was conducted by analysis of medical records and re
evaluation of all radiographic examinations. RESULTS: The 228 fractures included
in our material yielded an overall annual incidence of 17/10(4) in men and
37/10(4) in women. Age-specific incidence rose steadily with age in both sexes.
One third of the fractures were intraarticular, and working-age men accounted for
a large proportion of them. 95% of fractures were treated nonoperatively.
INTERPRETATION: The annual incidence of distal radius fractures was similar in
1985 and 2004. However, age-specific incidence in younger postmenopausal women
decreased sharply. This trend has also been observed in recent Scandinavian
studies. Most fractures were treated nonoperatively in Iceland in 2004.
PMID- 21883051
TI - Sequence context for transcription and translation of the Arabidopsis RPL23aA and
RPL23aB paralogs.
AB - The 80S cytoplasmic ribosome is responsible for translating the transcriptome
into the proteome. Demand for ribosome production depends on growth rate, and
both the ribosomal RNA (rRNA) and ribosomal protein (RP) components must respond
coordinately and rapidly to positive and negative growth stimuli to prevent
deleterious effects of excess or insufficient subunits. The 81 RPs of the
Arabidopsis 80S ribosome are encoded by multigene families that often exhibit
overlapping patterns of transcript accumulation; however, only one isoform of
each RP family (with the exception of a small number of acidic RPs) assembles
into a single ribosome. Here we dissected the regulatory regions (RRs) of both
members of the RPL23a family (RPL23aA and RPL23aB) to identify salient cis-acting
elements involved in transcriptional, posttranscriptional, and translational
regulation of expression. Full length and truncated RRs of RPL23a paralogs were
cloned upstream of a GUS reporter gene and expressed in Arabidopsis transgenic
plants. High level expression in mitotically active tissues, driven by RPL23aA
and RPL23aB RRs, required TATA-box, telo-box, and site II motif elements. First
and second introns were found to play a minor role in posttranscriptional
regulation of paralogs, and conserved transcript features (e.g., UTR base
composition) may be involved in enhancing translational efficiency. Overall, our
results indicate that RPL23a expression is governed by a complex network of
multiple regulatory layers.
PMID- 21883053
TI - Connected Community and Household Food-Based Strategy (CCH-FBS): its importance
for health, food safety, sustainability and security in diverse localities.
AB - In this article, I argue that Connected Community and Household Food-Based
Strategy (CCH-FBS) could contribute to the resolution of outstanding
nutritionally-related health problems. The 1995 Food-Based Dietary Guidelines
(FBDGs) have been customized in regions and nations, encouraging integrated food
systems and culturally-sensitive food-health relationships with economic
development. Climate change and diminishing fuel and food affordability have made
their role in promoting food security critical. Localities with their eco
systems, communities and households, could apply FBDGs to correct mismatches
between food systems, individual health needs, and environmental integrity.
Improved infrastructure should allow communities and households to be usefully
connected and operate with CCH-FBSs.
PMID- 21883052
TI - Children's autonomous food acquisition in Mexican shantytowns.
AB - Children's independent food-acquisition behaviors have been rarely studied
outside of hunter-gatherer contexts, yet millions of children worldwide search,
work, trade, or beg for food. This study uses observational, anthropometric,
dietary, and ethnographic data to understand preliminarily the nutritive and
possible social contexts of autonomous middle childhood food-gaining behaviors in
Mexican shantytowns. While we find children forage, work for, and share
considerable amounts of food outside the household, this is not associated with
significant variation in their anthropometric status or dietary quality; some
possible social benefits of food-getting are, however, evident.
PMID- 21883054
TI - Potential contribution of mangoes to reduction of vitamin A deficiency in Kenya.
AB - The beta-carotene content of fresh and dried mangoes commonly consumed in Kenya
was evaluated and converted to retinol equivalent (RE). Mango fruits of varieties
Ngowe, Apple, and Tommy Atkins were harvested at mature green, partially ripe,
and ripe stages and their beta-carotene content analyzed. The stability of beta
carotene in sun dried mangoes was also studied over 6 months under usual
marketing conditions used in Kenya. The effect of using simple pretreatment
methods prior to drying of mango slices on retention of beta-carotene was as well
evaluated. In amounts acceptable to children and women, fresh and dried mangoes
can supply 50% or more of the daily required retinol equivalent for children and
women. Stage of ripeness, variety, postharvest holding temperature, method of
drying, and storage time of dried mango slices affected beta-carotene content and
consequently vitamin A value of the fruits. Apple variety grown in Machakos had
the highest beta-carotene. It exceeded the daily RE requirements by 11.8% and
21.5% for women and children respectively. Fresh or dried mangoes are a
significant provitamin A source and should be included in food-based approaches
aiming to reduce vitamin A deficiency.
PMID- 21883055
TI - Wild edible plants used by the people of Manang district, Central Nepal.
AB - Plant diversity plays an important role in maintaining the world's foods demands.
Even today in Manang, a remote, mountainous district within the Annapurna
Conservation Area, Nepal, local people gather substantial amounts of wild plants
to meet their daily nutritional needs, with several species also used for trade.
There has been little documented regarding the use of these wild foods, which
play a part in both daily nutrition, and survival during times of famine.
Moreover, planning for development, land use, economic growth and biodiversity
conservation has not taken them into account. Information about edible wild plant
use was gathered by interviewing knowledgeable villagers over a period of five
years (2002-2006). In total, 41 plant species were used as sources of fruits,
juice, vegetables, and achar (local relish or pickle). Several wild food plants
that need intensive processing before consumption were documented, including the
calcium oxalate containing Arisaema species. The traditional knowledge of use of
wild food plants is decreasing in many parts of the world with the introduction
of modern packed food items and Manang is not the exception. The results of this
research will help to play a catalytic role to encourage dialogue among the
people of Manang, and national and international scientific communities regarding
long term bioprospecting research, and shape the creation of a rural livelihood
strategy. Analysis of the nutritive and health values of some of these edible
plant species may encourage the scientific community to build partnerships with
local community to work towards long term sustainable utilization and
conservation.
PMID- 21883056
TI - Effect of a nutrition education program and diet modification in Beninese
adolescent girls suffering from mild iron deficiency anemia.
AB - A 26-week nutrition intervention, including 4 weeks of nutrition education,
combined with an increase in the content and bioavailability of dietary iron for
22 weeks was carried out in 34 intervention and 34 control adolescent girls
suffering from mild iron deficiency anemia (IDA). In post-intervention,
hemoglobin and serum ferritin were significantly higher in the intervention
group, whereas the incidence of IDA was significantly lower in the intervention
group compared to the control group. Nutrition knowledge scores were
significantly higher in intervention girls compared to control girls. Dietary
changes to improve available dietary iron can reduce iron deficiency anemia.
PMID- 21883057
TI - Formative research for a healthy diet intervention among inner-city adolescents:
the importance of family, school and neighborhood environment.
AB - OBJECTIVES: To understand influences on diet among low-income African-American
adolescents in East Baltimore. METHODS: Formative research was conducted for a
food store-centered healthy diet intervention targeted to inner-city youth.
Family, school and neighborhood influences on eating habits and health concepts
were explored. RESULTS: Family structure, economic resources and past experiences
influence what food means to adolescents. Healthy food in school and local stores
is limited. Terminology to categorize foods was identified, including the term
"home foods". CONCLUSIONS: Suggested adolescent nutritional interventions include
promotion of home-based eating, improving availability of healthy foods in school
and neighborhood stores, and targeted educational materials.
PMID- 21883058
TI - Arsenic in Mexican children exposed to contaminated well water.
AB - This cross-sectional study measures the arsenic level in school children exposed
to contaminated well water in a rural area in Mexico. Arsenic was measured in
hair by hydride generation atomic absorption spectrophotometry. Overall, 110
children were included (average 10 years-old). Among 55 exposed children, mean
arsenic level on hair was 1.3 mg/kg (range <0.006-5.9). All unexposed children
had undetectable arsenic levels. The high level of arsenic in water was
associated to the level in hair. However, exposed children drank less well water
at school or at home than unexposed children, suggesting that the use of
contaminated water to cook beans, broths or soups may be the source of arsenic
exposure.
PMID- 21883059
TI - Level of adherence to the Mediterranean diet among elderly individuals living in
Mediterranean islands: nutritional report from the Medis Study.
AB - The aim of this work was to evaluate the level of adherence to the traditional
Mediterranean diet among 1190 elderly adults living in the Greek islands and
Cyprus. METHODOLOGY: The retrieved information included demographic, bio-clinical
and dietary characteristics. RESULTS: The level of adherence to this dietary
pattern was 61% in both men and women. People in rural areas had slightly higher
level of adherence compared to those living in urban areas (62% vs. 60%).
CONCLUSION: The level of adherence to the traditional Mediterranean diet was
moderate. People living in rural areas seem to better hold these traditional
dietary habits.
PMID- 21883060
TI - Dietary adequacy of Indian children residing in an urban slum--analysis of
proximal and distal determinants.
AB - The influences of proximal and distal determinants of dietary adequacy of
children from an urban slum in India were analyzed. Children numbering 271 (5-14
years) and their mothers were enrolled for the study. Intake of all nutrients
except protein was inadequate in the dietaries of children. Among distal
determinants, associations were found between (i) calorie intake and maternal
nutritional status; (ii) protein, iron and B-complex intakes and economic status,
and (iii) retinol, calcium and fat intakes and family size. Literacy status was
not associated with dietary adequacy. Age of children and economic status of
family were important determinants of dietary adequacy of children from slum
area.
PMID- 21883061
TI - Cultivar effect on Moringa oleifera glucosinolate content and taste: a pilot
study.
AB - Leaves of the tropical tree Moringa oleifera are widely promoted in areas of
chronic malnutrition as nutritional supplements for weaning infants and nursing
mothers. Adoption, in these circumstances may hinge upon taste, which can vary
greatly amongst cultivars. It is widely assumed that this taste variation is
primarily germplasm-dependent, and results from the breakdown of glucosinolates
to isothiocyanates. Leaves of 30 accessions, grown at a single field plot, were
sampled 3 times over the course of a year. Taste, assessed in a masked protocol,
was not related to glucosinolate content of the leaves.
PMID- 21883063
TI - Beliefs about infant feeding in China and the United States: implications for
breastfeeding promotion.
AB - This study investigates cultural differences in mothers' views regarding infant
feeding and socialization. The majority of mothers reported breastfeeding as one
of their methods of infant feeding, although more than half reported using both
breast and bottle. Mothers in China expressed concerns that breastfeeding is not
compatible with work outside of the home. American mothers were more likely to
believe that breastfeeding is difficult to establish. "Happiness" was ranked the
most important socialization goal by both groups. Public health initiatives must
be culturally relevant and reflect an understanding of parenting values and
beliefs.
PMID- 21883062
TI - Trends of overweight and obesity among children in Tijuana, Mexico.
AB - The objectives of this study were to compare the trends of obesity from 2001-02
to 2006-07 in school children of Tijuana, Mexico and to investigate the
relationship with the child's gender and type of school attended. Bietapic random
sample was selected by cluster of schools and groups. Results of the 1684
children from 6-14 years of age assessed showed an overall prevalence of obesity
(> 95(th)) of 28%. An overall increase of overweight and obesity of 7-percentage
points (p=0.0003), from 41 to 48%, being higher among boys and younger girls.
Prevalence of obesity was higher among boys and children from private schools.
PMID- 21883064
TI - Intergenerational participatory discussion groups foster knowledge exchange to
improve child nutrition and food security in northern Malawi.
AB - This article assesses the effectiveness of a participatory, intergenerational,
dialogue approach in addressing gender and generational conflicts related to both
child nutrition and agriculture. Analysis of 46 interviews and 3 focus groups
with smallholder farmers in rural agrarian communities with high rates of child
malnutrition in northern Malawi suggested that participatory discussion can lead
to positive change, including increasing child feeding frequency and dietary
diversity. An intergenerational, transformative, and holistic approach to
nutrition education which integrates agricultural and gender issues can
effectively address sensitive conflicts within households and communities that
affect child nutrition, and come up with local solutions.
PMID- 21883065
TI - Egg contribution towards the diet of pregnant Latinas.
AB - Proper nutrition during gestation is important to prevent adverse pregnancy
outcomes. Eggs contain many important nutrients necessary for fetal development
and human survival. Three focus groups were conducted with Latina women living in
Connecticut to identify cultural beliefs toward egg consumption during pregnancy,
traditional egg dishes, and methods of preparation. A cross-sectional study was
then carried out with a sample of predominately Puerto Rican pregnant Latinas (N
= 241) to identify the frequency of consumption of eggs and egg-containing dishes
as well as methods of preparation using a tailored food frequency questionnaire
modified for this population. Paired sample t-tests were used to examine if there
were differences in weekly mean egg intake patterns between the year prior to the
pregnancy and during pregnancy based on a Food Frequency Questionnaire. Women
were categorized into eggs consumers and non-consumers if they consumed or did
not consume eggs during the previous day based on 24-hour recall data.
Independent-sample t-test and chi-square cross-tabulation analyses were conducted
to examine the association between egg consumption and nutrient intake
categories. Results showed that eggs and egg-containing traditional dishes are
consumed by Latinas before and during pregnancy. Egg consumers had higher intakes
of protein, fat, vitamin K, vitamin E, selenium, beta carotene, lutein and
zeaxanthin, cholesterol, total polyunsaturated fatty acids, and docosahexaenoic
acid. Eggs contribute significantly to the diet of pregnant Latinas.
PMID- 21883066
TI - Changes in food habits of south Indian Hindu Brahmin immigrants in State College,
PA.
AB - This study was conducted to understand the factors influencing changes in the
food habits of south Indian Brahmin immigrants in State College, PA. Data was
collected from participants (n = 28) using a semi-structured interview based on
the PRECEDE framework, and participant observations. Analysis of the data used
grounded theory generated themes highlighting their food acculturation
experience. Adjusting in State College was described as a "delicate balance" that
meant maintaining their south Indian traditions while at the same time adapting
to the cultural milieu of a town that favored north Indian cuisine, and "blending
in" with the larger American society.
PMID- 21883068
TI - Global food terror in Japan: media shaping risk perception, the nation, and
women.
AB - This article traces the Japanese media's response to Chinese poison pot-stickers
(gyoza) in Japan's food system as they debate and guide consumer-citizens'
feelings of increasing vulnerability as individuals in the global market, the
nation, and families. Global food becomes a key metaphor for threats to national
borders and the need for national food, yet simultaneously for inevitable risk to
globally attuned stomachs that can be controlled only by alert housewives and
education of the young. Food terror effectively signals citizens' lack of
protection in risk society, but leaves unsaid important differences among
consumer-citizens to save themselves with scarce Japanese-made food.
PMID- 21883069
TI - Moving beyond hunger and nutrition: a systematic review of the evidence linking
food insecurity and mental health in developing countries.
AB - Food insecurity is a significant problem in the developing world, and one that is
likely to increase given the current global food crisis spurred by rising oil
prices, conversion of food to biofuels, and reduced harvests in the wake of
natural disasters. The impacts of food insecurity on nutrition status, growth,
and development are well substantiated; less is known about the non-nutritional
impacts of food insecurity, such as its effects on mental health. This systematic
review assesses current findings regarding the impacts of food insecurity on
mental health in developing countries. Both qualitative and quantitative studies
are considered. The results of the search reveal that little work has examined
these issues directly, and serious methodological flaws are present in many of
the existing studies. Gaps in the literature, implications, and research
priorities are discussed.
PMID- 21883070
TI - Intracultural diversity in food knowledge in southern Brazil.
AB - This research explores the social distribution of food knowledge in Ribeirao
Preto, a city in the state of Sao Paulo, Brazil. Through an analysis of the
distribution of individual expertise in regard to the cultural model of food
along the dimensions of healthfulness, practicality, and prestige, this research
demonstrates that knowledge of the cultural model of food is most strongly shared
in the upper class of the city. Qualitative and quantitative ethnographic
research suggests that the social patterning of health-related food knowledge in
Ribeirao Preto may serve to maintain class distinction.
PMID- 21883071
TI - Ethnobotany of food plants in the high river Ter valley (Pyrenees, Catalonia,
Iberian Peninsula): non-crop food vascular plants and crop food plants with
medicinal properties.
AB - The present study reports a part of the findings of an ethnobotanical research
project conducted in the Catalan region of the high river Ter valley (Iberian
Peninsula), concerning the use of wild vascular plants as food and the medicinal
uses of both wild and cultivated food plants. We have detected 100 species which
are or have been consumed in this region, 83 of which are treated here (the
remaining are the cultivated food plants without additional medicinal uses). Some
of them, such as Achillea ptarmica subsp. pyrenaica, Convolvulus arvensis,
Leontodon hispidus, Molopospermum peloponnesiacum and Taraxacum dissectum, have
not been previously reported, or have only very rarely been cited or indicated as
plant foods in very restricted geographical areas. Several of these edible wild
plants have a therapeutic use attributed to them by local people, making them a
kind of functional food. They are usually eaten raw, dressed in salads or cooked;
the elaboration of products from these species such as liquors or marmalades is a
common practice in the region. The consumption of these resources is still fairly
alive in popular practice, as is the existence of homegardens, where many of
these plants are cultivated for private consumption.
PMID- 21883072
TI - What changes when we move? A transnational exploration of dietary acculturation.
AB - This study aimed to explore Mexican dietary acculturation patterns among
immigrants using a unique transnational photographic assessment. Participants (N
= 10) were Latino mother and child pairs living in Mexico (1 pair) and in the
United States (4 pairs). Data were collected in 2 phases: 1) participant
observation and photography in Mexico, and 2) in-depth interviewing using
photographic guides in North Carolina. Environment, daily activity patterns,
shopping, and dietary patterns in Mexico were all documented. Acculturation
resulted in poor dietary intake due to decreased availability, food displacement,
and cost. Decreases in physical activity due to environmental and social barriers
were also reported.
PMID- 21883073
TI - Evaluation of self-care practices and emotional distress among people with Type 2
diabetes mellitus in Dar es Salaam, Tanzania.
AB - This study was conducted to determine self-care practices and diabetes related
emotional distress among people with Type 2 diabetes mellitus in Dar es Salaam,
Tanzania. A cross sectional survey-involving 121 Types 2 diabetics was conducted
in 4 diabetic clinics located in Dar es Salaam. Anthropometric and biomedical
measurements namely weight, height, waist, hip, mid-upper arm circumference,
blood pressure and fasting blood glucose were measured. Self-care practices and
diabetic related emotion distress were evaluated by using validated instruments.
Results revealed that, the average fasting plasma glucose was 11.2 +/- 5.5
mmol/l, blood pressure was 134.7/86.1 mm/Hg and the mean BMI for males and
females were 25.0 +/- 4.3 and 27.0 +/- 5.1 kg/m(2), respectively. Subject's self
care score for general diet, specific diet, physical exercise, foot-care and
medication were 4.6 +/- 2.4, 3.7 +/- 1.5, 3.4 +/- 1.8, 3.6 +/- 2.8 and 5.5 +/-
2.8 days per week, respectively. Self-monitoring of blood glucose was irregular
and only 46.3% of the subjects tested their levels of blood glucose at least once
in between the appointments (90 days). Low income was the major limitation for
complying with the self-care practices related to diet, blood glucose testing and
medication. It is recommended that, the Government of Tanzania should in the
short run subsidize the prices of diabetes drugs, remove all taxes on the glucose
test kits and establish a national diabetes program that would coordinate and
oversee provision of the basic services such as screening, glucose testing,
medication, counseling and management of the condition. In the long run, the
government should establish a preventive public health program in order to
curtail the escalation of diabetes. Further research should be conducted to
determine how factors such as socio-cultural and demographic, self-care, and
psychosocial distress interact to determine biomedical outcomes such as blood
pressure, blood glucose and body mass index.
PMID- 21883074
TI - Nutritive composition of Tarag, the traditional naturally-fermented goat milk in
China.
AB - Nutritive compositions were analyzed in 10 batches of samples of Tarag (the
traditional naturally-fermented goat milk), which were collected in the Mongolian
community in China. Results showed that Tarag had richer nutrients than yoghurt.
Tarag-2 (from Zang goats) had better chemical nutrient density than Tarag-1 (from
Chaidamu goats). Tarag was rich in casein, lactoferrin, serum albumin, beta
lactoglobulin, alpha-lactalbumin, and 3 unknown fractions in certain samples.
Microbiological enumeration revealed that Tarag contained large lactic acid
bacteria and yeast. Tarag was judged nutritious and indicated the latent value
for people's health.
PMID- 21883075
TI - Is air temperature at birth associated with body mass index in 9-10 year-old
children?
AB - Several studies suggest that temperature (season) at birth affects body weight
and obesity years later. This study related air temperature at birth to body mass
index in Liverpool primary school children (n = 11,084). No association was found
between body mass index categories and month or season of birth and only weak
correlations with month of birth and air temperature at birth. These correlations
disappeared when controlled for precise age and an index of deprivation but girls
in the third quintile for deprivation had a higher body mass index if born in
colder weather.
PMID- 21883076
TI - National food control systems: lessons from Mauritius.
AB - Food control systems are being established or revamped in many countries because
of problems occurring along the food chain and the obligations of governments
towards the World Trade Organization. The main components of an ideal food
control system are food legislation, administration, enforcement and supporting
bodies like analytical services and consumer organizations. Mauritius introduced
modern legal instruments in 1998 in an attempt to reinvigorate food control. This
article describes the components of the Mauritian Food Control System (MFCS). An
appraisal of these components is then made. The methodology comprised a
literature review and in-depth interviews with key informants and stakeholders of
the local food control system. Although much progress was made with the
introduction of new food legislation in 1998, other components of the local
system like enforcement and various supporting bodies did not receive appropriate
support. Other countries could use the lessons drawn from the Mauritian
experience while setting up or upgrading their food control systems.
PMID- 21883078
TI - The importance of baobab (Adansonia digitata L.) in rural West African
subsistence--suggestion of a cautionary approach to international market export
of baobab fruits.
AB - The European Commission recently authorized the import of baobab (Adansonia
digitata L.) fruit pulp as a novel food. In rural West Africa the multipurpose
baobab is used extensively for subsistence. Three hundred traditional uses of the
baobab were documented in Benin, Mali, and Senegal across 11 ethnic groups and 4
agroecological zones. Baobab fruits and leaves are consumed throughout the year.
The export of baobab fruits could negatively influence livelihoods, including
reduced nutritional intake, change of power relations, and access rights.
Capacity building and certification could encourage a sustainable and ethical
trade of baobab fruits without neglecting baobab use in subsistence.
PMID- 21883079
TI - Eating from the wild: Turumbu indigenous knowledge on noncultivated edible
plants, Tshopo District, DRCongo.
AB - Documenting and revalorizing the rapidly disappearing indigenous knowledge on
wild edible plants is essential to promote health and preserve diversity. Focus
group discussions were organized within three Turumbu villages to document wild
foods known, availability, preparation methods, and uses. Preferences in taste
and commercial, nutritional, and cultural value were discussed during
participatory ranking exercises. Results show 85 species within 70 genera and 44
families. Fruits of Anonidium manni and Landolphia owariensis, and (unfolded)
leaves of Megaphrynium macrostachyum and Talinum triangulare are most
appreciated. Inventories and preference rankings should be completed with
nutritional analyses and market studies to set priorities for participatory
domestication.
PMID- 21883080
TI - Availability, preference, and consumption of indigenous forest foods in the
Eastern Arc Mountains, Tanzania.
AB - We investigated the availability, preference, and consumption of indigenous
forest foods in Uluguru North (UNM) and West Usambara Mountains (WUM) of
Tanzania. Data collection techniques involved focus group discussion, structured
questionnaires, and botanical identification. Results revealed (1) there were 114
indigenous forest food plant species representing 57 families used by communities
living adjacent to the two mountains; (2) sixty-seven species supplied edible
fruits, nuts and seeds: 24 and 14 species came from WUM and UNM, respectively,
while 29 came from both study areas; (3) of the 57 identified vegetable species,
22 were found in WUM only, 13 in UNM only, and 12 in both areas; (4) there were
three species of edible mushrooms and five species of roots and tubers; (5)
unlike the indigenous roots and tubers, the preference and consumption of
indigenous vegetables, nuts, and seeds/oils was higher than exotic species in
both study areas; and (6) UNM had more indigenous fruits compared to WUM,
although preference and consumption was higher in WUM. We recommend increased
research attention on forest foods to quantify their contribution to household
food security and ensure their sustainability.
PMID- 21883081
TI - Consumer attitudes towards vegetable attributes: potential buyers of pesticide
free vegetables in Accra and Kumasi, Ghana.
AB - Considering the inappropriate use of synthetic pesticides on vegetables in West
Africa, the rationale behind this research was to assess the extent to which
consumers can function as demanders of risk reduced vegetables and hence act as
innovators towards vegetable safety. Using the cases of Kumasi and Accra in
Ghana, the study examined possible consumer responses to product certification
that communicates freedom from pesticides (e.g., organic certification).
Generally, search attributes such as the fresh and healthy appearance of a
vegetable were found to be central to consumer choice. While consumers stress the
importance of health value, they are mostly unaware of agro-chemical risks
related to vegetable consumption.
PMID- 21883082
TI - Trying versus liking fruits and vegetables: correspondence between mothers and
preschoolers.
AB - Extensive research indicates that a diet rich in fruits and vegetables (F&V)
protects against numerous illnesses in adulthood, but that most individuals,
including children, consume far fewer F&V per day than is recommended. Since
evidence suggests that eating habits in childhood track into adulthood, more
research is necessary to learn about how parental F&V intake and opportunities
influence child F&V consumption. The purpose of this study was to examine the
relationship between mothers' F&V preferences and those of their preschool-age
children to determine if greater maternal "liking" of fruits and vegetables was
associated with their reports of their children's "trying" more fruits and
vegetables. Eighty-three mothers completed a questionnaire that assessed whether
they and their preschoolers had tried or liked a variety of F&V. Mothers liked
86% of the fruits they tried, girls 76%, and boys 69%. Mothers liked 81% of the
vegetables they tried; boys and girls liked 55%. Mothers' likes correlated with
F&V that their children tried, but mothers' likes also limited the number of F&V
that their children tried. Mothers reported preferences for F&V are associated
with estimates of their preschoolers' preferences for F&V. Relative to girls,
boys may need additional opportunities for F&V exposure.
PMID- 21883083
TI - Evaluation of soy hulls as a potential ingredient of functional foods for the
prevention of obesity.
AB - The prevalence of obesity and its associated health problems is rising to
epidemic proportions throughout the world. Soy hulls, an industrial waste from
oil extraction, contain a high proportion of fiber--soluble and insoluble--and
may be a potential ingredient of functional foods for the prevention of obesity.
However, crude soybeans, as do all legumes, present challenges to their use
because of intensive antitrypsin and antichimotrypsin activity that impairs
normal growth in humans and other mammals, requiring inactivation. To evaluate
possible antinutritional effects of soybean hulls, diets with 10 percent fiber
from soybean hulls or cellulose were offered to weanling IIMb/Beta obese rats
during their prepubertal timeframe. The fact that no significant differences were
found in growth, blood parameters nor in fat depots' weight and lipid content
plus the proven beneficial effects on obese adult rats suggest that soy hulls may
be a useful ingredient of functional foods for the prevention and treatment of
human obesity.
PMID- 21883084
TI - Prevalence of sarcopenia among older community-dwelling people with normal health
and nutritional state.
AB - This study analyzed whether sarcopenia, a risk factor for disability in the aged,
also occurs in healthy community-dwelling elders with normal nutritional state.
As indicators, body cell mass (BCM) and lean body mass (LBM) were determined in
110 Germans (ages 60-83) using bioimpedance analysis. Nutritional status, muscle
function, anthropometry, and physical activity level were investigated.
Sarcopenia was already present in well nourished healthy elders. Its prevalence
depended on the measure of muscle mass used (BCM percent, 22 percent males, 20
percent females; LBM percent, 4 percent males, 11 percent females). In
conclusion, screening for presence of sarcopenia is needed in healthy, well
nourished elderly populations requiring an international standardization.
PMID- 21883085
TI - Sorghum malt and traditional beer (dolo) quality assessment in Burkina Faso.
AB - Sorghum malt and dolo quality evaluation criteria and parameters affecting
quality were surveyed in six cities in Burkina Faso through questionnaires
addressed to malt processors, dolo processors, retailers, and consumers. The
major quality criteria for malt quality assessment were perceived to be taste and
presence/absence of roots in the malt. Taste, alcohol content, and wort
sufficiently cooked were perceived as major criteria for the dolo quality
assessment. The major parameters affecting malt quality were perceived to be malt
production period, proportions of grain and the amount of water entering malting,
presence of pesticide residues in the malting grains, and age of grain.
Processing method, yeast source, proportions of the components (crushed grain,
water, mucilage, yeast) entering dolo production, malt quality, wort temperature
at time of inoculation, amount of energy available for cooking, wort and sediment
boiling time, quality of mucilage, malt with non-sweet taste, presence/absence of
roots in the malt, and ease of filtering crushed malt were perceived as major
parameters affecting the dolo quality. These results will be used in the
improvement of the dolo supply chain in Burkina Faso by providing more reliable
information for training programs for efficient dolo brewing processes,
development of best cropping practices to improve grain quality, and providing
better selection criteria for sorghum breeding programs.
PMID- 21883087
TI - Nutritional counseling in midwifery and obstetric practice.
AB - It is generally acknowledged that pregnant women require healthy diets. However,
the cultural idea of "eating for two" and what constitutes an "appropriate" diet
during pregnancy have been contested grounds of research as guidelines have
changed over the decades. Using a grounded theory approach, we examine how
research on pregnancy nutrition is incorporated into practice and translated to
patients by obstetricians and 2 categories of midwives--certified nurse midwives
(CNMs) and direct-entry midwives (DEMs). Five themes emerged from interviews: (1)
food and exercise as "two sides of the same coin"; (2) "good food" and "food that
harms" dichotomies; (3) nutrition as holistic prevention; (4) institutionalized
barriers to nutritional counseling; and (5) food and the obesity epidemic. An
exploration of the conceptual connections between these themes by provider type
suggests mechanisms that we argue may be functioning to produce, reproduce, and
perpetuate midwifery and medical models of care and associated provider-effects
on nutrition-related complications.
PMID- 21883088
TI - Toward a sustainability label for food products: an analysis of experts' and
consumers' acceptance.
AB - The recent proliferation of standards and labels for organic, fair-trade, locally
produced, and healthy food products risks creating confusion among consumers.
This study presents a standardized approach to developing a comprehensive
sustainability label that incorporates ecological, economic, and social values.
The methodology is based on an extension of modular life-cycle assessment to non
environmental sustainability criteria. Interviews with a wide range of experts
(n=65) and a consumer survey (n=233) were conducted to analyze the feasibility
and potential effectiveness of the approach. Responses indicated that a
comprehensive sustainability label could considerably influence consumption
patterns and facilitate cross-product comparisons.
PMID- 21883089
TI - The modernization of cooking techniques in two rural Mayan communities of
Yucatan: the case of lard frying.
AB - The objective of this article is to provide information on cooking techniques
used by two rural communities of Yucatan. We used a 24-hour recall method with
275 participants consuming 763 dishes. Dishes were classified according to
cooking technique: 205 were lard-fried (27%), 169 oil-fried (22%), and 389
boiled/grilled (51%). The smaller more secluded community (San Rafael) consumed
more fried dishes than the larger community (Uci) (54% versus 45%) and used more
lard-frying than Uci (65% versus 46%). The more extensive use of lard in the
smaller community appears to be due to fewer modernizing influences such as the
availability and use of industrialized vegetable oils.
PMID- 21883090
TI - An indigenous plant food used by lactating mothers in west Africa: the nutrient
composition of the leaves of Kigelia africana in Ghana.
AB - Although the leaves of Kigelia africana are used to make a palm-nut soup which is
consumed mainly by lactating women in many parts of sub-Saharan Africa, little is
known about the nutrient qualities of this underutilized and underappreciated
plant food. Leaves of Kigelia africana, called "sausage tree" in English and
"nufuten" in the Twi language of Ghana, were collected in Kumasi and analyzed for
their content of nutritionally important fatty acids, amino acids, minerals, and
trace elements. The dried leaves contained 1.62% fatty acids, of which alpha
linolenic acid and linolenic acid accounted for 44% and 20%, respectively, of the
total. Protein accounted for 12.6% of the dry weight and, except for lysine, its
overall essential amino acid profile compared favorably to a World Health
Organization protein standard for school children. Kigelia leaf contained
considerable amounts of many essential elements, including calcium (7,620 MUg/g),
iron (161 MUg/g), magnesium (2,310 MUg/g), manganese (14.6 MUg/g), zinc (39.9
MUg/g), and chromium (0.83 MUg/g); selenium, however, was not detected. These
data indicate that Kigelia africana leaf compares favorably with many other
commonly-consumed green leafy vegetables such as spinach and provides a rational
basis for promoting the conservation and propagation of the plant and encouraging
its wider use in the diets of populations in sub-Saharan Africa.
PMID- 21883092
TI - Effect of serum concentration on the cytotoxicity of clay particles.
AB - Nanoparticle cytotoxicity testing based on in vitro methods frequently lack
consistency. Even the inclusion of the commonly employed growth supplement, FCS
(fetal calf serum), generates variable results. Thus, our object was to
investigate the effect of FCS concentration on the cytotoxic behaviour of the
unmodified nanoclay, Cloisite(r) Na(+). Human monocytic U937 cells in medium
supplemented with 5% FCS, 2.5% FCS or serum-free medium were treated with 1 mg/ml
Cloisite Na(+). Cell growth in 2.5% FCS was significantly inhibited by Cloisite
Na(+) within 48 h, whereas little effect was seen with a supplement of 5% FCS.
Without serum, cell growth was inhibited and Cloisite Na(+) had a detrimental
effect on these cells. In media supplemented with FCS, the nanoclays agglomerated
together to form large bundles, whereas they were evenly dispersed throughout the
medium in the absence of serum. Clay particles, therefore, have cytotoxic
properties that may be linked to their dispersion pattern. These adverse effects
seem to be masked by 5% FCS. Serum supplementation is an important consideration
in the toxicological assessments of nanomaterials on cells, which needs to be
addressed in the standardization of in vitro testing methods.
PMID- 21883093
TI - Critical roles of RNA helicase DDX3 and its interactions with eIF4E/PABP1 in
stress granule assembly and stress response.
AB - Upon environmental insults, SGs (stress granules) aid cell survival by serving as
sites of translational silencing. RNA helicase DDX3 was reported to associate
with SGs. However, its role in SG physiology remains undefined. We have
demonstrated previously that DDX3 acts as an eIF4E (eukaryotic initiation factor
4E)-inhibitory protein to suppress translation. In the present study, we
indentified the SG marker PABP1 [poly(A)-binding protein 1] as another direct
interaction partner of DDX3. We established various stimuli as novel stressors
that direct DDX3 with eIF4E and PABP1 into SGs, but not to processing bodies.
Interestingly, down-regulation of DDX3 interfered with SG assembly, led to
nuclear accumulation of PABP1 and reduced cell viability following stress.
Conversely, supplementation with a shRNA (short hairpin RNA)-resistant DDX3
restored SG formation, the translocation of PABP1 into SGs and cell survival.
Notably, the SG-inducing capacity of DDX3 is independent of its ATPase and
helicase activities, but mapped to the eIF4E-binding region. Moreover, the eIF4E
binding-defective mutant DDX3 was impaired in its SG-inducing ability and
protective effect on cell survival under adverse conditions. All together, the
present study has characterized DDX3 as a pivotal SG-nucleating factor and
illustrates co-ordinative roles for DDX3, eIF4E and PABP1 in integrating
environmental stress with translational regulation.
PMID- 21883094
TI - PTEN interacts with metal-responsive transcription factor 1 and stimulates its
transcriptional activity.
AB - MTF-1 (metal-responsive transcription factor 1) is an essential mammalian protein
for embryonic development and modulates the expression of genes involving in zinc
homoeostasis and responding to oxidative stress. We report in the present paper
that PTEN (phosphatase and tensin homologue deleted on chromosome 10) associates
with MTF-1 in the cells. These two proteins interact via the acidic domain of MTF
1 and the phosphatase/C2 domain of PTEN. Depletion of PTEN reduced MT
(metallothionein) gene expression and increased cellular sensitivity to cadmium
toxicity. PTEN did not alter the nuclear translocation, protein stability or DNA
binding activity of MTF-1. Zinc increased MTF-1-PTEN interaction in a dose
dependent manner. The interaction elevated within 2 h of zinc addition and
declined afterwards in the cells. The enhanced binding activity occurred mainly
in the cytoplasm and reduced after translocating the MTF-1 into the nucleus.
Blocking signalling through the PI3K (phosphoinositide 3-kinase) pathway did not
alter the zinc-induced MT expression. Analysis of enzymatically inactive PTEN
mutants demonstrated that protein but not lipid phosphatase activity of PTEN was
involved in the regulation of MTF-1 activity. The same regulatory role of PTEN
was also noted in the regulation of ZnT1 (zinc transporter 1), another target
gene of MTF-1.
PMID- 21883095
TI - Reproducibility of cardiac power output and other cardiopulmonary exercise
indices in patients with chronic heart failure.
AB - Cardiac power output is a direct measure of overall cardiac function that
integrates both flow- and pressure-generating capacities of the heart. The
present study assessed the reproducibility of cardiac power output and other more
commonly reported cardiopulmonary exercise variables in patients with chronic
heart failure. Metabolic, ventilatory and non-invasive (inert gas re-breathing)
central haemodynamic measurements were undertaken at rest and near-maximal
exercise of the modified Bruce protocol in 19 patients with stable chronic heart
failure. The same procedure was repeated 7 days later to assess reproducibility.
Cardiac power output was calculated as the product of cardiac output and mean
arterial pressure. Resting central haemodynamic variables demonstrate low CV
(coefficient of variation) (ranging from 3.4% for cardiac output and 5.6% for
heart rate). The CV for resting metabolic and ventilatory measurements ranged
from 8.2% for respiratory exchange ratio and 14.2% for absolute values of oxygen
consumption. The CV of anaerobic threshold, peak oxygen consumption, carbon
dioxide production and respiratory exchange ratio ranged from 3.8% (for anaerobic
threshold) to 6.4% (for relative peak oxygen consumption), with minute
ventilation having a CV of 11.1%. Near-maximal exercise cardiac power output and
cardiac output had CVs of 4.1 and 2.2%, respectively. Cardiac power output
demonstrates good reproducibility suggesting that there is no need for performing
more than one cardiopulmonary exercise test. As a direct measure of cardiac
function (dysfunction) and an excellent prognostic marker, it is strongly advised
in the assessment of patients with chronic heart failure undergoing
cardiopulmonary exercise testing.
PMID- 21883096
TI - Expression patterns of natural killer receptor genes in inflamed joints and
peripheral blood of patients with psoriatic arthritis.
PMID- 21883098
TI - MRI and visual-evoked potentials in partners of multiple sclerosis patients.
AB - OBJECTIVE: Some epidemiological evidence, particularly concerning the role of
Epstein Barr Virus implies that multiple sclerosis (MS) may be transmissible and
if correct, this might be revealed by increased prevalence of MS in cohabiting
partners. METHODS: We addressed this problem by neurological assessment, visual
evoked potentials (VEP) and magnetic resonance imaging (MRI) in 112 partners of
patients with MS in comparison to a control group of 93 individuals with
clinically non-significant head or neck pain and in comparison to UK prevalence.
RESULTS: We found one instance of conjugal definite MS. Including this case, VEP
were abnormal in five instances with either significant delay (n = 3) or
increased interocular latency difference (IOLD) (n = 2) in partners of MS
patients thus raising the possibility of subclinical optic nerve demyelination.
The mean absolute value of IOLD in partners was greater than the value in
controls (P = 0.033). There were no significant differences in MRI findings
between the two groups. CONCLUSION: The finding of one conjugal pair and abnormal
VEP in a further four MS partners could have several explanations. It is
compatible with the concept of a transmissible agent, although our observations
could be due to several biases as well as the play of chance alone.
PMID- 21883097
TI - Tolerability and safety of perampanel: two randomized dose-escalation studies.
AB - OBJECTIVES: To evaluate, for the first time in patients with epilepsy, the
tolerability and safety of escalating doses of oral perampanel, a novel,
selective, non-competitive AMPA antagonist, as adjunctive therapy for refractory
partial-onset seizures. MATERIALS AND METHODS: Two consecutive, randomized,
double-blind, dose-escalation studies recruited adults (18-70 years) with
uncontrolled partial-onset seizures receiving one to three concomitant
antiepileptic drugs. In study 206, patients were treated for 12 weeks (8-week
dose-titration, 4-week dose-maintenance) with placebo or perampanel (up to 4
mg/day, dosed once- or twice-daily). In study 208, patients received placebo or
perampanel once-daily (up to 12 mg) for 16 weeks (12-week titration, 4-week
maintenance). RESULTS: Overall, 153 patients were randomized into study 206
(perampanel twice-daily, n = 51; perampanel once-daily, n = 51; placebo, n = 51).
Study 208 included 48 patients (perampanel once-daily, n = 38; placebo, n = 10).
The highest dose in study 206 - 4 mg/day - was well tolerated, with similar
proportions of patients tolerating once-daily (82.4%) and twice-daily (82.4%)
perampanel and placebo (82.4%) treatments. In study 208 most patients tolerated
doses of >= 6 mg perampanel once-daily in a Kaplan-Meier analysis. In both
studies, the most common adverse events were CNS-related; most were of
mild/moderate severity. CONCLUSIONS: Perampanel was well tolerated across doses
of 4-12 mg/day. The studies showed preliminary evidence of efficacy and
identified doses to be evaluated in larger clinical studies.
PMID- 21883100
TI - Value of neuropsychological tests, neuroimaging, and biomarkers for diagnosing
Alzheimer's disease in younger and older age cohorts.
AB - OBJECTIVES: To examine the influence of age on the value of four techniques for
diagnosing Alzheimer's disease (AD). DESIGN: Observational cohort study. SETTING:
Alzheimer's Disease Neuroimaging Initiative. PARTICIPANTS: Individuals with mild
cognitive impairment (MCI; n = 179), individuals with AD (n = 91), and normal
controls (n = 105). MEASUREMENTS: Neuropsychological tests, structural magnetic
resonance imaging (MRI), amyloid-beta and tau in cerebrospinal fluid (CSF), and
[18F]fluorodeoxyglucose positron emission tomography (FDG-PET) for the diagnosis
of MCI or AD. MCI was defined according to subjective memory complaints
corroborated by an informant and an abnormal score on the delayed paragraph
recall subtest of the Wechsler Memory Scale-Revised, a Mini-Mental State
Examination score greater than 23, and a Clinical Dementia Rating score of 0.5.
Participants with AD satisfied National Institute of Neurological and
Communicative Diseases and Stroke/Alzheimer's Disease and Related Disorders
Association criteria of probable AD. RESULTS: Neuropsychological tests and MRI
were the most informative techniques, with 84% and 82% correct classifications,
respectively, and areas under the receiver operating characteristic curve (AUCs)
of 0.93 (90% confidence interval (CI) = 0.91-0.95) and 0.88 (90% CI = 0.85-0.91).
FDG-PET and CSF assessments had 76% and 73% correct classifications,
respectively, (AUC = 0.77, 90% CI = 0.71-0.83; AUC = 0.77, 90% CI = 0.73-0.82).
These figures increased slightly when the techniques were combined. All analyses
were repeated for the younger (<75) and older (>= 75) halves of the sample. FDG
PET and CSF assessment were substantially less informative in the older cohort,
and they did not add diagnostic information when all techniques were combined.
CONCLUSIONS: Structural MRI and neuropsychological assessment are diagnostic
methods of first choice if AD is suspected. CSF and FDG-PET add little to these
diagnostic techniques, especially in older adults.
PMID- 21883099
TI - Cannabis use and age at onset of symptoms in subjects at clinical high risk for
psychosis.
AB - OBJECTIVE: Numerous studies have found a robust association between cannabis use
and the onset of psychosis. Nevertheless, the relationship between cannabis use
and the onset of early (or, in retrospect, prodromal) symptoms of psychosis
remains unclear. The study focused on investigating the relationship between
cannabis use and early and high-risk symptoms in subjects at clinical high risk
for psychosis. METHOD: Prospective multicenter, naturalistic field study with an
18-month follow-up period in 245 help-seeking individuals clinically at high
risk. The Composite International Diagnostic Interview was used to assess their
cannabis use. Age at onset of high risk or certain early symptoms was assessed
retrospectively with the Interview for the Retrospective Assessment of the Onset
of Schizophrenia. RESULTS: Younger age at onset of cannabis use or a cannabis use
disorder was significantly related to younger age at onset of six symptoms (0.33
< r(s) < 0.83, 0.004 < P < 0.001). Onset of cannabis use preceded symptoms in
most participants. CONCLUSION: Our results provide support that cannabis use
plays an important role in the development of psychosis in vulnerable
individuals. Cannabis use in early adolescence should be discouraged.
PMID- 21883101
TI - Age differences in primary prevention implantable cardioverter-defibrillator use
in U.S. individuals.
AB - OBJECTIVES: To estimate the potentially inappropriate use of implantable
cardioverter-defibrillator ICDs in older U.S. adults. DESIGN: Retrospective
study. SETTING: The National Cardiovascular Data ICD Registry. PARTICIPANTS:
Forty-four thousand eight hundred five individuals in the National Cardiovascular
Data's ICD Registry(TM) who had received ICDs for primary prevention from January
2006 to December 2008. Individuals with a prior myocardial infarction and
ejection fraction less than 30% were included. MEASUREMENTS: Mortality risk was
categorized using the Multicenter Automatic Defibrillator Implantation (MADIT) II
risk-stratification system. Low-risk and very-high-risk individuals were
considered potentially inappropriate recipients. RESULTS: Of 44,805 individuals,
67% (n = 29,893) were aged 65 and older, of whom 51% were aged 75 and older. A
significant proportion of ICD recipients had a low risk of death (16%, n = 6,969)
or very high risk of nonarrhythmic death (8%, n = 3,693). Potentially
inappropriate ICD use was 10% in those aged 75 and older, much less than in
younger groups (40%, <65; 21%, 65-74, P < .001). Although age was associated with
a high risk of nonarrhythmic death, its influence was markedly attenuated after
adjusting for comorbidities and timing of ICD implantation (odds ratio = 1.02,
95% confidence interval = 1.02-1.03, P < .001). CONCLUSION: Potentially
inappropriate ICD use appears significantly less--and at modest rates--in older
Americans than in younger age groups. Overall, almost one-quarter of individuals
may have received ICDs inappropriately based on their risk of death. Physicians
appear to be conservatively referring older adults and wisely deferring those
with high comorbid burden.
PMID- 21883102
TI - Proton pump inhibitor discontinuation in long-term care.
AB - OBJECTIVES: To determine factors associated with proton pump inhibitor (PPI)
discontinuation in long-term care. DESIGN: Retrospective cohort analysis.
SETTING: Veterans Affairs (VA) long-term care facilities. PARTICIPANTS: Veterans
admitted for nonhospice care in 2005 with a length of stay of 7 days or more who
were prescribed a PPI within 7 days of admission (N = 10,371). MEASUREMENTS:
Prescribed medications and comorbidities were determined from VA pharmacy and
administrative databases and functional status from Minimum Data Set records.
Associations between participant characteristics and PPI discontinuation were
determined using Cox proportional hazard ratios (HRs), censoring at death,
discharge, or 180 days after admission. RESULTS: Participants were predominantly
male (97%) and had a median age of 73 (interquartile range 60-81). There were
2,749 (27%) PPI discontinuations; 43% of these occurred within 28 days of
admission. Hospitalizations (HR = 1.22, 95% confidence interval (CI) = 1.01
1.46), preadmission PPI use (HR = 1.35, 95% CI = 1.16-1.56), and lowest
functional status (HR = 1.22, 95% CI = 1.03-1.45) were associated with early PPI
discontinuation in adjusted models. Participants with gastric acid-related
disease (HR = 0.53, 95% CI 0.46-0.61), diabetes mellitus (HR = 0.82, 95% CI 0.72
0.94), and those who were prescribed six or more medications (6-7 medications, HR
= 0.78, 95% CI = 0.66-0.92; 8-10 medications, HR = 0.64, 95% CI = 0.54-0.76; >=
11 medications 0.51, 95% CI = 0.42-0.62) were less likely to have early
discontinuation. No PPI discontinuer had PPIs resumed during the study, and few
(9%) had histamine-2 receptor antagonist substitutions. CONCLUSION: Although
there may be clinical uncertainty regarding PPI discontinuation, more than one
quarter of participants prescribed a PPI upon admission to long-term care had it
discontinued within 180 days. Targeting individuals prescribed PPIs for
medication appropriateness review may reduce prescribing of potentially
nonindicated medications.
PMID- 21883103
TI - A 2-year follow-up of a lifestyle physical activity versus a structured exercise
intervention in older adults.
AB - OBJECTIVES: To evaluate the long-term effects of a lifestyle intervention and a
structured exercise intervention on physical fitness and cardiovascular risk
factors in older adults. DESIGN: Controlled trial with randomization between the
intervention groups. SETTING: Belgium, Vlaams-Brabant. PARTICIPANTS: One hundred
eighty-six sedentary but healthy men and women aged 60 to 83. INTERVENTIONS:
Participants in the lifestyle intervention were stimulated to integrate physical
activity into their daily routines and received an individualized home-based
program supported by telephone calls. The structured intervention consisted of
three weekly supervised sessions in a fitness center. Both interventions lasted
11 months and focused on endurance, strength, flexibility, and postural and
balance exercises. MEASUREMENTS: Cardiorespiratory fitness, muscular strength,
functional performance, blood pressure, and body composition were measured before
(pretest), at the end (11 months, posttest), and 1 year after the end (23 months,
follow-up) of the interventions. RESULTS: The results from pretest to posttest
have already been published. The current study analyzed the results from posttest
to follow-up. There was a decrease in cardiorespiratory fitness, muscular
fitness, and functional performance from posttest to follow-up in the structured
intervention group but not in the control group or the lifestyle intervention
group. At 23 months, participants in both groups still showed improvements in
cardiorespiratory fitness. In addition, the structured group showed long-term
improvements in muscular fitness, whereas the lifestyle group showed long-term
improvements in functional performance. No long-term effects were found for blood
pressure or body composition. CONCLUSION: These results highlight the potential
of a structured fitness center-based intervention and a home-based lifestyle
intervention in the battle against inactivity in older adults. Lifestyle programs
are especially valuable because they require fewer resources and less time from
health institutions and health practitioners.
PMID- 21883104
TI - Improving internal medicine residents' falls assessment and evaluation: an
interdisciplinary, multistrategy program.
AB - Falls are a major problem in older adults, and physicians receive inadequate
training in falls evaluation. A multicomponent program (lecture, academic
detailing, and case studies) was implemented to enhance medical residents'
knowledge, skills, decisions, and interventions made about falls as part of a
larger project to improve assessment and care of older adults. Electronic medical
record (EMR) template modifications provided cues and reminders, decision
support, and documentation into the visit note. Nursing staff and the EMR
prompted residents to evaluate patients with a history of falls. Knowledge and
confidence were assessed using a pre- and postintervention questionnaire, and an
attending physician assessed skills by direct observation of the Timed Up and Go
Test (TUG). Effect on clinical actions was assessed using the EMR database.
Participation in training of faculty and staff was high. Over the 3-month
intervention period, an attending physician reviewed the detailing sheet
outlining important points of the training with 86% of residents, and 64%
demonstrated a TUG to an attending physician. Of 895 older adults seen, 15% (134)
had a positive screen for falls, of whom 92% (123) had an EMR falls template
completed, and 42% (56) had a TUG performed. Of the patients evaluated with the
TUG, 53% (29) failed. A review of charts for patients who failed the screen or
TUG revealed that the majority had special circumstances limiting their
participation, even after a physical therapy evaluation. Education and system
changes facilitated improvements in resident knowledge, skill, self-efficacy, and
clinical action in screening, evaluating, and managing falls in older adults.
PMID- 21883105
TI - Avoidability of hospital transfers of nursing home residents: perspectives of
frontline staff.
AB - OBJECTIVES: To describe nursing home (NH) staff perceptions of avoidability of
hospital transfers of NH residents. DESIGN: Mixed methods qualitative and
quantitative analysis of 1,347 quality improvement (QI) review tools completed by
staff at 26 NHs and transcripts of conference calls. SETTING: Twenty-six NHs in
three states participating in the Interventions to Reduce Acute Care Transfers
(INTERACT II) QI project. PARTICIPANTS: Site coordinators and staff who
participated in project orientation and conference calls and completed QI tools.
MEASUREMENTS: NH and hospitalization data collected for the INTERACT II project.
An interprofessional team coded and quantified reasons for hospital transfer on
1,347 QI review tools. RESULTS: Staff rated 76% of the transfers in the QI review
tools as not avoidable. Common reasons for transfers rated as unavoidable were
acute change in resident status, family insistence, and physician order for
transfer. These same reasons were given for transfers rated as avoidable.
Avoidable ratings were associated with a broader set of reasons and
recommendations for improvement, including earlier identification and management
of changes in clinical status, earlier discussion with family members about
advance directives, and more-comprehensive communication with physicians. NHs
that were more actively engaged in the INTERACT II interventions rated more
transfers as avoidable. Percentage of transfers rated avoidable was not
correlated with change in hospitalization rates. CONCLUSION: NH staff rated fewer
hospital transfers as avoidable than published estimates. Greater attention to
the complex array of reasons that staff provide for hospital transfer should be
considered in strategies to reduce avoidable hospitalizations of NH residents.
PMID- 21883106
TI - Measurement of organ structure and function enhances understanding of the
physiological basis of frailty: the Cardiovascular Health Study.
AB - OBJECTIVES: To determine whether disease burden is associated with frailty
independent of diagnosed chronic disease and whether physiological measurements
provide greater understanding of the etiology of frailty. DESIGN: Cross
sectional. SETTING: Community. PARTICIPANTS: Two thousand four hundred thirty
seven participants in the Cardiovascular Health Study, 1992/93 examination (mean
age 74.8 +/- 4.8, 43.4% male, 95.8% white). MEASUREMENTS: Disease burden and
frailty were tabulated using 10-point scales (0 = healthy, 10 = unhealthy).
Disease burden was the sum of measurements characterizing the vasculature, brain,
kidneys, lungs, and glucose metabolism. Frailty was assessed using the frailty
index reported by Fried. Multivariate linear models were used to determine the
association between disease burden (predictor) and frailty (outcome). RESULTS:
Unadjusted, 1-point-higher disease burden was associated with a 0.28-point-higher
frailty score (P < .001). White matter grade, forced vital capacity, and cystatin
C were particularly strongly and significantly associated with frailty. Disease
burden attenuated the association between frailty and age by 29%, and disease
burden and age had similar associations with frailty. Disease burden attenuated
the association between frailty and fibrinogen, Factor VIII, and C-reactive
protein by 32%, 56%, and 83%, respectively. Frailty was associated with diagnosed
depression, stroke, cognitive impairment, arthritis, and pulmonary disease but
not coronary heart disease, diabetes mellitus, or kidney disease in the presence
of a summary of disease burden. In the adjusted model, disease burden remained
significantly associated with frailty (beta = 0.11, P < .001). CONCLUSION:
Disease burden was independently and significantly associated with frailty. These
results emphasize that typically unrecognized physiological changes may
contribute significantly to frailty.
PMID- 21883107
TI - Plasma klotho and cardiovascular disease in adults.
AB - OBJECTIVES: To determine whether plasma klotho, a recently discovered hormone
that has been implicated in atherosclerosis, is related to prevalent
cardiovascular disease (CVD) in adults. DESIGN: Cross-sectional. SETTING:
Population-based sample of adults residing in Tuscany, Italy. PARTICIPANTS: One
thousand twenty-three men and women aged 24 to 102 participating in the
Invecchiare in Chianti (InCHIANTI) study. MEASUREMENTS: Anthropometric measures,
plasma klotho, fasting plasma total, high-density lipoprotein cholesterol (HDL
C), triglycerides, glucose, creatinine, C-reactive protein (CRP). Clinical
measures: medical assessment, diabetes mellitus, hypertension, coronary heart
disease, heart failure, stroke, peripheral artery disease, cancer, chronic kidney
disease. Logistic regression models were used to examine the relationship between
plasma klotho and prevalent CVD. RESULTS: Of 1,023 participants, 259 (25.3%) had
CVD. Median (25th, 75th percentile) plasma klotho concentrations were 676 pg/mL
(530, 819 pg/mL). Plasma klotho was correlated with age (correlation coefficient
(r) = -0.14, P < .001), HDL-C (r = 0.11, P<.001), and CRP (r = -0.10, P < .001)
but not systolic blood pressure, fasting plasma glucose, or renal function.
Plasma klotho age-adjusted geometric means were 626 pg/mL (95% confidence
interval (CI) = 601-658 pg/mL) in participants with CVD and 671 pg/mL (95% CI =
652-692 pg/mL) in those without CVD (P = .001). Adjusting for traditional
cardiovascular risk factors (age, sex, smoking, total cholesterol, HDL-C,
systolic blood pressure, and diabetes mellitus), log plasma klotho was associated
with prevalent CVD (odds ratio per 1 standard deviation increase = 0.85, 95% CI =
0.72-0.99). CONCLUSION: In community-dwelling adults, higher plasma klotho
concentrations are independently associated with a lower likelihood of having
CVD.
PMID- 21883108
TI - Trends in use of high-risk medications for older veterans: 2004 to 2006.
AB - OBJECTIVES: To examine the change in use of high-risk medications for the elderly
(HRME), as defined by the National Committee on Quality Assurance's Healthcare
Effectiveness Data and Information Set (HEDIS) quality measure (HEDIS HRME), by
older outpatient veterans over a 3-year period and to identify risk factors for
HEDIS HRME exposure overall and for the most commonly used drug classes. DESIGN:
Longitudinal retrospective database analysis. SETTING: Outpatient clinics within
the Department of Veterans Affairs (VA). PARTICIPANTS: Veterans aged 65 by
October 1, 2003, and who received VA care at least once each year until September
30, 2006. MEASUREMENTS: Rates of use of HEDIS HRME overall and according to
specific drug classes each year from fiscal year 2004 (FY04) to FY06. RESULTS: In
a cohort of 1,567,467, high-risk medication exposure fell from 13.1% to 12.3%
between FY04 and FY06 (P<.001). High-risk antihistamines (e.g., diphenhydramine),
opioid analgesics (e.g., propoxyphene), skeletal muscle relaxants (e.g.,
cyclobenzaprine), psychotropics (e.g., long half-life benzodiazepines), endocrine
(e.g., estrogen), and cardiac medications (e.g., short-acting nifedipine) had
modest but statistically significant (P<.001) reductions (range -3.8% to -16.0%);
nitrofurantoin demonstrated a statistically significant increase (+36.5%;
P<.001). Overall HEDIS HRME exposure was more likely for men, Hispanics, those
receiving more medications, those with psychiatric comorbidity, and those without
prior geriatric care. Exposure was lower for individuals exempt from copayment.
Similar associations were seen between ethnicity, polypharmacy, psychiatric
comorbidity, access-to-care factors, and use of individual HEDIS HRME classes.
CONCLUSION: HEDIS HRME drug exposure decreased slightly in an integrated
healthcare system. Risk factors for exposure were not consistent across drug
groups. Future studies should examine whether interventions to further reduce
HEDIS HRME use improve health outcomes.
PMID- 21883109
TI - Meaningful improvement in gait speed in hip fracture recovery.
AB - OBJECTIVES: To estimate meaningful improvements in gait speed observed during
recovery from hip fracture and to evaluate the sensitivity and specificity of
gait speed changes in detecting change in self-reported mobility. DESIGN:
Secondary longitudinal data analysis from two randomized controlled trials
SETTING: Twelve hospitals in the Baltimore, Maryland, area. PARTICIPANTS: Two
hundred seventeen women admitted with hip fracture. MEASUREMENTS: Usual gait
speed and self-reported mobility (ability to walk 1 block and climb 1 flight of
stairs) measured 2 and 12 months after fracture. RESULTS: Effect size-based
estimates of meaningful differences were 0.03 for small differences and 0.09 for
substantial differences. Depending on the anchor (stairs vs walking) and method
(mean difference vs regression), anchor-based estimates ranged from 0.10 to 0.17
m/s for small meaningful improvements and 0.17 to 0.26 m/s for substantial
meaningful improvement. Optimal gait speed cutpoints yielded low sensitivity
(0.39-0.62) and specificity (0.57-0.76) for improvements in self-reported
mobility. CONCLUSION: Results from this sample of women recovering from hip
fracture provide only limited support for the 0.10-m/s cut point for substantial
meaningful change previously identified in community-dwelling older adults
experiencing declines in walking abilities. Anchor-based estimates and cut points
derived from receiver operating characteristic curve analysis suggest that
greater improvements in gait speed may be required for substantial perceived
mobility improvement in female hip fracture patients. Furthermore, gait speed
change performed poorly in discriminating change in self-reported mobility.
Estimates of meaningful change in gait speed may differ based on the direction of
change (improvement vs decline) or between patient populations.
PMID- 21883110
TI - Psychoactive medications and crash involvement requiring hospitalization for
older drivers: a population-based study.
AB - OBJECTIVES: To determine the association between psychoactive medications and
crash risk in drivers aged 60 and older. DESIGN: Retrospective population-based
case-crossover study. SETTING: A database study that linked the Western
Australian Hospital Morbidity Data System and the Pharmaceutical Benefits Scheme.
PARTICIPANTS: Six hundred sixteen individuals aged 60 and older who were
hospitalized as the result of a motor vehicle crash between 2002 and 2008 in
Western Australia. MEASUREMENTS: Hospitalization after a motor vehicle crash.
RESULTS: Greater risk for a hospitalization crash was found for older drivers
prescribed benzodiazepines (odds ratio (OR)=5.3, 95% confidence interval (CI)=3.6
7.8, P<.001), antidepressants (OR=1.8, 95% CI=1.0-3.3, P=.04), and opioid
analgesics (OR=1.5, 95% CI=1.0-2.3, P=.05). Crash risk was significantly greater
in men prescribed a benzodiazepine (OR=6.2, 95% CI=3.2-12.2, P<.001) or an
antidepressant (OR=2.7, 95% CI=1.1-6.9, P=.03). Women prescribed benzodiazepines
(OR=4.9, 95% CI=3.1-7.8, P<.001) or opioid analgesics (OR=1.8, 95% CI=1.1-3.0,
P=.03) also had a significantly greater crash risk. Subgroup analyses further
suggested that drivers with (OR=4.0, 95% CI=2.9-8.1, P<.001) and without (OR=6.0,
95% CI=3.8-9.5, P<.001) a chronic condition who were prescribed benzodiazepines
were at greater crash risk. Drivers with a chronic condition taking
antidepressants (OR=3.4, 95% CI=1.3-8.5, P=.01) also had a greater crash risk.
CONCLUSION: Psychoactive medication usage was associated with greater risk of a
motor vehicle crash requiring hospitalization in older drivers.
PMID- 21883111
TI - Impaired frontal executive function and predialytic chronic kidney disease.
AB - OBJECTIVES: To investigate the nature of frontal dysfunction associated with
chronic kidney disease (CKD) in people without stroke or depressive disorders.
DESIGN: Cross-sectional. SETTING: Community based. PARTICIPANTS: Five hundred
twenty-nine community-dwelling participants. MEASUREMENTS: Participants with CKD
were classified into one of three diagnostic groups based on their estimated
glomerular filtration rate (eGFR): normal (>= 60.0 mL/min per 1.73 m(2)), mild
CKD (45.0-59.9 mL/min per 1.73 m(2)), or moderate to severe CKD (<45.0 mL/min per
1.73 m(2)). Cognitive function was assessed using the Korean version of the
Consortium to Establish a Registry for Alzheimer's Disease Neuropsychological
Assessment Battery, lexical fluency, digit span test, and the 64-card Wisconsin
Card Sorting Test. RESULTS: Perseverative responses and perseverative errors were
significantly more prevalent in the group with moderate to severe CKD than in
those without CKD and those with mild CKD. The mean number of perseverative
responses was 28.6 +/- 16.9 in participants with moderate to severe CKD, 19.0 +/-
11.4 in those with mild CKD, and 17.1 +/- 10.6 in those without CKD (P < .001,
ANCOVA). The mean number of perseverative errors was 23.1 +/- 12.3 in
participants with moderate to severe CKD, 16.2 +/- 8.3 in those with mild CKD,
and 14.8 +/- 7.8 in those without CKD (P < .001, analysis of covariance). The
odds ratios in the fully adjusted model for the presence of moderate to severe
CKD for perseverative responses and perseverative errors were 4.82 (95%
confidence interval (CI) = 2.14-10.85, P < .001) and 5.01 (95% CI = 2.22-11.28,
P<.001), respectively. CONCLUSION: Frontal dysfunction, particularly
perseverative errors and responses, was associated with moderate to severe CKD in
the population studied.
PMID- 21883112
TI - Aging and left ventricular mass and function in people with end-stage renal
disease.
AB - OBJECTIVES: To identify the main age-related factors responsible for
cardiomyopathy in people with end-stage renal disease (ESRD). DESIGN: Cross
sectional. SETTING: Dialysis unit. PARTICIPANTS: Two hundred fifty-four
individuals undergoing chronic dialysis. MEASUREMENTS: Left ventricular (LV)
systolic function (assessed according to midwall fractional shortening (mwFS))
and LV mass index (LVMI). RESULTS: At echocardiography, 196 (77%) participants
displayed LV hypertrophy (LVH) and 123 (48%) had LV systolic dysfunction. On
univariate analysis, age was related directly to LVMI (correlation coefficient
(r)=0.33, P<.001) and inversely to mwFS (r=-0.23, P<.001) and a 10-year increase
in age was associated with 4.2-g/m(2.7) greater LVMI and 0.5% lower mwFS.
Albumin, pulse pressure, cardiovascular comorbidities, and C-reactive protein
were age-related risk factors for LVMI and mwFS, whereas hemoglobin was an age
dependent risk factor only for LVMI and heart rate and diabetes mellitus only for
mwFS. After adjusting for age-related risk factors, the predictive value of age
for cardiomyopathy was substantially less (-67%) and the age-dependent
variability in LVMI and mwFS was much attenuated (-61%), and neither was
significant. CONCLUSION: This study suggests that in people with ESRD, the
relationship between age and cardiomyopathy is largely dependent on age-related
risk factors and that interventions focused on modifiable risk factors linked to
age (e.g., malnutrition and inflammation) could attenuate the detrimental effect
of aging on cardiovascular risk in the dialysis population.
PMID- 21883114
TI - Association between insomnia symptoms and weight change in older women: caregiver
-study of osteoporotic fractures study.
AB - OBJECTIVES: To determine whether self-reported insomnia symptoms were associated
with weight change in older women and whether caregiving, comorbidities, sleep
medication, or stress modified this association. DESIGN: One-year prospective
study conducted in four communities from 1999 to 2003 nested within a larger
cohort study. SETTING: Home-based interviews. PARTICIPANTS: Nine hundred eighty
eight participants (354 caregivers and 634 noncaregivers) from the Caregiver-
Study of Osteoporotic Fractures. MEASUREMENTS: Self-reported insomnia symptoms in
the previous month: trouble falling asleep, trouble staying asleep, and waking
early and having trouble getting back to sleep. Weight was measured at baseline
and 12 months. RESULTS: The average weight change was -1.9 +/- 7.8 pounds.
Trouble staying asleep was significantly associated with an average weight loss
of 1.3 pounds (P = .03) in multivariable analyses. Neither of the other insomnia
symptoms was associated with weight change. Use of sleep medications modified the
association between trouble falling asleep (interaction term P = .03) and weight
change. Insomnia symptoms were associated with weight loss only in women not
taking sleep medications. Neither caregiving status, presence of multiple
comorbidities, nor stress modified the association. CONCLUSION: Trouble staying
asleep was associated with weight loss over 12 months in older women.
Practitioners should inquire about sleep habits of patients presenting with
weight loss, because this may identify a marker of declining health and may be a
factor that can be modified.
PMID- 21883113
TI - Inappropriate medication use in older adults undergoing surgery: a national
study.
AB - OBJECTIVES: To determine the prevalence and factors associated with use of
potentially inappropriate medications (PIMs) in older adults undergoing surgery.
DESIGN: Retrospective cohort study. SETTING: Three hundred seventy-nine acute
care hospitals participating in the nationally representative Perspective
database (2006-2008). PARTICIPANTS: Individuals aged 65 and older undergoing
major inpatient gastrointestinal, gynecological, urological, and orthopedic
surgery (N=272,351). MEASUREMENTS: Medications were classified as PIMs using
previously published criteria defining 33 medications deemed potentially
inappropriate in people aged 65 and older. Information about participant and
provider characteristics and administration of PIMs was obtained from hospital
discharge file data. Logistic regression techniques were used to examine factors
associated with use of PIMs in the perioperative period. RESULTS: One-quarter of
participants received at least one PIM during their surgical admission.
Meperidine was the most frequently prescribed PIM (37,855, 14% of participants).
In adjusted analysis, PIM use was less likely as age advanced (adjusted odds
ratio (AOR)=0.98 per year of age, 95% confidence interval (CI)=0.97-0.98) and in
men (AOR=0.83, 95% CI=0.81-0.85). PIMs were more likely to be prescribed to
participants cared for by orthopedic surgeons than for those cared for by general
surgeons (AOR=1.22, 95% CI=1.08-1.40). Participants undergoing surgery in the
West (AOR=1.79, 95% CI=1.02-3.16) and South (AOR=2.24, 95% CI=1.38-3.64) were
more likely to receive a PIM than those in the Northeast. CONCLUSION: Receipt of
PIMs in older adults undergoing surgery is common and varies widely between
providers and geographic regions and according to participant characteristics.
Interventions aimed at reducing the use of PIMs in the perioperative period
should be considered in quality improvement efforts.
PMID- 21883115
TI - Interleukin-6, C-reactive protein, and tumor necrosis factor-alpha as predictors
of mortality in frail, community-living elderly individuals.
AB - To investigate whether interleukin-6 (IL-6), C-reactive protein (CRP) and tumor
necrosis factor-alpha (TNF-alpha) protein levels predict all-cause mortality in
older persons living in the community. DESIGN: Prospective cohort study. SETTING:
Data were from the Aging and Longevity Study in the Sirente Geographic Area, a
prospective cohort study. PARTICIPANTS: Individuals aged 80 and older living in
an Italian mountain community (N = 362). MEASUREMENTS: Participants were
classified according to the median value of the three inflammation markers (IL-6,
2.08 pg/mL; TNF-alpha, 1.43 pg/mL; CRP, 3.08 mg/L). A composite summary score of
inflammation was also created. The main outcome was risk of death after 4 years
of follow-up. RESULTS: One hundred fifty deaths occurred during 4 years of follow
up. In the unadjusted model, high levels of each of the three markers were
associated with greater mortality. After adjusting for potential confounders,
high levels of IL-6 (hazard ratio (HR) = 2.18, 95% confidence interval (CI) =
1.29-3.69) and CRP (HR = 2.58, 95% CI = 1.52-4.40) were associated with a
significantly greater risk of death, whereas the association between TNF-alpha
protein levels and mortality was no longer significant (HR = 1.26, 95% CI = 0.74
2.15). The composite summary score of inflammation was strongly associated with
mortality, with the highest risk estimated for individuals with all three
inflammatory markers above the median. CONCLUSION: Low levels of inflammatory
markers are associated with better survival in older adults, independent of age
and other clinical and functional variables.
PMID- 21883116
TI - Cost-effectiveness of oral bisphosphonates for osteoporosis at different ages and
levels of life expectancy.
AB - OBJECTIVES: To evaluate the cost-effectiveness of oral bisphosphonate therapy for
osteoporosis in women at different ages and life expectancies. DESIGN: A Markov
model was used to analyze oral bisphosphonate treatment for 5 years compared to
no intervention. Women at each age were divided into life expectancy quartiles:
the lowest 1% to 25% (sickest group), the two middle 26% to 75% (average health
group), and the highest 76% to 100% of life expectancy (healthiest group).
Simulations were performed for hypothetical cohorts at 5-year intervals with
starting ages between 50 and 90 and for each life expectancy group and followed
for up to 100 years or until death. Data sources included published fracture
rates, costs, utility values, and mortality risks. SETTING: Computer simulation
using a societal perspective. PARTICIPANTS: Hypothetical cohort of women with
various life expectancies beginning osteoporosis treatment between the age of 50
and 90 years. MEASUREMENTS: Cost per quality-adjusted life years (QALY) gained
for 5 years of bisphosphonate therapy compared to no treatment. Cost
effectiveness was defined at a willingness-to-pay of $50,000. RESULTS: In the
healthiest group, all costs were less than $18,000 per QALY. In the median
quartiles of life expectancy, lifetime costs per QALY were less than $27,000 for
patients at all ages; treatment became cost-saving at a starting age of 75 and
remained so through a starting age of 85. Even in the sickest group, although
osteoporosis treatment was not cost-saving, it remained cost-effective through a
starting age of 90 with lifetime costs of less than $43,000 per QALY. CONCLUSION:
Treatment with an oral bisphosphonate for 5 years was cost-effective for all
women, regardless of quartile of life expectancy. Advanced age should not prevent
consideration of osteoporosis treatment based on cost effectiveness, and
strategies to improve care, such as nurse-led screening programs or electronic
medical record tools, are needed.
PMID- 21883117
TI - Physician follow-up visits after acute care hospitalization for elderly Medicare
beneficiaries discharged to noninstitutional settings.
AB - The purpose of this study was to assess the effect of posthospital physician
follow-up on readmissions in older adults. Physician follow-up visits after
discharge have been promoted as a way to improve outcomes and reduce
readmissions, but the evidence base for this recommendation is limited. A
retrospective analysis of data from the Medicare Current Beneficiary Survey
(MCBS) was conducted for 2001 to 2003. Data were extracted on elderly Medicare
beneficiaries with an index hospitalization in 2002, and physician follow-up
visits and readmissions within 90 days of discharge were identified. Analysis was
conducted with multivariable logistic regression modeling to assess the
independent effect on 90-day readmission of any physician follow-up, timing of
physician follow-up, and follow-up with only primary care physicians. A
generalized linear model was used to assess the effect of physician follow-up on
total health expenditures. The analytical sample included 326 beneficiaries; 79%
had a physician follow-up visit within 90 days, and 28% were readmitted within 90
days. In multivariable modeling, physician follow-up was negatively associated
with 90-day readmissions (odds ratio=0.23, 95% confidence interval=0.13-0.43).
Follow-up visits were protective against readmissions regardless of timing of
visit and when restricted to those by primary care physicians. Having a follow-up
visit was associated with approximately $10,000 lower annual health expenditures.
In conclusion, physician follow-up protects against readmission after adjusting
for important covariates and is associated with significantly lower expenditures.
Future efforts should ensure that patients have adequate physician follow-up.
PMID- 21883118
TI - Contribution of multiple chronic conditions to universal health outcomes.
AB - OBJECTIVES: To determine the relative effect of five chronic conditions on four
representative universal health outcomes. DESIGN: Cross-sectional. SETTING:
Cardiovascular Health Study. PARTICIPANTS: Five thousand two hundred and ninety
eight community-living participants aged 65 and older. MEASUREMENTS: Multiple
regression and Cox models were used to determine the effect of heart failure
(HF), chronic obstructive pulmonary disease (COPD), osteoarthritis, depression,
and cognitive impairment on self-rated health, 12 basic and instrumental
activities of daily living (ADLs and IADLs), six-item symptom burden scale, and
death. RESULTS: Each condition adversely affected self-rated health (P < .001)
and ADLs and IADLs (P < .001). For example, persons with HF performed 0.70 +/-
0.08 fewer ADLs and IADLs than those without; persons with depression and persons
with cognitive impairment performed 0.59 +/- 0.04 and 0.58 +/- 0.06 fewer
activities, respectively, than those without these conditions. Depression, HF,
COPD, and osteoarthritis were associated with 1.18 +/- 0.04, 0.40 +/- 0.08, 0.40
+/- 0.05, and 0.57 +/- 0.03 more symptoms, respectively, in individuals with
these conditions than in those without. HF (hazard ratio (HR) = 2.84, 95%
confidence interval (CI) = 1.97-4.10), COPD (2.62, 95% CI = 1.94-3.53), cognitive
impairment (2.05, 95% CI = 1.47-2.85), and depression (1.47, 95% CI = 1.08-2.01)
were each associated with death within 2 years. Several paired combinations of
conditions had synergistic effects on ADLs and IADLs. For example, individuals
with HF plus depression performed 2.0 fewer activities than persons with neither
condition, versus the 1.3 fewer activities expected from adding the effects of
the two conditions together. CONCLUSION: Universal health outcomes may provide a
common metric for measuring the effects of multiple conditions and their
treatments. The varying effects of the conditions across universal outcomes could
inform care priorities.
PMID- 21883119
TI - Cortisol, insulin, and glucose and the risk of delirium in older adults with hip
fracture.
AB - OBJECTIVES: To determine the relationship between perioperative delirium and
cortisol, glucose, and insulin in older adults acutely admitted for hip fracture.
DESIGN: Prospective cohort study. SETTING: Tertiary university center.
PARTICIPANTS: Consecutive individuals aged 65 and older acutely admitted for hip
fracture were invited to participate. MEASUREMENTS: All participants were
repeatedly examined to determine presence and severity of delirium. Blood samples
for cortisol, glucose, and insulin were drawn at 11:00 a.m. Differences in
characteristics of participants with and without delirium were evaluated using t
tests and Mann-Whitney tests. A logistic regression analysis was performed to
correct for other important risk factors for delirium. RESULTS: One hundred forty
three participants, 70 (49%) with delirium and 73 (51%) without, were included.
In univariate analyses, there was a trend toward higher cortisol levels (odds
ratio = 1.003 (95% confidence interval = 1.001-1.004, P = .004), but this
association was not statistically significant after multivariable analysis and
may reflect an association between high cortisol and preexisting cognitive and
functional impairment, and there was no association with insulin or glucose
levels. Adjusting for sex and prefracture cognitive and functional impairment
made the trend with cortisol and delirium statistically nonsignificant.
CONCLUSION: Delirium in older adults acutely admitted for hip fracture may be
linked with higher cortisol concentrations, but it may be that this association
reflects an association between higher cortisol and preexisting cognitive and
functional impairment.
PMID- 21883121
TI - Effect of ethnicity and body mass index on the distance from skin to lumbar
epidural space in parturients.
AB - With the current prevalence of obesity and trends in ethnic diversity amongst
parturients in UK maternity units, we performed a prospective, observational
study to establish the effect of ethnicity and body mass index on the distance
from skin to epidural space in parturients. A total of 1210 parturients
participated in this study. The mean (SD) distance from skin to lumbar epidural
space was 5.4 (1.1) cm. When tested in a multiple regression model, both body
mass index and ethnicity significantly influenced the distance from skin to
lumbar epidural space in parturients. The distance from skin to lumbar epidural
space amongst ethnic groups differed at any given body mass index. It was
significantly greater in Black/British Black and White parturients compared with
their Asian and Chinese counterparts. You can respond to this article at
http://www.anaesthesiacorrespondence.com.
PMID- 21883122
TI - Insertion of six different supraglottic airway devices whilst wearing chemical,
biological, radiation, nuclear-personal protective equipment: a manikin study.
AB - Six different supraglottic airway devices: CombitubeTM, laryngeal mask airway,
intubating laryngeal mask airway (FastrachTM), i-gelTM, Laryngeal TubeTM and Pro
SealTM laryngeal mask airway were assessed by 58 paramedic students for speed and
ease of insertion in a manikin, whilst wearing either chemical, biological,
radiation, nuclear-personal protective equipment (CBRN-PPE) or a standard
uniform. All devices took significantly longer to insert when wearing CBRN-PPE
compared with standard uniform (p < 0.001). In standard uniform, insertion time
was shorter than 45 s in 90% of attempts for all devices except the Combitube,
for which 90% of attempts were completed by 53 s. Whilst wearing CBRN-PPE the i
gel was the fastest device to insert with a mean (SD (95% CI)) insertion time of
19 (8 (17-21))s, p < 0.001, with the Combitube the slowest with mean (65 (23 (59
71))s. Wearing of CBRN-PPE has a negative impact on supraglottic airway insertion
time.
PMID- 21883120
TI - Effect of chronic disease-related symptoms and impairments on universal health
outcomes in older adults.
AB - OBJECTIVES: To determine the extent to which disease-related symptoms and
impairments, which constitute measures of disease severity or targets of therapy,
account for the associations between chronic diseases and universal health
outcomes. DESIGN: Cross-sectional. SETTING: The Cardiovascular Health Study (CHS)
and the Health, Aging and Body Composition Study (Health ABC). PARTICIPANTS: Five
thousand six hundred fifty-four CHS members and 2,706 Health ABC members.
MEASUREMENTS: Diseases included heart failure (HF), chronic obstructive pulmonary
disease (COPD), osteoarthritis, and cognitive impairment. The universal health
outcomes included self-rated health, basic and instrumental activities of daily
living (ADLs and IADLs), and death. Disease-related symptoms and impairments
included HF symptoms and ejection fraction (EF) for HF, Dyspnea Scale and forced
expiratory volume in 1 second for COPD, joint pain for osteoarthritis, and
executive function for cognitive impairment. RESULTS: The diseases were
associated with the universal health outcomes (P<.001) except osteoarthritis with
death (both cohorts) and cognitive impairment with self-rated health (Health
ABC). Symptoms and impairments accounted for 30% or more of each disease's effect
on the universal health outcomes. In CHS, for example, HF was associated with one
fewer (0.918) ADL and IADL performed without difficulty than no HF; HF symptoms
accounted for 27% of this effect and EF for only 5%. The hazard ratio for death
with HF was 6.5 (95% confidence interval=4.7-8.9) with EF accounting for 40% and
HF symptoms for only 14%. CONCLUSION: Disease-related symptoms and impairments
accounted for much of the significant associations between the four chronic
diseases and the universal health outcomes. Results support considering universal
health outcomes as common metrics across diseases in clinical decision-making,
perhaps by targeting the disease-related symptoms and impairments that contribute
most strongly to the effect of the disease on the universal health outcomes.
PMID- 21883123
TI - Postoperative respiratory and analgesic effects of dexmedetomidine or morphine
for adenotonsillectomy in children with obstructive sleep apnoea.
AB - The postoperative respiratory and analgesic effects of dexmedetomidine and
morphine have not been compared in children with sleep apnoea having
adenotonsillectomy. In a randomised double-blind study we recruited 60 children,
aged 2-13 years, who received either intravenous dexmedetomidine 1 MUg.kg(-1) or
morphine 100 MUg.kg(-1) on anaesthetic induction. End-tidal carbon dioxide,
Children's Hospital of Eastern Ontario Pain Scale score and supplementary
morphine administration were recorded every 15 min for 60 min postoperatively.
Over 60 min, mean (SD) end-tidal carbon dioxide was consistently lower with
dexmedetomidine compared with morphine (5.4 (0.7) kPa vs 6.0 (0.6) kPa,
respectively; p = 0.001). Mean (SD) pain scores were higher with dexmedetomidine
(8.1 (2.0) immediately postoperatively and 6.7 (1.0) at 60 min vs 7.6 (1.8) and
6.3 (0.7), respectively, with morphine (p = 0.023)). More patients required
supplementary morphine with dexmedetomidine (13/30 (43%) vs 21/30 (70%); p =
0.037). Postoperatively, dexmedetomidine produced less respiratory depression
than morphine, but less effective analgesia.
PMID- 21883124
TI - Low-dose desmopressin improves hypothermia-induced impairment of primary
haemostasis in healthy volunteers.
AB - Mild hypothermia (34-35 degrees C) increases peri-operative blood loss. We have
previously demonstrated the beneficial effect of in vitro desmopressin on
impairment of primary haemostasis associated with hypothermia. This study
evaluated subcutaneous desmopressin in 52 healthy volunteers, randomly assigned
to receive either normal saline or desmopressin 1.5, 5 or 15 MUg (with 13 in each
group). Blood samples were collected before and 2 h after drug administration and
incubated at 32 and 37 degrees C. Platelet function analyser PFA-100((r))
closure times were measured. Hypothermia at 32 degrees C prolonged mean (95% CI)
closure times (for adenosine diphosphate/collagen by 11.3% (7.5-15.2%) and for
adrenaline/collagen by 16.2% (11.3-21.2%); these changes were reversed by
desmopressin. A very small dose was found to be effective (1.5 MUg); this dose
did not significantly change closure times at 37 degrees C, but fully prevented
its prolongation at 32 degrees C. Subcutaneous desmopressin prevents the
development of hypothermia-induced impairment of primary haemostasis.
PMID- 21883125
TI - A randomised controlled trial of two analgesic techniques for paediatric
tonsillectomy*.
AB - Investigators from Bristol described a fentanyl- and diclofenac-based analgesic
technique for tonsillectomy with low postoperative nausea and vomiting rates and
low pain scores. This study compared the effectiveness of a modified Bristol
technique with a codeine-based regimen with respect to PONV and analgesia. Sixty
five children, ASA 1-2, were randomly assigned to either the Bristol group
(fentanyl 1-2 MUg.kg(-1) and diclofenac 1-2 mg.kg(-1)) or codeine group (codeine
1.5 mg.kg(-1)). All children received paracetamol 15 mg.kg(-1) and dexamethasone
0.1 mg.kg(-1) . Postoperative nausea and vomiting and pain scores were recorded
hourly, and fitness for discharge was assessed at 4 h. The overall incidence of
postoperative nausea and vomiting was 21% with no difference between groups
(Bristol group 8/30, codeine group 5/32, p = 0.29). Children in the Bristol group
required analgesia earlier than those in the codeine group (p < 0.005), but
maximum pain scores were not different (Bristol group median (IQR [range) 4.5 (3
5 [0-5]), codeine group 4.0 (2-5 [1-5]), p = 0.15). Twenty-three per cent of
children were assessed as not fit for discharge at 4 h. The codeine-based regimen
may have a small advantage over the Bristol regimen, but neither technique seems
ideally suited for a day-case service without a longer period of observation. You
can respond to this article at http://www.anaesthesiacorrespondence.com.
PMID- 21883126
TI - Communications during epidural catheter placement for labour analgesia.
AB - Evidence suggests that anaesthetists' communication can affect patient
experience. There is a lack of guidance for anaesthetists as to the optimal
verbal communication to use during insertion of epidurals on the labour ward. We
recorded the verbal communication used by 14 anaesthetists during the siting of
epidural catheters in women on the labour ward; a classification of the language
used was subsequently devised. We found that commands and information statements
were the most common types of communication used. Individual anaesthetists
differed markedly in their use of positive and negative verbal language. This
classification of verbal communication that we produced may be of value in future
training and research of verbal communication used by anaesthetists on the labour
ward.
PMID- 21883127
TI - Ability of patients to retain and recall new information in the post-anaesthetic
recovery period: a prospective clinical study in day surgery.
AB - Patients are frequently told new information in the early postoperative period
and may retain little of it. Two hundred patients undergoing general anaesthesia
for day surgery procedures were randomly allocated into two equal groups, 'Early'
and 'Late'. Both groups were asked to undertake a simple memory test either in
the early or late postoperative phase of their recovery. A list of five objects
was verbally presented and recall of these five objects was tested after 30 min.
A control group of 100 patients performed the same test. Patients in the control
group received no sedative medications. Statistically significant differences (p
< 0.001) in recall ability were demonstrable between each of the three groups.
Twenty-three percent of patients in the 'Early' group had total amnesia of any
test information given. Only 1% of the 'Late' group were unable to remember any
information; a mean interval of 40 min separated the two groups. We recommend
that verbal information given postoperatively be delayed until a recovery
interval of at least 40 in, and should be supported with written material.
PMID- 21883128
TI - A quality assurance programme for cell salvage in cardiac surgery.
AB - At the same time as cell salvage was introduced into our institution for all
patients undergoing cardiac surgery with cardiopulmonary bypass, we established a
supporting programme of quality assurance to reassure clinicians regarding safety
and efficacy. Data collected in patients operated on between 2001 and 2007
included pre- and post-wash heparin concentration, haemoglobin concentration and
free haemoglobin concentration. Cell salvage was used in 6826 out of a total of
7243 patients (94%). Post-wash heparin concentration was consistently low (always
< 0.4 IU.ml(-1)). There was a significant decrease in post-wash haemoglobin
concentration in 2003 compared to 2001, from a median (IQR [range]) of 19.6 (16.7
22.2 [12.9-25.5]) g.dl(-1) to 17.5 (13.6-20.8 [12.6-23.7]) g.dl(-1) (p < 0.015).
In addition, there was a significant increase in free plasma haemoglobin in 2006
compared to 2001, from 0.5 (0.3-0.8 [0.1-2.6]) g.l(-1) to 0.8 (0.3-1.4 [0.3-5.2])
g.l(-1) (p < 0.001). This programme led to the detection of a change in operator
behaviour in 2003 and progressive machine deterioration resulting in appropriate
fleet replacement in 2006. You can respond to this article at
http://www.anaesthesiacorrespondence.com.
PMID- 21883130
TI - Airtraq laryngoscope versus conventional Macintosh laryngoscope: a systematic
review and meta-analysis.
AB - The Airtraq laryngoscope is a single-use laryngoscope designed to facilitate
tracheal intubation in patients with either normal or difficult airways. The aim
of this systematic review and meta-analysis was to compare the Airtraq with the
conventional Macintosh laryngoscope. Data were retrieved from Medline, Embase,
the Cochrane register of controlled trials, and by a manual search of
bibliographies. Twelve randomised controlled trials (published between 2006 and
2011) including 1061 patients met the inclusion criteria. The Airtraq reduced
intubation time significantly (mean difference -15 s; 95% CI -25 to -4 s, p <
0.00001) used by both experienced anaesthetists and novices, and it increased the
first attempt success rate only in novices (relative risk 1.25; 95% CI 1.05-1.49,
p = 0.07). The incidence of oesophageal intubation (relative risk 0.12; 95% CI
0.03-0.48, p < 0.05) was significantly reduced by the Airtraq. We conclude that
the Airtraq laryngoscope facilitates a more rapid and accurate intubation,
especially when used by novices.
PMID- 21883131
TI - Performance of the C-MAC video laryngoscope in patients after a limited glottic
view using Macintosh laryngoscopy.
AB - We applied the C-MAC videolaryngoscope in 52 consecutive patients who were found
to have an unexpected Cormack and Lehane grade-3 (n = 49) and grade-4 (n = 3)
laryngeal view with the Macintosh laryngoscope. The glottic view improved in 49
(94%) patients using the C-MAC. Tracheal intubation was successful in 49 of 52
patients (94%). In one patient, tracheal intubation failed using the C-MAC
despite the presence of a Cormack and Lehane grade-2. These results suggest that
the C-MAC videolaryngoscope has a role as a rescue device in cases of an
initially difficult laryngeal view.
PMID- 21883132
TI - A cohort evaluation of the paediatric i-gel(TM) airway during anaesthesia in 120
children.
AB - We studied the i-gelTM in 120 anaesthetised children (92 boys, 28 girls; median
(IQR [range]) age (3 -7 [0.4 -13]) years and weight 19 (15-26 [7-35]) kg) to
assess efficacy and usability. Insertion was successful on the first/second/third
attempt in 110/8/1 children and failed in one child. Median (IQR [range])
insertion time was 14 (9-16 [6-200]) s. Manual ventilation was possible in all
cases, although excess leak precluded a tidal volume above 7 ml.kg(-1) in three
children. Fibreoptic inspection through the i-gel revealed a clear view of the
vocal cords in 40 out of 46 cases (87%). Median (IQR [range]) leak pressure was
20 (16-26 [8-30]) cmH(2) O. During maintenance of anaesthesia, 16 manipulations
were required in 11 children to improve the airway. One child regurgitated
without aspirating. Other complications and side effects were infrequent. The i
gel was inserted without complications, establishing a clear airway and enabling
spontaneous and controlled ventilation, in 113 (94%) children.
PMID- 21883133
TI - Using penalised logistic regression to fine map HLA variants for rheumatoid
arthritis.
AB - Rheumatoid arthritis (RA) is strongly associated with the human leukocyte antigen
(HLA) genomic region, most notably with a group of HLA-DRB1 alleles termed the
shared epitope (SE). There is also substantial evidence of other risk loci in the
HLA region, but refinement has been hampered by extensive linkage disequilibrium
(LD). Using genotype imputation, we analysed 6575 RA cases and controls with
genotypes at 6180 HLA SNPs; about half the subjects had four-digit DRB1
genotypes. Single-SNP tests revealed hundreds of strong associations across the
HLA region, even after adjusting for DRB1. We implemented penalised logistic
regression in a multi-SNP association analysis using the double-exponential (DE)
penalty term on the regression coefficients and the normal-exponential-gamma
(NEG). The penalised approaches identified sparse sets of SNPs that could
collectively explain most of the association with RA over the whole HLA region.
The HLA-DPB1 SNP rs3117225, was consistently identified in our analyses and was
confirmed by results from the North American Rheumatoid Arthritis Consortium
study (NARAC). We conclude that SNP selection using penalised regression shows a
substantial benefit over single-SNP analyses in identifying risk loci in regions
of high LD, and the flexibility of the NEG conveys additional advantages.
PMID- 21883134
TI - Diagnosis and treatment of hypertension 21 years after a hypertensive disorder of
pregnancy.
AB - BACKGROUND: Women with a history of hypertensive disorders of pregnancy (HDP) are
known to be at increased risk of subsequent hypertension and cardiovascular
disease. AIMS: In this study, we aimed to assess whether the long-term follow-up,
diagnosis and treatment of hypertension in these women is adequate. METHODS:
Prospective study of 2112 women who were part of a Birth Cohort Study - The Mater
University of Queensland Study of Pregnancy, who received antenatal care at a
major public hospital in Brisbane between 1981 and 1983 and were followed up at
21 years. RESULTS: Of the 191 women who had HDP, 62 (32.46%) were hypertensive
(29 adequately treated, 33 inadequately identified or managed). Of the 1921 women
without HDP, 280 (14.58%) were hypertensive (113 adequately treated, 167
inadequately identified or managed). Women with HDP were at higher risk of
hypertension (OR 4.09, 95% CI 2.76, 6.07). Women with a history of HDP were more
likely to have hypertension that was inadequately identified or managed (OR 3.56,
95% CI 2.06, 5.97). CONCLUSION: Women with HDP are at increased risk of
undiagnosed or undertreated hypertension. Attention needs to be given to
appropriate follow-up of women who have a pregnancy complicated by hypertensive
disorders.
PMID- 21883135
TI - Urinary placental growth factor differentiates the hypertensive disorders of
pregnancy.
AB - AIMS: To evaluate the discriminating capacity of urinary placental growth
factor (uPlGF) for different hypertensive diseases of pregnancy during the third
trimester. METHODS: A prospective descriptive case-control study conducted in
an urban tertiary referral hospital and district general hospital, Sydney South
West Area Health Service, Australia. Inpatients and outpatients with and without
hypertension in the third trimester were recruited. Eligible patients provided a
urine sample for protein, creatinine and a uPlGF level by ELISA. Patients were
categorised into clinical hypertensive groups based on the diagnostic criteria of
SOMANZ. RESULTS: Eighty-eight women were evaluated; 41 had hypertension (15 pre
eclampsia; 13 gestational hypertension; eight chronic hypertension; five pre
eclampsia superimposed on chronic hypertension) and 47 women without hypertension
as the control group. There was a significant difference in uPlGF levels between
the pre-eclamptic group (median 2.56 IQR 1.12-4.51) and the normotensive controls
(median 13.18 IQR 5.95-31.39) (P < 0.0001); the gestational hypertensive group
(median 3.74 IQR 2.49-4.91) and the normotensive group (median 13.18 IQR 5.95
31.39) (P=0.002) and for a subgroup comparison of placental-mediated hypertension
(median 2.75 IQR 1.38-4.82) versus non-placental-mediated hypertensives (median
6.96 IQR 3.87-12.54) (P=0.007). CONCLUSIONS: Urinary placental growth factor is
a simple non-invasive test, which is discriminatory for pre-eclampsia in the
third trimester of pregnancy. Results from this study indicate that it may be
discriminatory for hypertension related to placental dysfunction (pre-eclampsia
and gestational hypertension) when compared with hypertension unrelated to
placental function. Further work is required to assess the ability to detect
hypertensive diseases before they are clinically apparent.
PMID- 21883136
TI - Septic shock following cervical cerclage for advanced cervical dilatation.
PMID- 21883139
TI - Chronic lymphocytic leukaemia responsive to vitamin D administration.
PMID- 21883140
TI - Genomic analysis of non-splenic marginal zone lymphomas (MZL) indicates
similarities between nodal and extranodal MZL and supports their derivation from
memory B-cells.
AB - Three distinct categories of marginal zone lymphomas (MZLs) are currently
recognized, principally based on their site of occurrence. They are thought to
represent unique entities, but the relationship of one subtype with another is
poorly understood. We investigated 17 non-splenic MZLs (seven nodal, 10
extranodal) by gene expression profiling to distinguish between subtypes and
determine their cell of origin. Our findings suggest biological inter-relatedness
of these entities despite occurrence at different locations and associations with
possibly different aetiologies. Furthermore, the expression profiles of non
splenic MZL were similar to memory B cells.
PMID- 21883137
TI - Lack of evidence for a protective effect of prolonged breastfeeding on childhood
eczema: lessons from the International Study of Asthma and Allergies in Childhood
(ISAAC) Phase Two.
AB - BACKGROUND: Exclusive breastfeeding for at least 4 months is recommended by many
governments and allergy organizations to prevent allergic disease. OBJECTIVES: To
investigate whether exclusive breastfeeding protects against childhood eczema.
METHODS: Study subjects comprised 51,119 randomly selected 8- to 12-year-old
schoolchildren in 21 countries. Information on eczema and breastfeeding was
gathered by parental questionnaire. Children were also examined for flexural
eczema and underwent skin prick testing. Odds ratios (ORs) were calculated for
each study centre and then pooled across populations. RESULTS: There was a small
increase in the risk of reported 'eczema ever' in association with 'breastfeeding
ever' and breastfeeding < 6 months [pooled adjusted OR 1.11, 95% confidence
interval (CI) 1.00-1.22 and OR 1.10, 95% CI 1.02-1.20, respectively]. There was
no significant association between reported 'eczema ever' and breastfeeding > 6
months (pooled adjusted OR 1.09, 95% CI 0.94-1.26). Risk estimates were very
similar for exclusive breastfeeding < 2 months, 2-4 months and > 4 months and for
eczema symptoms in the past 12 months and eczema on skin examination. As for more
severe eczema, breastfeeding per se conveyed a risk reduction on sleep disturbed
eczema (pooled adjusted OR 0.71, 95% CI 0.53-0.96), but this effect was lost
where children had been exclusively breastfed for > 4 months (pooled adjusted OR
1.02, 95% CI 0.67-1.54). Allergic sensitization and a history of maternal
allergic disease did not modify any of these findings. CONCLUSIONS: Although
there was a protective effect of ever having been breastfed on more severe
disease, we found no evidence that exclusive breastfeeding for 4 months or longer
protects against eczema. Our results are consistent with findings from a recent
systematic review of prospective studies. The U.K. breastfeeding guidelines with
regard to eczema should be reviewed. Intervention studies are now required to
explore how and when solids should be introduced alongside breastfeeding to aid
protection against eczema and other allergic diseases.
PMID- 21883141
TI - Combination chemotherapy with clofarabine, cyclophosphamide, and etoposide in
children with refractory or relapsed haematological malignancies.
PMID- 21883144
TI - Activation of transient receptor potential A1 by a non-pungent capsaicin-like
compound, capsiate.
AB - BACKGROUND AND PURPOSE: Capsiate is produced by 'CH-19 Sweet' (Capsicum annuun
L.), a non-pungent cultivar of red pepper. Like capsaicin, capsiate is thought to
enhance energy metabolism by activating the sympathetic nervous system and
suppressing inflammation, but the underlying mechanisms for this are uncertain.
We previously reported that capsiate could activate transient receptor potential
vanilloid 1 (TRPV1), a capsaicin receptor. The purpose of the present study is to
investigate whether capsinoids activate other TRP channels. EXPERIMENTAL
APPROACH: Using Ca(2+) imaging and whole-cell patch-clamp methods, we analysed
the response of TRP channels to three kinds of capsinoids, capsiate,
dihydrocapsiate and nordihydrocapsiate, in HEK293T cells expressing TRP channels
or in primary cultures of mouse dorsal root ganglion neurons. KEY RESULTS: We
found that in both cell types TRP ankyrin 1 (TRPA1) had a slightly weaker
response to capsinoids compared with TRPV1, with the capsiate EC(50) for TRPA1
activation being more than that for TRPV1 activation, and that the capsinoid
evoked action was blocked by a specific TRPA1 antagonist. TRPA1 was activated by
capsinoids, but not by their degradation products. Amino acids known to
participate in TRPA1 activation following cysteine covalent modification or zinc
treatment were not involved in the activation of TRPA1 by capsinoid. CONCLUSIONS
AND IMPLICATIONS: Taken together, these results indicate that capsinoids activate
TRPA1 by an as yet unknown mechanism, and TRPA1 could be involved in
physiological phenomena associated with capsinoid treatment.
PMID- 21883143
TI - Insights into mechanisms of corticotropin-releasing hormone receptor signal
transduction.
AB - During evolution, mammals have developed remarkably similar molecular mechanisms
to respond to external challenges and maintain survival. Critical regulators of
these mechanisms are the family of 'stress'-peptides that consists of the
corticotropin-releasing hormone (CRH) and urocortins (Ucns). These neuropeptides
'fine-tune' integration of an intricate series of physiological responses
involving the autonomic, endocrine, immune, cardiovascular and reproductive
systems, which induce a spectrum of behavioural and homeostatic changes. CRH and
Ucns exert their actions by activating two types of CRH receptors (CRH-R), CRH-R1
and CRH-R2, which belong to the class-B1 family of GPCRs. The CRH-Rs exhibit
signalling promiscuity facilitated by their ability to couple to multiple G
proteins and regulate diverse intracellular networks that involve intracellular
effectors such as cAMP and an array of PKs in an agonist and tissue-specific
manner, a property that allows them to exert unique roles in the integration of
homeostatic mechanisms. We only now begin to unravel the plethora of CRH-R
biological actions and the transcriptional and post-translational mechanisms such
as alternative mRNA splicing or phosphorylation-mediated desensitization
developed to tightly control CRH-Rs biological activity and regulate their
physiological actions. This review summarizes the current understanding of CRH-R
signalling complexity and regulatory mechanisms that underpin cellular responses
to CRH and Ucns.
PMID- 21883145
TI - Ketamine-induced ventricular structural, sympathetic and electrophysiological
remodelling: pathological consequences and protective effects of metoprolol.
AB - BACKGROUND AND PURPOSE: Growing evidence suggests that long-term abuse of
ketamine does harm the heart and increases the risk of sudden death. The present
study was performed to explore the cardiotoxicity of ketamine and the protective
effects of metoprolol. EXPERIMENTAL APPROACH: Rats and rabbits were divided into
control, ketamine, metoprolol alone and ketamine plus metoprolol groups. Ketamine
(40 mg.kg(-1) .day(-1), i.p.) and metoprolol (20 mg.kg(-1) .day(-1), p.o.) were
administered continuously for 12 weeks in rats and 8 weeks in rabbits. Cardiac
function, electrophysiological disturbances, cardiac collagen, cardiomyocte
apoptosis and the remodelling-related proteins were evaluated. KEY RESULTS:
Rabbits treated with ketamine showed decreased left ventricular ejection
fraction, slowed ventricular conduction velocity and increased susceptibility to
ventricular arrhythmia. Metoprolol prevented these pathophysiological
alterations. In ketamine-treated rats, cardiac collagen volume fraction and
apoptotic cell number were higher than those of control animals; these effects
were prevented by co-administration of metoprolol. Consistently, the expressions
of poly (ADP-ribose) polymerases-1, apoptosis-inducing factor and NF-kappaB-light
chain-enhancer of activated B cells were all increased after ketamine treatment
and sharply reduced after metoprolol administration. Moreover, ketamine enhanced
sympathetic sprouting, manifested as increased growth-associated protein 43 and
tyrosine TH expression. These effects of ketamine were prevented by metoprolol.
CONCLUSIONS AND IMPLICATIONS: Chronic treatment with ketamine caused significant
ventricular myocardial apoptosis, fibrosis and sympathetic sprouting, which
altered the electrophysiological properties of the heart and increased its
susceptibility to malignant arrhythmia that may lead to sudden cardiac death.
Metoprolol prevented the cardiotoxicity of ketamine, indicating a promising new
therapeutic strategy.
PMID- 21883142
TI - Evolving insights in the pathogenesis and therapy of cutaneous T-cell lymphoma
(mycosis fungoides and Sezary syndrome).
AB - Cutaneous T-cell lymphomas (CTCL) are a heterogeneous group of malignancies
derived from skin-homing T cells. The most common forms of CTCL are Mycosis
Fungoides (MF) and Sezary Syndrome (SS). Accurate diagnosis remains a challenge
due to the heterogeneity of presentation and the lack of highly characteristic
immunophenotypical and genetic markers. Over the past decade molecular studies
have improved our understanding of the biology of CTCL. The identification of
gene expression differences between normal and malignant T-cells has led to
promising new diagnostic and prognostic biomarkers that now need validation to be
incorporated into clinical practice. These biomarkers may also provide insight
into the mechanism of development of CTCL. Additionally, treatment options have
expanded with the approval of new agents, such as histone deacetylase inhibitors.
A better understanding of the cell biology, immunology and genetics underlying
the development and progression of CTCL will allow the design of more rational
treatment strategies for these malignancies. This review summarizes the clinical
epidemiology, staging and natural history of MF and SS; discusses the
immunopathogenesis of MF and the functional role of the malignant T-cells; and
reviews the latest advances in MF and SS treatment.
PMID- 21883146
TI - Slow receptor dissociation is not a key factor in the duration of action of
inhaled long-acting beta2-adrenoceptor agonists.
AB - BACKGROUND AND PURPOSE: beta(2) -Adrenoceptor agonists are important
bronchodilators used for the treatment of chronic obstructive pulmonary disease
and asthma. Clinical data on beta(2) -adrenoceptor agonists show a range of onset
and duration of action. We have investigated whether the receptor binding
kinetics of beta(2) -adrenoceptor agonists can explain their observed onset of
action and duration of effect in the clinic. EXPERIMENTAL APPROACH: [(3) H]-DHA
was used to label beta(2) -adrenoceptors expressed in CHO-cell membranes (K(d) of
0.084 nM). Competition kinetic experiments were performed in the presence of
unlabelled beta(2) agonists at 37 degrees C in HBSS containing GTP. To determine
the kinetic parameters, three concentrations (10, 3 and 1 *K(i) ) of the
unlabelled compound were employed against a fixed concentration of [(3) H]-DHA
(0.6 nM). KEY RESULTS: The clinically used beta(2) -adrenoceptor agonists
exhibited a range of association and dissociation rates. The kinetic K(d) and the
competition K(i) values of the eight beta(2) -adrenoceptor agonists examined were
strongly correlated, suggesting that the method had produced accurate k(off) and
k(on) rates. The kinetic on-rate was highly correlated with equilibrium binding
affinity. CONCLUSIONS AND IMPLICATIONS: Although the beta(2) -adrenoceptor
agonists displayed a range of kinetic rate parameters, simulations at relevant
drug concentrations suggest that receptor kinetics do not play an important role
in determining onset of action in the clinic. In addition, it is unlikely that
receptor kinetics exert an important influence on the duration of action of these
agonists, as indacaterol (once daily dosing) had a shorter residency time at the
receptor than salmeterol (twice daily dosing).
PMID- 21883147
TI - Inhibiting fatty acid amide hydrolase normalizes endotoxin-induced enhanced
gastrointestinal motility in mice.
AB - BACKGROUND AND PURPOSE: Gastrointestinal (GI) motility is regulated in part by
fatty acid ethanolamides (FAEs), including the endocannabinoid (EC) anandamide
(AEA). The actions of FAEs are terminated by fatty acid amide hydrolase (FAAH).
We investigated the actions of the novel FAAH inhibitor AM3506 on normal and
enhanced GI motility. EXPERIMENTAL APPROACH: We examined the effect of AM3506 on
electrically-evoked contractility in vitro and GI transit and colonic faecal
output in vivo, in normal and FAAH-deficient mice treated with saline or LPS (100
ug.kg(-1), i.p.), in the presence and absence of cannabinoid (CB) receptor
antagonists. mRNA expression was measured by quantitative real time-PCR, EC
levels by liquid chromatography-MS and FAAH activity by the conversion of [(3)H]
AEA to [(3)H]-ethanolamine in intestinal extracts. FAAH expression was examined
by immunohistochemistry. KEY RESULTS: FAAH was dominantly expressed in the
enteric nervous system; its mRNA levels were higher in the ileum than the colon.
LPS enhanced ileal contractility in the absence of overt inflammation. AM3506
reversed the enhanced electrically-evoked contractions of the ileum through CB(1)
and CB(2) receptors. LPS increased the rate of upper GI transit and faecal
output. AM3506 normalized the enhanced GI transit through CB(1) and CB(2)
receptors and faecal output through CB(1) receptors. LPS did not increase GI
transit in FAAH-deficient mice. CONCLUSIONS AND IMPLICATIONS: Inhibiting FAAH
normalizes various parameters of GI dysmotility in intestinal pathophysiology.
Inhibition of FAAH represents a new approach to the treatment of disordered
intestinal motility.
PMID- 21883148
TI - Structure-activity relationships of vanilloid receptor agonists for arteriolar
TRPV1.
AB - BACKGROUND AND PURPOSE: The transient receptor potential vanilloid 1 (TRPV1)
plays a role in the activation of sensory neurons by various painful stimuli and
is a therapeutic target. However, functional TRPV1 that affect microvascular
diameter are also expressed in peripheral arteries and we attempted to
characterize this receptor. EXPERIMENTAL APPROACH: Sensory TRPV1 activation was
measured in rats by use of an eye wiping assay. Arteriolar TRPV1-mediated smooth
muscle specific responses (arteriolar diameter, changes in intracellular Ca(2+))
were determined in isolated, pressurized skeletal muscle arterioles obtained from
the rat and wild-type or TRPV1(-/-) mice and in canine isolated smooth muscle
cells. The vascular pharmacology of the TRPV1 agonists (potency, efficacy,
kinetics of action and receptor desensitization) was determined in rat isolated
skeletal muscle arteries. KEY RESULTS: Capsaicin evoked a constrictor response in
isolated arteries similar to that mediated by noradrenaline, this was absent in
arteries from TRPV1 knockout mice and competitively inhibited by TRPV1 antagonist
AMG9810. Capsaicin increased intracellular Ca(2+) in the arteriolar wall and in
isolated smooth muscle cells. The TRPV1 agonists evoked similar vascular
constrictions (MSK-195 and JYL-79) or were without effect (resiniferatoxin and
JYL-273), although all increased the number of responses (sensory activation) in
the eye wiping assay. Maximal doses of all agonists induced complete
desensitization (tachyphylaxis) of arteriolar TRPV1 (with the exception of
capsaicin). Responses to the partial agonist JYL-1511 suggested 10% TRPV1
activation is sufficient to evoke vascular tachyphylaxis without sensory
activation. CONCLUSIONS AND IMPLICATIONS: Arteriolar TRPV1 have different
pharmacological properties from those located on sensory neurons in the rat.
PMID- 21883149
TI - A beta-amyloid oligomer directly modulates P/Q-type calcium currents in Xenopus
oocytes.
AB - BACKGROUND AND PURPOSE: beta-amyloid (Abeta) oligomers have been implicated in
the early pathophysiology of Alzheimer's disease (AD). While the precise nature
of the molecular target has not been fully revealed, a number of studies have
indicated that Abeta oligomers modulate neuron-specific ion channels. We recently
provided evidence that Abeta oligomers suppress isolated P/Q-type calcium
currents in cultured nerve cells. Using a heterologous expression system, we
aimed to prove a direct effect on the membrane channel mediating such current.
EXPERIMENTAL APPROACH: The effects of a synthetically generated Abeta oligomer,
Abeta globulomer, were investigated on P/Q-type currents recorded from Xenopus
laevis oocytes expressing the full P/Q-type calcium channel or the pore-forming
subunit only. We also examined the effects of Abeta globulomer on recombinant
NMDA receptor currents. Finally, we compared the modulation by Abeta globulomer
with that induced by a synthetic monomeric Abeta. KEY RESULTS: Abeta globulomer
directly and dose-dependently modulated P/Q-type calcium channels. A leftward
shift of the current-voltage curve indicated that the threshold for channel
opening was reduced. The effect of Abeta globulomer was also present when only
the alpha1A subunit of the normally tripartite channel was expressed. In
contrast, the monomeric Abeta had no effect on P/Q current. Also globulomer Abeta
had no effect on glutamate-induced NMDA currents. CONCLUSIONS AND IMPLICATIONS:
The alpha1A subunit of the P/Q-type calcium channel is directly modulated by
oligomeric Abeta. Threshold reduction as well as an increase in current at
synaptic terminals may facilitate vesicle release and could trigger excitotoxic
events in the brains of patients with AD.
PMID- 21883150
TI - beta(2)-Adrenoceptors increase translocation of GLUT4 via GPCR kinase sites in
the receptor C-terminal tail.
AB - BACKGROUND AND PURPOSE: beta-Adrenoceptor stimulation induces glucose uptake in
several insulin-sensitive tissues by poorly understood mechanisms. EXPERIMENTAL
APPROACH: We used a model system in CHO-K1 cells expressing the human beta(2)
adrenoceptor and glucose transporter 4 (GLUT4) to investigate the signalling
mechanisms involved. KEY RESULTS: In CHO-K1 cells, there was no response to beta
adrenoceptor agonists. The introduction of beta(2)-adrenoceptors and GLUT4 into
these cells caused increased glucose uptake in response to beta-adrenoceptor
agonists. GLUT4 translocation occurred in response to insulin and beta(2)
adrenoceptor stimulation, although the key insulin signalling intermediate PKB
was not phosphorylated in response to beta(2)-adrenoceptor stimulation.
Truncation of the C-terminus of the beta(2)-adrenoceptor at position 349 to
remove known phosphorylation sites for GPCR kinases (GRKs) or at position 344 to
remove an additional PKA site together with the GRK phosphorylation sites did not
significantly affect cAMP accumulation but decreased beta(2)-adrenoceptor
stimulated glucose uptake. Furthermore, inhibition of GRK by transfection of the
betaARKct construct inhibited beta(2)-adrenoceptor-mediated glucose uptake and
GLUT4 translocation, and overexpression of a kinase-dead GRK2 mutant (GRK2 K220R)
also inhibited GLUT4 translocation. Introducing beta(2)-adrenoceptors lacking
phosphorylation sites for GRK or PKA demonstrated that the GRK sites, but not the
PKA sites, were necessary for GLUT4 translocation. CONCLUSIONS AND IMPLICATIONS:
Glucose uptake in response to activation of beta(2)-adrenoceptors involves
translocation of GLUT4 in this model system. The mechanism is dependent on the C
terminus of the beta(2)-adrenoceptor, requires GRK phosphorylation sites, and
involves a signalling pathway distinct from that stimulated by insulin.
PMID- 21883151
TI - Pharmacological characterization of a small-molecule agonist for the chemokine
receptor CXCR3.
AB - BACKGROUND AND PURPOSE: The chemokine receptor CXCR3 is a GPCR found
predominantly on activated T cells. CXCR3 is activated by three endogenous
peptides; CXCL9, CXCL10 and CXCL11. Recently, a small-molecule agonist, VUF10661,
has been reported in the literature and synthesized in our laboratory. The aim of
the present study was to provide a detailed pharmacological characterization of
VUF10661 by comparing its effects with those of CXCL11. EXPERIMENTAL APPROACH:
Agonistic properties of VUF10661 were assessed in a chemotaxis assay with murine
L1.2 cells transiently transfected with cDNA encoding the human CXCR3 receptor
and in binding studies, with [(125)I]-CXCL10 and [(125)I]-CXCL11, on membrane
preparations from HEK293 cells stably expressing CXCR3. [(35)S]-GTPgammaS binding
was used to determine its potency to induce CXCR3-mediated G protein activation
and BRET-based assays to investigate its effects on intracellular cAMP levels and
beta-arrestin recruitment. KEY RESULTS: VUF10661 acted as a partial agonist in
CXCR3-mediated chemotaxis, bound to CXCR3 in an allosteric fashion in ligand
binding assays and activated G(i) proteins with the same efficacy as CXCL11 in
the [(35)S]-GTPgammaS binding and cAMP assay, while it recruited more beta
arrestin1 and beta-arrestin2 to CXCR3 receptors than the chemokine. CONCLUSIONS
AND IMPLICATIONS: VUF10661, like CXCL11, activates both G protein-dependent and
independent signalling via the CXCR3 receptor, but probably exerts its effects
from an allosteric binding site that is different from that for CXCL11. It could
stabilize different receptor and/or beta-arrestin conformations leading to
differences in functional output. Such ligand-biased signalling might offer
interesting options for the therapeutic use of CXCR3 agonists.
PMID- 21883152
TI - Ethnic stigma, academic anxiety, and intrinsic motivation in middle childhood.
AB - Previous research addressing the dynamics of stigma and academics has focused on
African American adolescents and adults. The present study examined stigma
awareness, academic anxiety, and intrinsic motivation among 451 young (ages 6-11)
and diverse (African American, Chinese, Dominican, Russian, and European
American) students. Results indicated that ethnic-minority children reported
higher stigma awareness than European American children. For all children, stigma
awareness was associated with higher academic anxiety and lower intrinsic
motivation. Despite these associations, ethnic-minority children reported higher
levels of intrinsic motivation than their European American peers. A significant
portion of the higher intrinsic motivation among Dominican students was
associated with their higher levels of school belonging, suggesting that
supportive school environments may be important sources of intrinsic motivation
among some ethnic-minority children.
PMID- 21883153
TI - Antecedents and outcomes of joint trajectories of mother-son conflict and warmth
during middle childhood and adolescence.
AB - This study investigated the development of mother-son relationship quality from
ages 5 to 15 in a sample of 265 low-income families. Nonparametric random effects
modeling was utilized to uncover distinct and homogeneous developmental
trajectories of conflict and warmth; antecedents and outcomes of the trajectory
groups also were examined. Four conflict trajectory groups and 3 warmth
trajectory groups were identified. Difficult temperament in early childhood
discriminated both conflict and warmth trajectory group membership (TGM), and
adult relationship quality in early childhood was related to warmth trajectories.
In addition, conflict TGM differentiated youth antisocial behavior during
adolescence, and warmth trajectories predicted adolescent peer relationship
quality and youth moral disengagement. Implications for socialization processes
are discussed.
PMID- 21883154
TI - Developing relationships, being cool, and not looking like a loser: social goal
orientation predicts children's responses to peer aggression.
AB - This research explored the contribution of social goal orientation, specifically,
development (improving social skills and relationships), demonstration-approach
(gaining positive judgments), and demonstration-avoidance (minimizing negative
judgments). Children (N = 373; M age = 7.97, SD = .34) were followed from 2nd to
3rd grades. Validity of the social goal orientation construct was established
through correlations with situation-specific goals and social adjustment.
Development goals predicted adaptive responses (more effortful engagement,
problem solving, advice seeking; fewer involuntary responses); demonstration
goals predicted maladaptive responses (less effortful engagement, problem
solving; more disengagement, retaliation). This study contributes to theoretical
understanding of the process of peer aggression and interventions to promote
optimal social health.
PMID- 21883155
TI - Characterizing and comparing the friendships of anxious-solitary and unsociable
preadolescents.
AB - Friendships matter for withdrawn youth because the consequences of peer isolation
are severe. From a normative sample of 2,437 fifth graders (1,245 females; M age
= 10.25), a subset (n = 1,364; 638 female) was classified into 3 groups (anxious
solitary, unsociable, comparison) and followed across a school year. Findings
indicated that it was more common for unsociable than anxious-solitary children
to have friends, be stably friended, and participate in multiple friendships. For
withdrawn as well as nonwithdrawn children, peer rejection predicted
friendlessness, but this relation was strongest for anxious-solitary children.
The friends of unsociable youth were more accepted by peers than those of anxious
solitary youth. The premise that friendship inhibits peer victimization was
substantiated for withdrawn as well as nonwithdrawn youth.
PMID- 21883156
TI - Developmental continuity in theory of mind: speed and accuracy of belief-desire
reasoning in children and adults.
AB - On belief-desire reasoning tasks, children first pass tasks involving true belief
before those involving false belief, and tasks involving positive desire before
those involving negative desire. The current study examined belief-desire
reasoning in participants old enough to pass all such tasks. Eighty-three 6- to
11-year-olds and 20 adult participants completed simple, computer-based tests of
belief-desire reasoning, which recorded response times as well as error rates.
Both measures suggested that, like young children, older children and adults find
it more difficult to reason about false belief and negative desires than true
beliefs and positive desires. It is argued that this developmental continuity is
most consistent with either executive competence or executive performance
accounts of the development of belief-desire reasoning.
PMID- 21883158
TI - Social groups and children's intergroup attitudes: can school norms moderate the
effects of social group norms?
AB - The effects of social group norms (inclusion vs. exclusion vs. exclusion-plus
relational aggression) and school norms (inclusion vs. no norm) on 7- and 10-year
old children's intergroup attitudes were examined. Children (n = 383) were
randomly assigned to a group with an inclusion or exclusion norm, and to 1 of the
school norm conditions. Findings indicated that children's out-group attitudes
reflected their group's norm but, with increasing age, they liked their in-group
less, and the out-group more, if the group had an exclusion norm. The school
inclusion norm instigated more positive attitudes toward out-group members, but
it did not moderate or extinguish contrary group norms. The use of school norms
to counteract the effects of children's social group norms is discussed.
PMID- 21883157
TI - The effect of narrative cues on infants' imitation from television and picture
books.
AB - Infants can imitate a novel action sequence from television and picture books,
yet there has been no direct comparison of infants' imitation from the 2 types of
media. Varying the narrative cues available during the demonstration and test,
the current experiments measured 18- and 24-month-olds' imitation from television
and picture books. Infants imitated from both media types when full narrative
cues (Experiment 1; N = 76) or empty, meaningless narration (Experiment 2; N =
135) accompanied the demonstrations, but they imitated more from television than
books. In Experiment 3 (N = 27), infants imitated from a book based on narration
alone, without the presence of pictures. These results are discussed in relation
to age-related changes in cognitive flexibility and infants' emerging symbolic
understanding.
PMID- 21883159
TI - Newborn irritability moderates the association between infant attachment security
and toddler exploration and sociability.
AB - This longitudinal investigation of 84 infants examined whether the effect of 12
month attachment on 18- and 24-month exploration and sociability with unfamiliar
adults varied as a function of newborn irritability. As expected, results
revealed an interaction between attachment (secure vs. insecure) and irritability
(highly irritable vs. moderately irritable) in predicting both exploration and
sociability with unfamiliar adults. For exploration, results supported a dual
risk model; that is, toddlers who had been both highly irritable and insecurely
attached were less exploratory than other toddlers. For sociability, results
supported the differential-susceptibility hypothesis; that is, highly irritable
infants, compared to moderately irritable infants, were both less sociable as
toddlers when they had been insecurely attached and more sociable when they had
been securely attached.
PMID- 21883160
TI - Trajectories of parenting and child negative emotionality during infancy and
toddlerhood: a longitudinal analysis.
AB - The current longitudinal study examined trajectories of child negative
emotionality, parenting efficacy, and overreactive parenting among 382 adoptive
families during infancy and toddlerhood. Data were collected from adoptive
parents when the children were 9-, 18-, and 27-month-old. Latent growth curve
modeling indicated age-related increases in child negative emotionality and
overreactive parenting for adoptive fathers and adoptive mothers (AM), and
decreases in parent efficacy among AM. Increases in child negative emotionality
were also associated with increases in parent overreactivity and decreases in
maternal efficacy. Mothers' and fathers' developmental patterns were linked
within but not across parenting domains. Limitations and directions for future
research are discussed.
PMID- 21883163
TI - Developmental changes in item and source memory: evidence from an ERP recognition
memory study with children, adolescents, and adults.
AB - Event-related potential (ERP) correlates of item and source memory were assessed
in 18 children (7-8 years), 20 adolescents (13-14 years), and 20 adults (20-29
years) performing a continuous recognition memory task with object and nonobject
stimuli. Memory performance increased with age and was particularly low for
source memory in children. The ERP difference between first presentations of
objects and nonobjects, reflecting generic novelty processing, showed only small
developmental changes. Regarding item memory, adults showed the putative ERP
correlates of familiarity and recollection, whereas ERP effects in children and
adolescents suggested a strong reliance on recollection. ERP correlates of source
memory refined with age, suggesting maturation of strategic recollection between
childhood and adolescence and the development of postretrieval control until
adulthood.
PMID- 21883161
TI - Differential susceptibility to adolescent externalizing trajectories: examining
the interplay between CHRM2 and peer group antisocial behavior.
AB - The present study characterized prototypical patterns of development in self
reported externalizing behavior, between 12 and 22 years of age, within a
community sample of 452 genotyped individuals. A Caucasian subset (n = 378) was
then examined to determine whether their probabilities of displaying discrete
trajectories were differentially associated with CHRM2, a gene implicated in self
regulatory processes across a range of externalizing behaviors, and if
affiliating with antisocial peers moderated these associations. Findings indicate
that relative to a normative "lower risk" externalizing trajectory, likelihood of
membership in two "higher risk" trajectories increased with each additional copy
of the minor allelic variant at CHRM2, and that this association was exacerbated
among those exposed to higher levels of peer group antisocial behavior.
PMID- 21883164
TI - The accuracy of risks for cancer in Lynch syndrome.
PMID- 21883162
TI - Epigenetic vestiges of early developmental adversity: childhood stress exposure
and DNA methylation in adolescence.
AB - Fifteen-year-old adolescents (N = 109) in a longitudinal study of child
development were recruited to examine differences in DNA methylation in relation
to parent reports of adversity during the adolescents' infancy and preschool
periods. Microarray technology applied to 28,000 cytosine-guanine dinucleotide
sites within DNA derived from buccal epithelial cells showed differential
methylation among adolescents whose parents reported high levels of stress during
their children's early lives. Maternal stressors in infancy and paternal
stressors in the preschool years were most strongly predictive of differential
methylation, and the patterning of such epigenetic marks varied by children's
gender. To the authors' knowledge, this is the first report of prospective
associations between adversities in early childhood and the epigenetic
conformation of adolescents' genomic DNA.
PMID- 21883165
TI - Looping the link between Gaucher and Parkinson's disease.
PMID- 21883166
TI - Skipping along: an exon skipping therapy shows promise for Duchenne muscular
dystrophy.
PMID- 21883168
TI - Analysis of phenotype and genotype information for the diagnosis of Marfan
syndrome.
AB - Marfan syndrome is considered a clinical diagnosis. Three diagnostic
classifications comprising first, Marfan genotype with a causative FBN1 gene
mutation; second, Marfan phenotype with clinical criteria of the original Ghent
nosology (Ghent-1); and third, phenotype with clinical criteria of its current
revision (Ghent-2) in 300 consecutive persons referred for confirmation or
exclusion of Marfan syndrome (150 men, 150 women aged 35 +/- 13 years) were used.
Sequencing of TGBR1/2 genes was performed in 128 persons without FBN1 mutation.
Marfan genotype was present in 140, Ghent-1 phenotype in 139, and Ghent-2
phenotype in 124 of 300 study patients. Marfan syndrome was confirmed in 94 and
excluded in 129 persons consistently by all classifications, but classifications
were discordant in 77 persons. With combined genotype and phenotype information
confirmation of Marfan syndrome was finally achieved in 126 persons by Ghent-1
and in 125 persons by Ghent-2 among 140 persons with Marfan genotype, and
exclusion was accomplished in 139 persons by Ghent-1 and in 141 persons by Ghent
2 among 160 persons without Marfan genotype. In total, genotype information
changed final diagnoses in 22 persons with Ghent-1, and in 32 persons with Ghent
2. It is concluded that genotype information is essential for diagnosis or
exclusion of Marfan syndrome.
PMID- 21883167
TI - Development and validation of an instrument to measure the impact of genetic
testing on self-concept in Lynch syndrome.
AB - A positive genetic test result may impact on a person's self-concept and affect
quality of life. The purpose of the study was to develop a self-concept scale to
measure such impact for individuals carrying mutations for a heritable colorectal
cancer Lynch syndrome (LS). Two distinct phases were involved: Phase 1 generated
specific colorectal self-concept candidate scale items from interviews with eight
LS carriers and five genetic counselors, which were added to a previously
developed self-concept scale for BRCA1/2 mutation carriers, Phase II had 115 LS
carriers complete the candidate scale and a battery of validating measures. A 20
item scale was developed with two dimensions identified through factor analysis:
stigma/vulnerability and bowel symptom-related anxiety. The scale showed
excellent reliability (Cronbach's alpha = 0.93), good convergent validity by a
high correlation with impact of event scale (r(102) = 0.55, p < 0.001) and
Rosenberg self-esteem scale (r(108) = -0.59, p < 0.001), and a low correlation
with the Fear questionnaire (r(108) = 0.37, p < 0.001). The scale's performance
was stable across participant characteristics. This new scale for measuring self
concept has potential to be used as a clinical tool and as a measure for future
studies.
PMID- 21883169
TI - Identification of a yolk sac cell population with hematopoietic activity in view
of CD45/c-Kit expression.
AB - During murine embryonic development, primitive hematopoiesis occurs in the yolk
sac (YS). Recent studies have shown that the YS also harbors definitive
hematopoietic activity. However, the population of YS cells contributing to
definitive hematopoiesis has not been identified. In this study, we characterized
the hematopoietic cell populations in the YS of mouse embryos from E9.5 to E14.5
in view of the expression profiles of CD45 and c-Kit. The YS cells from E9.5 to
E11.5 could be divided into six populations: CD45(-) c-Kit(-) , CD45(-) c
Kit(low) , CD45(-) c-Kit(high) , CD45(low) c-Kit(high) , CD45(high) c-Kit(high)
and CD45(high) c-Kit(very low) . Among these populations, CD45(low) c-Kit(high)
cells showed the highest multilineage hematopoietic colony-forming activity.
Later in development, the YS cells from E12.5 to E14.5 lost the second and fourth
populations (i.e., they retained CD45(-) c-Kit(-) , CD45(-) c-Kit(high) ,
CD45(high) c-Kit(high) and CD45(high) c-Kit(very low) cells), and concurrently
with the disappearance of the CD45(low) c-Kit(high) population, no significant
hematopoietic activity was found in any of the populations on and after E12.5.
CD45(low) c-Kit(high) YS cells, which had a round morphology with a large
nucleus, possessed the ability to differentiate into myeloid and B lymphoid cells
when cultured with stromal cells. These findings suggest that CD45(low) c
Kit(high) YS cells include more undifferentiated cells than the other YS cell
populations and possess in vitro potency to differentiate into multilineage
hematopoietic cells. Furthermore, this cell population disappears from the YS at
around E12.5, when the site of hematopoiesis has already shifted to the fetal
liver and the placenta.
PMID- 21883170
TI - Wearable wrist activity monitor as an indicator of functional hand use in
children with cerebral palsy.
AB - AIM: New tools that capture hand function in everyday activities and contexts are
needed for assessing children with hemiplegic cerebral palsy. This study
evaluates a wearable wrist monitor and tests the hypothesis that wrist extension
frequency (FreqE) is an appropriate indicator of functional hand use. METHOD:
Fifteen children (four females, 11 males; age range 6-12y; mean age 10y [SD 2y])
with hemiplegia (seven at level I and eight at level II on the Manual Ability
Classification System) participated in the Assisting Hand Assessment (AHA) while
wearing the wrist monitor. FreqEs were captured via the wrist monitor and
validated using video analysis. Correlations between FreqE and AHA scores were
calculated and a multivariate linear regression was conducted to explore other
measures of wrist activity. RESULTS: Wrist extensions observed in video analyses
were reliably detected by the wrist monitor (intraclass correlation coefficient,
r=0.88; p<0.001) and were strongly correlated with the AHA scores (r=0.93;
p<0.001). AHA scores were significantly correlated with FreqE (r=0.80; p=0.001)
and the range of wrist extensions/flexions (r=0.70; p=0.008). The multivariate
linear regression combining the FreqE and range of wrist extensions/flexions
yielded a strong correlation with AHA scores (r=0.84; p=0.0043). INTERPRETATION:
The wearable wrist monitor may offer a convenient, valid alternative to observer
reports for functional assessments of the hemiplegic hand in everyday contexts.
PMID- 21883171
TI - Postural adaptations to a suprapostural memory task among children with and
without developmental coordination disorder.
AB - AIM: The present study investigated the effects of varying the cognitive demands
of a memory task (a suprapostural task) while recording postural motion on two
groups of children, one diagnosed with developmental coordination disorder (DCD)
and an age-matched group of typically developing children. METHOD: Two groups,
each comprising 38 child volunteers (21 males, 17 females) aged 9 to 10 years,
participated in the study. Each child performed a digital memory task at two
levels of difficulty, low and high. Positional variability (standard deviation of
position) of the head and torso were recorded as the biomechanical responses to
the variation in task difficulty. RESULTS: Both groups significantly reduced
postural motion when engaged in the high-difficulty condition (p<0.05) compared
with the low-difficulty condition. Children with DCD exhibited significantly
higher levels of postural motion (p<0.05) than the typically developing children.
The typically developing children significantly reduced their postural motion in
the high-difficulty condition (p<0.05) compared with the low-difficulty
condition, whereas children with DCD did not. INTERPRETATION: Our results suggest
that the postural responses of children with DCD differ from those of typically
developing children while engaging in a memory task with various levels of
difficulty.
PMID- 21883172
TI - Wearable wrist activity monitor as an indicator of functional hand use in
children with cerebral palsy.
PMID- 21883173
TI - Immune-mediated steroid-responsive epileptic spasms and epileptic encephalopathy
associated with VGKC-complex antibodies.
AB - Autoantibodies that bind to voltage-gated potassium-channel complex proteins
(VGKC-complex antibodies) occur frequently in adults with limbic encephalitis
presenting with cognitive impairment and seizures. Recently, VGKC-complex
antibodies have been described in a few children with limbic encephalitis, and
children with unexplained encephalitis presenting with status epilepticus. We
report a case of infantile-onset epileptic spasms and developmental delay
compatible with epileptic encephalopathy. Our patient was a female infant, aged 4
months at presentation. She had evidence of immune activation in the central
nervous system with elevated cerebrospinal fluid neopterin and mirrored
oligoclonal bands, which prompted testing for autoantibodies. VGKC-complex
antibodies were elevated (201 pmol/L, normal<100), but extended antibody testing,
including leucine-rich glioma-inactivated 1 (LGI1) and contactin-associated
protein 2 (CASPR2), was negative. The patient showed a partial response to
steroid treatment, which was started late in the disease course. On review at 13
months of age, her development was consistent with an age of 5 to 6 months. These
results suggest that VGKC-complex antibodies might represent a marker of immune
therapy responsiveness in a subgroup of patients with infantile epileptic
encephalopathy.
PMID- 21883174
TI - Autonomic effects of refractory epilepsy on heart rate variability in children:
influence of intermittent vagus nerve stimulation.
AB - AIM: Vagus nerve stimulation (VNS) is a therapeutic option for individuals with
refractory epilepsy. Individuals with refractory epilepsy are prone to
dysfunction of the autonomic nervous system. Reduced heart rate variability is a
marker of dysfunction of the autonomic nervous system. Our goal was to study
heart rate variability in children with refractory epilepsy and the influence of
VNS on this parameter. METHODS: In 17 children (13 male; four female; mean age 7
y 6 mo; age range 3-16 y) with refractory epilepsy, electroencephalographic and
electrocardiographic data were obtained before and after implantation of VNS
during stage 2 and slow-wave sleep. Time and frequency domain parameters were
calculated and the results were compared with an age- and sex-matched group of
individuals without refractory epilepsy. RESULTS: Our results show that autonomic
cardiac control is affected in individuals with refractory epilepsy. There is a
striking reduction in vagal tone during slow-wave sleep and modulation capacity
is smaller than in individuals without refractory epilepsy. Implantation of VNS
induces a shift in sympathovagal balance towards sympathetic predominance and an
improvement in autonomic modulation. INTERPRETATION: Heart rate variability is
affected in children with refractory epilepsy, and changes after implantation of
VNS. The observed changes could be of importance in the cardiac complications of
individuals with epilepsy and should be explored in more detail.
PMID- 21883175
TI - Comprehensive analysis of candidate genes for photosensitivity using a
complementary bioinformatic and experimental approach.
AB - Photoparoxysmal response (PPR) is a highly heritable electroencephalographic
trait characterized by an increased sensitivity to photic stimulation. It may
serve as an endophenotype for idiopathic generalized epilepsy. Family linkage
studies identified susceptibility loci for PPR on chromosomes 5q35.3, 8q21.13,
and 16p13.3. This study aimed to identify key candidate genes within these loci.
We used bioinformatics tools for gene prioritization integrating information on
biologic function, sequence data, gene expression, and others. The prime
candidate gene from this analysis was sequenced in 48 photopositive probands.
Presumed functional implications of identified polymorphisms were investigated
using bioinformatics methods. The glutamate receptor subunit gene GRIN2A was
identified as a prime candidate gene. Sequence analysis revealed various new
polymorphisms. None of the identified variants was predicted to be functionally
relevant. We objectified the selection of candidate genes for PPR without an a
priori hypothesis. Particularly among the various ion channel genes in the
linkage regions, GRIN2A was identified as the prime candidate gene. GRIN2A
mutations have recently been identified in various epilepsies. Even though our
mutation analysis failed to demonstrate direct involvement of GRIN2A in
photosensitivity, in silico gene prioritization may provide a useful tool for the
identification of candidate genes within large genomic regions.
PMID- 21883176
TI - Theory of mind in frontal and temporal lobe epilepsy: cognitive and neural
aspects.
AB - PURPOSE: Theory of mind (ToM) is an important prerequisite to social behavior.
This study evaluated ToM in patients with temporal (TLE) or frontal lobe epilepsy
(FLE) aiming to determine the cognitive aspects, severity, and pathophysiologic
mechanisms of ToM impairment in focal epilepsy. METHODS: One hundred thirty-eight
patients with TLE (n = 109) or FLE (n = 29) and 69 healthy subjects underwent the
Faux Pas task (FPT), which evaluates the recognition and comprehension of others'
mental states, and neuropsychological tests for other cognitive functions. KEY
FINDINGS: Factor analysis of all test scores yielded two ToM factors (Recognizing
faux pas, FP; Excluding nonexistent FP) distinct from the Control, Language,
Matching, and Praxis factors. With respect to healthy subjects, both TLE and FLE
patients showed correct exclusion of nonexistent FPs but significantly lower
recognition and comprehension of real FPs. FLE patients were also impaired with
respect to TLE patients. In the whole patient group, schooling and group
membership predicted ToM impairment. In FLE patients, the comprehension of mental
states was predicted by disease duration, whereas TLE patients' comprehension of
affects and intentions was associated with early age of seizure onset and medial
temporal lobe sclerosis (MTLS). SIGNIFICANCE: Focal epilepsy impairs advanced ToM
abilities. FLE may affect online performances owing to long-lasting dysfunctions
of the prefrontal areas. MTLS may provoke selective ToM deficits due to medial
temporal damage, prefrontal dysfunctions, or early interference with cognitive
development. Future studies are needed to determine the implications of ToM
impairment on behavior and quality of life.
PMID- 21883177
TI - Predictors of health-related quality of life and costs in adults with epilepsy: a
systematic review.
AB - PURPOSE: Given the high burden of epilepsy on both health-related quality of life
(HRQoL) and costs, identification of factors that are predictive of either
reduced HRQoL or increased expenditure is central to the better future targeting
and optimization of existing and emerging interventions and management strategies
for epilepsy. METHODS: Searches of Medline, Embase, and Cochrane Library (up to
July 2010) to identify studies examining the association between demographic,
psychosocial, and condition-related factors and HRQoL, resource utilization or
costs in adults with epilepsy. For each study, predictor factor associations were
summarized on the basis of statistical significance and direction; the results
were then combined across studies. KEY FINDINGS: Ninety-three HRQoL and 16
resource utilization/cost studies were included. Increases in seizure frequency,
seizure severity, level of depression, and level of anxiety and presence of
comorbidity were strongly associated with reduced HRQoL. The majority of studies
were cross-sectional in design and had an overall methodologic quality that was
judged to be "moderate" for HRQoL studies and "poor" for health care resource or
costs studies. In the 53 multivariate studies, age, gender, marital status, type
of seizure, age at diagnosis, and duration of epilepsy did not appear to be
associated with HRQoL, whereas the predictive influence of educational and
employment status, number of antiepileptic drugs (AEDs) and AED side effects was
unclear. The association between predictive factors and HRQoL appeared to be
consistent across individuals whether refractory or seizures controlled or
managed by AEDs. There were insufficient multivariate studies (five) to reliably
comment on the predictors of resource utilization or cost in epilepsy.
SIGNIFICANCE: In addition to seizure control, effective epilepsy management
requires the early detection of those most at risk of psychological dysfunction
and comorbidity, and the targeting of appropriate interventions. There is need
for more rigorous studies with appropriate multivariate statistical methods that
prospectively investigate the predictors of HRQoL, resource utilization, and
costs in epilepsy.
PMID- 21883178
TI - Incidence of kidney stones with topiramate treatment in pediatric patients.
AB - PURPOSE: We ran this study to assess the incidence of nephrolithiasis in a group
of children on topiramate (TPM) therapy for at least 1 year. METHODS: In this
retrospective observational surveillance study, we reviewed the medical charts of
children on TPM for at least 1 year seen at the pediatric neurology department
during the period from 2005 to 2010 at King Fahad Medical City. Children with a
normal baseline ultrasound report were included. Follow-up ultrasound reports
after at least 1 year were collected. However, patients with any evidence of
chronic illness or medications that may affect the kidney functions in addition
to those who are not compliant with the prescribed dose were excluded. Family
history of renal stones, symptoms suggestive of urologic disorders, and
comorbidities were recorded. KEY FINDINGS: Medical charts of 96 children on TPM
with a mean age of 6.9 (+/-3.8) years were reviewed; 52 (54.2%) of the children
were male. The follow-up ultrasound showed that five children (5.2%) had
developed kidney stones. The occurrence of kidney stones was found in four female
patients (80%) versus one male (20%) (p > 0.05). SIGNIFICANCE: Long-term use of
TPM may result in increased incidence of asymptomatic kidney stones in the
pediatric population. Hence, routine baseline and follow-up ultrasound of the
urinary system should be recommended during the use of TPM in children.
PMID- 21883179
TI - Altered layer-specific gene expression in cortical samples from patients with
temporal lobe epilepsy.
AB - PURPOSE: Neuropathologic investigations frequently reveal the presence of
architectural cortical dysplasia in patients with temporal lobe epilepsy (TLE),
sometimes as an isolated finding but more commonly associated with hippocampal
sclerosis (HS) and white matter abnormalities. The histologic pattern and the
developmental origin of these alterations are not clear, and their diagnostic
criteria are poorly defined. The aim of this study was to investigate the
expression patterns of layer-specific genes in cortical specimens from patients
with TLE presenting different subtypes of cortical malformations in order to
elucidate the disorganization of the laminar architecture of such epileptogenic
abnormalities and provide evidence to enable a more objective neuropathologic
diagnosis. METHODS: We analyzed the expression patterns of CUX2, RORBETA, ER81,
NURR1, and CTGF genes, respectively specific markers of layers II-III, IV, V, VI,
and VIb, in surgical samples by means of in situ hybridization and compared them
with those observed in control cortices. The pathologic samples included typical
architectural dysplasia (group 1); temporal lobe sclerosis, a variant of
architectural dysplasia (group 2); and white matter heterotopic neuronal
aggregates, namely small lentiform nodules (group 3). These abnormalities may
have been associated or not with HS. KEY FINDINGS: All of the genes had a laminar
expression pattern in normal cortices, whereas groups 1 and 2 showed alterations
mainly involving layers V and VI, and highlighted by the altered distribution of
ER81- and NURR1-positive cells. The expression of ER81 and NURR1 genes was
different among the groups, and atypical coexpression of NURR1 and CUX2 mRNA was
detected in the neurons making up the small lentiform nodules. SIGNIFICANCE:
These findings indicate that defects in cortical organization involving the
deeper cortical neurons may be a common etiopathogenic mechanism in group 1 and 2
cortical dysplasia, whether isolated or associated with HS, and that
developmental disorders may also be present in the white matter (group 3). They
also provide evidence that the layer-specific genes can be usefully used to
investigate the neuropathology of human cortical dysplasia.
PMID- 21883181
TI - Spike-triggered reaction-time EEG as a possible assessment tool for driving
ability.
AB - The impact of interictal epileptic activity (IEA) on driving is a rarely
investigated issue. We analyzed the impact of IEA on reaction time in a pilot
study. Reactions to simple visual stimuli (light flash) in the Flash test or
complex visual stimuli (obstacle on a road) in a modified car driving computer
game, the Steer Clear, were measured during IEA bursts and unremarkable
electroencephalography (EEG) periods. Individual epilepsy patients showed slower
reaction times (RTs) during generalized IEA compared to RTs during unremarkable
EEG periods. RT differences were approximately 300 ms (p < 0.001) in the Flash
test and approximately 200 ms (p < 0.001) in the Steer Clear. Prior work
suggested that RT differences >100 ms may become clinically relevant. This
occurred in 40% of patients in the Flash test and in up to 50% in the Steer
Clear. When RT were pooled, mean RT differences were 157 ms in the Flash test (p
< 0.0001) and 116 ms in the Steer Clear (p < 0.0001). Generalized IEA of short
duration seems to impair brain function, that is, the ability to react. The
reaction-time EEG could be used routinely to assess driving ability.
PMID- 21883180
TI - Febrile infection-related epilepsy syndrome (FIRES): pathogenesis, treatment, and
outcome: a multicenter study on 77 children.
AB - PURPOSE: To explore the correlations between treatment modalities and selected
disease parameters with outcome in febrile infection-related epilepsy syndrome
(FIRES), a catastrophic epileptic encephalopathy with a yet undefined etiology.
METHODS: We conducted a retrospective multicenter study on children who had been
included in eight studies published between November 2001 and July 2010.
Additional data were retrieved from six of the eight participating centers. KEY
FINDINGS: The 77 enrolled patients presented with prolonged refractory status
epilepticus. A preceding febrile infection had been reported in 96% of them.
Treatment modalities included antiepileptic drugs (a median of six), intravenous
immunoglobulin (IVIG, 30 patients), steroids (29 patients), burst-suppression
coma (BSC, 46 patients), and other less conventional agents. There was no
evidence of efficacy for those treatment modalities except for IVIG (two
patients), a ketogenic diet (one patient), and a prolonged cycle of barbiturate
anesthesia coma (one patient). Nine patients (11.7%) died during the acute phase
of FIRES. Only 12 of the 68 surviving patients (18%) retained normal cognitive
level, but most of them had learning disabilities. Sixty-three patients (93%) had
refractory epilepsy at follow-up. Cognitive levels at follow-up were
significantly associated with duration of BSC (p = 0.005) and younger age at
FIRES onset (p = 0.02). SIGNIFICANCE: The outcome of FIRES is poor. No
therapeutic agent was efficacious in shortening the acute phase, with the
possible exception of a ketogenic diet. Treatment by inducing a prolonged BSC was
associated with a worse cognitive outcome.
PMID- 21883183
TI - Insular-opercular seizures manifesting with sleep-related paroxysmal motor
behaviors: a stereo-EEG study.
AB - PURPOSE: Sleep-related complex motor seizures are a common feature of nocturnal
frontal lobe epilepsy. Nevertheless, recent studies also suggest that sleep
related hypermotor seizures can originate in the insula. The present study
describes the electroclinical features of eight drug-resistant epileptic patients
with insular-opercular seizures manifesting with nocturnal complex motor
seizures. METHODS: Patients underwent a comprehensive presurgical evaluation,
which included history, interictal electroencephalography (EEG), scalp video-EEG
monitoring, high-resolution magnetic resonance imaging (MRI), and intracerebral
recording by stereo-EEG. KEY FINDINGS: Almost all patients reported an initial
sensation consisting of viscerosensitive or somatosensory symptoms. Ictal
clinical signs were represented by tonic-dystonic asymmetric posturing and/or
hyperkinetic automatisms, including bimanual/bipedal activity and ballistic
movements. Some patients exhibited dysarthric speech, hypersalivation, and apnea.
Interictal and ictal EEG provided lateralizing information in the majority of
patients. In three patients, MRI showed a focal anatomical abnormality in the
insular-opercular region. Stereo-EEG ictal recordings demonstrated that the
epileptic discharge involved simultaneously the insular cortex and the opercular
region. Complex motor manifestations appeared when the ictal discharge showed an
extrainsular spreading to frontomesial regions (cingulum, superior frontal gyrus,
and supplementary motor area) and/or to internal and neocortical temporal lobe
structures. Six patients received an insular-opercular cortical resection; three
of them are seizure free (minimum follow-up 24 months) and in one a marked
reduction in seizure frequency was obtained. Two patients have been operated on
recently. Histology revealed a focal cortical dysplasia in three patients. One
patient excluded from surgery died for sudden unexpected death in epilepsy during
sleep. SIGNIFICANCE: Our data strengthen the concept that sleep-related complex
motor attacks can originate in the insula, and provide useful electroclinical
information to differentiate this localization from those with similar clinical
characteristics. Furthermore, this study indicates that in these drug-resistant
patients, surgical treatment represents a highly effective treatment option.
PMID- 21883182
TI - Rapamycin suppresses axon sprouting by somatostatin interneurons in a mouse model
of temporal lobe epilepsy.
AB - PURPOSE: In temporal lobe epilepsy many somatostatin interneurons in the dentate
gyrus die. However, some survive and sprout axon collaterals that form new
synapses with granule cells. The functional consequences of gamma-aminobutyric
acid (GABA)ergic synaptic reorganization are unclear. Development of new methods
to suppress epilepsy-related interneuron axon sprouting might be useful
experimentally. METHODS: Status epilepticus was induced by systemic pilocarpine
treatment in green fluorescent protein (GFP)-expressing inhibitory nerurons (GIN)
mice in which a subset of somatostatin interneurons expresses GFP. Beginning 24 h
later, mice were treated with vehicle or rapamycin (3 mg/kg intraperitoneally)
every day for 2 months. Stereologic methods were then used to estimate numbers of
GFP-positive hilar neurons per dentate gyrus and total length of GFP-positive
axon in the molecular layer plus granule cell layer. GFP-positive axon density
was calculated. The number of GFP-positive axon crossings of the granule cell
layer was measured. Regression analyses were performed to test for correlations
between GFP-positive axon length versus number of granule cells and dentate gyrus
volume. KEY FINDINGS: After pilocarpine-induced status epilepticus, rapamycin-
and vehicle-treated mice had approximately half as many GFP-positive hilar
neurons as did control animals. Despite neuron loss, vehicle-treated mice had
over twice the GFP-positive axon length per dentate gyrus as controls, consistent
with GABAergic axon sprouting. In contrast, total GFP-positive axon length was
similar in rapamycin-treated mice and controls. GFP-positive axon length
correlated most closely with dentate gyrus volume. SIGNIFICANCE: These findings
suggest that rapamycin suppressed axon sprouting by surviving somatostatin/GFP
positive interneurons after pilocarpine-induced status epilepticus in GIN mice.
It is unclear whether the effect of rapamycin on axon length was on interneurons
directly or secondary, for example, by suppressing growth of granule cell
dendrites, which are synaptic targets of interneuron axons. The mammalian target
of rapamycin (mTOR) signaling pathway might be a useful drug target for
influencing GABAergic synaptic reorganization after epileptogenic treatments, but
additional side effects of rapamycin treatment must be considered carefully.
PMID- 21883184
TI - Polymorphisms of the UCP2 gene are associated with body fat distribution and risk
of abdominal obesity in Spanish population.
AB - BACKGROUND: Increased accumulation of fat results from an imbalance between
energy expenditure and intake, being modulated by different environmental and
genetic factors. Uncoupling proteins (UCPs) are mitochondrial carrier proteins
able to spend energy generating heat. Therefore, variations in these genes are
good candidates as potential modulators of body fat accumulation. Our aim was to
investigate the possible association of genetic variations of the gene codifying
the UCP2 protein with obesity and fat distribution. DESIGN: We performed a cross
sectional study in 2367 individuals from two population-based studies from
different regions of Spain. The Hortega Study included 1436 individuals (693
women) 21-85 years old, and the Pizarra Study included 931 individuals (584
women) 18-65 years old. We evaluated three polymorphisms of the UCP2 gene.
RESULTS: The TT genotype of the rs660339 polymorphism and the AA genotype of the
rs659366 polymorphism of the UCP2 gene were significantly associated with higher
waist circumference in the Hortega Study. Furthermore, subjects carrying both
genotypes (TT+AA) also showed higher central adiposity compared with other
genotypes. This association was also present in the Pizarra Study. Moreover, in
the pooled population, we found a stronger association with waist circumference.
Even, we found association with BMI. Furthermore, rs659366 polymorphism was
associated with the risk of abdominal obesity (P= 0.04: OR = 1.3; CI = 1.01
1.67). CONCLUSIONS: Polymorphisms of the UCP2 gene (rs660339 and rs659366) were
associated with central obesity. This study shows association between the UCP2
gene and the susceptibility to obesity and body fat distribution in a south
European population.
PMID- 21883185
TI - Pattern of adrenal morphology and function in patients with acromegaly.
AB - BACKGROUND: Acromegaly is well known to induce hypertrophic and hyperplastic
changes in various organs and is commonly accompanied by arterial hypertension.
In our study, we assess the adrenal morphology and function in a series of
patients with acromegaly and possible associations with the activity of the
disease and arterial hypertension. MATERIALS AND METHODS: Sixty patients with
acromegaly, admitted to two endocrinology departments in the time period 2005
2010, were studied prospectively. Basal IGF-1 and growth hormone levels after
oral glucose tolerance test were used to assess the disease activity. All
subjects underwent adrenal CT scan, basal adrenal hormonal investigation and
evaluation with 24-h urinary free cortisol and cortisol levels following low-dose
dexamethasone suppression test. In 33 acromegalics, the 'modified' saline
infusion test (MSI), i.e. saline infusion after dexamethasone administration, was
performed to identify autonomous aldosterone (ALD) secretion. RESULTS: Abnormal
adrenal morphology was present in 48% of our patients, and a significant
association was found between the presence of arterial hypertension and adrenal
morphology. Among patients with adrenal morphological changes, 55% exhibited no
adrenal secretory hyperactivity, 34% autonomous cortisol, 7% ALD and 4% combined
autonomous cortisol and ALD secretion, when applying recently proposed modified
cut-off levels compared to widely used criteria. An increased prevalence of
autonomous ALD secretion was shown among the subgroup of patients with acromegaly
tested with MSI. CONCLUSIONS: This study provides evidence of an increased
prevalence of anatomic and functional adrenal alterations in patients with
acromegaly; further studies will clarify the importance of evaluating these
subjects with baseline hormonal investigation along with dynamic testing and
modified cut-offs.
PMID- 21883186
TI - Endotoxemia affects citrulline, arginine and glutamine bioavailability.
AB - BACKGROUND: Sepsis considerably alters the intestinal barrier functions, which in
turn modify the absorption and bioavailability of nutrients. However, the effects
of septic shock on aminoacid (AAs) bioavailability are poorly documented. The aim
of this study was to compare the bioavailability of citrulline, arginine and
glutamine during endotoxemia. MATERIALS AND METHODS: Thirty-six rats were
randomised into two groups: control and lipopolysaccharides (LPS). The LPS group
received an intraperitoneal injection of endotoxins (7.5 mg/kg). After 12 h, each
group was again randomised into three subgroups, each of which received an oral
bolus of citrulline, arginine or glutamine (5.7 mmol/kg). Blood samples were
collected at various times from 0 to 600 min after AA administration. The
concentrations of citrulline, arginine, glutamine and their metabolites arginine
and ornithine were measured to determine pharmacokinetic parameters Area Under
Curve (AUC), C(max) and T(max). RESULTS: The AUC values of citrulline decreased
in LPS rats [citrulline, control: 761 +/- 67 and LPS: 508 +/- 72 MUmol min/mL (P
= 0.02)]. Maximum concentrations of citrulline were also significantly decreased
by endotoxemia (P = 0.01). The pharmacokinetic parameters of arginine and
glutamine were not significantly modified by endotoxemia. The AUC value of
arginine from citrulline conversion was diminished in endotoxemic rats. The other
pharmacokinetic parameters of arginine were not significantly modified after
arginine or citrulline supply in either group (control or LPS). CONCLUSION:
Endotoxemia affects the bioavailability of AAs differently according to the amino
acid considered. This feature may be important for nutritional strategy in ICU
patients.
PMID- 21883187
TI - Finite layer method for flow in layered radial two-zone aquifer systems.
AB - A new finite layer method (FLM) is presented in this paper for transient flow
analysis in layered radial two-zone aquifer systems. A radial two-zone system is
an aquifer configuration in which a circular aquifer with finite radius is
surrounded by a matrix possessing different permeability and storage properties.
The aquifers can be pumped from fully or partially penetrating wells of
infinitesimal radius. The trial function for drawdown is obtained through the use
of piecewise linear correction functions in the present method. The trial
function can satisfy the continuity conditions of flow and possess an appropriate
continuity of C(0) at the two-zone interface. On the basis of Galerkin's method
and the continuity condition of flow, the finite layer formulation is derived.
The proposed method can cope with the anisotropy and layered heterogeneity in
radial two-zone aquifer systems. Several numerical examples are presented to
verify the validity of the present method through comparison with the analytical
solution and the numerical results based on the finite difference method, in
which a test of three-dimensional (3D) flow to a partially penetrating well in
anisotropic two-zone aquifers is included. Furthermore, an additional application
in simulating the two-zone flow in aquitard-aquifer systems is presented to
demonstrate the applicability of FLM in modeling flow in more complex aquifer
systems.
PMID- 21883188
TI - Evaluation of vertical variations in hydraulic conductivity in unconsolidated
sediments.
AB - Detailed information on vertical variations in hydraulic conductivity (K) is
essential to describe the dynamics of groundwater movement at contaminated sites
or as input data used for modeling. K values in high vertical resolution should
be determined because K tends to be more continuous in the horizontal than in the
vertical direction. To determine K in shallow unconsolidated sediments and in the
vertical direction, the recently developed direct-push injection logger can be
used. The information obtained by this method serves as a proxy for K and has to
be calibrated to obtain quantitative K values of measured vertical profiles. In
this study, we performed direct-push soil sampling, sieve analyses and direct
push slug tests to obtain K values in vertical high resolution. Using the results
of direct-push slug tests, quantitative K values obtained by the direct-push
injection logger could be determined successfully. The results of sieve analyses
provided lower accordance with the logs due to the inherent limitations of the
sieving method.
PMID- 21883189
TI - Regional flow simulation in fractured aquifers using stress-dependent parameters.
AB - A model function relating effective stress to fracture permeability is developed
from Hooke's law, implemented in the tensorial form of Darcy's law, and used to
evaluate discharge rates and pressure distributions at regional scales. The model
takes into account elastic and statistical fracture parameters, and is able to
simulate real stress-dependent permeabilities from laboratory to field studies.
This modeling approach gains in phenomenology in comparison to the classical ones
because the permeability tensors may vary in both strength and principal
directions according to effective stresses. Moreover this method allows
evaluation of the fracture porosity changes, which are then translated into
consolidation of the medium.
PMID- 21883190
TI - Slug test in confined aquifers, the over-damped case: quasi-steady flow analysis.
AB - In the great majority of slug tests performed in wells fully penetrating confined
geologic formations, and for over-damped conditions, the response data are
evaluated with the transient-flow model of Cooper et al. (1967) when the radial
hydraulic conductivity K(r) and the coefficient of specific storage S(s) are to
be estimated. That particular analytical solution, however, is computationally
involved and awkward to use. Thus, groundwater professionals often use a few pre
prepared type-curves to fit the data by a rough matching procedure, visually or
computationally. On the other hand, the method of Hvorslev (1951), which assumes
the flow to be quasi-steady, is much simpler but yields only K(r) estimates. In
this work, we develop a complete quasi-steady flow model that includes a storage
balance inside the aquifer and allows estimating K(r) and S(s) simultaneously,
through matching of the well response data to a type-curve. The new model
approximates the model of Cooper et al. closely and has the practical advantage
that its solution type-curves are generated easily using an electronic
spreadsheet, so that the optimal fit of data by a type-curve can be readily
automated.
PMID- 21883191
TI - Estimation of streambed groundwater fluxes associated with coaster brook trout
spawning habitat.
AB - We hypothesized that the spatial distribution of groundwater inflows through
river bottom sediments is a critical factor associated with the distribution of
coaster brook trout (a life history variant of Salvelinus fontinalis) spawning
redds. An 80-m reach of the Salmon Trout River, in the Huron Mountains of the
upper peninsula of Michigan, was selected to test the hypothesis based on long
term documentation of coaster brook trout spawning at this site. A monitoring
well system consisting of 22 wells was installed in the riverbed to measure
surface and subsurface temperatures over a 13-month period. The array of
monitoring wells was positioned to span areas where spawning has and has not been
observed. Over 200,000 total temperature measurements were collected from five
depths within each monitoring well. Temperatures in the substrate beneath the
spawning area were generally less variable than river temperatures, whereas
temperatures under the nonspawning area were generally more variable and closely
tracked temporal variations in river temperatures. Temperature data were inverted
to obtain subsurface groundwater velocities using a numerical approximation of
the heat transfer equation. Approximately 45,000 estimates of groundwater
velocities were obtained. Estimated groundwater velocities in the spawning area
were primarily in the upward direction and were generally greater in magnitude
than velocities in the nonspawning area. Both the temperature and velocity
results confirm the hypothesis that spawning sites correspond to areas of
significant groundwater flux into the river bed.
PMID- 21883193
TI - Analytical models of steady-state plumes undergoing sequential first-order
degradation.
AB - An exact, closed-form analytical solution is derived for one-dimensional (1D),
coupled, steady-state advection-dispersion equations with sequential first-order
degradation of three dissolved species in groundwater. Dimensionless and
mathematical analyses are used to examine the sensitivity of longitudinal
dispersivity in the parent and daughter analytical solutions. The results
indicate that the relative error decreases to less than 15% for the 1D advection
dominated and advection-dispersion analytical solutions of the parent and
daughter when the Damkohler number of the parent decreases to less than 1 (slow
degradation rate) and the Peclet number increases to greater than 6 (advection
dominated). To estimate first-order daughter product rate constants in advection
dominated zones, 1D, two-dimensional (2D), and three-dimensional (3D) steady
state analytical solutions with zero longitudinal dispersivity are also derived
for three first-order sequentially degrading compounds. The closed form of these
exact analytical solutions has the advantage of having (1) no numerical
integration or evaluation of complex-valued error function arguments, (2)
computational efficiency compared to problems with long times to reach steady
state, and (3) minimal effort for incorporation into spreadsheets. These
multispecies analytical solutions indicate that BIOCHLOR produces accurate
results for 1D steady-state, applications with longitudinal dispersion. Although
BIOCHLOR is inaccurate in multidimensional applications with longitudinal
dispersion, these multidimensional multispecies analytical solutions indicate
that BIOCHLOR produces accurate steady-state results when the longitudinal
dispersion is zero. As an application, the 1D advection-dominated analytical
solution is applied to estimate field-scale rate constants of 0.81, 0.74, and
0.69/year for trichloroethene, cis-1,2-dichloroethene, and vinyl chloride,
respectively, at the Harris Palm Bay, FL, CERCLA site.
PMID- 21883192
TI - Natural attenuation of septic system nitrogen by anammox.
AB - On-site disposal of sewage in septic systems can lead to groundwater plumes with
NO(3)(-)-N concentrations exceeding the common drinking water limit of 10 mg/L.
Currently, denitrification is considered as the principal natural attenuation
process. However, at a large seasonal-use septic system in Ontario (256
campsites), a suboxic zone exists where nitrogen removal of up to 80% occurs
including removal of NH(4)(+)-N. This zone has both NO(3)(-)-N and NH(4)(+)-N at
>5 mg/L each. In the distal NH(4)(+)-rich zone, NH(4)(+)-N concentrations (8.1 +/
8.0 mg/L) are lower than in the proximal zone (48 +/- 36 mg/L) and NH(4)(+)-N is
isotopically enriched (concentration-weighted mean delta(15)N of +15.70/00)
compared to the proximal zone (+7.80/00). Furthermore, delta(15)N-NH(4)(+)
isotopic enrichment increases with depth in the distal zone, which is opposite to
what would result if nitrification along the water table zone was the mechanism
causing NH(4)(+) depletion. Bacterial community composition was assessed with
molecular (DNA-based) analysis and demonstrated that groundwater bacterial
populations were predominantly composed of bacteria from two Candidatus genera of
the Planctomycetales (Brocadia and Jettenia). Together, these data provide strong
evidence that anaerobic ammonium oxidation (anammox) plays an important role in
nitrogen attenuation at this site.
PMID- 21883194
TI - Comparison of approaches for predicting solute transport: sandbox experiments.
AB - The main purpose of this paper was to compare three approaches for predicting
solute transport. The approaches include: (1) an effective
parameter/macrodispersion approach (Gelhar and Axness 1983); (2) a heterogeneous
approach using ordinary kriging based on core samples; and (3) a heterogeneous
approach based on hydraulic tomography. We conducted our comparison in a
heterogeneous sandbox aquifer. The aquifer was first characterized by taking 48
core samples to obtain local-scale hydraulic conductivity (K). The spatial
statistics of these K values were then used to calculate the effective
parameters. These K values and their statistics were also used for kriging to
obtain a heterogeneous K field. In parallel, we performed a hydraulic tomography
survey using hydraulic tests conducted in a dipole fashion with the drawdown data
analyzed using the sequential successive linear estimator code (Yeh and Liu 2000)
to obtain a K distribution (or K tomogram). The effective parameters and the
heterogeneous K fields from kriging and hydraulic tomography were used in forward
simulations of a dipole conservative tracer test. The simulated and observed
breakthrough curves and their temporal moments were compared. Results show an
improvement in predictions of drawdown behavior and tracer transport when the K
tomogram from hydraulic tomography was used. This suggests that the high
resolution prediction of solute transport is possible without collecting a large
number of small-scale samples to estimate flow and transport properties that are
costly to obtain at the field scale.
PMID- 21883195
TI - Modeling fresh water lens damage and recovery on atolls after storm-wave
washover.
AB - The principal natural source of fresh water on scattered coral atolls throughout
the tropical Pacific Ocean is thin unconfined groundwater lenses within islet
substrates. Although there are many threats to the viability of atoll fresh water
lenses, salinization caused by large storm waves washing over individual atoll
islets is poorly understood. In this study, a mathematical modeling approach is
used to examine the immediate responses, longer-term behavior, and subsequent
(partial) recovery of a Pacific atoll fresh water lens after saline damage caused
by cyclone-generated wave washover under different scenarios. Important findings
include: (1) the saline plume formed by a washover event mostly migrates downward
first through the top coral sand and gravel substrate, but then exits the aquifer
to the ocean laterally through the more permeable basement limestone; (2) a lower
water table position before the washover event, rather than a longer duration of
storm washover, causes more severe damage to the fresh water lens; (3) relatively
fresher water can possibly be found as a preserved horizon in the deeper part of
an aquifer after disturbance, especially if the fresh water lens extends into the
limestone under normal conditions; (4) post-cyclone accumulation of sea water in
the central depression (swamp) of an atoll islet prolongs the later stage of
fresh water lens recovery.
PMID- 21883196
TI - Migraine misdiagnosed as idiopathic intracranial hypertension.
AB - This article describes a single case of migraine headaches misdiagnosed as
idiopathic intracranial hypertension in a young woman. The implications of such a
diagnosis are discussed. Literature regarding normal intracranial pressure is
reviewed.
PMID- 21883197
TI - OnabotulinumtoxinA for treatment of chronic migraine: pooled analyses of the 56
week PREEMPT clinical program.
AB - OBJECTIVE: To evaluate safety and efficacy of onabotulinumtoxinA (BOTOX((r)) ) as
headache prophylaxis in adults with chronic migraine. BACKGROUND: Chronic
migraine is a prevalent, disabling, and undertreated neurological disorder.
OnabotulinumtoxinA is the only approved prophylactic therapy in this highly
disabled patient population. DESIGN AND METHODS: Two phase III, 24-week, double
blind, parallel-group, placebo-controlled studies, followed by a 32-week, open
label, single-treatment, onabotulinumtoxinA phase, were conducted (January 23,
2006 to August 11, 2008). Qualified subjects were randomized (1:1) to injections
of onabotulinumtoxinA (155-195 U) or placebo every 12 weeks for 5 cycles (double
blind: 2, open-label: 3). The pooled primary variable was mean change from
baseline in frequency of headache days. Secondary variables included proportion
of patients with severe Headache Impact Test-6 score (>= 60) and mean changes
from baseline in frequencies of migraine days, moderate/severe headache days, and
migraine episodes; cumulative hours of headache on headache days; and acute
headache medication intakes. The primary time point was week 24. Assessments for
the open-label phase (all patients treated with onabotulinumtoxinA) compared
double-blind treatment groups (onabotulinumtoxinA/onabotulinumtoxinA vs
placebo/onabotulinumtoxinA) and are summarized to give a descriptive view of
consistent study results, with inferences regarding statistical significance only
examined for week 56. RESULTS: A total of 1384 patients were randomized to
onabotulinumtoxinA (n = 688) or placebo (n = 696) in the double-blind phase; 607
(88.2%) onabotulinumtoxinA/onabotulinumtoxinA and 629 (90.4%)
placebo/onabotulinumtoxinA patients continued into the open-label phase.
OnabotulinumtoxinA/onabotulinumtoxinA treatment statistically significantly
reduced headache-day frequency vs placebo/onabotulinumtoxinA in patients with
chronic migraine at week 56 (-11.7 onabotulinumtoxinA/onabotulinumtoxinA, -10.8
placebo/onabotulinumtoxinA; P = .019). Statistically significant reductions also
favored onabotulinumtoxinA/onabotulinumtoxinA for several secondary efficacy
variables at week 56, including frequencies of migraine days (-11.2
onabotulinumtoxinA/onabotulinumtoxinA, -10.3 placebo/onabotulinumtoxinA; P =
.018) and moderate/severe headache days (-10.7
onabotulinumtoxinA/onabotulinumtoxinA, -9.9 placebo/onabotulinumtoxinA; P = .027)
and cumulative headache hours on headache days (-169.1
onabotulinumtoxinA/onabotulinumtoxinA, -145.7 placebo/onabotulinumtoxinA; P =
.018). After the open-label phase (all treated with onabotulinumtoxinA),
statistically significant within-group changes from baseline were observed for
all efficacy variables. Most patients (72.6%) completed the open-label phase; few
discontinued because of adverse events. No new safety or tolerability issues
emerged. CONCLUSIONS: Repeated treatment with <= 5 cycles of onabotulinumtoxinA
was effective, safe, and well tolerated in adults with chronic migraine.
PMID- 21883198
TI - Aspirin is first-line treatment for migraine and episodic tension-type headache
regardless of headache intensity.
AB - OBJECTIVES: (1) To establish whether pre-treatment headache intensity in migraine
or episodic tension-type headache (ETTH) predicts success or failure of treatment
with aspirin; and (2) to reflect, accordingly, on the place of aspirin in the
management of these disorders. BACKGROUND: Stepped care in migraine management
uses symptomatic treatments as first-line, reserving triptans for those in whom
this proves ineffective. Stratified care chooses between symptomatic therapy and
triptans as first-line on an individual basis according to perceived illness
severity. We questioned the 2 assumptions underpinning stratified care in
migraine that greater illness severity: (1) reflects greater need; and (2) is a
risk factor for failure of symptomatic treatment but not of triptans. METHODS:
With regard to the first assumption, we developed a rhetorical argument that need
for treatment is underpinned by expectation of benefit, not by illness severity.
To address the second, we reviewed individual patient data from 6 clinical trials
of aspirin 1000 mg in migraine (N = 2079; 1165 moderate headache, 914 severe) and
one of aspirin 500 and 1000 mg in ETTH (N = 325; 180 moderate, 145 severe),
relating outcome to pre-treatment headache intensity. RESULTS: In migraine, for
headache relief at 2 hours, a small (4.7%) and non-significant risk difference
(RD) in therapeutic gain favored moderate pain; for pain freedom at 2 hours,
therapeutic gains were almost identical (RD: -0.2%). In ETTH, for headache relief
at 2 hours, RDs for both aspirin 500 mg (-4.2%) and aspirin 1000 mg (-9.7%)
favored severe pain, although neither significantly; for pain freedom at 2 hours,
RDs (-14.2 and -3.6) again favored severe pain. CONCLUSION: In neither migraine
nor ETTH does pre-treatment headache intensity predict success or failure of
aspirin. This is not an arguable basis for stratified care in migraine. In both
disorders, aspirin is first-line treatment regardless of headache intensity.
PMID- 21883199
TI - Nighttime blood pressure in cluster headache.
AB - BACKGROUND: It has been proposed that desaturation of oxygen during an apnea
event is the trigger for cluster headache. Obstructive sleep apnea has been
associated with a higher than normal cardiovascular morbidity and mortality. Some
obstructive sleep apnea syndrome patients lack the sleep-related, nocturnal
decrease, or "dip" in blood pressure, which is seen in normal individuals.
OBJECTIVE: The aim of this study is to assess whether this non-dipper pattern is
present in cluster headache patients. DESIGN AND METHODS: A total of 30
normotensive cluster headache patients underwent an ambulatory blood pressure
monitoring. "Non dippers" were defined as patients with a nighttime mean blood
pressure fall <10%. RESULTS: Fifteen cluster headache patients (50%) were non
dippers, a frequency higher than expected. The pattern of nocturnal non-dipping
is associated with a higher body mass index. Non-dipper patients displayed higher
mean nighttime systolic and diastolic blood pressure. No significant difference
was observed in the mean 24-hour and daytime blood pressure. CONCLUSIONS: The
high incidence (50%) of non-dipper pattern in both processes, cluster headache
and obstructive sleep apnea syndrome, provides support for the hypothesis of a
relationship between theses 2 disorders.
PMID- 21883200
TI - Sumatriptan-naproxen migraine efficacy in allodynic patients: early intervention.
AB - OBJECTIVE: This study evaluated the effectiveness of a single fixed-dose tablet
of sumatriptan 85 mg/naproxen sodium 500 mg (sumatriptan-naproxen) using a very
early treatment paradigm in migraine patients whose attacks were historically
accompanied by cutaneous allodynia. BACKGROUND: Evidence suggests that allodynic
migraineurs may demonstrate a better response when treated prior to developing
central sensitization, and that these patients are treated more effectively with
a compound of sumatriptan and naproxen sodium than either drug alone. This study
targeted patients who have accompanying allodynia using a very early treatment
paradigm where treatment was initiated while symptoms were still mild. METHODS:
This was an open-label prospective, outpatient study of adult migraineurs who had
screened positive for cutaneous allodynia and typically experienced moderate to
severe pain preceded by an identifiable mild pain phase. Patients were treated
with sumatriptan-naproxen using a very early intervention paradigm in 4 test
migraines over 12 weeks where dosage occurred within 30 minutes of symptom onset.
Data from diaries and questionnaires were used to evaluate the primary endpoints
of sustained pain-free response at 24 hours post dose (using no second dose of
study drug and no other rescue drugs), and overall satisfaction with sumatriptan
naproxen. RESULTS: Forty allodynic migraineurs enrolled in this study and
reported a total of 160 migraines. Of these migraines, 78 (49%) achieved
sustained pain-free at 24 hours and 94 (59%) were reported as pain-free at 2
hours. The number of patients who rated their Overall Satisfaction following
treatment with sumatriptan-naproxen as "Satisfied" (satisfied or very satisfied)
was 32 (80%) after the first migraine and 25 (63%) after 3 or more migraines.
CONCLUSIONS: In this open-label study, allodynic patients reported that their
migraine attacks responded well and they achieved a high degree of satisfaction
following treatment with a fixed-dose tablet of sumatriptan 85 mg/naproxen sodium
500 mg administered in a very early treatment paradigm.
PMID- 21883202
TI - Bayesian inference on age-specific survival for censored and truncated data.
AB - 1. Traditional estimation of age-specific survival and mortality rates in
vertebrates is limited to individuals with known age. Although this subject has
been studied extensively using effective capture-recapture and capture-recovery
models, inference remains challenging because of large numbers of incomplete
records (i.e. unknown age of many individuals) and because of the inadequate
duration of the studies. 2. Here, we present a hierarchical model for capture
recapture/recovery (CRR) data sets with large proportions of unknown times of
birth and death. The model uses a Bayesian framework to draw inference on
population-level age-specific demographic rates using parametric survival
functions and applies this information to reconstruct times of birth and death
for individuals with unknown age. 3. We simulated a set of CRR data sets with
varying study span and proportions of individuals with known age, and varying
recapture and recovery probabilities. We used these data sets to compare our
method to a traditional CRR model, which requires knowledge of individual ages.
Subsequently, we applied our method to a subset of a long-term CRR data set on
Soay sheep. 4. Our results show that this method performs better than the common
CRR model when sample sizes are low. Still, our model is sensitive to the choice
of priors with low recapture probability and short studies. In such cases, priors
that overestimate survival perform better than those that underestimate it. Also,
the model was able to estimate accurately ages at death for Soay sheep, with an
average error of 0.94 years and to identify differences in mortality rate between
sexes. 5. Although many of the problems in the estimation of age-specific
survival can be reduced through more efficient sampling schemes, most ecological
data sets are still sparse and with a large proportion of missing records. Thus,
improved sampling needs still to be combined with statistical models capable of
overcoming the unavoidable limitations of any fieldwork. We show that our
approach provides reliable estimates of parameters and unknown times of birth and
death even with the most incomplete data sets while being flexible enough to
accommodate multiple recapture probabilities and covariates.
PMID- 21883201
TI - A quantitative analysis of transcriptionally active syncytiotrophoblast nuclei
across human gestation.
AB - The syncytiotrophoblast (STB) epithelial covering of the human placenta is a
unique terminally differentiated, multi-nucleated syncytium. No mitotic bodies
are observed in the STB, which is sustained by continuous fusion of underlying
cytotrophoblast cells (CTB). As a result, STB nuclei are of different ages.
Morphologically, they display varying degrees of chromatin compaction, suggesting
progressive maturational changes. Until recently, it was thought that STB nuclei
were transcriptionally inactive, with all the mRNAs required by the syncytium
being incorporated upon fusion of CTB. However, recent research has shown the
presence of the active form of RNA polymerase II (RNA Pol II) in some STB nuclei.
In this study, we confirm the presence of transcriptional activity in STB nuclei
by demonstrating immunoreactivity for a transcription factor and an RNA
polymerase I (RNA Pol I) co-factor, phospho-cAMP response element-binding protein
and phospho-upstream binding factor, respectively. We also show, through immunoco
localisation studies, that a proportion of STB nuclei are both RNA Pol I and II
transcriptionally active. Finally, we quantify the numerical densities of nuclei
immunopositive and immunonegative for RNA Pol II in the STB of normal placentas
of 11-39 weeks gestational age using an unbiased stereological counting tool, the
physical disector. These data were combined with estimates of the volume of
trophoblast to calculate total numbers of both types of nuclei at each
gestational age. We found no correlation between gestational age and the
numerical density of RNA Pol II-positive nuclei in the villous trophoblast (r =
0.39, P > 0.05). As the number of STB nuclei increases exponentially during
gestation, we conclude that the number of transcriptionally active nuclei
increases in proportion to trophoblast volume. The ratio of active to inactive
nuclei remains constant at 3.9:1. These findings confirm that the majority of STB
nuclei have intrinsic transcriptional activity, and that the STB is not dependent
on CTB fusion for the provision of transcripts.
PMID- 21883203
TI - Trophic links between functional groups of arable plants and beetles are stable
at a national scale.
AB - 1. There is an urgent need to accurately model how environmental change affects
the wide-scale functioning of ecosystems, but advances are hindered by a lack of
knowledge of how trophic levels are linked across space. It is unclear which
theoretical approach to take to improve modelling of such interactions, but
evidence is gathering that linking species responses to their functional traits
can increase understanding of ecosystem dynamics. Currently, there are no
quantitative studies testing how this approach might improve models of multiple,
trophically interacting species, at wide spatial scales. 2. Arable weeds play a
foundational role in linking food webs, providing resources for many taxa,
including carabid beetles that feed on their seeds and weed-associated
invertebrate prey. Here, we model associations between weeds and carabids across
farmland in Great Britain (GB), to test the hypothesis that wide-scale trophic
links between these groups are structured by their species functional traits. 3.
A network of c. 250 arable fields, covering four crops and most lowland areas of
GB, was sampled for weed, carabid and invertebrate taxa over 3 years. Data sets
of these groups were closely matched in time and space, and each contained
numerous species with a range of eco-physiological traits. The consistency of
trophic linkages between multiple taxa sharing functional traits was tested
within multivariate and log-linear models. 4. Robust links were established
between the functional traits of taxa and their trophic interactions. Autumn
germinating, small-seeded weeds were associated with smaller, spring-breeding
carabids, more specialised in seed feeding, whereas spring-germinating, large
seeded weeds were associated with a range of larger, autumn-breeding omnivorous
carabids. These relationships were strong and dynamic, being independent of
changes in invertebrate food resources and consistent across sample dates, crops
and regions of GB. 5. We conclude that, in at least one system of interacting
taxa, functional traits can be used to predict consistent, wide-scale trophic
links. This conceptual approach is useful for assessing how perturbations
affecting lower trophic levels are ramified throughout ecosystems and could be
used to assess how environmental change affects a wider range of secondary
consumers.
PMID- 21883204
TI - A randomized controlled trial of preschool-based joint attention intervention for
children with autism.
AB - BACKGROUND: Deficits in joint attention (JA) and joint engagement (JE) represent
a core problem in young children with autism as these affect language and social
development. Studies of parent-mediated and specialist-mediated JA-intervention
suggest that such intervention may be effective. However, there is little
knowledge about the success of the intervention when done in preschools. AIM:
Assess the effects of a preschool-based JA-intervention. METHODS: 61 children (48
males) with autistic disorder (29-60 months) were randomized to either 8 weeks of
JA-intervention, in addition to their preschool programs (n = 34), or to
preschool programs only (n = 27). The intervention was done by preschool teachers
with weekly supervision by trained counselors from Child and Adolescent Mental
Health Clinics (CAMHC). Changes in JA and JE were measured by blinded independent
testers using Early Social Communication Scale (ESCS) and video taped preschool
teacher-child and mother-child play at baseline and post-intervention. CLINICAL
TRIALS REGISTRATION: Clinicaltrials.gov: NCT00378157. RESULTS: Intention-to-treat
analysis showed significant difference between the intervention and the control
group, with the intervention group yielding more JA initiation during interaction
with the preschool teachers. The effect generalized to significantly longer
duration of JE with the mothers. CONCLUSIONS: This is the first randomized study
to show positive and generalized effects of preschool-based JA-intervention.
PMID- 21883206
TI - Persisting mental health problems among AIDS-orphaned children in South Africa.
AB - BACKGROUND: By 2008, 12 million children in sub-Saharan Africa were orphaned by
AIDS. Cross-sectional studies show psychological problems for AIDS-orphaned
children, but until now no longitudinal study has explored enduring psychological
effects of AIDS-orphanhood in the developing world. METHODS: A 4-year
longitudinal follow-up of AIDS-orphaned children with control groups of other
orphans and non-orphans. 1021 children (M = 13.4 years, 50% female, 98% isiXhosa
speaking) were interviewed in 2005 and followed up in 2009 with 71% retention
(49% female, M = 16.9 years), in poor urban South African settlements. Children
were interviewed using sociodemographic questionnaires and well-validated
standardised scales for assessing depression, anxiety, and post-traumatic stress.
Data were analysed using mixed-design ANOVA and backward-stepping regression.
RESULTS: AIDS-orphaned children showed higher depression, anxiety, and post
traumatic stress disorder (PTSD) scores in both 2005 and 2009 when compared with
other-orphans and non-orphans. Backward-stepping regression, controlling for
baseline mental health, and sociodemographic cofactors such as age, gender, and
type of bereavement, revealed that being AIDS-orphaned in 2005 was associated
with depression, anxiety, and PTSD scores in 2009. This was not the case for
other-orphaned or non-orphaned children. Age interacted with orphan status, such
that there was a steep rise in psychological distress in the AIDS-orphaned group,
but no rise with age amongst other-orphans and non-orphans. CONCLUSIONS:
Negative mental health outcomes amongst AIDS-orphaned children are maintained and
worsen over a 4-year period. It is important that psychosocial support programmes
are sustained, and focus on youth as well as young children.
PMID- 21883207
TI - Quantification of human mitochondrial DNA using synthesized DNA standards.
AB - Successful mitochondrial DNA (mtDNA) forensic analysis depends on sufficient
quantity and quality of mtDNA. A real-time quantitative PCR assay was developed
to assess such characteristics in a DNA sample, which utilizes a duplex,
synthetic DNA to ensure optimal quality assurance and quality control. The
assay's 105-base pair target sequence facilitates amplification of degraded DNA
and is minimally homologous to nonhuman mtDNA. The primers and probe hybridize to
a region that has relatively few sequence polymorphisms. The assay can also
identify the presence of PCR inhibitors and thus indicate the need for sample
repurification. The results show that the assay provides information down to 10
copies and provides a dynamic range spanning seven orders of magnitude.
Additional experiments demonstrated that as few as 300 mtDNA copies resulted in
successful hypervariable region amplification, information that permits sample
conservation and optimized downstream PCR testing. The assay described is rapid,
reliable, and robust.
PMID- 21883205
TI - Combining information from multiple sources for the diagnosis of autism spectrum
disorders for toddlers and young preschoolers from 12 to 47 months of age.
AB - BACKGROUND: Purpose of this study was to systematically examine combined use of
the Autism Diagnostic Interview-Revised (ADI-R) and Autism Diagnostic Observation
Schedule (ADOS) for children under age 4 using newly developed and revised
diagnostic algorithms. METHODS: Single and combined use of the ADI-R and ADOS
algorithms were compared to clinical best estimate diagnoses for 435 children
with autism spectrum disorders (ASD), 113 children with nonspectrum disorders,
and 47 children with typical development from 12 to 47 months of age. Sequential
strategies to reach a diagnostic decision by prioritizing administrations of
instruments were also evaluated. RESULTS: Well-balanced sensitivities and
specificities above 80% were obtained for ASD diagnoses using both instruments.
Specificities significantly improved when both instruments were used compared to
one. Scores that can be used to systematically prioritize administrations of
instruments were identified. CONCLUSIONS: The ADI-R and ADOS make independent,
additive contributions to more accurate diagnostic decisions for clinicians
evaluating toddlers and young preschoolers with ASD. Sequential assessment
strategies using the scores identified may be appropriate for some children.
PMID- 21883208
TI - Autofluorescence imaging, an excellent tool for comparative morphology.
AB - Here we present a set of methods for documenting (exo-)morphology by applying
autofluorescence imaging. For arthropods, but also for other taxa,
autofluorescence imaging combined with composite imaging is a fast documentation
method with high-resolution capacities. Compared to conventional micro- and
macrophotography, the illumination is much more homogenous, and structures are
often better contrasted. Applying different wavelengths to the same object can
additionally be used to enhance distinct structures. Autofluorescence imaging can
be applied to dried and embedded specimens, but also directly on specimens within
their storage liquid. This has an enormous potential for the documentation of
rare specimens and especially type specimens without the need of preparation.
Also for various fossils, autofluorescence can be used to enhance the contrast
between the fossil and the matrix significantly, making even smallest details
visible. 'Life-colour' fluorescence especially is identified as a technique with
great potential. It provides additional information for which otherwise more
complex methods would have to be applied. The complete range of differences and
variations between fluorescence macrophotography and different types of
fluorescence microscopy techniques are here explored and evaluated in detail.
Also future improvements are suggested. In summary, autofluorescence imaging is a
powerful, easy and fast-to-apply tool for morphological studies.
PMID- 21883209
TI - Analysis and discrimination of electrical tapes: part II. Backings.
AB - The backings of 90 black electrical tapes were analyzed to evaluate the chemical
components of these films, the ability of individual techniques to discriminate
samples, and the ability of the techniques combined to distinguish samples. The
techniques utilized and their respective discrimination results were
stereomicroscopy and physical measurements, to include observation of surface
features of the backing, width, and thickness measurements (c. 64%); Fourier
transform infrared spectroscopy (FTIR) using a microscope accessory (c. 83%);
pyrolysis-gas chromatography/mass spectrometry (Py-GC/MS; c. 81%); and scanning
electron microscopy/energy-dispersive spectroscopy (SEM/EDS; c. 87%). Ninety-four
percent of the backings were discriminated through this combination of analytical
methods. Finally, evaluating these results in conjunction with previously
published data on the analysis of the adhesives from the same set of electrical
tapes provided an overall discrimination of nearly 96%.
PMID- 21883210
TI - Identifying threading dislocations in GaN films and substrates by electron
channelling.
AB - Electron channelling contrast imaging of threading dislocations in GaN (0002)
substrates and epitaxial films has been demonstrated using a conventional
polepiece-mounted backscatter detector in a commercial scanning electron
microscope. The influence of accelerating voltage and diffraction vector on
contrast features denoting specific threading dislocation types has been studied.
As confirmed by coordinated transmission electron microscopy analysis, electron
channelling contrast imaging contrast features for edge-type threading
dislocations are spatially smaller than mixed-type threading dislocations in GaN.
This ability to delineate GaN edge threading dislocations from mixed type was
also confirmed by defect-selective etch processing using molten MgO/KOH. This
study validates electron channelling contrast imaging as a nondestructive and
widely accessible method for spatially mapping and identifying dislocations in
GaN with wider applicability for other single-crystal materials.
PMID- 21883211
TI - A steroid modulatory domain in NR2A collaborates with NR1 exon-5 to control NMDAR
modulation by pregnenolone sulfate and protons.
AB - NMDA receptor (NMDAR)-mediated excitatory synaptic transmission plays a critical
role in synaptic plasticity and memory formation, whereas its dysfunction may
underlie neuropsychiatric and neurodegenerative diseases. The neuroactive steroid
pregnenolone sulfate (PS) acts as a cognitive enhancer in impaired animals,
augments LTP in hippocampal slices by enhancing NMDAR activity, and may
participate in the reduction of schizophrenia's negative symptoms by systemic
pregnenolone. We report that the effects of PS on NMDAR function are diverse,
varying with subunit composition and NR1 splice variant. While PS potentiates NR1
1a/NR2B receptors through a critical steroid modulatory domain in NR2B that also
modulates tonic proton inhibition, potentiation of the NMDA response is not
dependent upon relief of such inhibition, a finding that distinguishes it from
spermine. In contrast, the presence of an NR2A subunit confers enhanced PS
potentiation at reduced pH, suggesting that it may indeed act like spermine does
at NR2B-containing receptors. Additional tuning of the NMDAR response by PS comes
via the N-terminal exon-5 splicing insert of NR1-1b, which regulates the
magnitude of proton-dependent PS potentiation. For NR2C- and NR2D-containing
receptors, negative modulation at NR2C receptors is pH-independent (like NR2B)
while negative modulation at NR2D receptors is pH-dependent (like NR2A). Taken
together, PS displays a rich modulatory repertoire that takes advantage of the
structural diversity of NMDARs in the CNS. The differential pH sensitivity of
NMDAR isoforms to PS modulation may be especially important given the emerging
role of proton sensors to both learning and memory, as well as brain injury.
PMID- 21883213
TI - Targeted disruption of neuronal 19S proteasome subunits induces the formation of
ubiquitinated inclusions in the absence of cell death.
AB - Proteasome-mediated proteolysis is a major protein degradation mechanism in cells
and its dysfunction has been implicated in the pathogenesis of several
neurodegenerative diseases, each with the common features of neuronal death and
formation of ubiquitinated inclusions found within neurites, the cell body, or
nucleus. Previous models of proteasome dysfunction have employed pharmacological
inhibition of the catalytic subunits of the 20S proteasome core, or the genetic
manipulation of specific subunits resulting in altered proteasome assembly. In
this study, we report the use of dominant negative subunits of the 19S regulatory
proteasome complex that mediate the recognition of ubiquitinated substrates as
well as the removal of the poly-ubiquitin chain. Interestingly, while each mutant
subunit-induced inclusion formation, like that seen with pharmacological
inhibition of the 20S proteasome, none was able to induce apoptotic death, or
trigger activation of macroautophagy, in either dopaminergic cell lines or
primary cortical neurons. This finding highlights the dissociation between the
mechanisms of neuronal inclusion formation and the induction of cell death, and
represents a novel cellular model for Lewy body-like inclusion formation in
neurons.
PMID- 21883212
TI - Genetic tracing of the gustatory neural pathway originating from Pkd1l3
expressing type III taste cells in circumvallate and foliate papillae.
AB - Polycystic kidney disease 1-like 3 (Pkd1l3) is expressed specifically in sour
sensing type III taste cells that have synaptic contacts with afferent nerve
fibers in circumvallate (CvP) and foliate papillae (FoP) located in the posterior
region of the tongue, although not in fungiform papillae (FuP) or the palate. To
visualize the gustatory neural pathways that originate from type III taste cells
in CvP and FoP, we established transgenic mouse lines that express the
transneuronal tracer wheat germ agglutinin (WGA) under the control of the mouse
Pkd1l3 gene promoter/enhancer. The WGA transgene was accurately expressed in
Pkd1l3-expressing type III taste cells in CvP and FoP. Punctate WGA protein
signals appeared to be detected specifically in type III taste cells but not in
other types of taste cells. WGA protein was transferred primarily to a subset of
neurons located in close proximity to the glossopharyngeal (GL) nerve bundles in
the nodose/petrosal ganglion (NPG). WGA signals were also observed in a small
population of neurons in the geniculate ganglion (GG). This result demonstrates
the anatomical connection between taste receptor cells (TRCs) in the FoP and the
chorda tympani (CT) nerves. WGA protein was further conveyed to neurons in a
rostro-central subdivision of the nucleus of the solitary tract (NST). These
findings demonstrate that the approximately 10 kb 5'-flanking region of the mouse
Pkd1l3 gene functions as a type III taste cell-specific promoter/enhancer. In
addition, experiments using the pkd1l3-WGA transgenic mice reveal a sour
gustatory pathway that originates from TRCs in the posterior region of the
tongue.
PMID- 21883214
TI - The in vivo neurochemistry of the brain during general anesthesia.
AB - Anesthesia describes a complex state composed of immobility, amnesia, hypnosis
(sleep or loss of consciousness), analgesia, and muscle relaxation. Bottom-up
approaches explain anesthesia by an interaction of the anesthetic with receptor
proteins in the brain, whereas top-down approaches consider predominantly
cortical and thalamic network activity and connectivity. Both approaches have a
number of explanatory gaps and as yet no unifying view has emerged. In addition
to a direct interaction with primary target receptor proteins, general
anesthetics have massive effects on neurotransmitter activity in the brain. They
can change basal transmitter levels by interacting with neuronal activity,
transmitter synthesis, release, reuptake and metabolism. By that way, they can
affect a great number of neurotransmitter systems and receptors. Here, we review
how different general anesthetics affect extracellular activity of
neurotransmitters in the brain during induction, maintenance, and emergence from
anesthesia and which functional consequences this may have. Commonalities and
differences between different groups of anesthetics in their action on
neurotransmitter activity are discussed. We also review how general anesthetics
affect the response dynamics of the neurotransmitter systems after sensory
stimulation. More than 30 years of research have now yielded a complex picture of
the effects of general anesthetics on brain neurotransmitter basal activity and
response dynamics. It is suggested that analyzing the effects on neurotransmitter
activity is the logical next step after protein interactions in a bottom-up
analysis of anesthetic action in the brain on the way to a unifying view of
anesthesia.
PMID- 21883215
TI - Blocking epidermal growth factor receptor attenuates reactive astrogliosis
through inhibiting cell cycle progression and protects against ischemic brain
injury in rats.
AB - Excessive astrogliosis is a major impediment to axonal regeneration in CNS
disorders. Overcoming this inhibitory barrier of reactive astrocytes might be
crucial for CNS repair. Up-regulation and activation of epidermal growth factor
receptor (EGFR) has been shown to trigger quiescent astrocytes into reactive
astrocytes in response to several neural injuries. In this study, we investigated
the effects of EGFR blockade in cultured astrocytes exposure to oxygen-glucose
deprivation/reoxygenation (OGD/R) and in the rat middle cerebral artery occlusion
(MCAO) model. Astrocytes in primary culture were used for OGD/R model and adult
male Sprague-Dawley rats were used for MCAO model. Cell cycle progression of
astrocytes in vitro was studied by flow cytometric analysis. Expression of
phosphorylated epidermal growth factor receptor (p-EGFR), glial fibrillary acidic
protein (GFAP), and cell proliferation-related molecules in vitro and in vivo
were evaluated by immunostaining and western blot analysis. Neuronal apoptosis
after MCAO was determined by terminal deoxynucleotidyl transferase dUTP nick end
labeling (TUNEL) method. Neurologic scores and infarct volumes post-ischemia were
assessed in the rat MCAO model. Astrocytes became activated in the cultured
astrocytes exposure to OGD/R and in the rat brain after MCAO, accompanied with
phosphorylation of EGFR. EGFR blockade significantly decreased expression of p
EGFR, inhibited cell cycle progression of astrocytes, and reduced reactive
astrogliosis in vitro and in vivo. EGFR inhibition also reduced infarct volumes
and improved neurologic scores of rats after MCAO. Our findings indicated that
blocking EGFR pathway might attenuate reactive astrogliosis through inhibiting
cell cycle progression and protect against ischemic brain injury in rats.
PMID- 21883217
TI - Dexamethasone-induced up-regulation of the human norepinephrine transporter
involves the glucocorticoid receptor and increased binding of C/EBP-beta to the
proximal promoter of norepinephrine transporter.
AB - Previously, we have found glucocorticoids up-regulate norepinephrine (NE)
transporter (NET) expression in vitro. However, the underlying transcriptional
mechanism is poorly understood. In this study, the role of glucocorticoids on the
transcriptional regulation of NET was investigated. Exposure of neuroblastoma SK
N-BE(2)M17 cells to dexamethasone (Dex) significantly increased NET mRNA and
protein levels in a time- and dose-dependent manner. This effect was attenuated
by glucocorticoid receptor (GR) antagonist mifepristone, suggesting that up
regulation of NET by Dex was mediated by the GR. In reporter gene assays,
exposure of cells to Dex resulted in dose-dependent increases of luciferase
activity that were also prevented by mifepristone. Serial deletions of the NET
promoter delineated Dex-responsiveness to a -301 to -148 bp region containing a
CCAAT/enhancer binding protein-beta (C/EBP-beta) response element. Co
immunoprecipitation experiments demonstrated that Dex treatment caused the
interaction of the GR with C/EBP-beta. Chromatin immunoprecipitation (ChIP) assay
revealed that Dex exposure resulted in binding of both GR and C/EBP-beta to the
NET promoter. Further experiments showed that mutation of the C/EBP-beta response
element abrogated C/EBP-beta- and GR-mediated transactivation of NET. These
findings demonstrate that Dex-induced increase in NET expression is mediated by
the GR via a non-conventional transcriptional mechanism involving interaction of
C/EBP-beta with a C/EBP-beta response element.
PMID- 21883216
TI - Dysregulated phosphorylation of Ca(2+) /calmodulin-dependent protein kinase II
alpha in the hippocampus of subjects with mild cognitive impairment and
Alzheimer's disease.
AB - Alzheimer's disease (AD) is a progressive, neurodegenerative disorder and the
most prevalent senile dementia. The early symptom of memory dysfunction involves
synaptic loss, thought to be mediated by soluble amyloid-beta (Abeta) oligomers.
These aggregate species target excitatory synapses and their levels correlate
with disease severity. Studies in cell culture and rodents have shown that
oligomers increase intracellular calcium (Ca(2+)), impairing synaptic plasticity.
Yet, the molecular mechanism mediating Abeta oligomers' toxicity in the aged
brain remains unclear. Here, we apply quantitative immunofluorescence in human
brain tissue from clinically diagnosed mild cognitive impaired (MCI) and AD
patients to investigate the distribution of phosphorylated (active) Ca(2+)
/calmodulin-dependent protein kinase-alpha (p(Thr286)CaMKII), a critical enzyme
for activity-dependent synaptic remodeling associated with cognitive function. We
show that p(Thr286)CaMKII immunoreactivity is redistributed from dendritic
arborizations to neural perikarya of both MCI and AD hippocampi. This finding
correlates with cognitive assessment scores, suggesting that it may be a
molecular read-out of the functional deficits in early AD. Treatment with
oligomeric Abeta replicated the observed phenotype in mice and resulted in a loss
of p(Thr286)CaMKII from synaptic spines of primary hippocampal neurons. Both
outcomes were prevented by inhibiting the phosphatase calcineurin (CaN).
Collectively, our results support a model in which the synaptotoxicity of Abeta
oligomers in human brain involves the CaN-dependent subcellular redistribution of
p(Thr286)CaMKII. Therapies designed to normalize the homeostatic imbalance of
neuronal phosphatases and downstream dephosphorylation of synaptic
p(Thr286)CaMKII should be considered to prevent and treat early AD.
PMID- 21883218
TI - Dual neuroprotective pathways of a pro-electrophilic compound via HSF-1-activated
heat-shock proteins and Nrf2-activated phase 2 antioxidant response enzymes.
AB - Activation of the Keap1/nuclear factor erythroid 2-related factor 2 (Nrf2)
pathway and consequent induction of phase 2 antioxidant enzymes is known to
afford neuroprotection. Here, we present a series of novel electrophilic
compounds that protect neurons via this pathway. Natural products, such as
carnosic acid (CA), are present in high amounts in the herbs rosemary and sage as
ortho-dihydroquinones, and have attracted particular attention because they are
converted by oxidative stress to their active form (ortho-quinone species) that
stimulate the Keap1/Nrf2 transcriptional pathway. Once activated, this pathway
leads to the production of a series of antioxidant phase 2 enzymes. Thus, such
dihydroquinones function as redox-activated 'pro-electrophiles'. Here, we
explored the concept that related para-dihydroquinones represent even more
effective bioactive pro-electrophiles for the induction of phase 2 enzymes
without producing toxic side effects. We synthesized several novel para
hydroquinone-type pro-electrophilic compounds (designated D1 and D2) to analyze
their protective mechanism. DNA microarray, PCR, and western blot analyses showed
that compound D1 induced expression of heat-shock proteins (HSPs), including
HSP70, HSP27, and DnaJ, in addition to phase 2 enzymes such as hemeoxygenase-1
(HO-1), NADP(H) quinine-oxidoreductase1, and the Na(+)-independent
cystine/glutamate exchanger (xCT). Treatment with D1 resulted in activation of
Nrf2 and heat-shock transcription factor-1 (HSF-1) transcriptional elements, thus
inducing phase 2 enzymes and HSPs, respectively. In this manner, D1 protected
neuronal cells from both oxidative and endoplasmic reticulum (ER)-related stress.
Additionally, D1 suppressed induction of 78 kDa glucose-regulated protein
(GRP78), an ER chaperone protein, and inhibited hyperoxidation of peroxiredoxin 2
(PRX2), a molecule that is in its reduced state can protect from oxidative
stress. These results suggest that D1 is a novel pro-electrophilic compound that
activates both the Nrf2 and HSF-1 pathways, and may thus offer protection from
oxidative and ER stress.
PMID- 21883219
TI - Reduced levels of the tyrosine phosphatase STEP block beta amyloid-mediated
GluA1/GluA2 receptor internalization.
AB - Striatal-Enriched protein tyrosine Phosphatase of MW 61 kDa (STEP(61)) is a
protein tyrosine phosphatase recently implicated in the pathophysiology of
Alzheimer's disease (AD). STEP(61) is elevated in human AD prefrontal cortex and
in the cortex of several AD mouse models. The elevated levels of active STEP(61)
down-regulate surface expression of GluN1/GluN2B (formerly NR1/NR2B) receptor
complexes, while genetically reducing STEP levels rescues both the biochemical
and cognitive deficits in a triple transgenic AD mouse model (3xTg-AD). Here, we
show that increased STEP(61) also plays a role in beta amyloid (Abeta)-mediated
internalization of the alpha-amino-3-hydroxy-5-methyl-4-(AMPA) receptor (AMPAR)
subunits GluA1/GluA2 (formerly GluR1/GluR2). We purified Abeta oligomers and
determined that oligomers, but not monomers, lead to endocytosis of GluA1/GluA2
receptors in cortical cultures. The decrease in GluA1/GluA2 receptors is reversed
in the progeny of STEP knock-out (KO) mice crossed with Tg2576 mice, despite
elevated levels of Abeta. These results provide strong support for the hypothesis
that STEP(61) is required for Abeta-mediated internalization of GluA1/GluA2
receptors.
PMID- 21883220
TI - Diabetic neuropathy enhances voltage-activated Ca2+ channel activity and its
control by M4 muscarinic receptors in primary sensory neurons.
AB - Painful neuropathy is one of the most serious complications of diabetes and
remains difficult to treat. The muscarinic acetylcholine receptor (mAChR)
agonists have a profound analgesic effect on painful diabetic neuropathy. Here we
determined changes in T-type and high voltage-activated Ca(2+) channels (HVACCs)
and their regulation by mAChRs in dorsal root ganglion (DRG) neurons in a rat
model of diabetic neuropathy. The HVACC currents in large neurons, T-type
currents in medium and large neurons, the percentage of small DRG neurons with T
type currents, and the Cav3.2 mRNA level were significantly increased in diabetic
rats compared with those in control rats. The mAChR agonist oxotremorine-M
significantly inhibited HVACCs in a greater proportion of DRG neurons with and
without T-type currents in diabetic than in control rats. In contrast,
oxotremorine-M had no effect on HVACCs in small and large neurons with T-type
currents and in most medium neurons with T-type currents from control rats. The
M(2) and M(4) antagonist himbacine abolished the effect of oxotremorine-M on
HVACCs in both groups. The selective M(4) antagonist muscarinic toxin-3 caused a
greater attenuation of the effect of oxotremorine-M on HVACCs in small and medium
DRG neurons in diabetic than in control rats. Additionally, the mRNA and protein
levels of M(4), but not M(2), in the DRG were significantly greater in diabetic
than in control rats. Our findings suggest that diabetic neuropathy potentiates
the activity of T-type and HVACCs in primary sensory neurons. M(4) mAChRs are up
regulated in DRG neurons and probably account for increased muscarinic analgesic
effects in diabetic neuropathic pain.
PMID- 21883222
TI - 'Clinical trials in Alzheimer's disease': immunotherapy approaches.
AB - Recent advances in the understanding of Alzheimer's disease pathogenesis have led
to the development of numerous compounds that might modify the disease process.
Amyloid beta (Abeta) peptide represents an important molecular target for
intervention in Alzheimer's disease. Several types of Abeta peptide immunotherapy
for Alzheimer's disease are under investigation, direct immunization with
synthetic intact Abeta(42) , active immunization involving the administration of
synthetic fragments of Abeta peptide conjugated to a carrier protein and passive
administration with monoclonal antibodies directed against Abeta peptide. Pre
clinical studies showed that immunization against Abeta peptide can provide
protection and reversal of the pathology of Alzheimer's disease in animal models.
Indeed, several adverse events have been described like meningoencephalitis with
AN1792, vasogenic edema and microhemorrhages with bapineuzumab. Although
immunotherapy approaches resulted in clearance of amyloid plaques in patients
with Alzheimer's disease, this clearance did not show significant cognitive
effect for the moment. Currently, several Abeta peptide immunotherapy approaches
are under investigation but also against tau pathology.
PMID- 21883221
TI - Knockout of G protein beta5 impairs brain development and causes multiple
neurologic abnormalities in mice.
AB - Gbeta5 is a divergent member of the signal-transducing G protein beta subunit
family encoded by GNB5 and expressed principally in brain and neuronal tissue.
Among heterotrimeric Gbeta isoforms, Gbeta5 is unique in its ability to
heterodimerize with members of the R7 subfamily of the regulator of G protein
signaling proteins that contain G protein-gamma like domains. Previous studies
employing Gnb5 knockout (KO) mice have shown that Gbeta5 is an essential
stabilizer of such regulator of G protein signaling proteins and regulates the
deactivation of retinal phototransduction and the proper functioning of retinal
bipolar cells. However, little is known of the function of Gbeta5 in the brain
outside the visual system. We show here that mice lacking Gbeta5 have a markedly
abnormal neurologic phenotype that includes impaired development, tiptoe-walking,
motor learning and coordination deficiencies, and hyperactivity. We further show
that Gbeta5-deficient mice have abnormalities of neuronal development in
cerebellum and hippocampus. We find that the expression of both mRNA and protein
from multiple neuronal genes is dysregulated in Gnb5 KO mice. Taken together with
previous observations from Gnb5 KO mice, our findings suggest a model in which
Gbeta5 regulates dendritic arborization and/or synapse formation during
development, in part by effects on gene expression.
PMID- 21883223
TI - Activation of alpha-secretase cleavage.
AB - Alpha-secretase-mediated cleavage of the amyloid precursor protein (APP) releases
the neuroprotective APP fragment salphaAPP and prevents amyloid beta peptide
(Abeta) generation. Moreover, alpha-secretase-like cleavage of the Abeta
transporter 'receptor for advanced glycation end products' counteracts the import
of blood Abeta into the brain. Assuming that Abeta is responsible for the
development of Alzheimer's disease (AD), activation of alpha-secretase should be
preventive. alpha-Secretase-mediated APP cleavage can be activated via several G
protein-coupled receptors and receptor tyrosine kinases. Protein kinase C,
mitogen-activated protein kinases, phosphatidylinositol 3-kinase, cAMP and
calcium are activators of receptor-induced alpha-secretase cleavage. Selective
targeting of receptor subtypes expressed in brain regions affected by AD appears
reasonable. Therefore, the PACAP receptor PAC1 and possibly the serotonin 5-HT(6)
receptor subtype are promising targets. Activation of APP alpha-secretase
cleavage also occurs upon blockade of cholesterol synthesis by statins or
zaragozic acid A. Under physiological statin concentrations, the brain
cholesterol content is not influenced. Statins likely inhibit Abeta production in
the blood by alpha-secretase activation which is possibly sufficient to inhibit
AD development. A disintegrin and metalloproteinase 10 (ADAM10) acts as alpha
secretase on APP. By targeting the nuclear retinoic acid receptor beta, the
expression of ADAM10 and non-amyloidogenic APP processing can be enhanced.
Excessive activation of ADAM10 should be avoided because ADAM10 and also ADAM17
are not APP-specific. Both ADAM proteins cleave various substrates, and therefore
have been associated with tumorigenesis and tumor progression.
PMID- 21883224
TI - alpha-Synuclein promotes clathrin-mediated NMDA receptor endocytosis and
attenuates NMDA-induced dopaminergic cell death.
AB - Abnormalities of alpha-synuclein (alpha-syn) and NMDA receptors (NMDARs) are
implicated in the pathogenesis of Parkinson's disease. However, how these
proteins interact with each other has not been elucidated. Here, the effect of
alpha-syn on NMDARs was investigated by examining the alterations of surface
NMDAR NR1 subunits in MES23.5 dopaminergic cells transfected with the human alpha
syn gene as well as in cells treated with extracellularly added human alpha-syn.
As demonstrated previously that alpha-syn can enter cells in a non-endocytic
manner without being degraded by the cellular proteolytic systems, the
extracellularly added alpha-syn entered the cytoplasm of MES23.5 cells in a
concentration-dependent manner. Both the alpha-syn-transfected cells and alpha
syn-treated cells exhibited increased intracellular alpha-syn levels and reduced
surface NR1 without altering the total NR1. The alpha-syn-induced surface NR1
reduction was accompanied by suppression of NMDA-elicited intracellular Ca(2+)
elevation and reductions of NMDA-induced caspase 3 activation and cell death,
which was abolished by hypotonic shock and K(+) depletion, a procedure that
blocks clathrin-mediated endocytosis, and by suppression of RAB5B expression with
anti-RAB5B oligonucleotides. The data obtained provide evidence for the first
time that alpha-syn may promote clathrin-mediated NMDAR endocytosis.
PMID- 21883225
TI - Amyotrophic lateral sclerosis-immunoglobulins selectively interact with
neuromuscular junctions expressing P/Q-type calcium channels.
AB - Amyotrophic lateral sclerosis (ALS) is a fatal neurodegenerative disease
characterized by a gradual loss of motoneurons. The majority of ALS cases are
associated with a sporadic form whose etiology is unknown. Several pieces of
evidence favor autoimmunity as a potential contributor to sporadic ALS pathology.
To gain understanding concerning possible antigens interacting with IgGs from
sporadic ALS patients (ALS-IgGs), we studied immunoreactivity against
neuromuscular junction (NMJ), spinal cord and cerebellum of mice with and without
the Ca(V) 2.1 pore-forming subunit of the P/Q-type voltage-gated calcium (Ca(2+))
channel. ALS-IgGs showed a strong reactivity against NMJs of wild-type
diaphragms. ALS-IgGs also increased muscle miniature end-plate potential
frequency, suggesting a functional role for ALS-IgGs on synaptic signaling. In
support, in mice lacking the Ca(V) 2.1 subunit ALS-IgGs showed significantly
reduced NMJ immunoreactivity and did not alter spontaneous acetylcholine release.
This difference in reactivity was absent when comparing N-type Ca(2+) channel
wild-type or null mice. These results are particularly relevant because
motoneurons are known to be early pathogenic targets in ALS. Our findings add
further evidence supporting autoimmunity as one of the possible mechanisms
contributing to ALS pathology. They also suggest that serum autoantibodies in a
subset of ALS patients would interact with NMJ proteins down-regulated when P/Q
type channels are absent.
PMID- 21883226
TI - Highly conserved tyrosine 37 stabilizes desensitized states and restricts calcium
permeability of ATP-gated P2X3 receptor.
AB - Tyrosine 37 in the first transmembrane (TM1) domain is highly conserved in ATP
gated P2X receptors suggesting its fundamental role. We tested whether Y37
contributes to the desensitization of P2X3 receptors, which is currently not well
understood. By combining electrophysiological, imaging and modeling approaches,
we studied desensitization of various Y37 P2X3 mutants and potential partners of
Y37. Unlike the membrane current of the WT receptor, which desensitized in
seconds, Y37A mutant current did not fully desensitize even after minutes-long
applications of beta,gamma-meATP, alpha,beta-meATP, ATP or 2MeS-ATP. The
fractional calcium current was enhanced in the Y37A mutant. Y37F did not rescue
the native P2X3 phenotype indicating a role for the hydroxyl group of Y37 for the
WT receptor. Homology modeling indicated I318 or I319 in TM2 as potential
partners for Y37 in the receptor closed state. We tested this hypothesis by
creating a permanent interaction between the two residues via disulfide bond.
Whereas single Y37C, I318C and I319C mutants were functional, the double mutants
Y37C-I318C and Y37C-I319C were non-functional. Using a cyclic model of receptor
operation, we suggest that the conserved tyrosine 37 links TM1 to TM2 of adjacent
subunit to stabilize desensitized states and restricts calcium permeability
through the ion channel.
PMID- 21883227
TI - Chloroquine inhibits glutamate-induced death of a neuronal cell line by reducing
reactive oxygen species through sigma-1 receptor.
AB - Chloroquine, a widely used anti-malarial and anti-rheumatoid agent, has been
reported to induce apoptotic and non-apoptotic cell death. Accumulating evidence
now suggests that chloroquine can sensitize cancer cells to cell death and
augment chemotherapy-induced apoptosis by inhibiting autophagy. However,
chloroquine is reported to induce GM1 ganglioside accumulation in cultured cells
at low MUM concentrations and prevent damage to the blood brain barrier in mice.
It remains unknown whether chloroquine has neuroprotective properties at
concentrations below its reported ability to inhibit lysosomal enzymes and
autophagy. In the present study, we demonstrated that chloroquine protected mouse
hippocampal HT22 cells from glutamate-induced oxidative stress by attenuating
production of excess reactive oxygen species. The concentration of chloroquine
required to rescue HT22 cells from oxidative stress was much lower than that
sufficient enough to induce cell death and inhibit autophagy. Chloroquine
increased GM1 level in HT22 cells at low MUM concentrations but glutamate-induced
cell death occurred before GM1 accumulation, suggesting that GM1 induction is not
related to the protective effect of chloroquine against glutamate-induced cell
death. Interestingly, BD1047 and NE-100, sigma-1 receptor antagonists, abrogated
the protective effect of chloroquine against glutamate-induced cell death and
reactive oxygen species production. In addition, cutamesine (SA4503), a sigma-1
receptor agonist, prevented both glutamate-induced cell death and reactive oxygen
species production. These findings indicate that chloroquine at concentrations
below its ability to inhibit autophagy and induce cell death is able to rescue
HT22 cells from glutamate-induced cell death by reducing excessive production of
reactive oxygen species through sigma-1 receptors. These results suggest
potential use of chloroquine, an established anti-malarial agent, as a
neuroprotectant against oxidative stress, which occurs in a variety of
neurodegenerative diseases.
PMID- 21883228
TI - Ifenprodil restores GDNF-evoked Ca(2+) signalling and Na(+)/K(+) -ATPase
expression in inflammation-pretreated astrocytes.
AB - Glial cell line-derived neurotrophic factor (GDNF) plays an important role in
neuroinflammatory and neuropathic pain conditions. Astrocytes produce and secrete
GDNF, which interacts with its receptors to induce Ca(2+) transients. This study
aimed first to assess intracellular Ca(2+) responses of astrocytes in primary
culture when exposed to the neuroprotective and anti-inflammatory peptide GDNF.
Furthermore, incubation with the inflammatory inducers lipopolysaccharide (LPS),
NMDA, or interleukin 1-beta (IL-1beta) attenuated the GDNF-induced Ca(2+)
transients. The next aim was to try to restore the suppressed GDNF responses
induced by inflammatory changes in the astrocytes with an anti-inflammatory
substance. Ifenprodil, an NMDA receptor antagonist at the NR2B subunit, was
tested. It was shown to restore the GDNF-evoked Ca(2+) transients and increased
the Na(+)/K(+) -ATPase expression. Ifenprodil seems to be a potent anti
inflammatory substance for astrocytes which have been pre-activated by
inflammatory stimuli.
PMID- 21883229
TI - A novel gene required for natural competence in Aggregatibacter
actinomycetemcomitans.
AB - BACKGROUND AND OBJECTIVE: Natural competence is the ability of bacteria to take
up extracellular DNA and incorporate it into their genomes. Some strains of
Aggregatibacter actinomycetemcomitans, a critical periodontal pathogen, are
naturally competent for transformation. However, information on natural
competence genes is limited for this species. The aim of this study was to
confirm the involvement of a novel gene identified near the fimbriae gene cluster
in natural competence. MATERIAL AND METHODS: The functions of putative open
reading frames (ORFs), designated AA00863-AA00865, in the Oralgen project
database for A. actinomycetemcomitans strain HK1651, have not been determined.
Using naturally transformable A. actinomycetemcomitans strains D7S-1 and
ATCC29523, we created deletion mutants of homologous genes of these ORFs. Natural
competence in the study strains was determined using an agar-based transformation
frequency assay. RESULTS: Mutation of the AA00865 homolog, which we named urpA in
A. actinomycetemcomitans strain D7S-1, resulted in the loss of natural
competence, whereas mutations of the AA00864 and AA00863 homologs, located
downstream of urpA gene, did not. Similar results were also observed in the
mutants of A. actinomycetemcomitans ATCC29523. Complementation of the deleted
sequence in the urpA mutant restored natural competence. CONCLUSION: The urpA
gene is a novel gene required for natural competence in A. actinomycetemcomitans
and does not exhibit significant homology with any natural competence genes
previously identified in other bacterial species.
PMID- 21883230
TI - Molecular events associated with ciclosporin A-induced gingival overgrowth are
attenuated by Smad7 overexpression in fibroblasts.
AB - BACKGROUND AND OBJECTIVE: Ciclosporin A (CsA)-induced gingival overgrowth is
attributed to an exaggerated accumulation of extracellular matrix, which is
mainly due to an increased expression of transforming growth factor-beta1 (TGF
beta1). Herein, the in vitro investigation of effects of overexpression of Smad7,
a TGF-beta1 signaling inhibitor, in the events associated with CsA-induced
extracellular matrix accumulation was performed. MATERIAL AND METHODS: The
effects of Smad7 were assessed by stable overexpression of Smad7 in fibroblasts
from normal gingiva. Smad7-overexpressing cells and control cells were incubated
with CsA, and synthesis of type I collagen, production and activity of MMP-2 and
cellular proliferation were evaluated by ELISA, zymography, growth curve,
bromodeoxyuridine incorporation assay and cell cycle analysis. The effects of CsA
on cell viability and apoptosis of fibroblasts from normal gingiva were also
evaluated. Western blot and immunofluorescence for phospho-Smad2 were performed
to measure the activation of TGF-beta1 signaling. RESULTS: Although the treatment
with CsA stimulated TGF-beta1 production in both control and Smad7-overexpressing
fibroblasts, its signaling was markedly inhibited in Smad7-overexpressing cells,
as revealed by low levels of phospho-Smad2. In Smad7-overexpressing cells, the
effects of CsA on proliferation, synthesis of type I collagen and the production
and activity of MMP-2 were significantly blocked. Smad7 overexpression blocked
CsA-induced fibroblast proliferation via p27 regulation. Neither CsA nor Smad7
overexpression induced cell death. CONCLUSION: The data presented here confirm
that TGF-beta1 expression is related to the molecular events associated with CsA
induced gingival overgrowth and suggest that Smad7 overexpression is effective in
blocking these events, including proliferation, type I collagen synthesis and MMP
2 activity.
PMID- 21883231
TI - Ectomycorrhizal fungal diversity and community structure on three co-occurring
leguminous canopy tree species in a Neotropical rainforest.
AB - * The ectomycorrhizal (ECM) symbiosis was historically considered restricted to
the temperate zones, but recent studies have shown the importance of this
symbiosis across the tropics. We examined ECM fungal diversity, host plant
phylogeny and ECM host preferences in a rainforest dominated by the leguminous
host plants Dicymbe corymbosa, Dicymbe altsonii and Aldina insignis. *
Ectomycorrhizal fungi were identified by internal transcribed spacer rDNA
sequencing and host species were verified with chloroplast trnL sequencing. To
test whether Dicymbe and Aldina represent independent gains of the ECM symbiosis,
we constructed a Fabaceae phylogeny using MatK and trnL. We identified four
independent ECM lineages within the Fabaceae. * We detected a diverse community
of 118 ECM species dominated by the /clavulina, /russula-lactarius, /boletus, and
/tomentella-thelephora lineages. Ectomycorrhizal species in Agaricales,
Atheliales and Polyporales may represent previously unrecognized tropical-endemic
ECM lineages. Previous studies suggested that ECM fungi did not diversify in the
tropics, but the /clavulina lineage appears to have a center of diversity in
tropical South America. * Dicymbe and Aldina represent independent gains of the
ECM symbiosis in Fabaceae but their fungal symbionts showed no host preferences.
Spatial factors are more important than hosts in structuring the ECM fungal
community in this ecosystem.
PMID- 21883232
TI - New Rice for Africa (NERICA) cultivars exhibit different levels of post
attachment resistance against the parasitic weeds Striga hermonthica and Striga
asiatica.
AB - Striga hermonthica and S. asiatica are root parasitic weeds that infect the major
cereal crops of sub-Saharan Africa causing severe losses in yield. The
interspecific upland NEw RICe for Africa (NERICA) cultivars are popular amongst
subsistence farmers, but little is known about their post-attachment resistance
against Striga. Here, we evaluate the post-attachment resistance levels of the
NERICA cultivars and their parents against ecotypes of S. hermonthica and
S.asiatica, characterize the phenotype of the resistance mechanisms and determine
the effect of Striga on host biomass. Some NERICA cultivars showed good broad
spectrum resistance against several Striga ecotypes, whereas others showed
intermediate resistance or were very susceptible. The phenotype of a resistant
interaction was often characterized by an inability of the parasite to penetrate
the endodermis. Moreover, some parasites formed only a few connections to the
host xylem, grew slowly and remained small. The most resistant NERICA cultivars
were least damaged by Striga, although even a small number of parasites caused a
reduction in above-ground host biomass. The elucidation of the molecular genetic
basis of the resistance mechanisms and tolerance would allow the development of
cultivars with multiple, durable resistance for use in farmers' fields.
PMID- 21883233
TI - Pre-attachment Striga hermonthica resistance of New Rice for Africa (NERICA)
cultivars based on low strigolactone production.
AB - Striga hermonthica (Striga) is an obligate hemiparasitic weed, causing severe
yield losses in cereals, including rice, throughout sub-Saharan Africa. Striga
germination depends on strigolactones (germination stimulants) exuded by the host
roots. The interspecific New Rice for Africa (NERICA) cultivars offer a
potentially interesting gene pool for a screen for low germination-inducing rice
cultivars. Exudates were collected from all NERICA cultivars and their parents
(Oryza sativa and Oryza glaberrima) for the analysis of strigolactones. In vitro
and in situ Striga germination, attachment and emergence rates were recorded for
each cultivar. NERICA 1 and CG14 produced significantly less strigolactones and
showed less Striga infection than the other cultivars. NERICAs 7, 8, 11 and 14
produced the largest amounts of strigolactones and showed the most severe Striga
infection. Across all the cultivars and parents, there was a positive
relationship between the amount of strigolactones in the exudate and Striga
germination, attachment and emergence rates. This study shows that there is
genetic variation in Striga pre-attachment resistance in NERICA rice. Cultivars
combining this pre-attachment resistance with post-attachment resistance (already
identified) can provide a key component for durable integrated management of this
noxious weed in cereal production systems in sub-Saharan Africa.
PMID- 21883234
TI - Disruption of the ceramide synthase LOH1 causes spontaneous cell death in
Arabidopsis thaliana.
AB - The bioactive lipid ceramide is produced by the enzyme ceramide synthase, which
exists in several isoforms in most eukaryotic organisms. Here, we investigated
functional differences between the three ceramide synthase isoforms in
Arabidopsis thaliana. The biochemical properties of the three ceramide synthases
were investigated by comparing lipid profiles of yeast strains expressing LOH1,
LOH2 or LOH3 with those of wild-type and loh1, loh2 and loh3 knockout plants.
Expression profiles of the ceramide synthases and of the pathogenesis-related
gene PR-1 were investigated by real-time PCR. Each ceramide synthase isoform
showed a characteristic preference regarding acyl-CoA chain length as well as
sphingoid base hydroxylation, which matches the pattern of ceramide and
glucosylceramide species found in leaves. After extended culture under short-day
conditions, loh1 plants showed spontaneous cell death accompanied by enhanced
expression of PR-1. The levels of free trihydroxy sphingoid bases as well as
ceramide and glucosylceramide species with C(16) fatty acid were significantly
elevated while species with C(20) -C(28) fatty acids were reduced. These data
suggest that spontaneous cell death in the loh1 line is triggered either by the
accumulation of free trihydroxy sphingoid bases or ceramide species with C(16)
fatty acid.
PMID- 21883235
TI - Direction and extent of organelle DNA introgression between two spruce species in
the Qinghai-Tibetan Plateau.
AB - A recent model has shown that, during range expansion of one species in a
territory already occupied by a related species, introgression should take place
preferentially from the resident species towards the invading species and genome
components experiencing low rates of gene flow should introgress more readily
than those experiencing high rates of gene flow. Here, we use molecular markers
from two organelle genomes with contrasted rates of gene flow to test these
predictions by examining genetic exchanges between two morphologically distinct
spruce Picea species growing in the Qinghai-Tibetan Plateau. The haplotypes from
both mitochondrial (mt) DNA and chloroplast (cp) DNA cluster into two distinct
lineages that differentiate allopatric populations of the two species. By
contrast, in sympatry, the species share the same haplotypes, suggesting
interspecific genetic exchanges. As predicted by the neutral model, all sympatric
populations of the expanding species had received their maternally inherited
mtDNA from the resident species, whereas for paternally inherited cpDNA
introgression is more limited and not strictly unidirectional. Our results
underscore cryptic introgressions of organelle DNAs in plants and the importance
of considering rates of gene flow and range shifts to predict direction and
extent of interspecific genetic exchanges.
PMID- 21883236
TI - Molecular features of secondary vascular tissue regeneration after bark girdling
in Populus.
AB - Regeneration is a common strategy for plants to repair damage to their tissue
after attacks from other organisms or physical assaults. However, how
differentiating cells acquire regenerative competence and rebuild the pattern of
new tissues remains largely unknown. Using anatomical observation and microarray
analysis, we investigated the morphological process and molecular features of
secondary vascular tissue regeneration after bark girdling in trees. After bark
girdling, new phloem and cambium regenerate from differentiating xylem cells and
rebuild secondary vascular tissue pattern within 1 month. Differentiating xylem
cells acquire regenerative competence through epigenetic regulation and cell
cycle re-entry. The xylem developmental program was blocked, whereas the phloem
or cambium program was activated, resulting in the secondary vascular tissue
pattern re-establishment. Phytohormones play important roles in vascular tissue
regeneration. We propose a model describing the molecular features of secondary
vascular tissue regeneration after bark girdling in trees. It provides
information for understanding mechanisms of tissue regeneration and pattern
formation of the secondary vascular tissues in plants.
PMID- 21883237
TI - PpASCL, a moss ortholog of anther-specific chalcone synthase-like enzymes, is a
hydroxyalkylpyrone synthase involved in an evolutionarily conserved sporopollenin
biosynthesis pathway.
AB - Sporopollenin is the main constituent of the exine layer of spore and pollen
walls. Recently, several Arabidopsis genes, including polyketide synthase A
(PKSA), which encodes an anther-specific chalcone synthase-like enzyme (ASCL),
have been shown to be involved in sporopollenin biosynthesis. The genome of the
moss Physcomitrella patens contains putative orthologs of the Arabidopsis
sporopollenin biosynthesis genes. We analyzed available P.patens expressed
sequence tag (EST) data for putative moss orthologs of the Arabidopsis genes of
sporopollenin biosynthesis and studied the enzymatic properties and reaction
mechanism of recombinant PpASCL, the P.patens ortholog of Arabidopsis PKSA. We
also generated structure models of PpASCL and Arabidopsis PKSA to study their
substrate specificity. Physcomitrella patens orthologs of Arabidopsis genes for
sporopollenin biosynthesis were found to be expressed in the sporophyte
generation. Similarly to Arabidopsis PKSA, PpASCL condenses hydroxy fatty acyl
CoA esters with malonyl-CoA and produces hydroxyalkyl alpha-pyrones that probably
serve as building blocks of sporopollenin. The ASCL-specific set of Gly-Gly-Ala
residues predicted by the models to be located at the floor of the putative
active site is proposed to serve as the opening of an acyl-binding tunnel in
ASCL. These results suggest that ASCL functions together with other sporophyte
specific enzymes to provide polyhydroxylated precursors of sporopollenin in a
pathway common to land plants.
PMID- 21883238
TI - One hundred important questions facing plant science research.
PMID- 21883239
TI - Phylogeographically concordant chloroplast DNA divergence in sympatric Nothofagus
s.s. How deep can it be?
AB - * Here, we performed phylogenetic analyses and estimated the divergence times on
mostly sympatric populations of five species within subgenus Nothofagus. We aimed
to investigate whether phylogenetic relationships by nuclear internal transcribed
spacer (ITS) and phylogeographic patterns by chloroplast DNA (cpDNA) mirror an
ancient evolutionary history that was not erased by glacial eras. Extant species
are restricted to Patagonia and share a pollen type that was formerly widespread
in all southern land masses. Weak reproductive barriers exist among them. *
Fifteen cpDNA haplotypes resulted from the analysis of three noncoding regions on
330 individuals with a total alignment of 1794 bp. Nuclear ITS data consisted of
822 bp. We found a deep cpDNA divergence dated 32 Ma at mid-latitudes of
Patagonia that predates the phylogenetic divergence of extant taxa. Other more
recent breaks by cpDNA occurred towards the north. * Complex paleogeographic
features explain the genetic discontinuities. Long-lasting paleobasins and marine
ingressions have impeded transoceanic dispersal during range expansion towards
lower latitudes under cooler trends since the Oligocene. * Cycles of
hybridization-introgression among extant and extinct taxa have resulted in
widespread chloroplast capture events. Our data suggest that Nothofagus
biogeography will be resolved only if thorough phylogeographic analyses and
molecular dating methods are applied using distinct genetic markers.
PMID- 21883241
TI - Light-activated antibacterial surfaces comprise photosensitizers.
AB - Antibacterial surfaces were prepared using a base polyethylene sheet topped with
a layer containing a mixed powder of poly (vinylidene fluoride) and
photosensitizers (PSs). A crimpled stamp was placed on the mixed powder, and then
it was passed through a heating and pressing device. The three chosen PSs were
rose bengal, toluidine blue O and methylene blue. Scanning electron microscope
analysis showed that the PS surface texture was coarse and highly developed.
Measurement of the apparent contact angles of the droplets deposited on the PS
surfaces using goniometry showed that all three surfaces were hydrophobic.
Photodynamic analysis of the surfaces into which the PSs were incorporated
indicated significant reactive oxygen species formation after illumination with
light fluency rate of 1.46 mW cm(-2) for 30 min. Photodynamic inactivation assays
performed in nutrient broth demonstrated more than 4 log reduction of the
attached Escherichia coli after illumination (1.46 mW cm(-2)) for 24 h when the
inoculum was 10(3) CFU mL(-1). However, more than 4 log reduction of
Staphylococcus aureus occurred even when the cultures were illuminated for only 6
h. Our results provide an inexpensive, simple, state-of-the-art method for
preparing antibacterial surfaces that may help prevent infections in hospital
surroundings and in some medical devices.
PMID- 21883242
TI - Alleviation of adverse effects of drought stress on growth and some potential
physiological attributes in maize (Zea mays L.) by seed electromagnetic
treatment.
AB - Effects of varying preseed magnetic treatments on growth, chlorophyll pigments,
photosynthesis, water relation attributes, fluorescence and levels of
osmoprotectants in maize plants were tested under normal and drought stress
conditions. Seeds of two maize cultivars were treated with different (T0 [0 mT],
T1 [100 mT for 5 min], T2 [100 mT for 10 min], T3 [150 mT for 5 min] and T4 [150
mT for 10 min]) electromagnetic treatments. Drought stress considerably
suppressed growth, chlorophyll a and b pigments, leaf water potential,
photosynthetic rate (A), stomatal conductance (g(s)) and substomatal CO(2)
concentration (C(i)), while it increased leaf glycinebetaine and proline
accumulation in both maize cultivars. However, pretreated seeds with different
magnetic treatments significantly alleviated the drought-induced adverse effects
on growth by improving chlorophyll a, A, E, g(s), C(i) and photochemical
quenching and nonphotochemical quenching, while it had no significant effect on
other attributes. However, different magnetic treatments negatively affected the
g(s) and C(i) particularly in cv. Agaiti-2002 under drought stress conditions. Of
all magnetic treatments, 100 and 150 mT for 10 min were most effective in
alleviating the drought-induced adverse effects. Overall, preseed electromagnetic
treatments could be used to minimize the drought-induced adverse effects on
different crop plants.
PMID- 21883243
TI - Effect of laser dose and treatment schedule on excision wound healing in diabetic
mice.
AB - The present study was undertaken to evaluate a He-Ne laser (632.8 nm; 7 mW; 4.02
mW cm(-2); 15 mm spot size) dose and the treatment schedule on diabetic wound
healing in a mouse model. Circular wounds of 15 mm diameter were created on
streptozotocin induced diabetic Swiss albino mice, and were uniformly illuminated
with the single exposure of various He-Ne laser doses of 1, 2, 3, 4 and 5 J cm(
2) respectively. Further, the treatment schedule was also optimized by exposing
the wounds with 3 J cm(-2) at 0, 24 h, 48 h postwounding. Contraction kinetics,
mean area under the curve and the mean healing time of the wounds were computed
along with the collagen and the glucosamine levels in the wound ground tissues at
various postwounding treatment schedules. Results of this study indicated that
the single exposure of 3 J cm(-2) laser dose applied immediately after the
wounding caused a significant reduction in the mean area under the curve and the
mean healing time along with the elevated levels of collagen and glucosamine
contents in the tissue compared to the controls. In conclusion, He-Ne laser dose
of 3 J cm(-2) applied immediately after the wounding has demonstrated optimum
wound healing compared to the other doses and treatment schedules.
PMID- 21883245
TI - Photosensitization reactions in vitro and in vivo.
AB - This review of Photochemistry and Photobiology summarizes articles published in
2010, and highlights progress in the area of photosensitization. The synthesis of
conjugated photosensitizers is an area of interest where increasing water
solubility has been a goal. Targeting infrared sensitizer absorption has been
another goal, and relates to the practical need of deep tissue absorption of
light. Photodynamic techniques for inactivating microbes and destroying tumors
have been particularly successful. Biologically, singlet oxygen
[(1)O(2)((1)Delta(g))] is an integral species in many of these reactions,
although photosensitized oxidations tuned to electron and hydrogen transfer (Type
I) give rise to other reactive species, such as superoxide and hydrogen peroxide.
How photoprotection against yellowing, oxygenation and degradation occurs was
also an area of topical interest.
PMID- 21883244
TI - Cell-type selective phototoxicity achieved with chlorophyll-a derived
photosensitizers in a co-culture system of primary human tumor and normal lung
cells.
AB - The ATP-dependent transporter ABCG2 exports certain photosensitizers (PS) from
cells, implying that the enhanced expression of ABCG2 by cancer cells may confer
resistance to photodynamic therapy (PDT) mediated by those PS. In 35 patient
derived primary cultures of lung epithelial and stromal cells, PS with different
subcellular localization and affinity for ABCG2 displayed cell-type specific
retention both independent and dependent on ABCG2. In the majority of cases, the
ABCG2 substrate 2-[1-hexyloxyethyl]-2-devinyl pyropheophorbide-a (HPPH) was lost
from fibroblastic cells more rapidly than from their epithelial counterparts,
even in the absence of detectable ABCG2 expression, facilitating selective
eradication by PDT of epithelial over fibroblastic cells in tumor/stroma co
cultures. Pairwise comparison of normal and transformed epithelial cells also
identified tumor cells with elevated or reduced retention of HPPH, depending on
ABCG2. Enhanced ABCG2 expression led to the selective PDT survival of tumor cells
in tumor/stroma co-cultures. This survival pattern was reversible through HPPH
derivatives that are not ABCG2 substrates or the ABCG2 inhibitor imatinib
mesylate. PS retention, not differences in subcellular distribution or cell
signaling responses, was determining cell type selective death by PDT. These data
suggest that up-front knowledge of tumor characteristics, specifically ABCG2
status, could be helpful in individualized PDT treatment design.
PMID- 21883246
TI - Photooxidation of alkaloids: considerable quantum yield enhancement by rose
bengal-sensitized singlet molecular oxygen generation.
AB - The photooxidation of sanguinarine, coralyne and berberine was studied in
oxygenated alkaline methanol solutions. Rose bengal as photosensitizer
significantly accelerates the process, indicating the importance of singlet
molecular oxygen in the reaction mechanism. The quantum yield of sensitized
oxidation was found to increase significantly with pH and reaches 0.4 for
berberine at pH 13.8. The direct oxidation of alkaloids is less efficient, the
quantum yield does not exceed 0.01 even in oxygen-saturated solutions. The
photoinduced electron ejection does not play a role in the oxidation. The
uncharged pseudobase forms, which are present in alkaline medium, are oxidized
much more easily than the alkaloid cations.
PMID- 21883247
TI - gammaH2Ax: biomarker of damage or functional participant in DNA repair "all that
glitters is not gold!".
AB - The phosphorylation of H2Ax on its S139 site, gammaH2Ax, is important for the
assembly of repair complexes at DNA double strand breaks (DSBs). The formation
and functional role of gammaH2Ax after other kinds of DNA damage, especially UV
light, where DSBs are rare, is less clear. Following UV light in the UVB and UVC
ranges, complex distributions of gammaH2Ax can be identified, quite unlike the
discrete enumerable foci seen after ionizing radiation. Several distinct
distributions of gammaH2Ax occur: a low level nuclear-wide distribution of
gammaH2Ax occurs during nucleotide excision repair; irregular focal distributions
occur at arrested replication forks; high intensity nuclear-wide gammaH2Ax occurs
in association with S-phase apoptosis. The intensity and distributions of
gammaH2Ax vary according to the activity of excision repair, bypass polymerase
and apoptotic caspases. The frequency of DSBs at arrested replication forks is
low but highly variable in different cell types, and probably caused by enzymatic
action. Despite the prominence of S139 phosphorylation following UV damage,
mutation of this site has no influence on the UV damage response indicating that
gammaH2Ax is a biomarker but not a participant in the UV-DNA damage response.
PMID- 21883248
TI - Enhancing the scopolamine production in transgenic plants of Atropa belladonna by
overexpressing pmt and h6h genes.
AB - Atropa belladonna is officially deemed as the commercial plant to produce
scopolamine in China. In this study we report the simultaneous overexpression of
two functional genes involved in biosynthesis of scopolamine, which encode the
upstream key enzyme putrescine N-methyltransferase (PMT) and the downstream key
enzyme hyoscyamine 6beta-hydroxylase (H6H), respectively, in transgenic herbal
plants Atropa belladonna. Analysis of gene expression profile indicated that both
pmt and h6h were expressed at a higher level in transgenic lines, which would be
favorable for biosynthesis of scopolamine. High-performance liquid chromatography
result suggested that transgenic lines could produce higher accumulation of
scopolamine at different levels compared with wild-type lines. Scopolamine
content increased to 7.3-fold in transgenic line D9 compared with control lines.
This study not only confirms that co-overexpression of pmt and h6h is an ideal
method to improve the biosynthetic capacity of scopolamine but also successfully
cultivates the transgenic line D9, which significantly enhanced the scopolamine
accumulation. Our research can serve as an alternative choice to provide
scopolamine resources for relative industry, which is more competitive than
conventional market.
PMID- 21883249
TI - gamma-Tocopherol methyltransferase from the green alga Chlamydomonas reinhardtii:
functional characterization and expression analysis.
AB - gamma-Tocopherol methyltransferase (gamma-TMT) (EC 2.1.1.95) is a very important
enzyme in tocopherol biosynthesis in all photosynthetic organisms. In this paper,
we present the functional characterization and expression analysis of gamma-TMT
from the unicellular green alga Chlamydomonas reinhardtii. Recombinant TMT1
enzyme was purified and characterized. The size of TMT1 subunit was estimated as
37 kDa by sodium dodecylsulphate polyacrylamide gel electrophoresis (SDS-PAGE),
in accordance with the predicted molecular size after TMT1 cDNA sequence.
Recombinant TMT1 also showed an apparent molecular mass of 37 kDa in its native
conformation, suggesting that native TMT1 has a monomeric structure similar to
the plant TMTs already characterized. pH and temperature dependence of TMT1
activity were also similar to plant TMTs. Substrate specificity studies showed
that Chlamydomonas TMT1 is responsible for the conversion of gamma- and delta
tocopherol to alpha- and beta-tocopherol, respectively. The kinetic properties of
Chlamydomonas recombinant gamma-TMT activity were studied and gamma-TMT1 has a
similar affinity for gamma- and delta-tocopherol. Promoter sequence analysis and
expression analysis by northern blot revealed that tmt1 expression is strongly
upregulated by high light and downregulated by low temperature. This regulatory
pattern of tmt1 expression supports the idea that gamma- and alpha-tocopherol
play specific roles in the adaptation to growth under low temperature and high
light stress conditions.
PMID- 21883250
TI - Exophiala sp. LHL08 reprograms Cucumis sativus to higher growth under abiotic
stresses.
AB - Endophytic fungi are potential sources of secondary metabolites; however, they
are little known for phytohormones secretion and amelioration of plant growth
under abiotic stresses. We isolated a novel endophyte from the roots of Cucumis
sativus and identified it as a strain of Exophiala sp. by sequencing internal
transcribed spacer/large subunit rDNA and phylogenetic analysis. Prior to
identification, culture filtrate (CF) of Exophiala sp. has shown significant
growth promotion of Waito-C [a gibberellins (GAs)-deficient mutant cultivar] and
Dongjin-byeo (normal GAs biosynthesis cultivar) rice seedlings. CF analysis of
Exophiala sp. showed the presence of physiologically active GAs (GA1, GA3, GA4
and GA7) and inactive GAs (GA5, GA8, GA9, GA12 and GA20). Exophiala sp. had
higher GAs in its CF than wild-type strain of Gibberella fujikuroi except GA3.
Influence of Exophiala sp. was assessed on cucumber plant's growth and endogenous
abscisic acid (ABA), salicylic acid (SA) and bioactive GAs under salinity and
drought stresses. Exophiala sp.-treated plants have shown significantly higher
growth and rescued the host plants from stress promulgated water deficit, osmotic
and cellular damage. The altered levels of stress-responsive ABA showed low level
of stress confined to endophyte-applied plants than control. Elevated levels of
SA and bioactive GAs (GA3 and GA4) in endophyte-associated plants suggest stress
modulating response toward salinity and drought. In conclusion, symbiotic
relations between Exophiala and cucumber have reprogrammed the host plant growth
under abiotic stresses, thus indicating a possible threshold role of endophytic
fungi in stress alleviation. This study could be extended for improving
agricultural productivity under extreme environmental conditions.
PMID- 21883251
TI - Involvement of the abscisic acid catabolic gene CYP707A2 in the glucose-induced
delay in seed germination and post-germination growth of Arabidopsis.
AB - Earlier studies showed that sugars as signaling molecules play pivotal roles in
the regulation of seed germination. ABA biosynthesis upregulation is suggested as
one of the possible mechanisms mediating the glucose-induced delay in seed
germination. In this study, the role of ABA catabolism in glucose-induced
inhibition was investigated. Using Arabidopsis thaliana seeds, the results show
that the repression of ABA catabolism by diniconazole aggravated the glucose
induced delay in seed germination. The transcript and protein profiles of
CYP707A2, a key gene encoding ABA 8'-hydroxylase in ABA catabolism in
Arabidopsis, were significantly decreased by exogenous glucose treatment.
Transgenic experiments confirmed that the over-expression of the CYP707A2 gene
alleviated the glucose-induced inhibition effect, whereas the cyp707a2 mutant
seeds displayed a hypersensitivity to glucose during imbibition. Exogenous
glucose also arrested the early seedling development of Arabidopsis. The CYP707A2
over-expression seedlings exhibited lower ABA levels and seemed less sensitive to
exogenous glucose compared with wild type seedlings. In summary, the glucose
induced delay in seed germination and seedling development is directly related to
the suppression of ABA catabolism through the repression of the CYP707A2
expression.
PMID- 21883252
TI - Temporal variation in epidermal flavonoids due to altered solar UV radiation is
moderated by the leaf position in Betula pendula.
AB - The physiological mechanisms controlling plant responses to dynamic changes in
ambient solar ultraviolet (UV) radiation are not fully understood: this
information is important to further comprehend plant adaptation to their natural
habitats. We used the fluorimeter Dualex to estimate in vivo the epidermal
flavonoid contents by measuring epidermal UV absorbance (A(375) ) in Betula
pendula Roth (silver birch) leaves of different ages under altered UV. Seedlings
were grown in a greenhouse for 15 days without UV and transferred outdoors under
three UV treatments (UV-0, UV-A and UV-A+B) created by three types of plastic
film. After 7 and 13 days, Dualex measurements were taken at adaxial and abaxial
epidermis of the first three leaves (L1, L2 and L3) of the seedlings. After 14
days, some of the seedlings were reciprocally swapped amongst the treatments to
study the accumulation of epidermal flavonoids in the youngest unfolded leaves
(L3) during leaf expansion under changing solar UV environments. A(375) of the
leaves responded differently to the UV treatment depending on their position. UV
B increased the A(375) in the leaves independently of leaf position. L3 quickly
adjusted A(375) in their epidermis according to the UV they received and these
adjustments were affected by previous UV exposure. The initial absence of UV-A+B
or UV-A, followed by exposure to UV-A+B, particularly enhanced leaf A(375) .
Silver birch leaves modulate their protective pigments in response to changes in
the UV environment during their expansion, and their previous UV exposure history
affects the epidermal-absorbance achieved during later UV exposure.
PMID- 21883253
TI - Characterization of upregulated genes associated with high phosphorus
accumulation in cucumber.
AB - Excessive application of phosphorus (P)-rich manures to agricultural lands often
results in P-accumulation in soils leading to water pollution through runoffs and
leaching. Use of suitable plant species that can extract and sequester excess P
from soil into their biomass is an effective method of remediation of P
contaminated soils. Knowledge on the molecular responses of plants to high P
accumulation and tolerance is lacking. Therefore, a suppression subtractive
hybridization (SSH) strategy was employed to identify and elucidate the pattern
of gene expression related to P-tolerance and accumulation in cucumber (Cucumis
sativus L.), a P-accumulator plant. RNA isolated from cucumber grown in high P
was used for 'tester' cDNA synthesis and SSH library preparation. A total of 63
cDNAs were identified as showing upregulated expression in this plant in response
to high P. No putative function could be assigned to 7 (11%) of the 63
upregulated high P-modulated genes and 11 expressed sequence tags (ESTs) (17%)
did not match database entries. The remaining 45 ESTs were grouped into five
functional classes. The majority of these ESTs belonged to three groups:
'metabolism', 'protein synthesis/degradation and signaling' and 'cell
structure/cell wall'. Only six 'stress/defense'-related ESTs were identified from
this library. The results of reverse northern blot analysis was further confirmed
and validated through semi-quantitative RT-PCR carried out with representative
ESTs identified in this study. The research reported here may contribute to a
preliminary understanding of the high P-related gene expression in this P
accumulating plant.
PMID- 21883254
TI - The effects of phenotypic plasticity on photosynthetic performance in winter rye,
winter wheat and Brassica napus.
AB - The contributions of phenotypic plasticity to photosynthetic performance in
winter (cv Musketeer, cv Norstar) and spring (cv SR4A, cv Katepwa) rye (Secale
cereale) and wheat (Triticum aestivum) cultivars grown at either 20 degrees C
[non-acclimated (NA)] or 5 degrees C [cold acclimated (CA)] were assessed. The 22
40% increase in light-saturated rates of CO2 assimilation in CA vs NA winter
cereals were accounted for by phenotypic plasticity as indicated by the dwarf
phenotype and increased specific leaf weight. However, phenotypic plasticity
could not account for (1) the differential temperature sensitivity of CO2
assimilation and photosynthetic electron transport, (2) the increased efficiency
and light-saturated rates of photosynthetic electron transport or (3) the
decreased light sensitivity of excitation pressure and non-photochemical
quenching between NA and NA winter cultivars. Cold acclimation decreased
photosynthetic performance of spring relative to winter cultivars. However, the
differences in photosynthetic performances between CA winter and spring cultivars
were dependent upon the basis on which photosynthetic performance was expressed.
Overexpression of BNCBF17 in Brassica napus generally decreased the low
temperature sensitivity (Q10) of CO2 assimilation and photosynthetic electron
transport even though the latter had not been exposed to low temperature.
Photosynthetic performance in wild type compared to the BNCBF17-overexpressing
transgenic B. napus indicated that CBFs/DREBs regulate not only freezing
tolerance but also govern plant architecture, leaf anatomy and photosynthetic
performance. The apparent positive and negative effects of cold acclimation on
photosynthetic performance are discussed in terms of the apparent costs and
benefits of phenotypic plasticity, winter survival and reproductive fitness.
PMID- 21883255
TI - Mitochondrial alternative oxidase pathway protects plants against photoinhibition
by alleviating inhibition of the repair of photodamaged PSII through preventing
formation of reactive oxygen species in Rumex K-1 leaves.
AB - The purpose of this study was to explore how the mitochondrial AOX (alternative
oxidase) pathway alleviates photoinhibition in Rumex K-1 leaves. Inhibition of
the AOX pathway decreased the initial activity of NADP-malate dehydrogenase (EC
1.1.1.82, NADP-MDH) and the pool size of photosynthetic end electron acceptors,
resulting in an over-reduction of the photosystem I (PSI) acceptor side. The over
reduction of the PSI acceptor side further inhibited electron transport from the
photosystem II (PSII) reaction centers to the PSII acceptor side as indicated by
an increase in V(J) (the relative variable fluorescence at J-step), causing an
imbalance between photosynthetic light absorption and energy utilization per
active reaction center (RC) under high light, which led to the over-excitation of
the PSII reaction centers. The over-reduction of the PSI acceptor side and the
over-excitation of the PSII reaction centers enhanced the accumulation of
reactive oxygen species (ROS), which inhibited the repair of the photodamaged
PSII. However, the inhibition of the AOX pathway did not change the level of
photoinhibition under high light in the presence of the chloroplast D1 protein
synthesis inhibitor chloramphenicol, indicating that the inhibition of the AOX
pathway did not accelerate the photodamage to PSII directly. All these results
suggest that the AOX pathway plays an important role in the protection of plants
against photoinhibition by minimizing the inhibition of the repair of the
photodamaged PSII through preventing the over-production of ROS.
PMID- 21883256
TI - Evaluation of the Norwegian version of the Adolescent Stress Questionnaire (ASQ
N): factorial validity across samples.
AB - In spite of the potential significance of stressors, the past decades have
yielded only limited and incremental progress in the field of the development of
valid and reliable stress inventories for adolescents. The Adolescent Stress
Questionnaire (ASQ-N) was developed to address the domain of stressors specific
to adolescent experience. The present study reports an evaluation of factorial
validity, as well as the construct validity of the Norwegian version of the
Adolescent Stress Questionnaire (ASQ-N) across two samples, with the use of
confirmatory factor analysis (CFA). Gender and age differences on the ASQ-N sub
dimensions are also evaluated. The CFA supported a seven-factor structure, where
all sub-dimensions showed adequate internal consistency. The sub-dimensions were
correlated positively with measures of depression and anxiety, and were
correlated negatively with self-esteem, supporting the construct validity of the
ASQ-N. Significant gender differences in self-reported adolescent stress were
found in five of the seven scales, where girls had higher mean scores than boys.
The correlation between each stress scale and age was weak, with significant
correlations found in four of the seven scales. It is suggested that the ASQ-N is
a measure of adolescent stress that is adequate for the research context, as well
as for clinical investigation.
PMID- 21883257
TI - Are modern health worries associated with somatosensory amplification,
environmental attribution style, and commitment to complementary and alternative
medicine?
AB - Relationship among modern health worries (MHWs), somatosensory amplification
(SSA), and attributional styles was investigated in a cross-sectional
questionnaire study. A total of 99 university students, 104 patients visiting
their General Practitioners, and 102 future alternative therapists completed
questionnaires assessing MHWs, SSA, negative affect (NA), and psychological,
somatic and normalizing (environmental) attribution styles. Significant
correlation between SSA and MHWs was found in all three samples. MHWs and
psychological attribution style were significantly associated with Complementary
and Alternative Medicine (CAM)-orientation in the regression equation even after
controlling for SSA, NA, and sociodemographic variables. MHWs were independent
from any attribution styles in the student and patient samples, while significant
correlations with all three styles were found in the CAM group. Previously
described association between MHWs and SSA was replicated in three different
samples. The connection between MHWs and CAM preference seems to be independent
from SSA, NA or any particular attribution style.
PMID- 21883258
TI - Performances on Rey Auditory Verbal Learning Test and Rey Complex Figure Test in
a healthy, elderly Danish sample--reference data and validity issues.
AB - This study presents Danish data for Rey Auditory Verbal Learning Test (RAVLT) and
Rey Complex Figure Test (RCFT) from 100 subjects aged 60-87 years. Education and
estimated verbal intelligence (DART score) had a significant impact on the RAVLT
trial 1-5 score but not on other RAVLT measures. The RCFT copy score was
significantly related to age and the DART score. On RCFT recall a highly
significant difference was found between persons who could make a faultless copy
and persons with incomplete copy performance. Thus, this study presents separate
data for RCFT recall scores according to the subjects' copying performance (in
separate tables for age and education groups). For all measures on both RAVLT and
RCFT wide distributions of scores were found and the impact of this broad score
range on the tests' discriminative validity is discussed. RAVLT performances for
elderly were similar to previous published meta-norms, but the included sample of
elderly Danes performed better on RCFT (copy and recall) than elderly from the
United States.
PMID- 21883259
TI - The goal to be accepted by friends as underlying function of overt aggressive
behaviour in immigrant adolescents.
AB - This study investigated (1) to what extent the goal to be accepted by friends is
an underlying function of overt aggressive behavior in adolescents, and (2)
whether this function is more predictive than reactive aggression for overt
aggressive behavior in first and second generation immigrants compared with
natives. The sample comprised 339 native Austrians (51.6% girls), 126 first
generation immigrants (48.4% girls), and 175 second generation immigrants (54.3%
girls) aged 14 to 19 (M = 15.61). Data were collected via self-assessments.
Multiple group latent means and covariance structures (MACS) models revealed that
the goal to be accepted by friends was a stronger predictor than reactive
aggression for overt aggressive behavior in first generation immigrants compared
with second generation immigrants and natives. Furthermore, gender moderated
these associations. The goal to be accepted by friends was a very strong
predictor of overt aggressive behavior in first generation immigrant boys, but
not in first generation immigrant girls. Results are discussed regarding the
process of acculturation in first generation immigrant youth.
PMID- 21883260
TI - British men's hair color preferences: an assessment of courtship solicitation and
stimulus ratings.
AB - Two studies examined the influence of women's hair color on approach behavior by
British men and on ratings of physical attractiveness and personality. In Study
1, a female confederate whose hair color was dyed blonde, brunette, or red was
instructed to sit in several nightclubs. It was found that she was approached
significantly more frequently by men in the blonde condition. In Study 2, images
of the same confederate were rated by 126 men. Results showed that the brunette
stimulus was rated as more physically attractive, intelligent, approachable,
competent, and arrogant, whereas the blonde stimulus was rated as more needy.
These results are discussed in relation to the literature on hair color and
attractiveness, but also in terms of women's own perceptions of their hair color.
PMID- 21883261
TI - Comprehensive analysis of RHD alleles in Argentineans with variant D phenotypes.
AB - BACKGROUND: The serologic assignment of the RhD status may be hindered in
patients with weak D expression. A comprehensive study of RHD alleles occurring
in the mixed population of Argentina is necessary to evaluate the most suitable
DNA typing strategy. STUDY DESIGN AND METHODS: A total of 18,379 patients from
two stratified groups, Group 1 (G1; public hospital) and Group 2 (G2; private
laboratory), were RhD phenotyped, and 88 samples with reduced D expression
underwent molecular characterization. RESULTS: The frequencies of D+, D-, and
variant D phenotypes differed significantly (p < 0.001) between G1 and G2 (94.49%
vs. 87.66%, 5.15% vs. 11.58%, and 0.36% vs. 0.75%, respectively). Eleven alleles
were responsible for the weak D expression. Approximately 60% of the variant D
phenotypes from G1 and G2 were weak D Types 1 through 4.0/4.2 and 25% were DVII.
RHD alleles associated with African ancestry were encountered in G1. A new
282G>A mutation within the promoter region of DAU-4 and DOL alleles was
identified. Three weak D Type 1 samples on R(0) haplotypes were found in G1.
CONCLUSIONS: The D phenotype distribution in G2 resembles that in Europeans while
the frequencies in G1 account for the Amerindian and African genetic
contribution. The genotyping strategy described here is suitable to study D
variants in the overall population and could allow a better use of the few
available D- units and a rational administration of anti-D immunoprophylaxis. The
results also show that weak D Type 1 alleles do not exclusively segregate with a
Ce allele, as assumed until present.
PMID- 21883262
TI - Changes in coagulation factor activity and content of di(2-ethylhexyl)phthalate
in frozen plasma units during refrigerated storage for up to five days after
thawing.
AB - BACKGROUND: Thawed plasma is typically transfused to supply coagulation factors
but factor activity declines during refrigerated storage. Refrigerating thawed
plasma for longer than 24 hours could reduce plasma wastage and make plasma more
readily available for emergency transfusions. We measured coagulation factor
activity and di(2-ethylhexyl)phthalate (DEHP) concentration in frozen plasma (FP)
thawed and stored at 1 to 6 degrees C for up to 5 days. STUDY DESIGN AND METHODS:
FP units prepared using "top-and-bottom" collection sets were thawed,
refrigerated, and sampled aseptically at 0, 24, 72, and 120 hours after thawing
(n = 54). Clotting factor activities and prothrombin times (PTs) were measured
using an automated coagulation factor analyzer. DEHP was measured by high
performance liquid chromatography after hexane extraction (n = 11). Unit
sterility was confirmed using an automated microbial detection system. RESULTS:
Factor (F)V and FVIII, but not FVII, declined significantly within 24 hours. By
Day 5, mean losses were 20, 14, and 41%, in FV, FVII, and FVIII, respectively;
fibrinogen activity did not change. PT values were prolonged by 9% on Day 5. Mean
DEHP levels increased from 22 ppm at thaw to 66 ppm on Day 5. CONCLUSIONS: The
bulk of coagulation factor activity losses during storage occurred in the first
24 hours. Coagulation factor activities remaining in FP after 5 days did not
differ from those previously reported in similar products frozen within 24 hours
of phlebotomy. While DEHP levels in 5-day-thawed FP are not of concern for adult
patients, for infants, DEHP levels can be minimized by using FP refrigerated for
no more than 24 hours.
PMID- 21883263
TI - Implication of transfected cell lines for the detection of alloantibodies against
human neutrophil antigen-3.
AB - BACKGROUND: Alloantibodies against human neutrophil antigen-3 (HNA-3) are
responsible for the fatalities reported in transfusion-related acute lung injury.
Consequently, reliable detection of these alloantibodies is mandatory to improve
blood transfusion safety. In this study, we developed stable cell lines for the
detection of HNA-3 antibodies. STUDY DESIGN AND METHODS: HEK293T were transfected
with HNA-3a or HNA-3b constructs and sorted by flow cytometry according to high
surface expression. Transfected cells were tested with sera containing HNA-3
antibodies in flow cytometry and antibody capture assay (ACA). The results were
compared with granulocyte agglutination test and granulocyte immunofluorescence
test. RESULTS: In flow cytometry, 12 of 14 HNA-3a sera reacted specifically with
HNA-3aa cells. One serum sample showed positive reaction with HNA-3bb cells. All
HNA-3b sera recognized HNA-3bb cells. No reaction was observed with broad
reactive antibodies against HLA Class I. In ACA, all HNA-3a sera (12/12) showed
positive reactivity with HNA-3aa cells with no cross-reactivity with HNA-3bb
cells. Again, all HNA-3b sera reacted with HNA-3bb cells only. Furthermore,
genotyping of 249 individuals detected a new HNA-3 allele caused by a nucleotide
substitution C>T at Position 457 leading to L(153)F mutation in choline
transporter-like protein-2. This mutation impairs polymerase chain reaction with
sequence-specific primers based HNA-3a typing. However, analysis with cells
expressing F(153) isoform showed that this mutation did not alter the binding of
HNA-3 antibodies. CONCLUSIONS: This study demonstrated that HEK293T cells
expressing stable recombinant HNA-3 are suitable for the detection of HNA-3
alloantibodies allowing reliable screening of blood products.
PMID- 21883264
TI - Flow cytometry assessment of apoptotic CD34+ cells by annexin V labeling may
improve prediction of cord blood potency for engraftment.
AB - BACKGROUND: Nonviable CD34+ cells are commonly assessed by standard flow
cytometry using the nuclear stain 7-aminoactinomycin D (7AAD). 7AAD, however,
only detects necrotic and late apoptotic cells, not earlier apoptosis, which
engraft poorly in animal models of cord blood (cord) transplantation. The
standard method, therefore, may overestimate engraftment potency of cord units
under certain conditions. STUDY DESIGN AND METHODS: To detect apoptotic events,
costaining with 7AAD and annexin V (AnnV), in parallel with the quantitative,
standard enumeration, was used. Cord units were assessed before and after
cryopreservation using both staining methods and colony-forming units (CFU) to
determine if graft potency can be predicted using a "functional flow cytometry"
approach. RESULTS: Significant numbers of CD34+ AnnV+ events were found within
the 7AAD-gated population. Nonapoptotic cell dose (CD34+ AnnV-) correlated well
with CFUs in both a small-scale (n = 10) and a large-scale banking study (n =
107). Finally, following samples postthaw with time showed increasing numbers of
apoptotic CD34+ cells and consequently the AnnV assessed dose was better at
predicting the CFU compared with just the standard enumeration. CONCLUSION:
Defining the apoptotic population of CD34+ cells improved the prediction of CFU,
making this method a rapid test of potency for assessment of cord units for
clinical use.
PMID- 21883265
TI - Detection of bacterial contamination in prestorage culture-negative apheresis
platelets on day of issue with the Pan Genera Detection test.
AB - BACKGROUND: Bacterial contamination is currently the most important infectious
risk associated with transfusion of platelet (PLT) products. Prestorage culture
has reduced but not eliminated this problem. STUDY DESIGN AND METHODS: Eighteen
hospitals studied the Pan Genera Detection (PGD) test, a rapid, lateral-flow
immunoassay for the detection of Gram-positive and Gram-negative bacteria. The
PGD test was performed on day of issue on apheresis PLTs released by collection
centers as culture negative. Confirmatory bacterial culture was performed when
PGD tests were repeatedly reactive, with three sites performing culture on all
doses studied. RESULTS: PGD tests on nine of 27,620 (1:3069, 95% confidence
interval [CI] 1:6711 to 1:1617; or 326 per million, 95% CI 149-618 per million)
apheresis PLT doses were repeatedly reactive and verified as bacterially
contaminated by confirmatory culture. Bacterial species isolated included
coagulase-negative staphylococci (n = 6), Bacillus sp. (n = 2), and Enterococcus
faecalis (n = 1). The ages of these contaminated doses were Day 3 (n = 4), Day 4
(n = 2), and Day 5 (n = 3). Two contaminated doses with nonreactive PGD tests
were detected among 10,424 doses at hospitals where concurrent culture was
performed, and one other was identified via a transfusion reaction investigation.
There were 142 PGD false positives (0.51%). CONCLUSIONS: The PGD test detected
bacterial contamination in 1:3069 (9 of 27,620) doses released as negative by
prestorage culture in PLTs as young as 3 days old. Three contaminated doses, two
clinically insignificant, had nonreactive PGD tests, while 0.51% of tests were
false positives. Application of this test on day of issue can interdict
contaminated units and prevent transfusion reactions.
PMID- 21883266
TI - Long-term follow-up among Danish transfusion recipients identified in the
national hepatitis C lookback.
AB - BACKGROUND: In 1996, a national lookback study was performed in Denmark
identifying 1018 patients exposed to hepatitis C virus (HCV) by transfusion
before 1991. The objective of this study was to describe morbidity and mortality
during extended follow-up among patients in the Danish HCV lookback cohort alive
in 1996. STUDY DESIGN AND METHODS: In a retrospective cohort study of 230
patients exposed to HCV by blood transfusion and alive in 1996 we extracted data
from national registers and compared these with a matched group of unexposed
transfusion recipients. RESULTS: Among 230 HCV-exposed recipients alive in 1996,
124 (53.9%) had chronic hepatitis C, 43 (18.7%) were not infected, and 63 (27.4%)
had incomplete HCV data. In 2009, 121 (52.6%) were still alive a median of 21.8
years after transfusion. The mortality rate among the HCV-exposed recipients
followed from 1996 was 4.9 per 100 person-years (PY). The incidence of liver
cirrhosis and decompensated cirrhosis was 1.0 per 100 PY and 0.4 per 100 PY,
respectively; 16.5% had cirrhosis at death. Among HCV-exposed recipients, no
difference in all-cause or liver-related mortality was observed between HCV
infected and HCV-uninfected recipients. Further, there was no difference in
mortality between HCV-exposed and -unexposed transfusion recipients (mortality
rate ratio [MRR], 1.06; 95% confidence interval [CI], 0.96-1.17; p = 0.47), but
liver-related mortality was significantly higher among HCV-exposed patients (MRR,
10.0; 95% CI, 7.20-17.7; p < 0.001). CONCLUSION: Two decades after exposure to
blood products from HCV-infected donors, only 121(11.8%) of 1018 recipients
remained alive. For HCV-exposed recipients no excess all-cause mortality was
observed, but liver-related mortality was significantly increased.
PMID- 21883268
TI - Bacterial screening of outdated buffy coat platelet pools using a culture system
and a rapid immunoassay.
AB - BACKGROUND: Canadian Blood Services performs bacterial screening of buffy coat
platelet pools (BCPs) using aerobic BacT/ALERT cultures. This study aimed to
determine the rate of detection failures during initial platelet (PLT) screening
and evaluate the introduction of anaerobic cultures and immunoassay testing to
assess the safety of extending PLT storage beyond 5 days. STUDY DESIGN AND
METHODS: Outdated (7- to 10-day-old) BCPs that tested negative during initial
screening were assayed with BacT/ALERT and the Verax PLT Pan Genera detection
(PGD) test, an immunoassay that detects Gram-positive (GP) and Gram-negative (GN)
bacteria. BacT/ALERT aerobic and anaerobic culture bottles were inoculated with 8
to 10 mL of BCP and incubated for up to 6 days. The PGD test was performed
following manufacturer's instructions. Positive results were confirmed using the
BacT/ALERT and PGD tests, blood agar culture, and Gram staining. Invalid PGD
results were investigated. RESULTS: A total of 4002 BCPs were tested with one
(0.025%) true positive (Staphylococcus epidermidis) found by both the BacT/ALERT
and the PGD assays. Fifty-four (1.35%) false-positive BacT/ALERT cultures were
obtained mainly due to instrument errors involving anaerobic cultures. Eleven
(0.27%) false-positive PGD tests were observed in the GP window of the strip.
Forty-nine (1.2%) invalid PGD results were obtained mostly before implementation
of a humidity chamber. CONCLUSION: Testing of outdated BCPs suggests that
introducing anaerobic cultures would result in significant PLT wastage due to a
high rate of false positives. Contaminated BCPs still escape detection during
initial testing; therefore, extension of PLT storage may be possible if repeat
screening is performed before transfusion.
PMID- 21883267
TI - Allergic agonists in apheresis platelet products are associated with allergic
transfusion reactions.
AB - BACKGROUND: The mechanisms that underlie allergic transfusion reactions (ATRs)
are not well characterized, but likely involve recipient, donor, and product
factors. To assess product factors associated with ATRs, we investigated
candidate mediators in apheresis platelet (PLT) products associated with ATRs and
controls. STUDY DESIGN AND METHODS: Using bead-based and standard enzyme-linked
immunosorbent assays, we tested supernatants from 20 consecutive apheresis PLT
transfusions associated with ATRs and 30 control products for concentrations of
mediators in three categories: acute inflammatory mediators, direct agonists of
basophils and mast cells, and growth and/or priming factors of basophils and mast
cells. RESULTS: Median concentrations of the direct allergic agonists C5a, brain
derived neurotrophic factor (BDNF), and CCL5 (RANTES) were 16.6, 41.8, and 13.9%
higher, respectively, in the supernatant of apheresis PLT products that were most
strongly associated with ATRs (p < 0.05 for each mediator). Other direct agonists
(macrophage inflammatory protein-1alpha, monocyte chemotactic protein-1, eotaxin
1, interleukin-8) were similar between groups. Concentrations of acute
inflammatory mediators and basophil growth and/or priming factors were also
similar between groups (p > 0.2 for all associations). CONCLUSION: The allergic
agonists C5a, BDNF, and CCL5 may be mediators of ATRs in apheresis PLT products.
Acute inflammatory proteins and basophil and/or mast cell growth and priming
factors do not appear to be associated with apheresis PLT products that cause
ATRs.
PMID- 21883269
TI - Red blood cell transfusions and tissue oxygenation in anemic hematology
outpatients.
AB - BACKGROUND: There is little clinical evidence that red blood cell (RBC)
transfusions improve oxygen availability at the microcirculatory level. We tested
the hypotheses that anemia in chronically anemic patients with relatively healthy
microcirculation would be associated with low tissue hemoglobin (Hb) and tissue
oxygenation levels and that these conditions would be improved after RBC
transfusions. STUDY DESIGN AND METHODS: Near-infrared spectroscopy (NIRS) was
used to determine tissue oxygen saturation (StO(2)) and tissue Hb index (THI; an
index of the amount of Hb in the NIRS measurement volume) in the thenar eminence
and sublingual tissue before and 30 minutes after RBC transfusions in 20
chronically anemic hematology outpatients. Data are presented as median (25%
75%). RESULTS: The patients received three (two to three) bags of RBCs in saline
adenine-glucose-mannitol with an age of 21 (7-21) days, which was infused
intravenously at the rate of 0.7 bag/hr. RBC transfusions significantly increased
hematocrit level from 26% (24%-28%) to 32% (30%-34%; p < 0.0001), Hb level from
8.2 (7.6-8.9) g/dL to 11.0 (9.9-11.8) g/dL (p < 0.0001), whole blood viscosity
from 3.4 (3.1-3.5) mPa/sec to 4.2 (4.0-4.5) mPa/sec (p < 0.0001), thenar StO(2)
from 81% (80%-84%) to 86% (81%-89%; p = 0.002), thenar THI from 11.2 (9.3-13.3)
AU to 13.7 (9.7-15.3) AU (p = 0.024), sublingual StO(2) from 86% (81%-89%) to 91%
(86%-92%; p < 0.0001), and sublingual THI from 15.2 (13.0-17.4) AU to 17.2 (13.5
19.7) AU (p = 0.040). CONCLUSION: Although anemia in chronically anemic
hematology outpatients was not associated with low StO(2) and THI levels, RBC
transfusions were successful in improving these variables.
PMID- 21883270
TI - Effects of granulocyte-colony-stimulating factor on Monosomy 7 aneuploidy in
healthy hematopoietic stem cell and granulocyte donors.
AB - BACKGROUND: Reports of Monosomy 7 in patients receiving granulocyte-colony
stimulating factor (G-CSF) have raised concerns that this cytokine may promote
genomic instability. However, there are no studies addressing whether repeated
administration of G-CSF produces Monosomy 7 aneuploidy in healthy donors. STUDY
DESIGN AND METHODS: We examined Chromosomes 7 and 8 by fluorescent in situ
hybridization (FISH) in CD34+ cells from 35 healthy hematopoietic stem cell
transplant (HSCT) donors after G-CSF administration for 5 days and by spectral
karyotyping analysis (SKY) in four individuals to assess chromosomal integrity.
We also studied 38 granulocyte donors who received up to 42 doses of G-CSF and
dexamethasone (Dex) using FISH for Chromosomes 7 and 8. RESULTS: We found no
abnormalities in Chromosomes 7 and 8 in G-CSF-mobilized CD34+ cells when assessed
by FISH or SKY, nor did we detect aneuploidy in G-CSF- and Dex-treated donors.
CONCLUSION: G-CSF does not promote clinically detectable Monosomy 7 or Trisomy 8
aneuploidy in HSCT or granulocyte donors. These findings should be reassuring to
healthy HSCT and granulocyte donors.
PMID- 21883271
TI - A standardized immunofluorescence test method with human neutrophil antigen
expressing cell lines to enhance antibody detection.
AB - There is an international need for a large-scale human neutrophils antigen (HNA)
antibody screening platform to minimize the risk of antibody-mediated transfusion
related acute lung injury. However, sourcing a substantial, reliable source of
HNA, as well as the scarcity of well-characterized HNA antisera for validating
new screening platforms, remain as major obstacles. This short communication
presents an improved protocol for the effective use of HNA-expressing KY cells as
a screening platform using eight well-characterized HNA antisera of a single
defined specificity.
PMID- 21883272
TI - Expression of the Rh/RhAG complex is reduced in Mi.III erythrocytes.
AB - BACKGROUND AND OBJECTIVES: Miltenberger blood group antigen subtype III (Mi.III)
is characterized by expression of a glycophorin B-A-B hybrid (Gp.Mur) on the
erythrocyte surface. The two alleles of glycophorin B are substituted with the B
A-B hybrid alleles in homozygous Mi.III (Mi.III(+/+)), and thus, Mi.III(+/+)
erythrocytes lack glycophorin B (GPB) and express Gp.Mur only. Because GPB is a
major component of the Rh complex on RBCs, in this study, we explored how the
absence of GPB might affect Rh expression in Mi.III RBCs. MATERIALS AND METHODS:
(1) Mi.III+ RBCs were serologically identified and further differentiated their
homozygosity or heterozygosity by immunoblot or direct sequencing. (2) RhD and
RhCcEe mRNA was cloned, and their sequences analysed. (3) The expression levels
of Rh antigen, Rh-associated glycoprotein (RhAG) and the U antigen in MI.III vs.
non-Mi.III RBCs were assessed by flow cytometry and Western blot. RESULTS:
Compared with the non-Mi.III samples, the surface expression of the Rh antigen
was reduced to 76.4% in Mi.III(+/+) RBCs and 93.6% in Mi.III(+/-). RhAG
expression was also significantly reduced in Mi.III(+/+), but not in Mi.III(+/-).
The U antigen expression in Mi.III(+/-) was only 14.9% relative to the control
RBCs, while GPB was half the level of the controls. The mRNA sequences of Rh
polypeptides from Mi.III+ samples were identical to the NCBI reference sequences.
CONCLUSION: Substitution of GPB with Gp.Mur significantly reduced the expression
of Rh antigen and RhAG on the Mi.III(+/+) erythrocyte membrane. The Mi.III
phenotype is predicted to induce considerable structural variations within the
band 3/Rh-associated macrocomplexes.
PMID- 21883273
TI - Infectivity of pre-seroconversion donations: an analysis of lookback exercises in
The Netherlands, 2000-2006.
AB - BACKGROUND AND OBJECTIVES: Blood can be infectious if it is donated shortly
before infection with hepatitis B virus (HBV), hepatitis C virus (HCV) or human
immunodeficiency virus (HIV) becomes detectable. Lookback exercises may detect
infection in recipients of pre-seroconversion donations. This study provides an
analysis of the Dutch lookback exercises in the years 2000 through 2006.
MATERIALS AND METHODS: All lookback procedures, triggered by 50 repeat donors
seroconverting for HBV (n=32), HCV (n=3), HIV (n=14) and HBV + HIV (n=1), were
analysed. Recipients and archived samples of the 96 implicated donations were
tested. RESULTS: For 76 donations, a stored sample was available for HBV, HCV, or
HIV PCR testing, revealing two HBV-DNA-positive pre-seroconversion donations.
Ninety-three lookback procedures were initiated, to which 91 of 93 hospitals
responded. In 87 of 91 cases, the implicated blood product had been administered.
In 39 of 87 cases, the recipient was tested, revealing one HIV and two HBV
infections. The HIV infection was considered pre-existent. The two HBV-positive
patients received components from the donation of which the repository sample
tested positive for HBV-DNA. Components of the second HBV-positive pre
seroconversion donation had not been administered. CONCLUSION: Among 39
recipients of pre-seroconversion donations, 2 (5%) were found HBV infected by
transfusion. The labour-intensive lookback procedures did not reveal any
conclusive transmissions additional to the infections detected by PCR testing of
repository pre-seroconversion samples.
PMID- 21883274
TI - Toward an interdisciplinary science of consumption.
AB - Scientific perspectives on the drive to consume were presented in Ann Arbor,
Michigan, at the conference entitled "The Interdisciplinary Science of
Consumption: Mechanisms of Allocating Resources Across Disciplines." The meeting,
which took place May 12-15, 2010 and was sponsored by Rackham Graduate School and
the Department of Psychology at the University of Michigan, included
presentations on human, primate, and rodent models and spanned multiple domains
of consumption, including reward seeking, delay discounting, food-sharing
reciprocity, and the consumption and display of material possessions across the
life span.
PMID- 21883275
TI - Combining H1-antihistamines and nasal corticosteroids to treat allergic rhinitis
in general practice.
PMID- 21883276
TI - Pulpitis as clinical presentation of photoallergic contact dermatitis due to
chlorpromazine.
PMID- 21883277
TI - PTGDR gene in asthma: a functional, genetic, and epigenetic study.
AB - BACKGROUND: Asthma affects more than 300 million individuals in the world.
Several studies have demonstrated the importance of the genetic component. The
aim of this study is to develop a holistic approach, including genetic,
epigenetic, and expression analysis to study the Prostaglandin D2 receptor gene
(PTGDR) in asthmatic patients. METHODS: In this study, 637 Caucasian individuals
were included. Genetic variants were characterized by sequencing, and haplotype
and diplotype combinations were established. Electrophoretic mobility shift
assays (EMSAs) were performed with different promoter variants. An epigenetic
analysis of PTGDR was for the first time developed by MassArray assays, and gene
expression was determined by real-time polymerase chain reaction. RESULTS: The
197T > C (Fisher's P = 0.028) and -613C > T (Fisher's P < 0.001) polymorphisms
were found to be significantly associated with allergic asthma and allergy to
pollen and mites, respectively. In addition, several haplotype and diplotype
combinations were associated with different allergy and asthma phenotypes. The
presence of the -613C > T SNP determined variations in the EMSAs. Moreover,
consistent differences in the methylation and expression patterns were observed
between asthmatic patients and controls determining a 2.34-fold increase of PTGDR
gene expression in asthmatic patients. CONCLUSIONS: Genetic combinations
described have functional implications in the PTGDR promoter activity by changing
the transcription factors affinity that will help characterize different risk
groups. The differences observed in the transcription factors affinity and in the
methylation pattern bring insight into different transcription regulation in
these patients. To the best of our knowledge, this is the first work in which the
implication of genetic and epigenetic factors of PTGDR has been characterized
pointing to putative therapeutic targets.
PMID- 21883278
TI - Computationally predicted IgE epitopes of walnut allergens contribute to cross
reactivity with peanuts.
AB - BACKGROUND: Cross-reactivity between peanuts and tree nuts implies that similar
immunoglobulin E (IgE) epitopes are present in their proteins. OBJECTIVE: To
determine whether walnut sequences similar to known peanut IgE-binding sequences,
according to the property distance (PD) scale implemented in the Structural
Database of Allergenic Proteins, react with IgE from sera of patients with
allergy to walnut and/or peanut. METHODS: Patient sera were characterized by
western blotting for IgE binding to nut protein extracts and to peptides from
walnut and peanut allergens, similar to known peanut epitopes as defined by low
PD values, synthesized on membranes. Competitive enzyme-linked immunosorbent
assay (ELISA) was used to show that peanut and predicted walnut epitope sequences
compete with purified Ara h 2 for binding to IgE in serum from a cross-reactive
patient. RESULTS: Sequences from the vicilin walnut allergen Jug r 2, which had
low PD values to epitopes of the peanut allergen Ara h 2, a 2S albumin, bound to
IgE in sera from five patients who reacted to either walnut or peanut or both. A
walnut epitope recognized by sera from six patients mapped to a surface-exposed
region on a model of the N-terminal pro-region of Jug r 2. This predicted walnut
epitope competed for IgE binding to Ara h 2 in serum as well as the known IgE
epitope from Ara h 2. CONCLUSIONS: Sequences with low PD value (< 8.5) to known
IgE epitopes could contribute to cross-reactivity between allergens. This further
validates the PD scoring method for predicting cross-reactive epitopes in
allergens.
PMID- 21883279
TI - Changes in basophil activation during grass-pollen sublingual immunotherapy do
not correlate with clinical efficacy.
AB - BACKGROUND: Biomarkers predicting the safety and efficacy of sublingual
immunotherapy (SLIT) remain to be established. METHODS: Eighty-nine patients with
allergic rhinoconjunctivitis to grass pollen received either a placebo or five
grass-pollen daily tablet sublingually for 4 months. Following exposure in an
allergen challenge chamber, clinical responders and nonresponders were identified
individually by evaluating their rhinoconjunctivitis total symptom score (RTSS).
Activation of peripheral blood basophils was measured by cytofluorometry before
and after 2 or 4 months of immunotherapy, based on CD203c surface expression
following allergen stimulation. RESULTS: Patients receiving the grass-pollen
tablet had a relative mean improvement of 29.3% vs placebo in the average RTSS
after 4 months of SLIT (P < 0.0003). No significant changes in basophil
activation were noticed after 2 or 4 months of SLIT despite induction of specific
IgGs. Among individual clinical responders, basophil activation was either
decreased, increased, or unmodified during SLIT. Levels of basophil activation
prior to immunotherapy were not predictive of local adverse reactions associated
with immunotherapy. A moderate association was found between basophil activation
and allergen-specific IgE levels, skin reactivity, or RTSS, suggesting that the
former is, to some extent, indicative of disease severity. As such, patients with
the highest level of basophil activation before treatment were more likely to
benefit clinically from SLIT. CONCLUSIONS: Allergen reactivity of peripheral
blood basophils is not a biomarker for adverse events or early onset of clinical
responses to SLIT.
PMID- 21883280
TI - Clonal expansion of T cells in patients with eosinophilic lung disease.
PMID- 21883282
TI - In vitro cultivation of shrimp Taura syndrome virus (TSV) in a C6/36 mosquito
cell line.
PMID- 21883281
TI - Risk factors for developing pain in normospermic patients with varicocoele.
AB - To assess the possible risk factors for developing pain in normospermic adult
varicocoele patients, 42 adult patients with left painful varicocoele (group 1)
and 35 age-matched patients with left painless varicocoele (group 2) were
recruited to this study. All the patients had normal semen quality (spermatozoa
density, motility and morphology). Pain score on a 10-cm visual analogue scale
was used to assess the scrotal pain as a result of varicocoele. The severity of
pain was defined as follows: mild pain (1-3 cm), moderate pain (4-6 cm) and
severe pain (7-10 cm). The parameters for comparison included body mass index
(BMI), the distance from the renal hilum to scrotum (DRS), semen quality and pH
value, serum concentration of follicle-stimulating hormone (FSH), luteinizing
hormone (LH), prolactin, testosterone, alkaline phosphatase (Alk-p), lactate
dehydrogenase (LDH), testicular volume and discrepancy (%), grade of varicocoele
and peak retrograde flow (PRF) and spontaneous venous reflux (SVR) by colour
Doppler ultrasound and scrotal temperature (ST). The mean ages were 27.8 and 27.1
years old in groups 1 and 2, respectively. By multivariate analysis, patients in
group 1 had significantly higher PRF, ST, DRS and rate of SVR, and lower BMI than
those in group 2. Furthermore, there were significant differences in PRF, DRS and
BMI among patients in group 1 with different degrees of pain. Conclusively,
normospermic adult patients with left painful varicocoele had significantly
higher peak retrograde flow, ST, distance from the renal hilum to scrotum, and
rate of spontaneous venous reflux and lower BMI than those with left painless
varicocoele. Furthermore, varicocoele patients with severe pain had significantly
higher peak retrograde flow and distance from the renal hilum to scrotum, and
lower BMI than those with moderate and mild pain.
PMID- 21883283
TI - Expression pattern of heat-shock cognate 70 gene of humphead snapper, Lutjanus
sanguineus (Cuvier), infected by Vibrio harveyi.
AB - The heat-shock cognate 70 (HSC70) gene of humphead snapper, Lutjanus sanguineus,
designated as ByHSC70, was cloned by rapid amplification of cDNA ends (RACE) with
the primers designed from the known expressed sequence tag (EST) identified from
the subtracted cDNA library of the head kidney of humphead snapper. The full
length cDNA of ByHSC70 is 2313 bp, containing a 5' terminal untranslated region
(UTR) of 96 bp, a 3' terminal UTR of 267 bp, and an open reading frame (ORF) of
1950 bp encoding a polypeptide of 650 amino acids with a theoretical molecular
weight of 71.21 kDa and an estimated isoelectric point (pI) of 5.08. ByHSC70
contained three classical HSP70 family signatures. BLAST analysis showed that the
amino acid sequence of ByHSC70 had the highest similarity of 99% when compared
with other HSC70s. Fluorescent real-time quantitative RT-PCR was used to examine
the expression of ByHSC70 gene in eight kinds of tissues/organs of humphead
snapper after challenge with Vibrio harveyi. There was a clear time-dependent
expression pattern of ByHSC70 in head kidney, spleen and thymus after bacterial
challenge, and the expression of mRNA reached a maximum level at 9, 6 and 24 h
post-infection and then returned to control levels after 15, 24 and 36 h,
respectively. Our results suggest that HSC70 is an important component in the
immune system of humphead snapper, its their rapid transcriptional upregulation
in response to V. harveyi infection might be important for survival of humphead
snapper.
PMID- 21883284
TI - Pharmacokinetics of oral meloxicam in ruminant and preruminant calves.
AB - The pharmacokinetics of oral meloxicam has been studied in ruminant, but not
preruminant calves. Oral meloxicam was administered at 0.5 mg/kg to six ruminant
calves via gavage (RG); to six preruminant calves via gavage (PRG); and to six
preruminant calves via suckling in milk replacer (PRF). Plasma drug
concentrations, determined over 120-h postadministration, were analyzed by
compartmental and noncompartmental methods. The rate of drug absorption was
faster (P<0.01) in PRF (0.237+/-0.0478/h) than RG calves (0.0815+/-0.0188/h),
while absorption in PRG calves (0.153+/-0.128/h) was not different from other
groups. C(max) was lower (P=0.03) in PRF (1.27+/-0.430 MUg/mL) than in PRG calves
(2.20+/-0.467 MUg/mL), while C(max) of RG calves (1.95+/-0.955 MUg/mL) was not
different from other groups. V/F was higher in PRF calves (365+/-57 mL/kg) than
either PRG (177+/-63 mL/kg, P<0.01) or RG (232+/-83 mL/kg, P=0.01) calves. These
observations were likely due to differences in bioavailability, physiological
maturity, and timing of the drug delivery into different compartments of the
ruminant gastrointestinal tract. Results suggest that an adjustment in meloxicam
dose may be necessary when administered with milk replacer.
PMID- 21883285
TI - Pharmacokinetics of tramadol and its major metabolites in alpacas following
intravenous and oral administration.
AB - Tramadol, a centrally acting opioid analgesic with monamine reuptake inhibition,
was administered to six alpacas (43-71 kg) randomly assigned to two treatment
groups, using an open, single-dose, two-period, randomized cross-over design at a
dose of 3.4-4.4 mg/kg intravenously (i.v.) and, after a washout period, 11 mg/kg
orally. Serum samples were collected and stored at -80 degrees C until assayed by
HPLC. Pharmacokinetic parameters were calculated. The mean half-lives (t(1/2))
i.v. were 0.85+/-0.463 and 0.520+/-0.256 h orally. The Cp(0) i.v. was 2467+/-540
ng/mL, and the C(max) was 1202+/-1319 ng/mL orally. T(max) occurred at 0.111+/
0.068 h orally. The area under the curve (AUC(0-infinity)) i.v. was 895+/-189 and
373+/-217 ng*h/mL orally. The volume of distribution (V(d[area])) i.v. was 5.50+/
2.66 L/kg. Total body clearance (Cl) i.v. was 4.62+/-1.09 h; Cl/F for oral
administration was 39.5+/-23 L/h/kg. The i.v. mean residence time (MRT) was
0.720+/-0.264. Oral adsorption (F) was low (5.9-19.1%) at almost three times the
i.v. dosage with a large inter-subject variation. This may be due to binding with
the rumen contents or enzymatic destruction. Assuming linear nonsaturable
pharmacokinetics and absorption processes, a dosage of 6.7 times orally would be
needed to achieve the same i.v. serum concentration of tramadol. The t(1/2) of
all three metabolites was longer than the parent drug; however, O-DMT, N-DMT, and
Di-DMT metabolites were not detectable in all of the alpacas. Because of the poor
bioavailability and adverse effects noted in this study, the oral administration
of tramadol in alpacas cannot be recommended without further research.
PMID- 21883287
TI - Active ROP2 GTPase inhibits ABA- and CO2-induced stomatal closure.
AB - ROP GTPases function as molecular switches in diverse cellular processes.
Previously, we showed that ROP2 GTPase is activated upon light irradiation, and
thereby negatively regulates light-induced stomatal opening. Here we studied the
role of ROP2 during stomatal closure. The expression of a constitutively active
form of ROP2 (CA-rop2) in Arabidopsis thaliana and Vicia faba resulted in slower
and reduced stomatal closure in response to abscisic acid (ABA) and CO(2) . In
contrast, the expression of a dominant-negative form of ROP2 (DN-rop2) and the
knockout mutation of ROP2 (rop2 KO) promoted ABA-induced stomatal closure in
Arabidopsis. As early as 10 min after ABA treatment, ROP2 was inactivated and
translocated to the cytoplasm of the stomatal guard cells. To elucidate the
mechanism by which active ROP2 suppresses stomatal closure, we monitored
endocytotic membrane trafficking, which is regulated by Rho GTPases in animal
cells. We found that the endocytosis of plasma membrane (PM), as tracked by FM4
64, was lower in CA-rop2-expressing guard cells than in those of wild-type
plants, which suggests that active ROP2 suppresses the endocytotic
internalization of PM, a process required for stomatal closure. Together, our
results suggest that ROP2 is inactivated by ABA, and that this inactivation is
required for the timely stomatal closure.
PMID- 21883286
TI - JA-Ile signalling in Solanum nigrum is not required for defence responses in
nature.
AB - Jasmonate signalling plays a central role in activating the plethora of responses
that are elicited by herbivory. Solanum nigrum plants silenced in the expression
of genes involved in jasmonic acid biosynthesis (irlox3), conjugation (irjar4)
and perception (ircoi1) were used to study the function of these genes in the
field and in the regulation of transcriptional and metabolic responses. In the
field, damage from Noctuidea larvae was four- to fivefold higher on irlox3 and
ircoi1 than on wild-type (WT) plants, whereas damage to irjar4 plants was similar
to WT levels. Damage rates reflected plant survival rates; fewer irlox3 (78%) and
ircoi1 (22%) plants survived compared with irjar4 and WT plants of which all
plants survived. Gene expression profiling in leaves 3 h after simulated
herbivory revealed differential regulation of ~700 genes in irlox3 and ircoi1
plants but of only six genes in irjar4 compared with WT plants. Surprisingly,
transcriptional responses were not reflected in metabolomic responses; 48 h after
simulated herbivory, irjar4 plants showed a 50% overlap in their metabolic
profile with ircoi1 plants. Together, these results reveal that SnJAR4 does not
play a direct role in herbivore defence, but suggests that SnJAR4 is involved in
responses other than those to herbivory.
PMID- 21883288
TI - Using a biochemical C4 photosynthesis model and combined gas exchange and
chlorophyll fluorescence measurements to estimate bundle-sheath conductance of
maize leaves differing in age and nitrogen content.
AB - Bundle-sheath conductance (g(bs) ) affects CO(2) leakiness, and, therefore, the
efficiency of the CO(2) -concentrating mechanism (CCM) in C(4) photosynthesis.
Whether and how g(bs) varies with leaf age and nitrogen status is virtually
unknown. We used a C(4) -photosynthesis model to estimate g(bs) , based on
combined measurements of gas exchange and chlorophyll fluorescence on fully
expanded leaves of three different ages of maize (Zea mays L.) plants grown under
two contrasting nitrogen levels. Nitrogen was replenished weekly to maintain leaf
nitrogen content (LNC) at a similar level across the three leaf ages. The
estimated g(bs) values on leaf-area basis ranged from 1.4 to 10.3 mmol m(-2) s(
1) and were affected more by LNC than by leaf age, although g(bs) tended to
decrease as leaves became older. When converted to resistance (r(bs) = 1/g(bs)),
r(bs) decreased monotonically with LNC. The correlation was presumably associated
with nitrogen effects on leaf anatomy such as on wall thickness of bundle-sheath
cells. Despite higher g(bs), meaning less efficient CCM, the calculated loss due
to photorespiration was still low for high-nitrogen leaves. Under the condition
of ambient CO(2) and saturating irradiance, photorespiratory loss accounted for 3
5% of fixed carbon for the high-nitrogen, versus 1-2% for the low-nitrogen,
leaves.
PMID- 21883289
TI - Whole organ, venation and epidermal cell morphological variations are correlated
in the leaves of Arabidopsis mutants.
AB - Despite the large number of genes known to affect leaf shape or size, we still
have a relatively poor understanding of how leaf morphology is established. For
example, little is known about how cell division and cell expansion are
controlled and coordinated within a growing leaf to eventually develop into a
laminar organ of a definite size. To obtain a global perspective of the cellular
basis of variations in leaf morphology at the organ, tissue and cell levels, we
studied a collection of 111 non-allelic mutants with abnormally shaped and/or
sized leaves, which broadly represent the mutational variations in Arabidopsis
thaliana leaf morphology not associated with lethality. We used image-processing
techniques on these mutants to quantify morphological parameters running the
gamut from the palisade mesophyll and epidermal cells to the venation, whole leaf
and rosette levels. We found positive correlations between epidermal cell size
and leaf area, which is consistent with long-standing Avery's hypothesis that the
epidermis drives leaf growth. In addition, venation parameters were positively
correlated with leaf area, suggesting that leaf growth and vein patterning share
some genetic controls. Positional cloning of the genes affected by the studied
mutations will eventually establish functional links between genotypes, molecular
functions, cellular parameters and leaf phenotypes.
PMID- 21883290
TI - Immune responses elicited by co-immunization of Plasmodium vivax and P.
falciparum MSP-1 using prime-boost immunization strategies.
AB - Carboxy-terminus of merozoite surface protein-1 (MSP-1(19) ) is the major protein
on the surface of the plasmodial merozoite that acts as one of the most important
blood-stage vaccine candidates. The present investigation was designed to
evaluate the immune responses when either two recombinant antigens (rPvMSP-1(19)
+ rPfMSP-1(19)) or two plasmid constructs (pcDNA3.1 hygro-PvMSP-1(19) + pcDNA3.1
hygro-PfMSP-1(19)) were administered in combination at a single site in mice by
using different immunization strategies (protein/protein, DNA/DNA and
DNA/protein) at weeks 0, 5 and 8. All mice were monitored for the level of MSP
1(19) -specific antibody for up to 40 weeks. The inclusion of both recombinant
antigens in a vaccine mixture could not inhibit induction of antibodies to the
other antigen when the two recombinant antigens were combined in immunization
formulation. Interestingly, antisera from immunized mice with either recombinant
antigen failed to cross-react with heterologous antigen. Moreover, the results of
this study showed that co-immunization with both antigens at a single site
generated a substantial PvMSP-1(19) - and PfMSP-1(19) -specific antibody
responses and also IFN-gamma cytokine production (Th1 response) in DNA/protein
prime-boost immunization strategies. The increased humoral response to PvMSP
1(19) and PfMSP-1(19) lasted nearly a year after immunization. Therefore, the
results of this study are encouraging for the development of multi-species
malaria vaccine based on MSP-1(19) antigen.
PMID- 21883291
TI - The clinician-scientist: professional dynamics in clinical stem cell research.
AB - Clinical applications of biomedical research rely on specialist knowledge
provided by professionals who straddle research and therapy, and possess both
medical and scientific expertise. To date, this professional group remains under
explored in sociology. Our article presents a case study of clinician-scientists
working in stem cell research for heart repair in the UK and Germany who are
engaged in double-blind randomised clinical trials using patients' own stem
cells. The analysis draws on sociological and medical literature, interviews and
ethnographic fieldwork to analyse the experiences and self-rationalisations of a
small number of clinician-scientists and the ways in which these professionals
portray, explain and justify their role in the wider clinical research
environment. We examine our participants' views on the clinical trials they
conduct, the challenges they encounter and the ways through which they negotiate
a complex disciplinary terrain, and argue that the recent clinical implementation
of stem cell research brings clinician-scientists to the fore and provides a
renewed platform for their professional legitimisation. The article helps
increase our understanding of how randomised clinical trials are involved in
consolidating the individual status of actors and the collective standing of
clinician-scientists as leaders of change in translational medicine.
PMID- 21883292
TI - The injured and diseased farmer: occupational health, embodiment and technologies
of harm and care.
AB - Occupational health in agriculture is a significant public health issue in
industrialised agricultural nations. This article reports on 26 in-depth
interviews with farmers throughout New Zealand. Farmers are exposed to a range of
technologies which place them at risk of injury and disease and/or prevent injury
and disease. In this article these technologies are respectively conceptualised
as technologies of harm and technologies of care. Despite being vulnerable to
high rates of injury, fatality and occupationally related diseases the uptake of
technologies of care amongst farmers in New Zealand is poor. The analysis draws
on body theory to explore the meaning attached to injury and disease and to
examine the socio-cultural field of agriculture. It is argued that the key
features of subjective embodiment and social, cultural and symbolic capital can
undermine the uptake of technologies of care, ensuring poor occupational health
outcomes on New Zealand farms.
PMID- 21883293
TI - From contact angle titration to chemical force microscopy: a new route to assess
the pH-dependent character of the stratum corneum.
AB - Despite of its complex multicomponent organization and its compact architecture,
the Stratum corneum (SC) is not completely impermeable to substances directly
applied on the skin surface. A huge number of works have been dedicated to the
understanding of the mechanisms involved in substance permeation by exploring
deeper layers than the SC itself. Surprisingly, there is a poor interest in
studies relating to interactions which may occur in the near-surface region (i.e.
approximately 1 nm depth) of the SC. In this work, equilibrium proton-transfer
reactions have been used as probes to define in a fundamental point of view the
nature of the SC interactions with its environment. Such titration curves are
investigated on 'in vitro' SC (isolated SC from abdominal skin tissue) and on 'in
vivo' volar forearm (a sebum poor area). The results are discussed in term of
work of adhesion and surface pKa values. Because SC can 'reconstruct' under
heating, influence of the temperature on titration curves is investigated and the
role of the different components is discussed. Different sigmoidal transitions
were observed. Two common pKa values (pKa(1) = 4 and pKa(2) = 11.5) were clearly
identified in both cases and associated to an acid-base character. By playing
with the temperature of 'in vitro' SC, the 'accessibility' of polar functions was
increased, thus refining the results by revealing an amphoteric character with an
acid-to-base transition at pH 3.5 and two acid transitions at pH = 6.5 and pH =
11.5. Adhesion forces between an Atomic Force Microscopy (AFM) tip and a single
isolated corneocyte through buffered liquid media were also investigated to
better understand the role of the individual corneocytes.
PMID- 21883294
TI - Identification of an emulsifier and conditions for preparing stable nanoemulsions
containing the antioxidant astaxanthin.
AB - In this study, oil-in-water nanoemulsions of astaxanthin were prepared by high
pressure homogenization. The influence of emulsifying conditions including
emulsifier type, concentration, passing time, astaxanthin concentration and
coantioxidants were optimized. The stabilities of nanoemulsions were measured
using zetasizer, FF-SEM, TEM, colorimeter and particle size analyzer. The mean
diameter of the dispersed particles containing astaxanthin ranged from 160 to 190
nm. The size distribution was unimodal and extended from 100 to 200 nm. The
nanoemulsions prepared with glyceryl citrate/lactate/linoleate/oleate (glyceryl
ester) had smaller particle size and narrower size distribution than the emulsion
prepared with hydrogenated lecithin. Stable incorporation of astaxanthin in
nanoemulsion was performed and checked using HPLC, FF-SEM and TEM. The
nanoemulsion was not significantly affected during storage under light and
thermal condition for one month indicating that the nanoemulsion had a zeta
potential of less than -41 mV, indicating a stable colloid.
PMID- 21883296
TI - Inferior alveolar nerve injury resulting from overextension of an endodontic
sealer: non-surgical management using the GABA analogue pregabalin.
AB - AIM: To describe a case of endodontic sealer (AH Plus) penetration within the
mandibular canal after root canal treatment with resolution of pain and
paraesthesia after a non-surgical approach, including treatment with prednisone
and pregabalin. SUMMARY: A 37-year-old woman underwent root canal treatment of
the left mandibular second molar tooth. Postoperative periapical radiographs
revealed the presence of radiopaque canal sealer in the mandibular canal. The day
after, the patient reported severe pain in the tooth and paraesthesia/anaesthesia
in the region innervated by the left inferior alveolar and mental nerve.
Diagnosis of injury to the inferior alveolar nerve because of extrusion of AH
Plus was established. The non-surgical management included 1 mg kg(-1) per day
prednisone, two times per day, in a regimen on a daily basis, and 150 mg per day
pregabalin, two doses per day, monitoring the progress with periodic follow-up
visits. One month after the incident, the signs and symptoms were gone. KEY
LEARNING POINTS: This case illustrates the care required when performing root
canal treatment, especially when the root apices are in close proximity to the
inferior alveolar nerve canal. The complete resolution of paraesthesia and the
control of pain achieved in the present case suggests that a non-surgical
approach combining prednisone and pregabalin is a good option in the management
of the inferior alveolar when it is contacted by extruded root filling material.
PMID- 21883295
TI - Bacterial killing by several root filling materials and methods in an ex vivo
infected root canal model.
AB - AIM: To evaluate the ability of two root canal sealers (Epoxy resin-based AH Plus
or polydimethylsiloxane-based GuttaFlow) and five root filling techniques
(continuous wave of condensation, Thermafil, lateral condensation, matched taper
single gutta-percha point, laterally condensed-matched taper gutta-percha point)
to kill bacteria in experimentally infected dentinal tubules. METHODOLOGY: An
infected dentine block model was used. One hundred and twenty extracted, single
rooted human teeth were randomly divided into 10 test (n = 10) and 2 control (n =
10) groups. The roots, except negative controls, were infected with Enterococcus
faecalis for 21 days. The root canals were then filled using the test materials
and methods. Positive controls were not filled. Sterile roots were used as
negative controls. Dentine powder was obtained from all root canals using gates
glidden drills using a standard method. The dentine powder was diluted and
inoculated into bacterial growth media. Total colony-forming units (CFU) were
calculated for each sample. Statistical analysis was performed using the Kruskal
Wallis and Mann-Whitney U test. RESULTS: The epoxy resin-based sealer was
effective in killing E. faecalis except when using Thermafil (P < 0.05), but the
polydimethylsiloxane-based sealer was not effective in killing this microorganism
except in the continuous wave group (P < 0.05). CONCLUSIONS: In the test model,
AH Plus killed bacteria in infected dentine more effectively than GuttaFlow. The
filling method was less important than the sealer material.
PMID- 21883297
TI - The attitudinal consequences of thought suppression: a focus on thinness
thoughts.
AB - Four studies examined whether thought suppression could enhance attitude
accessibility and whether behaviours that are consistent with the more accessible
attitudes would follow. Thinness was examined as the attitude object. Experiments
1 and 2 showed that suppression of positive thoughts about thinness increased the
accessibility of the positive attitudes associated with thinness. Experiments 3
and 4 showed that suppression of positive thinness thoughts also elicited more
health-promoting behaviours. The implications of the findings for attitude
accessibility and the practical consequences of thought suppression are
discussed.
PMID- 21883298
TI - Collective symbolic coping with disease threat and othering: a case study of
avian influenza.
AB - Much research studies how individuals cope with disease threat by blaming out
groups and protecting the in-group. The model of collective symbolic coping (CSC)
describes four stages by which representations of a threatening event are
elaborated in the mass media: awareness, divergence, convergence, and
normalization. We used the CSC model to predict when symbolic in-group protection
(othering) would occur in the case of the avian influenza (AI) outbreak. Two
studies documented CSC stages and showed that othering occurred during the
divergence stage, characterized by an uncertain symbolic environment. Study 1
analysed media coverage of AI over time, documenting CSC stages of awareness and
divergence. In Study 2, a two-wave repeated cross-sectional survey was conducted
just after the divergence stage and a year later. Othering was measured by the
number of foreign countries erroneously ticked by participants as having human
victims. Individual differences in germ aversion and social dominance orientation
interacted to predict othering during the divergence stage but not a year later.
Implications for research on CSC and symbolic in-group protection strategies
resulting from disease threat are discussed.
PMID- 21883299
TI - The fast and the dangerous: the speed of events influences risk judgements.
AB - A risk-as-feelings approach suggests that factors irrelevant to the potential
risk can influence risk perception. This investigation focused on the speed of
events as one such factor. Negative events that occur relatively quickly were
judged as more likely to occur than events that occur more slowly. Speed
influenced risk perception when it was salient and differences in risk perception
were reduced when it was not salient. Further, the likelihood of a negative
outcome was judged to be more likely when the same event was described as
occurring relatively quickly compared to slowly. Even when only the speed at
which information was presented changed, faster events were judged to be riskier
than slower events. Theoretically, these findings suggest that speed of an event
contributes to risk judgements and suggest speed may be the reason people fear
fast but low incidence events and fail to fear slower but higher incidence
events.
PMID- 21883300
TI - Friendship trumps ethnicity (but not sexual orientation): comfort and discomfort
in inter-group interactions.
AB - An experience sampling study tested the degree to which interactions with out
group members evoked negative affect and behavioural inhibition after controlling
for level of friendship between partners. When friendship level was statistically
controlled, neither White nor Black participants reported feeling more discomfort
interacting with ethnic out-group members compared to ethnic in-group members.
When partners differed in sexual orientation, friendship level had a less
palliating effect. Controlling for friendship, both gay and straight men - but
not women - felt more behaviourally inhibited when interacting with someone who
differed in sexual orientation, and heterosexual participants of both genders
continued to report more negative affect with gay and lesbian interaction
partners. However, gay and lesbian participants reported similar levels of
negative affect interacting with in-group (homosexual) and out-group
(heterosexual) members after friendship level was controlled. Results suggest
that much of the discomfort observed in inter-ethnic interactions may be
attributable to lower levels of friendship with out-group partners. The
discomfort generated by differences in sexual orientation, however, remains a
more stubborn barrier to comfortable inter-group interactions.
PMID- 21883301
TI - Backbiting and bloodshed in books: short-term effects of reading physical and
relational aggression in literature.
AB - The current research consisted of two studies examining the effects of reading
physical and relational aggression in literature. In both studies, participants
read one of two stories (containing physical or relational aggression), and then
participated in one of two tasks to measure aggression. In Study 1, participants
who read the physical aggression story were subsequently more physically
aggressive than those who read the relational aggression story. Conversely, in
Study 2, participants who read the relational aggression story were subsequently
more relationally aggressive than those who read the physical aggression story.
Combined, these results show evidence for specific effects of reading aggressive
content in literature.
PMID- 21883302
TI - Upward and downward comparison in the intermediate-status group: the role of
social stratification stability.
AB - This work analyses intergroup comparison choices made by intermediate-status
group members. Seventy-six psychology students were categorized in an
intermediate position with respect to other faculties. Stability was manipulated
at three levels: stable, upwardly unstable, and downwardly unstable. Data on
strength of comparison, comparison for enhancing, comparison for evaluation, and
ingroup identification were collected. Results revealed that in the stable
condition, participants were equally engaged in both upward and downward
comparison. In the upwardly unstable condition, participants were more likely to
compare themselves with the high-status group, whereas in the downwardly unstable
condition, they were more likely to choose a downward comparison. In this latter
condition, both downward comparison for enhancement and in-group identification
were lower than in other conditions.
PMID- 21883303
TI - To the Editor:
PMID- 21883305
TI - To the Editor:
PMID- 21883306
TI - To the Editor:
PMID- 21883307
TI - To the Editor:
PMID- 21883308
TI - Arrhythmia detection after atrial fibrillation ablation: value of incremental
monitoring time.
AB - BACKGROUND: After pulmonary vein isolation (PVI), patients need to be followed to
analyze the effect of the treatment. We evaluated the influence of the duration
of Holter monitoring on the detection of arrhythmia recurrences after a single
PVI at 12 months. METHODS: Consecutive patients with paroxysmal atrial
fibrillation (AF) underwent successful PVI with phased radiofrequency and
pulmonary vein ablation catheter. Follow-up was performed with electrocardiogram
at 3, 6, and 12 months and 7-day Holter at 12 months. Symptomatic patients
received additional event recording. The 7-day Holters at 12 months were
evaluated for documented left atrial tachyarrhythmia recurrences, and each
individual day with AF was categorized. RESULTS: At 12 months after the
procedure, 21 of the 96 (22%) patients had AF on their 7-day Holter. In the
patients with AF recurrence, there was an increase in sensitivity from 53% of a 1
day Holter up to 88% with 4-day Holter, and 100% of a 7-day Holter. Monitoring
with duration of less than 4 days resulted in significantly less detection of
patients with AF compared to 7-day Holter. CONCLUSIONS: A 4-day Holter at 12
months has an 88% sensitivity for arrhythmia detection, and appears to provide a
sufficient monitoring time. Prolonging the monitoring time to 7 days does not
significantly increase the yield.
PMID- 21883309
TI - Alternating bundle branch block.
PMID- 21883310
TI - Overcoming artifacts and fears: electrophysiology study and radiofrequency
ablation in a Parkinsonian patient with supraventricular tachycardia and a brain
neurostimulator.
AB - With the ageing of the population and expanding use of deep brain stimulation in
the treatment of various neurological and neuropsychiatric conditions, there will
be an increasing number of patients with these devices who present with cardiac
conditions necessitating electrophysiology studies (EPS). However,
neurostimulator devices have been shown to cause significant artifacts on
electrocardiography recordings. We present the case of a 53-year-old Parkinsonian
woman with a brain neurostimulator device who underwent a successful EPS with
radiofrequency ablation.
PMID- 21883311
TI - Learning without burning: emerging knowledge of the autonomic innervation of the
heart.
PMID- 21883312
TI - Eccentric retrograde atrial activation in a patient with typical atrial flutter.
PMID- 21883313
TI - Inappropriate therapy delivered by a CRT-D for tachycardia with simultaneous
atrial and ventricular activation: what is the tachycardia?
PMID- 21883314
TI - "Pseudo PJRT"--fast-slow AV nodal reentrant tachycardia presenting with
tachycardia-induced cardiomyopathy.
AB - A 52-year-old woman presented with left ventricular (LV) dysfunction and
incessant narrow complex tachycardia. Electrocardiogram and clinical picture were
suggestive of a permanent form of junctional reciprocating tachycardia, but
electrophysiology study showed the tachycardia to be a fast-slow form of
atrioventricular nodal reentrant tachycardia (AVNRT). Slow pathway ablation
terminated the tachycardia and the LV dysfunction resolved completely at follow
up. Fast-slow AVNRT has not been reported to present in incessant form, and the
mechanism in this patient was absent retrograde fast pathway conduction resulting
in easy initiation and maintenance of tachycardia.
PMID- 21883315
TI - The impact of pharmacologic sympathetic and parasympathetic blockade on atrial
electrogram characteristics in patients with atrial fibrillation.
AB - BACKGROUND: Ablation of atrial autonomic inputs exerts antifibrillatory effects.
However, because ablation destroys both myocardium and nerve cells, the effect of
autonomic withdrawal alone remains unclear. We therefore examined the effects of
pharmacologic autonomic blockade (PAB) on frequency and fractionation in patients
with atrial fibrillation (AF). METHODS: Esmolol and atropine were administered
and electrograms were recorded simultaneously from both atria and the coronary
sinus. In 17 patients, AF was recorded for 5 minutes and dominant frequency (DF)
and continuous activity (CA) were compared before and during PAB. RESULTS:
Examination of the pooled data (537 sites, 17 patients) revealed a statistically
significant decrease in mean DF (5.61-5.43Hz, P < 0.001) during PAB. Site-by-site
analysis showed that 67% of sites slowed (0.45 +/- 0.59 Hz), whereas 32%
accelerated (0.49 +/- 0.59Hz). Fractionation was reduced: median CA decreased
from 31% to 26% (P < 0.001). In patient-by-patient analysis, mean DF/median CA
decreased in 13 of 17 patients and increased in four. The spatial heterogeneity
of DF decreased in nine of 17 patients (spatial coefficient of variation of DF at
"nondriver sites" decreased by a mean of 2%). CONCLUSION: PAB decreases DF and CA
in the majority of sites. Given the complexity of interactions between atrial
cells during AF, the effects of PAB on DF and fractionation are more
heterogeneous than the effects of PAB on isolated cells.
PMID- 21883317
TI - Intraaortic balloon pump timing discrepancies in adult patients.
AB - The objective of this clinical study was to quantify the incidence and magnitude
of intraaortic balloon pump (IABP) inflation and deflation landmark discrepancies
associated with the IABP catheter arterial pressure waveform. Cardiac surgery
patients with an IABP inserted prior to surgery were recruited. Following cardiac
exposure, a high-fidelity pressure catheter was inserted into the aortic root for
digital recording. The radial artery pressure signal was simultaneously recorded
from the patient monitor along with the arterial pressure and electrocardiogram
waveforms from the IABP console while operating at 1:1 and 1:2 synchronization.
In selected patients, recordings were obtained with the IABP timed to the high
fidelity aortic root waveform. In all 11 patients, inflation and deflation
landmark delays were observed when comparing the aortic root waveforms to the
IABP arterial pressure waveforms (inflation delay = 74 +/- 29 [23-117] ms;
deflation delay = 71 +/- 37 [24-141] ms, mean +/- standard deviation [min-max]).
Delays were greater when compared to the radial artery waveform (inflation delay
= 175 +/- 50 [100-233] ms; deflation delay = 168 +/- 52 [100-274] ms). In all
cases, the landmark delays were statistically different from zero (P < 0.001).
Diastolic augmentation and afterload reduction varied with waveform source.
Conflicting indications of afterload reduction occurred in four patients. Timing
to the aortic root waveform resulted in greater diastolic pressure augmentation
and afterload reduction but mixed changes in stroke volume. Delay and distortion
of the arterial waveform was consistently found when measured through the IABP
catheter lumen. These delays can alter IABP efficacy and may be eliminated by
using high-fidelity sensing of aortic pressure.
PMID- 21883316
TI - Impact of scar, viable myocardium, and epicardial fat on substrate identification
of ventricular tachycardia in a case with nonischemic cardiomyopathy.
AB - A 56-year-old man with nonischemic cardiomyopathy underwent orthotopic cardiac
transplantation after endocardial and epicardial radiofrequency catheter ablation
for pleomorphic ventricular tachycardia. The myocardial substrate and epicardial
fat were comprehensively analyzed with three-dimensional electroanatomic maps,
late gadolinium enhanced ex-vivo cardiac magnetic resonance, and histological
examination. The association of scar, viable myocardium, and epicardial fat with
endocardial and epicardial electrogram voltage and duration was quantitatively
defined. This case provides a unique opportunity to explore the reliability of
electrical surrogates of scar in nonischemic cardiomyopathy.
PMID- 21883318
TI - Pseudomonas aeruginosa in a hydropathic facility: diversity, susceptibility and
imipenem resistance mutation.
AB - AIMS: To detect Pseudomonas aeruginosa in water and treatment equipment biofilms
of a thermae hydropathic facility and to study antibiotic susceptibility and
genetic diversity. METHODS AND RESULTS: One hundred and fifty-four planktonic
isolates were obtained from 2220 water samples during 4 years. Seventy-two
biofilm isolates were obtained from 23 samples of inner parts of three inhalation
equipments. Antibiotic susceptibility was determined by disc diffusion. All
isolates were susceptible to tested antimicrobials, except two biofilm isolates
and one planktonic isolate. Twenty-one resistant mutants were observed (nine from
biofilms), mostly with imipenem (IP) resistance (81%), by diminished expression
of OprD porin, as it was observed by sodium dodecyl sulfate-polyacrylamide gel
electrophoresis (SDS-PAGE). Random amplification polymorphic DNA showed a
genetically heterogeneous population that is spread through the entire system and
persistent in time. IP resistance mutation ability was spread through the
population. CONCLUSIONS: The permanent assessment of Ps. aeruginosa is necessary
not only in water, as expressed in official programmes, but also in equipments
where biofilms are evident. Ps. aeruginosa was more prevalent in biofilm
populations and presented higher ability to adapt to antibiotic pressure.
SIGNIFICANCE AND IMPACT OF THE STUDY: Twenty-one million people use thermae in
Europe. Official microbiological quality control programmes only consider water
surveillance. Present study proves the need of a review on current official
programmes.
PMID- 21883319
TI - Evolution-based strategy to generate non-genetically modified organisms
Saccharomyces cerevisiae strains impaired in sulfate assimilation pathway.
AB - AIMS: An evolution-based strategy was designed to screen novel yeast strains
impaired in sulfate assimilation. Specifically, molybdate and chromate resistance
was used as selectable phenotype to select sulfate permease-deficient variants
that unable to produce sulfites and hydrogen sulfide (H(2) S). METHODS AND
RESULTS: Four Saccharomyces cerevisiae parent strains were induced to sporulate.
After tetrad digestion, spore suspensions were observed under the microscope to
monitor the conjugation of gametes. Then, the cell suspension was inoculated in
tubes containing YPD medium supplemented with ammonium molybdate or potassium
chromate. Forty-four resistant strains were obtained and then tested in
microvinifications. Three strains with a low sulfite production (SO2 < 10 mg l(
1)) and with an impaired H2S production in grape must without added sulfites were
selected. CONCLUSIONS: Our strategy enabled the selection of improved yeasts with
desired oenological characteristics. Particularly, resistance to toxic analogues
of sulfate allowed us to detect strains that unable to assimilate sulfates.
SIGNIFICANCE AND IMPACT OF THE STUDY: This strategy that combines the sexual
recombination of spores and application of a specific selective pressure provides
a rapid screening method to generate genetic variants and select improved wine
yeast strains with an impaired metabolism regarding the production of sulfites
and H2S.
PMID- 21883320
TI - Comparison of loop-mediated isothermal amplification and real-time PCR for the
diagnosis of tuberculous pleurisy.
AB - AIMS: Tuberculous pleurisy is an important cause of pleural effusions in areas
with a high incidence of tuberculosis. In this study, we developed an IS1081
based LAMP for the detection of Mycobacterium tuberculosis complex and
investigated its usefulness in the diagnosis of tuberculous pleurisy. METHODS AND
RESULTS: Investigation of pleural effusion samples from patients with tuberculous
pleurisy, majority of them smear-/culture-negative, and control individuals with
non-TB diseases showed that the LAMP assay with incubation time of 60 min has
much higher specificity and the LAMP assay with incubation time of 90 min has
significantly higher sensitivity in the diagnosis of tuberculous pleurisy, as
compared with fluorescent real-time PCR. CONCLUSIONS: The MTBC-LAMP is a useful
assay for the diagnosis of tuberculous pleurisy, especially in pleural effusion
smear-/culture-negative patients. SIGNIFICANCE AND IMPACT OF THE STUDY:
Tuberculous pleural effusion usually contains low number of mycobacteria, which
leads to low diagnostic sensitivity of acid-fast staining and mycobacterial
culture methods. In this study, we developed a simple and sensitive LAMP assay
for the diagnosis of tuberculous pleurisy. This assay should have broad
application in resource-limited settings.
PMID- 21883321
TI - Microbial contamination of food products consumed by infants and babies in Korea.
AB - AIMS: The objectives of this study were to investigate the microbiological safety
of various foods intended for consumption by infants and babies. METHODS AND
RESULTS: The incidence of Cronobacter spp. and Enterobacteriaceae from powdered
infant formula (PIF, n = 75) and baby soy milk (n = 10) was examined.
Additionally, aerobic plate count, coliforms and the prevalence of foodborne
pathogens were investigated in 230 samples from a variety of infant and baby
foods, including cereal-based follow-up formulas (FUF), liquid FUF and other
infant foods. High APCs were observed in nutrient supplements and cereal-based
FUF. Coliforms were found in 6 (2.6%) products, and Cronobacter spp. was isolated
in 10 (4.4%) samples, including four PIF and six cereal-based FUF. Bacillus
cereus was detected in 48 (20.9%) samples: cereal-based FUF items (23.0%), rice
soups (20.6%), honey samples (40.0%), biscuits (40.0%) and liquid FUF (7.4%).
CONCLUSIONS: New safety criteria, along with hygienic control measures and
consumer education strategies, are essential to improve the microbiological
safety of infant or baby foods. SIGNIFICANCE AND IMPACT OF THE STUDY: This study
provides comprehensive information about the prevalence and level of
contamination of infant and baby food products by Cronobacter spp. and other
major foodborne pathogens.
PMID- 21883322
TI - Randomised clinical trial: the safety and efficacy of AST-120 in non-constipating
irritable bowel syndrome - a double-blind, placebo-controlled study.
AB - BACKGROUND: There is a need for safe and effective treatment options for
irritable bowel syndrome (IBS). AST-120 (spherical carbon adsorbent) is a non
absorbed, carbon-based adsorbent with extensive adsorbing capability for
histamine, serotonin and other substances implicated in IBS pathogenesis. AIM: To
evaluate the efficacy and safety of AST-120 in non-constipating forms of IBS.
METHODS: This randomised, double-blind, placebo-controlled trial conducted in the
US and Belgium enrolled 115 male and female patients fulfilling Rome III criteria
for IBS; individuals with predominantly constipation symptoms were excluded.
Subjects were randomised to AST-120 2 g tds or placebo for an 8-week double-blind
treatment period, followed by a 2-week single-blind placebo washout and 8-week
single-blind active treatment. The primary efficacy endpoint was the proportion
of subjects achieving at least a 50% reduction in the number of days with
abdominal pain compared with baseline. RESULTS: At Week 4, 26.8% of subjects
treated with AST-120 responded on the primary endpoint vs. 10.2% in the placebo
arm (P=0.029); at Week 8 response rates were 32.1 and 25.4% respectively (NS).
More AST-120 treated subjects experienced improvement in bloating and stool
consistency. These benefits abated when AST-120 was replaced by placebo, and
resumed once AST-120 was restarted. The frequency of adverse events with AST-120
were less than or equal to placebo. CONCLUSIONS: AST-120 is safe and well
tolerated and reduces pain and bloating in non-constipating IBS, although
beneficial effects may be limited in duration. AST-120 represents a locally
acting, non-absorbed, novel treatment for IBS and warrants further studies.
PMID- 21883323
TI - Meta-analysis: ursodeoxycholic acid for primary sclerosing cholangitis.
AB - BACKGROUND: There is no satisfactory medical treatment for patients with primary
sclerosing cholangitis. There are conflicting data regarding the clinical benefit
of high doses of ursodeoxycholic acid (UDCA) in primary sclerosing cholangitis.
AIM: To evaluate using meta-analysis, if UDCA (standard or high-dose) is useful
in primary sclerosing cholangitis. METHODS: We searched MEDLINE using the
textwords 'PSC', 'treatment', 'UDCA' and retrieved all abstracts from the major
Gastroenterology and Liver meetings. We included randomised clinical trials
comparing standard or high-dose of UDCA (>15 mg/kg body weight per day) vs.
placebo or no intervention. End-points: mortality or liver transplantation,
pruritus, fatigue, cholangiocarcinoma and histological progression. RESULTS: We
identified eight randomised clinical trials comprising 567 patients. Five used
standard doses and three high doses of UDCA. There was no significant difference
in mortality [OR, 0.6 (95% CI, 0.4-1.4)], in pruritus [OR, 1.5 (95% CI, 0.3
7.2)], in fatigue [OR, 0.0 (95% CI, 0.1-7.7)], in cholangiocarcinoma [OR, 1.7
(95% CI, 0.6-5.1)] and in histology stage progression [OR, 0.9 (95% CI, 0.34
2.44)]. No differences were found in the subgroup analyses. CONCLUSION: Neither
standard nor high-dose UDCA influence favourably the progression of primary
sclerosing cholangitis.
PMID- 21883324
TI - Prognostic factors in patients with advanced hepatocellular carcinoma treated
with sorafenib.
AB - BACKGROUND: Sorafenib is the new reference standard for patients with advanced
hepatocellular carcinoma (HCC). AIM: To identify prognostic factors in sorafenib
treated HCC patients and to evaluate outcomes with respect to liver function.
METHODS: In this retrospective study, 148 HCC patients received sorafenib 400 mg
b.d. across 11 Austrian institutions. Seventy-eight HCC patients who received
best supportive care (BSC) in the pre-sorafenib era served as a control. RESULTS:
In sorafenib-treated patients, low baseline alpha-fetoprotein, low Child-Pugh
(CP) score, compensated cirrhosis, and low baseline aspartate aminotransferase
(AST) were associated with significantly longer overall survival (OS) on
univariate analysis. CP score and baseline AST remained independent prognostic
factors on multivariate analysis. In patients with Barcelona Clinic liver Cancer
(BCLC) stage B or C HCC (sorafenib: n = 139; BSC: n = 39), CP-A patients had a
median OS of 11.3 (sorafenib [n = 76]) vs. 6.4 (BSC [n = 17]) months (P = 0.010),
and CP-B patients had a median OS of 5.5 (sorafenib [n = 55]) vs. 1.9 (BSC [n =
22]) months (P = 0.021). In the sorafenib group, median OS according to baseline
AST was 11.8 (<100 U/L [n = 58]) vs. 3.9 (>=100 U/L [n = 15]) months for CP-A
patients (P = 0.127), and 6.5 (<100 U/L [n = 33]) vs. 2.1 (>=100 U/L [n = 21])
months for CP-B patients (P = 0.011). There was no survival difference between
sorafenib and BSC in patients with BCLC stage D HCC (1.5 vs. 1.4 months; P =
0.116). CONCLUSIONS: Sorafenib was associated with improved survival in both CP-A
and CP-B patients. In CP-B patients, baseline AST may be helpful in determining
which patients are most likely to benefit from sorafenib.
PMID- 21883325
TI - Cycled enteral antibiotics reduce sepsis rates in paediatric patients on long
term parenteral nutrition for intestinal failure.
AB - BACKGROUND: Long-term parenteral nutrition has transformed the prognosis for
children suffering from intestinal failure. However, parenteral nutrition itself
is associated with considerable morbidity and mortality including that caused by
sepsis. AIM: To examine a strategy of cycled enteral antibiotics in reducing the
incidence of sepsis in paediatric intestinal failure patients. METHODS:
Retrospective analysis of the incidence of sepsis rates of patients on long-term
parenteral nutrition, at a tertiary paediatric hospital. Patients were separated
into those who received cycled enteral antibiotics and a control group. Sepsis
rates before and during cycled enteral antibiotics were compared with comparable
timeframes between the cycled enteral antibiotics and control groups. Central
venous catheter removal rates were also compared. RESULTS: Fifteen patients
(eight cycled enteral antibiotics, & seven controls) received 9512 parenteral
nutrition days, with a total of 132 sepsis episodes. All eight patients of the
treatment group demonstrated a decrease in the frequency of episodes of sepsis
following the introduction of cycled enteral antibiotics. The cycled enteral
antibiotics group had a significant reduction in infection rate during the
treatment period (from 2.14 to 1.06 per 100 parenteral nutrition days, P = 0.014:
median effect size -1.04 CI 95%-1.93, -0.22), whereas the controls had no
significant change (1.91 - 2.36 per 100 parenteral nutrition days P = 0.402:
median effect size 0.92 CI 95%-1.96, 4.17). The central venous catheter survival
rates increased in the cycled enteral antibiotics group from 0.44 central venous
catheter removals per 100 parenteral nutrition days to 0.27 central venous
catheter removals per 100 parenteral nutrition days, although this was not
statistically significant. CONCLUSIONS: Cycled enteral antibiotics significantly
reduced the rate of sepsis in a small group of paediatric intestinal failure
patients. Larger well-designed prospective studies are warranted to further
explore this finding.
PMID- 21883326
TI - Early clinical remission and normalisation of CRP are the strongest predictors of
efficacy, mucosal healing and dose escalation during the first year of adalimumab
therapy in Crohn's disease.
AB - BACKGROUND: Adalimumab is a fully human monoclonal antibody targeting tumour
necrosis factor with proven efficacy in the treatment of Crohn's disease (CD).
AIM: To investigate the predictors of medium-term clinical efficacy and mucosal
healing during adalimumab therapy, in patients with CD, in specialised centres
approved for biological therapy in Hungary. METHODS: Data capture of the 201 CD
patients was standardised and prospective (male/female: 112/89, median age: 33.0
years, duration: 8 years). Previous infliximab therapy had been administered in
48% of patients, concomitant steroids in 41%, azathioprine in 69% and combined
therapy in 27% of patients. RESULTS: Overall clinical response and remission
rates at 24 weeks were 78% and 52%, respectively; at 52 weeks were 69% and 44%,
respectively. Endoscopic improvement and healing were achieved in 43% and 24% of
patients. In a logistic regression model, clinical efficacy and CRP at week 12,
need for combined immunosuppression at induction, shorter disease duration and
smoking were identified as independent predictors for 12-month clinical outcome,
whereas CRP at week 12, clinical remission at week 24, inflammatory parameters
and nonsmoking were associated to endoscopic improvement/healing. Intensification
to weekly dosing was needed in 16% of patients. Parallel azathioprine therapy and
clinical remission at week 12 were inversely associated with dose escalation.
CONCLUSIONS: Clinical efficacy and normalised CRP at week 12 (early deep clinical
remission) are associated with medium-term clinical efficacy and mucosal healing
during adalimumab therapy, whereas need for combined immunosuppression at
induction and smoking status are predictors for non-response. Parallel
azathioprine therapy may decrease the probability for dose escalation.
PMID- 21883327
TI - Management options for lamivudine-resistant chronic hepatitis B patients with
suboptimal virological suppression by adefovir.
AB - BACKGROUND: In chronic hepatitis B (CHB) patients, adefovir is commonly used as a
rescue therapy for lamivudine resistance, but often results in incomplete
virological suppression. AIM: To study the factors predicting response to
adefovir rescue, and the treatment response of tenofovir and entecavir in
suboptimal responders to adefovir in CHB patients. METHODS: Chronic hepatitis B
patients who took adefovir for at least 6 months for lamivudine resistance were
studied. Early virological response was defined as undetectable HBV DNA at month
6. Maintained virological response was defined as undetectable HBV DNA till the
last follow-up. RESULTS: Among 136 patients on adefovir for 39 (5-117) months, 30
(22%) had early virological response. The 3-year cumulative probability of
maintained virological response was similar between patients on adefovir
monotherapy (n = 53, 57.9%) and those on combination of lamivudine and adefovir
treatment (n = 83, 56.5%). The month 6 HBV DNA was the only independent factor
associated with maintained virological response (adjusted hazard ratio 0.49, 95%
confidence interval 0.37-0.65, P < 0.001). Twenty-six of 30 (87%) early
responders and 36 of 106 (34%) non-early responders had maintained virological
response on adefovir (P < 0.001). Among 106 non-early responders, 18 and 11 were
switched to tenofovir and entecavir, respectively. The 1-year cumulative
probability of maintained virological response was higher in patients switched to
tenofovir (87.5%) than those switched to entecavir (37.5%; P = 0.048) or
continued with adefovir (8.7%; P < 0.001). CONCLUSIONS: In adefovir rescue for
lamivudine resistance, month 6 HBV DNA predicts maintained virological response
in CHB patients. Switching to tenofovir achieved best viral suppression among
suboptimal responders to adefovir.
PMID- 21883328
TI - Irrational use of antibiotics and role of the pharmacist: an insight from a
qualitative study in New Delhi, India.
AB - WHAT IS KNOWN AND OBJECTIVE: The overall volume of antibiotic consumption in the
community is one of the foremost causes of antimicrobial resistance. In
developing countries like India, pharmacists often dispense 'prescription-only'
drugs, like antibiotics, to patients who do not have a prescription. Not much
data is available regarding detailed information on behaviour of antibiotic use
by community pharmacists which is of particular significance to develop a
suitable and sustainable intervention programme to promote rational use of
antibiotics. A qualitative study was conducted to understand the dispensing
practices and behaviour of community pharmacists to develop policy interventions
that would improve the use of antibiotics at the community level. METHODS: Focus
group discussions (FGDs) were held for five municipal wards of Delhi with retail
pharmacists, public sector pharmacists and the office bearers of pharmacists'
associations. Data on antibiotic use and resistance were collected earlier from
these five wards. FGDs (n = 3 with 40 pharmacists) were analysed through grounded
theory. RESULTS AND DISCUSSION: Four broad themes identified were as follows:
prescribing and dispensing behaviour; commercial interests; advisory role; and
intervention strategies for rational use of antibiotics. FGDs with pharmacists
working in the public sector revealed that, besides the factors listed above,
overstock and near-expiry, and under-supply of antibiotics promoted antibiotic
misuse. Suggestions for interventions from pharmacists were the following: (i)
education to increase awareness of rational use and resistance to antibiotics;
(ii) involving pharmacists as partners for creating awareness among communities
for rational use and resistance to antibiotics; (iii) developing an easy return
policy for near-expiry antibiotics in public sector facilities; and (iv)
motivating and showing appreciation for community pharmacists who participate in
intervention programmes. WHAT IS NEW AND CONCLUSIONS: Inappropriate antibiotic
dispensing and use owing to commercial interests and lack of knowledge about the
rational use of antibiotics and antibiotic resistance were the main findings of
this in-depth qualitative study. Community pharmacists were willing to
participate in educational programme aimed at improving use of antibiotics. Such
programmes should be initiated within a multidisciplinary framework including
doctors, pharmacists, social scientists, government agencies and non-profit
organizations.
PMID- 21883329
TI - Population pharmacokinetics of steady-state carbamazepine in Egyptian epilepsy
patients.
AB - WHAT IS KNOWN AND OBJECTIVE: Individualization of carbamazepine (CBZ) dosage
regimen in patients with epilepsy based on based on therapeutic drug monitoring
(TDM) followed by estimation of pharmacokinetic (PK) parameters can help in
better control of epilepsy. Our objective was to establish a population (POP) PK
model of CBZ for Egyptian adult and pediatric patients with epilepsy. METHOD:
Single steady-state (SS) trough plasma concentrations of CBZ were available for
302 patients with epilepsy (55.6% men and 44.4% women) who were categorized as
children (n = 118) and adults (n = 184) with mean age (years) +/- SD of 10.6 +/-
4.8 and 29.4 +/- 9.9, respectively. Carbamazepine was given as an oral suspension
(n = 19) or controlled release tablet (n = 283) with average dose of 15.0 +/- 7.8
mg/kg per day. A one-compartment model with first-order absorption and
elimination for SS conditions (ADVAN2, SS2, TRANS2) was applied using NONMEM 6.2.
Separate absorption rate constants were modelled for the two formulations. The
mean POP CL, its intersubject variability (ISV), as well as residual error of CBZ
concentration were estimated. RESULTS AND DISCUSSION: The POP estimate for CL was
3.5 L/h with coefficient of variation value of 2.6%, which was consistent with
literature data. The ISV on CL was 44.5%. The POP PK model was validated by
bootstrap re-sampling, and the individual estimates were within the 95% CI of the
bootstrap results. Different covariates that might affect CBZ CL have been
evaluated but the limited number of samples per individual prevented precise
covariate analysis. WHAT IS NEW AND CONCLUSION: The POP PK model we have
developed for CBZ shows good predictive performance in Egyptian adult and
pediatric patients with epilepsy. Another PK study to better define the effect of
different covariates would improve on the model for dosage individualization.
PMID- 21883330
TI - Population pharmacokinetics of fluconazole after administration of fosfluconazole
and fluconazole in critically ill patients.
AB - WHAT IS KNOWN AND OBJECTIVE: Fluconazole is an antifungal agent that is commonly
used to treat patients with serious systemic fungal infections in intensive care
units. Fosfluconazole is a phosphate prodrug of fluconazole, which was developed
to reduce the volume of fluid required to administer fluconazole by intravenous
injection. The objective of this study was to characterize the pharmacokinetics
of the antifungal fluconazole after the intravenous administration of the prodrug
fosfluconazole or fluconazole in critically ill patients with serious systemic
fungal infections, by population pharmacokinetic analysis using the nonmem
software package. METHODS: Clinical biochemical data including serum fluconazole
levels were obtained from 57 patients treated in the intensive care unit along
with two naive pooled patients gleaned from previous reports. The pharmacokinetic
model of fluconazole was estimated using a one-compartment model. The probability
that the area under the concentration-time curve is higher than 800 MUg h/mL was
determined by simulation. RESULTS: It was assumed that all the administered
fosfluconazole was converted to fluconazole with an estimated fosfluconazole
fluconazole conversion rate constant of 2.05/h. The significant covariates for
clearance for fluconazole (CL) and volume of distribution for fluconazole (Vd)
were resulted in creatinine clearance (CLcr) and body weight (BW), respectively,
in the final pharmacokinetic model equations: CL (L/h) = 0.799 * [CLcr
(mL/min)/92.7](0.685) and Vd (L) = 48.1 * [BW (kg)/65](1.40) , where the
interpatient variabilities in CL and Vd and the intrapatient variability were
44.8%, 79.7% and 19.8%, respectively. On the basis of the results of the Monte
Carlo simulation, the probabilities of target attainment were 60%, 26% and 11%
for 400 mg/day administration as fluconazole equivalent at CLcr values of 40, 70
and 100 mL/min, respectively. WHAT IS NEW AND CONCLUSION: The present population
pharmacokinetic analysis strongly indicates that fosfluconazole (and fluconazole)
dosage should be optimized in terms of CLcr in critically ill patients.
PMID- 21883331
TI - Does fairness matter in the context of anger about nuclear energy decision
making?
AB - Several recent studies have questioned whether nonoutcome forms of fairness
matter in decision-making situations where individuals feel strongly engaged by
the issue at hand. This survey-based study focuses on perceptions about a
decision-making process related to a proposal to expand a nuclear power plant in
the U.S. Southeast. It finds that anger moderates the impacts of outcome and
procedural fairness on willingness to accept a decision process as satisfactory
and legitimate. The more anger a person said he or she would feel if a decision
were to contradict that person's point of view, the more perceived outcome and
procedural fairness mattered. The study also finds that interpersonal fairness is
also moderated by anger, but in the opposite direction. Interpersonal fairness
had less of an impact on willingness to accept a decision for those who said they
would feel angry if the decision did not go their preferred way.
PMID- 21883332
TI - The semantic distinction between "risk" and "danger": a linguistic analysis.
AB - The analysis combines frame semantic and corpus linguistic approaches in
analyzing the role of agency and decision making in the semantics of the words
"risk" and "danger" (both nominal and verbal uses). In frame semantics, the
meanings of "risk" and of related words, such as "danger," are analyzed against
the background of a specific cognitive-semantic structure (a frame) comprising
frame elements such as Protagonist, Bad Outcome, Decision, Possession, and
Source. Empirical data derive from the British National Corpus (100 million
words). Results indicate both similarities and differences in use. First, both
"risk" and "danger" are commonly used to represent situations having potential
negative consequences as the result of agency. Second, "risk" and "danger,"
especially their verbal uses (to risk, to endanger), differ in agent-victim
structure, i.e., "risk" is used to express that a person affected by an action is
also the agent of the action, while "endanger" is used to express that the one
affected is not the agent. Third, "risk," but not "danger," tends to be used to
represent rational and goal-directed action. The results therefore to some extent
confirm the analysis of "risk" and "danger" suggested by German sociologist
Niklas Luhmann. As a point of discussion, the present findings arguably have
implications for risk communication.
PMID- 21883333
TI - Experience with urban air pollution in Paterson, New Jersey and implications for
air pollution communication.
AB - Communication about air pollution can help reduce health risks, but a scattered,
largely qualitative literature on air pollution beliefs, attitudes, and behaviors
raises questions about its effectiveness. A telephone survey of Paterson, New
Jersey (USA) residents tested four hypotheses aimed toward integrating these
findings. Self-reported sheltering indoors during high pollution, the recommended
strategy, was predicted by perceived air quality and self-reported "sensitivity"
to air pollution. Nearly a quarter of the sample reported mandatory outdoor
activity (e.g., work) that might increase their exposures, but this factor did
not significantly affect self-reported sheltering. Perceptions of air quality did
not correlate strongly with official monitoring data (U.S. Air Quality Index
(AQI)); even people who regularly sought AQI data relied upon sensory cues to
high pollution, and secondarily upon health cues. Use of sensory and health cues,
definitions of what makes someone sensitive to air pollution, and (less strongly)
definitions of vulnerability to air pollution varied widely. The minority aware
of the AQI were more likely to seek it if they had illnesses or saw themselves in
the targeted AQI audience, yet less likely if they believed themselves sensitive
to pollution. However, their sense of the AQI's match to their own experience was
driven by whether they used sensory (yes) or health (no) cues, not by illness
status. Some urban residents might not have access to AQI data, but this barrier
seems outweighed by need to bridge interpretive gaps over definitions of air
pollution, sensory perception, vulnerability, and health consequences.
PMID- 21883334
TI - Risk assessment of major hazards and its application in urban planning: a case
study.
AB - With the rapid development of industry in China, the number of establishments
that are proposed or under construction is increasing year by year, and many are
industries that handle flammable, explosive, toxic, harmful, and dangerous
substances. Accidents such as fire, explosion, and toxic diffusion inevitably
happen. Accidents resulting from these major hazards in cities cause a large
number of casualties and property losses. It is increasingly important to analyze
the risk of major hazards in cities realistically and to suitably plan and
utilize the surrounding land based on the risk analysis results, thereby reducing
the hazards. A theoretical system for risk assessment of major hazards in cities
is proposed in this article, and the major hazard risk for the entire city is
analyzed quantitatively. Risks of various major accidents are considered
together, superposition effect is analyzed, individual risk contours of the
entire city are drawn out, and the level of risk in the city is assessed using
"as low as reasonably practicable" guidelines. After the entire city's individual
risk distribution is obtained, risk zones are divided according to corresponding
individual risk value of HSE, and land-use planning suggestions are proposed.
Finally, a city in China is used as an example to illustrate the risk assessment
process of the city's major hazard and its application in urban land-use
planning. The proposed method has a certain theoretical and practical
significance in establishing and improving risk analysis of major hazard and
urban land-use planning. On the one hand, major urban public risk is avoided;
further, the land is utilized in the best possible way in order to obtain the
maximum benefit from its use.
PMID- 21883335
TI - Meta-analysis of mass-balance studies of soil ingestion in children.
AB - Ingestion of soil by young children may be an important source of exposure to
environmental contaminants. Estimates of soil ingestion have been made by several
studies using trace elements in a mass-balance approach, but differ substantially
between studies and trace elements. We conduct a meta-analysis of four major mass
balance soil ingestion studies conducted on children between one and seven in the
summer/fall in the northern United States. The analysis takes advantage of
primary data from all studies, and provides a more complete description of soil
ingestion among children. The meta-analysis uses data based on the two most
reliable trace elements, Al and Si, that have passed a screening to identify and
exclude measures with a high likelihood of bias. Details are described in a
companion paper. The best linear unbiased predictor is used in a mixed model to
estimate soil ingestion for study subjects. Overall, 11% of subject-periods are
identified as outliers and excluded from the analysis. An analysis on 216
children based on Al and Si as tracer elements indicates that the mean (median)
soil ingestion is 26 mg/day (33 mg/day), with the 95th percentile estimated as 79
mg/day. This systematic approach provides more reliable estimates than individual
study results. There is some evidence that soil ingestion increases with a
child's age, but insufficient data to distinguish soil ingestion by gender.
PMID- 21883336
TI - Fairness and nanotechnology concern.
AB - Research suggests that fairness perceptions matter to people who are asked to
evaluate the acceptability of risks or risk management. Two separate national
random surveys (n = 305 and n = 529) addressed Americans' concerns about and
acceptance of nanotechnology risk management in the context of the degree to
which they view scientists and risk managers as fair. The first survey
investigated general views about scientists across four proposed dimensions of
fairness (distributional, procedural, interpersonal, and informational). The
results show that respondents who believe that the outcomes of scientific
research tend to result in unequal benefits (distributional fairness) and that
the procedures meant to protect the public from scientific research are biased
(procedural fairness) were more concerned about nanotechnology. Believing
scientists would treat them with respect (interpersonal fairness) and ensure
access to information (informational fairness) were not significant predictors of
concern. The second study also looked at these four dimensions of fairness but
focused on perceptions of risk managers working for government, universities, and
major companies. In addition to concern, it also examined acceptance of
nanotechnology risk management. Study 2 results were similar to those of study 1
for concern; however, only perceived informational fairness consistently
predicted acceptance of nanotechnology risk management. Overall, the study points
to the value of considering fairness perceptions in the study of public
perceptions of nanotechnology.
PMID- 21883337
TI - Risk management in a developing country context: improving decisions about point
of-use water treatment among the rural poor in Africa.
AB - More than 1 billion people, the vast majority of which live in the developing
world, lack basic access to clean water for domestic use. For this reason,
finding and promoting effective and sustainable solutions for the provision of
reliable clean water in developing nations has become a focus of several public
health and international development efforts. Even though several means of
providing centrally located sources of clean water in developing communities
exist, the severity and widespread nature of the water problem has led most
development agencies and sanitation experts to strongly advocate the use of point
of-use treatment systems alongside whatever source of water people regularly use.
In doing so, however, development practitioners have been careful to point out
that any interventions or infrastructure regarding water safety and human health
must also adhere to one of the central principles of international development:
to facilitate more democratic and participatory models of decision making and
governance. To this end, the research reported here focused on the development of
a deliberative risk management framework for involving affected stakeholders in
decisions about POU water treatment systems. This research, which was grounded in
previous studies of structured decision making, took place in two rural villages
in the East African nation of Tanzania.
PMID- 21883338
TI - Aperture referral in heterocentric astigmatic systems.
AB - BACKGROUND: Retinal blur patch, effective corneal patch, projective field, field
of view and other concepts are usually regarded as disjoint concepts to be
treated separately. However they have in common the fact that an aperture, often
the pupil of the eye, has its effect at some other longitudinal position. Here
the effect is termed aperture referral. PURPOSE: To develop a complete and
general theory of aperture referral under which many ostensibly-distinct aperture
dependent concepts become unified and of which these concepts become particular
applications. The theory allows for apertures to be elliptical and decentred and
refracting surfaces in an eye or any other optical system to be astigmatic,
heterocentric and tilted. METHODS: The optical model used is linear optics, a
three-dimensional generalization of Gaussian optics. Positional and inclinational
invariants are defined along a ray through an arbitrary optical system. A pencil
of rays through a system is defined by an object or image point and an aperture
defines a subset of the pencil called a restricted pencil. RESULTS: Invariants
are derived for four cases: an object and an image point at finite and at
infinite distances. Formulae are obtained for the generalized magnification and
transverse translation and for the geometry and location of an aperture referred
to any other transverse plane. CONCLUSIONS: A restricted pencil is defined by an
aperture and an object or image point. The intersection of the restricted pencil
with a transverse plane is the aperture referred to that transverse plane. Many
concepts, including effective corneal patch, retinal blur patch, projective field
and visual field, can now be treated routinely as special cases of the general
theory: having identified the aperture, the referred aperture and the referring
point one applies the general formulae directly. The formulae are exact in linear
optics, explicit and give insight into relationships.
PMID- 21883340
TI - Implementing nutritional guidelines -- the effect of systematic training for
nurse nutrition practitioners.
AB - INTRODUCTION: Approximately 40% of patients admitted to hospitals are
undernourished. Implementing nutritional guidelines might reduce the incidence of
malnutrition, and it requires education and training for the hospital staff. AIM:
It was hypothesised that a training programme focusing on the staff behaviour
would increase the identification of eating difficulties, improve patients'
knowledge about appropriate food choices and increase the number of snacks eaten
between meals and thereby to reduce the risk of undernutrition. METHODS: A pre-
and post-test design was used to evaluate the effect of the training programme
for nurses. The training was conducted in five modules over 1 year and combined
nutritional issues with issues on implementation and theories of planned change.
The programme was based on experimental learning theories and the steps of look,
think and act. The effect on the patients was measured by a patient
questionnaire. RESULTS: After implementing the guidelines, more patients
discussed their eating difficulties with the staff, received relevant assistance
during the meal and were served the type of food they had ordered and could chew.
The patients' knowledge of appropriate food choices from the menu increased,
suggesting that the nutritional intake of the patients had improved. CONCLUSION:
Our findings suggest that a strategy based on the principles of experimental
learning theory and the phases in the look, think and act model facilitated the
implementation of nutritional guidelines in a hospital setting.
PMID- 21883341
TI - Organizational differences in early child health care -- mothers' and nurses'
experiences of the services.
AB - AIM: The aim of this study was to evaluate parents' and nurses' perceptions of
the child health services (CHS) in relation to whether the nurse worked
exclusively with children (focused-child health centre, CHC) vs. with people of
all ages (mixed-CHC). METHOD: Information about parents' perceptions about the
CHS was acquired by a questionnaire intended for the mothers of 18-month-old
children. One thousand thirty-nine answered in the baseline 2002-2003 and 996 in
the follow-up 2004-2005. The nurses answered a special questionnaire aimed to
obtain knowledge about their satisfaction with their work. Eighteen CHCs were
chosen from the county of Uppsala and eighteen from other Swedish counties. The
CHCs were chosen from areas with poor psycho-social status. The data were
collected by questionnaires to mothers and nurses, and the analysis used the chi
square test, t-test and logistic regression. The study was approved by the
Research Ethics Committees of the universities involved. RESULTS: Mothers were
more satisfied, and the nurses found their work tasks easier, at CHCs where the
child health nurse worked exclusively with children, compared with mothers and
nurses belonging to CHCs where the nurses provided care to people of all ages.
CONCLUSION: The findings indicated that nurses working exclusively with children,
being able to concentrate their time and knowledge on a specialized field,
develop a more solid child health competence. There are strong reasons to
consider introducing 'exclusive' CHCs in psycho-socially vulnerable areas, which
would probably make the services more effective. However, intensified education
may modify the drawbacks of mixed-CHCs.
PMID- 21883342
TI - The process, logistics and challenges of implementing clinical supervision in a
generalist tertiary referral hospital.
AB - Clinical supervision (CS) has been identified within nursing as a process for
improving clinical practice and reducing the emotional burden of nursing
practice. Little is known about its implementation across large tertiary referral
hospitals. The purpose of this study is to evaluate the implementation of
clinical supervision across several different nursing specialities at a teaching
hospital in Sydney, Australia. Using a model of nursing implementation science, a
process was developed at the study site that facilitated the development,
implementation and evaluation of the project. After a 6-month study period, the
CS groups were postevaluated using a survey tool developed for the project. A
total of nine CS groups were in operation over the 6-month study period. A
predominant focus within the sessions was one of the collegial support and
developing standards of practice. The process was able to achieve wide hospital
based support for the role of CS from the senior nurse executives to junior
nurses. Whilst there was overall positive support for the CS groups, logistical
and resource challenges remain, in the effective roll out of CS to large numbers
of nurses.
PMID- 21883343
TI - Life experiences of Taiwanese oral cancer patients during the postoperative
period.
AB - The incidence of oral cancer has rapidly increased in Taiwan. Oral cancer and its
surgical treatment may also cause physical and psychological problems for
patients. During the postoperative period, patients face adjustments in their
disease, treatment and lives. However, research on these relevant issues is
scant. A qualitative study was conducted with the purpose of exploring the life
experiences of surgically treated Taiwanese oral cancer patients during the
postoperative period. Thirteen patients with oral cancer were recruited from the
otolaryngology head and neck surgery wards of a medical centre in northern
Taiwan. Semi-structured interviews were conducted and tape recorded after
informed consent had been obtained. Data were analysed using the content analysis
method. Results show that the life experiences of the patients with oral cancer
were related to the impact of threatening symptoms, concerns about survival,
restriction of interpersonal relationships, self-restructuring and constructing a
support network. The results of this study can provide healthcare professionals
with a reference for implementing care plans to address the unique needs of
patients with oral cancer.
PMID- 21883344
TI - Self-care ability among home-dwelling older people in rural areas in southern
Norway.
AB - INTRODUCTION: The growing number of older people is assumed to represent many
challenges in the future. Self-care ability is a crucial health resource in older
people and may be a decisive factor for older people managing daily life in their
own homes. Studies have shown that self-care ability is closely related to
perceived health, sense of coherence and nutritional risk. AIM: The aim of this
study was to describe self-care ability among home-dwelling older individuals
living in rural areas in southern Norway and to relate the results to general
living conditions, sense of coherence, screened nutritional state, perceived
health, mental health and perceived life situation. METHODS: A cross-sectional
survey was carried out in rural areas in five counties in 2010. A mailed
questionnaire, containing background variables, health-related questions and five
instruments, was sent to a randomly selected sample of 3017 older people (65+
years), and 1050 respondents were included in the study. Data were analysed with
statistical methods. RESULTS: A total of 780 persons were found to have higher
self-care ability and 240 to have lower self-care ability using the Self-care
Ability Scale for the Elderly. Self-care ability was found to be closely related
to health-related issues, self-care agency, sense of coherence, nutritional state
and mental health, former profession, and type of dwelling. Predictors for high
self-care ability were to have higher self-care agency, not receiving family
help, having low risk for undernutrition, not perceiving helplessness, being able
to prepare food, being active and having lower age. CONCLUSIONS: When self-care
ability is reduced in older people, caregivers have to be aware about how this
can be expressed and also be aware of their responsibility for identifying and
mapping needs for appropriate support and help, and preventing unnecessary and
unwanted dependency.
PMID- 21883345
TI - Abuse in health care: a concept analysis.
AB - AIMS AND OBJECTIVES: To analyse the concept of abuse in health care. This
analysis also covers how abuse in health care is different from the related
concepts of medical error, patient satisfaction and personal identity threat.
BACKGROUND: Abuse in health care is an emerging concept in need of a clear
analysis and definition. At the same time, boundaries to the related concepts are
not demarcated. DESIGN: Concept analysis as developed by Walker and Avant.
METHOD: The databases Cumulative Index to Nursing and Allied Health Literature,
Medline, and Google Scholar were used to obtain articles published between 1997
and 2009. A total of eleven articles are referred to on abuse in health care,
four on medical error, six on patient satisfaction and three on personal identity
threat. RESULTS: Abuse in health care is defined by patients' subjective
experiences of encounters with the health care system, characterized by devoid of
care, where patients suffer and feel they lose their value as human beings. The
events are most often unintended. We also found differences with the
aforementioned related concepts: medical error does not share the patients'
perspective, and patient satisfaction does not offer room for patients' abusive
experiences. The concept of personal identity threat shares all attributes with
abuse in health care, but it lacks an antecedent that signifies the social
structures underlying the phenomenon. CONCLUSIONS: Abuse in health care covers a
phenomenon that has severe consequences but is invisible if seen from a medical
error or patient satisfaction perspective.
PMID- 21883346
TI - Histological and molecular features of lipomatous and nonlipomatous adipose
tissue in familial partial lipodystrophy caused by LMNA mutations.
AB - OBJECTIVES: Type 2 familial partial lipodystrophy (FPLD2) is a rare adipose
tissue (AT) disease caused by mutations in LMNA, in which lipomas appear
occasionally. In this study, we aimed to histologically characterize FPLD2
associated lipomatosis and study the expression of genes and proteins involved in
cell cycle control, mitochondrial function, inflammation and adipogenesis. DESIGN
AND PATIENTS: One lipoma and perilipoma fat from each of four subjects with FPLD2
and 10 control subjects were analysed by optical microscopy. The presence of
inflammatory cells was evaluated by immunohistochemistry. Real-time RT-PCR and
Western blot were used to evaluate gene and protein levels. RESULTS: Adipocytes
from lipodystrophic patients were significantly larger than those of controls, in
both the lipomas and perilipoma fat. Lipodystrophic AT exhibited CD68(+)
macrophages and CD3(+) lymphocytes infiltration. TP53 expression was reduced in
all types of lipomas. At protein level, C/EBPbeta, p53 and pRb were severely
disturbed in both lipodystrophic lipomas and perilipoma fat coming from
lipoatrophic areas, whereas the expression of CEBPalpha was normal. Mitochondrial
function genes were less expressed in lipoatrophic fat. In both lipomas and
perilipoma fat from lipoatrophic areas, the expression of adipogenes was lower
than controls. CONCLUSIONS: Even in lipomas, the adipogenic machinery is impaired
in lipodystrophic fat coming from lipoatrophic regions in FPLD2, although the
histological phenotype is near-normal, exhibiting low-grade inflammatory
features. Our results suggest that the p53 pathway and some adipogenic proteins,
such as CEBPalpha, could contribute to the maintenance of this near normal
phenotype in the remnant AT present in these patients.
PMID- 21883347
TI - Functional and structural evaluation of hearing in acromegaly.
AB - CONTEXT: The impact of acromegaly on the auditory system remains unknown.
OBJECTIVE: This study aimed to examine audiological symptoms and the structure
and function of the auditory system in patients with acromegaly. DESIGN/SETTING
AND PATIENTS: The study included 44 patients with acromegaly. Pure tone
audiometry, speech audiometry, tympanometry, otoacoustic emissions testing,
computerized tomography of the temporal bone and magnetic resonance imaging of
the ear were performed in all patients. The study also included 36 age- and sex
matched healthy controls. The patients with acromegaly were divided into three
subgroups, as follows: controlled disease (n=13); partially controlled disease
(n=16); uncontrolled disease (n = 15). RESULTS: In all, 43% of the patients
with acromegaly had hearing loss, and 20% had had an episode of otitis in at
least one ear. Median pure tone average (PTA) in the patients with acromegaly was
12.5 dB (range: 2-72 dB), vs 8.3 dB (range: 0-20 dB) in the control group (P <
0.001). PTA did not differ significantly between the three patient subgroups.
Audiometric tests showed various degrees of hearing loss in at least one ear in
21 patients (48%). Conductive, sensorineural and mixed type hearing loss in at
least one ear was noted in 9%, 30% and 18% of the patients, respectively.
Auditory imaging showed that 50% of the patients had temporomandibular joint
degeneration (TMJD). CONCLUSIONS: Hearing loss is quite common in patients with
acromegaly. Contrary to common belief, in this study, conductive hearing loss did
not occur more frequently than other types. Based on PTA findings in the controls
and patients with acromegaly, acromegaly caused hearing loss, but the level of
disease activity had no effect on hearing. Middle ear pressure problems might be
caused by increased perilymph because of growth hormone (GH)-related volume
overload.
PMID- 21883348
TI - Impaired gallbladder motility and the effect of metformin therapy in patients
with polycystic ovary syndrome.
AB - OBJECTIVE: Impaired gallbladder (GB) emptying is a well-documented contributor
to gallstone formation. The aim of this study was to evaluate GB motility in
patients with polycystic ovary syndrome (PCOS). METHODS: The study population
consisted of 36 PCOS patients and 20 healthy controls. GB volume was calculated
using the ellipsoid formula (pi/6 * L * D * W) after three-dimensional
measurements were made by ultrasound [length (L), width (W) and depth (D)].
Following the determination of fasting GB volume (V0), patients were given a
standard liquid meal. GB volume measurement was then repeated after 10, 20, 30,
40, 50, 60, 75 and 90 min. Gallbladder ejection fraction (GBEF) was calculated
after each measurement. PCOS patients were re-evaluated after a 12-week course of
metformin therapy at a dose of 1000 mg/day. RESULTS: Mean baseline GB volume
(V0) was significantly higher in the patient group compared to the control group
(27.2 +/- 12.5 cm(3) vs 13.3 +/- 7.0 cm(3) , P < 0.001). While baseline GBEF
values were similar between groups, increases in GBEF were significantly lower in
the PCOS group starting from 20 min after consumption of a standard test meal. A
12-week course of metformin therapy resulted in significant improvements in GB
volume and GBEF values with a reversal of metabolic and hormonal abnormalities.
CONCLUSION: For the first time in the literature, we managed to demonstrate
impaired GB motility in patients with PCOS. Metformin therapy not only improves
the metabolic and hormonal imbalances associated with PCOS but also has a
positive influence on GB motility.
PMID- 21883349
TI - Drug interactions with mitotane by induction of CYP3A4 metabolism in the clinical
management of adrenocortical carcinoma.
AB - Mitotane [1-(2-chlorophenyl)-1-(4-chlorophenyl)-2,2-dichloroethane, (o,p'-DDD)]
is the only drug approved for the treatment for adrenocortical carcinoma (ACC)
and has also been used for various forms of glucocorticoid excess. Through still
largely unknown mechanisms, mitotane inhibits adrenal steroid synthesis and
adrenocortical cell proliferation. Mitotane increases hepatic metabolism of
cortisol, and an increased replacement dose of glucocorticoids is standard of
care during mitotane treatment. Recently, sunitinib, a multityrosine kinase
inhibitor (TKI), has been found to be rapidly metabolized by CYP3A4 during
mitotane treatment, indicating clinically relevant drug interactions with
mitotane. We here summarize the current evidence concerning mitotane-induced
changes in hepatic monooxygenase expression, list drugs potentially affected by
mitotane-related CYP3A4 induction and suggest alternatives. For example, using
standard doses of macrolide antibiotics is unlikely to reach sufficient plasma
levels, making fluoroquinolones in many cases a superior choice. Similarly,
statins such as simvastatin are metabolized by CYP3A4, whereas others like
pravastatin are not. Importantly, in the past, several clinical trials using
cytotoxic drugs but also targeted therapies in ACC yielded disappointing results.
This lack of antineoplastic activity may be explained in part by insufficient
drug exposure owing to enhanced drug metabolism induced by mitotane. Thus,
induction of CYP3A4 by mitotane needs to be considered in the design of future
clinical trials in ACC.
PMID- 21883350
TI - Mother to child transfer of IgG and IgA antibodies against Dermatophagoides
pteronyssinus.
AB - There is strong evidence from animal models that placental and/or breast milk
mediated transfer of maternal allergen-specific IgG prevents allergic immune
responses in the progeny. Both human and animal data also point to IgA as having
an important regulatory role. In contrast, little is known about maternal
transfer of IgG and IgA specific for respiratory allergens in humans.
Dermatophagoides pteronyssinus (Der p) is an indoor allergen that is a major
cause of asthma worldwide. We analysed maternal to child Der p-specific IgG and
IgA transfer in a cohort of 77 paired maternal and child samples. We found Der p
specific IgG and its IgG1, IgG2 and IgG4 subclasses in all cord blood samples.
Except for IgG1, cord levels were higher in newborns from atopic mothers (n = 29)
compared to non-atopic mothers (n = 48). Der p-specific IgA was found in all
colostrum samples and levels were independent of maternal atopic status. Notably,
anti-Der p IgG was also found in colostrum and levels were higher in atopic
mothers. We believe that our work is a critical first step in the identification
of early factors that may impact asthma development and should guide the
development of clinical studies that assess whether Der p-specific IgG and IgA
protect children from allergy as demonstrated in animal models.
PMID- 21883351
TI - Promoter -817C>T variant of B lymphocyte stimulator gene (BLyS) and
susceptibility to endometriosis-related infertility and idiopathic infertility in
Brazilian population.
AB - Many theories have been proposed to explain the development of endometriosis, and
recently, autoimmune aetiology has been suggested. Besides, it is well known that
endometriosis, especially the advanced disease, may impair fertility. B
lymphocyte stimulator (BLyS) is a cytokine produced by macrophages and is
necessary for normal B cell development. One of the most studied polymorphisms is
the -817C/T in the promoter region of the gene. We aimed to assess the
association between endometriosis-related infertility and idiopathic infertility
and the BLyS -817C/T polymorphism in a Brazilian population. We performed a case
control study comprising 165 infertile women with endometriosis, 83 with
idiopathic infertility and 145 fertile and assessed the association with BLys
817C/T polymorphism. BLyS -817C/T polymorphism was detected using TaqMan PCR. The
results were analysed statistically, and a P-value < 0.05 was considered
significant. The results disclosed similar genotype and allelic frequencies
between endometriosis-related infertility (P = 0.225) and control group,
regardless of the disease stage (P = 0.213 and P = 0.462, respectively). However,
a statistically significant difference was observed regarding idiopathic
infertile group (P = 0.048) compared with controls. Considering the dominant and
recessive inheritance models, no significant differences in both endometriosis
and idiopathic infertility group were found. The genotype frequencies were in
Hardy-Weinberg equilibrium in all studied groups. The results point to a possible
association between BLyS -817C/T polymorphism and idiopathic infertility in
Brazilian population.
PMID- 21883352
TI - Establishment of recombinant hybrid-IgG/IgA immunoglobulin specific for Shiga
toxin.
AB - Shiga toxin 1 produced by enterohaemorrhagic Escherichia coli is an AB(5) toxin
that is involved in the life-threatening haemolytic-uraemic syndrome. The B
subunits (Stx1B) are cell-binding subunits. We previously established mouse
hybridoma cell line producing IgA and IgG monoclonal antibodies (mAbs) against
Stx1B. Here, we cloned cDNAs encoding each of the heavy, light and joining (J)
chains from the hybridoma cell lines by means of the 5' rapid amplification of
cDNA ends (RACE) PCR method. Upon assignment of the variable regions of the heavy
and light chains to known germline sequences, we found substantial somatic
hypermutation in the complementarity-determining regions in both the IgA and IgG
mAbs. We also established a hybrid-IgG/IgA heavy chain having variable regions of
the IgG mAb by means of recombinant PCR methods. Upon transient expression of the
hybrid-IgG/IgA heavy, IgG-associated light and J chains in COS-1 cells, the
translated dimeric hybrid-IgG/IgA bound to immobilized Stx1B, as revealed on
ELISA. The production of dimeric hybrid-IgG/IgA was revealed on immunoblot
analysis. The dimeric hybrid-IgG/IgA inhibited the binding of digoxigenin
conjugated Stx1B to natural ligands (CD77) displayed on Burkitt's lymphoma cell
line Ramos. These results indicate that the replacement of variable regions
resulted in the production of more useful recombinant dimeric IgA against Stx1B.
PMID- 21883353
TI - The mild inflammatory response in febrile neutropenic lymphoma patients with low
risk of complications is more pronounced in patients receiving tobramycin once
daily compared with three times daily.
AB - We evaluated inflammatory markers in febrile neutropenic lymphoma patients
undergoing high-dose chemotherapy with autologous stem cell support. Based on
MASCC scores, our patients had a low risk of serious complications and a
perspective of a benign initial clinical course of the febrile neutropenia. We
also studied the impact of tobramycin given once versus three times daily on
these immune markers. Sixty-one patients participating in a Norwegian multicentre
prospective randomized clinical trial, comparing tobramycin once daily versus
three times daily, given with penicillin G to febrile neutropenic patients,
constituted a clinically homogenous group. Four patients had bacteraemia, all
isolates being Gram-positive. Thirty-two patients received tobramycin once daily,
and 29 patients received tobramycin three times daily. Blood samples were taken
at the onset of febrile neutropenia and 1-2 days later. All samples were frozen
at -70 degrees C and analysed at the end of the clinical trial for C-reactive
protein (CRP), procalcitonin (PCT), complement activation products, mannose
binding lectin (MBL) and 17 cytokines. We found a mild proinflammatory response
in this series of patients. CRP was non-specifically elevated. Ten patients with
decreased MBL levels showed the same mild clinical and proinflammatory response.
Patients receiving tobramycin once daily showed a more pronounced proinflammatory
response compared with patients receiving tobramycin three times daily. Overall,
febrile neutropenic cancer patients with a benign clinical course show a mild
proinflammatory immune response.
PMID- 21883354
TI - The influence of dental attendance on change in oral health-related quality of
life.
AB - BACKGROUND: Few longitudinal studies have investigated the association between
dental attendance and oral health-related quality of life (OHRQoL). These studies
were limited to older adults, or to study participants with an oral disadvantage
and did not assess if dental attendance had a different effect on OHRQoL for
different people. OBJECTIVE: This project was designed to test whether routine
dental attendance improved the OHRQoL of survey participants and whether any
patient factors influenced the effect of dental attendance on change in OHRQoL.
METHODS: Collection instruments of a service use log book and a 12 month follow
up mail self-complete questionnaire were added to the Tasmanian component of the
National Survey of Adult Oral Health 2004/06. The dependent variable was change
in OHIP-14 severity and the independent variable was dental attendance. Many
putative confounders/effect modifiers were analysed in bivariate, stratified and
three-model multivariate analyses. These included indicators of treatment need,
sociodemographic characteristics, socioeconomic status, pattern of dental
attendance and access to dental care. RESULTS: None of the putative confounders
were associated with both dental attendance and the change in mean OHIP-14
severity. The only statistically significant interaction for change in OHIP-14
severity was observed for dental attendance by residential location (P < 0.01).
In multivariate analysis, there was a statistically significant association of
dental attendance with change in mean OHIP-14 severity. It also showed that the
difference in association of attendance between Hobart, the capital city of
Tasmania, and other places was statistically significant based on the interaction
between residential location and attendance (P < 0.05). CONCLUSION: The effect of
dental attendance on OHRQoL was influenced by a patient's residential location.
PMID- 21883355
TI - Impact of clinical status and salivary conditions on xerostomia and oral health
related quality of life of adolescents with type 1 diabetes mellitus.
AB - OBJECTIVES: To investigate the influence of clinical status and salivary
conditions on the presence of xerostomia on adolescents with and without type 1
diabetes mellitus (DM1), and further to investigate the influence of clinical
status, salivary conditions and xerostomia on oral health-related quality of life
(OHQoL) of those with DM1. METHODS: A cross-sectional study was performed on 102
adolescents, 51 with DM1 and 51 nondiabetics. Xerostomia was detected by asking a
question about the sensation of having 'dry mouth', and Oral Health Impact
Profile-14 was used to measure the impact of xerostomia on OHQoL. The clinical
status was assessed by using decayed, missing or filled and Community Periodontal
indices, and by evaluating oral manifestations; and the following salivary
conditions were evaluated: stimulated salivary flow, pH, buffer capacity, total
protein, amylase, urea, calcium, and glucose salivary concentrations. Multiple
logistic regression analysis was used to evaluate the influence of clinical
status and salivary conditions on xerostomia and the impact of xerostomia on the
OHQoL of adolescents with DM1. RESULTS: Clinical status and salivary conditions
was shown to have no influence on the presence of xerostomia. Bivariate (P =
0.00) and logistic regression (P = 0.01) analysis showed a significant
association between DM1 and xerostomia. Logistic regression analysis showed
association between xerostomia (P = 0.00) and OHQoL, and caries experience (P =
0.03) and OHQoL. CONCLUSIONS: DM1 showed to be predictive of a high prevalence of
xerostomia in adolescents. Caries experience and xerostomia showed to have a
negative impact on the OHQoL of adolescents with DM1.
PMID- 21883356
TI - The association between depression and anxiety and use of oral health services
and tooth loss.
AB - OBJECTIVE: The purpose of this study is to examine the associations among
depression, anxiety, use of oral health services, and tooth loss. METHODS: Data
were analysed for 80 486 noninstitutionalized adults in 16 states who
participated in the 2008 Behavioral Risk Factor Surveillance System. Binomial and
multinomial logistic regression analyses were used to estimate predicted
marginals, adjusted prevalence ratios, adjusted odds ratios (AOR) and their 95%
confidence intervals (CI). RESULTS: The unadjusted prevalence for use of oral
health services in the past year was 73.1% [standard error (SE), 0.3%]. The
unadjusted prevalence by level of tooth loss was 56.1% (SE, 0.4%) for no tooth
loss, 29.6% (SE, 0.3%) for 1-5 missing teeth, 9.7% (SE, 0.2%) for 6-31 missing
teeth and 4.6% (SE, 0.1%) for total tooth loss. Adults with current depression
had a significantly higher prevalence of nonuse of oral health services in the
past year than those without this disorder (P < 0.001), after adjustment for age,
sex, race/ethnicity, education, marital status, employment status, adverse health
behaviours, chronic conditions, body mass index, assistive technology use and
perceived social support. In logistic regression analyses employing tooth loss as
a dichotomous outcome (0 versus >=1) and as a nominal outcome (0 versus 1-5, 6
31, or all), adults with depression and anxiety were more likely to have tooth
loss. Adults with current depression, lifetime diagnosed depression and lifetime
diagnosed anxiety were significantly more likely to have had at least one tooth
removed than those without each of these disorders (P < 0.001 for all), after
fully adjusting for evaluated confounders (including use of oral health
services). The adjusted odds of being in the 1-5 teeth removed, 6-31 teeth
removed, or all teeth removed categories versus 0 teeth removed category were
increased for adults with current depression versus those without (AOR = 1.35;
95% CI = 1.14-1.59; AOR = 1.83; 95% CI = 1.51-2.22; and AOR = 1.44; 95% CI = 1.11
1.86, respectively). The adjusted odds of being in the 1-5 teeth removed and 6-31
teeth removed categories versus 0 teeth removed category were also increased for
adults with lifetime diagnosed depression or anxiety versus those without each of
these disorders. CONCLUSIONS: Use of oral health services and tooth loss was
associated with depression and anxiety after controlling for multiple
confounders.
PMID- 21883357
TI - Racial disparities in being recommended to surgery for oral and oropharyngeal
cancer in the United States.
AB - OBJECTIVES: To investigate the impact of race on the likelihood of patients being
recommended for surgery after a diagnosis of oral and oropharyngeal cancer.
METHODS: A total of 68,445 cases of oral and oropharyngeal cancer were extracted
from the 1988 to 2005 Surveillance, Epidemiology, and End Results (SEER)
database. County-level rurality data and income data were merged using the US
Department of Agriculture Rural-Urban Continuum Codes dataset and US Census
Bureau Small Area Income & Poverty Estimates dataset. We used logistic regression
analyses to investigate the impact of race on being recommended to surgery for
oral and oropharyngeal cancer, adjusting for demographic, socioeconomic, and
clinical factors. Stratified analyses were further conducted by tumor site and
rural/urban status. RESULTS: Recommendation to surgery varied significantly by
race, with black patients less likely than white patients to be recommended to
surgery for their oral and oropharyngeal cancer. The racial difference in
recommendation to surgery varied significantly by age, geography, and tumor
subsite. Racial disparities are most evident in lip and buccal cancer from rural
areas (OR, 4.4; 95% CI, 2.6-7.5); and least evident in oropharyngeal cancer from
urban areas (OR, 1.2; 95% CI, 1.1-1.3). The magnitude of the racial disparity is
attenuated with increasing age. CONCLUSIONS: We observed substantial racial
disparities in surgery recommendation for oral and oropharyngeal cancer in the
United States. Our results suggest the need to improve accessibility to better
health care in racial minority groups, particularly in rural areas, and call for
individual and institutional efforts to avoid physician bias related to the
patient's sociodemographic characteristics in healthcare service.
PMID- 21883358
TI - Surgical therapy of advanced ligature-induced peri-implantitis defects: cone-beam
computed tomographic and histological analysis.
AB - OBJECTIVES: To evaluate radiological bone level (RBL) (i.e. cone-beam computed
tomography) and histological bone levels (HBL) as well as re-osseointegration
[bone-to-implant contact (BIC)] after surgical resective (i.e. implantoplasty)
and/or regenerative therapy of advanced ligature-induced peri-implantitis in
dogs. MATERIAL AND METHODS: At all defect sites (n=6 dogs, n=48 implants), the
intrabony component was filled with a particulate bovine-derived natural bone
mineral (NBM). The supracrestal component was treated by either the application
of an equine bone block (EB) or implantoplasty. In a split-mouth design, NBM and
EB were soak-loaded with recombinant human bone morphogenetic protein (rhBMP)-2
or sterile saline. All sites were covered by a native collagen membrane and left
to heal in a submerged position for 12 weeks. RESULTS: A premature wound exposure
was observed at nine defect sites. Mean RBL and HBL values were lowest in the
P+rhBMP-2 group, reaching statistical significance when compared with the EB
group. Mean BIC values were comparable in all groups. Within-group comparisons
commonly revealed a close correlation between RBL and HBL values. CONCLUSIONS: It
was concluded that (i) in all groups the investigations failed to predictably
obtain complete defect resolution, (ii) the surgical procedure was associated
with high exposure rates, and (iii) RBL was closely correlated with HBL.
PMID- 21883359
TI - IL-23-producing CD68(+) macrophage-like cells predominate within an IL-17
polarized infiltrate in chronic periodontitis lesions.
AB - AIM: To analyse antigen-presenting cells (APCs), such as dendritic cells (DCs),
macrophages (Mo) or B cells depending on the regional site of chronic
periodontitis (CP), and to investigate their relation to Th17 cells. MATERIAL AND
METHODS: Biopsies from oral mucosa as well as the coronal and bottom regions of
CP were analysed by immunhistochemistry, immunofluorescence, flow cytometry and
real-time PCR. RESULTS: A predominance of CD68(+) Mo-like cells and CD20(+) B
cells and strong Th17 infiltration was observed in the bottom region of CP
lesions, while CD1a(+) DCs were only detected in the coronal regions, where Th17
infiltration was low. Furthermore, CD68(+) Mo-like cells displayed CD163
expression as a typical Mo-marker, but expressed in parallel typical DCs markers,
such as CD11c or CD209 and TLR4. Interestingly, Th17-inducing cytokine IL-23p19
was produced by CD68(+) Mo-like cells, but not CD20(+) B cells. Moreover, the
stimulation of in vitro generated CD68(+) Mo-like cells by Porphyromonas
gingivalis-derived (Pg) lipopolysaccharide resulted in the upregulation of their
IL-23p19 mRNA expression, which was inhibited by the blockage of TLR4.
CONCLUSIONS: In view of these data, a picture emerges that IL-17-producing cells
in CP could be in part directed by CD68(+) Mo-like cells, which produce IL-23p19
upon TLR4 activation by Pg.
PMID- 21883360
TI - Oxidative and inflammatory status in Type 2 diabetes patients with periodontitis.
AB - AIM: To determine the impact of periodontitis on oxidative/inflammatory status
and diabetes control in Type 2 diabetes. MATERIALS AND METHODS: A comparative
study of 20 Type 2 diabetes patients with periodontitis [body mass index (BMI)
31+5], 20-age/gender-matched, non-periodontitis Type 2 diabetes controls (BMI
29+6) and 20 non-diabetes periodontitis controls (BMI 25+4) had periodontal
examinations and fasting blood samples collected. Oxidative stress was determined
by plasma small molecule antioxidant capacity (pSMAC) and protein carbonyl
levels; inflammatory status by total/differential leucocytes, fibrinogen and high
sensitivity C-reactive protein (hsCRP); diabetes status by fasting glucose,
HbA1c, lipid profile, insulin resistance and secretion. Statistical analysis was
performed using SPSS. RESULTS: pSMAC was lower (p=0.03) and protein carbonyls
higher (p=0.007) in Type 2 diabetes patients with periodontitis compared with
those without periodontitis. Periodontitis was associated with significantly
higher HbA1c (p=0.002) and fasting glucose levels (p=0.04) and with lower beta
cell function (HOMA-beta; p=0.01) in diabetes patients. Periodontitis had little
effect on inflammatory markers or lipid profiles, but Type 2 diabetes patients
with periodontitis had higher levels of hsCRP than those without diabetes
(p=0.004) and the lowest levels of HDL-cholesterol of all groups. CONCLUSION:
Periodontitis is associated with increased oxidative stress and compromised
glycaemic control in Type 2 diabetes patients.
PMID- 21883361
TI - Cyclosporine-induced gingival overgrowth correlates with NFAT-regulated gene
expression: a pilot study.
AB - OBJECTIVE: To determine whether incidence and severity of cyclosporine A (CsA)
induced gingival overgrowth (GO) is related to expression nuclear factor of
activated T cells-regulated genes (NFAT-regulated genes). MATERIAL AND METHODS:
Expression of NFAT-regulated genes was determined in 36 transplant patients
medicated with CsA by real-time PCR before and 2 h after drug intake and residual
NFAT activity was estimated as ratio of both measurements. Demographic,
periodontal and pharmacologic parameters were recorded and GO assessed from
models. Subjects were divided into two groups according to the degree of GO
(responders: GO score>=10%). Groups were compared using parametric and non
parametric tests. The association of various CsA-specific and periodontal
parameters on incidence and extent of GO were determined using regression
analysis. RESULTS: Responders had a more than twofold lower residual NFAT
activity than non-responders (7.9% and 18.1%, respectively; p<0.001). Multiple
regression analysis revealed gingival inflammation, salivary CsA concentration,
and residual NFAT activity to be significant factors influencing the expression
of GO. Seventy-seven percent of the variability of GO could be explained by these
parameters. CONCLUSIONS: This study showed that pharmacodynamic parameters such
as residual NFAT activity may be promising prognostic indicators to identify
patients with increased risk for GO.
PMID- 21883362
TI - Rapid onset of argyria induced by a silver-containing dietary supplement.
AB - We describe a 53-year-old man in good general health who presented with an 8
month history of progressive gray hyperpigmentation of the face. He denied using
any prescription medications; however, he admitted to taking a herbal supplement.
Clinically, the differential diagnosis included hemochromatosis, Wilson's disease
and hyperpigmentation secondary to supplement use. Punch biopsies from the left
forehead and preauricular region showed heavily sun-damaged skin with a minimal
inflammatory infiltrate. Closer inspection, however, revealed minute scattered
black/brown particles distributed in the basement membrane zone of eccrine and
sebaceous glands. Similar particles were also present in hair follicles, blood
vessels and arrector pili muscles. The particles did not stain with Gomori
methenamine silver, Fontana-Masson or iron stains. Electron microscopy with
energy-dispersive x-ray analysis showed numerous particles, less than 1 um in
greatest dimension, which showed peaks for silver and sulfur. This analytical
result confirmed the impression of argyria. Further history revealed that the
patient had indeed been taking a silver supplement for several months under the
premise that it would boost his immune system. This case is unique in that the
patient's hyperpigmentation developed in a short period of time as compared with
other reports in the medical literature.
PMID- 21883363
TI - Cutaneous involvement by colonic extranodal NK/T-cell lymphoma mimicking mycosis
fungoides: a case report*.
AB - We report a 51-year-old woman with cutaneous involvement by extranodal NK/T-cell
lymphoma (TCL) of the colon that microscopically mimicked mycosis fungoides (MF).
She had a history of fever of unknown origin for 2 months and then developed
multiple erythematous papules on her trunk and extremities. A skin biopsy
revealed superficial infiltration by atypical small to medium-sized lymphocytes
with epidermotropism and Pautrier collections. Immunohistochemical studies showed
expression of CD3 and TIA-1 with lack of expression (double negative) of CD4 and
CD8. Initially, we reported the diagnosis as MF, cytotoxic variant. Thereafter,
computerized tomography scan incidentally identified a colonic mass. A colonic
biopsy revealed infiltration of atypical lymphoid cells with the same morphology
and immunophenotype as those found in the skin. Additionally, CD56 and Epstein
Barr virus-encoded RNA in situ hybridization in both skin and colonic biopsies
were diffusely positive. Thus, extranodal NK/TCL was diagnosed. Delta T-cell
receptor (TCR) gene rearrangement was documented in the skin biopsy by
polyacrylamide gel electrophoresis and fluorescence capillary gel electrophoresis
methods. There was no TCR gene rearrangement detected in the colonic biopsy.
Unfortunately, the patient died within 2 months of diagnosis.
PMID- 21883364
TI - An isolated Merkel cell carcinoma metastasis at a distant cutaneous site
presenting as a second 'primary' tumor.
AB - Merkel cell carcinoma (MCC) is an aggressive neuroendocrine carcinoma of the
skin. Disease progression usually occurs via lymphatic spread to regional
lymphatic draining basins, followed by distant metastasis. We report the clinical
course, histopathology and genetic analysis of a 69-year-old woman with likely
hematogenous spread of cutaneous neuroendocrine carcinoma manifesting as a single
metastatic lesion to a distant cutaneous site. Although the possibility of two
cutaneous primary MCCs was considered, array comparative genomic hybridization
(aCGH) identified identical distal amplification of a region of chromosome 12p,
and synchronous loss of chromosomes 8p and 17p, effectively ruling out the
possibility of independent primaries. We propose that this represents a primary
cheek MCC with rapid, isolated cutaneous metastasis to the contralateral ankle
via hematogenous spread. The distinction between a second primary MCC and a
distant cutaneous metastasis clearly has important implications with regard to
staging, treatment and prognosis. To our knowledge, this represents the first
report of the use of aCGH to clarify the relationship of multiple synchronous
cutaneous MCCs and the first report of a single distant cutaneous focus of
hematogenous spread. Our data calls into question prior reports alleging multiple
cutaneous primaries of this very rare tumor.
PMID- 21883365
TI - Erythema elevatum diutinum - a chronic leukocytoclastic vasculitis
microscopically indistinguishable from granuloma faciale?
AB - BACKGROUND: As the sequential inflammatory changes are the same in erythema
elevatum diutinum (EED) and granuloma faciale (GF), histopathologic distinction
may be difficult. METHODS: All available cases from 1998 to 2009 with the
diagnosis of EED and GF were collected and reviewed, both clinically and
histopathologically. Nine cases of EED and 41 cases of GF were reviewed in a
blinded fashion using a checklist of 26 histopathologic criteria. RESULTS: Only
four of the evaluated criteria showed differences between GF and EED. High
density of the infiltrate was noted in 97% of cases of GF but only in 56% of
cases of EED. Eosinophils were the predominant cell type in 59% of cases of GF
but in none of the cases of EED. Plasma cells were more frequent in GF (64%) than
in EED (22%), and granulomas were never found in GF but in 22% of EED. A zone of
perijunctional sparing (Grenz zone) was observed in about three quarters of the
cases in both the groups. CONCLUSIONS: The histopathology of GF and EED is very
similar and overlapping. The presence of a Grenz zone and patterned fibrosis does
not distinguish the two diseases. However, granulomatous nodules are only seen in
EED, and a predominance of eosinophils in the infiltrate favors a diagnosis of
GF.
PMID- 21883367
TI - Human polyomaviruses 6 and 7 are not detectable in Merkel cell polyomavirus
negative Merkel cell carcinoma.
AB - BACKGROUND: Merkel cell carcinoma (MCC) has a high degree of association with
Merkel cell polyomavirus (MCPyV). However, no reliable microscopic, clinical,
phenotypic or molecular differences have been identified that distinguish MCPyV
positive from MCPyV-negative MCC, raising the possibility that a related
polyomavirus may be present in MCPyV-negative cases. Recently, two additional
human polyomaviruses, human polyomaviruses 6 and 7 (HPyV6 and HPyV7), were shown
to be present in normal skin of healthy subjects along with MCPyV. Consequently,
we sought to determine if the presence of HPyV6 of HPyV7 could account for MCPyV
negative MCC. METHODS: DNA was extracted from formalin-fixed, paraffin-embedded
tissue blocks of 28 previously characterized MCC cases that included 22 MCPyV
positive and 6 MCPyV-negative samples. Real-time polymerase chain reaction
targeting three viral regions was used to detect HPyV6 and HPyV7. RESULTS: None
of the 28 MCC cases, which included both MCPyV-positive and negative cases,
showed amplifiable HPyV6 or HPyV7 DNA. CONCLUSIONS: While MCPyV, HPyV6, and HPyV7
are part of normal skin flora and show a high degree of sequence similarity,
there is no evidence of an association between HPyV6 and HPyV7 and MCC in this
case series. This result argues against a role for HPyV6 and HPyV7 in the
pathogenesis of MCPyV-negative MCC.
PMID- 21883366
TI - A quantitative proteomic analysis of FFPE melanoma.
PMID- 21883368
TI - The role of CD10 in distinguishing atypical fibroxanthoma from sarcomatoid
(spindle cell) squamous cell carcinoma.
AB - BACKGROUND: The role of CD10 needs clarification in a broader immunohistochemical
battery for distinguishing atypical fibroxanthoma (AFX) from spindle cell
squamous cell carcinoma (sSCC). METHODS: We retrospectively reviewed 23 cutaneous
spindle cell tumors previously classified as AFX (n = 11) or as sSCC (n = 12).
Each tumor was stained with CD10, S-100, p63 and two or more cytokeratin stains.
Defining AFX as a diagnosis of exclusion based on multiple negative cytokeratin
stains and negative p63 staining, we reclassified four squamous cell carcinomas
(SCCs) as AFX. CD10 staining was reviewed and graded in all tumors. RESULTS:
Fifteen tumors were classified as AFX. Strongly positive CD10 staining was
observed in all 15 AFXs, as well as four (50%) of the eight SCCs. Expression of
p63 was seen in six sSCCs (75%). CONCLUSIONS: CD10 is consistently expressed by
AFX. However, CD10 is also often strongly expressed by sSCC. Positive staining
with p63 favors a diagnosis of sSCC. An immunohistochemical battery useful for
distinguishing AFX from sSCC may include CD10, p63 and two cytokeratin markers.
However, CD10 alone should not be relied upon in the distinction of these
entities.
PMID- 21883369
TI - Histiocytic sarcoma transdifferentiated from follicular lymphoma presenting as a
cutaneous tumor.
AB - Histiocytic sarcoma represents a rare and poorly understood tumor of
histiocytic/dendritic cell lineage that can rarely present in the skin.
Previously reported cases of histiocytic sarcoma after follicular lymphoma
suggested that follicular lymphoma can transdifferentiate into histiocytic
sarcoma. We describe another case involving a 40-year old male who developed
histiocytic sarcoma in his right thigh 4 years after the diagnosis of grade 1
follicular lymphoma in the left neck. The two neoplasms were morphologically and
immunophenotypically different but had identical immunoglobulin heavy chain gene
and bcl-2 gene rearrangements, as demonstrated by polymerase chain gene reaction
analysis, and the presence of t(14;18)(q32;q21) translocation was confirmed via
fluorescence in situ hybridization (FISH) analysis. Because of spindle cell
morphology and focal S-100 positivity, malignant peripheral nerve sheath tumor
and melanoma diagnoses were made initially and extensive workup was required to
discover the correct diagnosis. Lineage transdifferentiation can occur in mature
lymphoid neoplasms and awareness of this phenomenon and appropriate workup is
crucial for correct diagnosis, as different treatment protocols and prognosis may
vary.
PMID- 21883370
TI - Atypical fibroxanthoma with T-cytotoxic inflammatory infiltrate and aberrant
expression of cytokeratin.
PMID- 21883371
TI - Cutaneous accumulation of plasmacytoid dendritic cells associated with acute
myeloid leukemia: a rare condition distinct from blastic plasmacytoid dendritic
cell neoplasm.
AB - A cutaneous infiltrate composed of plasmacytoid dendritic cells may occasionally
occur in a patient suffering from a myeloid neoplasm. To date, the clinical and
pathological features associated with this event remains poorly characterized.
Herein, we report a patient with acute myeloid leukemia who developed pruritic
papules or erythematous plaques scattered on the skin. Microscopic examination
showed a dermal infiltrate rich in plasmacytoid dendritic cells expressing CD4,
CD43, CD68, granzyme B, CD123, CD303 [blood dendritic cell antigen 2 (BDCA-2)],
CD2-associated protein (CD2AP) and T-cell leukemia/lymphoma oncogene 1 (TCL1).
Our observation illustrates further that cutaneous lesions associated with some
myeloid neoplasms, especially those featuring a monocytic component, may be
composed of plasmacytoid dendritic cells. Because of differences in clinical,
pathological and genetic features, this rare condition should be distinguished
from blastic plasmacytoid dendritic cell neoplasm.
PMID- 21883372
TI - Melanoma and melanocytic nevi in decorative tattoos: three case reports.
AB - BACKGROUND: In response to the demands of style and fashion, the number of
decorative tattoos has been increasing worldwide. This has been paralleled by a
rising incidence of melanocytic proliferations, including melanoma. The
coincidence of various dermatological diseases and skin tumors with tattoos has
been documented with some frequency, but reports of melanoma associated with
tattoos are exceedingly rare. To date, only 13 cases have been documented in the
English language literature. The possibility of an association between
melanocytic proliferations and tattoos remains an area for further study.
OBSERVATIONS: This report presents two cases of melanocytic nevi and one of
melanoma occurring in association with a decorative tattoos. CONCLUSIONS: At
present, the pathogenesis of melanoma developing in a tattoo is unknown. Mere
coincidence cannot be ruled out. However, trauma, ultraviolet light exposure, a
photoallergic effect, or an inflammatory reaction may promote malignant
transformation. Clinicians and histopathologists should be aware of the clinical
and pathological features if they are to make a correct diagnosis.
PMID- 21883373
TI - Lack of Jun-N-terminal kinase 3 (JNK3) does not protect against neurodegeneration
induced by 3-nitropropionic acid.
AB - AIMS: 3-Nitropropionic acid (3-NP) is a toxin that replicates most of the
clinical and pathophysiological symptoms of Huntington's disease, inducing
neurodegeneration in the striatum due to the inhibition of mitochondrial
succinate dehydrogenase. Different pathways have been implicated in the cell
death induced by 3-NP in rodents. One of them is the Jun-N-terminal kinase (JNK)
pathway, which may play a role in the neurodegenerative process in different
diseases. Moreover, the lack of one isoform of JNK (JNK3) has been associated
with neuroprotection in different experimental models of neurodegeneration.
Therefore, in the present study the role of JNK3 in the experimental Huntington's
model induced by 3-NP administration was evaluated. METHODS: 3-NP was
intraperitoneally administered once a day for 3 days to wild-type and Jnk3-null
mice. Coronal brain sections were used to determine cell death and astrogliosis
in striatum. Western blots were performed to determine the involvement of
different pathways in both wild-type and Jnk3-null mice. RESULTS: Although JNK
activation was observed following 3-NP administration, the results indicate that
the lack of JNK3 does not confer neuroprotection against 3-NP toxicity. Thus,
other pathways must be involved in the neurodegeneration induced in this model.
One of the possible pathways towards 3-NP-induced apoptosis could involve the
calpains, as their activity was increased in wild-type and Jnk3-null mice.
CONCLUSION: Although JNK3 is a key protein involved in cell death in different
neurodegenerative diseases, the present study demonstrates that the lack of JNK3
does not confer neuroprotection against 3-NP-induced neuronal death.
PMID- 21883375
TI - Atypical tauopathy in a patient with LRRK2-G2019S mutation and tremor-dominant
Parkinsonism.
PMID- 21883376
TI - FUS immunoreactivity of neuronal and glial intranuclear inclusions in
intranuclear inclusion body disease.
AB - AIMS: Recent studies have shown that fused-in-sarcoma (FUS) protein is a
component of 'neuronal' intranuclear inclusion bodies (INIBs) in the brains of
patients with intranuclear inclusion body disease (INIBD). However, the extent
and frequency of FUS-immunoreactive structures in INIBD are uncertain. METHODS:
We immunohistochemically examined the brain, spinal cord and peripheral ganglia
from five patients with INIBD and five control subjects, using anti-FUS
antibodies. RESULTS: In controls, the nuclei of both neurones and glial cells
were intensely immunolabelled with anti-FUS and neuronal cytoplasm was weakly
positive for FUS. In INIBD, neuronal and glial INIBs in the brain and spinal cord
were positive for FUS. FUS-positive INIBs were also found in the peripheral
ganglia. The proportion of FUS-positive neuronal INIBs relative to the total
number of inclusion-bearing neurones ranged from 55.6% to 83.3% (average 73.2%)
and that of FUS-positive glial INIBs ranged from 45.9% to 85.7% (average 62.7%).
The nucleus and cytoplasm of inclusion-bearing neurones and glial cells showed no
FUS immunoreactivity. CONCLUSIONS: These findings suggest that FUS is
incorporated into INIBs in both neurones and glial cells and that loss of normal
FUS immunoreactivity may result from reduced protein expression and/or
sequestration within inclusions.
PMID- 21883374
TI - Activation status of integrated stress response pathways in neurones and
astrocytes of HIV-associated neurocognitive disorders (HAND) cortex.
AB - AIMS: Combined anti-retroviral therapy (cART) has led to a reduction in the
incidence of HIV-associated dementia (HAD), a severe motor/cognitive disorder
afflicting HIV(+) patients. However, the prevalence of subtler forms of
neurocognitive dysfunction, which together with HAD are termed HIV-associated
neurocognitive disorders (HAND), continues to escalate in the post-cART era. The
microgliosis, astrogliosis, dendritic damage, and synaptic and neuronal loss
observed in autopsy cases suggest an underlying neuroinflammatory process, due to
the neurotoxic factors released by HIV-infected/activated macrophages/microglia
in the brain, might underlie the pathogenesis of HAND in the post-cART era. These
factors are known to induce the integrated stress response (ISR) in several
neurodegenerative diseases; we have previously shown that BiP, an indicator of
general ISR activation, is upregulated in cortical autopsy tissue from HIV
infected patients. The ISR is composed of three pathways, each with its own
initiator protein: PERK, IRE1alpha and ATF6. METHODS: To further elucidate the
specific ISR pathways activated in the central nervous system of HAND patients,
we examined the protein levels of several ISR proteins, including ATF6,
peIF2alpha and ATF4, in cortical tissue from HIV-infected patients. RESULTS: The
ISR does not respond in an all-or-none fashion in HAND, but rather demonstrates a
nuanced activation pattern. Specifically, our studies implicate the ATF6 pathway
of the ISR as a more likely candidate than the PERK pathway for increases in BiP
levels in astrocytes. CONCLUSION: These findings begin to characterize the nature
of the ISR response in HAND and provide potential targets for therapeutic
intervention in this disease.
PMID- 21883377
TI - Distemper virus encephalitis exerts detrimental effects on hippocampal
neurogenesis.
AB - AIMS: Despite knowledge about the impact of brain inflammation on hippocampal
neurogenesis, data on the influence of virus encephalitis on dentate granule cell
neurogenesis are so far limited. Canine distemper is considered an interesting
model of virus encephalitis, which can be associated with a chronic progressing
disease course and can cause symptomatic seizures. METHODS: To determine the
impact of canine distemper virus (CDV) infection on hippocampal neurogenesis, we
compared post-mortem tissue from dogs with infection with and without seizures,
from epileptic dogs with non-viral aetiology and from dogs without central
nervous system diseases. RESULTS: The majority of animals with infection and with
epilepsy of non-viral aetiology exhibited neuronal progenitor numbers below the
age average in controls. Virus infection with and without seizures significantly
decreased the mean number of neuronal progenitor cells by 43% and 76% as compared
to age-matched controls. Ki-67 labelling demonstrated that hippocampal cell
proliferation was neither affected by infection nor by epilepsy of non-viral
aetiology. Analysis of CDV infection in cells expressing caspase-3, doublecortin
or Ki-67 indicated that infection of neuronal progenitor cells is extremely rare
and suggests that infection might damage non-differentiated progenitor cells,
hamper neuronal differentiation and promote glial differentiation. A high inter
individual variance in the number of lectin-reactive microglial cells was evident
in dogs with distemper infection. Statistical analyses did not reveal a
correlation between the number of lectin-reactive microglia cells and neuronal
progenitor cells. CONCLUSIONS: Our data demonstrate that virus encephalitis with
and without seizures can exert detrimental effects on hippocampal neurogenesis,
which might contribute to long-term consequences of the disease. The lack of a
significant impact of distemper virus on Ki-67-labelled cells indicates that the
infection affected neuronal differentiation and survival of newborn cells rather
than hippocampal cell proliferation.
PMID- 21883378
TI - Cell biology of Smad2/3 linker region phosphorylation in vascular smooth muscle.
AB - The transforming growth factor (TGF)-beta superfamily of ligands regulates a
diverse set of cellular functions. Transforming growth factor-beta induces its
biological effects through Type I and Type II transmembrane receptors that have
serine/threonine kinase activities and weak tyrosine kinase activity. In vascular
smooth muscle, TGF-beta binds to the TGF-beta Type II receptor (TbetaRII) at the
cell surface, recruiting the Type I receptor (TbetaRI) to form a heterocomplex.
Consequently, after phosphorylation and activation of TbetaRI, the transcription
factors receptor activated (R-) Smad2 and Smad3 are recruited and activated
through phosphorylation of C terminal residues. Overall, Smad2/3 and co-Smad4
have similar structures consisting of three regions an N-terminal MH1 domain, a C
terminal MH2 domain and a central linker region. Phosphorylation of the Smad
linker region appears to have an important role in the regulation of Smad
activity and function. The mitogen-activated protein kinase (MAPK) family, CDK2,
CDK4 and calcium-calmodulin dependent kinase are the main kinases that
phosphorylate sites in the linker region. The role of the linker region includes
enabling the formation of Smad homo-oligomers and provision of phosphorylation
sites for MAPK and other kinases. In some instances, linker region
phosphorylation regulates the inhibition of the nuclear translocation of Smads.
In the present review, we describe TGF-beta signalling through Smad2/3 and the
importance of the linker region in the regulation and expression of genes induced
by TGF-beta superfamily ligands in the context of vascular smooth muscle.
PMID- 21883379
TI - Linkage between Twist1 and Bmi1: molecular mechanism of cancer
metastasis/stemness and clinical implications.
AB - Cancer metastasis is the major cause of cancer-related death despite significant
improvements in multimodal cancer therapy. Epithelial-mesenchymal transition
(EMT), a major mechanism of cancer metastasis, is a process that generates cells
with stem cell-like properties (cancer stemness). Cancer stemness is a concept
that describes a minor population of cells (cancer stem cells) residing within a
tumour that are able to self-renew and are resistant to conventional therapy. The
mechanisms delineating the generation of cancer stemness and its connection to
cancer metastasis remain largely unknown. Twist1 is an EMT regulator and
increased Twist1 expression, which has prognostic significance in various human
cancers, has been widely reported. Bmi1 is a critical component of polycomb
repressive complex (PRC) 1, which maintains self-renewal and stemness. Bmi1 is
frequently overexpressed in different types of human cancers and can induce drug
resistance (Table 2). Recent studies have shown that Twist1 directly activates
Bmi1 expression and that these two molecules function together to mediate cancer
stemness and EMT. These results present a unique mechanism of EMT-induced cancer
metastasis and stemness. Further investigation of the mechanisms of EMT-mediated
cancer metastasis and stemness will contribute to the management and treatment of
metastatic cancers.
PMID- 21883380
TI - Dalteparin dose-dependently increases ROTEM((r)) thrombelastography parameters
only at supratherapeutic anti-factor Xa levels: an in vitro study.
AB - 1. The low molecular weight heparin (LMWH) dalteparin is used, for example, to
prevent primary venous thromboembolism in patients undergoing surgery or in
medically ill patients. The anticoagulant activity of dalteparin can be monitored
by measuring anti-factor Xa levels and activated partial thromboplastin time
(aPTT); however, aPTT is an unreliable parameter in this case. The aim of the
present in vitro study was to evaluate the thrombelastograph ROTEM((r)) (Tem
International, Munich, Germany) with respect to determining the anticoagulant
activity of dalteparin at therapeutic and supratherapeutic plasma concentrations.
2. The ROTEM((r)) parameters, namely coagulation time (CT), clot formation time
(CFT) and maximum clot firmness (MCF), were measured using the reagents EXTEM and
INTEM (Pentapharm, Munich, Germany) at increasing concentrations of dalteparin
(0.01-10 MUg/mL, which corresponded to anti-factor Xa levels of 1-1000 U/mL,
respectively). 3. The mean CT measured using EXTEM was found to increase from
65.4 +/- 27.9 s at baseline to 173.3 +/- 112.2 s and 332.2 +/- 200.7 s at drug
concentrations of 1 and 10 MUg/mL, respectively (P < 0.0001 for both). Moreover,
the mean CFT value (EXTEM) increased from 97.7 +/- 21.5 s at baseline to 187.6 +/
115.2 s (P = 0.0001) at a drug concentration of 10 MUg/mL, which is greater than
the therapeutic anti-factor Xa concentrations for LMWH. The results obtained when
INTEM was used as the reagent were similar to those obtained using EXTEM. 4. In
conclusion, the results indicate that the thrombelastograph ROTEM((r)) can detect
the anticoagulant effects of dalteparin only at supratherapeutic levels of anti
factor Xa.
PMID- 21883382
TI - Mechanism of alcohol-induced impairment in renal development: Could it be reduced
by retinoic acid?
AB - 1. Prenatal alcohol exposure impairs kidney development, resulting in a reduced
nephron number. However, the mechanism through which alcohol acts to disrupt
renal development is largely unknown. Retinoic acid (RA) is critically involved
in kidney development and it has been proposed that a diminished concentration of
RA is a contributing factor to fetal alcohol syndrome. 2. In the present study we
proposed that the ethanol-induced inhibition of ureteric branching morphogenesis
and glomerular development in the cultured rat kidney would be ameliorated by
coculture with exogenous RA and that examining the expression profile of key
genes involved in the development of the kidney would provide insights into the
potential molecular pathways involved. 3. Whole rat metanephroi cultured in the
presence of exogenous RA (10-20 nmol/L) without ethanol appeared larger and had
significantly more ureteric branch points, tips and glomeruli than metanephroi
cultured in control media. Those cultured in the presence of ethanol alone (0.2%)
had 20% fewer ureteric branch points, tips and glomeruli, which was ameliorated
by coculture with retinoic acid. 4. Gene expression analysis identified changes
in the expression of enzymes involved in the metabolism of alcohol in conjunction
with changes in key regulators of kidney development, including cRET. 5. These
results demonstrate that the teratogenic effects of alcohol in vitro on kidney
development resulting in reduced ureteric branching morphogenesis and glomerular
development can be ameliorated through coculture with RA. These results provide
the foundation for future research into the mechanism through which alcohol acts
to disrupt kidney development.
PMID- 21883383
TI - Introduction: Adipokines and cardiovascular disease.
PMID- 21883381
TI - Regulation of stem cell differentiation in adipose tissue by chronic
inflammation.
AB - 1. Recent studies suggest that a local hypoxic response leads to chronic
inflammation in the adipose tissue of obese individuals. The adipose tissue
hypoxia may reflect a compensatory failure in the local vasculature system in
response to obesity. 2. Studies suggest that inflammation stimulates angiogenesis
and inhibits adipocyte activities in a feedback manner within the obese adipose
tissue. Adipose-derived stem cells (ASC) are able to differentiate into multiple
lineages of progenitor cells for adipocytes, endothelial cells, fibroblasts and
pericytes. Differentiation of ASC into those progenitors is regulated by the
adipose tissue microenvironment. 3. As a major factor in the microenvironment,
inflammation may favour ASC differentiation into endothelial cells through the
induction of angiogenic factors. At the same time, inflammation inhibits ASC
differentiation into adipocytes by suppressing peroxisome proliferator-activated
receptor gamma activity and the insulin signalling pathway. In this context,
inflammation may serve as a signal mediating the competition between adipocytes
and endothelial cells for the limited source of ASC. 4. It is a new concept that
inflammation mediates signals in the competition between adipocytes and
endothelial cells for the limited ASC in obesity. There is a lot of evidence that
inflammation promotes endothelial cell differentiation. However, this activity of
inflammation remains to be established in adipose tissue. The present article
reviews the literature in support of this conclusion.
PMID- 21883384
TI - Population pharmacokinetics and pharmacodynamics of escitalopram in overdose and
the effect of activated charcoal.
AB - AIMS: To describe the pharmacokinetics and pharmacodynamics (PKPD) of
escitalopram in overdose and its effect on QT prolongation, including the
effectiveness of single dose activated charcoal (SDAC). METHODS: The data set
included 78 escitalopram overdose events (median dose, 140mg [10-560mg]). SDAC
was administered 1.0 to 2.6 h after 12 overdoses (15%). A fully Bayesian analysis
was undertaken in WinBUGS 1.4.3, first for a population pharmacokinetic (PK)
analysis followed by a PKPD analysis. The developed PKPD model was used to
predict the probability of having an abnormal QT as a surrogate for torsade de
pointes. RESULTS: A one compartment model with first order input and first-order
elimination described the PK data, including uncertainty in dose and a baseline
concentration for patients taking escitalopram therapeutically. SDAC reduced the
fraction absorbed by 31% and reduced the individual predicted area under the
curve adjusted for dose (AUC(i) /dose). The absolute QT interval was related to
the observed heart rate with an estimated individual heart rate correction factor
(alpha= 0.35). The heart rate corrected QT interval (QT(c) ) was linearly
dependent on predicted escitalopram concentration [slope = 87ms/(mgl(-1) )],
using a hypothetical effect-compartment (half-life of effect-delay, 1.0h).
Administration of SDAC significantly reduced QT prolongation and was shown to
reduce the risk of having an abnormal QT by approximately 35% for escitalopram
doses above 200mg. CONCLUSIONS: There was a dose-related lengthening of the QT
interval that lagged the increase in drug concentration. SDAC resulted in a
moderate reduction in fraction of escitalopram absorbed and reduced the risk of
the QT interval being abnormal.
PMID- 21883385
TI - Pre-hypertension: how low to go and do drugs have a role?
AB - People with pre-hypertension (high blood pressure but below the conventional
threshold for intervention with antihypertensive drugs) undoubtedly have
increased risk of cardiovascular and other complications. However, the vast
majority has low absolute risk and whether treatment would be beneficial is
uncertain. While pharmacotherapy has attractions from a public health
perspective, clinicians and crucially those with pre-hypertension require robust
evidence that drug treatment will lead to short term as well as long term gains.
Any changes in recommendations should await adequately powered outcome studies
which provide solid evidence of the magnitude of absolute risk reduction in
treating pre-hypertension and assessment of the cost-effectiveness.
PMID- 21883386
TI - Pharmacokinetic interaction between domperidone and ketoconazole leads to QT
prolongation in healthy volunteers: a randomized, placebo-controlled, double
blind, crossover study.
AB - AIMS: To assess the steady-state pharmacokinetic and QT(c) effects of domperidone
and ketoconazole, given alone and together. METHODS: A randomized, placebo
controlled, double-blind, crossover study was carried out. Healthy subjects (14
men, 10 women; age 18-39 years; mean weight 73.5kg, range 53.8-98.8kg; 23
Europid, 1 Afro-Caribbean) received orally, for 7 days each, placebo, domperidone
10mg, four doses daily, at 4h intervals, ketoconazole 200mg 12-hourly and
domperidone and ketoconazole together. The washout period was 15 days.
Pharmacokinetics and serial 12-lead ECGs were assessed on day 7, and serial ECGs
on day -1 and at follow-up. Two subjects withdrew before the third treatment
period, so data were available for 22-24 subjects. RESULTS Ketoconazole tripled
domperidone concentrations at steady-state. Domperidone, ketoconazole and their
combination significantly increased QT(c) F in men. Overall adjusted mean
differences from placebo were 4.20 (95% CI 0.77, 7.63), 9.24 (95% CI 5.85, 12.63)
and 15.90 (95% CI 12.47, 19.33) ms, respectively. In women, QT(c) F was not
significantly different from placebo on either domperidone or ketoconazole alone,
or in combination. However, QT(c) was positively correlated with plasma drug
concentrations, in both men and women. DeltaQT(c) F increased by about 2ms per
10ngml(-1) rise in domperidone concentration, and per 1ugml(-1) rise in
ketoconazole concentration. CONCLUSIONS: Ketoconazole tripled the plasma
concentrations of domperidone. Domperidone and ketoconazole increased QT(c) F in
men, whether given together or separately. The effect of domperidone alone was
below the level of clinical importance. The negative result in women is
unexplained.
PMID- 21883387
TI - Impact of genetic factors (VKORC1, CYP2C9, CYP4F2 and EPHX1) on the
anticoagulation response to fluindione.
AB - AIM: Genetic variants of the enzyme that metabolizes warfarin, cytochrome P-450
2C9 (CYP2C9) and of a key pharmacologic target of vitamin K antagonists, vitamin
K epoxide reductase (VKORC1), contribute to differences in patients' responses to
coumarin derivatives. The role of these variants in fluindione response is
unknown. Our aim was to assess whether genetic factors contribute to the
variability in the response to fluindione. METHODS: Four hundred sixty-five
patients with a venous thromboembolic event treated by fluindione for at least 3
months with a target international normalized ratio (INR) of 2.0 to 3.0 were
studied. VKORC1, CYP2C9, CYP4F2 and EPHX1 genotypes were assessed. INR checks,
fluindione doses and bleeding events were collected. RESULTS: VKORC1 genotype had
a significant impact on early anticoagulation (INR value >=2 after the first two
intakes) (P < 0.0001), on the time required to reach a first INR within the
therapeutic range (P < 0.0001) and on the time to obtain a first INR value > 4
(P= 0.0002). The average daily dose of fluindione during the first period of
stability was significantly associated with the VKORC1 genotype: 19.8 mg (+/-5.5)
for VKORC1 CC, 14.7mg (+/-6.2) for VKORC1 CT and 8.2mg (+/-2.5) for VKORC1 TT (P
< 0.0001). CYP2C9, CYP4F2 and EPHX1 genotypes did not significantly influence the
response to fluindione. CONCLUSIONS: VKORC1 genotype strongly affected
anticoagulation induced by fluindione whereas CYP2C9, CYP4F2 and EPHX1 genotypes
seemed less determining.
PMID- 21883388
TI - Subcutaneous ossifying lipoma with infiltration of transforming growth factor
beta-producing cells.
PMID- 21883389
TI - Coexistent granulomatous vasculitis and leukaemia cutis in a patient with
resolving herpes zoster.
AB - An 80-year-old man presented with a 6-month history of indurated tender purple
papules. These had coalesced to form plaques with some central scarring and a
dermatomal distribution on the left arm, immediately following herpes zoster (HZ)
infection at this site. The patient had a 5-year history of small lymphocytic
lymphoma (SLL), which was being managed conservatively under a 'watch and wait'
protocol. On histological examination of a skin biopsy, marked interstitial
granulomas and prominent granulomatous vasculitis were seen, supporting the
clinical impression of a post-HZ granulomatous reaction. In addition, there was a
dense monoclonal small B-cell lymphocytic infiltrate indicating koebnerization by
SLL (a finding that has not been reported previously with concurrent postherpetic
granulomatous vasculitis). Although benign pseudolymphomas occur in postherpetic
cases, this case shows that even in association with benign vasculitic features
true lymphomas can occur. Furthermore, this case highlights the importance of
immunocytochemistry, molecular studies and clinicopathological correlation.
PMID- 21883390
TI - Primary cutaneous nocardiosis due to Nocardia vinacea: first case in an
immunocompetent patient.
PMID- 21883391
TI - Resolving actinic keratoses: an expected side-effect of capecitabine therapy.
PMID- 21883392
TI - Digging for gold in a dermatology clinic.
PMID- 21883393
TI - The nuclear factor kappa B p50 subunit and cortactin as markers to distinguish
between keratoacanthoma and well-differentiated squamous cell carcinoma.
AB - BACKGROUND: Distinguishing keratoacanthoma (KA) from well-differentiated squamous
cell carcinoma (SCC) is sometimes difficult. Recent evidence indicates that the
nuclear factor kappa B p50 subunit (p50) and cortactin might be useful to
distinguish between these two conditions. AIM: To verify whether p50 and
cortactin are useful differentiation markers to distinguish between subungual KA
and well-differentiated SCC. METHODS: Immunohistochemistry using p50, cortactin
and Ki-67 was performed on 20 patients with KA and 20 patients with facial well
differentiated SC. Ki-67 staining was also evaluated and scored. RESULTS: Both
p50 and cortactin had higher levels of expression in KA than in SCC. Both were
localized to the basal-cell layer of KA, whereas they were scattered without
polarity throughout the SCC lesions. Although the Ki-67 index was not
significantly different between KA and SCC, the staining pattern also showed loss
of polarity in SCC. CONCLUSION: p50 and cortactin might be useful makers to
distinguish between KA and well-differentiated SCC.
PMID- 21883394
TI - Hair shedding in women.
PMID- 21883395
TI - Ultraviolet B radiation to the eye induces pigmentation in the epidermis via the
activation of the subunit gp91 phox of reduced nicotinamide adenine dinucleotide
phosphate oxidase.
AB - Irradiation by ultraviolet (UV)B is known to increase the number of dopamine
(Dopa)-positive melanocytes in the skin. In this study, a 2.5-kJ/m(2) dose of
UVB radiation was delivered by a sunlamp to the ear or the eye of wild-type
C57BL/6j mice and of gp91 phox(-/-) C57BL/6j mice that had a knockout mutation
of the gp91 phox subunit of reduced nicotinamide adenine dinucleotide phosphate
oxidase (NADPH). The degree of change in the Dopa-positive melanocyte expression
in was reduced in gp91 phox(-/-) mice given UVB irradiation to the eye, but not
in those given irradiation to the ear. The plasma level of alpha-melanocyte
stimulating hormone (alpha-MSH) in the blood increased in the C57BL/6j mice after
irradiation to either the eye or the ear, but it did not increase in the gp91
phox(-/-) mice given UVB irradiation to the eye. Both gp91 phox and alpha-MSH in
the central nervous system seem to contribute to pigmentation after UVB
irradiation of the eye in mice.
PMID- 21883396
TI - Intratumoral injection of OK-432 suppresses metastatic squamous cell carcinoma
lesion inducing interferon-gamma and tumour necrosis factor-alpha.
PMID- 21883397
TI - Antioxidant status in patients with lichen planus.
AB - BACKGROUND: Lichen planus (LP) is an autoimmune inflammatory disease of the
mucocutaneous tissue, whose exact pathological course is not yet understood. Many
studies have implicated the role of reactive oxygen species (ROS) and the
protective role of antioxidants in several autoimmune skin disorders. In this
study, serum levels of antioxidants in patients with LP were determined and
compared with those of healthy controls. METHODS: In total, 30 patients with LP
(mean +/- SD age 41.63 +/- 13.03), who had never received treatment for their
disease, were enrolled; 30 healthy people (aged 41.17 +/- 13.24) were recruited
as the control group. Serum levels of glutathione peroxidase (GPX), vitamin C,
selenium, bilirubin and uric acid were determined. RESULTS: The mean plasma level
of vitamin C was significantly lower (P < 0.001) in patients compared with
controls. A significant positive correlation was found between selenium and GPX
in both patients (Spearman rho = 0.99, P < 0.001) and controls (rho = 0.10, P <
0.001). CONCLUSIONS: Lower serum levels of vitamin C in patients with LP
indicates that free radicals and the resulting oxidative damage may be important
in the pathogenesis of LP lesions.
PMID- 21883398
TI - Analysis of ATP2C1 gene mutations in Chinese patients with Hailey-Hailey disease.
PMID- 21883399
TI - Analysis of the differentially expressed genes in Microsporum canis in inducing
smooth skin and scalp tissue conditions.
AB - Microsporum canis is a common zoophilic dermatophyte, which causes a range of
infections. To explore the pathogenic mechanism of tinea capitis, we used the
suppression subtractive hybridization (SSH) technique to investigate the
differences in gene expression between different cultures of Microsporum canis
incubated on three different types of mineral media containing child glabrous
skin, child scalp tissue and adult scalp tissue. Using dot-blot hybridization and
real-time PCR technique, we successfully screened and identified a pair of genes
that had expression levels 44.6 and 117 times higher in culture 1 (M. canis
cultured in mineral medium with child scalp tissue) than in culture 2 (M. canis
cultured in mineral medium with glabrous skin tissue), and another pair of genes
with expression levels 78.2 and 9.8 times higher in culture 1 than in culture 3
(M. canis cultured in mineral medium with adult scalp tissue). These four genes
were found to have 41%, 53%, 40% and 94% homology to those encoding a
hypothetical protein [family of serine hydrolases 1; (FSH1)], PQ loop repeat
protein (PQ-LRP), a predicted protein [porphyrin galactose 4; (P-GAL4)] and NADH
dehydrogenase subunit (NADH)1, respectively. The upregulation of the FSH1, PQ
LRP, P-GAL4 and NADH1 genes in cultures of child scalp tissue indicates that they
are essential in the pathogenesis of tinea capitis caused by M. canis.
PMID- 21883400
TI - Circulating miR-142-3p levels in patients with systemic sclerosis.
AB - BACKGROUND: Recently, increased evidence has shown that serum micro (mi)RNA
levels are a useful biomarker for the diagnosis, prognosis and therapeutic value
of various diseases. However, serum miRNA has not been investigated in patients
with systemic sclerosis (SSc), to our knowledge. AIM: To investigate the
possibility that serum levels of Homo sapiens miR-142 stem-loop (hsa-miR-142-3p),
one of the miRNAs regulating the expression of integrin alphaV, could be a
specific disease marker for SSc. METHODS: Serum samples were obtained from 61
patients with SSc and 20 healthy controls. Patients with systemic lupus
erythematosus (SLE), dermatomyositis (DM) and scleroderma spectrum disorder
(SSD), who did not fulfil American College of Rheumatology criteria for SSc but
might develop SSc in the future, were included as disease controls in this study.
miRNAs were purified from serum, and miR-142-3p levels were measured with a
quantitative real-time PCR assay. RESULTS: Serum miR-142-3p levels in patients
with SSc were significantly higher than in patients with SSD, SLE or DM, and
healthy control groups. Patients with increased miR-142-3p levels tended to have
a short sublingual frenulum. CONCLUSIONS: Our data indicate that serum levels of
miR-142-3p may be elevated specifically in patients with SSc, correlating with
the severity of this disease, and may be useful diagnostic markers for the
presence of SSc and for the differentiation of SSc from SSD.
PMID- 21883401
TI - Granulysin-producing cytotoxic T cells in the mucocutaneous lesions of Behcet
disease: a distinct inflammatory response from erythema nodosum.
AB - BACKGROUND: Cytotoxic T lymphocytes (CTLs) have been recognized as an important
effector cell in Behcet disease (BD). Granulysin is a cytolytic granule protein
expressed by CTLs and natural killer cells. AIM: To evaluate the involvement of
granulysin-producing T cells in the pathogenesis of BD. METHODS: Using
immunohistochemistry, lymphocyte subsets expressing granulysin were investigated
in mucocutaneous lesions of BD. Serum granulysin levels were assayed by ELISA.
RESULTS: Granulysin-positive cells were seen in specimens from oral ulcers,
genital ulcers and acne-like eruptions, but not erythema nodosum-like lesions.
Both CD4+ and CD8+ T cells expressed granulysin. Serum granulysin levels did not
correlate with disease activity in BD. CONCLUSION: Immune reactions mediated by
granulysin-positive CTLs may play an important role in the pathogenesis of acne
like eruptions, oral ulcers and genital ulcers in BD.
PMID- 21883402
TI - Epidermotropic CD8+ cytotoxic T-cell lymphoma exhibiting a transition from the
indolent to the aggressive phase, accompanied by emergence of CD7+ cells and
formation of neutrophilic pustules.
AB - A 47-year-old-man presented with rashes on his trunk and limbs, and a diagnosis
of parapsoriasis was made. Ten years later, the rashes had progressed gradually
to form plaques and tumours. Gene rearrangement studies revealed monoclonality of
the T-cell receptor beta-chain (TCR-Jbeta)1 gene, and results of flow cytometry
and immunohistochemical examination confirmed a diagnosis of epidermotropic CD8+
cytotoxic T-cell lymphoma. The clinical course of the disease remained indolent
for some time, but about 2 years later, neutrophilic pustules formed on the
surface of the skin lesions, and tumours developed in the patient's testes. Using
flow cytometry, emergence of CD7+ cells was found. The patient died the following
year of respiratory failure due to brain herniation. On postmortem examination,
CD8+ tumour cells were found in the brain. This case demonstrates an unusually
protracted indolent phase in a patient with cutaneous aggressive epidermotropic
CD8+ cytotoxic T-cell lymphoma; its transition into the aggressive phase was
accompanied by emergence of CD7+ cells and formation of neutrophilic pustules.
PMID- 21883403
TI - Academic dismissal policy for medical students: effect on study progress and help
seeking behaviour.
AB - CONTEXT: Medical students often fail to finish medical school within the
designated time. An academic dismissal (AD) policy aims to enforce satisfactory
progress and to enable early identification and timely support or referral of
struggling students. In this study, we assessed whether the implementation of an
AD policy improved study progress in the first 2 years of medical school.
Additionally, we analysed its effect on the help-seeking behaviour of struggling
students. METHODS: We compared two AD cohorts (entering in 2005 and 2006,
respectively) and two non-AD cohorts (entering in 2003 and 2004, respectively) on
dropout rates, Year 1 curriculum completion rates and the percentage of students
with an optimal study rate (i.e. all modules completed) at 1 and 2 years after
enrolment. We also measured the effect on study progress of attending the support
meetings offered. RESULTS: The AD (n = 809) and non-AD cohorts (n = 809) did not
differ significantly in dropout rate at 5 months, in Year 1 completion rate at 2
years and in the percentage of optimally performing students at 1 year after
enrolment. At 2 years after enrolment, more students from the AD cohorts had left
and more non-AD students demonstrated optimal performance, but effect sizes (ESs)
for these differences were small. Voluntary support at 4 months was attended by
AD students more often than by non-AD students (68.9% versus 39.8%; chi(2) ((1))
= 43.95, p < 0.001, ES = 0.29). The AD students who attended the support meetings
completed the Year 1 curriculum more often than those who did not (73.4% versus
52.5%; chi(2) ((1)) = 10.92, p < 0.001, ES = 0.20). Attending the obligatory
support meeting at 7 months had a similar effect (70.5% versus 33.3%; chi(2)
((1)) = 13.60, p < 0.001, ES = 0.23). CONCLUSIONS: The presence of an AD policy
did not lead to earlier dropout, higher completion rates or an improved study
rate during the first 2 years at medical school. However, uptake of the support
offered increased to almost 70%. Although support participants finished the Year
1 curriculum more often than non-participants, the current support system was not
sufficient to improve overall study progress.
PMID- 21883404
TI - Medical students' experiences of shame in professional enculturation.
AB - CONTEXT: Despite the intentions of caregivers not to harm, medical encounters may
involve intimidation and induce emotions of shame. Reflection is a critical part
of professional learning and training. However, the role of shame in medical
education has scarcely been studied. The aim of this study was to explore medical
students' reflections on shame-related experiences in clinical situations and to
examine how they tackled these experiences. METHODS: A 24-credit course in
Professional Development is held at the Medical School of Umea University,
Sweden. A 1-day seminar on the theme of shame, which involves individual
reflections and group discussions, is held in term 9. Medical students were
invited to individually consider and write down their memories of situations in
which they had experienced shame in clinical encounters. Of a total of 133
students, 75 were willing to share their written reflections anonymously. Their
essays were transcribed to computer text and analysed by means of qualitative
content analysis. RESULTS: Three themes emerged. These included: Difficulties in
disclosing shame; Shame-inducing circumstances, and Avoiding or addressing shame.
Initially, students experienced problems in recalling shameful incidents, but
successively described various situations which related to being taken by
surprise, being exposed, and being associated with staff imprudence. Students
disclosed shame avoidance behaviours, but also gave examples of how addressing
shame provided them with new insights and restored their dignity. CONCLUSIONS:
Students' reflections on shameful experiences elucidated the importance of
attitudes, manners, standards and hierarchies in clinical situations. These are
important issues to highlight in the professional enculturation of medical
students; our emphasising of them may encourage medical teachers elsewhere to
organise similar activities. Opportunities for mentoring medical students in
tackling shame and adverse feelings, and in resolving conflict, are needed in
medical curricula.
PMID- 21883405
TI - Selected medical students achieve better than lottery-admitted students during
clerkships.
AB - OBJECTIVES: A recent controlled study by our group showed that the dropout rate
in the first 2 years of study of medical students selected for entry by the
assessment of a combination of non-cognitive and cognitive abilities was 2.6
times lower than that of a control group of students admitted by lottery. The aim
of the present study was to compare the performance of these two groups in the
clinical phase. METHODS: A prospective cohort study was performed to compare the
performance of 389 medical students admitted by selection with that of 938
students admitted by weighted lottery between 2001 and 2004. Follow-up of these
cohorts lasted 5.5-8.5 years. The main outcome measures were the mean grade
obtained on the first five discipline-specific clerkships by all cohorts and the
mean grade achieved on all 10 clerkships by the cohorts of 2001 and 2002.
RESULTS: Selected students obtained a significantly higher mean grade during
their first five clerkships than lottery-admitted students (mean +/- standard
error [SE] 7.95 +/- 0.03, 95% confidence interval [CI] 7.90-8.00 versus mean +/-
SE 7.84 +/- 0.02, 95% CI 7.81-7.87; p < 0.001). This difference reflected the
fact that selected students achieved a grade of >= 8.0 1.5 times more often than
lottery-admitted students. An analysis of all mean grades awarded on 10
clerkships revealed the same results. Moreover, the longer follow-up period over
the clerkships showed that the relative risk for dropout was twice as low in the
selected student group as in the lottery-admitted student group. CONCLUSIONS: The
selected group received significantly higher mean grades on their first five
clerkships, which could not be attributed to factors other than the selection
procedure. Although the risk for dropout before the clinical phase increased
somewhat in both groups, the actual dropout rate proved to be twice as low in the
selected group.
PMID- 21883406
TI - A triadic interplay between academics, practitioners and students in the nursing
theory and practice dialectic.
AB - AIM: This article is a report of a descriptive study of the effectiveness of
classroom teaching by clinical nurse specialists on students' transfer of theory
into practice. BACKGROUND: Ongoing concern about a theory-practice merger in
nursing has led to collaborative initiatives between academics and practitioners
globally. There are different forms of collaborative efforts, but information on
their evaluation is scarce and inconclusive. Integration of theory and practice
is important for an outcome-based approach, which emphasizes students' clinical
competence as the measure of success. The limited nursing discussion on theory
and practice collaboration in education was our impetus for the study. METHODS:
Between 2007 and 2008, focus group interviews were held, first with 75 and then
with 35 from the same group of first-year students, regarding their learning
experience from the lectures of the two clinical nurse specialists in diabetes
and colostomy care, respectively, prior to and after their clinical placements.
Six of their clinical instructors and the two clinical nurse specialists were
also interviewed. The audiotaped interviews were transcribed verbatim and
analysed using content analysis. FINDINGS: Three themes were identified: impact
of students' vicarious learning from clinical nurse specialists' stories of
experience; improving the collaboration between clinical nurse specialists and
subject lecturers for junior students' learning experience; continuity in the
clinical integration of theory-practice as dialectic through an interplay between
academics, practitioners and students. CONCLUSION: The theory and practice
issue is best addressed as a triadic paradigm in a community of practice with the
collaboration among academics, practitioners and students.
PMID- 21883407
TI - A comparison of patient and family caregiver prospective control over lung
cancer.
AB - AIM: This paper is a report of our secondary analysis of patient and family
caregiver prospective control in lung cancer. BACKGROUND: Control beliefs
underlie self-care in sickness and health. Self-care often involves 'shared'
activities between the afflicted individual and caregiving family and friends.
However, depending on how control is perceived, conflicts can occur in decision
making thus jeopardizing optimal self-care. We need to comprehend how control
beliefs compare between patients and caregivers and how their control beliefs are
linked with dealing with serious illness. METHODS: Based on questionnaire data
collected in our larger study between September 2005 and February 2009, we
conducted exploratory comparative analyses of 304 patients' and caregivers'
control beliefs in managing lung cancer. Eight 5-point response items captured
prospective control. Exploratory factor analysis with promax rotation was
conducted to compare dyadic perceptions on the dimensionality of prospective
control. We also conducted exploratory correlations between control beliefs and
smoking cessation, attributional reactions, caregiver helping and symptom
reports. RESULTS: Principal component analysis identified the same factors for
patients and caregivers: factor 1, Fate control and factor 2, Team control.
Patient and caregiver 'Fate' and 'Team' control sub-scales were respectively
associated with hope, caregiver helping and patient smoking cessation.
CONCLUSION: Clinicians need to support, adapt or develop a philosophy of cancer
care that is inclusive of partnerships, drawing on beliefs of patients and
caregivers that controlling lung cancer is a team effort which in turn is
tentatively linked to patient smoking cessation, positive emotions and caregiver
helping.
PMID- 21883408
TI - The relationship between in-hospital mortality, readmission into the intensive
care nursing unit and/or operating theatre and nurse staffing levels.
AB - AIM: The aim of this article was to assess the relationship between (1) in
hospital mortality and/or (2) unplanned readmission to intensive care units or
operating theatre and nurse staffing variables. BACKGROUND: Adverse events are
used as surrogates for patient safety in nurse staffing and patient safety
research. A single adverse event cannot adequately capture the multi-dimensional
attributes of patient safety; hence, there is a need to consider composite
measures. Unplanned readmission into the postoperative Intensive Care nursing
unit and/or operating Theatre and in-hospital mortality can be viewed as measures
that incorporate the effects of several adverse events. METHODS: We conducted a
Bayesian multilevel analysis on a subset of the 2003 Belgian Hospital Discharge
and Nursing Minimum Data sets. The sample included 9054 patients who underwent
coronary artery bypass surgery or heart valve procedures from 28 Belgian acute
hospitals. Two proxies of patient safety were considered, namely postoperative in
hospital mortality in the first postoperative intensive care unit and unplanned
readmission into the intensive care and/or operating theatre (including mortality
beyond the first postoperative intensive care unit) after the first-operative
intensive care nursing unit. RESULTS: There is an association between in
hospital mortality and/or unplanned readmissions and nurse staffing levels, but
the relationship is moderated by volume and severity of illness respectively. In
addition, the relationship differs between the two endpoints. CONCLUSION:
Higher nurse staffing levels on postoperative general nursing cardiac surgery
units protected patients from unplanned readmission to intensive care units or
operating theatre and in-hospital mortality.
PMID- 21883410
TI - History of military service and the risk of suicidal ideation: findings from the
2008 national survey on drug use and health.
AB - Studies of completed suicide by history of military service have produced
inconsistent findings; no representative population-based study has compared the
risk of nonfatal suicidal behavior among veterans with risk among nonveterans.
The objective of this study was to examine whether male veterans of the U.S.
military are at heightened risk of suicidal ideation, compared with males who
never served in the U.S. military. A total of 17,641 adult men completed the 2008
National Survey on Drug Use and Health (NSDUH). Subjects provided information
about history of ever having served in the U.S. armed forces, past suicidal
ideation, alcohol and drug abuse and dependence, measures of psychological
distress, and sociodemographic data. Overall, men who had ever served in the
armed forces were no more likely than men who had never served to report having
seriously considered suicide over the prior 12 months. Military status was not
differentially associated with other known suicide risk factors assessed by
NSDUH, including psychiatric disorders. Our findings suggest that evidence-based
suicide prevention strategies applicable to the general population should be
employed to reduce suicide risk among the veteran population as well.
PMID- 21883409
TI - Alcohol-related problems and risk of suicide among college students: the
mediating roles of belongingness and burdensomeness.
AB - The relationship among alcohol-related problems, perceived burdensomeness,
thwarted belongingness, and suicide proneness in undergraduate college students
(N=996) was examined. As hypothesized, alcohol-related problems, perceived
burdensomeness, and thwarted belongingness were all significantly and positively
correlated with suicide proneness. The relation between experiencing alcohol
related problems and suicide proneness was, in part, accounted for by perceived
burdensomeness and thwarted belongingness. Additionally, the mediation via
perceived burdensomeness was significantly stronger than the mediation via
thwarted belongingness. Results suggest that it would be advisable for clinicians
to be aware of students' experiences with alcohol-related problems in conjunction
with their levels of burdensomeness and belongingness when assessing for suicide
risk.
PMID- 21883411
TI - Elevated suicide rates at high altitude: sociodemographic and health issues may
be to blame.
AB - Suicide rates are higher at high altitudes; some hypothesize that hypoxia is the
cause. We examined 8,871 suicides recorded in 2006 in 15 states by the National
Violent Death Reporting System, with the victim's home county altitude determined
from the National Elevation Dataset through FIPS code matching. We grouped cases
by altitude (low<1000m; middle=1000-1999m; high>=2000m). Of reported suicides, 5%
were at high and 83% at low altitude, but unadjusted suicide rates per 100,000
population were higher at high (17.7) than at low (5.7) altitude. High and low
altitude victims differed with respect to race, ethnicity, rural residence,
intoxication, depressed mood preceding the suicide, firearm use and recent
financial, job, legal, or interpersonal problems. Even after multivariate
adjustment, there were significant differences in personal, mental health, and
suicide characteristics among altitude groups. Compared to low altitude victims,
high altitude victims had higher odds of having family or friends report of a
depressed mood preceding the suicide (OR 1.78; 95%CI:1.46-2.17) and having a
crisis within 2weeks before death (OR 2.00; 95%CI:1.63-1.46). Suicide victims at
high and low altitudes differ significantly by multiple demographic, psychiatric,
and suicide characteristics; these factors, rather than hypoxia or altitude
itself, may explain increased suicide rates at high altitude.
PMID- 21883412
TI - Analysis of dermatomyositis-specific autoantibodies and clinical characteristics
in Japanese patients.
AB - Dermatomyositis (DM) is an idiopathic systemic inflammatory disease that is often
accompanied by interstitial lung disease (ILD) or internal malignancy. New
autoantibodies, anti-clinically amyopathic dermatomyositis 140 (anti-CADM-140)
antibody (Ab) and anti-155/140 Ab, as well as anti-aminoacyl-tRNA synthetase
(anti-ARS) Ab and anti-Mi-2 Ab, have been discovered and their utility indicated.
However, the association between these autoantibodies and the clinical
characteristics of DM is not fully understood, and it is unclear whether anti
155/140 Ab is "specific" to DM patients with internal malignancy. Therefore, we
analyzed 55 DM patients and 18 non-DM patients with malignancy to evaluate the
clinical characteristics, especially skin manifestations, in association with DM
specific autoantibodies detected by immunoprecipitation. Six patients (11%) had
anti-CADM-140 Ab, nine (16%) had anti-155/140 Ab, eight (15%) had anti-ARS Ab and
six (11%) had anti-Mi-2 Ab. The frequency of DM patients positive for any type of
autoantibody was 53%. Among the 20 DM patients with ILD, three (15%) had both
anti-CADM-140 Ab and rapidly progressive ILD, and required intensive therapy (P <
0.05). ILD found in anti-ARS Ab-positive patients did not progress rapidly. The
prevalence of muscle involvement in patients with anti-CADM-140 Ab was 83%. Among
the 18 DM patients with internal malignancy, four (22%) had anti-155/140 Ab, and
internal malignancy was found in four cases (44%) of nine anti-155/140 Ab
positive patients. None of the non-DM patients with malignancy were positive for
anti-155/140 Ab. In conclusion, the results of the present study indicate that
anti-155/140 Ab is specific to DM patients with internal malignancy and that we
may be able to predict prognosis of ILD and the presence of malignancy to some
extent, suggesting that examination of autoantibodies in DM patients is
clinically very useful. However, further investigation is needed because several
findings differ from those of previous reports.
PMID- 21883413
TI - Inhibition of motility in isolated horse small intestine is mediated by kappa but
not u opioid receptors.
AB - The effects of preferential u (morphine), selective u (fentanyl), selective kappa
(compound U69593) opioid receptor agonists, and nonselective (naloxone) and
selective u (naloxonazine) antagonists on equine small intestinal motility were
evaluated in vitro. Samples of circular muscle from equine jejunum were placed in
isolated organ baths and drug-induced modifications of both spontaneous and
electrically evoked contractile activity were measured. None of the opioid
agonists induced a significant change in spontaneous contractions. Fentanyl and
U69593 reduced electrically induced contractions, whereas morphine reduced them
only slightly. Naloxone competitively antagonised U69593, but both naloxone and
naloxonazine were unable to counteract the inhibition of contractions induced by
fentanyl. The inhibition of contractions shown by fentanyl is therefore probably
not mediated by opioid receptors, but due to an anticholinergic activity of this
drug. In summary, these data showed an inhibitory effect exerted by kappa
receptors on equine small intestinal motility, whereas the role of u receptors
seemed marginal and would need further characterisation.
PMID- 21883414
TI - Catastrophic scapular fractures in Californian racehorses: pathology, morphometry
and bone density.
AB - REASONS FOR PERFORMING STUDY: To enhance understanding of the nature and
pathogenesis of scapular fractures in racehorses. HYPOTHESIS: Scapular fractures
in racehorses have a consistent configuration related to sites of pre-existing
stress modelling and remodelling. METHODS: Fractured and intact scapulae
collected post mortem were examined visually and with computed tomography (CT).
Scapular fracture configuration, bone modelling changes and standardised CT
morphometry and density measurements were recorded. Statistical comparisons were
made between fractured, nonfractured contralateral and control scapulae. RESULTS:
Thirty-nine scapulae from 10 Thoroughbred (TB) and 10 Quarter Horse (QH)
racehorses were obtained. All 14 fractured scapulae (from 12 horses) had a
consistent comminuted fracture configuration. A complete fracture coursed
transversely through the neck of the scapula at the level of the distal aspect of
the spine (8.9 +/- 0.9 cm proximal to the lateral articular margin of the glenoid
cavity). The distal fragment of 13 fractured scapulae was split into 2 major
fragments by a fracture in the frontal plane that entered the glenoid cavity (2.8
+/- 0.4 cm caudal to the cranial articular margin). Focal areas of periosteal
proliferation and/or radiolucency were present in the distal aspect of the
scapular spine of all fractured and intact contralateral scapulae, but less
commonly (P<0.01) in intact scapula from horses without a scapular fracture.
Fractured scapulae had 7-10% lower mean density and 46-104% greater density
heterogeneity in the spine adjacent to the transverse fracture compared to
control scapulae (P<0.03). CONCLUSIONS AND CLINICAL RELEVANCE: Thoroughbred and
QH racehorses have a characteristic scapular fracture configuration that is
associated with pre-existing pathology of the distal aspect of the spine. This
location is consistent with scapular stress fractures diagnosed in lame TB
racehorses. Catastrophic fracture is the acute manifestation of a more chronic
process. Consequently, there are opportunities for early detection and prevention
of fatalities.
PMID- 21883415
TI - The in vitro effects of antibiotics on cell viability and gene expression of
equine bone marrow-derived mesenchymal stromal cells.
AB - OBJECTIVES: To investigate the effects of commonly used antibiotics on cell
viability and gene expression of equine bone marrow-derived mesenchymal stromal
cells (MSC) in vitro. MATERIALS AND METHODS: Bone marrow-derived MSC were
cultured in media containing gentamicin, amikacin, penicillin, enrofloxacin or
ceftiofur at concentrations of 50, 100, 200 and 500 ug/ml. The alamarBlue
fluorescence assay was used to assess cell viability over 48 h. After 5 days the
cells were released and lysed prior to RNA extraction and reverse transcription.
RNA levels were assessed using spectrophotometry and quantitative PCR was used to
analyse gene expression of COL1A2, COL5A1, TNC, TNFalpha, CASP3, BCl2 and
TGFbetaR2 relative to the reference gene GAPDH. RESULTS: Enrofloxacin produced a
significant concentration-dependent reduction in cell viability at 200 ug/ml and
higher concentrations (P = 0.009). Amikacin significantly reduced cell viability
at 500 ug/ml (P = 0.002). Penicillin had no effect on cell viability at the
concentrations tested (P = 0.18). Gentamicin and ceftiofur showed some
interaction with the assay but had no overall effect on cell viability. At 500
ug/ml gentamicin (P<0.001), amikacin (P = 0.03), enrofloxacin (P<0.001) and
ceftiofur (P<0.001) caused significant reductions in RNA levels. At 50 ug/ml
gentamicin (P<0.001) and amikacin (P = 0.019) reduced BCl2 expression.
Enrofloxacin produced a significant increase in COL1A2 expression (P<0.001).
CONCLUSIONS: Enrofloxacin reduced MSC viability in vitro and may require cautious
use in clinical situations. Penicillin has minimal detrimental effects on MSC in
vitro and its use in conjunction with MSC at implantation appears safe. Further
work is needed to fully investigate the effects of gentamicin, amikacin and
ceftiofur. POTENTIAL RELEVANCE: Clinicians using local antibiotic administration
should consider the potential for local toxicity as well as the need for
effective concentrations of the antibiotic.
PMID- 21883416
TI - Management of hindlimb proximal suspensory desmopathy by neurectomy of the deep
branch of the lateral plantar nerve and plantar fasciotomy: 155 horses (2003
2008).
AB - REASONS FOR PERFORMING STUDY: Neurectomy of the deep branch of the lateral
plantar nerve and plantar fasciotomy have become accepted as methods of treatment
of proximal suspensory desmopathy (PSD), but there are limited long-term studies
documenting the outcome. OBJECTIVES: To describe long-term follow-up in horses
with PSD alone or with other injuries contributing to lameness and poor
performance, including complications, following neurectomy and fasciotomy.
METHODS: Follow-up information was acquired for 155 horses that had undergone
neurectomy and fasciotomy for treatment of PSD between 2003 and 2008. Success was
classified as a horse having been in full work for >1 year post operatively.
Horses were divided into 3 groups on the basis of the results of clinical
assessment and diagnostic analgesia. Horses in Group 1 had primary PSD and no
other musculoskeletal problem. Horses in Group 2 had primary PSD in association
with straight hock conformation and/or hyperextension of the metatarsophalangeal
joint. Horses in Group 3 had PSD and other problems contributing to lameness or
poor performance. RESULTS: In Group 1, 70 of 90 horses (77.8%) had a successful
outcome, whereas in Group 3, 23 of 52 horses (44.2%) returned to full function
for >1 year. Complications included iatrogenic damage to the plantar aspect of
the suspensory ligament, seroma formation, residual curb-like swellings and the
development of white hairs. All horses in Group 2 remained lame. CONCLUSIONS AND
CLINICAL RELEVANCE: There is a role for neurectomy of the deep branch of the
lateral plantar nerve and plantar fasciotomy for long-term management of hindlimb
PSD, but a prerequisite for successful management requires recognition of risk
factors for poor outcome including conformation features of straight hock or
fetlock hyperextension.
PMID- 21883417
TI - An evaluation of the Abaxis VSPro for the measurement of equine plasma fibrinogen
concentrations.
AB - REASONS FOR PERFORMING STUDY: Accurate measurement of plasma fibrinogen
concentrations is an important tool for assessment of horses with inflammatory
diseases. OBJECTIVES: To determine the precision and accuracy of a benchtop
instrument using both fresh and frozen equine plasma by comparing the plasma
fibrinogen concentration measured by a benchtop instrument to 2 separate
laboratory standard methods (ACL 100 and STA Compact) for fibrinogen measurement.
METHODS: Accuracy and precision of the VSPro was evaluated using both human
fibrinogen standards and samples from horses. Fifty frozen samples from horses
with gastrointestinal disease had the fibrinogen concentration measured using the
ACL 1000 and the VSPro. Fifty fresh samples were collected from hospitalised
horses and fibrinogen concentration was measured using the STA Compact
coagulation machine and the VSPro. Correlations for measurements were performed,
as well as Bland-Altman analysis. RESULTS: Coefficients of variability for the
VSPro ranged from 7% to 15%. The VSPro fibrinogen values were well correlated to
both the ACL 1000 (r = 0.94, P<0.001) and the STA Compact measurements (r =
0.926, P<0.001). Bland-Altman analysis showed a mean bias of -0.83 g/l (95%
confidence interval -2.03-0.324 g/l) for the ACL 1000 and a mean bias of -0.024
g/l (95% confidence interval -1.434-1.386 g/l) for the STA Compact. CONCLUSIONS:
The VSPro appears to have adequate accuracy and precision for clinical
measurement of plasma fibrinogen concentrations. POTENTIAL RELEVANCE: The VSPro
provides a measurement of equine plasma fibrinogen concentration using a benchtop
instrument with a rapid test time that has comparable accuracy to the fibrinogen
concentration obtained from reference laboratories.
PMID- 21883418
TI - A randomised, double-blinded, placebo-controlled study on the efficacy of a
unique extract of green-lipped mussel (Perna canaliculus) in horses with chronic
fetlock lameness attributed to osteoarthritis.
AB - REASONS FOR PERFORMING STUDY: Lyophilised products from green-lipped mussel
(Perna canaliculus[LPPC]) are used to orally treat horses with osteoarthritis
(OA). However, no randomised, controlled or double-blinded studies on the
efficacy of this treatment in horses have been reported to date. OBJECTIVE: To
investigate the effects of a unique LPPC (Biolane)(1) in improving clinical signs
of OA in the fetlock. METHODS: Data were analysed from 26 horses with primary
fetlock lameness in a controlled, randomised and double-blinded, multi-centre
clinical trial. The study design was a partial crossover with a washout period
and consisted of 19 horses treated with LPPC and 20 with a placebo. Horses were
dosed orally with 25 mg/kg bwt/day LPPC or placebo for 56 days. Efficacy was
evaluated by clinical assessment of lameness, passive flexion, pain, swelling and
heat in the affected joint. Relationships between variables were analysed using
an ordinal logistic model with random effects for horse and horse x treatment
according to a modified intention-to-treat analysis. RESULTS: Clinical evaluation
of horses with a fetlock lameness treated with LPPC showed a significant
reduction in severity of lameness (P<0.001), improved response to the joint
flexion test (P<0.001) and reduced joint pain (P = 0.014) when compared with
horses treated with placebo. CONCLUSIONS: The LPPC significantly alleviated the
severity of lameness and joint pain and improved response to joint flexion in
horses with lameness attributable to OA in the fetlock.
PMID- 21883419
TI - A clinico-demographic analysis of maxillofacial trauma in the elderly.
AB - INTRODUCTION: The elderly represent an increasing proportion of society.
Management of maxillofacial trauma in this population may be complicated by
coexisting medical conditions, requiring multi-disciplinary care. METHODS: This
retrospective audit assesses the incidence and pattern of maxillofacial trauma in
elderly patients (>=60 years) presented to the Merseyside Regional Maxillofacial
Unit. Over the time period of 2003, 2004 and 2005, 7905 trauma patients presented
to the accident and emergency department, of whom 757 were elderly (10%).
RESULTS: Results indicated that the male to female ratio was 1:1.4. The commonest
cause of injury was a fall (83%) followed by an assault (6%); the majority of
falls occurring in the home. CONCLUSION: Management of maxillofacial injuries in
this population should focus on targeted prevention programmes, which address
known risk factors for falling. We believe that this is a public health issue.
Members of the maxillofacial team should be aware of common risk factors of falls
in elderly. Better collaboration with the Medicine for Elderly team should be
considered at an early stage on managing these patients.
PMID- 21883420
TI - Knowledge and attitudes of dentists regarding ageing and the elderly.
AB - OBJECTIVE: This study was aimed to identify the knowledge and attitudes of
dentists regarding ageing and the elderly. BACKGROUND: As the population ages,
there is a greater demand for differentiated care from health professionals.
However, the treatment needs of the elderly population are not sufficiently
addressed, particularly in developing countries. MATERIALS AND METHODS: An
official list of all dentists registered in the city of Belo Horizonte, Brazil,
was obtained from the Regional Dentistry Council. A questionnaire was delivered
to 276 randomly selected dentists; the survey contained questions designed to
characterise the sample and identify dentists' conduct towards elderly patients.
A Likert scale was used to identify knowledge regarding care for the elderly and
attitudes towards ageing. RESULTS: Women demonstrated more positive attitudes
towards the elderly. Graduates who worked in the public sector demonstrated a
greater knowledge of geriatric dentistry (p < 0.05). Attending specific
continuing education courses had no influence over the dentists' willingness to
treat the elderly population. Significant associations were not found between
attitudes and knowledge regarding the elderly. CONCLUSION: Dentists who worked in
the public sector demonstrated a greater knowledge regarding the elderly. This
knowledge did not influence attitudes towards ageing. Women demonstrated a more
positive attitude regarding ageing and dental care.
PMID- 21883421
TI - Management of a post-radiotherapy xerostomic patient--a case report.
AB - OBJECTIVE: The objective of the study was to fabricate complete denture with
palatal reservoir filled with artificial saliva for a post radiotherapy
edentulous patient. BACKGROUND: Xerostomia is a subjective complaint rather than
a disease. It is caused by irradiation, medication, Sjogren's syndrome &
neurological factors such as stress. Radiotherapeutic treatment of head and neck
cancer patients often causes long term dysfunction involving their salivary
function, swallowing capabilities & taste. All three of these domains are
affected by radiation- induced damage to the salivary glands. This in turn
results in poor retention of complete denture, frequent trauma to alveolar ridge
& other oral infections. All these events drastically affects quality of life of
ageing patients. MATERIAL AND METHOD: A complete denture in heat cure acrylic
resin was fabricated in which a palatal reservoir was made on the palatal side.
RESULTS: Problems arising due to xerostomia were reduced to a great extent.
CONCLUSION: Prosthodontic management of Xerostomic patient include several
techniques. This paper presents a case report of post radiotherapy edentulous
patient in which complete denture with palatal reservoir filled with artificial
saliva was fabricated.
PMID- 21883422
TI - Impact of tooth loss on the quality of life.
AB - INTRODUCTION: There is scientific evidence that shows health contributes to the
quality of life. These measurements have not been well guided towards the free
response of oral health in people's lives. OBJECTIVE: This study aimed to
investigate the impact of tooth loss on the quality of life of elderly people.
MATERIAL AND METHODS: Qualitative investigation with descriptive features - which
used a random sample out of the total edentulous elderly people, who lived in an
institution in Fortaleza, Brazil - was carried out. From 250 residents screened,
72 completely edentulous elderly, with ages from 60 to 79 years were selected. A
semi-structured questionnaire was used with closed and opened questions in which
the last one was used for the free flow of the interviewee's responses. The
content was analysed and codified according to Bardin. RESULTS: In total, 84.7%
had attended the dentist to have exodontia. Of them, 81.9% reported difficulties
after losing their teeth. Physical dimensions, characterised by the difficulties
in eating and social dimensions, because of interference in communication with
other people were obtained. CONCLUSION: Tooth loss causes disorder in the
individual's quality of life, mainly when it affects their well-being and
appearance.
PMID- 21883423
TI - Association between oral health, cognitive impairment and oral health-related
quality of life.
AB - OBJECTIVE: Investigating oral health-related quality of life's (OH-QoL)
relationship with cognitive state. BACKGROUND: Oral health affects OH-QoL and is
poor in institutionalised and cognitively impaired people. MATERIAL AND METHODS:
This was a cross-sectional study involving 215 institutionalised elderly (82.9
mean age), who were interviewed, examined and cognitively screened using the
Pfeiffer test. RESULTS: Mean GOHAI score was 53.1; only 43.7% of the participants
reported having a good OH-QoL. Needing help with dressing or washing (OR 2.14; p
= 0.004), having one to nine teeth (OR 4.65; p >= 0.001), eight or less occluding
pairs (OR 2.74; p = 0.002), one to three caries (OR 1.85; p = 0.005) and being
cognitive impaired (OR 0.54; p = 0.034) were significantly associated with
altered OH-QoL in bi-variate analysis. Being edentulous (OR 3.18; p = 0.0046),
having 1-9 teeth (OR 2.62; p = 0.056) and presenting mild cognitive impairment
(MCI) (OR 0.32; p = 0.016) appeared as predictive variables in logistic
regression for having an altered OH-QoL. CONCLUSIONS: Participants having MCI had
significantly better GOHAI score than cognitively normal residents. Performing
cognitive screening parallel to applying any OH-QoL instrument would make the
results more reliable and would benefit cognitively impaired people.
PMID- 21883424
TI - The efficacy of a topical gel with triester glycerol oxide in denture-related
mucosal injuries.
AB - OBJECTIVE: The efficacy of a topical gel with triester glycerol oxide for
treating ulcerations related to new complete dentures was studied and compared
with a placebo gel. MATERIALS AND METHODS: Fifty edentulous patients were
enrolled in this double-blind clinical study. All subjects received new maxillary
and mandibular complete dentures and were recalled 1, 3 and 7 days after denture
placement. Twenty-five subjects received topical gel with triester glycerol oxide
(TGO), and 25 subjects received topical gel without TGO. At each visit, pain and
discomfort with the new dentures was assessed, and at the last visit, healing of
ulcerations was also graded by a clinician. Subjects also graded the gel's taste,
smell and ease of use. RESULTS: Specifically, there was no statistically
significant difference in ulcer healing (p > 0.05), ratings of smell, taste and
ease of use (p > 0.05) or pain and discomfort scores (p > 0.05). However, within
group differences were observed in pain and discomfort scores between follow-up
sessions (p = 0.01 for all comparisons). CONCLUSION: Clinically, TGO gel is not
effective in the treatment of mucosal injuries occurring following placement of
complete dentures.
PMID- 21883425
TI - Complete denture wearing and fractures among edentulous patients treated in
university clinics.
AB - OBJECTIVE: The prevalence of wearing and fracture of complete dentures was
evaluated among edentulous patients treated in two dental schools in Brazil.
BACKGROUND: Acceptance and wearing of complete dentures are related to adaptive
behaviour of edentulous patients. However, one reason that could interfere with
the wearing dentures is their potential to fracture, which is still a common
complication in denture rehabilitation practice. MATERIAL AND METHODS: Two
hundred and twenty-four edentulous patients rehabilitated with complete dentures
from 2000 to 2005 in Aracatuba and Araraquara Dental School, University of State
of Sao Paulo, were assessed in 2006 and 2007 to answer a questionnaire about
wearing and fracture of their dentures. Statistical analysis were performed using
Epi Info software and chi-squared test to compare maxillary and mandibular data
(alpha = 0.05). RESULTS: Almost 26% of the patients did not wear their dentures,
and among the remainder, the majority wore the maxillary denture. About 30% of
the dentures were fractured, with higher prevalence in the maxillary arch (p =
0.003). CONCLUSIONS: Discontinuation of wearing dentures was quite high,
especially considering the treatment which was carried out in university clinics.
Prevalence of fractures was also high, greater for the maxillary denture, and was
one of the main reasons for non-wearing of complete dentures.
PMID- 21883426
TI - Analysis of current therapy and clinical outcome in childhood pemphigus vulgaris.
AB - Pemphigus vulgaris (PV) is a rare, potentially fatal chronic autoimmune disease
of the skin and mucous membrane. The objective of this study was to analyze the
clinical outcomes and side effects associated with treatment of childhood PV
(CPV). A retrospective review of the English language literature was conducted
through PUBMED using the words childhood pemphigus vulgaris, and treatment or
clinical outcome. Only patients under 12 years of age were included. Thirty-three
cases were found in 29 reports. Mean age at onset was 8.3 years (range 1.5-12
yrs). Mucosal involvement (97.0%) was more common than cutaneous involvement
(84.8%). Oral mucosa was the most common site of mucosal involvement (93.9%),
followed by genital (20.6%), ocular (11.8%), and nasal mucosa (2.9%). Mean
duration of therapy was 4.5 years (range 0.6-14.5 yrs), and mean duration of
follow-up was 5.2 years (range 0.6-16 yrs). Complete recovery with no further
therapy was achieved in 18.2% and partial recovery with minor relapses while on
maintenance therapy in 78.8%. One patient died due to infection (3.0%). Serious
side effects were present in 60.6%. The most common were cushingoid features
(65.0%), growth retardation (50.0%), and infection (50.0%). Two patients who were
refractory to systemic corticosteroids and immunosuppressive agents (ISA) had a
favorable clinical response to Rituximab. Current therapy for CPV involving the
use of long-term systemic corticosteroids in conjunction with ISA results in
prolonged immunosuppression, causing systemic infections and growth retardation.
Safer and more effective therapies need to be explored.
PMID- 21883428
TI - Miscoding, misclassification and misdiagnosis of diabetes in primary care.
AB - AIMS: To determine the effectiveness of self-audit tools designed to detect
miscoding, misclassification and misdiagnosis of diabetes in primary care.
METHODS: We developed six searches to identify people with diabetes with
potential classification errors. The search results were automatically ranked
from most to least likely to have an underlying problem. Eight practices with a
combined population of 72,000 and diabetes prevalence 2.9% (n = 2340) completed
audit forms to verify whether additional information within the patients' medical
record confirmed or refuted the problems identified. RESULTS: The searches
identified 347 records, mean 42 per practice. Pre-audit 20% (n = 69) had Type 1
diabetes, 70% (n = 241) had Type 2 diabetes, 9% (n = 30) had vague codes that
were hard to classify, 2% (n = 6) were not coded and one person was labelled as
having gestational diabetes. Of records, 39.2% (n = 136) had important errors:
10% (n = 35) had coding errors; 12.1% (42) were misclassified; and 17.0% (59)
misdiagnosed as having diabetes. Thirty-two per cent (n = 22) of people with Type
2 diabetes (n = 69) were misclassified as having Type 1 diabetes; 20% (n = 48) of
people with Type 2 diabetes (n = 241) did not have diabetes; of the 30 patients
with vague diagnostic terms, 50% had Type 2 diabetes, 20% had Type 1 diabetes and
20% did not have diabetes. Examples of misdiagnosis were found in all practices,
misclassification in seven and miscoding in six. CONCLUSIONS: Volunteer practices
successfully used these self-audit tools. Approximately 40% of patients
identified by computer searches (5.8% of people with diabetes) had errors;
misdiagnosis is commonest, misclassification may affect treatment options and
miscoding in omission from disease registers and the potential for reduced
quality of care.
PMID- 21883427
TI - A case of unicommissural unicuspid aortic valve stenosis diagnosed by real time
three-dimensional transesophageal echocardiography.
PMID- 21883429
TI - Impaired fasting glucose, ancestry and waist-to-height ratio: main predictors of
incident diagnosed diabetes in the Canary Islands.
AB - AIMS: To estimate the incidence rate and risk factors for diabetes in the Canary
Islands. METHODS: A total of 5521 adults without diabetes were followed for a
median of 3.5 years. Incident cases of diabetes were self-declared and validated
in medical records. The following factors were assessed by Cox regression to
estimate the hazard ratios for diabetes: impaired fasting glucose (5.6 mmol/l <=
fasting glucose <= 6.9 mmol/l), BMI, waist-to-height ratio (>= 0.55), insulin
resistance (defined as triglycerides/HDL cholesterol >= 3), familial antecedents
of diabetes, Canarian ancestry, smoking, alcohol intake, sedentary lifestyle,
Mediterranean diet, social class and the metabolic syndrome. RESULTS: The
incidence rate was 7.5/10(3) person-years (95% CI 6.4-8.8). The greatest risks
were obtained for impaired fasting glucose (hazard ratio 2.6; 95% CI 1.8-3.8),
Canarian ancestry (hazard ratio 1.9; 95% CI 1.0-3.4), waist-to-height ratio
(hazard ratio 1.7; 95% CI 1.1-2.5), insulin resistance (hazard ratio 1.5; 95% CI
1.0-2.2) and paternal history of diabetes (hazard ratio 1.5; 95% CI 1.0-2.3). The
metabolic syndrome (hazard ratio 1.9; 95% CI 1.3-2.8) and BMI >= 30 kg/m(2)
(hazard ratio 1.7; 95% CI 1.0-2.7) were significant only when their effects were
not adjusted for impaired fasting glucose and waist-to-height ratio,
respectively. CONCLUSIONS: The incidence of diabetes in the Canary Islands is 1.5
fold higher than that in continental Spain and 1.7-fold higher than in the UK.
The main predictors of diabetes were impaired fasting glucose, Canarian ancestry,
waist-to-height ratio and insulin resistance. The metabolic syndrome predicted
diabetes only when its effect was not adjusted for impaired fasting glucose. In
individuals with Canarian ancestry, genetic susceptibility studies may be
advisable. In order to propose preventive strategies, impaired fasting glucose,
waist-to-height ratio and triglyceride/HDL cholesterol should be used to identify
subjects with an increased risk of developing diabetes.
PMID- 21883430
TI - Diabetes medication patient safety incident reports to the National Reporting and
Learning Service: the care home setting.
AB - AIMS: To analyse adverse drug events in older people with diabetes in the care
home setting via incident reports obtained from the National Reporting and
Learning Service. METHODS: A Freedom of Information request was made to the
National Reporting and Learning Service via the National Patient Safety Agency.
Within the National Reporting and Learning Service, reports on diabetes within
the category of 'medication' using the location limiter of 'hospice or nursing
home or residential home' were searched. We requested information about the
number and nature of adverse drug event reports that had been received in
relation to diabetes. The data were subdivided into reports (1) relating to
insulin therapy and (2) oral glucose-lowering agents. RESULTS: Data were
collected between 1 January 2005 and 31 December 2009. There were 684 reports
related to insulin and 84 incidents related to oral glucose-lowering agents. The
most common error category with both types of drug therapy was wrong or unclear
dose: 173 reports for insulin, including one death, and 20 reports for oral
therapy. CONCLUSIONS: Residents with diabetes in care homes are potentially at
risk of harm from adverse drug events pertaining to insulin and oral glucose
lowering agents. Because of under-reporting, our data most likely represent only
a fraction of events.
PMID- 21883431
TI - Prevalence, awareness and treatment of type 2 diabetes mellitus in Switzerland:
the CoLaus study.
AB - AIMS: To assess the prevalence, awareness and treatment levels of Type 2 diabetes
in a Swiss city. METHODS: Population-based cross-sectional study of 6181 subjects
(3246 women) aged 35-75 years living in Lausanne, Switzerland. Type 2 diabetes
was defined as fasting plasma glucose >= 7 mmol/l and/or oral hypoglycaemic
treatment and/or insulin. RESULTS: Total prevalence of Type 2 diabetes was 6.3%
(95% confidence interval: 5.7-7.0%), higher in men (9.1%) than in women (3.8%, P
< 0.001) and increased with age. Two-thirds (65.3%; 60.4-70.0%) of participants
with Type 2 diabetes were aware of their status and among those aware 86.0% (81.5
90.3%) were treated. Treatment was more frequent in men (91.3%) than in women
(75.9%, P < 0.001). Two-thirds of those treated for Type 2 diabetes were on
monotherapy. Biguanides were prescribed in 65.0% of Type 2 diabetes patients and
represented 48% of all antidiabetic drugs. Multivariable analysis showed male
gender, increasing age, waist or BMI to be positively associated with prevalence
of Type 2 diabetes, while leisure-time physical activity and alcohol consumption
were negatively associated. Among participants presenting with Type 2 diabetes,
increasing age was positively associated with awareness of Type 2 diabetes. Among
subjects diagnosed with Type 2 diabetes, male gender and increasing age were
positively associated with treatment. CONCLUSION: Prevalence of Type 2 diabetes
in Switzerland is estimated to be between 5.7% and 7.0%. Two-thirds of patients
with Type 2 diabetes are aware of their status, and over three quarters of those
aware are treated.
PMID- 21883433
TI - Clark et al. Nurse-led interventions used to improve control of high blood
pressure in people with diabetes: a systematic review and meta analysis.
PMID- 21883434
TI - Time trends in absolute and modifiable coronary heart disease risk in patients
with Type 2 diabetes in the Swedish National Diabetes Register (NDR) 2003-2008.
AB - AIMS: The aim was to evaluate treatment goal achievements early in the course of
Type 2 diabetes, and their effect on 10-year risk of coronary heart disease in
patients receiving usual care. METHODS: Assessment of risk factor control 3 years
after diagnosis in patients with Type 2 diabetes with no previous coronary heart
disease included from the Swedish National Diabetes Register; a total of 19,382
patients (mean age 58 years) in cross-sectional surveys from 2003 to 2008, and a
subgroup of 4293 patients followed individually from year of diagnosis to follow
up after a mean 2.6 years. Estimation of absolute 10-year risk of coronary heart
disease using the U.K. Prospective Diabetes Study risk engine, and modifiable 10
year risk defined as percentage excess risk above patients with 'normal' risk
factor values. RESULTS: Treatment goals for HbA1c , blood pressure, total and LDL
cholesterol were achieved in 78.4, 65.5, 55.6% and 61.0%, respectively, in the
cross-sectional survey in 2008, following a trend of generally improved control.
In the individually followed patients in the subgroup, mean absolute 10-year
coronary heart disease risk increased from 13.7% (men/women 16.9/9.5%) to 14.2
(men/women 17.6/9.6%) (P < 0.001) from year of diagnosis to follow-up after 2.6
years, while mean modifiable risk decreased from 37.7% (men/women 28.6/49.9%) to
19.1% (13.2/26.9%) (P < 0.001 in all). CONCLUSIONS: A high achievement of
treatment goals and a low mean modifiable 10-year coronary heart disease risk was
found at the 3-year follow-up, both in the cross-sectional survey in 2008 and in
patients individually followed since diagnosis. This indicates the feasibility
and significance of early multifactorial risk factor treatment.
PMID- 21883435
TI - Patient satisfaction and barriers to initiating real-time continuous glucose
monitoring in early pregnancy in women with diabetes.
AB - AIM: To evaluate self-reported satisfaction and barriers to initiating real-time
continuous glucose monitoring in early pregnancy among women with pregestational
diabetes. METHODS: Fifty-four women with Type 1 diabetes and 14 women with Type 2
diabetes were offered continuous glucose monitoring for 6 days at median 9 (range
6-14) gestational weeks and were asked to answer a semi-structured questionnaire
on patient satisfaction. RESULTS: Median HbA1c was 49 (range 34-86) mmol/mol)
[6.6 (5.3-10.0) %] and duration of diabetes was 12 (0.5-37) years. Continuous
glucose monitoring was used for 6 (0.5-7) days, with 43 (65%) women using
continuous glucose monitoring for at least 5 days. The women experienced 2.7 (0
12) alarms per 24 h, of which approximately one third was technical alarms and
one third disturbed their sleep. Sixteen women (24%) reported discomfort with
continuous glucose monitoring during daytime and twelve (18%) during sleep. Many
women reported improved diabetes understanding (52%) and would recommend
continuous glucose monitoring to others (83%). Twenty-four patients (36%) had
continuous glucose monitoring removed earlier than planned ( before the intended
6 days of initial monitoring). Ten women (15%) did not wish to use continuous
glucose monitoring again in pregnancy. Main causes behind early removal of
continuous glucose monitoring were self-reported skin irritation, technical
problems and continuous glucose monitoring inaccuracy. No differences were found
in continuous glucose monitoring use, inconvenience or compliance with respect to
diabetes type. CONCLUSIONS: The majority of pregnant women with diabetes found
real-time continuous glucose monitoring useful and the intervention was equally
tolerated regardless of diabetes type. Nevertheless, continuous glucose
monitoring was frequently removed earlier than planned, primarily because of skin
irritation, technical problems and inaccuracy.
PMID- 21883436
TI - Increased prevalence of chronic kidney disease in patients with Type 1 diabetes
and non-alcoholic fatty liver.
AB - AIMS: We determined whether non-alcoholic fatty liver is associated with an
increased prevalence of chronic kidney disease in Type 1 diabetes. METHODS: We
studied 343 patients with Type 1 diabetes, who had no history of excessive
alcohol consumption or other secondary causes of chronic liver disease. Non
alcoholic fatty liver was diagnosed by ultrasonography. Chronic kidney disease
was defined as presence of either abnormal albuminuria (i.e., urinary
albumin/creatinine ratio >= 30 mg/g) or estimated glomerular filtration rate of
less than 60 ml min(-1) 1.73 m(-2) . RESULTS: Compared with those without
steatosis, patients with non-alcoholic fatty liver (n = 182) had significantly
lower estimated GFR (83.0 +/- 27 vs. 93.3 +/- 29 ml min(-1) 1.73 m(-2) , P <
0.001) and a greater prevalence of abnormal albuminuria (50.0 vs. 20.5%, P <
0.0001) and chronic kidney disease (54.4 vs. 24.2%, P < 0.0001). Multivariable
logistic regression analysis revealed that non-alcoholic fatty liver was
associated with an increased risk of either abnormal albuminuria (adjusted odds
ratio 2.21, 95% CI 1.2-4.1, P = 0.01) or chronic kidney disease (adjusted odds
ratio 1.93, 95% CI 1.1-3.6, P = 0.02), independently of age, gender, smoking
status, physical activity, diabetes duration, HbA(1c) , BMI, systolic blood
pressure, plasma lipids and use of anti-hypertensive and lipid-lowering
medications. CONCLUSIONS: Our findings demonstrate that ultrasound-diagnosed non
alcoholic fatty liver is associated with a higher prevalence of chronic kidney
disease in patients with Type 1 diabetes, independently of several risk factors,
including the components of the metabolic syndrome.
PMID- 21883437
TI - Validation of a single-sample urinary C-peptide creatinine ratio as a
reproducible alternative to serum C-peptide in patients with Type 2 diabetes.
AB - AIMS: Serum C-peptide can be used in Type 2 diabetes as a measure of endogenous
insulin secretion, but practicalities of collection limit its routine clinical
use. Urine C-peptide creatinine ratio is a non-invasive alternative that is
stable for at least 3 days at room temperature in boric acid preservative. We
aimed to assess the utility of urine C-peptide creatinine ratio in individuals
with Type 2 diabetes as an alternative to serum C-peptide. METHODS: We assessed,
in 77 individuals with Type 2 diabetes, the reproducibility of, and correlations
between, fasting and postprandial urine C-peptide creatinine ratio and serum C
peptide, and the impact of renal impairment (estimated glomerular filtration rate
< 60 ml min(-1) 1.73 m(-2)) on these correlations. RESULTS: Urine C-peptide
creatinine ratio was at least as reproducible as serum C-peptide [fasting
coefficient of variation mean (95% CI): 28 (21-35)% vs. 38 (26-59)% and 2-h post
meal 26 (18-33)% vs. 27 (20-34)%. Urine C-peptide creatinine ratio 2 h post-meal
was correlated with stimulated serum C-peptide, both the 2-h value (r = 0.64, P <
0.001) and the 2-h area under the C-peptide curve (r = 0.63, P < 0.001). The
association seen was similar in patients with and without moderate renal
impairment (P = 0.6). CONCLUSIONS: In patients with Type 2 diabetes, a single
urine C-peptide creatinine ratio is a stable, reproducible measure that is well
correlated with serum C-peptide following meal stimulation, even if there is
moderate renal impairment. Urine C-peptide creatinine ratio therefore has
potential for use in clinical practice in the assessment of Type 2 diabetes.
PMID- 21883438
TI - Cost-utility analysis of liraglutide compared with sulphonylurea or sitagliptin,
all as add-on to metformin monotherapy in Type 2 diabetes mellitus.
AB - AIM: To investigate the cost-effectiveness of liraglutide as add-on to metformin
vs. glimepiride or sitagliptin in patients with Type 2 diabetes uncontrolled with
first-line metformin. METHODS: Data were sourced from a clinical trial comparing
liraglutide vs. glimepiride, both in combination with metformin, and a clinical
trial comparing liraglutide vs. sitagliptin, both as add-on to metformin. Only
the subgroup of patients in whom liraglutide was added to metformin monotherapy
was included in the cost-utility analysis. The CORE Diabetes Model was used to
simulate outcomes and costs with liraglutide 1.2 and 1.8 mg vs. glimepiride and
vs. sitagliptin over patients' lifetimes. Treatment effects were taken directly
from the trials. Costs and outcomes were discounted at 3.5% per annum and costs
were accounted from a third-party payer (UK National Health System) perspective.
RESULTS: Treatment with liraglutide 1.2 and 1.8 mg resulted, respectively, in
mean increases in quality-adjusted life expectancy of 0.32 +/- 0.15 and 0.28 +/-
0.14 quality-adjusted life years vs. glimepiride, and 0.19 +/- 0.15 and 0.31 +/-
0.15 quality-adjusted life years vs. sitagliptin, and was associated with higher
costs of L 3003 +/- L 678 and L 4688 +/- L 639 vs. glimepiride, and L 1842 +/- L
751 and L 3224 +/- L 683 vs. sitagliptin, over a patient's lifetime. Both
liraglutide doses were cost-effective, with incremental cost-effectiveness ratios
of L 9449 and L 16,501 per quality-adjusted life year gained vs. glimepiride, and
L 9851 and L 10,465 per quality-adjusted life year gained vs. sitagliptin,
respectively. CONCLUSIONS: Liraglutide, added to metformin monotherapy, is a cost
effective option for the treatment of Type 2 diabetes in a UK setting.
PMID- 21883439
TI - Oral contraception enhances growth hormone responsiveness to hyper- and
hypoglycaemia.
AB - AIMS: Plasma glucose levels influence growth hormone concentrations. Oral
contraceptives are known to affect circulating growth hormone levels and glucose
metabolism. While growth hormone plays an important role in hypoglycaemia counter
regulation, it has been shown that oral contraceptives increase growth hormone
concentrations. In this context, we tested if serum growth hormone concentrations
display a differential response on glycaemic variations in healthy women using
oral contraceptives and those not using contraceptives. METHODS: Fifteen healthy
women with oral contraceptive treatment and 10 without participated in a stepwise
hyper- and hypoglycaemic glucose clamp procedure. Serum growth hormone
concentrations were measured at euglycaemic baseline and subsequently at plasma
glucose plateaus of 8.8, 6.8, 4.8 and 2.8 mmol/l. RESULTS: Growth hormone values
were significantly higher in women using oral contraceptives throughout the
experiments (P = 0.001). Hyperglycaemia decreased growth hormone concentrations
in women using oral contraceptives (P = 0.009), but not in those who were not
using oral contraceptives (P = 0.241). Hypoglycaemia significantly elevated
growth hormone concentrations in women using oral contraceptives (P = 0.009), but
not in those not using oral contraceptives (P = 0.094). Maximum growth hormone
values were reached at the end of the hypoglycaemic plateau, with significantly
higher concentrations in the group using oral contraceptives than in the group
not using oral contraceptives (P = 0.016). CONCLUSION: Healthy women on oral
contraceptive treatment display an increased responsiveness of growth hormone to
hypoglycaemic, as well as hyperglycaemic conditions and generally higher serum
growth hormone concentrations than women without oral contraceptives. Given the
known boosting effects of growth hormone on hypoglycaemic hormonal counter
regulation, oral contraceptives may thus be a pharmacological candidate
contributing to combat hypoglycaemia unawareness in women with diabetes in the
future.
PMID- 21883440
TI - Effect of psychological stress on glucose control in patients with Type 2
diabetes.
AB - AIM: To investigate the effect of acute psychological stress on glucose
concentrations in patients with Type 2 diabetes, in the fasting state as well as
in the postprandial state. METHODS: Thirty patients (12 female) with Type 2
diabetes were included. Mean +/- SD age was 60 +/- 12 years, BMI 28.8 +/- 4.2
kg/m(2), diabetes duration 8.9 +/- 6.7 years and HbA(1c) 51 +/- 9 mmol/mol (6.8
+/- 0.8%). Using a non-randomized approach, all participants were exposed to
moderate psychological stress by means of the Trier Social Stress Test: 10
participants in the fasting state and 20 participants 75 min after intake of a
standard meal. Blood pressure, heart rate and salivary cortisol were monitored on
the control day and the stress-test day. Glucose concentrations were assessed
using a continuous glucose monitoring system. RESULTS: On the stress-test day,
blood pressure rose from 117/73 +/- 13/12 to 155/92 +/- 22/14 mmHg, heart rate
from 77 +/- 11 to 91 +/- 25 b min(-1) and salivary cortisol concentrations from
8.5 +/- 3.7 to 26.4 +/- 12.1 nmol/l (P < 0.001); these measurements remained
unchanged on the control day. On the stress-test day, when the Trier Social
Stress Test was applied 75 min after the intake of a standard meal, the glucose
concentrations were significantly higher compared with the control day (mean
difference 1.5 mmol/l, 95% CI 0.5-2.4, P = 0.003). In the fasting state, glucose
concentrations slightly decreased during the control day but remained stable on
the stress-test day (mean difference compared with the control day 0.7 mmol/l,
95% CI -0.7 to 2.0, P = 0.31). CONCLUSIONS: When stress is experienced in the
postprandial period, acute psychological stress significantly increases glucose
concentrations in patients with Type 2 diabetes.
PMID- 21883441
TI - Assessment of the under-reporting of diabetes in hospital admission data: a study
from the Scottish Diabetes Research Network Epidemiology Group.
AB - AIMS: Good quality data are required to plan and evaluate diabetes services and
to assess progress against targets for reducing hospital admissions and bed days.
The aim of this study was to assess the completeness of recording of diabetes in
hospital admissions using recent national data for Scotland. METHODS: Data
derived from linkage of the Scottish National Diabetes Register and hospital
admissions data were analysed to assess the completeness of coding of diabetes in
hospital inpatient admissions between 2000 and 2007 for patients identified with
diabetes prior to hospital admission. RESULTS: In 2007, only 59% of hospital
inpatient admissions for people previously diagnosed with diabetes mentioned
diabetes, whereas over 99% of people with a mention of diabetes on hospital
records were included in the diabetes register. The completeness of diabetes
recording varied from 44 to 82% among mainland National Health Service Boards and
from 34 to 89% among large general hospitals. Completeness of recording of
diabetes as a co-morbidity also varied by primary diagnosis: 70 and 41% of
admissions with coronary heart disease and cancer as the primary diagnosis
mentioned co-existing diabetes, respectively. CONCLUSIONS: There is wide
variation in the completeness of recording of diabetes in hospital admission
data. Hospital data alone considerably underestimate the number of admissions and
bed days but overestimate length of stay for people with diabetes. Linkage of
diabetes register data to hospital admissions data provides a more accurate
source for measuring hospital admissions among people diagnosed with diabetes
than hospital admissions data.
PMID- 21883442
TI - Stem cell-based treatments for Type 1 diabetes mellitus: bone marrow, embryonic,
hepatic, pancreatic and induced pluripotent stem cells.
AB - Type 1 diabetes mellitus--characterized by the permanent destruction of insulin
secreting beta-cells--is responsive to cell-based treatments that replace lost
beta-cell populations. The current gold standard of pancreas transplantation
provides only temporary independence from exogenous insulin and is fraught with
complications, including increased mortality. Stem cells offer a number of
theoretical advantages over current therapies. Our review will focus on the
development of treatments involving tissue stem cells from bone marrow, liver and
pancreatic cells, as well as the potential use of embryonic and induced
pluripotent stem cells for Type 1 diabetes therapy. While the body of research
involving stem cells is at once promising and inconsistent, bone marrow-derived
mesenchymal stem cell transplantation seems to offer the most compelling evidence
of efficacy. These cells have been demonstrated to increase endogenous insulin
production, while partially mitigating the autoimmune destruction of newly formed
beta-cells. However, recently successful experiments involving induced
pluripotent stem cells could quickly move them into the foreground of therapeutic
research. We address the limitations encountered by present research and look
toward the future of stem cell treatments for Type 1 diabetes.
PMID- 21883443
TI - Re-examining a measure of diabetes-related burden in parents of young people with
Type 1 diabetes: the Problem Areas in Diabetes Survey - Parent Revised version
(PAID-PR).
AB - AIMS: In a pediatric patients, the burden of diabetes lies within the family. In
the current era of intensive insulin therapy, perceived parental burden may
affect the family's efforts at effective diabetes management. The aims of this
study were to re-examine and revise a measure of perceived parental burden
associated with caring for a child with diabetes in the current era. METHODS: A
geographically diverse population of young people (N = 376) with Type 1 diabetes
and their parents included participants in the Juvenile Diabetes Research
Foundation continuous glucose monitoring study and patients from the Joslin
Diabetes Center. Participants provided data on demographics, diabetes management,
diabetes-specific family conflict, and quality of life at baseline and after 6
months of follow-up. RESULTS: Young people were 12.9 +/- 2.7 years old with
diabetes duration of 6.3 +/- 3.5 years. Mean HbA(1C) was 8.0 +/- 1.2%(64
mmol/mol), 58% received insulin pump therapy, and young people monitored blood
glucose 5.2 +/- 2.3 times/day. Factor analysis yielded two factors, 'Immediate
Burden' and 'Theoretical Burden'. The Problem Areas in Diabetes Survey - Parent
Revised version (PAID-PR) demonstrated excellent internal consistency (Cronbach's
alpha = 0.87; factor 1 alpha = 0.78; factor 2 alpha = 0.83). Greater parental
burden was associated with more frequent blood glucose monitoring, higher HbA(1C)
levels, greater diabetes-specific family conflict, and lower quality of life.
Test-retest analysis was acceptable (r = 0.62). CONCLUSIONS: The PAID-PR
demonstrated excellent internal consistency, good test-retest reliability, and
associations with diabetes-specific family conflict and quality of life. This
brief measure may have both clinical and research utility in the management of
young people with Type 1 diabetes.
PMID- 21883444
TI - Melatonin protects against rotenone-induced cell injury via inhibition of Omi and
Bax-mediated autophagy in Hela cells.
AB - Parkinson's disease is the second most common neurodegenerative disease, and
environmental toxins such as rotenone play an important role in causing
degeneration of dopaminergic neurons. Melatonin, a major secretory product of
pineal, is recently reported to protect against rotenone-induced cell death in
animal models. Yet, the mechanism involved in this protection needs to be
elucidated. Here, we report that rotenone treatment (0-100 MUM) decreased cell
survival of Hela cells in a dose-dependent manner. At concentrations ranging from
0.1 to 100 MUM, rotenone induced a dose-dependent increase in the expression of
microtubule-associated protein 1 light chain 3 (LC3)-II, a protein associated
with the autophagosomal membrane. Knockdown of Bax or Omi using shRNA inhibited 1
MUM rotenone-induced autophagy. To determine whether melatonin would protect
cells against rotenone-induced cell death and autophagy, we pretreated Hela cells
with 250 MUM melatonin for 24 hr in the presence of rotenone. Melatonin inhibited
Bax expression and the release of the omi/HtrA2 into the cytoplasm induced by 1
MUM rotenone. Melatonin 250 MUM treatment also suppressed cell death induced by
0.1-100 MUM rotenone and protected against the formation of LC3-II in cells
exposed to 1 MUM rotenone. This work demonstrates a novel role for melatonin as a
neuroprotective agent against rotenone.
PMID- 21883445
TI - Melatonin improves glucose homeostasis in young Zucker diabetic fatty rats.
AB - The aim of this study was to investigate the effects of melatonin on glucose
homeostasis in young male Zucker diabetic fatty (ZDF) rats, an experimental model
of metabolic syndrome and type 2 diabetes mellitus (T2DM). ZDF rats (n=30) and
lean littermates (ZL) (n=30) were used. At 6wk of age, both lean and fatty
animals were subdivided into three groups, each composed of ten rats: naive (N),
vehicle treated (V), and melatonin treated (M) (10mg/kg/day) for 6wk. Vehicle and
melatonin were added to the drinking water. ZDF rats developed DM (fasting
hyperglycemia, 460+/-39.8mg/dL; HbA(1) c 8.3+/-0.5%) with both insulin resistance
(HOMA-IR 9.28+/-0.9 versus 1.2+/-0.1 in ZL) and decreased beta-cell function
(HOMA1-%B) by 75%, compared with ZL rats. Melatonin reduced fasting hyperglycemia
by 18.6% (P<0.05) and HbA(1) c by 11% (P<0.05) in ZDF rats. Also, melatonin
lowered insulinemia by 15.9% (P<0.05) and HOMA-IR by 31% (P<0.01) and increased
HOMA1-%B by 14.4% (P<0.05). In addition, melatonin decreased hyperleptinemia by
34% (P<0.001) and raised hypoadiponectinemia by 40% (P<0.001) in ZDF rats.
Moreover, melatonin reduced serum free fatty acid levels by 13.5% (P<0.05). These
data demonstrate that oral melatonin administration ameliorates glucose
homeostasis in young ZDF rats by improving both insulin action and beta-cell
function. These observations have implications on melatonin's possible use as a
new pharmacologic therapy for improving glucose homeostasis and of obesity
related T2DM, in young subjects.
PMID- 21883446
TI - Biomarkers and special features of oxidative stress in the anterior segment of
the eye linked to lens cataract and the trabecular meshwork injury in primary
open-angle glaucoma: challenges of dual combination therapy with N
acetylcarnosine lubricant eye drops and oral formulation of nonhydrolyzed
carnosine.
AB - The implication of oxidative stress associated with increased oxidant production
in mammalian and human cells characterized by the release of free radicals,
resulting in cellular degeneration, is involved in many ocular diseases, such as
age-related macular degeneration, retinopathy of prematurity, retinal light
damage, primary open-angle glaucoma (POAG), and cataract. Cataract is the leading
cause of blindness, accounting for 50% of blindness worldwide. Glaucoma, the
leading cause of irreversible blindness, is considered as a progressive optic
neuropathy often caused by elevated intraocular pressure (IOP) consequent to
abnormally high resistance to aqueous humor (AH) drainage via the trabecular
meshwork (TM) and Schlemm's canal. Morphological and biochemical analyses of the
TM of patients with POAG revealed the loss of cells, increased accumulation of
extracellular matrix proteins (ECM), changes in the cytoskeleton, cellular
senescence, and the process of subclinical inflammation. The TM is the target
tissue of glaucoma in the anterior chamber, and the development and progression
of glaucoma are accompanied by the accumulation of oxidative damage in this
tissue. The separate studies were conducted to comparatively evaluate the
sensitivity to oxidative stress and lipid peroxidation (LPO) of anterior chamber
tissues including TM. Accumulation of the primary, secondary, and end products of
LPO (diene and triene conjugates, Schiff's bases) was noted in the studied
extracts. Significant differences in the levels of all mentioned LPO products in
comparison with the control were observed. The data may be considered as an
evidence of LPO participation in the destruction of the trabecule and Schlemm's
canal in POAG. Treatment of TM cells with oxidative stress induced POAG-typical
changes such as ECM accumulation, cell death, disarrangement of the cytoskeleton,
advanced senescence, and the release of inflammatory markers. By pretreatment
with antioxidants, prostaglandin analogs, beta-blockers, or local carbonic
anhydrase inhibitors, these effects were markedly reduced. Oxidative stress can
induce characteristic glaucomatous TM changes, and these oxidative stress-induced
TM changes can be minimized by the use of antioxidants and IOP-lowering
substances. It is tempting to speculate that the prevention of oxidative stress
exposure to the TM may help to reduce the progression of POAG. The author's
laboratory has developed and patented the dual combination therapy with N
acetylcarnosine lubricant eye drops and oral formulation of nonhydrolyzed
carnosine in ripe cataracts and POAG. The specific regimen for the treatment in
each stage of age-related ophthalmic disease has been taken up. In the treatment
of POAG, this dual therapy can be combined with conventional antiglaucoma therapy
with beta-blocking and/or adrenergic agonist medicines providing the significant
IOP-lowering effect and significant increase in outflow facility. The developed
therapy is a prominent management care of the glaucomatous neurodegeneration.
PMID- 21883447
TI - New anticoagulants for the prevention of stroke in atrial fibrillation.
AB - Oral anticoagulation in atrial fibrillation is obligatory to lower the risk of
spontaneous cerebrovascular and systemic thromboembolism. For this purpose,
vitamin K antagonists (coumarins) have been recommended as the most effective
drugs for a long time. However, problems with the practical use of these agents,
e.g. the need for frequent and regular coagulation controls, the inter-individual
differences in maintaining a stable therapeutic range, as well as drug or food
interactions, have led to the search and investigation of alternative compounds
characterized by a more simple use (e.g. without regular controls of therapeutic
levels), high efficacy, as well as low risk of bleeding. The direct thrombin
inhibitor dabigatran and the direct factor Xa inhibitors rivaroxaban and apixaban
have recently been investigated to prove whether they fulfill the high expectancy
of an ideal anticoagulant with respect to a more favorable efficacy/safety
profile and without the need for coagulation controls, thereby improving quality
of life. Dabigatran (RE-LY) achieved an impressive reduction in stroke and non
central nervous system (non-CNS) embolism (110 mg: 1.5%/year; 150 mg: 1.1%/year)
in contrast to warfarin (1.7%/year; P = 0.34 and P < 0.001) with a favorable
action on bleeding hazards. The results of rivaroxaban which were obtained in the
ROCKET AF study (on treatment analysis: stroke and non-CNS embolism: 1.7%/year
vs. 2.15%/year with warfarin; P = 0.015; primary safety endpoint major and minor
bleeding: 14.91 vs. 14.52%; P = 0.442) point in the same direction. And finally,
compared to aspirin, apixaban reduced the combined primary efficacy endpoint by
52% with comparable rates of bleeding (AVERROES). This review gives a summary of
the current knowledge about these agents and their potential future importance.
PMID- 21883448
TI - Management of Group B streptococcal sepsis risk in well, term newborns.
AB - AIM: To investigate how clinically well, term newborns at risk of early-onset
Group B streptococcal (EOGBS) disease are currently managed in the United Kingdom
(UK). METHODS: Review of guidelines of UK neonatal units. RESULTS: One hundred
and twenty-five guidelines covering 157 neonatal units were received (71% of UK
units), three of which were excluded from the review. We found great variation in
every aspect for the management of EOGBS disease risk including the following:
definition of risk factors; management of at-risk newborns; choice of
antibiotics. CONCLUSION: Our findings highlight the need for national consensus
guidelines and clinical trials into the management of risk babies at risk of
EOGBS disease.
PMID- 21883449
TI - The association of childhood iron deficiency anaemia with severe dental caries.
PMID- 21883451
TI - Build our youth for the future in paediatrics and beyond.
PMID- 21883450
TI - Development of smooth pursuit eye movements in very preterm born infants: 3.
Association with perinatal risk factors.
AB - AIM: To investigate the association between perinatal risk factors and neonatal
complications and early oculo-motor development in very preterm infants. METHODS:
Perinatal risk factors were identified, and the potential association with early
oculo-motor development was evaluated by measuring smooth pursuit eye movements
(SP) at 2 and 4 months' corrected age (CA) in a population of very preterm
infants born in Uppsala County 2004-2007 (n = 113). RESULTS: Among the 15 tested
factors, eight showed significant association in univariate analysis with lower
levels of SP at 4 months' CA, namely administration of prenatal corticosteroids,
gestational age, birthweight, bronchopulmonary dysplasia, retinopathy of
prematurity, periventricular leukomalacia, intraventricular haemorrhage >grade 2,
and persistent ductus arteriosus. At 2 months' CA, only retinopathy of
prematurity >stage 2 was associated with lower levels of SP. When all factors
significant in the univariate tests were included in multiple regressions aimed
to assess each factor's independent relation to SP, periventricular leukomalacia
was the only significant independent factor. When adding 2-5 of the significant
factors using multiple regression analysis, the levels of SP became lower.
CONCLUSION: Perinatal risk factors were associated with lower levels of SP. This
could be interpreted as delayed or disturbed development of normal oculomotor
ability.
PMID- 21883452
TI - Paradigm shift in consciousness research: the child's self-awareness and
abnormalities in autism, ADHD and schizophrenia.
AB - Self-awareness is a pivotal component of any conscious experience and conscious
self-regulation of behaviour. A paralimbic network is active, specific and causal
in self-awareness. Its regions interact by gamma synchrony. Gamma synchrony
develops throughout infancy, childhood and adolescence into adulthood and is
regulated by dopamine and other neurotransmitters via GABA interneurons. Major
derailments of this network and self-awareness occur in developmental disorders
of conscious self-regulation like autism, attention deficit hyperactivity
disorder (ADHD) and schizophrenia. CONCLUSION: Recent research on conscious
experience is no longer limited to the study of neural 'correlations' but is
increasingly lending itself to the study of causality. This paradigm shift opens
new perspectives for understanding the neural mechanisms of the developing self
and the causal effects of their disturbance in developmental disorders.
PMID- 21883453
TI - Serum screening for detection of high-risk group for early-stage diffuse type
gastric cancer in Japanese.
AB - BACKGROUND AND AIM: Serum screening systems are beneficial for gastric cancer
mass surveys; however, the marker for diffuse type gastric cancer (DGC) is not
defined. We attempted to define the high-risk group for DGC by using serum
markers of anti-Helicobacter pylori antibody and pepsinogens (PG). METHODS: Forty
two patients in the early stage of DGC and 511 controls were enrolled. Fasting
serum samples were collected, and anti-H. pylori antibody and PG were evaluated.
The risk for DGC was calculated. RESULTS: The prevalence of DGC was higher in H.
pylori-positive patients (odds ratio [OR] = 4.3 in men, 9.6 in women). DGC
prevalence was significantly higher in the PG1+ group in women (OR = 10.7);
however, it was lower in the PG3+ group in both men and women. Patients with PG
II >= 30 revealed a significantly higher risk for DGC. By combining factors,
higher OR (OR = 12.5 in men, 42.7 in women) were obtained when we defined the
risk group as H. pylori-positive, PG-negative, and having PG II >= 30.
CONCLUSION: The risk group for DGC can be defined by evaluating ordinary serum
gastritis markers.
PMID- 21883454
TI - Transitional features of histologic type of non-alcoholic fatty liver disease in
Korean young men.
AB - BACKGROUND AND AIM: The prevalence of non-alcoholic fatty liver disease (NAFLD)
is increasing in Korea as the dietary pattern and lifestyle become more
Westernized and the obese population increases. The spectrum of NAFLD ranges from
asymptomatic steatosis to non-alcoholic steatohepatitis (NASH) and cirrhosis.
Schwimmer et al. divided NASH into three types according to the histological
characteristics, such as adult type, pediatric type and overlap type. We
investigated clinical and histologic features of NAFLD patients in Korean young
men. METHODS: A total of 64 male patients under age 30 years, diagnosed as NAFLD
by a liver biopsy, were reviewed retrospectively. NASH was diagnosed by NAFLD
activity score (NAS), and NASH patients were classified with Schwimmer's
histological classification. RESULTS: Pathological features of liver biopsy
revealed NASH in most cases (59 cases, 92.2%) including 29 cases (45.3%) of
borderline NASH and 30 cases (46.9%) of definite NASH. The definite NASH group
showed significantly high aspartate aminotransferase/alanine aminotransferase
levels compared to the borderline NASH group. There were four cases (6.8%) of
pediatric type, 17 cases (28.8%) of adult type, and 38 cases (64.4%) of overlap
type in the NASH group. NAS was 3.75 +/- 0.05 in the pediatric type, 4.29 +/-
1.16 in the adult type and 4.87 +/- 1.21 in the overlap type, and the overlap
type showed a higher NAS than the pediatric type. The fibrosis stage was
significantly higher in the overlap type than the other types. CONCLUSION: Most
Korean young men with NAFLD turned out to have borderline or definite NASH. More
than half of the NASH cases showed overlap type in Korean young men.
PMID- 21883455
TI - DR-70 immunoassay for the surveillance of hepatocellular carcinoma.
AB - BACKGROUND AND AIM: Although alpha-fetoprotein (AFP) is a widely used serological
marker for hepatocellular carcinoma (HCC), its utility is limited due to its
unsatisfactory sensitivity. Meanwhile, a newly developed immunoassay-DR-70-has
been reported to have a good sensitivity for HCC in a small-scale study. The aim
of this study was to determine the clinical value of DR-70 for the surveillance
of HCC. METHODS: Serum levels of DR-70 and AFP were measured in 103 patients with
HCC, 50 healthy volunteers, and 33 patients with chronic liver disease. In
addition, we investigated the prognostic value of DR-70 in patients with HCC
correlating with the clinical staging-Cancer of the Liver Italian Program (CLIP)
score and Barcelona Clinic Liver Cancer (BCLC) classification. RESULTS: Based on
the receiver operating characteristic curve with area under the curve of 0.836,
the DR-70 cut-off value for detecting HCC was determined to be 0.75 ug/mL. DR-70
provided a sensitivity of 81.6% and a specificity of 77.1%, and correlated well
with the CLIP score and BCLC classification. The combination of DR-70 and AFP
increased the sensitivity to 91.2%. The prognosis for patients with HCC with DR
70 level > 0.75 ug/mL was worse than that for those with DR-70 <= 0.75 ug/mL.
Among the patients with early stage HCC (CLIP score 0-2), DR-70 > 0.75 ug/mL
independently predicted a poor survival. CONCLUSIONS: DR-70 immunoassay is
complementary to AFP for the detection of HCC and has a good correlation with
clinical staging and prognosis.
PMID- 21883456
TI - Giant intrapericardial lipoma.
PMID- 21883457
TI - The transseptal approach to the mitral valve during multivalvular surgery.
AB - AIM: We investigated the short and mid-term outcome of the transseptal approach
to the mitral valve during multivalvular surgery. METHODS: Within a three-year
period ending in May 2010, we used the transseptal approach in performing mitral
valve surgery in 62 patients. Procedures performed were: mitral valve replacement
and tricuspid annuloplasty in 40 patients, both aortic and mitral valve
replacement with tricuspid annuloplasty in 13 patients, mitral valve and
tricuspid valve replacement in eight patients and mitral valve repair and
tricuspid annuloplasty in addition to coronary artery bypass surgery in one
patient. RESULTS: There were no complications associated with the transseptal
approach. There were no conduction abnormalities, nor were there any procedure
related deaths. CONCLUSION: We conclude that use of the transseptal approach for
mitral valve operations is simple and safe in patients necessitating right
atriotomy for concomitant procedures.
PMID- 21883458
TI - Comparison of the tear film clinical parameters at two different times of the
day.
AB - PURPOSE: The aim of the present study was to analyse the quality and quantity of
the tear film in a young, healthy and non-contact lens-wearing population with
measurements taken in the morning and in the afternoon to establish if changes
exist in these parameters. METHODS: In a controlled laboratory setting, morning
and afternoon clinical parameters of tear film were assessed. Schirmer test, tear
meniscus height, break-up time and non-invasive break-up time were measured in 51
normal subjects on the same day for each individual. RESULTS: Tear volume showed
no significant changes during the day but tear film stability was significantly
reduced at the end of the day (p < 0.001), demonstrating that tear film stability
is affected by the time of day. CONCLUSION: Researchers and clinicians might wish
to consider these differences when comparisons are made between studies and
populations and also when the same patient is observed over periods. Fluorescein
instillation can affect the measurements of tear stability in subjects with poor
quality tear film.
PMID- 21883459
TI - The Alfieri stitch: the advantages for mitral valve repair in difficult
circumstances.
AB - In certain complex cases, where there is severe calcification of the mitral
annulus but significant mitral regurgitation or systolic anterior motion (SAM),
or in high-risk cases where prolonged bypass is to be avoided, the Alfieri-stitch
repair of the mitral valve may be the most appropriate option available,
particularly as it can be performed quickly through the aortic valve. We describe
three cases undergoing aortic valve replacement, in which this technique was
successfully applied in patients in whom more conventional repair techniques or
valve replacement would have been hazardous, due to annular calcification and
patient frailty.
PMID- 21883460
TI - Repair of left atrial-esophageal fistula following percutaneous radiofrequency
ablation for atrial fibrillation.
AB - Atrial-esophageal fistula is a rare but often fatal complication of percutaneous
radiofrequency ablation for atrial fibrillation. We present a patient who was
diagnosed with this complication in a delayed fashion and successfully treated
with primary repair via left thoracotomy. The details of the surgical approach
are discussed.
PMID- 21883461
TI - Repair of pulmonary artery aneurysms.
AB - BACKGROUND AND AIM OF THE STUDY: We have presented the results of pulmonary
arterial aneurysm repairs performed over eight years. METHODS: From 2002 to
December 2010, we performed nine operations for pulmonary artery aneurysms in our
department. The mean age of the patients was 37.8 +/- 17.1 years and four were
females. Five patients had pulmonic valve stenosis, three patients had
concomitant pathologies on the aortic or mitral valves, and two had isolated
pulmonary arterial aneurysm. Aneurysm repairs were performed by plication in
eight patients and with Dacron patch repair in one patient. RESULTS: There were
no postoperative deaths. Three patients required inotropic support
postoperatively. One patient had pulmonary morbidity. The results of the
pathology revealed intimal hyalinization, edema, and elastic fiber degeneration
in the media, fibrosis in the adventitia, and increased vascularity. All patients
were in New York Heart Association Class I or II except for one patient who had a
prolonged intensive care stay. The mean duration of follow-up was 48.6 +/- 33.2
months (range, 2 to 107) adding up to a total of 36.4 patient/years. The mean
diameter of the pulmonary artery in the recent imaging was 3.6 +/- 0.4 cm.
CONCLUSION: Pulmonary artery aneurysms are rare entities which are usually
associated with other congenital defects. While surgery is the preferred
treatment for symptomatic aneurysms, controversy exists as to the indications for
repair in asymptomatic patients.
PMID- 21883462
TI - Scimitar syndrome: a complex form of anomalous pulmonary venous return.
AB - Scimitar syndrome, or pulmonary venolobar syndrome, is a rare congenital anomaly,
in which all the right pulmonary veins drain into the inferior vena cava. In this
study, we review the diagnostic features, clinical management, and surgical
strategy in the Scimitar syndrome and discuss the significance of new generation
diagnostic imaging methods for this rare anomaly.
PMID- 21883463
TI - Mechanical circulatory support as a bridge to transplant candidacy.
AB - INTRODUCTION: The use of mechanical circulatory support (MCS) in nontransplant
eligible candidates remains controversial. Our decision to offer MCS for
nontransplant candidates has led to their reevaluation after a period of left
ventricular assist device (LVAD) support. METHODS: From 2001 to September 2009,
we had 37 patients who received an implantable LVAD, 22 (59%) were not deemed to
be transplant eligible at the time of LVAD insertion (bridge to candidacy, BTC
group). RESULTS: Fifteen (41%) patients were considered transplant eligible
(bridge to transplant, BTT group) at the time of device insertion and received a
HeartMate XVE (n = 7), HeartMate 2 (n = 7), or a Novacor LVAS (n = 1). In the BTC
group, patients received the HeartMate XVE device (n = 11), HeartMate 2 (n = 5),
or the Novacor LVAS (n = 6). The primary criterion for transplant ineligibility
was refractory pulmonary hypertension (PH) in 18 patients, 3 patients did not
meet our body mass index criteria (>35 kg/m(2)), and 2 patients were dialysis
dependent. Six (27%) BTC patients died on support. Overall, 16/22 patients (73%)
were subsequently listed for transplantation, with one listed for combined heart
lung due to refractory PH. Twelve patients (75%) underwent successful heart
transplantation. Three patients died during their transplant. Overall
posttransplant survival at one year shows lower survival in the BTC group
compared to the BTT group (67% vs. 100%, p = 0.05). At two years and three years
the survival was lower, but not statistically different (BTC vs. BTT: 67% vs. 90%
and 64% vs. 87%, respectively, p = NS). CONCLUSIONS: MCS can successfully convert
a large proportion of transplant-ineligible patients into acceptable candidates.
PMID- 21883464
TI - Aneurysmal circumflex aortic arch.
AB - Circumflex aortic arch aneurysm is a rare condition. We report a 9-year-old
female with this anomaly who underwent resection of the aneurysmal segment and
reconstruction of aortic arch anterior to trachea under cardiopulmonary bypass
and deep hypothermic circulatory arrest. The patient was completely relieved of
dysphagia and recovered without any cardiac or neurological sequelae.
PMID- 21883465
TI - Predictors of occupancy trend across spatial scale.
AB - Many explorations of extinction probability have had a global focus, yet it is
unclear whether variables that explain the probability of extinction at large
spatial extents are the same as those at small spatial extents. Thus, we used
nearly annual presence-absence records for the most recent 40 years of a 110-year
data set from Palenque, Mexico, an area with ongoing deforestation, to explore
which of >200 species of birds have probabilities of extirpation that are likely
to increase. We assessed associations between long-term trends in species
presence (i.e., detection in a given year) and body size, geographic range size,
diet, dependence on forest cover, taxonomy, and ecological specialization. Our
response variable was the estimated slope of a weighted logistic regression for
each species. We assessed the relative strength of each predictor by means of a
model ranking scheme. Several variables associated with high extinction
probability at global extents, such as large body size or small geographic range
size, were not associated with occurrence of birds over time at our site. Body
size was associated with species loss at Palenque, but occurrence trends of both
very large and very small species, particularly the latter, have declined, or the
species have been extirpated. We found no association between declining
occurrence trend and geographic range size, yet decline correlated with whether a
species depends on forest (mean occupancy trend =-0.0380, 0.0263, and 0.0186 for,
respectively, species with high, intermediate, or low dependence on forest) and
with complex combinations of diet and foraging strata (e.g., occurrence of canopy
insectivores and terrestrial omnivores has increased, whereas occurrence of mid
level frugivores and terrestrial granivores has decreased). Our findings
emphasize that analyses of local areas are necessary to explicate extirpation
risk at various spatial extents.
PMID- 21883466
TI - Thrombectomy of prosthetic dialysis grafts using mechanical plus "no-wait lysis"
approach requires less procedure time and radiation exposure.
AB - In spite of the existence of various strategies, dialysis graft thrombectomy
remains time-consuming and requires substantial radiation exposure. The authors
report a new approach for graft thrombectomy, "no-wait lysis", with the objective
to examine its effect on procedure time and radiation exposure. Based on the use
of tissue plasminogen activator (tPA), the 88 retrospectively reviewed graft
thrombectomies were divided into "no-tPA" group (n = 35) and "no-wait lysis"
group (n = 53). Fogarty thrombectomy and balloon angioplasty were used similarly
in both groups. In the "no-wait lysis" group, small-dose tPA was added directly
into the graft during the procedure. Comparing the "no-wait lysis" group with the
"no-tPA" group, the procedure time was reduced: 27.2 +/- 10.2 vs. 55.5 +/- 19.9
minutes (p < 0.0001), and the radiation exposure time was decreased
correspondingly: 159.4 +/- 61.6 vs. 243.9 +/- 101.9 seconds (p < 0.0001).
Multivariate regression analysis revealed that the use of "no-wait lysis"
approach was the major significant predictor for shorter procedure time and
radiation exposure time. In conclusion, our data support that the use of "no-wait
lysis" approach for dialysis graft thrombectomy substantially reduces procedure
time and radiation exposure, and it may serve as an efficient and economical
alternative to other existing approaches.
PMID- 21883467
TI - Vascular access.
PMID- 21883468
TI - Blood pressure management.
PMID- 21883469
TI - Decolonization to prevent infections with Staphylococcus aureus in patients
undergoing hemodialysis: a review of current evidence.
AB - Staphylococcus aureus infections remain common in patients undergoing
hemodialysis, and the consequences of these infections are potentially severe.
Although a number of evidence-based practices have been shown to decrease the
healthcare-associated infections that this organism can cause, many questions
remain about the utility of decolonization as a mechanism to prevent these
infections. This brief review describes the current epidemiology of S.aureus
infections in patients undergoing hemodialysis and reviews the evidence
surrounding decolonization as an infection prevention strategy.
PMID- 21883470
TI - The predictive value and evolution of N-terminal pro-B-type natriuretic peptide
levels following transcutaneous aortic valve implantation.
AB - AIMS: We sought to define the predictive value and evolution of N-terminal pro-B
type natriuretic peptide (NT-proBNP) levels following transcutaneous aortic valve
implantation (TAVI). METHODS AND RESULTS: We investigated 91 consecutive patients
who underwent TAVI (59 transfemoral [TF], 32 transapical [TA]) in our
institution. The balloon-expandable valve was implanted in 75 and the self
expanding in 16 patients. The baseline (within 48 hours prior to procedure),
early (24-74 hours), and late (3-12 months) postprocedural NT-proBNP levels were
determined. The mortality status of all patients was ascertained as of September
2010. The 30-day and 1.3(mean)-year mortality was 3% and 12% (2%, 9% in the TF
and 6%, 19% in the TA group). Increased baseline (chi(2) = 5.9, P = 0.016) and
early (chi(2) = 4.9, P = 0.028) NT-proBNP levels were predictive of mortality.
All decrements of the NT-proBNP levels in the TF patients were significant
(baseline 4,984 +/- 8,106 vs. early 3,912 +/- 6,551 pg/mL, P = 0.016; late 633 +/
606 pg/mL, P = 0.003). In contrast, there was a trend for the early levels to
increase in the TA patients (6,423 +/- 8,897 vs. 8,100 +/- 10,178 pg/mL, P =
0.090), and a significant decline in the late levels as compared to baseline
(1,704 +/- 3,417 pg/mL, P = 0.005). CONCLUSION: NT-proBNP levels are predictive
of mortality following TAVI. There is a differential early evolution of their
levels between the TF and TA patients and a significant decline later in both
groups.
PMID- 21883471
TI - External side-compression of radial artery: a simple technique for successful
advancement of guidewires through the radial approach.
AB - BACKGROUND: The transradial approach has several pitfalls that include problems
regarding the radial puncture and difficulties with the catheter technique. We
evaluated whether external side-compression of radial artery was helpful to yield
the success rate for advancement of guidewires under the presence of side
branches or arterial tortuosity. METHODS AND RESULTS: The study population
consisted of 11 patients with unsuccessful advancement of guidewires into the
brachial artery. In 7 patients, the J-tip hydrophilic guidewire was not advanced
into the brachial artery because it always directed into the side branch. During
external side-compression of radial artery at the culprit site with a finger of
the second operator, the guidewire was successfully advanced into the brachial
artery in all patients. In 4 patients, the guidewire was not advanced into the
brachial artery because the radial artery was tortuous. During external side
compression of radial artery at the culprit site, the guidewire was successfully
advanced into the brachial artery in 2 patients. In the remaining 2 patients in
whom this attempt was unsuccessful, coronary angiography was performed through
the right brachial artery. Overall success rate of this technique was 82%.
CONCLUSION: External side-compression of radial artery is an easy and feasible
technique for difficulties in the advancement of guidewires due to the presence
of side branches or arterial tortuosity.
PMID- 21883472
TI - A novel mortality risk score for female patients undergoing endovascular
interventions.
AB - BACKGROUND: Peripheral arterial disease (PAD) has been described as a rising
epidemic in recent years. The majority of subjects studied in PAD literature have
been male, leaving female patients an underrepresented population with regard to
revascularization outcomes. The goal of our study was to determine the death rate
and predictors of mortality in female patients undergoing endovascular
intervention (EI) for symptomatic PAD. METHODS AND RESULTS: This study was
conducted as a single-center retrospective chart review of 292 female patients
who underwent EI for symptomatic PAD. Patient variables including demographics
and procedural data were analyzed for statistical significance with regard to
mortality. Age, history of congestive heart failure (CHF), and chronic kidney
disease (CKD) were found to be significant predictors of mortality on
multivariable analysis. A death risk score was formulated based on the above
variables, risk stratifying patients into low, medium, or high risk groups for
mortality after EI. Overall, 76 patients (26%) fell into the low risk category
with a mortality of 5.3%, 102 patients (35%) fell into the moderate risk with a
mortality of 15.7%, and 112 patients (39%) fell into the high-risk group with a
mortality of 45.5% (P < 0.0001). CONCLUSIONS: Our study is the first of its kind
to specify predictors of mortality in female patients with symptomatic PAD. This
study also provides a tool to identify female PAD patients at high risk for death
after EI. Finally, it highlights the effect of CKD, age, and CHF on mortality of
patients with PAD.
PMID- 21883473
TI - Initial experience with a magnetic navigation system for invasive treatment in
patients with non-ST-segment elevation acute coronary syndromes.
AB - BACKGROUND: Magnetic navigation system (MNS) assisted percutaneous coronary
intervention (MPCI) has been demonstrated an advantage over conventional PCI
(CPCI) in complex lesions and tortuous vessels. However, the benefits of MNS in
clinical unstable and vulnerable lesions were little studied. The aim of this
study is to evaluate the feasibility and benefits of MPCI versus CPCI in patients
with non-ST-segment elevation acute coronary syndromes (NSTE-ACS). METHODS:
Thirty-seven consecutive patients with NSTE-ACS undergoing MPCI were compared
with 37 matched CPCI patients selected from the same concurrent database. Time to
cross lesion, fluoroscopy time, and contrast usage to cross lesion were used as
primary end-points. RESULTS: Of the 37 culprit lesions in MPCI, 36 were crossed
successfully giving a success rate of 97.3%. The procedure and the fluoroscopy
time to cross the lesion were similar between the magnetic and conventional PCI
groups (82.0 +/- 67.9 seconds vs. 85.8 +/- 59.2 seconds, P = 0.692, and 62.6 +/-
57.6 seconds vs. 65.4 +/- 49.5 seconds, P = 0.738, respectively). In Type A/B1
lesions, there seemed no difference in contrast use (2.7 +/- 0.7 mL vs. 3.3 +/-
0.9 mL, P = 0.284). But as lesion complexity increased from type B2 to C,
significantly less contrast was needed in type B2 (5.1 +/- 2.6 mL vs. 7.9 +/- 4.0
mL, P = 0.019) and type C (9.8 +/- 5.7 mL vs. 14.7 +/- 7.4 mL, P = 0.030). No
major adverse cardiac events were observed in either the MPCI or CPCI group.
CONCLUSIONS: MNS assisted technique appears to be feasible and effective in NSTE
ACS patients with more complex lesions; however, it probably offers little
benefit in simple lesions like ACC/AHA type A/B1.
PMID- 21883474
TI - Three-year clinical outcome with the EndeavorTM zotarolimus-eluting stent in
primary percutaneous coronary intervention for ST elevation myocardial
infarction: the EndeavorTM primary PCI study (E-PPCI).
AB - Primary percutaneous coronary intervention (PPCI) is superior to thrombolysis in
STEMI (ST segment elevation myocardial infarction) patients. Data on late stent
thrombosis (ST) have raised concerns regarding the use of drug-eluting stents
during PPCI. We report the first 3-year clinical evaluation of the zotarolimus
eluting stent (ZES) in patients undergoing PPCI for STEMI, a single-center,
prospective cohort study of consecutive patients admitted with STEMI. All
underwent PPCI within 12 hours of symptoms; each received one or more ZES in one
or more target lesions. All patients received aspirin 300 mg, clopidogrel 600 mg,
abciximab, and unfractionated heparin. A total of 102 STEMI patients (76 male,
mean 62 years) received 162 ZES (mean 1.6 stents/patient). Median call-to-balloon
time was 123 (102-152) minutes. Thirty-day combined major adverse cardiovascular
event (MACE) rate was 3.9% (n = 4). Subacute ST occurred in 2 patients (1.96%).
Combined MACE rates at 12 months and 3 years were 7.8% (n = 8) and 13.7% (n =
14). Late ST occurred in 1 patient (1%) with no occurrence of very late ST. This
is the first 3-year report of the use of the ZES in an unselected, consecutive
PPCI population. Overall 3-year incidence of MACE and target lesion
revascularization (5.9%) was low, and was comparable to that seen with sirolimus-
and paclitaxel-eluting stents in randomized controlled trials. At 3 years there
was no occurrence of very late ST.
PMID- 21883475
TI - Hydration status of patients with end-stage renal disease after kidney
transplantation.
AB - BACKGROUND: This study was carried out to estimate the modification of hydration
status within the first three months of renal transplantation. SUBJECTS AND
METHODS: Fifty patients who underwent a first kidney allograft were prospectively
followed for three months after renal transplantation to assess hydration status
by bioimpedance spectroscopy. RESULTS: Two hours before the transplant procedure,
10/42 (23.8%) patients were overhydrated. Two days after surgery, 32/40 (80.0%)
patients were overhydrated and at three months, 14/27 (51.9%) patients remained
fluid-overloaded. Peritoneal dialysis (PD) patients had a lower hydration status
(-0.60 L) than hemodialysis (HD) patients (0.70 L; p < 0.05) and better residual
diuresis (41.7 vs. 8.3 mL/h for HD patients, p < 0.01). Compared with patients
who had a delayed graft function (DGF) or a slow graft function (SGF), the
immediate graft function (IGF) group had a better hydration status before
transplantation (p = 0.031). At three months, 12/14 of the overhydrated patients
had a creatinine clearance between 30 and 60 mL/min/1.73 m(2) . CONCLUSION:
Patients receiving a first kidney transplant frequently have a hydration
disorder. Transplantation is associated with increased hydration status, which
seems to persist if DGF or SGF occurs.
PMID- 21883476
TI - Genetic variations in multiple myeloma II: association with effect of treatment.
AB - Association studies on genetic variation to treatment effect may serve as a
predictive marker for effect of treatment and can also uncover biological
pathways behind drug effect. Single-nucleotide polymorphisms (SNPs) have been
studied in relation to high-dose treatment (HDT), thalidomide- and bortezomib
based therapy, maintenance treatment with interferon-alpha and in relation to
therapy-related adverse effects caused by treatment. Candidate genes for
prediction of effect of HDT include DNA repair genes, CYP genes and genes
involved in inflammation and apoptosis such as IL1B and RAI. In thalidomide- and
bortezomid-based therapy, candidate genes include TNFA and genes involved in the
nuclear factor kappa B pathway (NFKB2 and TRAF3), respectively. In maintenance
treatment with interferon-alpha, a polymorphism in gene NFKB1 is a candidate gene
for prediction for effect. Adverse effect includes infection, osteonecrosis of
the jaw (ONJ), venous thrombotic events (VTE) and peripheral neuropathy (PN). A
SNP in MBL2 and MPO gene was associated with septicemia and a SNP in the gene
CYP2C8 was strongly associated with ONJ. Several SNPs in genes encoding DNA
repair, apoptosis, inflammation and genes involved in function of the nervous
system have been associated with VTE induced by thalidomide and with PN induced
by bortezomib. SNP analysis is simple and can be performed, e.g., on blood and
buccal cells. Further analysis of SNPs in clinical trials is needed, and
collaboration between scientific groups will be an advantage because SNP analysis
required large number of patients.
PMID- 21883477
TI - Survival benefits from reduced-intensity conditioning in allogeneic stem cell
transplantation for young lower-risk MDS patients without significant
comorbidities.
AB - OBJECTIVE: The aim of this study was to determine the optimum conditioning
intensity for allogeneic stem cell transplantation (SCT) in young (age <=50),
lower-risk (INT-1 by IPSS) Myelodysplastic syndrome (MDS) patients without
significant comorbidities (hematopoietic cell transplantation-comorbidity index
score <=3). METHODS: Transplant outcomes from 46 consecutive patients were
retrospectively analyzed according to the conditioning intensity: reduced
intensity conditioning (RIC; n = 14), intensified RIC by adding low-dose total
body irradiation (iRIC; n = 15), and myeloablative conditioning (MAC; n = 17).
RESULTS: After a median follow-up of 73.7 months, RIC had a better 4-yr overall
survival (OS) (92.9%) compared with the iRIC (64.2%) or MAC (70.6%). Multivariate
analysis showed that RIC was associated with improved OS compared with the MAC
[relative risk (RR) of 0.08, P = 0.022] because of a lower transplant-related
mortality (TRM) (RR, 0.08, P = 0.035). iRIC failed to show survival benefits over
the MAC (RR of 0.77, P = 0.689) because of similarly high TRM (RR of 0.41, P =
0.480). Cumulative incidence of acute and chronic graft-versus-host disease
(GVHD) after RIC was higher, but GVHD-specific survival was significantly better
(RIC 100% vs. iRIC 45.7% vs. MAC, P = 0.018). Relapse rate was not different
among the three groups, but in the RIC group, azacitidine was available and
useful for inducing remission in two patients. CONCLUSION: This study shows that
RIC improved OS by directly lowering TRM and indirectly giving an additional
chance for relapsed MDS in the era of hypomethylating treatment. RIC-SCT should
be considered for relative healthy lower-risk MDS patients.
PMID- 21883478
TI - Expression of CD66 in non-Hodgkin lymphomas and multiple myeloma.
PMID- 21883479
TI - Reply to the letter to the editor by Guinn et al.
PMID- 21883480
TI - Genetic variations in multiple myeloma I: effect on risk of multiple myeloma.
AB - Few risk factors have been established for the plasma cell disorder multiple
myeloma, but some of these like African American ethnicity and a family history
of B-cell lymphoproliferative diseases suggest a genetic component for the
disease. Genetic variation represents the genetic basis of variability in a
population. The complex interplay between environment and genes for the
development of cancer may therefore be influenced by genetic variations. A
genetic variation may change the function of the gene, and if the genetic
variation is associated with the risk of disease, that particular gene may be
involved in the pathogenesis of disease. Genes of interest are genes involved in
the normal development and function of the plasma cell and genes that protect us
against exposures from the environment, for example, genes involved in the
metabolism of xenobiotics, metabolism of folate and methionine, as well as genes
involved in inflammation and DNA repair. Identification of genes with potential
influence on cancer risk may help us to establish relevant laboratory studies on
exposure and dose-response assessment and may help us to test the hypothesis in
epidemiological studies. Knowledge of individual at high risk of cancer may offer
promising insight for the prevention of cancer.
PMID- 21883481
TI - Treatment of paroxysmal nocturnal hemoglobinuria in the era of eculizumab.
AB - Paroxysmal nocturnal hemoglobinuria (PNH) is a rare, life-threatening and
debilitating clonal blood disorder caused by an acquired mutation in the
phosphatidylinositol glycan (PIG)-A gene. In pluripotent hematopoietic stem
cells, this leads to a deficiency of glycosylphosphatidylinositol (GPI)-anchors
and GPI-anchored proteins, including the complement regulators CD55 and CD59, on
the surface of affected blood cells. PNH red blood cells are highly vulnerable to
activation of complement and the formation of the membrane attack complex (MAC).
The resulting chronic intravascular hemolysis is the underlying cause of PNH
morbidities and mortality. Until recently, the treatment of PNH has been largely
empirical and symptomatic with blood transfusions, anticoagulation, and
supplementation with folic acid or iron. The only potentially curative treatment
is allogeneic stem cell transplantation, but this has severe complications and
high mortality and morbidity rates. A new targeted and disease-modifying
treatment strategy is the inhibition of the terminal complement cascade with the
humanized monoclonal anti-C5 antibody, eculizumab. This effectively inhibits MAC
formation and intravascular hemolysis. Eculizumab has shown significant efficacy
in controlled studies, with a marked decrease in anemia, fatigue, transfusion
requirements, renal impairment, pulmonary hypertension, and risk of severe
thromboembolic events, ultimately resulting in improving quality of life and
survival.
PMID- 21883482
TI - Low incidence and severity of graft-versus-host disease after outpatient
allogeneic peripheral blood stem cell transplantation employing a reduced
intensity conditioning.
AB - BACKGROUND: The prevalence and features of graft-versus-host disease (GVHD) in
patients receiving allografts using peripheral blood stem cells (PBSCs) after a
reduced-intensity conditioning (RIC) regimen are not well known. Several features
of GVHD in patients at two institutions using RIC were assessed. METHODS: We
analysed the overall survival (OS) and prevalence of GVHD in patients who
underwent outpatient allogeneic PBSC transplantation after RIC between October
1998 and July 2008. RESULTS: We included 301 patients with a median age of 30 yrs
(range, 1-71 yrs). In 37 cases, allogeneic peripheral blood stem cell
transplantation was indicated for non-malignant disease, and in 264 for malignant
disease. The median OS was 35 months. The estimated 3-yr OS was 48%. A total of
154 patients developed GVHD: there were 64 acute, 50 chronic and 40 cases that
progressed from acute to chronic. Of the 104 patients with acute GVHD (aGVHD),
40% had grade I and 60% had grades II-IV. Of the 90 patients with chronic GVHD
(cGVHD), 67% had limited and 33% had extensive forms. A total of 160 patients
died, 40 as a result of GVHD (24 from aGVHD and 16 from cGVHD), 50 as a result of
progressive disease and 70 from diverse causes. CONCLUSIONS: The incidence of
GVHD was lower than in other series using conventional myeloablative preparative
regimens. Most importantly, the severity of GVHD did not significantly affect the
long-term survival.
PMID- 21883483
TI - Cytoreductive treatment with clofarabine/ara-C combined with reduced-intensity
conditioning and allogeneic stem cell transplantation in patients with high-risk,
relapsed, or refractory acute myeloid leukemia and advanced myelodysplastic
syndrome.
AB - The combination of cytoreductive chemotherapy with reduced-intensity conditioning
(RIC) is a highly effective antileukemic therapy. Purpose of this retrospective
analysis was to evaluate the antileukemic efficacy and toxicity of clofarabine
based chemotherapy followed by RIC and allogeneic stem cell transplantation (SCT)
for high-risk, relapsed, or refractory acute myeloid leukemia (AML) or
myelodysplastic syndromes (MDS). From May 2007 until October 2009, a total of 27
patients underwent allogeneic SCT after treatment with clofarabine and ara-C for
5d and RIC (4Gy TBI/cyclophosphamide/ATG). Prophylaxis of graft-versus-host
disease (GvHD) consisted of cyclosporine and mycophenolate mofetil. Unmanipulated
G-CSF mobilized PBSC (n=26) or bone marrow cells (n=1) were transplanted from
unrelated (n=21) or matched related (n=6) donors. Non-hematological toxicities of
this regimen mainly affected liver and skin and were all reversible. Seven
patients relapsed within a median time of 5.7 months. The overall survival (OS)
and relapse-free survival rates were 56% and 52% at 2 yr, respectively. In this
cohort of patients, cytoreduction with clofarabine/ara-C (ClAraC) followed by RIC
allogeneic SCT was well tolerated and showed good antileukemic efficacy even in
patients with high-risk AML or MDS, with engraftment and GvHD-incidence
comparable to other RIC regimens.
PMID- 21883484
TI - Antifungal management and resource use in patients with acute myeloid leukaemia
after chemotherapy--retrospective analysis of changes over 3 yr in a German
hospital.
AB - OBJECTIVES: To describe changes in costs of managing hospitalised patients with
acute myeloid leukaemia (AML) after chemotherapy in Germany over 3 yr, with a
special focus on prophylaxis and treatment patterns as well as resource use
related to invasive fungal infections (IFI). METHODS: The study was conducted as
a retrospective, single-centre chart review in patients with AML hospitalised for
chemotherapy, neutropenia and infections after myelosuppressive chemotherapy from
January 2004 to December 2006 in Germany. The following resource utilisation data
were collected: inpatient stay, mechanical ventilation, parenteral feeding,
diagnostics, systemic antifungal medication and cost-intensive concomitant
medication. Direct medical costs were calculated from hospital provider
perspective. RESULTS: A total of 471 episodes in 212 patients were included in
the analysis. Occurrence of IFI decreased from 5.9% in 2004 to 1.9% in 2006. Mean
(+/- standard deviation) hospital stay decreased from 28.7 +/- 17.9 d in 2004 to
22.4 +/- 11.8 d in 2006. From 2004 to 2006, the use of a single antifungal drug
increased from 30.4% to 46.9%, whereas the use of multiple antifungal drugs
decreased from 24.4% to 13.1%. The use of liposomal amphotericin B declined
between 2004 and 2006 (21.4% vs. 3.8%) and caspofungin between 2005 and 2006
(19.3% vs. 8.1%). Total costs per episode declined from ?19051 +/- 19024 in 2004
to ?13531 +/- 9260 in 2006; major reductions were observed in the use of
antimycotics and blood products as well as length of hospital stay. CONCLUSION:
Analysis of real-life data from one single centre in Germany demonstrated a
change in antifungal management of patients with AML between 2004/2005 and 2006,
accompanied by a decline in total costs.
PMID- 21883485
TI - Fine-needle aspiration cytology: a reliable tool in the diagnosis of salivary
gland lesions.
AB - BACKGROUND: Fine-needle aspiration cytology (FNAC) is used as the main initial
diagnostic investigation for lumps in the head and neck region. Major salivary
glands and some minor salivary glands are easily accessible; therefore, they are
optimal targets for FNAC. The aim of this study was to discuss the advantages and
pitfalls of FNAC as compared to histopathology in the salivary gland lesions.
MATERIAL AND METHODS: A total of 127 FNAC were carried out on salivary gland
lesions from January 2006 to December 2010--a 5-year period. Histopathological
follow-up data were obtained in 56 cases. The study was conducted to examine the
sensitivity, specificity, and accuracy of FNAC for salivary gland swellings in
comparison with histopathology. RESULTS: The male-to-female ratio was 2.4:1.
Parotid gland was involved in 51.1%, submandibular gland in 37%, sublingual gland
in 4.7%, and minor salivary glands in 7% of patients. There were 55.9% cases of
non-neoplastic lesions and 44.1% cases of neoplastic lesions on biopsy.
Sensitivity, specificity, positive predictive value, and negative predictive
value of FNAC for malignant neoplastic lesions were 84.61%, 86.48%, 68.75%, and
94.11%, respectively, whereas for benign neoplastic lesions, they were 84.61%,
91.66%, 91.6%, and 85%, respectively. CONCLUSION: Fine-needle aspiration cytology
is found to be a good sensitive and specific technique for the diagnosis of most
of the salivary gland lesions. FNAC should be adopted as an initial investigation
for all salivary gland swellings in conjunction with other investigations where
appropriate.
PMID- 21883486
TI - Evaluation of immunohistochemical expression of p53, p21, p27, cyclin D1, and
Ki67 in oral and oropharyngeal squamous cell carcinoma.
AB - BACKGROUND: The purpose of this study was to evaluate whether the
immunohistochemical expression of p53, p21, p27, cyclin D1, and Ki67 can predict
therapy response and survival in patients with oral and oropharyngeal squamous
cell carcinoma treated with preoperative chemoradiation. METHODS: Biomarker
expression was evaluated by immunohistochemistry in formalin-fixed, paraffin
embedded pretreatment biopsies of 111 homogenously treated patients. We assessed
the association between clinicopathological variables including response to
neoadjuvant chemoradiotherapy as well as the survival of the patients and the
expression of the biomarkers as both dichotomized (positive vs. negative) and
continuous variables. RESULTS: Biomarker overexpression on the basis of pre
selected cutoff points was seen in 66 of 111 (59%) cases for p53, in 77 (69%) for
p21, in 48 (43%) for p27, in 81 (73%) for cyclin D1, and in 54 (49%) cases for
Ki67, respectively. None of the examined biomarkers was able to predict response
to neoadjuvant chemoradiotherapy or was associated with survival outcome. Post
treatment pathologic TNM stage (P < 0.001), pathologic response (P < 0.001), and
perineural invasion (P < 0.001) were the only factors having a significant effect
on recurrence-free survival. Post-treatment pathologic N stage (P = 0.005), post
treatment pathologic TNM stage (P < 0.001), pathologic response (P < 0.001), and
perineural invasion (P = 0.001) had a significant impact on overall survival.
CONCLUSIONS: Our results suggest that the biomarkers p53, p21, p27, cyclin D1,
and Ki67 have no impact on treatment response and survival in patients with oral
and oropharyngeal cancer treated with preoperative chemoradiation.
PMID- 21883487
TI - Evaluation of oral mucosal diseases: inter- and intra-observer analyses.
AB - BACKGROUND: Essential to the diagnosis and management of oral mucosal diseases
are visual evaluation and monitoring of the oral cavity. Digital photography has
recently become an important clinical tool. The aims of this study were to (i)
evaluate inter- and intra-observer differences between oral medicine and other
dental specialists when assessing changes in oral mucosal pathology and (ii)
assess the influence of calibration labels incorporated into the clinical images
on the reliability and consistency of evaluation. METHODS: Ten oral medicine
specialists (OM) and 10 other dental specialists (DS) participated in the study.
Pairs of images captured with an intraoral camera at two time points from 17
cases of mucosal diseases were presented to the participants. Each pair of
photographs was presented with a calibration label (showing length and white to
black hues) and again without one. The participants were asked to respond to a
questionnaire evaluating changes in size, color, location, and severity for each
image pair. RESULTS: Oral medicine specialists had better absolute agreement and
consistency than DS when evaluating the changes in the images; however, these
parameters did not exceed 52% in either group. The incorporation of a calibration
label in the images increased agreement and consistency of evaluations in both
groups. CONCLUSIONS: Follow-up evaluations of oral mucosal lesions are performed
better by oral medicine practitioners compared to other dental specialists. The
incorporation of a calibration label in the clinical images seems to enhance
evaluation.
PMID- 21883488
TI - The prevalence of dysplasia and malignant lip lesions in transplant patients.
AB - BACKGROUND: Solid organ transplant patients are at an increased risk of
developing lip malignancies. The role of HLA mismatch as a risk factor for such
changes has only been described in skin. METHODS: Lip lesions were evaluated in
403 solid organ transplant patients (immunosuppressed for at least 3 months) and
findings compared to age and sex matched, otherwise healthy patients who acted as
controls. HLA typing was provided for the transplant patients. All patients
provided details of smoking history, alcohol consumption, skin type, as assessed
by ease of burning to sunlight, and exposure to sunlight or other forms of
ultraviolet radiation. RESULTS: Lip lesions were identified in 36 transplant
patients and 29 were biopsied. Fourteen of the biopsies confirmed dysplastic or
malignant changes. For the control patients, one lesion was identified as
dysplastic. The prevalence of dysplastic and malignant lip lesions was
significantly higher (P = 0.006) in the transplant patients when compared to
controls. Risk factors for dysplastic/malignant changes in the transplant group
included age (P = 0.01), smoking (P = 0.033) and HLA-B mismatch (P = 0.001). Lip
covering provided a significant reduction (P = 0.045) in the development of lip
changes. CONCLUSION: All transplant patients should be regularly screened for lip
malignancies and consulted on smoking and sunlight exposure. HLA-B mismatch does
appear to make these patients more susceptible to dysplastic/malignant changes.
PMID- 21883489
TI - Head and neck amyloidosis: clinicopathological features and immunohistochemical
analysis of 14 cases.
AB - BACKGROUND: Amyloidosis is associated with or caused by amyloid deposition. These
fibrillar proteins may be deposited extracellularly causing tissue damage or
impairment. OBJECTIVES: The aim of the study was to retrospectively review
pathology archives in two oral diagnostic centers for cases fulfilling criteria
of amyloidosis and to differentiate AA and AL types of amyloidosis. METHODS: The
clinicopathological features, alkaline Congo red staining, with and without
pretreatment with potassium permanganate, and immunohistochemical (IHC) staining
with anti-AA, anti-kappa (kappa), and anti-lambda (lambda) light chain antibodies
were carried out and analyzed. RESULTS: The search identified 14 cases. Ten
patients were women and four were men, with a mean age of 58 years. Eleven
patients had systemic involvement by amyloidosis (associated either with multiple
myeloma or plasma cell dyscrasia/monoclonal gammopathies), while three presented
the localized type, one of them associated with plasmacytoma. All cases showed
positivity for kappa or lambda light chains (AL-amyloid) and presented resistance
to the potassium permanganate pretreatment. CONCLUSIONS: Our results show that
the head and neck region is preferentially affected by systemic AL-amyloidosis,
usually associated with plasma cell dyscrasia. Interestingly, two cases affected
by inflammatory rheumatic diseases presented AL-amyloid deposition. Moreover,
even after pretreatment with potassium permanganate, which was helpful in
highlighting the presence of AL-amyloid, in agreement with the IHC findings,
clinical classifications should be carefully made in systemic amyloidosis.
PMID- 21883490
TI - Characterizing ultrafine particles and other air pollutants at five schools in
South Texas.
AB - This study examined five schools with different ventilation systems in both urban
and rural areas in South Texas. Total particle number concentration, ultrafine
particle (UFP, diameter < 100 nm) size distribution, PM(2.5) , and CO(2) were
measured simultaneously inside and outside of various school microenvironments.
Human activities, ventilation settings, and occupancy were recorded. The study
found a greater variation of indoor particle number concentration (0.6 * 10(3)
29.3 * 10(3) #/cm(3) ) than of outdoor (1.6 * 10(3) -16.0 * 10(3) #/cm(3) ). The
most important factors affecting indoor UFP levels were related to various indoor
sources. Gas fan heaters increased the indoor-to-outdoor ratio (I/O ratio) of
total particle number concentrations to 30.0. Food-related activities, cleaning,
and painting also contributed to the increased indoor particle number
concentration with I/O ratios larger than 1.0. Without indoor sources, the I/O
ratios for total particles varied from 0.12 to 0.66 for the five ventilation
systems studied. The I/O ratio decreased when the outdoor total particle number
concentration increased. Particles with diameters <60 nm were less likely to
penetrate and stay airborne in indoor environments than larger particles and were
measured with smaller I/O ratios. PRACTICAL IMPLICATIONS: From an exposure
assessment perspective, schools are important and little-studied
microenvironments where students congregate and spend a large proportion of their
active time. This study provides information for indoor and outdoor ultrafine
particle concentrations at different types of school microenvironments. These
data may allow future epidemiological studies to better estimate exposure and
assess ultrafine particles health effects among students.
PMID- 21883491
TI - Importance of urinary flow studies after hypospadias repair: a systematic review.
AB - A systematic review was performed of publications relating to the results of
urinary flow studies after hypospadias repair dating back to 1978, when what
appears to be the first publication on this topic was found. The literature
search was performed using the key words "hypospadias" combined with "urinary
flow", "urine flow", "uroflow", "uroflowmetry", and "long-term". We also reviewed
the abstracts and full-length articles cited in the reference list of selected
articles. Criteria for inclusion in the present systematic review included
descriptions of patient selection, surgical technique, the severity of disease
(proximal vs distal), and the method used to determine uroflow, as well as a
definition of urethral obstruction. In all, 339 article titles were found. Of
these, 25 abstracts appeared relevant and the full text of these articles were
reviewed, with 22 of the papers included in this review. Sixteen reports had
appeared in the literature since 2001, compared with six between 1978 and 2000,
suggesting an increasing interest in this topic. On the basis of the results of
the present systematic review, we recommend that asymptomatic children operated
on for hypospadias in infancy should have one flow study after toilet training,
with that study repeated if the results are abnormal. It appears that early
abnormal flows improve spontaneously, so that children operated on after toilet
training who are asymptomatic should undergo their first uroflow measurement 1
year after surgery. Children with obstructed flow parameters or borderline flows
should be followed until adulthood, until long-term follow up studies clarify the
significance of abnormal flow parameters. Given the present findings, we
anticipate that in the next two decades urologists will need to treat a number of
men with strictures resulting from hypospadias repairs performed in childhood.
PMID- 21883492
TI - Does testosterone deficiency exaggerate the clinical symptoms of Peyronie's
disease?
AB - Serum testosterone (T) influences wound healing and levels are decreased in the
age group at risk of Peyronie's disease (PD). The aim of the present study was to
evaluate the severity of penile deformity in men with PD in relation to T levels.
One-hundred and six patients with PD and T deficiency (serum T <3.5 ng/mL; Group
1) and those with normal T levels (Group 2) were compared according to the
duration of PD, the size and location of the plaques, penile curvature, pain on
erection, and the severity of erectile dysfunction. The mean degree of penile
curvature in Group 1 was significantly greater than in Group 2 (32.0 +/- 15.9
degrees vs 21.8 +/- 15.4 degrees , respectively). The mean Group 1 score on the
International Index of Erectile Function (IIEF)-5 was lower than the score for
Group 2 (7.4 +/- 3.7 vs 10.8 +/- 4.8, respectively). The percentage of patients
who complained of pain on erection did not differ between the two groups. Plaque
size in Group 1 was larger than in Group 2 (3.0 +/- 1.2 vs 2.0 +/- 1.2 cm,
respectively), whereas there was no significant difference in plaque location.
Although there was a lower percentage of responders to medical treatment in Group
1, there were no differences in surgical outcomes between the two groups. These
findings suggest that the presence of T deficiency in patients with PD
exaggerates the severity of PD by affecting penile deformity, plaque size, and
erectile dysfunction. Further studies are needed to confirm this relationship.
PMID- 21883493
TI - Editorial comment from Dr Ishidoya to increased expression of CYP17 and CYP11B1
in subclinical Cushing's syndrome due to adrenal adenomas.
PMID- 21883494
TI - Editorial comment from Dr Fang to increased expression of CYP17 and CYP11B1 in
subclinical Cushing's syndrome due to adrenal adenomas.
PMID- 21883495
TI - Serological screening for autoimmune polyendocrine syndromes in patients with
vitiligo.
PMID- 21883496
TI - Interaction of zearalenone and soybean isoflavone in diets on the growth
performance, organ development and serum parameters in prepubertal gilts.
AB - The aim of the present research was to determine the interactive effect of
zearalenone (ZEA) and soybean isoflavone (ISO) on the growth performance,
development of organs and serum parameters in prepubertal gilts. Ninety 75-day
old female pigs (Duroc * Landrace * Yorkshire, 26.5 +/- 0.60 kg) were randomly
allocated to nine diet treatments during the 21-day study. The experiment
employed a 3 * 3 factorial design using a non-soybean meal diet with the addition
of 0, 0.5 or 2.0 mg/kg ZEA and 0, 300 or 600 mg/kg ISO. The results indicated
that simultaneous addition of ZEA and ISO had no significant influence on the
growth performance in prepubertal gilts. Zearalenone with 2 mg/kg increased (p <
0.05) the relative weight of the reproductive organs (including uterus and
vagina) but had no obvious effects (p > 0.05) on the relative weight of the
heart, liver, lung, kidney and spleen. Isoflavone at 600 mg/kg could offset the
increased weight of the reproductive organs induced by ZEA. Simultaneous addition
of ZEA and ISO to prepubertal gilts increased the level of alanine
aminotransferase, aspartate aminotransferase and alkaline phosphatase in the
serum (p < 0.05) at day 14 but their levels decreased (p < 0.05) over time.
Zearalenone increased the level of malondialdehyde and decreased the
concentrations of superoxide dismutase and glutathione peroxidase (p < 0.05) in
the serum. The results suggested that ISO added to diets at 600 mg/kg could
reduce the increase in the relative weight of reproductive organs and relieve the
oxidative stress induced by ZEA added at 2 mg/kg during the growth phase in
prepubertal gilts.
PMID- 21883497
TI - Impact of dietary manganese concentration on status criteria to determine
manganese requirement in piglets.
AB - The Mn requirement for pigs is not well established. This study aimed to find
criteria for assessing growing piglet supply status for Mn and to determine
whether the current Mn recommendations meet the requirements for piglets. Thirty
six weaned male castrated 27-day-old piglets (7.24 +/- 0.69 kg) were randomized
into six groups of six piglets each and housed individually in stainless steel
metabolic cages for 42 days. The piglets were fed a diet based on skimmed milk
powder and corn starch with increasing Mn concentrations (0.24; 2; 4; 8; 16; or
32 mg Mn/kg diet as-fed). In week 6, Mn0.24 led to reduced feed intake (p <
0.05). Manganese concentrations in blood, liver, kidney, lung, heart, phalanx
proximalis, pancreas and skeletal muscle were influenced by the dietary Mn supply
(p < 0.05). The activity of the Mn-containing superoxide dismutase in the heart
as well as relative arginase activity in the liver were lower in groups Mn0.24,
Mn2 and Mn4 compared with the higher supplemented groups (p < 0.05). The relative
arginase activity increased clearly with enhanced dietary Mn up to 16 mg/kg and
correlated with Mn concentration in the liver. Manganese concentrations in the
liver, kidney and phalanx proximalis seem to be suitable biomarkers for Mn
status. A 4 mg/kg dietary Mn concentration recommended by NRC (1998, Nutrient
Requirements of Swine. National Academy Press, Washington DC.) did not fulfil
piglet requirements. Under the conditions investigated, 16 mg Mn/kg diet were
necessary to reach a plateau in specific enzyme activity and Mn concentration in
organs.
PMID- 21883498
TI - Cats during gestation and lactation fed with canned food ad libitum: energy and
protein intake, development of body weight and body composition.
AB - The NRC recommendations for cats for energy and protein supply during gestation
and lactation are based on limited data. This study aimed to answer the question:
Can the energy requirement be met with canned food or is the volume restrictive?
Therefore, balance trials were conducted in 10 queens before mating, during the
4th and 7th week of gestation and during the 2nd and 6th week of lactation. The
cats were fed with canned food ad libitum. Additionally, the body composition of
the queens was measured by dual-energy X-ray absorptiometry (Dexa) before mating,
after parturition and after weaning. Eight of 10 cats presented increased body
fat content and lean body mass during gestation. The weight loss during lactation
led to a loss of lean body mass, but only six cats lost body fat of widely
differing amounts. It was evident that the queens' dry matter intake was
consistent with that of queens fed ad libitum with dry food. The cats lost lean
body mass during lactation and had negative protein balances in the 2nd week of
lactation. This seems to be physiological in early lactation. Nevertheless, the
protein recommendations for lactation seem to be too low.
PMID- 21883499
TI - Effect of alternate day feeding strategy of sub-optimal protein level on haemato
biochemical responses in Labeo rohita (Hamilton) juveniles.
AB - A feeding trial was conducted for 60 days to delineate the effect of alternate
day feeding strategy of sub-optimal protein level on haematological parameters,
serum parameters and phagocyte respiratory burst activity (NBT) in Labeo rohita
juveniles. One hundred and thirty-five fingerlings (1.87 +/- 0.01-2.26 +/- 0.05
g) were distributed in triplicate groups of each treatment, and fish were fed at
5% body weight daily. Three experimental isocaloric (401.32-410.28 kcal/100 g)
diets of 30%, 25%, and 20% crude protein designated as diet A, diet B, and diet C
respectively, were prepared, using locally available feed ingredients. Three
different feeding schedules of normal protein diet continuously (diet A-30%),
alternate feeding of 1-day diet A followed by 1-day diet B (1A/1B) and alternate
feeding of 1-day diet A followed by 1-day diet C (1A/1C) were tested. The total
erythrocyte count and haemoglobin content was significantly (p < 0.05) enhanced
in the group T1 fed (1A/1B), and the lowest count was recorded in the group T2
fed (1A/1C). Total leucocyte counts, total serum protein, and serum globulin were
higher in the group T1 fed (1A/1B) and lower in the group T2 fed (1A/1C) as
compare to control. The respiratory burst activity (NBT) of blood phagocytes and
serum A-to-G ratio was recorded significantly difference in among the treatment
groups. Based on the results of the present study, it is concluded that alternate
feeding of 1-day diet A followed by 1-day diet B (1A/1B) is equally effective and
promote the immunity in Labeo rohita juveniles.
PMID- 21883500
TI - Fish oil supplementation improved liver phospholipids fatty acid composition and
parameters of oxidative stress in male Wistar rats.
AB - In the present study, we examined the effects of fish oil supplementation in 3
months old male Wistar rats on changes in plasma and liver lipid metabolism and
oxidative stress parameters. Twenty Wistar rats were randomly divided into two
groups of ten animals: control group and intervention group, treated for 6 weeks
with fish oil capsules containing 45 mg eicosapentanoic acid and 30 mg
docosahexanoic acid. After intervention, biochemical parameters in plasma
[triglycerides (TG), low-density lipoprotein (LDL), high-density lipoprotein
(HDL) and total cholesterol, urea, creatinine and uric acid], fatty acid (FAs)
profile of liver phospholipids and parameters of oxidative stress in liver
[activity of catalase, superoxide dismutase and paraoxonase (PON1), concentration
of nitrites, lipid peroxidation (LPO), free thiol (SH) groups and lactate
dehydrogenase (LDH) izoenzymes were determined. Treatment with fish oil improved
FAs profile of liver phospholipids, increasing n-3 FAs and decreasing n-6/n-3
ratio. Significant decrease in plasma TG and LDL concentration, and increase in
the level of HDL and uric acid were found in intervention group at the end of the
study. Catalase activity, LPO, and nitrites concentration in liver were
significantly decreased, after the supplementation, together with elevated PON1
activity. Applied treatment significantly improved plasma lipid profile, liver
FAs composition and parameters of oxidative stress in male Wistar rats.
PMID- 21883501
TI - Predicted metabolizable energy density and amino acid profile of the crop
contents of free-living scarlet macaw chicks (Ara macao).
AB - Hand rearing of neonates is a common practice for the propagation of psittacines.
However, nutritional requirements for their growth and development are not well
understood, and malnutrition is common. We analysed the amino acid (AA) profile
of the crop contents of 19 free-living scarlet macaw (Ara macao) chicks, 19-59
days old. Predicted metabolizable energy (PME) density was 16.9 MJ/kg DM and true
protein (total AA protein) 8.3 g/MJ PME. Crude protein (CP) was 10.0 g/MJ PME,
lower than the requirements of 0- to 12-week-old leghorn chicks but not different
than the requirements of growing budgerigars (Melopsittacus undulatus) and
lovebirds (Agapornis spp.). The mean concentrations of leucine, isoleucine,
threonine, lysine (Lys) and methionine on a PME basis were below the minimum
requirements of 0- to 12-week-old leghorn-type chicks. The calculated PME density
of the samples did not vary with age. However, there was a significant negative
correlation between the average age of the chicks and the Lys concentration. We
conclude that the lower CP and AA densities compared with poultry could result
from a combination of (i) differences in the essential AA composition of the body
tissues, (ii) adaptations that allow the birds to grow on low-protein food
sources and (iii) suboptimal nutrition of these free-ranging chicks.
PMID- 21883502
TI - Colonisation in adult patients with nosocomial candidemia.
AB - The aim of this prospective study was to investigate the association between
Candida spp. isolated from blood culture and the colonisation of different
anatomical sites of patients with candidemia, and to evaluate the colonisation
dynamics and Pittet's index. Cultures were collected from the different
anatomical sites of all the patients within 24 h of diagnosis of candidemia.
Molecular similarities between identical species colonised with Candida species
were evaluated via karyotyping. The colonisation index, as developed by Pittet et
al. was calculated using screening culture results from patients. Among the 40
patients screened for colonisation, 35 (87.5%) had colonisation of at least one
anatomical site. Twenty-six (74.3%) of the 35 patients with colonisation in any
of the three anatomical sites (respiratory, rectum and urinary sites) were shown
to be colonised with the same species that caused candidemia. When the anatomical
sites were compared with each other, no significant difference was observed at
the species level in terms of colonisation index. The colonisation index (>= 0.5)
positivity rate was 74% in patients with candidemia. The investigation of Candida
colonisation of at least three anatomical (respiratory, rectum and urinary) sites
could help in the selection of empirical antifungal therapy when nosocomial
candidemia is suspected.
PMID- 21883503
TI - Liver transplantation in patients with liver cirrhosis and active pneumonia: an
observational study.
AB - Patients with chronic liver disease are at high risk for severe infection because
of increased bacterial translocation and immune suppression associated with liver
dysfunction. Patients presenting with severe pneumonia and acute decompensation
of cirrhosis are generally not considered for liver transplantation because it is
unknown if these patients can recover from infection while under
immunosuppression. We performed an observational study where patients with
cirrhosis of the liver remained on the waiting list, although suffering from
active pneumonia. Nine patients were included, but only six patients improved
under goal-directed therapy and subsequently underwent liver transplantation. All
six patients recovered quickly from infection; five patients recovered without
sequelae and one patient died because of late complications. We propose that in
patients with chronic liver disease and active pneumonia transplantation is a
treatment option that should not hastily be abandoned.
PMID- 21883504
TI - Single shot of alemtuzumab as induction therapy after kidney transplantation is
sufficient.
AB - In an earlier study, we were able to show that Tac monotherapy following 2 * 20
mg alemtuzumab induction is at least as effective as Tac-based triple-drug
immunosuppression in cadaveric renal transplantation. We were interested to learn
whether 1 * 30 mg of alemtuzumab is as effective as 2 * 20 mg. Patients of the
initial study group (group A) received 20 mg alemtuzumab on days 0 and 2, and tac
monotherapy from day 2 on. This group acted as control group for the new arm
(group C), where patients were given only 1 * 30 mg alemtuzumab on day 0 followed
by Tac monotherapy from day 2 on with the same target levels as in the control
group. Frequency of rejection at 6 months was 15% in the control group compared
to 6% in the study group and 20% at 12 months in group A versus 6% in group C (P
= 0.034). Time to rejection was 4.9 months in group A and 0.8 in group C. One
year patient survival was 98.5% in both groups, graft survival 96.9% in group A,
and 98.5% in group C. Safety profile was similar in both groups apart from more
viral and bacterial infections in group C. Single shot alemtuzumab induction of
30 mg is as effective as 2 * 20 mg in cadaveric renal transplantation.
PMID- 21883505
TI - Accumulation of elderly ESRD patients with blood group O on the waiting list.
PMID- 21883506
TI - Iron and acetaminophen a fatal combination?
AB - Intentional iron overdose in adults is uncommon. Clinical consequences are
variable and depend on the quantity of iron ingested and the delay to treatment.
Severe iron overdose can lead to multi-organ failure and acute hepatic necrosis.
Here, we report three cases of polypharmacy overdose including iron resulting in
acute liver failure. Despite maximum supportive care including liver
transplantation in two cases, all patients died. Iron poisoning may have an
additive toxic effect in drug-induced acute liver failure and worsen outcome.
PMID- 21883507
TI - Intravaginal progesterone devices in synchronization protocols for artificial
insemination in beef heifers.
AB - Two experiments were designed to investigate the administration of intravaginal
progesterone in protocols for oestrus and ovulation synchronization in beef
heifers. In Experiment 1, cyclic Black Angus heifers (n = 20) received an Ovsynch
protocol and were randomly assigned to receive (CIDR-Ovsynch) or not (Ovsynch) a
progesterone device between Days 0 and 7. Treatment with a controlled internal
drug release (CIDR) device significantly increased the size of the dominant
follicle prior to ovulation (12.8 +/- 0.4 CIDR-Ovsynch vs 11.4 +/- 0.4 Ovsynch)
(p < 0.02). Plasma progesterone concentrations throughout the experiment were
affected by the interaction between group and day effects (p < 0.004). In
Experiment 2, cyclic Polled Hereford heifers (n = 382) were randomly assigned to
one of the six treatment groups (3 * 2 factorial design) to receive a CIDR, a
used bovine intravaginal device (DIB), or a medroxiprogesterone acetate (MAP)
sponge and GnRH analogues (lecirelin or buserelin). All heifers received
oestradiol benzoate plus one of the devices on Day 0 and PGF on Day 7 pm (device
withdrawal). Heifers were detected in oestrus 36 h after PGF and inseminated 8-12
h later, while the remainder received GnRH 48 h after PGF and were inseminated on
Day 10 (60 h). The number of heifers detected in oestrus on Day 8 and conception
rate to AI on Day 9 were higher (p < 0.01) in the used-DIB than in the CIDR or
MAP groups, while the opposite occurred with the pregnancy rate to FTAI on Day 10
(p < 0.01). There was no effect of progesterone source, GnRH analogue or their
interaction on overall pregnancy rates (64.9%). Progesterone treatment of heifers
during an Ovsynch protocol resulted in a larger pre-ovulatory follicle in beef
heifers. Progesterone content of intravaginal devices in synchronization
protocols is important for the timing of AI, as the use of low-progesterone
devices can shorten the interval to oestrus.
PMID- 21883508
TI - Progesterone production in mares and echographic evaluation of the corpora lutea
formed after follicular aspiration.
AB - Ultrasound-guided follicular aspiration was performed in 26 Criollo crossbred
mares, followed by the evaluation of ultrasonographic images of the Corpus luteum
(CL) that was formed after puncture of follicles of different diameters (Group 25
29 mm; Group 30-35 mm and Group >35 mm). Serum progesterone (P(4) )
concentrations were measured to determine CL function. The size of the CL was
measured and the CL was classified based on the following echoscore: 1- anechoic
tissue; 2- poorly defined luteal structure with low echogenicity; 3- echogenicity
analogous to a luteal structure. The proportion of aspirated follicles that
formed a functional CL (based on P(4) concentration) 8 days after aspiration was
57.1% (4/7; CL size 25-29 mm), 75.0% (6/8; CL size 30-35 mm) and 72.7% (8/11; CL
size >35 mm), respectively (p > 0.05). The echographic scores of aspirated
follicles (indicating the presence or absence of a CL) were consistent with serum
P(4) concentrations (p < 0.0001). Of 26 aspirations, 18 resulted in luteal
function confirmed by increased progesterone concentrations ([P(4) ] > 1.0
ng/ml); 17 of these mares (94.4%) had an echoscore (2-3) compatible with
luteinization (p = 0.0372). Eight days after aspiration, serum [P(4) ] > 2.0
ng/ml was associated with high (p = 0.0056) CL echoscore (3) in 15 of 17 mares
(88.2%). The echoscore used in this study was valuable as a screening test to
detect the presence of a functional CL after aspiration. An echoscore of 3 served
as a practical and efficient method to confirm luteinization.
PMID- 21883509
TI - Effect of different egg yolk-based extenders on the quality of ovine cauda
epididymal spermatozoa during storage at 4 degrees C.
AB - Cauda epididymal spermatozoa were obtained from testicles collected from
abattoir(s). The pooled sperm samples were divided into four aliquots. Each
aliquot was washed separately with the buffer of respective extender and finally
extended with the four extenders viz. egg yolk-citrate (EYC), egg yolk-citrate
fructose (EYCF), Tris-citric acid-egg yolk-fructose (TCEYF) and egg yolk
Mcillvaine glucose (EYMG) and preserved at 4 degrees C. The per cent sperm
motility for EYC, EYCF, TCEYF and EYMG at 0 h was 50.83%, 56.67%, 75.00% and
31.67%, respectively, and at 72 h was 24.17% (EYC), 30.83% (EYCF), 51.67% (TCEYF)
and 7.50% (EYMG). The corresponding figures for live sperm count at 0 h was
83.17%, 86.33%, 90.42% and 81.75% and at 72 h was 64.75%, 73.92%, 76.00% and
57.67%. The corresponding figures for mean per cent intact acrosome at 0 h was
95.33%, 95.50%, 90.92% and 97.25% and at 72 h was 86.17%, 83.92%, 77.58% and
86.33%. The sperm motility was significantly (p < 0.05) higher for TCEYF at
different h of preservation from 0 h through 72 h. The sperm motility, live sperm
count and per cent intact acrosome declined significantly (p < 0.05) with the
advancement of storage time in all the four extenders. Our study concluded that
TCEYF was best out of the extenders studied for preservation of cauda epididymal
spermatozoa after double centrifugation and extension at 4 degrees C up to 72 h
of preservation. However, EYCF also has better potential for the preservation of
cauda epididymal spermatozoa as viability was in close proximity and acrosomal
integrity was higher compared with TCEYF extender.
PMID- 21883510
TI - Luteal function and follicular growth following follicular aspiration during the
peri-luteolysis period in Bos indicus and crossbred cattle.
AB - Follicular estradiol triggers luteolysis in cattle. Therefore, the control of
follicle growth and steroidogenesis is expected to modulate luteal function and
might be used as an anti-luteolytic strategy to improve embryo survival.
Objectives were to evaluate follicular dynamics, plasma concentrations of
estradiol and luteal lifespan in Bos indicus and crossbred cows subjected to
sequential follicular aspirations. From D13 to D25 of a synchronized cycle
(ovulation = D1), Nelore or crossbred, non-pregnant and non-lactating cows were
submitted to daily ultrasound-guided aspiration of follicles >6 mm (n = 10) or to
sham aspirations (n = 8). Diameter of the largest follicle on the day of
luteolysis (7.4 +/- 1.0 vs 9.7 +/- 1.0 mm; mean +/- SEM), number of days in which
follicles >6 mm were present (2.3 +/- 0.4 vs 4.6 +/- 0.5 days) and daily mean
diameter of the largest follicle between D15 and D19 (6.4 +/- 0.2 vs 8.5 +/- 0.3
mm) were smaller (p < 0.01) in the aspirated group compared with the control
group, respectively. Aspiration tended to reduce (p < 0.10) plasma estradiol
concentrations between D18 and D20 (2.95 +/- 0.54 vs 4.30 +/- 0.55 pg/ml). The
luteal lifespan was similar (p > 0.10) between the groups (19.6 +/- 0.4 days),
whereas the oestrous cycle was longer (p < 0.01) in the aspirated group (31.4 +/-
1.2 vs 21.2 +/- 1.3 days). Hyperechogenic structures were present at the sites of
aspiration and were associated with increase in concentration of progesterone
between luteolysis and oestrus. It is concluded that follicular aspiration
extended the oestrous cycle and decreased the average follicular diameter on the
peri-luteolysis period but failed to delay luteolysis.
PMID- 21883511
TI - DGAT1, GH, GHR, PRL and PRLR polymorphism in water buffalo (Bubalus bubalis).
AB - The polymorphism of several genes has been shown to affect the milk composition
traits in dairy cattle, including DGAT1-exon8 K232A, GH-intron3 MspI, GH-exon5
AluI, GHR-exon8 F279Y, PRL-exon3 RsaI and PRLR-exon3 S18N. However, the
polymorphism and effects of these genes on the milk traits of water buffalo are
still unclear. In this study, four DNA pooling samples from Murrah, Nili-ravi,
Murrah-Nili-Swamp crossbreed and Chinese swamp buffalo were constructed,
respectively, and polymorphism of these sites was investigated using PCR-Single
strand conformation polymorphism and sequencing. Twenty-eight inter-specific
single-nucleotide polymorphism (SNPs) were found in these six assayed gene
fragments between buffalo and dairy cattle, including nine intra-specific SNPs
among buffalo groups. All buffalo fixed a K allele genotype in DGAT1-exon8,
MspI(+) restriction site(c nucleotide) and AluI(+) site(c nucleotide) at
intron3 and exon5 of GH gene, F allele genotype of F279Y mutation in GHR gene,
RsaI(-) restriction site at PRL-exon3/exon4 and N allele genotype of S18N
mutation at PRLR-exon3. It provides an indirect evidence that water buffalo have
fixed alleles with genotypes reported in dairy cattle, which is thought to be
responsible for high milk fat, high protein content and low milk yield. Moreover,
three new intra-specific SNPs were found including 275th bp (c/t) in DGAT1 of
Murrah buffalo, 109th bp (t/a) in PRL-exon3/exon4 and 43rd bp (c/t) in PRLR-exon3
of Chinese swamp buffalo. Information provided in this study will be useful in
further studies to improve buffalo breeding for better lactation performances.
PMID- 21883512
TI - Alternative treatment of ovarian cysts with Tribulus terrestris extract: a rat
model.
AB - Tribulus terrestris has long been used in traditional medicine to treat impotency
and improve sexual functions in man. The aim of this study was to evaluate the
efficiency of T. terrestris extract in the treatment of polycystic ovary (PCO) in
Wistar rat. Estradiol valerate was injected to 15 mature Wistar rats to induce
PCO. Rats were randomly divided into three groups (control, low-dose and high
dose groups) of five each and received 0, 5 and 10 mg of T. terrestris extract,
respectively.Treatments began on days 50 and 61 after estradiol injection; at the
same time, vaginal smear was prepared. The ovaries were removed on day 62, and
histological sections were prepared accordingly. The number and diameter of
corpora lutea, thickness of the theca interna layer and the number of all
follicles were evaluated in both ovaries. In comparison with the control group,
the number of corpora lutea and primary and secondary follicles significantly
increased following T. terrestris treatment; however, the number of ovarian cysts
significantly decreased. It can be concluded that T. terrestris have a
luteinizing effect on ovarian cysts, which may relate to its gonadotropin-like
activity; also, a high dose of the extract can efficiently remove ovarian cysts
and resume ovarian activity.
PMID- 21883513
TI - Follicle formation in the canine ovary after autografting to a peripheral site.
AB - This study reports about follicular development on the surface of canine ovarian
tissue after autografting under the fascia of the thoracolumbar muscle and about
meiotic resumption of follicle-derived oocyte after maturation culture. After
ovarian excision from a bitch, each ovary of the pairs was cut approximately into
half. The hemi-ovaries were transplanted into the bitch of origin at three
different body sites (under the fascia of the quadriceps femoris muscle and the
thoracolumbar muscle, and in the deltoid muscle in the scapular region). All
grafted ovaries were recovered from the bitch at 35 days post-transplantation. A
visible antral follicle was observed on the surface of the ovary grafted under
the thoracolumbar fascia. Histological examination revealed viable follicles at
different stages of development irrespective of graft site. Most granulosa cells
in the follicles at different stages of development expressed proliferating cell
nuclear antigen (PCNA). A total of three oocytes were collected from an ovary
grafted under the fascia of the thoracolumbar muscle, wherein an oocyte reached
metaphase I after maturation culture. This is the first report to demonstrate
follicular development and meiotic resumption of oocytes recovered from
autografted canine ovarian tissues.
PMID- 21883514
TI - The effect of LIF in the absence or presence of FSH on the in vitro development
of isolated caprine preantral follicles.
AB - We investigated the effect of the leukaemia inhibitory factor (LIF) alone or in
association with FSH on the in vitro culture (IVC) of caprine preantral
follicles. Preantral follicles >200 MUm in size were isolated and cultured for 18
days in basic medium either alone (control) or supplemented with LIF (10 or 50
ng/ml) in the absence or presence of FSH. Every 6 days, follicular survival,
growth and antrum formation were evaluated. At the end of the culture period, the
oocytes underwent in vitro maturation (IVM), and their viability and chromatin
configuration were assessed. Follicles of the control group and those cultured in
10 ng/ml LIF maintained the structural integrity (particularly the preservation
of the basement membrane) when compared to the oocytes cultured in 50 ng/ml LIF,
regardless the presence of FSH. In the absence of FSH, the percentage of antrum
formation after 18 days of culture in the 50 ng/ml LIF group was significantly
lower than in either the control group or the 10 ng/ml LIF group. However, this
effect was not observed in the presence of FSH. The rate of resumption of meiosis
was significantly higher in the 50 ng/ml LIF group in the absence of FSH in
comparison with the control and 10 ng/ml LIF groups. Metaphase II was observed
only when follicles were cultured in a combination of FSH and 50 ng/ml LIF. In
conclusion, LIF alone does not interfere with antral formation and oocyte growth,
but at concentration of 50 ng/ml and combined with FSH, it promotes oocyte
maturation.
PMID- 21883515
TI - Reproductive technologies relevant to the genome resource bank in Carnivora.
AB - Carnivora is one of the most species-rich order of Mammalia. Some species, e.g.
domestic cats, dogs and ferrets, are among the most popular pets; others, such as
minks and farmed foxes, have economic value for the fur breeding industry. Still
others, such as tigers, bears and other top predators, have great impact on the
health of natural ecosystems. Most if not all Carnivora species have great
cultural and aesthetic importance for man. There are enormous differences between
mammalian species in reproductive physiology, and it is not surprising that
reproductive technologies can be used with high efficiency with some animal
groups, e.g. most farm animals and laboratory rodents, but are very laborious
when used with Carnivora species, which often possess unique reproductive traits.
The efficiency of assisted reproductive technology (ART) applied to semi
domestic, non-domestic and especially to endangered species of Carnivora remains
extremely low in most cases, and often the first positive result reported is the
only instance when ART has been successful with that species. Although there are
approximately 270 species in the Carnivora order, to the best of our knowledge,
successful published attempts to apply ART have been reported for only four
families: Mustelidae, Felidae, Canidae and Ursidae. The main achievements in ART,
embryo technology in particular, for these families of Carnivora, together with
challenges and problems, are reviewed in the relevant sections.
PMID- 21883516
TI - Effects of seasons on some semen parameters and bacterial contamination of Awassi
ram semen.
AB - The objectives of the present study were to determine the effects of season on
some semen parameters and bacterial contamination of Awassi ram semen. Semen
samples from six mature Awassi rams were used in this study. Semen collection was
performed with artificial vagina every week, from September 2009 to October 2010.
Volume, sperm concentration, mass motility, individual motility, percentage live
sperm and sperm abnormalities were evaluated. Moreover, determination of viable
bacterial count of the rams was also recorded weekly. Higher (p < 0.05) semen
volume in the hot summer and spring months was observed of August (1.55 +/- 0.08
ml) and March (1.27 +/- 0.15 ml). Sperm concentration was highest (p < 0.05) in
the breeding season (late summer to early autumn) of September (4.21 +/- 0.86 *
10(9) sperm/ml). Sperm individual motility and percent of live sperm observed in
August (summer) and May (end of spring) when the environmental temperature
started to increase were recorded highest values and differed significantly (p <
0.05) from December and January (winter). The highest value of the mean sperm
acrosomal defects (13.33 +/- 0.63%) was recorded in December. The highest value
of the mean viable bacterial count (138.3 +/- 21.6) was recorded in July
(summer). A significant decrease (p < 0.01) in the mean viable bacterial count
was observed from the middle of winter towards the end of spring. The lowest
bacterial count was noted in January (60.5 +/- 2.98). It could be concluded from
the results of the present study that there is an effect of season on ram semen
quality, and summer high temperature in northern Iraq has no effect on Awassi ram
semen. There is a significant effect of season on bacterial count on Awassi ram
semen.
PMID- 21883517
TI - Ultrasonography and progesterone concentration at a single time point for the
detection of puberty in dairy heifers.
AB - Data were available from 1657 heifers across 48 dairy farms which were visited
once, on average 9 days (+/- 5.2) prior to the mating start date (23 April, +/-
12.6). Blood samples were collected via coccygeal venepuncture for progesterone
(P4) analysis, and animals were scanned for the presence or absence of corpora
lutea (CL), to determine the luteal status. A comparison of luteal status between
ultrasound (CL identified) and P4 (>= 1 ng/ml), based on a single measurement
point, showed poor agreement (K = 0.32). The majority of animals were classified
as luteal (76%) using both ultrasonography and P4. There was excellent agreement
between luteal status detected by ultrasonography alone and luteal status
assigned by a combination of ultrasonography and P4 (K = 0.93). The agreement
between luteal status assigned by P4 and luteal status assigned by the
combination of ultrasonography and P4 was poor (K = 0.37). These results indicate
that at a single examination, ultrasonography is the preferred modality to
determine the luteal status of maiden heifers.
PMID- 21883518
TI - Influence of the length of progestagen treatment and the time of oestradiol
benzoate application on the ovulatory follicle size and ovulation time in
anoestrous and cyclic beef cows.
AB - Previous research from our laboratory in beef cattle suggests that the pre
ovulatory follicle size, maturity and subsequent susceptibility to gonadotropin
are influenced by the length of progestagen treatment in artificial insemination
programme in beef cows. To test this hypothesis, two experiments were conducted.
In experiment 1, 35 anoestrous beef cows received an intravaginal sponge
containing 200 mg of medroxyprogesterone acetate. The treatment lasted for 7 (n =
12), 8 (n = 11) or 9 (n = 12) days. Half of the animals in each group were
injected with 0.7 mg of oestradiol benzoate (EB) at device removal (0 h) and the
other half 24 h later. In experiment 2, 38 cycling beef cows were treated with
the same protocols as in experiment 1. Ultrasound examinations were performed to
determine the follicular diameter at device removal (dominant follicle), interval
to ovulation and ovulatory follicle diameter. The dominant follicle of anoestrous
cows with progestagen for 7 days (8.4 +/- 1.6 mm) resulted smaller (p < 0.05)
than the cows treated for 8 (10.5 +/- 1.6 mm) and 9 days (10.6 +/- 1.2 mm).
However, regardless of the length of the treatments, ovulation time after device
removal was longer (p < 0.05) when EB was injected 24 h after withdrawal than at
0 h in anoestrous cows (EB0 = 52.7 +/- 4.0 h; EB24 = 70.8 +/- 6.2 h) and in
cyclic cows (EB0 = 50.0 +/- 21.0 h; EB24 = 73.0 +/- 20.0 h). In anoestrous cows,
the treatment with progestagen for 9 days and EB at 24 h increased the diameter
of the ovarian follicle (p = 0.033) but did not affect the diameter of the
ovulatory follicle in cyclic cows. In conclusion, increasing the length of
progestagen treatment for 8 or 9 days compared to 7 days increased the diameter
of the dominant follicle, in anoestrous and cyclic beef cows. Oestradiol benzoate
administered at device removal resulted in a shorter interval from device removal
to ovulation compared with EB injection 24 h after the end of a progestagen
treatment.
PMID- 21883520
TI - RepC protein of the octopine-type Ti plasmid binds to the probable origin of
replication within repC and functions only in cis.
AB - Vegetative replication and partitioning of many plasmids and some chromosomes of
alphaproteobacteria are directed by their repABC operons. RepA and RepB proteins
direct the partitioning of replicons to daughter cells, while RepC proteins are
replication initiators, although they do not resemble any characterized
replication initiation protein. Here we show that the replication origin of an
Agrobacterium tumefaciens Ti plasmid resides fully within its repC gene. Purified
RepC bound to a site within repC with moderate affinity, high specificity and
with twofold cooperativity. The binding site was localized to an AT-rich region
that contains a large number of GANTC sites, which have been implicated in
replication regulation in related organisms. A fragment of RepC containing
residues 26-158 was sufficient to bind DNA, although with limited sequence
specificity. This portion of RepC is predicted to have structural homology to
members of the MarR family of transcription factors. Overexpression of RepC in A.
tumefaciens caused large increases in copy number in cis but did not change the
copy number of plasmids containing the same oriV sequence in trans, confirming
other observations that RepC functions only in cis.
PMID- 21883521
TI - Strict regulation of morphological differentiation and secondary metabolism by a
positive feedback loop between two global regulators AdpA and BldA in
Streptomyces griseus.
AB - AdpA is a global transcriptional regulator that is induced by the microbial
hormone A-factor and activates many genes required for morphological
differentiation and secondary metabolism in Streptomyces griseus. We confirmed
that the regulatory tRNA gene bldA was required for translation of TTA-containing
adpA. We also demonstrated that AdpA bound two sites upstream of the bldA
promoter and activated transcription of bldA. Thus, we revealed a unique positive
feedback loop between AdpA and BldA in S. griseus. Forced expression of bldA in
an A-factor-deficient mutant resulted in the partial restoration of aerial
mycelium formation and streptomycin production, suggesting that the positive
feedback loop could prevent premature transcriptional activation of the AdpA
target genes in the wild-type strain. We revealed that the morphological defect
of the bldA mutant could be attributed mainly to the TTA codons of only two
genes: adpA and amfR. amfR encodes a transcriptional activator essential for
aerial mycelium formation and is a member of the AdpA regulon. Thus, amfR is
regulated by a feedforward mechanism involving AdpA and BldA. We concluded that
the central regulatory unit composed of AdpA and BldA plays important roles in
the initiation of morphological differentiation and secondary metabolism
triggered by A-factor.
PMID- 21883519
TI - The MAP kinase MpkA controls cell wall integrity, oxidative stress response,
gliotoxin production and iron adaptation in Aspergillus fumigatus.
AB - The saprophytic fungus Aspergillus fumigatus is the most important air-borne
fungal pathogen. The cell wall of A. fumigatus has been studied intensively as a
potential target for development of effective antifungal agents. A major role in
maintaining cell wall integrity is played by the mitogen-activated protein kinase
(MAPK) MpkA. To gain a comprehensive insight into this central signal
transduction pathway, we performed a transcriptome analysis of the DeltampkA
mutant under standard and cell wall stress conditions. Besides genes involved in
cell wall remodelling, protection against ROS and secondary metabolism such as
gliotoxin, pyomelanin and pseurotin A, also genes involved in siderophore
biosynthesis were regulated by MpkA. Consistently, northern and western blot
analyses indicated that iron starvation triggers phosphorylation and thus
activation of MpkA. Furthermore, localization studies indicated that MpkA
accumulates in the nucleus under iron depletion. Hence, we report the first
connection between a MAPK pathway and siderophore biosynthesis. The measurement
of amino acid pools and of the pools of polyamines indicated that arginine was
continuously converted into ornithine to fuel the siderophore pool in the
DeltampkA mutant strain. Based on our data, we propose that MpkA fine-tunes the
balance between stress response and energy consuming cellular processes.
PMID- 21883522
TI - Termination of Vibrio cholerae virulence gene expression is mediated by
proteolysis of the major virulence activator, ToxT.
AB - Vibrio cholerae is the causative agent of cholera, a severe diarrhoeal illness.
V. cholerae produces two major virulence factors: the cholera toxin, which
directly causes diarrhoea, and the toxin-coregulated pilus, which is required for
intestinal colonization. Production of these virulence factors is dependent on
the major virulence regulator, ToxT. Under virulence-inducing growth conditions,
transcription factors ToxR and TcpP initially activate transcription of toxT.
However, once ToxT has been expressed, it produces more of itself independent of
ToxR and TcpP by activating transcription of the long tcpA operon, within which
toxT is located. It is known that V. cholerae terminates virulence gene
expression prior to escape from the host, but it is unknown how this ToxT
positive feedback loop is broken, an essential step in terminating virulence gene
expression. To better understand how ToxT protein activity is regulated, we
monitored ToxT accumulation and activity under virulence-inducing and -repressing
growth conditions. Our results suggest that ToxT protein undergoes proteolytic
degradation to terminate virulence gene expression. This directed degradation of
ToxT supports a model for terminating V. cholerae virulence gene expression late
in infection, with both ToxT and TcpP undergoing proteolysis prior to escape from
the host.
PMID- 21883523
TI - Chlamydia trachomatis Slc1 is a type III secretion chaperone that enhances the
translocation of its invasion effector substrate TARP.
AB - Bacterial type III secretion system (T3SS) chaperones pilot substrates to the
export apparatus in a secretion-competent state, and are consequently central to
the translocation of effectors into target cells. Chlamydia trachomatis is a
genetically intractable obligate intracellular pathogen that utilizes T3SS
effectors to trigger its entry into mammalian cells. The only well-characterized
T3SS effector is TARP (translocated actin recruitment protein), but its chaperone
is unknown. Here we exploited a known structural signature to screen for putative
type III secretion chaperones encoded within the C. trachomatis genome. Using
bacterial two-hybrid, co-precipitation, cross-linking and size exclusion
chromatography we show that Slc1 (SycE-like chaperone 1; CT043) specifically
interacts with a 200-amino-acid residue N-terminal region of TARP (TARP1-200).
Slc1 formed homodimers in vitro, as shown in cross-linking and gel filtration
experiments. Biochemical analysis of an isolated Slc1-TARP1-200 complex was
consistent with a characteristic 2:1 chaperone-effector stoichiometry.
Furthermore, Slc1 was co-immunoprecipitated with TARP from C. trachomatis
elementary bodies. Also, coexpression of Slc1 specifically enhanced host cell
translocation of TARP by a heterologous Yersinia enterocolitica T3SS. Taken
together, we propose Slc1 as a chaperone of the C. trachomatis T3SS effector
TARP.
PMID- 21883524
TI - The evolution of a superbug: how Staphylococcus aureus overcomes its unique
susceptibility to polyamines.
AB - Polyamines are ubiquitous compounds thought to be synthesized by and required for
all life. The manuscript published in this issue by Joshi and colleagues upsets
this dogma by identifying several bacterial species that do not make polyamines,
and in some cases do not require polyamines for growth. One such species is the
significant human pathogen Staphylococcus aureus, which is shown to be uniquely
sensitive to polyamines. By unravelling the mechanisms of staphylococcal
polyamine toxicity and tolerance, Joshi et al. (2011) provide insights into how
the most virulent strains of S. aureus have evolved to be more fit during
infection.
PMID- 21883525
TI - A novel role of 'pseudo'gamma-butyrolactone receptors in controlling gamma
butyrolactone biosynthesis in Streptomyces.
AB - In streptomycetes, a quorum-sensing mechanism mediated by gamma-butyrolactones
(GBLs) and their cognate receptors was known to trigger secondary metabolism and
morphological differentiation. However, many aspects on the control of GBL signal
production are not understood. In this work, we report that ScbR2, the pseudo GBL
receptor in Streptomyces coelicolor, negatively controls the biosynthesis of
gamma-butyrolactone (SCB1) by directly repressing the transcription of scbA,
which encodes the key enzyme for SCB1 biosynthesis. Similarly, the pseudo GBL
receptor JadR2 in Streptomyces venezuelae was shown to repress the expression of
jadW1, which also encodes the putative GBL synthase. These regulatory
relationships were verified in Escherichia coli using lux-based reporter
constructs. Additionally, the temporal expression profiles of scbA, scbR2 and
scbR (receptor gene for SCB1) were examined in Streptomyces coelicolor, which
showed the sequential expression of ScbR/R2 regulators in the control of SCB1
production. Overall, our results clearly demonstrated that pseudo GBL receptors
play a novel role in controlling GBL biosynthesis in streptomycetes. As ScbR/R2
homologues and their binding sites upstream of GBL synthase genes are commonly
found in Streptomyces species, and ScbR2 homologues cross-recognize each other's
target promoters, the ScbA/R/R2 quorum-sensing regulatory system appears to
represent an evolutionarily conserved signal control mechanism.
PMID- 21883526
TI - Atypical DNA recognition mechanism used by the EspR virulence regulator of
Mycobacterium tuberculosis.
AB - The human pathogen Mycobacterium tuberculosis requires the ESX-1 secretion system
for full virulence. EspR plays a key role in ESX-1 regulation via direct binding
and transcriptional activation of the espACD operon. Here, we describe the
crystal structures of EspR, a C-terminally truncated form, EspRDelta10, as well
as an EspR-DNA complex. EspR forms a dimer with each monomer containing an N
terminal helix-turn-helix DNA binding motif and an atypical C-terminal
dimerization domain. Structural studies combined with footprinting experiments,
atomic force microscopy and molecular dynamic simulations allow us to propose a
model in which a dimer of EspR dimers is the minimal functional unit with two
subunits binding two consecutive major grooves. The other two DNA binding domains
are thus free to form higher-order oligomers and to bridge distant DNA sites in a
cooperative way. These features are reminiscent of nucleoid-associated proteins
and suggest a more general regulatory role for EspR than was previously
suspected.
PMID- 21883527
TI - The CRP/FNR family protein Bcam1349 is a c-di-GMP effector that regulates biofilm
formation in the respiratory pathogen Burkholderia cenocepacia.
AB - Burkholderia cenocepacia is an opportunistic respiratory pathogen that can cause
severe infections in immune-compromised individuals and is associated with poor
prognosis for patients suffering from cystic fibrosis. The second messenger
cyclic diguanosine monophosphate (c-di-GMP) has been shown to control a wide
range of functions in bacteria, but little is known about these regulatory
mechanisms in B. cenocepacia. Here we investigated the role that c-di-GMP plays
in the regulation of biofilm formation and virulence in B. cenocepacia. Elevated
intracellular levels of c-di-GMP promoted wrinkly colony, pellicle and biofilm
formation in B. cenocepacia. A screen for transposon mutants unable to respond to
elevated levels of c-di-GMP led to the identification of the mutant bcam1349 that
did not display increased biofilm and pellicle formation with excessive c-di-GMP
levels, and displayed a biofilm defect with physiological c-di-GMP levels. The
bcam1349 gene is predicted to encode a transcriptional regulator of the CRP/FNR
superfamily. Analyses of purified Bcam1349 protein and truncations demonstrated
that it binds c-di-GMP in vitro. The Bcam1349 protein was shown to regulate the
production of a number of components, including cellulose and fimbriae. It was
demonstrated that the Bcam1349 protein binds to the promoter region of the
cellulose synthase genes, and that this binding is enhanced by the presence of c
di-GMP. The bcam1349 mutant showed reduced virulence in a Galleria mellonella wax
moth larvae infection model. Taken together, these findings suggest that the
Bcam1349 protein is a transcriptional regulator that binds c-di-GMP and regulates
biofilm formation and virulence in B. cenocepacia in response to the level of c
di-GMP.
PMID- 21883529
TI - Novel roles of LeuO in transcription regulation of E. coli genome: antagonistic
interplay with the universal silencer H-NS.
AB - LeuO, the regulator of leucine biosynthesis operon of Escherichia coli, is
involved in the regulation of as yet unspecified genes affecting the stress
response and pathogenesis expression. To get insights into the regulatory role(s)
of LeuO, Genomic SELEX screening has been performed to identify the whole set of
its regulation targets. A total of 140 LeuO-binding sites were identified on the
E. coli genome, of which as many as 133 (95%) were found to contain the binding
sites of H-NS, the universal silencer of stress-response genes, supporting the
concept that LeuO plays an antagonistic role with anti-silencing activity.
Western blot analysis indicated that H-NS predominates in growing phase; however,
after prolonged culture for 1 week, H-NS decreased instead LeuO increased,
supporting the anti-silencing role of LeuO. In concert with this model, a set of
stress-response genes including cryptic chaperone/usher-type fimbriae operons are
under the control of antagonistic interplay between LeuO and H-NS. Confocal laser
scanning microscopic observation in flow-chambers showed that the mutants lacking
leuO and some fimbriae genes are defective in biofilm formation or form altered
biofilm architecture. Taken together we propose that LeuO is a major player in
antagonistic interplay against the universal silencer H-NS.
PMID- 21883528
TI - MamK, a bacterial actin, forms dynamic filaments in vivo that are regulated by
the acidic proteins MamJ and LimJ.
AB - Bacterial actins, in contrast to their eukaryotic counterparts, are highly
divergent proteins whose wide-ranging functions are thought to correlate with
their evolutionary diversity. One clade, represented by the MamK protein of
magnetotactic bacteria, is required for the subcellular organization of
magnetosomes, membrane-bound organelles that aid in navigation along the earth's
magnetic field. Using a fluorescence recovery after photobleaching assay in
Magnetospirillum magneticum AMB-1, we find that, like traditional actins, MamK
forms dynamic filaments that require an intact NTPase motif for their turnover in
vivo. We also uncover two proteins, MamJ and LimJ, which perform a redundant
function to promote the dynamic behaviour of MamK filaments in wild-type cells.
The absence of both MamJ and LimJ leads to static filaments, a disrupted
magnetosome chain, and an anomalous build-up of cytoskeletal filaments between
magnetosomes. Our results suggest that MamK filaments, like eukaryotic actins,
are intrinsically stable and rely on regulators for their dynamic behaviour, a
feature that stands in contrast to some classes of bacterial actins characterized
to date.
PMID- 21883530
TI - The effect of the addition of daily fruit and nut bars to diet on weight, and
cardiac risk profile, in overweight adults.
AB - BACKGROUND: The frequency of unhealthful snacking has increased dramatically over
the last three decades. Fruits and nuts have been shown to have positive health
effects. No study has investigated the aggregate effects of various fruits
combined with nuts in the form of snack bars on cardiovascular risk factors. The
aim of this randomised trial was to investigate the effects of a fruit and nut
snack bar on anthropomorphic measures, lipid panel and blood pressure in
overweight adults. METHODS: Ninety-four overweight adults (body mass index > 25
kg m(-2)) were randomly assigned to add two fruit and nut bars totalling 1421.9
kJ (340 kcal) to their ad libitum diet (intervention group) or to continue with
their ad libitum diet (control group). Subjects underwent assessment for weight
(primary outcome measure), as well as waist circumference, lipid panel and blood
pressure (secondary outcome measures), before and at the end of the 8-week
treatment. RESULTS: Weight did not change from baseline after snack bar addition
compared to controls (P = 0.44). Waist circumference (P = 0.69), blood pressure
(systolic, P = 0.83; diastolic, P = 0.79) and blood lipid panel (total
cholesterol, P = 0.72; high-density lipoprotein, P = 0.11; total cholesterol/high
density lipoprotein, P = 0.37; triglycerides, P = 0.89; low-density lipoprotein,
P = 0.81) also did not change from baseline compared to controls. CONCLUSIONS:
Two daily fruit and nut bars, totalling 1421.9 kJ (340 kcal), did not cause
weight gain. The role of habitual snacking on nutrient dense and satiating foods
on both weight over time, and diet quality, warrants further study. Satiating
snacks rich in fibre may provide a means to weight stabilisation.
PMID- 21883531
TI - Effects of the alpha-2 adrenoceptor agonist guanfacine on attention and working
memory in aged non-human primates.
AB - Alpha-2 adrenergic receptors are potential targets for ameliorating cognitive
deficits associated with aging as well as certain pathologies such as attention
deficit disorder, schizophrenia and Parkinson's disease. Although the alpha-2
agonist guanfacine has been reported to improve working memory in aged primates,
it has been difficult to assess the extent to which these improvements may be
related to drug effects on attention and/or memory processes involved in task
performance. The present study investigated effects of guanfacine on specific
attention and memory tasks in aged monkeys. Four Rhesus monkeys (18-21 years old)
performed a sustained attention (continuous performance) task and spatial working
memory task (self-ordered spatial search) that has minimal demands on attention.
Effects of a low (0.0015 mg/kg) and high (0.5 mg/kg) dose of gunafacine were
examined. Low-dose guanfacine improved performance on the attention task [i.e.
decreased omission errors by 50.8 +/- 4.3% (P = 0.001) without an effect on
commission errors] but failed to improve performance on the spatial working
memory task. The high dose of guanfacine had no effects on either task.
Guanfacine may have a preferential effect on some aspects of attention in normal
aged monkeys and in doing so may also improve performance on other tasks,
including some working memory tasks that have relatively high attention demands.
PMID- 21883532
TI - Fast food and take-away food consumption are associated with different lifestyle
characteristics.
AB - BACKGROUND: One of the most prominent characteristics of fast food and take-away
food is that it is convenient, meaning that it saves time, it reduces the
required effort for food provisioning and culinary skills are transferred.
Studies that investigate the unique effect of these factors on dietary behaviours
are lacking. Therefore, the present study aimed to examine the associations of
time, effort, time spent cooking and cooking skills with fast food and take-away
food consumption. METHODS: Between May and June 2009, a random postal survey was
sent out to 2323 Swiss households. The response rate was 44% (n = 1017). Spearman
rank correlations and logistic regression analysis were used to determine the
multiple relationships of fast food and take-away food intake with gender, age,
educational level, income, mental effort, physical effort, working status,
cooking skills and time spent cooking. RESULTS: Fast food consumption was found
to be associated with gender (males) [odds ratio (OR) = 1.61, P < 0.05], age (40
59 years) (OR = 0.41, P < 0.001), age (>=60 years) (OR = 0.13, P < 0.001), time
spent cooking (OR = 0.99; P < 0.01) and cooking skills (OR = 0.81, P < 0.05).
Take-away food consumption was found to be associated with gender (males) (OR =
1.86, P < 0.01), age (40-59 years) (OR = 0.58, P < 0.01), age (>=60 years) (OR =
0.28, P < 0.001), income (OR = 1.11, P <0.01), education (middle) (OR = 0.65, P <
0.05) and mental effort (OR = 1.25, P < 0.05). CONCLUSIONS: Take-away and fast
food consumption are behaviours that share the same demographic determinants of
age and gender, although they are influenced by different life style
determinants. It is very likely that motivations related to time, effort and
cooking are of increasing importance for food decisions in our society.
PMID- 21883533
TI - Responses to corticotrophin-releasing hormone and dexamethasone in a large series
of human adrenocorticotrophic hormone-secreting pituitary adenomas in vitro
reveal manifold corticotroph tumoural phenotypes.
AB - Patients with Cushing's disease are known to present a variable secretory
response to stimulatory and inhibitory challenges. Evaluation of the secretory
behaviour of pituitary adrenocorticotrophic hormone (ACTH)-secreting adenomas in
vitro aids in the comprehension of its behaviour in vivo; however, given the
small size of these tumours and the consequent paucity of material available to
in vitro studies, a comprehensive study on the secretory behaviour of human
corticotroph tumours has not yet been performed. The present study aimed to
assess the spectrum of responses to the two main corticotroph modulators,
corticotrophin-releasing hormone (CRH) and dexamethasone, in a large series of
human ACTH-secreting pituitary tumours. Seventy-two ACTH-secreting pituitary
tumours were collected during surgery and established in culture. Specimens were
incubated with 10 nm CRH and/or 10 nm dexamethasone for 4 h and 24 h. Secretion
in unstimulated, control wells was set at 100% and changes in ACTH concentrations
by at least 20% were considered as responses. Parallel experiments in 12 rat
anterior pituitary primary cultures were evaluated. A marked ACTH increase was
observed during incubation with CRH in 70% of tumoural specimens at 4 h (range
124-3500% of control wells) and in 57% at 24 h (range 122-3323%). Dexamethasone
reduced ACTH secretion in almost 50% of tumours (range 78-2% of control at 4 h;
76-3% at 24 h), whereas it did not affect ACTH medium levels in 30% of specimens
and induced a paradoxical ACTH increase in 20% of tumours (range 130-327% of
control at 4 h; 156-348% at 24 h). By comparison, CRH uniformly increased ACTH
levels in rat anterior pituitary primary cultures (mean 745 +/- 84% at 4 h; 347
+/- 25% at 24 h), whereas dexamethasone decreased ACTH levels by 40-50% in all
experiments. In conclusion, the present study of a large series of human ACTH
secreting pituitary tumours in vitro revealed a considerable variability in the
responses to CRH and dexamethasone. This finding indicates the existence of
multiple corticotroph tumoural phenotypes and may account for the different
responses to physiological and pharmacological modulators in vivo.
PMID- 21883534
TI - Adipose zinc-alpha2-glycoprotein is a catabolic marker in cancer and noncancerous
states.
AB - OBJECTIVE: Zinc-alpha2-glycoprotein (ZAG) has been proposed as a tumour-derived
cancer cachexia factor. However, ZAG is produced by some normal tissues,
including white adipose tissue (WAT), and high serum ZAG levels are present in
nonmalignant conditions. We determined whether human WAT contributes to serum ZAG
levels and how serum and WAT-secreted ZAG levels correlate with catabolism in
patients with cancer and in obese subjects undergoing a very low-calorie diet
(VLCD) for 11 days. DESIGN/SUBJECTS: ZAG levels in serum and in conditioned
medium from WAT/adipocytes were determined by enzyme-linked immunosorbent assay.
ZAG release from WAT in vivo was determined in 10 healthy subjects. The
correlation between ZAG and cachexia was studied in 34 patients with newly
diagnosed gastrointestinal cancer. The impact of a VLCD on ZAG release and serum
levels was assessed in 10 obese women. RESULTS: ZAG was released from abdominal
WAT and adipocytes in vitro. However, the arteriovenous differences in vivo
showed that there was no significant contribution of WAT to the circulating
levels. WAT-secreted but not serum ZAG correlated positively with poor
nutritional status but not with fat mass (or body mass index) in patients with
gastrointestinal cancer. In obese subjects on a VLCD, ZAG secretion from WAT
increased significantly whereas serum levels remained unaltered. CONCLUSIONS:
ZAG is released from human WAT, but this tissue does not contribute significantly
to the circulating levels. WAT-secreted ZAG correlates with nutritional status
but not with fat mass in both cancer and nonmalignant conditions. Adipose ZAG is
therefore a local factor activated primarily by the catabolic state per se.
PMID- 21883536
TI - Inflammatory and haemostatic markers in idiopathic intracranial hypertension.
PMID- 21883537
TI - beta2 -adrenergic receptor Thr164IIe polymorphism, blood pressure and ischaemic
heart disease in 66 750 individuals.
AB - OBJECTIVES: The beta(2) -adrenergic receptor (ADRB2) is located on smooth muscle
cells and is an important regulator of smooth muscle tone. The Thr164Ile
polymorphism (rs1800888) in the ADRB2 gene is rare but has profound functional
consequences on receptor function and could cause lifelong elevated smooth muscle
tone. We tested the hypothesis that Thr164Ile is associated with increased blood
pressure, increased frequency of hypertension and increased risk of
cardiovascular disease (CVD). SUBJECTS: A total of 66 750 individuals from two
large Danish general population studies were genotyped, and 1943 Thr164Ile
heterozygotes and 16 homozygotes were identified. RESULTS: Thr164Ile genotype was
associated with increased systolic and diastolic blood pressure in women (trend:
P = 0.04 and 0.02): systolic and diastolic blood pressure increased by 5% and 2%,
respectively, in female homozygotes compared with female noncarriers. All female
Thr164Ile homozygotes had hypertension compared with 58% of female heterozygotes
and 54% of female noncarriers (chi-square: P = 0.001). Female Thr164Ile
homozygotes and heterozygotes had odds ratios for ischaemic heart disease (IHD)
of 2.93 (0.56-15.5) and 1.28 (1.03-1.61), respectively, compared with female
noncarriers (trend: P = 0.007). These differences were not observed in men.
Furthermore, Gly16Arg (rs1042713) and Gln27Glu (rs1042714) in the ADRB2 gene were
not associated with blood pressure, hypertension or CVD either in the population
overall or in women and men separately. CONCLUSIONS: ADRB2 Thr164Ile is
associated with increased blood pressure, increased frequency of hypertension and
increased risk of IHD amongst women in the general population. These findings,
particularly for homozygotes, are novel.
PMID- 21883539
TI - Fine needle aspiration cytology in ovarian lesions: an institutional experience
of 584 cases.
AB - OBJECTIVE: To assess the diagnostic value of fine needle aspiration cytology
(FNAC) in ovarian lesions. METHODS: This was a retrospective study of ultrasound
guided (US) FNAC of 584 ovarian lesions from January 1998 to July 2010. The
lesions were categorized into non-neoplastic lesions, neoplastic lesions and
inadequate aspirates. The results were compared with the corresponding
histopathology whenever available. RESULTS: Of the 584 lesions, 180 (30.8%) were
reported as non-neoplastic (48 non-specific inflammation, 11 tuberculosis, 63
functional cysts and 58 endometriotic cysts), 249 (42.6%) as neoplastic (81
benign lesions/tumours and 168 malignant) and 155 (26.5%) as inadequate. Based on
the subsequent histopathology, which was available in 121 (20.7%), the cases were
divided into those that were concordant and discordant. Concordant cases
comprised 92/121 (76%), including 28 non-neoplastic lesions (seven non-specific
inflammation, nine functional cysts and 12 endometriotic cysts), 42 surface
epithelial tumours (13 benign and 29 malignant), 10 germ cell tumours (five
mature cystic teratomas and five mixed germ cell tumours), seven sex-cord stromal
tumours (three granulosa cell tumours, one sclerosing stromal tumour, one strumal
leutoma, one Sertoli Leydig cell tumour and one malignant Sertoli cell tumour)
and five miscellaneous lesions (one plasma cell tumour, two leiomyosarcomas and
two cases of necrosis). Discordant cases comprised 29/121 (24%) (21were
inconclusive or inadequate on cytology), including four endometriotic cysts, 14
surface epithelial tumours (one cystadenofibroma, one borderline mucinous tumour
and 12 carcinomas), five germ cell tumours (two immature teratomas and three
mature cystic teratomas), two thecomas, one fibroma, one sclerosing stromal
tumour, one fibrosarcoma and one myxoma. FNAC sensitivity for a diagnosis of
malignancy was 85.7%, specificity 98.0%, positive predictive value 97.7%,
negative predictive value 87.7% and accuracy 92.0%, if 21 inconclusive/inadequate
FNACs were excluded; with the latter taken as false negatives, sensitivity was
73.7% and accuracy 76.0%. CONCLUSION: FNAC has a high specificity for diagnosis
of ovarian/adnexal lesions but greater experience is required for the accurate
subtyping of neoplasms and sensitivity is limited by inconclusive/inadequate
results.
PMID- 21883538
TI - Desensitization regimens for drug allergy: state of the art in the 21st century.
AB - Adverse reactions to drugs are increasingly being recognized as important
contributions to disease in their own right as well as impediments to the best
treatment of various conditions, including infectious, autoimmune, and neoplastic
maladies. Rapid drug desensitization (RDD) is an effective mechanism for safely
administering important medications while minimizing or entirely circumventing
such adverse reactions in sensitized patients. We reviewed the literature on RDD
in the last 10 years, including our experience from the Brigham and Women's
Hospital Desensitization Program with hundreds of patients desensitized to a
broad variety of drugs. RDD in our programme has been uniformly successful in
patients with hypersensitivity reactions to antibiotics, chemotherapeutics, and
monoclonal antibodies. Any reactions that occur during desensitization are
generally much less severe than the initial hypersensitivity reaction to the
drug, and patients have received the full dose of the desired medication 99.9% of
the time out of (796) desensitizations. To date, there have been no fatalities.
RDD is a safe and highly effective method for treating sensitized patients with
the optimal pharmacologic agents. Its use should be expanded, but because patient
safety is paramount, protocols must be created, reviewed, and overseen by
allergist-immunologists with special training and experience in modern techniques
of desensitization.
PMID- 21883535
TI - Plasma sCD36 is associated with markers of atherosclerosis, insulin resistance
and fatty liver in a nondiabetic healthy population.
AB - OBJECTIVES: Insulin resistance is associated with increased CD36 expression in a
number of tissues. Moreover, excess macrophage CD36 may initiate atherosclerotic
lesions. The aim of this study was to determine whether plasma soluble CD36
(sCD36) was associated with insulin resistance, fatty liver and carotid
atherosclerosis in nondiabetic subjects. METHODS: In 1296 healthy subjects
without diabetes or hypertension recruited from 19 centres in 14 European
countries (RISC study), we determined the levels of sCD36, adiponectin, lipids
and liver enzymes, insulin sensitivity (M/I) by euglycaemic-hyperinsulinaemic
clamp, carotid atherosclerosis as intima-media thickness (IMT) and two estimates
of fatty liver, the fatty liver index (FLI) and liver fat percentage (LF%).
RESULTS: IMT, FLI, LF%, presence of the metabolic syndrome, impaired glucose
regulation, insulin and triglycerides increased across sCD36 quartiles (Q2-Q4),
whereas adiponectin and M/I decreased (P <= 0.01). sCD36 was lower in women than
in men (P = 0.045). Log sCD36 showed a bimodal distribution, and amongst subjects
with sCD36 within the log-normal distribution (log-normal population, n = 1029),
sCD36 was increased in subjects with impaired glucose regulation (P = 0.045),
metabolic syndrome (P = 0.006) or increased likelihood of fatty liver (P <
0.001). sCD36 correlated significantly with insulin, triglycerides, M/I and FLI
(P < 0.05) after adjustment for study centre, gender, age, glucose tolerance
status, smoking habits and alcohol consumption. In the log-normal population,
these relationships were stronger than in the total study population and,
additionally, sCD36 was significantly associated with LF% and IMT (P < 0.05).
CONCLUSIONS: In this cross-sectional study of nondiabetic subjects, sCD36 was
significantly associated with indices of insulin resistance, carotid
atherosclerosis and fatty liver. Prospective studies are needed to further
evaluate the role of sCD36 in the inter-relationship between atherosclerosis,
fatty liver and insulin resistance.
PMID- 21883540
TI - Reactive tubal epithelium mimicking Mullerian serous carcinoma: pitfalls in the
evaluation of pelvic wash specimens.
PMID- 21883541
TI - Fine needle aspiration cytology of a granular cell tumour arising in the thyroid
gland.
PMID- 21883542
TI - Fine needle aspiration cytology of metastatic polymorphous low-grade
adenocarcinoma of the palate in a cervical lymph node.
PMID- 21883543
TI - Humoral immunomodulatory effect of influenza vaccine in potential blood donors:
implications for transfusion safety.
AB - BACKGROUND: Generalised immune stimulation may follow vaccination causing
increased antibody titres of nonvaccine-related antibodies or bystander
antibodies, including those to human leukocyte antigens (HLA) and ABO blood group
antigens. HLA antibodies may lead to transfusion-related acute lung injury. High
titre ABO antibodies may cause acute haemolytic transfusion reactions after
plasma-incompatible platelet transfusion. It is unknown if these antibodies can
be stimulated by vaccination in otherwise normal subjects. METHODS: Blood samples
of healthy volunteers who received the 2009 influenza vaccine were analysed for
HLA and ABO antibodies before and 14 days after vaccination (n = 86). Age, gender
and history of exposure to foreign tissue, through pregnancy, blood transfusion
or tissue transplant were collected. Results were analysed with descriptive
statistics, paired t-test and chi(2) test. RESULTS: There was no increase in HLA
or ABO antibody levels after vaccination (P = not significant). Forty per cent of
subjects (n = 35) had previously formed HLA antibody and 16% (7 males and 7
females) had HLA sensitisation but did not report foreign tissue exposure. The
average panel reactive antibody of the HLA sensitised but nonexposed subjects was
lower in males than females (3.4 and 28.6%, respectively, P = 0.015, t-test),
suggesting that some females may have had unrecognised pregnancy. CONCLUSIONS:
HLA or ABO antibodies did not appear to be stimulated by the 2009 influenza
vaccine. Female blood donors with putatively unrecognised pregnancies may have
higher risk for HLA sensitisation than previously thought. Further study using
different vaccine formulations may lead to better understanding of the risks of
bystander antibodies in the blood donor population.
PMID- 21883544
TI - A case of a canine pigmented plaque associated with the presence of a Chi
papillomavirus.
AB - The seven fully described canine papillomaviruses (CPVs) have been allocated by
sequence comparison and other genetic features into three phylogenetic clades.
This largely reflects clinical findings, so each sequence of a newly discovered
CPV in combination with clinical and pathological details is a valuable piece of
evidence. We hypothesize that the genomic sequence of a new CPV can help to
predict clinical features and progression, and that this can be tested in
subsequent cases. In this case, a 2-year-old female dachshund-mix presented with
papillomatosis clinically and histologically characterized as pigmented viral
plaques. PCRs using primers evaluated for CPVs successfully amplified
papillomavirus (PV) DNA. Sequencing of the products revealed an unknown PV
putatively belonging to the PV genus Chi. Rolling circle amplification was used
to amplify the entire viral genome. Sequencing revealed a novel PV, designated as
CPV8, which was most closely related (63% homology) to the recently discovered
CPV4. CPV4 is associated with benign pigmented plaques in pugs. Phylogenetic
analysis based on the nucleotide sequences of four viral genes showed that the
novel virus was closest to CPV3, CPV4 and CPV5. The presence of viral DNA was
confirmed in the lesions by in situ hybridization using specific probes. CPV8 may
consequently be regarded as the fourth member of the Chi-papillomavirus genus.
All viruses belonging to this genus induce pigmented plaques in dogs. These
findings support the hypothesis that genomic sequences can be useful in
predicting the clinical features of CPV infection.
PMID- 21883545
TI - A case of xanthoma in a Saanen goat.
AB - This report describes a case of a subcutaneous xanthoma of the sacral region in a
2-year-old female Saanen goat. The tan-coloured mass was 8.5 cm * 4.0 cm * 0.5 cm
in size. Yellow-white areas were present across the cut surface.
Histopathologically, the mass was composed of foamy macrophages, numerous giant
cells, abundant lipid material and cholesterol clefts. The structure consisted of
lobular areas surrounded by a stroma. Tissue sections were negative for bacteria,
fungi and mycobacteria. Frozen tissue from the mass stained positively with Oil
Red O, confirming lipid accumulation in both the extracellular spaces and the
large foamy macrophages. Immunohistochemically, the mass was positive for
vimentin, proliferating cell nuclear antigen and CD68, but negative for smooth
muscle actin, glial fibrillary acidic protein and S100 protein antibodies. As the
animal was presented dead, it was not possible to analyse blood lipid levels. To
the authors' knowledge, this is the first report of a xanthoma in a goat.
PMID- 21883546
TI - Self-harm and attempted suicide among therapeutic community admissions.
AB - INTRODUCTION AND AIMS: The study aimed to determine the histories of self-harm
and attempted suicide among therapeutic community entrants by primary presenting
substance problem, and to determine predictors of these behaviours. DESIGN AND
METHODS: One hundred and eighty-eight consecutive new treatment admissions to We
Help Ourselves drug-free treatment services were administered a structured
interview. RESULTS: Lifetime histories of self-harm were reported by 34% (9%
within the preceding year), and 20% had done so on multiple occasions. Just over
one-third reported a lifetime history of attempted suicide (15% within the
preceding year), and 17% reported multiple attempts. There were no significant
bivariate associations between primary drug and either behaviour. Multivariate
analyses indicated that the alcohol and opioid groups were significantly more
likely than the cannabis group to have a history of self-harm, but did not differ
from each other or the psychostimulant group. Other independent correlates of
self-harm were female gender, lifetime PTSD diagnosis, younger age at first
intoxication and a history of attempted suicide. In multivariate analyses, the
alcohol and opioid groups were significantly less likely than the cannabis group
to have a history of attempted suicide, but did not differ from each other or the
psychostimulant group. Other significant correlates of attempted suicide were
female gender and a history of self-harm. DISCUSSION AND CONCLUSIONS: Both self
harm and suicide attempts were highly prevalent, and strongly associated with
each other. Moreover, there were few differences between primary drug problems.
All entrants should be screened for these behaviours, and appropriate responses
implemented.
PMID- 21883547
TI - The pyruvate, orthophosphate dikinase regulatory proteins of Arabidopsis are both
bifunctional and interact with the catalytic and nucleotide-binding domains of
pyruvate, orthophosphate dikinase.
AB - Pyruvate orthophosphate dikinase (PPDK) is a key enzyme in C(4) photosynthesis
and is also found in C(3) plants. It is post-translationally modified by the
PPDK regulatory protein (RP) that possesses both kinase and phosphotransferase
activities. Phosphorylation and dephosphorylation of PPDK lead to inactivation
and activation respectively. Arabidopsis thaliana contains two genes that encode
chloroplastic (RP1) and cytosolic (RP2) isoforms of RP, and although RP1 has both
kinase and phosphotransferase activities, to date RP2 has only been shown to act
as a kinase. Here we demonstrate that RP2 is able to catalyse the
dephosphorylation of PPDK, although at a slower rate than RP1 under the
conditions of our assay. From yeast two-hybrid analysis we propose that RP1 binds
to the central catalytic domain of PPDK, and that additional regions towards the
carboxy and amino termini are required for a stable interaction between RP2 and
PPDK. For 21 highly conserved amino acids in RP1, mutation of 15 of these reduced
kinase and phosphotransferase activity, while mutation of six residues had no
impact on either activity. We found no mutant in which only one activity was
abolished. However, in some chimaeric fusions that comprised the amino and
carboxy termini of RP1 and RP2 respectively, the kinase reaction was severely
compromised but phosphotransferase activity remained unaffected. These findings
are consistent with the findings that both RP1 and RP2 modulate reversibly the
activity of PPDK, and possess one bifunctional active site or two separate sites
in close proximity.
PMID- 21883548
TI - Cellulose synthesis via the FEI2 RLK/SOS5 pathway and cellulose synthase 5 is
required for the structure of seed coat mucilage in Arabidopsis.
AB - The seeds of Arabidopsis thaliana and many other plants are surrounded by a
pectinaceous mucilage that aids in seed hydration and germination. Mucilage is
synthesized during seed development within maternally derived seed coat mucilage
secretory cells (MSCs), and is released to surround the seed upon imbibition. The
FEI1/FEI2 receptor-like kinases and the SOS5 extracellular GPI-anchored protein
were shown previously to act on a pathway that regulates the synthesis of
cellulose in Arabidopsis roots. Here, we demonstrate that both FEI2 and SOS5 also
play a role in the synthesis of seed mucilage. Disruption of FEI2 or SOS5 leads
to a reduction in the rays of cellulose observed across the seed mucilage inner
layer, which alters the structure of the mucilage in response to hydration.
Mutations in CESA5, which disrupts an isoform of cellulose synthase involved in
primary cell wall synthesis, result in a similar seed mucilage phenotype. The
data indicate that CESA5-derived cellulose plays an important role in the
synthesis and structure of seed coat mucilage and that the FEI2/SOS5 pathway
plays a role in the regulation of cellulose synthesis in MSCs. Moreover, these
results establish a novel structural role for cellulose in anchoring the pectic
component of seed coat mucilage to the seed surface.
PMID- 21883549
TI - Overexpression of Arabidopsis thaliana PTEN caused accumulation of autophagic
bodies in pollen tubes by disrupting phosphatidylinositol 3-phosphate dynamics.
AB - Autophagy is a pathway in eukaryotes by which nutrient remobilization occurs
through bulk protein and organelle turnover. Autophagy not only aides cells in
coping with harsh environments but also plays a key role in many physiological
processes that include pollen germination and tube growth. Most autophagic
components are conserved among eukaryotes, but phylum-specific molecular
components also exist. We show here that Arabidopsis thaliana PTEN, a protein and
lipid dual phosphatase homologous to animal PTENs (phosphatase and tensin
homologs deleted on chromosome 10), regulates autophagy in pollen tubes by
disrupting the dynamics of phosphatidylinositol 3-phosphate (PI3P). The pollen
specific PTEN bound PI3P in vitro and was localized at PI3P-positive vesicles.
Overexpression of PTEN caused accumulation of autophagic bodies and resulted in
gametophytic male sterility. Such an overexpression effect was dependent upon its
lipid phosphatase activity and was inhibited by exogenous PI3P or by expression
of a class III phosphatidylinositol 3-kinase (PI3K) that produced PI3P.
Overexpression of PTEN disrupted the dynamics of autophagosomes and a
subpopulation of endosomes, as shown by altered localization patterns of
respective fluorescent markers. Treatment with wortmannin, an inhibitor of class
III PI3K, mimicked the effects by PTEN overexpression, which implied a critical
role for PI3P dynamics in these processes. Despite sharing evolutionarily
conserved catalytic domains, plant PTENs contain regulatory sequences that are
distinct from those of animal PTENs, which might underlie their differing
membrane association and thereby function. Our results show that PTEN regulates
autophagy through phylum-specific molecular mechanisms.
PMID- 21883550
TI - Chromosomal rearrangements between tomato and Solanum chilense hamper mapping and
breeding of the TYLCV resistance gene Ty-1.
AB - Tomato yellow leaf curl disease, a devastating disease of Solanum lycopersicum
(tomato), is caused by a complex of begomoviruses generally referred to as Tomato
yellow leaf curl virus (TYLCV). Almost all breeding for TYLCV resistance has been
based on the introgression of the Ty-1 resistance locus derived from Solanum
chilense LA1969. Knowledge about the exact location of Ty-1 on tomato chromosome
6 will help in understanding the genomic organization of the Ty-1 locus. In this
study, we analyze the chromosomal rearrangement and recombination behavior of the
chromosomal region where Ty-1 is introgressed. Nineteen markers on tomato
chromosome 6 were used in F(2) populations obtained from two commercial hybrids,
and showed the presence of a large introgression in both. Fluorescence in situ
hybridization (FISH) analysis revealed two chromosomal rearrangements between S.
lycopersicum and S. chilense LA1969 in the Ty-1 introgression. Furthermore, a
large-scale recombinant screening in the two F(2) populations was performed, and
30 recombinants in the Ty-1 introgression were identified. All recombination
events were located on the long arm beyond the inversions, showing that
recombination in the inverted region was absent. Disease tests on progenies of
informative recombinants with TYLCV mapped Ty-1 to the long arm between markers
MSc05732-4 and MSc05732-14, an interval overlapping with the reported Ty-3
region, which led to the indication that Ty-1 and Ty-3 may be allelic. With this
study we prove that FISH can be used as a diagnostic tool to aid in the accurate
mapping of genes that were introgressed from wild species into cultivated tomato.
PMID- 21883551
TI - NAC domain function and transcriptional control of a secondary cell wall master
switch.
AB - NAC domain transcription factors act as master switches for secondary cell wall
thickening, but how they exert their function and how their expression is
regulated remains unclear. Here we identify a loss-of-function point mutation in
the NST1 gene of Medicago truncatula. The nst1-3 mutant shows no lignification in
interfascicular fibers, as previously seen in tnt1 transposon insertion alleles.
However, the C->A transversion, which causes a T94K mutation in the NST1 protein,
leads to increased NST1 expression. Introduction of the same mutation into the
Arabidopsis homolog SND1 causes both protein mislocalization and loss of target
DNA binding, with a resultant inability to trans-activate downstream secondary
wall synthesis genes. Furthermore, trans-activation assays show that the
expression of SND1 operates under positive feedback control from itself, and SND1
was shown to bind directly to a conserved motif in its own promoter, located
within a recently described 19-bp secondary wall NAC binding element. Three MYB
transcription factors downstream of SND1, one of which is directly regulated by
SND1, exert negative regulation on SND1 promoter activity. Our results identify a
conserved amino acid critical for NST1/SND1 function, and show that the
expression of the NAC master switch itself is under both positive
(autoregulatory) and negative control.
PMID- 21883552
TI - Arabidopsis DRB4 protein in antiviral defense against Turnip yellow mosaic virus
infection.
AB - RNA silencing is an important antiviral mechanism in diverse eukaryotic
organisms. In Arabidopsis DICER-LIKE 4 (DCL4) is the primary antiviral Dicer,
required for the production of viral small RNAs from positive-strand RNA viruses.
Here, we showed that DCL4 and its interacting partner dsRNA-binding protein 4
(DRB4) participate in the antiviral response to Turnip yellow mosaic virus
(TYMV), and that both proteins are required for TYMV-derived small RNA
production. In addition, our results indicate that DRB4 has a negative effect on
viral coat protein accumulation. Upon infection DRB4 expression was induced and
DRB4 protein was recruited from the nucleus to the cytoplasm, where replication
and translation of viral RNA occur. DRB4 was associated with viral RNA in vivo
and directly interacted in vitro with a TYMV RNA translational enhancer, raising
the possibility that DRB4 might repress viral RNA translation. In plants the role
of RNA silencing in viral RNA degradation is well established, but its potential
function in the regulation of viral protein levels has not yet been explored. We
observed that severe infection symptoms are not necessarily correlated with
enhanced viral RNA levels, but might be caused by elevated accumulation of viral
proteins. Our findings suggest that the control of viral protein as well as RNA
levels might be important for mounting an efficient antiviral response.
PMID- 21883553
TI - A rice calcium-dependent protein kinase OsCPK12 oppositely modulates salt-stress
tolerance and blast disease resistance.
AB - Calcium-dependent protein kinases (CDPKs) regulate the downstream components in
calcium signaling pathways. We investigated the effects of overexpression and
disruption of an Oryza sativa (rice) CDPK (OsCPK12) on the plant's response to
abiotic and biotic stresses. OsCPK12-overexpressing (OsCPK12-OX) plants exhibited
increased tolerance to salt stress. The accumulation of hydrogen peroxide (H(2)
O(2) ) in the leaves was less in OsCPK12-OX plants than in wild-type (WT) plants.
Genes encoding reactive oxygen species (ROS) scavenging enzymes (OsAPx2 and
OsAPx8) were more highly expressed in OsCPK12-OX plants than in WT plants,
whereas the expression of the NADPH oxidase gene, OsrbohI, was decreased in
OsCPK12-OX plants compared with WT plants. Conversely, a retrotransposon (Tos17)
insertion mutant, oscpk12, and plants transformed with an OsCPK12 RNA
interference (RNAi) construct were more sensitive to high salinity than were WT
plants. The level of H(2) O(2) accumulation was greater in oscpk12 and OsCPK12
RNAi plants than in the WT. These results suggest that OsCPK12 promotes tolerance
to salt stress by reducing the accumulation of ROS. We also observed that OsCPK12
OX seedlings had increased sensitivity to abscisic acid (ABA) and increased
susceptibility to blast fungus, probably resulting from the repression of ROS
production and/or the involvement of OsCPK12 in the ABA signaling pathway.
Collectively, our results suggest that OsCPK12 functions in multiple signaling
pathways, positively regulating salt tolerance and negatively modulating blast
resistance.
PMID- 21883554
TI - Specific delivery of AtBT1 to mitochondria complements the aberrant growth and
sterility phenotype of homozygous Atbt1 Arabidopsis mutants.
AB - It has been shown that homozygous AtBT1::T-DNA Arabidopsis mutants display an
aberrant growth and sterility phenotype, and that AtBT1 is a carrier that is
exclusively localized to the inner plastidial envelope and is required for export
of newly synthesized adenylates into the cytosol. However, a recent demonstration
that AtBT1 is localized to both plastids and mitochondria suggested that
plastidic AtBT1 is not necessary for normal growth and fertility of Arabidopsis.
To test this hypothesis, we produced and characterized homozygous AtBT1::T-DNA
mutants stably expressing either dually localized AtBT1 or AtBT1 specifically
localized to the mitochondrial compartment. These analyses revealed that the
aberrant growth and sterility phenotype of homozygous AtBT1::T-DNA mutants was
complemented when expressing both the dual-targeted AtBT1 and AtBT1 specifically
delivered to mitochondria. These data confirm that (i) plastidic AtBT1 is not
strictly required for normal growth and fertility of the plant, and (ii) specific
delivery of AtBT1 to mitochondria is enough to complement the aberrant growth and
sterility phenotype of homozygous AtBT1::T-DNA mutants. Furthermore, data
presented here question the idea that the requirement for AtBT1 is due to its
involvement in transport of newly synthesized adenylates from the plastid to the
cytosol, and suggest that the protein may play as yet unidentified functions in
plastids and mitochondria.
PMID- 21883555
TI - Arabidopsis glabra2 mutant seeds deficient in mucilage biosynthesis produce more
oil.
AB - Seed oil, one of the major seed storage compounds in plants, is of great economic
importance for human consumption, as an industrial raw material and as a source
of biofuels. Thus, improving the seed oil yield in crops is an important
objective. The GLABRA2 (GL2) gene in Arabidopsis thaliana encodes a transcription
factor that is required for the proper differentiation of several epidermal cell
types. GL2 has also been shown to regulate seed oil levels, as a loss-of-function
mutation in the GL2 gene results in plants with a higher seed oil content than
wild-type. We have extended this observation by showing that loss-of-function
mutations in several positive regulators of GL2 also result in a high seed oil
phenotype. The GL2 gene is expressed in both the seed coat and embryo, but the
embryo is the main site of seed oil accumulation. Surprisingly, our results
indicate that it is loss of GL2 activity in the seed coat, not the embryo, that
contributes to the high seed oil phenotype. One target of GL2 in the seed coat is
the gene MUCILAGE MODIFIED 4 (MUM4), which encodes a rhamnose synthase that is
required for seed mucilage biosynthesis. We found that mum4 mutant seeds, like
those of gl2 mutants, have an increased seed oil content in comparison with wild
type. Therefore, GL2 regulates seed oil production at least partly through its
influence on MUM4 expression in the seed coat. We propose that gl2 mutant seeds
produce more oil due to increased carbon allocation to the embryo in the absence
of seed coat mucilage biosynthesis.
PMID- 21883556
TI - Multiple Arabidopsis genes primed for recruitment into C4 photosynthesis.
AB - C(4) photosynthesis occurs in the most productive crops and vegetation on the
planet, and has become widespread because it allows increased rates of
photosynthesis compared with the ancestral C(3) pathway. Leaves of C(4) plants
typically possess complicated alterations to photosynthesis, such that its
reactions are compartmented between mesophyll and bundle sheath cells. Despite
its complexity, the C(4) pathway has arisen independently in 62 separate
lineages of land plants, and so represents one of the most striking examples of
convergent evolution known. We demonstrate that elements in untranslated regions
(UTRs) of multiple genes important for C(4) photosynthesis contribute to the
metabolic compartmentalization characteristic of a C(4) leaf. Either the 5' or
the 3' UTR is sufficient for cell specificity, indicating that functional
redundancy underlies this key aspect of C(4) gene expression. Furthermore, we
show that orthologous PPDK and CA genes from the C(3) plant Arabidopsis thaliana
are primed for recruitment into the C(4) pathway. Elements sufficient for M-cell
specificity in C(4) leaves are also present in both the 5' and 3' UTRs of these
C(3) A. thaliana genes. These data indicate functional latency within the UTRs of
genes from C(3) species that have been recruited into the C(4) pathway. The
repeated recruitment of pre-existing cis-elements in C(3) genes may have
facilitated the evolution of C(4) photosynthesis. These data also highlight the
importance of alterations in trans in producing a functional C(4) leaf, and so
provide insight into both the evolution and molecular basis of this important
type of photosynthesis.
PMID- 21883557
TI - Removing allosteric feedback inhibition of tomato 4-coumarate:CoA ligase by
directed evolution.
AB - Plant secondary metabolites, such as those derived from the phenylpropanoid
pathway, have a beneficial effect on human health. Manipulation of metabolic flux
in the phenylpropanoid pathway is important for achieving enhanced production of
compounds such as anthocyanins, flavonoids and isoflavonoids. Here, we describe
the development of a high-throughput molecular evolution approach that can be
used for catalytic improvement of at least four key phenylpropanoid pathway
enzymes, within the context of the metabolic pathway. This method uses yeast
cells that express plant phenylpropanoid pathway enzymes, leading to formation of
a colored intermediate that can be used as a readout in high-throughput
screening. Here we report the identification of improved tomato peel 4
coumarate:CoA ligase variants using this approach. We found that the wild-type
enzyme is strongly allosterically inhibited by naringenin, a downstream product
of the pathway. Surprisingly, at least two of the improved variants are
completely insensitive to feedback inhibition by naringenin. We suggest that this
inhibition is exerted through a unique and previously unrecognized allosteric
domain.
PMID- 21883558
TI - Prevalence of molar-incisor hypomineralisation observed using transillumination
in a group of children from Barcelona (Spain).
AB - OBJECTIVES: The objectives were to investigate the prevalence of the condition,
by using transillumination, in a group of children. Analysed the prevalence with
regard to gender, jaw affected, and the teeth that exhibited dysplasia most
commonly. METHODS: A sample of 550 children aged 6 to 14 years was selected at
the Department of Paediatric Dentistry at the Universitat Internacional de
Catalunya, but among those selected only 505 children were eligible for inclusion
in the study. The gender and age of the child, number of permanent teeth, number
of teeth affected by MIH and their position were registered. RESULTS: Ninety
patients (17.85%) had MIH. Of these, 45 were girls (50%) and 45 were boys (50%).
A total of 8062 permanent teeth were observed. Of these, 344 (4.2%) were affected
by MIH. Of the teeth affected, 198 (57.7%) were located in the maxilla and 146
(42.4%) in the mandible. This result was statistically significant (P = 0.003).
CONCLUSIONS: The population studied showed a prevalence of MIH of 17.8%. The
presence of the defect did not differ according to sex in this population.
Defects were more common among teeth in the maxilla.
PMID- 21883559
TI - Effect of a calcium hydroxide/chlorhexidine paste as intracanal dressing in human
primary teeth with necrotic pulp against Porphyromonas gingivalis and
Enterococcus faecalis.
AB - BACKGROUND: Intracanal medication is important for endodontic treatment success
as it eliminates microorganisms that persist after biomechanical preparation.
Aim. To evaluate the effect of two intracanal medications against Porphyromonas
gingivalis and Enterococcus faecalis in the root canals of human primary teeth
with necrotic pulp with and without furcal/periapical lesion, using quantitative
real-time polymerase chain reaction (qRT-PCR). DESIGN: Thirty-two teeth with
necrotic pulp were used. Twelve teeth did not present lesion, and 20 teeth
presented radiographically visible furca/periapical lesion. Microbiological
samples were collected after coronal access and biomechanical preparation. The
teeth were medicated with calcium hydroxide pastes prepared with either
polyethylene glycol or chlorhexidine. After 30days, the medication was removed
and a third collection was performed. Microbiological samples were processed
using qRT-PCR. Data were analysed by Wilcoxon and Mann-Whitney tests
(alpha=0.05). RESULTS: There was no significant difference in the microbiota
present in the primary teeth with and without furcal/periapical lesion.
Biomechanical preparation was effective in reducing the number of microorganisms
(P<0.05). The intracanal medications had similar antibacterial activity.
CONCLUSION: The association of chlorhexidine with calcium hydroxide did not
increase the antibacterial activity of the intracanal medication in the treatment
of primary teeth with necrotic pulp with and without furcal/periapical lesion.
PMID- 21883560
TI - Effect of mode of delivery and feeding practices on acquisition of oral
Streptococcus mutans in infants.
AB - OBJECTIVE: It is a well-established fact that colonization of S. mutans occurs
early in life. The purpose of this study is to determine the correlation between
mode of delivery and other associating factors with colonization of oral S.
mutans in the infants. METHODS: The newborns were divided into two groups
according to the mode of delivery: Infants who were delivered by either caesarean
section (Group-C) or vaginally (Group-V). A total number of 60 mother-infant
pairs were included and followed for 1 year. The swab samples were collected for
the detection of S. mutans. RESULTS: Analysis of data demonstrated the possible
influence of prolonged bottle feeding (P = 0.007), socioeconomic status (P =
0.00030) and tasting of food by the mothers (P = 0.0065) on the initial
acquisition of S. mutans in the oral cavity of infants. CONCLUSION: The causes
for initial acquisition of oral S. mutans in infants were postnatal factors like
feeding and oral hygiene practices.
PMID- 21883561
TI - The role of school-based dental programme on dental caries experience in
Yogyakarta Province, Indonesia.
AB - OBJECTIVES: To assess the effectiveness of a school-based dental programme (SBDP)
in controlling caries by measuring the relationship between the SBDP performance
and caries experience in children aged 12 in Yogyakarta Province, Indonesia, by
taking into account influencing factors. METHODS: A cross-sectional survey was
undertaken of 1906 children participating in SBDPs. Four SBDPs were chosen by
good and poor performances in urban and rural areas. Caries was assessed using
WHO criteria whereas behaviour and socio-demographic factors were collected using
a questionnaire administered to the children. RESULTS: The decayed, missed, and
filled teeth (DMFT) of children in good SBDPs (2.8 +/- 2.4) was lower than that
of the counterparts (3.8 +/- 3.4). From path analysis using a structural equation
model (SEM), place of residence (OR = 4.0) was shown to have a strongest direct
relationship to caries experience, whereas SBDP performance showed no direct
relationship. At the same time, SBDP performance was significantly related to
frequencies of dental visits (OR = 0.3), sugar consumption (OR = 0.8), and tooth
brushing (OR = 3.2), which in turn are interrelated with place of residence,
gender, and mother's education. CONCLUSIONS: The study suggests that the
differences in DMFT of children in good and poor performance SBDPs were caused by
relation to social factors rather than by relation to oral health service
activities.
PMID- 21883562
TI - Knowledge of medical hospital emergency physicians about the first-aid management
of traumatic tooth avulsion.
AB - OBJECTIVE: The aim of this study was to evaluate the knowledge of emergency
medical physicians employed in hospital emergency rooms as to their potential
role in the treatment for traumatic teeth avulsion injuries (TTAI). METHODS: A 15
item questionnaire was distributed to the emergency rooms of one university and
10 public hospitals. The questionnaire gathered data on the respondents'
professional profiles and self-assessed perceived knowledge and actual knowledge
of the emergency management of TTAIs. RESULTS: The study was implemented with 69
emergency physicians present at their workplaces during the time of data
collection. Of these, 55 (79.7%) were employed at public hospitals and 14 (20.3%)
at a university hospital. The professional profiles indicated that 47 (68.1%) of
the participants were general practitioners and the remaining 22 (31.9%) were
distributed among various other medical specialties. Overall, 28 respondents
(40.6%) assessed their knowledge regarding medical treatment for TTAI as
insufficient, and the majority (78.3%) stated that they would like further
education. Importantly, a large majority of practitioners could not provide
correct answers to questions related to the emergency management of TTAI.
CONCLUSION: There is a need to improve the knowledge of emergency medical
physicians regarding the emergency treatment for TTAI.
PMID- 21883563
TI - Incurable, invisible and inconclusive: watchful waiting for chronic lymphocytic
leukaemia and implications for doctor-patient communication.
AB - Patients with chronic lymphocytic leukaemia (CLL) find it hard to accept a
diagnosis of an incurable cancer for which no treatment is recommended and which
may not cause symptoms for many years. We used qualitative interviews with 12
people with CLL managed by watchful waiting, drawn from a maximum variation
sample of 39 adults with leukaemia, to explore accounts of watchful waiting and
implications for clinical management. Patients with CLL recalled being given
little information about the condition and wanted to know more about how it might
affect them in the future. The invisibility of CLL meant that some chose not to
disclose the diagnosis to others. Check-ups sometimes felt cursory, causing
dissatisfaction. As symptoms increased, lifestyle adaptations became essential,
well before treatment was warranted. Patients with CLL on watchful waiting
experience levels of depression, anxiety and quality of life similar to those in
active treatment; our qualitative approach has illuminated some of the reasons
for the negative psychological impacts. We relate our findings to perceptions of
the illness state, doctor-patient communication, and work pressure. We recommend
that specialists could better support patients by acknowledging psychological
impacts of CLL, actively listening to patients' concerns, and meeting their needs
for information.
PMID- 21883564
TI - Need for psychological follow-up among young adult survivors of childhood cancer.
AB - Follow-up is recommended for survivors of childhood cancer. Decisions about care
tend to be made in terms of physical health, but psychological late effects
including post-traumatic stress disorder (PTSD) and symptoms (PTSS) are
prevalent. We report prevalence of PTSD/PTSS in a UK cohort, self-care and
implications for organisation of follow-up. Eligible survivors (n= 218) under
regular follow-up were invited to complete measures of PTSD, late effects and
self-efficacy. Information about late effects was also taken from medical notes.
A total of 118 survivors responded (54.1%) and 108 (49.5%) completed
questionnaires. Prevalence of clinical PTSD (13.9%) was comparable with US
findings. Female subjects and those who reported more late effects reported more
PTSD. In regression analyses, number of survivor-reported late effects (but not
number-recorded in medical notes) and PTSS predicted self-efficacy. Significant
numbers of survivors report PTSS but this is unrelated to diagnosis or treatment.
Female subjects and those who reported more physical late effects also reported
more PTSS. Decisions to discharge survivors from routine care must consider
psychological well-being as well as physical late effects. We recommend routine
psychological screening for all survivors of childhood cancer and suggest this
can be acceptable to survivors and feasible in clinic.
PMID- 21883565
TI - Younger and older women's concerns about menopause after breast cancer.
AB - A number of treatments for breast cancer induce menopause. This study's aim was
to explore women's perceptions and beliefs about menopausal symptoms and their
management following breast cancer, and to compare younger and older women's
experiences. Data were collected via semi-structured focus groups from women who
had undergone treatment for breast cancer, and who were currently experiencing
menopausal symptoms. Data were interpreted by way of simple inductive thematic
analysis. The women experienced a range of menopausal symptoms that they were not
prepared for and found difficult to manage. The central themes related to their
lack of knowledge of how to manage menopausal symptoms, and the distress and
helplessness that arose from this. Women who were diagnosed prior to 40 years of
age reported additional menopausal issues than women who were older at diagnosis.
The women in this study expressed a thirst for information related to menopause
after breast cancer. The women identified that their needs with regard to
menopause after breast cancer were not being met, either through their own lack
of knowledge or via conflicting or absent support and management. The importance
of enabling women to deal with menopausal symptoms was a central theme to emerge
from the data.
PMID- 21883566
TI - High risk of urinary tract infections in post-operative gynaecology patients: a
retrospective case analysis.
AB - This study was undertaken to determine the incidence and risk factors related to
the occurrence of urinary tract infections (UTIs), post surgery, in women being
treated for a gynaecological cancer. A retrospective case analysis of 215 women
was conducted using data collected via case review with domains covering known
risk factors for the occurrence of urinary infections. Bacteriuria was defined as
greater than 10(5) colony-forming units per millilitre. A total of 30.7% of women
had a UTI post-operatively. Among these, 75.7% infections were Escherichia coli.
Having a catheter in situ for <=3 days was found to be slightly significant in
the formation of a UTI post-operatively (U= 3878, P < 0.05). Having a catheter in
situ for >=7 days was found to be highly significant (chi(2) (1) = 6.602, P <
0.01), with an odds ratio of 2.44. A positive correlation was found between the
duration of the catheter in situ and type of UTI (tau= .251, P < 0.01). Although
urinary catheterisation is known to be related to hospital-acquired infection, a
shorter duration of catheterisation may reduce the risk of possible infection
post surgery. Oncology teams need to be more aware of this risk, identify women
more likely to be catheterised for longer and use preventative strategies for
managing infection, such as silver nitrite-lined catheters.
PMID- 21883567
TI - Use of high-dose cisplatin with aprepitant in an outpatient setting.
AB - Chemotherapy-induced nausea and vomiting (CINV) and nephrotoxicity are adverse
events induced by cisplatin administration. These effects can be reduced by
treatment regimens with low-dose cisplatin, but high-dose cisplatin is still
used. In Japan, high-dose cisplatin is usually administered in an inpatient
setting to permit management of CINV. However, with use of new-generation
antiemetic agents such as aprepitant, CINV and nephrotoxicity are controllable in
an outpatient setting. Here, we discuss issues related to the management of high
dose cisplatin administration in outpatients. Grade 2 or worse CINV induced by
high-dose cisplatin occurs in more than 40% of patients without treatment with
aprepitant, but is controllable by administration of a 5-HT3 receptor antagonist,
steroids and aprepitant. Moreover, prevention of CINV using these drugs is cost
effective, since outpatient settings have advantages with regard to health
economics and patient quality of life. These findings suggest that shifting high
dose cisplatin administration to the outpatient setting may be achieved with co
administration of aprepitant. Available facilities and the status of the patient
should be considered when selecting whether an outpatient setting is suitable for
administration of cisplatin, but the use of aprepitant and adequate oral
hydration should allow use of cisplatin in this setting.
PMID- 21883568
TI - Controlling the cost of breast cancer.
AB - Breast cancer management is an important part of the health-care system. In the
current harsh economic climate, these costs have to be controlled, and achieving
this without compromising quality of care is a daunting challenge. This article
discusses the need to find effective and well-targeted chemotherapeutic regimens,
which, when combined with appropriate implementation of novel strategies, will
provide the optimum treatment for patients while maintaining economic viability.
PMID- 21883569
TI - Effectiveness of multimedia interactive patient education on knowledge,
uncertainty and decision-making in patients with end-stage renal disease.
AB - AIM: This study tested the efficacy of a multimedia interactive DVD as an
education tool for patients with end-stage renal disease in terms of enhancing
patient knowledge and decision-making skills and decreasing uncertainty.
BACKGROUND: End-stage renal case numbers in Taiwan are growing by approximately
6000 new patients per year. Helping patients choose an optimal treatment method
to maximise quality of life is an important healthcare issue for this patient
population. DESIGN: This study adopted a quasi-experimental design and focused on
subjects being treated at one medical centre in southern Taiwan. The study
divided subjects into experimental and control groups. METHODS: The experimental
group received three multimedia interactive DVD nursing-guided interventions. The
control group received only normal hospital health education. The study gathered
data using several scales addressing knowledge, uncertainty perception and
decision regret. Scales were implemented immediately before and after the
multimedia interactive DVD nursing guidance intervention and at four and eight
weeks postintervention. This study used an independent t-test, chi-square test
and repeated measures analysis of variance. RESULTS: This study found significant
improvements in the experimental group in terms of knowledge, pre-dialysis
uncertainty and decision regret. Experimental group subjects scored particularly
less in terms of decision regret than those in the control group. RELEVANCE TO
CLINICAL PRACTICE: This study demonstrated the efficacy of the developed
multimedia interactive DVD in significantly improving end-stage renal patient
knowledge and reducing patient uncertainties and postdecision regret. Study
findings can be used as a reference guide for clinical nursing education efforts
in hospital and other healthcare settings.
PMID- 21883571
TI - The presence of post-traumatic stress disorder symptoms in adolescents three
months after an 8.0 magnitude earthquake in southwest China.
AB - AIMS AND OBJECTIVES: The aims of the study were to describe the post-traumatic
stress disorder symptoms in adolescent survivors three months after Wenchuan 5.12
Earthquake and to explore its contributing or preventing factors. BACKGROUND:
Adolescents are more vulnerable to be affected by natural disasters because of
their special growth stage. Post-traumatic stress disorder symptoms are common
responses in adolescents after disasters, and its occurrence is related to some
psychosocial factors. DESIGN: The study used a cross-sectional design in a large
sample. METHODS: A total of 1976 subjects aged 12-20 were evaluated at three
months after the earthquake by using the Post-traumatic stress disorder Checklist
Civilian Version. The Internality, Powerful others and Chance scale and the
Coping Styles Scale were also administered to the subjects at the same time.
RESULTS: The mean total score of Post-traumatic Stress Disorder Checklist
Civilian Chinese Version was 33.64 (SD 11.15; range of score 17-85). The boys
with a higher level of initial exposure to the earthquake had lower symptoms
scores than girls with a lower level of initial exposure. Chance locus of control
and power other locus of control were the powerful risk factors of post-traumatic
stress disorder symptoms, while the protective factors included withdrawing
skill, internality locus of control, junior high grade and social supports.
CONCLUSIONS: Post-traumatic stress disorder symptoms are prevalent psychiatric
reactions in adolescents after earthquakes. Locus of control and coping strategy
could play an important role in its occurrence and severity besides demographic
factors, exposure characteristics and social support factors. RELEVANCE TO
CLINICAL PRACTICE: This study reveals that adolescents are the vulnerable group
for suffering psychological stress responses to catastrophic events. Healthcare
professionals, especially school nurses, should pay more attention to adolescents
after trauma. Prompt and effective intervention should be carried out to mitigate
the impact of initial exposure and reduce the occurrence of post-traumatic stress
disorder symptoms.
PMID- 21883570
TI - The influence of heart failure on longitudinal changes in cognition among
individuals 80 years of age and older.
AB - AIM: The aim of this study was to examine the relationship between heart failure
and specific cognitive abilities in octogenarians with regard to level and change
over time. BACKGROUND: Cognitive impairment is influenced by many factors, and
the impact of heart failure is debated. Intact cognitive ability is crucial for
successful self-care in patients with heart failure. Middle-aged patients with
heart failure seem to have an increased risk of cognitive impairment. No studies
have examined the association between heart failure and longitudinal cognitive
changes in octogenarians (individuals 80 years and older). DESIGN: A prospective
longitudinal design. METHODS: Cognitive tests were carried out five times (1991
2002) in 702 octogenarians from the Swedish Twin Registry, including same-sex
twin pairs. The test battery included the measurement of processing speed,
visuospatial ability, short-term, episodic and semantic memory. Latent growth
curve modelling was employed to measure change and performance over time and
compares the group diagnosed with heart failure to individuals without a heart
failure diagnosis. Results. At baseline, the participants' mean age was 83.5
years, 67% were women and 13% suffered from heart failure. Individuals diagnosed
with heart failure scored significantly lower in spatial abilities and episodic
memory than participants not diagnosed with heart failure. Moreover, measures of
episodic memory declined more over time in individuals diagnosed with heart
failure. There were no significant differences between the groups in other
cognitive tests. CONCLUSION: Spatial problems and episodic memory have
implications for everyday life. This might contribute to decreased adherence to
prescribed therapy and self-care management and lead to socio-behavioural
problems because of an impaired capacity to drive, read and write. RELEVANCE TO
CLINICAL PRACTICE: Nurses should take into account in their assessment that
cognitive impairment may restrain elderly heart failure patient's ability to make
decisions and perform self-care actions. Patient education strategies should also
be adapted to cognitive ability.
PMID- 21883572
TI - Fathers of children with disabilities: encounters with health professionals in a
Chinese context.
AB - AIMS: The aim of this study was to examine the experiences of fathers of
developmentally disabled children during interactions with health professionals
in Taiwan. BACKGROUND: The role of Chinese fathers in raising a disabled child
has been neglected because most studies on the impact of parenting a child with
disabilities in this culture have primarily focused on mothers. DESIGN: A
hermeneutic phenomenological approach was undertaken to recover and interpret
fathers' experiences. METHOD: Sixteen fathers living with their disabled child (0
18 years old) were purposively recruited from a teaching hospital in central
Taiwan. Data were collected using in-depth interviews and journal notes. All
participants were interviewed twice. Interviews lasted from 50-100 minutes, and
all were recorded. RESULTS: Three shared meanings were attributed to fathers'
interactions with health professionals: (1) experiencing no supportive
communication, (2) missing the critical time for disability management and (3)
being excluded from medical decision making. CONCLUSIONS: Fathers in Taiwan
commonly rely on health professionals to solve their child's health problems
owing to their perceived power to cure and their professional authority in
Chinese society. However, fathers felt powerless and hopeless when they received
unclear information and incorrect diagnoses, which delayed appropriate treatment.
Expressions of dissatisfaction and possessing a sense of futility were common
experiences related to exclusion in a paternalistic healthcare system. RELEVANCE
TO CLINICAL PRACTICE: Taiwanese clinicians' attitudes and parental-professional
relationships challenge an exploration of ethics and standards of medical care
shaped by Chinese culture. Ways of promoting parental inclusion in decision
making and care, in particular father's inclusion, need to be explored.
Recognition of the Chinese mother and father and their differing parental
healthcare experiences are important to understand to ensure improvement in
encounters with health professionals and the maximisation of positive health
outcomes.
PMID- 21883573
TI - Life is back to normal and yet not - partners' and patient's experiences of life
of the first year after colorectal cancer surgery.
AB - AIM: To describe the experience of life the first year after surgery from the
perspective of persons treated for colorectal cancer and their partners.
BACKGROUND: Colorectal cancer is a common cancer form, and treatment can cause
unpleasant side effects such as sexual dysfunction and bowel problems. Partners
struggle to keep family life normal and provide support. Little is known about
couples' experiences a year after treatment for colorectal cancer. DESIGN:
Qualitative descriptive. METHODS: Individual semi-structured interviews with 13
persons treated for colorectal cancer and their partners, a total of 26
participants. RESULTS: One theme was identified in the study; 'Life is back to
normal and yet not': participants described living a normal but different life
than before. Three subthemes were identified; 'Life has a shadow of death', 'The
treated body sets the rules' and 'To share or not share the illness'.
CONCLUSIONS: Findings showed that both the patients' and their partners' lives
were influenced by uncertainty and the condition of the patient. Attitudes varied
towards sharing the illness. It was found that information needs varied both
within and between couples. A future challenge to healthcare personnel is the
obligation to meet the needs of both patients and their partners on the illness
trajectory and recovery after treatment for colorectal cancer. RELEVANCE TO
CLINICAL PRACTICE: Findings enhance the understanding of the impact of colorectal
cancer on both patients and partners. Healthcare personnel need to assess both
the patient's and partner's need for information, support and assistance. Support
groups could be an effective tool for providing information, communication and
support. Future studies are needed to evaluate such groups.
PMID- 21883574
TI - A randomised trial on pubertal development and health in China.
AB - BACKGROUND: Puberty signifies noticeable physical, psychosocial and sexual
development. It is crucial to help adolescents reach an understanding about
puberty and related health issues. Considering the sexually conservative culture
in some areas, to explore appropriate ways to address sexuality and health
related concerns during puberty is of interest to all stakeholders. AIMS: This
study aimed to examine the effectiveness of the ecological approach to improve
adolescents' understanding about puberty and related health risks. DESIGN:
Modified Solomon four group design. METHODS: Two Grade7 classes were randomly
selected to form experiment and control group, respectively. A two-hour seminar
and a brochure about health and development during puberty were provided, and
some students, parents and instructors in the experimental group commented on the
intervention. Pre- and post-tests were conducted to measure students' pubertal
development status and their knowledge, attitudes and behaviours related to
puberty. RESULTS: Students (n = 228) were aged 13.0 years (SD 0.45). The majority
was categorised at the stage of mid-puberty or later, and approximately 11.2% of
116 girls and 22.3% of 112 boys were classified as overweight or obese according
to body mass index. No significant changes were identified within or between
groups about knowledge, attitudes and behaviours related to puberty and health
before and after the intervention. The invention was considered helpful, and an
enriched delivery was required. CONCLUSIONS: Although the overall feedback was
positive, this ecological approach to adolescent health and development targeting
at Grade7 students failed to generate significant effects on students' knowledge,
attitudes and behaviours surrounding puberty and health. RELEVANCE TO CLINICAL
PRACTICE: This study reveals that sexuality, particularly romantic relationships
during puberty, may be perceived negatively in the local society. There is a need
for school nurses to help all relevant people to understand and respond to
sexuality-related concerns in a cultural appropriate way.
PMID- 21883575
TI - School nurses' perspectives on managing mental health problems in children and
young people.
AB - AIMS AND OBJECTIVES: To explore the views of school nurses regarding mental
health problems in young people and their potential for engaging in mental health
work with this client group. BACKGROUND: Mental health problems in children and
young people are an important public health issue. Universal children's services
play a key role in identifying and managing these problems and, while school
nurses have an important function in this work, little is known about their views
on this aspect of their role. DESIGN: A qualitative research design employing
focus group methodology. METHOD: School nurses (n = 33) were purposively sampled
from four school nursing teams in two English cities for a series of focus
groups. The focus group data were audio-recorded, transcribed and subsequently
analysed using 'framework'. RESULTS: Four principal themes emerged from the data.
In these themes, school nurses were found to value their involvement with the
mental health of young people, recognising this as an important area of practice.
Several obstacles to their work in this area were identified: heavy workloads,
professional rivalries, a lack of confidence and limited education and training
opportunities. The importance of support from local specialist mental health
teams was emphasised. CONCLUSIONS: School nurses can be engaged in mental health
work though, as public health specialists, their role should focus on health
promotion, assessment, signposting and early intervention activities. To
facilitate mental health work, school nurses are able to draw on established
interpersonal skills and supportive networks; however, workload and a lack of
confidence need to be managed and it is important that they are supported by
constructive relationships with local specialist mental health teams. RELEVANCE
TO CLINICAL PRACTICE: This study has implications for nurses and healthcare
practitioners interested in enhancing the mental health of children and young
people in school settings.
PMID- 21883576
TI - Female nurses' sensitivity to male genitalia-related care in mainland China.
AB - AIMS: To discover the latent psychosocial construct of female nurses' sensitivity
to male genitalia-related care in the context of sexual conservativeness.
BACKGROUND: Many nursing activities involve direct exposure or contact with male
external genitalia. In the sexually conservative culture and the predominance of
female nurses, this area is the subject of continuing interest and investigation.
DESIGN: Methodological research design. METHODS: An item pool related to male
genitalia-related care was generated through a panel of experts and then reduced
to a short form questionnaire, the Female Nurses' Sensitivity to Male Genitalia
Related Care scale. Using data from a purposive sample of 588 female nurses, the
structure of the questionnaire was examined using structural equation modelling.
The validity was examined against existing scales. RESULTS: The 13-item Female
Nurses' Sensitivity to Male Genitalia Related Care scale has a two-factor
structure with high internal consistency (alpha = 0.87) and test-retest
reliability of 0.90. Nearly all model fit measures reach the criteria of being an
acceptable model fit except chi-squared statistics. Scores on Female Nurses'
Sensitivity to Male Genitalia Related Care can be best predicted by that of brief
Fear of Negative Evaluation Scale, Embarrassability Scale and Situational
Susceptibility to Embarrassment Scale. CONCLUSIONS: The anxiety of projecting a
positive image and the pursuit of sexual propriety may underpin female nurses'
sensitivity to male genitalia-related care. This trait can be measured by the 13
item female nurses' sensitivity-male genitalia-related care scale with
satisfactory psychometric properties including internal consistency, reliability,
content validity and construct validity. RELEVANCE TO CLINICAL PRACTICE:
Particular attention shall be paid to the negative effects of social rules or
norms including sexual propriety rules over (female) nurses' perceptions,
attitudes and behaviours. Strengthening nursing education in this regard is
important to overcome negative effects on female nurses of male genitalia-related
care.
PMID- 21883577
TI - Item response theory: how Mokken scaling can be used in clinical practice.
AB - AIMS: To demonstrate the principles and application of Mokken scaling.
BACKGROUND: The history and development of Mokken scaling is described, some
examples of applications are given, and some recent development of the method are
summarised. DESIGN: Secondary analysis of data obtained by cross-sectional survey
methods, including self-report and observation. METHODS: Data from the Edinburgh
Feeding Evaluation in Dementia scale and the Townsend Functional Ability Scale
were analysed using the Mokken scaling procedure within the 'R' statistical
package. Specifically, invariant item ordering (the extent to which the order of
the items in terms of difficulty was the same for all respondents whatever their
total scale score) was studied. RESULTS: The Edinburgh Feeding Evaluation in
Dementia scale and the Townsend Functional Ability Scale showed no violations of
invariant item ordering, although only the Townsend Functional Ability Scale
showed a medium accuracy. CONCLUSION: Mokken scaling is an established method for
item response theory analysis with wide application in the social sciences. It
provides psychometricians with an additional tool in the development of
questionnaires and in the study of individuals and their responses to latent
traits. Specifically, with regard to the analyses conducted in this study, the
Edinburgh Feeding Evaluation in Dementia scale requires further development and
study across different levels of severity of dementia and feeding difficulty.
RELEVANCE TO CLINICAL PRACTICE: Good scales are required for assessment in
clinical practice and the present paper shows how a relatively recently developed
method for analysing Mokken scales can contribute to this. The two scales used as
examples for analysis are highly clinically relevant.
PMID- 21883578
TI - Kin selection in Columbian ground squirrels: direct and indirect fitness
benefits.
AB - Empirical and theoretical studies have supported kin selection by demonstrating
nepotism or modelling its conditions and consequences. As an alternative, we
previously found that female Columbian ground squirrels had greater direct
fitness when more close kin were present. Extending those results, we used
population matrix methods to calculate minimum estimates of individual fitness,
estimated direct and indirect components of fitness, estimated inclusive fitness
by adding the direct fitness (stripped of estimated influences of the social
environment) and indirect fitness components together, and finally looked for
inclusive fitness benefits of associations with close kin who seem to be 'genial
neighbours'. We examined the estimated fitness of a sample of 35 females for
which complete lifetimes were known for themselves, their mothers and their
littermate sisters. Six of these females had no cosurviving adult close kin, and
their direct fitness was significantly lower than 29 females with such kin
(lambda = 0.66 vs. lambda = 1.23). The net fitness benefit of the presence of
close kin was thus 0.57. The estimated indirect component of fitness through
benefits to the direct fitness of close kin was 0.43. Thus, estimated inclusive
fitness for females with cosurviving close kin (lambda = 1.09) was significantly
greater than that for females without surviving close kin (viz., lambda = 0.66).
The presence of closely related and philopatric female kin appeared to result in
considerable fitness benefits for female ground squirrels, perhaps through the
behavioural mechanisms of lowered aggression and other forms of behavioural
cooperation.
PMID- 21883580
TI - Philopatry and within-colony movements in Columbian ground squirrels.
AB - Philopatry and dispersal result in selection of habitat locations that may differ
in resources and social environment and thus should influence fitness components
like survival and reproduction. We examined short-distance movements of young and
adult females from natal or previous nesting sites within a colony of Columbian
ground squirrels (Urocitellus columbianus) in the Rocky Mountains of Alberta,
Canada, over a 17-year period. Females of all ages were strongly philopatric, yet
a few (10-15%) exhibited movements that took them to new home ranges. We tested
three hypotheses to explain the pattern of female natal and breeding movements:
(1) that movements of philopatric females promote proximity to close kin; (2)
that range shifts favour close kin via bequeathal of territory and (3) that
dispersers move to lower density areas where competition for resources is lower.
Tests of these three hypotheses revealed that: (1) philopatry and movements of
young and older philopatric females led to proximity to mothers and local
presence of close kin; (2) breeding dispersal did not result in bequeathal of
home range to daughters, but movements of philopatric females suggested that they
shared space with close kin and (3) adult females moved to new ranges with lower
local densities, though dispersing females also left ranges where local density
was significantly lower than for philopatric females. Natal and breeding
movements among years produced two opportunities for territorial females: close
spatial proximity to close kin via short philopatric movements, and habitats with
fewer competitors via longer dispersal movements.
PMID- 21883579
TI - A combined genetic-morphometric analysis unravels the complex biogeographical
history of Polyommatus icarus and Polyommatus celina common blue butterflies.
AB - Widespread species have the potential to reveal large-scale biogeographical
patterns, as well as responses to environmental changes possibly unique to
habitat generalists. This study presents a continental-scale phylogeographical
analysis of Polyommatus icarus, one of the most common Palaearctic butterflies,
and the morphologically and ecologically similar Polyommatus celina, a recently
discovered cryptic species. By combining data from mitochondrial [cytochrome c
oxidase subunit I (COI)] and nuclear [internal transcribed spacer (ITS2)]
molecular markers with geometric morphometrics, we document a complex
phylogeographical history for the two species. Despite morphological
similarities, the genetic divergence between these two species is high (more than
5% at COI) and they are not sister species. For the first time, we show that P.
celina occurs not only in North Africa but also in Europe, where it inhabits
several west Mediterranean islands, as well as large parts of Iberia, where it
occurs in parapatry with P. icarus. The two species appear to completely exclude
each other on islands, but we provide morphological and molecular evidence that
introgression occurred in the Iberian Peninsula. We discovered strongly diverged
lineages that seem to represent relict populations produced by past range
expansions and contractions: Crete and Iberian isolates for P. icarus, Balearics
Sardinia and Sicily-Lipari for P. celina. This study shows that a combined
genetic-morphometric approach can shed light on cryptic diversity while providing
the necessary resolution to reconstruct a fine-scale phylogeographical history of
species at both spatial and temporal levels.
PMID- 21883581
TI - Back from the brink: potential for genetic rescue in a critically endangered
tree.
AB - Rare plant species are vulnerable to genetic erosion and inbreeding associated
with small population size and isolation due to increasing habitat fragmentation.
The degree to which these problems undermine population viability remains
debated. We explore genetic and reproductive processes in the critically
endangered long-lived tropical tree Medusagyne oppositifolia, an endemic to the
Seychelles with a naturally patchy distribution. This species is failing to
recruit in three of its four populations. We evaluate whether recruitment failure
is linked to genetic problems associated with fragmentation, and if genetic
rescue can mitigate such problems. Medusagyne oppositifolia comprises 90 extant
trees in four populations, with only the largest (78 trees) having successful
recruitment. Using 10 microsatellite loci, we demonstrated that genetic diversity
is high (H(E) : 0.48-0.63; H(O) : 0.56-0.78) in three populations, with only the
smallest population having relatively low diversity (H(E) : 0.26 and H(O) :
0.30). All populations have unique alleles, high genetic differentiation, and
significant within population structure. Pollen and seed dispersal distances were
mostly less than 100 m. Individuals in small populations were more related than
individuals in the large population, thus inbreeding might explain recruitment
failure in small populations. Indeed, inter-population pollination crosses from
the large donor population to a small recipient population resulted in higher
reproductive success relative to within-population crosses. Our study highlights
the importance of maintaining gene flow between populations even in species that
have naturally patchy distributions. We demonstrate the potential for genetic and
ecological rescue to support conservation of plant species with limited gene
flow.
PMID- 21883582
TI - The evolution of social philopatry and dispersal in female mammals.
AB - In most social mammals, some females disperse from their natal group while others
remain and breed there throughout their lives but, in a few, females typically
disperse after adolescence and few individuals remain and breed in their natal
group. These contrasts in philopatry and dispersal have an important consequence
on the kinship structure of groups which, in turn, affects forms of social
relationships between females. As yet, there is still widespread disagreement
over the reasons for the evolution of habitual female dispersal, partly as a
result of contrasting definitions of dispersal. This paper reviews variation in
the frequency with which females leave their natal group or range (social
dispersal) and argues that both the avoidance of local competition for resources
and breeding opportunities and the need to find unrelated partners play an
important role in contrasts between and within species.
PMID- 21883583
TI - Causes and consequences of living in closed societies: lessons from a long-term
socio-genetic study on Bechstein's bats.
AB - Understanding the ecological, behavioural and genetic factors influencing animal
social systems is crucial to investigating the evolution of sociality. Despite
the recent advances in population genetic methods and the analysis of social
interactions, long-term studies exploring the causes and consequences of social
systems in wild mammals are rare. Here, we provide a synthesis of 15 years of
data on the Bechstein's bat (Myotis bechsteinii), a species that raises its young
in closed societies of 10-45 females living together for their entire lives and
where immigration is virtually absent. We discuss the potential causes and
consequences of living in closed societies, based on the available data on
Bechstein's bat and other species with similar social systems. Using a
combination of observational and genetic data on the bats together with genetic
data on an ecto-parasite, we suggest that closed societies in Bechstein's bats
are likely caused by a combination of benefits from cooperation with familiar
colony members and parasite pressure. Consequences of this peculiar social system
include increased sensitivity to demographic fluctuations and limits to dispersal
during colony foundation, which have broad implications for conservation. We also
hope to illustrate by synthesizing the results of this long-term study the
diversity of tools that can be applied to hypothesize about the factors
influencing a species' social system. We are convinced that with the expansion of
the number of social mammals for which comparably detailed socio-genetic long
term data are available, future comparative studies will provide deeper insights
into the evolution of closed societies.
PMID- 21883584
TI - Mechanisms of kin discrimination inferred from pedigrees and the spatial
distribution of mates.
AB - Where animals avoid inbreeding, different mechanisms of kin discrimination can
leave different 'signatures' in the patterns of observed mate relationship. For
example, consider a species with no paternal care. If a female avoids mating with
familiar individuals, one would expect a deficit of offspring whose parents are
maternal half-siblings, but paternal half-siblings would be unfamiliar with each
other and thus have offspring at the frequency expected by chance. If spatial
cues are used to avoid inbreeding, a female would be expected to produce few
offspring with males (even unrelated males) living near her birth site. We
searched for these and other signatures with data from a long-term study of
banner-tailed kangaroo rats, Dipodomys spectabilis, in Arizona, USA, using a
combination of intensive censusing, mapping of available dens, microsatellite
based parentage determination, and a randomization routine that determines the
numbers of offspring expected if females in the population mate indiscriminately
among the males available to them. The data are consistent with the hypothesis
that kangaroo rats discriminate kin by familiarity developed via association
early in life, rather than by using spatial cues or self-referential phenotype
matching. Our approach should be widely applicable as a means of assessing the
degree to which kin discrimination exists (in contexts like nepotism as well as
inbreeding avoidance) and in inferring what cues animals use to assess categories
of relationship.
PMID- 21883585
TI - A fuzzy-set-theory-based approach to analyse species membership in DNA barcoding.
AB - Reliable assignment of an unknown query sequence to its correct species remains a
methodological problem for the growing field of DNA barcoding. While great
advances have been achieved recently, species identification from barcodes can
still be unreliable if the relevant biodiversity has been insufficiently sampled.
We here propose a new notion of species membership for DNA barcoding-fuzzy
membership, based on fuzzy set theory-and illustrate its successful application
to four real data sets (bats, fishes, butterflies and flies) with more than 5000
random simulations. Two of the data sets comprise especially dense
species/population-level samples. In comparison with current DNA barcoding
methods, the newly proposed minimum distance (MD) plus fuzzy set approach, and
another computationally simple method, 'best close match', outperform two
computationally sophisticated Bayesian and BootstrapNJ methods. The new method
proposed here has great power in reducing false-positive species identification
compared with other methods when conspecifics of the query are absent from the
reference database.
PMID- 21883586
TI - Microgeographic socio-genetic structure of an African cooperative breeding
passerine revealed: integrating behavioural and genetic data.
AB - Dispersal can be motivated by multiple factors including sociality. Dispersal
behaviour affects population genetic structure that in turn reinforces social
organization. We combined observational information with individual-based genetic
data in the Karoo scrub-robin, a facultative cooperatively breeding bird, to
understand how social bonds within familial groups affect mating patterns, cause
sex asymmetry in dispersal behaviour and ultimately influence the evolution of
dispersal. Our results revealed that males and females do not have symmetrical
roles in structuring the population. Males are extremely philopatric and tend to
delay dispersal until they gain a breeding position within a radius of two
territories around the natal site. By contrast, females dispersed over larger
distances, as soon as they reach independence. This resulted in male
neighbourhoods characterized by high genetic relatedness. The long-distance
dispersal strategy of females ensured that Karoo scrub-robins do not pair with
relatives thereby compensating for male philopatry caused by cooperation. The
observed female-biased strategy seems to be the most prominent mechanism to
reduce the risk of inbreeding that characterizes social breeding system. This
study demonstrates that tying together ecological data, such as breeding status,
determining social relationships with genetic data, such as kinship, provides
valuable insights into the proximate causes of dispersal, which are central to
any evolutionary interpretation.
PMID- 21883587
TI - ABGD, Automatic Barcode Gap Discovery for primary species delimitation.
AB - Within uncharacterized groups, DNA barcodes, short DNA sequences that are present
in a wide range of species, can be used to assign organisms into species. We
propose an automatic procedure that sorts the sequences into hypothetical species
based on the barcode gap, which can be observed whenever the divergence among
organisms belonging to the same species is smaller than divergence among
organisms from different species. We use a range of prior intraspecific
divergence to infer from the data a model-based one-sided confidence limit for
intraspecific divergence. The method, called Automatic Barcode Gap Discovery
(ABGD), then detects the barcode gap as the first significant gap beyond this
limit and uses it to partition the data. Inference of the limit and gap detection
are then recursively applied to previously obtained groups to get finer
partitions until there is no further partitioning. Using six published data sets
of metazoans, we show that ABGD is computationally efficient and performs well
for standard prior maximum intraspecific divergences (a few per cent of
divergence for the five data sets), except for one data set where less than three
sequences per species were sampled. We further explore the theoretical
limitations of ABGD through simulation of explicit speciation and population
genetics scenarios. Our results emphasize in particular the sensitivity of the
method to the presence of recent speciation events, via (unrealistically) high
rates of speciation or large numbers of species. In conclusion, ABGD is fast,
simple method to split a sequence alignment data set into candidate species that
should be complemented with other evidence in an integrative taxonomic approach.
PMID- 21883588
TI - Climate effects on life cycle variation and population genetic architecture of
the black bean aphid, Aphis fabae.
AB - Aphid species may exhibit different reproductive modes ranging from cyclical to
obligate parthenogenesis. The distribution of life cycle variation in aphids is
generally determined by ecological forces, mainly climate, because only sexually
produced diapausing eggs can survive harsh winters or periods of absence of
suitable host plants. Aphids are thus interesting models to investigate intrinsic
and environmental factors shaping the competition among sexual and asexual
lineages. We conducted a Europe-wide sampling of black bean aphids, Aphis fabae,
and combined population genetic analyses based on microsatellite data with an
experimental determination of life cycle strategies. Aphids were collected from
broad beans (Vicia faba) as well as some Chenopodiaceae, but we detected no
genetic differentiation between aphids from different host plants. Consistent
with model predictions, life cycle variation was related to climate, with aphids
from areas with cold winters investing more in sexual reproduction than aphids
from areas with mild winters. Accordingly, only populations from mild areas
exhibited a clear genetic signature of clonal reproduction. These differences
arise despite substantial gene flow over large distances, which was evident from
a very low geographic population structure and a lack of isolation-by-distance
among 18 sites across distances of more than 1000 km. There was virtually no
genetic differentiation between aphids with different reproductive modes,
suggesting that new asexual lineages are formed continuously. Indeed, a
surprising number of A. fabae genotypes even from colder climates produced some
parthenogenetic offspring under simulated winter conditions. From this we predict
that a shift to predominantly asexual reproduction could take place rapidly under
climate warming.
PMID- 21883589
TI - Using a genetic network to parameterize a landscape resistance surface for
fishers, Martes pennanti.
AB - Knowledge of dispersal-related gene flow is important for addressing many basic
and applied questions in ecology and evolution. We used landscape genetics to
understand the recovery of a recently expanded population of fishers (Martes
pennanti) in Ontario, Canada. An important focus of landscape genetics is
modelling the effects of landscape features on gene flow. Most often resistance
surfaces in landscape genetic studies are built a priori based upon nongenetic
field data or expert opinion. The resistance surface that best fits genetic data
is then selected and interpreted. Given inherent biases in using expert opinion
or movement data to model gene flow, we sought an alternative approach. We used
estimates of conditional genetic distance derived from a network of genetic
connectivity to parameterize landscape resistance and build a final resistance
surface based upon information-theoretic model selection and multi-model
averaging. We sampled 657 fishers from 31 landscapes, genotyped them at 16
microsatellite loci, and modelled the effects of snow depth, road density, river
density, and coniferous forest on gene flow. Our final model suggested that road
density, river density, and snow depth impeded gene flow during the fisher
population expansion demonstrating that both human impacts and seasonal habitat
variation affect gene flow for fishers. Our approach to building landscape
genetic resistance surfaces mitigates many of the problems and caveats associated
with using either nongenetic field data or expert opinion to derive resistance
surfaces.
PMID- 21883590
TI - Expression of olfactory receptors in different life stages and life histories of
wild Atlantic salmon (Salmo salar).
AB - It has been hypothesized that salmonids use olfactory cues to return to their
natal rivers and streams. However, the key components of the molecular pathway
involved in imprinting and homing are still unknown. If odorants are involved in
salmon homing migration, then olfactory receptors should play a critical role in
the dissipation of information from the environment to the fish. Therefore, we
examined the expression profiles of a suite of genes encoding olfactory receptors
and other olfactory-related genes in the olfactory rosettes of different life
stages in two anadromous and one non-anadromous wild Atlantic salmon populations
from Newfoundland, Canada. We identified seven differentially expressed OlfC
genes in juvenile anadromous salmon compared to returning adults in both
populations of anadromous Atlantic salmon. The salmon from the Campbellton River
had an additional 10 genes that were differentially expressed in juveniles
compared to returning adults. There was no statistically significant difference
in gene expression of any of the genes in the non-anadromous population (P <
0.01). The function of the OlfC gene products is not clear, but they are
predicted to be amino acid receptors. Other studies have suggested that salmon
use amino acids for imprinting and homing. This study, the first to examine the
expression of olfactory-related genes in wild North American Atlantic salmon, has
identified seven OlfC genes that may be involved in the imprinting and homeward
migration of anadromous Atlantic salmon.
PMID- 21883591
TI - Social flexibility and social evolution in mammals: a case study of the African
striped mouse (Rhabdomys pumilio).
AB - Environmental change poses challenges to many organisms. The resilience of a
species to such change depends on its ability to respond adaptively. Social
flexibility is such an adaptive response, whereby individuals of both sexes
change their reproductive tactics facultatively in response to fluctuating
environmental conditions, leading to changes in the social system. Social
flexibility focuses on individual flexibility, and provides a unique opportunity
to study both the ultimate and proximate causes of sociality by comparing between
solitary and group-living individuals of the same population: why do animals form
groups and how is group-living regulated by the environment and the neuro
endocrine system? These key questions have been studied for the past ten years in
the striped mouse Rhabdomys pumilio. High population density favours philopatry
and group-living, while reproductive competition favours dispersal and solitary
living. Studies of genetic parentage reveal that relative fitness of alternative
reproductive tactics depends on the prevailing environment. Tactics have
different fitness under constrained ecological conditions, when competitive
ability is important. Under conditions with relaxed ecological constraints,
alternative tactics can yield equal fitness. Both male and female striped mice
display alternative reproductive tactics based on a single strategy, i.e. all
individuals follow the same decision rules. These changes are regulated by
endocrine mechanisms. Social flexibility is regarded as an adaptation to
unpredictably changing environments, selecting for high phenotypic flexibility
based on a broad reaction norm, not on genetic polymorphism for specific tactics.
PMID- 21883592
TI - High-amplitude theta wave bursts during REM sleep and cataplexy in hypocretin
deficient narcoleptic mice.
AB - Neurons that release hypocretin (HCRT; orexin) peptides control wake-sleep states
and autonomic functions, and are lost in patients with narcolepsy with cataplexy.
Bursts of high-amplitude electroencephalographic (EEG) activity have been
reported during behavioural arrests and rapid eye movement sleep (REMS) episodes
at sleep onset in HCRT-deficient narcoleptic mice. Quantitative information on
these EEG phenomena is lacking. We aimed to quantify EEG frequency, occurrence
rate, daily rhythm and cardiovascular correlates of high-amplitude EEG bursts
during REMS and cataplexy. Twenty HCRT-deficient mice and 15 congenic wild-type
controls were instrumented with electrodes for sleep recordings and a telemetric
blood pressure transducer. Short (1-2 s) high-amplitude bursts of pointed theta
waves (7 Hz) occurred during either REMS or cataplexy in 80% of HCRT-deficient
mice without any significant accompanying modification in systolic blood pressure
or heart period. Theta bursts were significantly more likely to occur during the
dark period and in the last third of REMS episodes. Similar EEG events were
detected in a significantly lower fraction (27%) of wild-type mice and with a
significantly lower occurrence rate (0.8 versus 5 per hour of REMS). These data
demonstrate that occurrence of high-amplitude theta bursts is facilitated during
REMS and cataplexy in narcoleptic mice. Analysis of EEG frequency and daily and
intra-episode patterns of event occurrence do not support interpretation of theta
bursts as temporally displaced pre-REMS spindles. Facilitation of high-amplitude
theta bursts may thus represent a novel neurophysiological abnormality associated
with chronic HCRT deficiency.
PMID- 21883593
TI - Acute intermittent hypoxia induces phrenic long-term facilitation which is
modulated by 5-HT1A receptor in the caudal raphe region of the rat.
AB - Obstructive sleep apnoea (OSA) is characterized by periods of upper airway
collapse accompanied by repeated episodes of hypoxia. In experimental animals
repeated bouts of hypoxia may evoke sustained augmentation of phrenic nerve
activity, known as phrenic long-term facilitation (pLTF). This form of
physiological compensation might contribute to stable breathing, minimizing the
occurrence of apnoeas and/or hypopnoeas during sleep in patients with OSA.
Serotonin (5-HT) has been shown to modulate respiratory neuronal activity,
possibly via projections originating in the raphe nuclei. Our model focuses on
the effects of 5-HT1A receptors blockade by selective antagonist WAY-100635 into
the caudal raphe region on phrenic long-term facilitation after exposure to acute
intermittent hypoxia (AIH) episodes. Adult, male, urethane-anaesthetized,
vagotomized, paralyzed and mechanically ventilated Sprague-Dawley rats were
exposed to AIH protocol. Experimental group received microinjection of WAY-100635
into the caudal raphe nucleus, whereas the control group received saline into the
same site. Peak phrenic nerve activity and respiratory rhythm parameters were
analysed during five hypoxic episodes, as well as at 15, 30 and 60 min after the
end of hypoxias. In the control group, 1 h post-hypoxia pLTF was developed.
Microinjections of selective 5-HT1A receptor antagonist WAY-100635 into the raphe
nuclei prior to the AIH protocol prevented induction of pLTF. These results
suggest that 5-HT1A receptor activation at supraspinal level is important for
induction of pLTF, which is suggested to be an important respiratory
neuroplasticity model in animal studies that possibly correlates with OSA in
humans.
PMID- 21883594
TI - Reversal of handedness effects on bimanual coordination in adults with Down
syndrome.
AB - BACKGROUND: Research on unimanual tasks suggested that motor asymmetries between
hands may be reduced in people with Down syndrome. Our study examined handedness
(as assessed by hand performance) and perceptual-motor integration effects on
bimanual coordination. METHODS: Adults with Down syndrome (13 non-right-handed,
22 right-handed), along with comparison groups of adults (16 non-right-handed, 21
right-handed) and children (15 non-right-handed, 22 right-handed) without Down
syndrome, drummed with auditory, verbal and visual instructions. RESULTS: In
contrast to handedness effects in the children and adults without Down syndrome,
right-handed participants with Down syndrome led more with the left hand, and had
lower coordination stability than non-right-handed participants with Down
syndrome. CONCLUSIONS: The reversed handedness effect during bimanual
coordination suggests a complex relationship between handedness and task
requirements in adults with Down syndrome.
PMID- 21883595
TI - Family quality of life of Australian families with a member with an
intellectual/developmental disability.
AB - BACKGROUND: Family quality of life (FQOL) is a recent concept in
intellectual/developmental disability research. Outcomes for the family are
important to the provision of services because families, rather than
institutions, are increasingly considered the primary support unit. This article
presents Australian findings using the international Family Quality of Life
Survey: Main Caregivers of People with Intellectual or Developmental Disabilities
(FQOLS-2006). METHOD: Forty-two South Australian main caregivers of people with
an intellectual/developmental disability were interviewed using the FQOLS-2006.
The FQOL domains assessed were Health of the Family, Financial Well-being, Family
Relationships, Support from Other People, Support from Disability-Related
Services, Influence of Values, Careers, Leisure and Recreation, and Community
Interaction. Domains were measured in terms of Importance, Opportunities,
Attainment, Initiative, Stability and Satisfaction. The FQOLS-2006 asked about
the family's practical and emotional Support from Other People together, whereas
the current study separated the constructs of practical and emotional support.
Questions pertaining to FQOL in the past were also added, in order to gain a
broader picture of present FQOL. RESULTS: Results indicated that families
considered all the FQOL domains to be important. However, Health, Family
Relationships and Financial Well-being were regarded as slightly more important
than Practical and Emotional Support from Others. The attainment of Family
Relationships, Health, Values, and Leisure and Recreation were rated as quite a
bit, but Practical Support from Other People was only rated as a little. Families
were generally satisfied with all FQOL domains, but they were satisfied with
their Family Relationships and they were neither satisfied or dissatisfied with
their Financial Well-being. Results also indicated that there was a need to
distinguish between the provision of practical and emotional support from others,
because the attainment of emotional support was rated at a slightly higher level
than practical support. CONCLUSIONS: The FQOLS-2006 provided a comprehensive
measure of FQOL, which, with some additional modifications, could be used to
better inform service provisions and ultimately enhance the quality of life of
people with intellectual/developmental disabilities and their families.
PMID- 21883596
TI - The quantitative measurement of family quality of life: a review of available
instruments.
AB - BACKGROUND: Family quality of life (FQOL) has emerged as an important outcome of
service delivery for individuals with disabilities and their families. The
purpose of this review was to explore the disparity of scale development
approaches between families with children with disabilities and families from
other populations and identify strengths to serve as a source of recommendations
to improve the measurements of FQOL in the disability field. METHOD: We conducted
a keyword search of 25 databases. Sixteen measurement tools on FQOL, family well
being and family satisfaction currently used in the disability field, healthcare
field and general family studies published in journals from 1980 to 2009 were
included in the analysis. RESULTS: Three themes emerged from the detailed
analysis and comparisons of the instruments: (1) description of the primary
purpose and theoretical basis; (2) identification of the tool's respondents,
domains, response formats and scoring strategies to assess family systems; and
(3) summarisation of available psychometric information. CONCLUSIONS: As family
researchers continue their mission to conceptualise and theorise about FQOL, they
should also promote the refinement of FQOL measurements and consider the
implications from family instruments used in the healthcare and general family
fields from the following aspects: (1) domains of FQOL; (2) units of analysis;
(3) response format; (4) scoring choice; and (5) psychometric evaluation.
PMID- 21883597
TI - Relationship between individual quality of life and family quality of life for
people with intellectual disability living in Italy.
AB - BACKGROUND: There is substantial literature investigating quality of life (QoL)
of individuals with intellectual disability (ID). QoL of families of people with
ID is emerging as an important field of research. Despite this, there is a lack
of studies regarding their relationship. AIM: The present paper aimed to study
the relationship between QoL scores of individuals with ID and members of their
families. METHODS: Twenty-seven parents or relatives of 27 adults with ID were
recruited by four different research centres across Tuscany (Italy) to be
interviewed through the Italian adaptation of the Family Quality of Life Survey -
2006 (FQoLS-2006), a tool developed for use in a multiple-country study on family
QoL. The FQoLS-2006 was translated and adapted to Italian through three
revisions. The last was submitted to the authors of the original version, who
also maintain an electronic data file and data archive for statistical
evaluations in various countries. QoL of persons with ID was assessed through the
administration of the Quality of Life - Instrument Package. QoL scores were
analysed to describe population characteristics and to examine the relationships
among measures of individual and family QoL using correlations (Pearson and
Spearman). RESULTS: Findings showed that family ratings of QoL were generally
low. Families interviewed reported a low level of QoL in 'Support from Others'
and 'Community Interaction', while 'Family Relationships' and 'Health of the
Family' rated higher. For individual QoL, individuals had the lowest scores in
the area of 'Spiritual being' and higher scores in the area of 'Physical being'.
Correlations examining possible relationships among Importance, Satisfaction and
Opportunities found some statistically significant correlation coefficients
between some aspects of the three main areas of individual QoL (Being, Belonging
and Becoming) and the nine family domains. Most of these correlations regarded
family 'Financial Well-Being', 'Family Relationships, 'Support from Service' and
'Support from Others' areas. CONCLUSIONS: The results of this study suggest that
QoL is perceived somewhat differently by individuals with ID and by members of
their families. This difference could negatively impact QoL of people with ID, if
their views are not taken into account when planning for family support. The
relationships between individual and family QoL appear to be quite complex, and
such complexity needs to be clarified in future research.
PMID- 21883598
TI - Autism spectrum disorder in Down syndrome: cluster analysis of Aberrant Behaviour
Checklist data supports diagnosis.
AB - BACKGROUND: The diagnostic validity of autism spectrum disorder (ASD) based on
Diagnostic and Statistical Manual of Mental Disorders (DSM) has been challenged
in Down syndrome (DS), because of the high prevalence of cognitive impairments in
this population. Therefore, we attempted to validate DSM-based diagnoses via an
unbiased categorisation of participants with a DSM-independent behavioural
instrument. METHODS: Based on scores on the Aberrant Behaviour Checklist -
Community, we performed sequential factor (four DS-relevant factors: Autism-Like
Behaviour, Disruptive Behaviour, Hyperactivity, Self-Injury) and cluster analyses
on a 293-participant paediatric DS clinic cohort. The four resulting clusters
were compared with DSM-delineated groups: DS + ASD, DS + None (no DSM diagnosis),
DS + DBD (disruptive behaviour disorder) and DS + SMD (stereotypic movement
disorder), the latter two as comparison groups. RESULTS: Two clusters were
identified with DS + ASD: Cluster 1 (35.1%) with higher disruptive behaviour and
Cluster 4 (48.2%) with more severe autistic behaviour and higher percentage of
late onset ASD. The majority of participants in DS + None (71.9%) and DS + DBD
(87.5%) were classified into Cluster 2 and 3, respectively, while participants in
DS + SMD were relatively evenly distributed throughout the four clusters.
CONCLUSIONS: Our unbiased, DSM-independent analyses, using a rating scale
specifically designed for individuals with severe intellectual disability,
demonstrated that DSM-based criteria of ASD are applicable to DS individuals
despite their cognitive impairments. Two DS + ASD clusters were identified and
supported the existence of at least two subtypes of ASD in DS, which deserve
further characterisation. Despite the prominence of stereotypic behaviour in DS,
the SMD diagnosis was not identified by cluster analysis, suggesting that high
level stereotypy is distributed throughout DS. Further supporting DSM diagnoses,
typically behaving DS participants were easily distinguished as a group from
those with maladaptive behaviours.
PMID- 21883599
TI - Ethnic factors in mental health service utilisation among people with
intellectual disability in high-income countries: systematic review.
AB - BACKGROUND: An emerging literature suggests that ethnic and cultural factors
influence service utilisation among people with intellectual disability (ID), but
this has not previously been reviewed. AIMS: To investigate possible ethnic
variation in uptake of mental health services in children, adolescents and adults
with ID in high-income countries. METHOD: A systematic review using main
databases of studies that consider ethnic influences on mental health utilisation
of people with ID. Methodological quality of studies was assessed. RESULTS: Nine
studies that reached selection criteria were identified. Six studies that
compared two or more ethnic groups found a variation in levels of mental health
service utilisation. The most consistent finding was that South Asian children,
adolescents and adults with ID in the UK had lower use of mental health services
than White British comparison groups. CONCLUSION: Ethnic influences on mental
health service utilisation were identified. Understanding their significance and
potential negative consequences requires further investigation.
PMID- 21883600
TI - The overweight: obesity and plasma lipids in adults with intellectual disability
and mental illness.
AB - BACKGROUND: Previous studies in adults with intellectual disabilities (ID) have
reported a higher prevalence of obesity than in the general population, and a
trend to an increase in the prevalence of excess weight. However, little
information is available on body weight status and lipids levels of adults with
ID and co-existing mental illness. The aim of this study was to address this
information gap, by conducting a stepwise multiple regression analysis to predict
BMI, thereby allowing the investigation of (semi-)partial correlations, which
assess the extent to which a particular predictor variable is associated with BMI
over and above the other predictors. METHODS: A study of the patients with ID and
psychiatric illness registered in the service. Collected data included body mass
index (BMI), age, gender, the presence of additional physical conditions,
residential status, mental illness and use the psychotropic medication. We
analysed the lipid profile including serum cholesterol together with low-density
lipoprotein, high-density lipoprotein (HDL), triglycerides and the serum
cholesterol/HDL ratio. Data for these variables were entered into a stepwise
multiple linear regression to predict BMI. RESULTS: 28% of the participants were
overweight and 41% obese. Most of the obese patients were men with mild ID (P =
0.039). Level of ID (P = 0.003), gender (P = 0.001) and serum triglycerides (P =
0.026) had significant predictive value in the regression model. There were no
significant differences in either the mean serum cholesterol levels or the mean
triglyceride levels between those taking and those not taking first-generation
antipsychotics, second-generation antipsychotics or anti-epileptic medication.
CONCLUSIONS: The rate of obesity in our sample was higher than in previous
studies. The most predictive combination of predictors to predict BMI was ID
level, gender and serum triglyceride levels. Serum triglyceride and cholesterol
levels did not appear to be unduly affected by first- or second-generation
antipsychotic medication or by antiepileptic medication.
PMID- 21883601
TI - Social skills and associated psychopathology in children with chromosome 22q11.2
deletion syndrome: implications for interventions.
AB - BACKGROUND: Although distinctive neuropsychological impairments have been
delineated in children with chromosome 22q11 deletion syndrome (22q11DS), social
skills and social cognition remain less well-characterised. OBJECTIVE: To examine
social skills and social cognition and their relationship with neuropsychological
function/behaviour and psychiatric diagnoses in children with 22q11DS. METHODS:
Sixty-six children with 22q11DS and 54 control participants underwent
neuropsychological testing and were administered the Diagnostic Analysis of Non
Verbal Accuracy (DANVA) for face and auditory emotion recognition, a measure of
social cognition: their parents/guardians were administered the Social Skills
Rating System (SSRS) - parent version, Child Behavior Checklist (CBCL) - parent
version and the Computerised Diagnostic Interview Schedule for Children (C-DISC).
RESULTS: The 22q11DS group exhibited significantly lower social skills total
score and more problem social behaviours, lower neurocognitive functioning,
higher rates of anxiety disorders and more internalising symptoms than the
control group. Participants with 22q11DS also exhibited significant deficits in
their ability to read facial expressions compared with the control group, but
performed no differently than the control participants in the processing of
emotions by tone of voice. Within the 22q11DS group, higher social competency was
correlated with higher global assessment of functioning and parental socio
economic status. Social competency was worse in those with anxiety disorders,
attention deficit hyperactivity disorder, more than two psychiatric diagnoses on
the C-DISC and higher internalising symptoms. No significant correlations of SSRS
scores were seen with IQ, executive functions, attention, or verbal learning and
memory. No correlations were found between social cognition and social skill
scores. CONCLUSION: Our results indicate that social skills in children with
22q11DS are associated with behaviour/emotional functioning and not with
neurocognition. Thus, treating the behaviour or emotional problems such as
attention deficit hyperactivity disorder and anxiety disorders may provide a
pathway for improving social skills in these children.
PMID- 21883602
TI - Cost-effectiveness of tobacco control policies in Vietnam: the case of personal
smoking cessation support.
AB - AIMS: To examine the cost-effectiveness of personal smoking cessation support in
Vietnam. DESIGN, SETTING AND PARTICIPANTS: We followed-up the population aged 15
years and over in 2006 to model the costs and health gains associated with five
interventions: physician brief advice; nicotine replacement therapy (patch and
gum); bupropion; and varenicline. Threshold analysis was undertaken to determine
the price levels of pharmaceuticals for the interventions to be cost-effective. A
multi-state life table model was constructed such that the interventions affect
the smoking cessation behaviour of the age cohorts, and the resulting smoking
prevalence defines their health outcomes. A health-care perspective was employed.
MEASUREMENTS: Cost-effectiveness is measured in 2006 Vietnamese Dong (VND) per
disability-adjusted life year (DALY) averted. We adopted the World Health
Organization thresholds of being 'cost-effective' if less than three times gross
domestic product (GDP) per capita (VND 34,600,000) and 'very cost-effective' if
less than GDP per capita (VND 11,500,000). FINDINGS: The cost-effectiveness
result of physician brief advice was VND 1,742,000 per DALY averted
(international dollars 543), which was 'very cost-effective'. Varenicline
dominated bupropion and nicotine-replacement therapies, although it did not fall
within the range of being 'cost-effective' under different scenarios. The
threshold analysis revealed that prices of pharmaceuticals must be substantially
lower than the levels from other countries if pharmacological therapies are to be
cost-effective in Vietnam. CONCLUSIONS: Physician brief advice is a cost
effective intervention and should be included in the priority list of tobacco
control policy in Vietnam. Pharmacological therapies are not cost-effective, and
so they are not recommended in Vietnam at this time unless pharmaceuticals could
be produced locally at substantially lower costs in the future.
PMID- 21883603
TI - The effect of methadone on emotional reactivity.
AB - AIMS: Opioids have been implicated in emotion regulation. Opioid users report
decreased negative emotional response, but there has been no formal study on the
effect of opioid administration on emotional reactivity. The aim of this study
was to investigate the effect of methadone on emotional reactivity in methadone
maintained patients. DESIGN: Velten's mood induction procedures were used to
induce elative and depressive emotional reactions in the subjects. Each group was
administered both induction procedures at 0 hour and 3 hours (corresponding with
trough and peak plasma methadone concentrations in methadone subjects). SETTING:
A drug treatment clinic with an out-patient methadone maintenance treatment
programme. PARTICIPANTS: Twenty-one subjects currently on methadone maintenance
treatment and 21 controls with no history of opioid dependence. MEASUREMENTS:
Emotional reactivity was measured using mood visual analogue scales. FINDINGS: At
0 hour, methadone and control subjects showed similar elation (methadone 13.2 +/-
3.1 mean +/- standard error of the mean [SEM], control 14.4 +/- 3.7) and
depression reactivity (methadone 23.6 +/- 5.0, control 25.1 +/- 5.0). However, at
3 hours repeated measures showed that methadone subjects had significantly
decreased depression reactivity (methadone 18.5 +/- 4.6, control 36.7 +/- 5.7; P
= 0.021) and elation reactivity (methadone 4.4 +/- 1.9, control 19.0 +/- 2.4)
compared to controls. CONCLUSIONS: Opioid addicts on methadone maintenance appear
to be less reactive to mood induction at times of peak plasma methadone
concentration than non-addict controls; this suggests that methadone blunts both
elative and depressive emotional reactivity.
PMID- 21883604
TI - Transition from first illicit drug use to first injection drug use among rural
Appalachian drug users: a cross-sectional comparison and retrospective survival
analysis.
AB - AIM: The study's objectives were to characterize initiation of injection drug
use, examine the independent association of specific substance use with injection
drug use and determine factors associated with rates of transition from first
illicit drug use to first injection among a sample of rural Appalachian drug
users. DESIGN: Interview-administered questionnaires were administered to a
sample of drug users recruited via respondent-driven sampling. SETTING:
Appalachian Kentucky. PARTICIPANTS: Injection drug users (IDUs) (n = 394) and non
IDUs (n = 109). MEASUREMENTS: Data were collected on substance use and years from
age at initiation of illicit substance use to 'event' (initiation of injection or
date of baseline interview for non-IDUs). Logistic regression and Cox regression
were used to identify factors associated with life-time injection drug use and
transition time to injection, respectively. FINDINGS: OxyContin((r)) was involved
in nearly as many initiations to injection (48%), as were stimulants, other
prescription opioids and heroin combined; for participants who initiated with
OxyContin((r)), the median time from which they began OxyContin((r)) use to their
first injection of OxyContin((r)) was 3 years. Adjusting for demographics, five
prescription drugs (benzodiazepines, illicit methadone, oxycodone, OxyContin((r))
and other opiates) were associated with an increased hazard for transitioning
from first illicit drug use to first injection drug use (each at P < 0.01).
CONCLUSIONS: In Appalachia, in the United States, the prescription opioid
OxyContin((r)) is widely used non-medically and appears to show a particularly
high risk of rapid transition to injection compared with the use of other illicit
drugs.
PMID- 21883605
TI - Cessation assistance reported by smokers in 15 countries participating in the
International Tobacco Control (ITC) policy evaluation surveys.
AB - AIMS: To describe some of the variability across the world in levels of quit
smoking attempts and use of various forms of cessation support. DESIGN: Use of
the International Tobacco Control Policy Evaluation Project surveys of smokers,
using the 2007 survey wave (or later, where necessary). SETTINGS: Australia,
Canada, China, France, Germany, Ireland, Malaysia, Mexico, the Netherlands, New
Zealand, South Korea, Thailand, United Kingdom, Uruguay and United States.
PARTICIPANTS: Samples of smokers from 15 countries. MEASUREMENTS: Self-report on
use of cessation aids and on visits to health professionals and provision of
cessation advice during the visits. FINDINGS: Prevalence of quit attempts in the
last year varied from less than 20% to more than 50% across countries. Similarly,
smokers varied greatly in reporting visiting health professionals in the last
year (<20% to over 70%), and among those who did, provision of advice to quit
also varied greatly. There was also marked variability in the levels and types of
help reported. Use of medication was generally more common than use of
behavioural support, except where medications are not readily available.
CONCLUSIONS: There is wide variation across countries in rates of attempts to
stop smoking and use of assistance with higher overall use of medication than
behavioural support. There is also wide variation in the provision of brief
advice to stop by health professionals.
PMID- 21883606
TI - Engagement with opioid maintenance treatment and reductions in crime: a
longitudinal national cohort study.
AB - AIMS: This study investigates changes in criminal involvement among patients in
opioid maintenance treatment (OMT) over a 7-year period prior to, during and
after treatment, particularly in relation to differences in treatment engagement.
DESIGN, SETTING AND PARTICIPANTS: Treatment data on all patients who started OMT
in Norway between 1997 and 2003 (n = 3221) were cross-linked with national
criminal records. The period of observation was divided into four phases; pre
treatment, in-treatment, between treatments and post-treatment. FINDINGS: During
OMT, rates of criminal convictions for the cohort were reduced to fewer than half
of waiting-list levels [incidence rate (IR) 0.63 versus 1.57]. Patients in
continuous treatment had the fewest convictions (IR 0.47) during treatment. The
highest rates were found among patients out of treatment after several treatment
episodes (IR 1.52). All groups had significantly fewer criminal convictions
during treatment compared to before treatment. Staying in OMT for 2 years or more
was associated with significantly reduced rates of convictions during treatment.
Younger age and pre-treatment criminal convictions were associated with
significantly (P < 0.001) more convictions during treatment. Those who left
treatment, permanently or temporarily, relapsed into high levels of convictions
outside treatment. CONCLUSIONS: Criminal activity appears to be reduced in Norway
during opiate maintenance treatment. Younger age and prior history of criminal
activity are important risk factors for continued criminal activity during
treatment.
PMID- 21883608
TI - Adolescent drinking, academic achievement and leisure time use by secondary
education students in a rural area of Crete.
AB - This study investigated the alcohol consumption of secondary education students
and their relationship to school life and leisure time use with peers. A cross
sectional survey was conducted in March 2007, and the study population consisted
of 14- to 19-year-old students living in an agricultural area of Crete. The final
sample consisted of 117 individuals (response rate 90.0%). A short previously
validated self-completion questionnaire was used collecting information on:
personal and family characteristics; school progress; leisure time activities and
relations with other adolescents; and alcohol consumption. Alcohol consumption
differed significantly between male (75.5%) and female (25.8%) students (P <
0.001). Almost half of the participants (48.3%) reported alcohol consumption
during nights out with friends. The mean grade for the previous year for students
consuming alcohol was lower compared with those who did not, but the difference
was not statistically significant (P = 0.066). Statistical evidence supported the
hypothesis that students who consumed alcohol had more absences and this
association was stronger for male students. The frequency of alcohol consumption
was found to relate to the number of absences for both sexes. Male students who
had been suspended from school were more likely to drink alcohol than those who
had not been suspended. Statistical evidence also supported the hypotheses that
students who spent their free time in cafeterias, bars or billiard halls were
more likely to drink alcohol and also consume alcohol at higher frequencies than
those that did not spend their free time this way (P = 0.002 and P < 0.001,
respectively). More health education programmes and actions are needed at the
national and local level to help students, families, schools, communities and the
state better understand the real dimensions of the problem.
PMID- 21883609
TI - A scoping review on the experiences and preferences in accessing diabetes-related
healthcare information and services by British Bangladeshis.
AB - Diabetes is a chronic condition requiring lifelong self-management. Patients are
encouraged to access appropriate services to facilitate optimum management of
diabetes. Although equitable access to healthcare in the United Kingdom is a
legal right, not all groups and individuals in the community experience equity.
Despite various equality laws and numerous efforts to minimise health
inequalities related to access, particular community groups are more likely to
experience inequitable access than others. The Bangladeshi community are one such
community who experience some of the worst diabetes-related health outcomes in
the United Kingdom. Little is known about their experiences and preferences in
accessing diabetes healthcare information and services. Consequently, we
undertook a scoping review of the literature by following the York Scoping
Reviews Framework to identify the experiences and preferences of Bangladeshi
patients and carers when gaining access to diabetes-related healthcare
information and services. We identified eight articles and reported our results
in relation to four domains of access: health service availability, health
service utilisation, health service outcomes and the notion of equity. The review
identified that language and literacy issues were the most common barriers
hindering access to information and services. Patient knowledge regarding
diabetes and its management was generally low, and friends and family were
frequently being used as information sources and as informal interpreters.
Additionally, there were feelings of isolation from mainstream information and
services possibly resulting in the high prevalence of depression in the
Bangladeshi community with women more affected than men. Social networks combined
with religious and cultural beliefs as well as wider societal duties played a
crucial role in accessing information and services for this population, and the
identification of these issues merit further research and are possible avenues
towards improved access to healthcare information and services for the
Bangladeshi population.
PMID- 21883607
TI - Psychiatric comorbidity and the persistence of drug use disorders in the United
States.
AB - AIMS: DSM-IV drug use disorders, a major public health problem, are highly
comorbid with other psychiatric disorders, but little is known about the role of
this comorbidity when studied prospectively in the general population. Our aims
were to determine the role of comorbid psychopathology in the 3-year persistence
of drug use disorders. DESIGN AND SETTING: Secondary data analysis using waves 1
(2001-02) and 2 (2005-05) of the National Epidemiologic Survey on Alcohol and
Related Conditions. PARTICIPANTS: Respondents with current DSM-IV drug use
disorder at wave 1 who participated in wave 2 (n = 613). MEASUREMENTS: Alcohol
Use Disorders and Associated Disabilities Interview Schedule IV (AUDADIS-IV)
obtained DSM-IV Axis I and II diagnoses. Persistent drug use disorder was defined
as meeting full criteria for any drug use disorder between waves 1 and 2.
FINDINGS: Drug use disorders persisted in 30.9% of respondents. No Axis I
disorders predicted persistence. Antisocial [odds ratio (OR) = 2.75; 95%
confidence interval (CI): 1.27-5.99], borderline (OR = 1.91; 95% CI: 1.06-3.45)
and schizotypal (OR = 2.77; 95% CI: 1.42-5.39) personality disorders were
significant predictors of persistent drug use disorders, controlling for
demographics, psychiatric comorbidity, family history, treatment and number of
drug use disorders. Deceitfulness and lack of remorse were the strongest
antisocial criteria predictors of drug use disorder persistence, identity
disturbance and self-damaging impulsivity were the strongest borderline criteria
predictors, and ideas of reference and social anxiety were the strongest
schizotypal criteria predictors. CONCLUSIONS: Antisocial, borderline and
schizotypal personality disorders are specific predictors of drug use disorder
persistence over a 3-year period.
PMID- 21883610
TI - Adaptive speciation and sexual dimorphism contribute to diversity in form and
function in the adaptive radiation of Lake Matano's sympatric roundfin sailfin
silversides.
AB - The utility of traits involved in resource exploitation is a central criterion
for the adaptive character of radiations. Here, we test for differentiation in
morphology, jaw mechanics and nutrition among species and sexes of Lake Matano's
sympatric 'roundfin' sailfin silversides. The three incipient fish species differ
significant in several candidate traits for adaptation following ecological
selection pressure, corresponding to contrasting jaw mechanics and distinct
patterns in food resource use. These findings are consistent with functional
adaptation and suggest divergence following alternative modes of feeding
specialization. Further, intersexual resource partitioning and corresponding
adaptation in jaw mechanics is evident in two of the three incipient species,
demonstrating that sexual dimorphism contributes to the ecomorphological and
trophic diversity of the emerging radiation. This is, to the best of our
knowledge, the first study reporting interspecific as well as intersexual
adaptation by alternative modes of form and function in an evolving fish species
flock.
PMID- 21883611
TI - Complex selection associated with Hox genes in a natural population of lizards.
AB - Hox genes are recognized for their explanatory power of bilateral development.
However, relatively little is known about natural variation in, and the
evolutionary dynamics of, Hox genes within wild populations. Utilizing a natural
population of sand lizards (Lacerta agilis), we screened HoxA13 for genetic
variation and an association with incidence of offspring malformations. We found
significant effects of parental genetic similarity and offspring sex, and their
interaction, on risk of hatching malformed as an offspring. We also found within
population genetic variation in HoxA13, and identified a significant effect of a
three-way interaction among Hox genotype, parental genetic similarity, and
offspring sex on the risk of hatching malformation. Since malformed offspring in
this population do not survive to maturity, this study reveals complex and
ongoing selection associated with Hox genes in a wild reptile population.
Importantly, this demonstrates the utility of natural populations in unveiling
microevolutionary processes shaping variation in highly conserved genes.
PMID- 21883613
TI - Evolutionary reduction of developmental plasticity in desert spadefoot toads.
AB - Organisms vary their rates of growth and development in response to environmental
inputs. Such developmental plasticity may be adaptive and positively correlate
with environmental heterogeneity. However, the evolution of developmental
plasticity among closely related taxa is not well understood. To determine the
evolutionary pattern of plasticity, we compared plasticity in time to and size at
metamorphosis in response to water desiccation in tadpoles among spadefoot
species that differ in breeding pond and larval period durations. Like most
tadpoles, spadefoot tadpoles possess the remarkable ability to accelerate
development in response to pond drying to avoid desiccation. Here, we hypothesize
that desert spadefoot tadpoles have evolved reduced plasticity to avoid
desiccation in ephemeral desert pools compared to their nondesert relatives that
breed in long-duration ponds. We recorded time to and size at metamorphosis
following experimental manipulation of water levels and found that desert-adapted
species had much less plasticity in larval period and size at metamorphosis than
nondesert species, which retain the hypothetical ancestral state of plasticity.
Furthermore, we observed a correlation between degree of plasticity and fat body
content that may provide mechanistic insights into the evolution of developmental
plasticity in amphibians.
PMID- 21883612
TI - The fitness of drug-resistant malaria parasites in a rodent model: multiplicity
of infection.
AB - Malaria infections normally consist of more than one clonally replicating
lineage. Within-host interactions between sensitive and resistant parasites can
have profound effects on the evolution of drug resistance. Here, using the
Plasmodium chabaudi mouse malaria model, we ask whether the costs and benefits of
resistance are affected by the number of co-infecting strains competing with a
resistant clone. We found strong competitive suppression of resistant parasites
in untreated infections and marked competitive release following treatment. The
magnitude of competitive suppression depended on competitor identity. However,
there was no overall effect of the diversity of susceptible parasites on the
extent of competitive suppression or release. If these findings generalize, then
transmission intensity will impact on resistance evolution because of its effect
on the frequency of mixed infections, not because of its effect on the
distribution of clones per host. This would greatly simplify the computational
problems of adequately capturing within-host ecology in models of drug resistance
evolution in malaria.
PMID- 21883614
TI - Intraspecific variation in behaviour: effects of evolutionary history,
ontogenetic experience and sex.
AB - Geographical variation in behaviour within species is common. However, how
behavioural plasticity varies between and within locally adapted populations is
less studied. Here, we studied behavioural plasticity induced by perceived
predation risk and food availability in pond (low predation - high competition)
vs. coastal marine (high predation - low competition) nine-spined sticklebacks
(Pungitius pungitius) reared in a common garden experiment. Pond sticklebacks
were more active feeders, more risk-taking, aggressive and explorative than
marine sticklebacks. Perceived predation risk decreased aggression and risk
taking of all fish. Food restriction increased feeding activity and risk-taking.
Pond sticklebacks became more risk-taking than marine sticklebacks under food
shortage, whereas well-fed fish behaved similarly. Among poorly fed fish, males
showed higher drive to feed, whereas among well-fed fish, females did. Apart from
showing how evolutionary history, ontogenetic experience and sex influence
behaviour, the results provide evidence for habitat-dependent expression of
adaptive phenotypic plasticity.
PMID- 21883615
TI - Head shape evolution in Gymnophthalmidae: does habitat use constrain the
evolution of cranial design in fossorial lizards?
AB - Habitat usage comprises interactions between ecological parameters and organismal
capacities, and the selective pressures that ultimately determine the outcome of
such processes in an evolutionary scale may be conflicting when the same
morphological structure is recruited for different activities. Here, we
investigate the roles of diet and locomotion in the evolution of cranial design
in gymnophthalmid lizards and test the hypothesis that microhabitat use drives
head shape evolution, particularly in head-first burrowers. Morphological factors
were analysed in relation to continuous ecological indexes (prey hardness and
substrate compactness) using conventional and phylogenetic approaches. Results
suggest that the evolution of head morphology in Gymnophthalmidae was shaped
under the influence of microhabitat use rather than diet: burrowers have shorter
heads with lower rostral angulation, independently of the prey consumed. Food
preferences appear to be relatively conserved throughout the phylogeny of the
group, which may have permitted the extensive radiation of gymnophthalmids into
fossorial microhabitats.
PMID- 21883616
TI - Human papillomavirus in adolescents: lessons learned from decades of evaluation.
AB - Knowledge regarding the natural history of human papillomavirus (HPV) infection
and its clinical sequelae in adolescents has increased significantly over the
last decade; as a result, there have been world-wide recommendations for less
aggressive Pap screening and management of cervical dysplasias in young women. It
is important that adolescent health providers understand these issues, as
knowledge of HPV and its sequelae in the Australian community is limited, despite
the introduction of a national immunisation programme. Parents and young women
have expressed a desire for further information to make informed choices. This
paper reviews the natural history of HPV infection in adolescents and the
evidence behind new conservative guidelines for cervical screening, plus
prophylactic vaccination in young women.
PMID- 21883617
TI - Ectoplacental cone induces resistance to apoptosis in high doses of interferon
(IFN)-gamma-treated decidual cells.
AB - PROBLEM In this study, we explored the relationship between decidual cells (DC)
and interferon (IFN)-gamma, in the presence or absence of ectoplacental cone (EC)
using a coculture system. METHOD OF STUDY Decidual cells and EC were isolated
from pregnant mice on gestation day 7.5. DCs were cultured for 48 hr and then
treated with fresh EC. After characterization, they were treated with IFN-gamma,
and cell death was evaluated. RESULTS Interferon-gamma drastically increased
decidual apoptosis, which was partially reverted by the addition of EC to the IFN
gamma-treated decidual culture. Moreover, the addition of EC to non-treated DC
cultures was also capable of attenuating death rates. CONCLUSION Resistance to
apoptosis may be induced in DC by the EC. This suggests that EC may participate
in the inhibition of IFN-gamma-dependent apoptosis and, therefore, play important
role for DC survival in a cytokine-enriched placental environment.
PMID- 21883618
TI - Blood exposures ignored in racial disparities in HIV prevalence.
PMID- 21883619
TI - Modulation of hepatocyte growth factor secretion in human female reproductive
tract stromal fibroblasts by poly (I:C) and estradiol.
AB - PROBLEM: Hepatocyte Growth Factor (HGF) secretion facilitates epithelial cell
growth and development in the female reproductive tract (FRT) and may contribute
to pathological conditions such as cancer and endometriosis. We hypothesized that
estradiol and poly (I:C), a synthetic RNA mimic, may have a regulatory effect on
HGF secretion by stromal fibroblasts from FRT tissues. METHOD OF STUDY: Following
hysterectomies, normal tissue from the uterus, endocervix, and ectocervix were
dispersed into stromal cell fractions by enzymatic digestion and differential
filtering. Stromal fibroblasts were cultured and treated with estradiol and/or
poly (I:C), and conditioned media were analyzed for HGF via enzyme-linked
immunosorbent assay. RESULTS: Treating uterine fibroblasts with estradiol or poly
(I:C) significantly increased HGF secretion. When uterine fibroblasts were co
treated with estradiol and poly (I:C), the effect on HGF secretion was additive.
In contrast, stromal fibroblasts from endo- and ecto-cervix were unresponsive to
estradiol, but were stimulated to secrete HGF by poly (I:C). CONCLUSION: HGF
secretion is uniquely regulated in the uterus, but not in ecto- and endo-cervix,
by estradiol. Moreover, potential viral pathogens further induce HGF. These
findings have potential applications in understanding both hormonal regulation of
normal tissue as well as the role of HGF in tumorogenesis, endometriosis, and
human immunodeficiency virus infection.
PMID- 21883620
TI - Expression of TLR 2, TLR 4 and iNOS in cervical monocytes of Chlamydia
trachomatis-infected women and their role in host immune response.
AB - PROBLEM: To study the innate immune response -TLR2 TLR 4 and iNOS expression in
female genital Chlamydia trachomatis infection. METHOD: TLR 2, TLR 4, and iNOS
expression was evaluated by real-time PCR in C. trachomatis-infected
asymptomatic, mucopurulent cervicitis (MPC), and fertility disorders (FD) women.
Expression of TLR signaling pathway genes was checked in vivo in C. trachomatis
infected cervical monocytes. Further, inos gene expression and nitric oxide
release was assessed in vitro in THP-1 cell line upon chlamydial infection.
RESULTS: TLR2, TLR4, and iNOS expression was significantly (P < 0.05) higher in
C. trachomatis-positive women with FD, MPC, and asymptomatic women, respectively,
than in control. Chlamydial infection significantly upregulates CD86, TLR4,
MyD88, IRAK2, nF-kappaB, IL-1,beta and IL-12 genes. Expression of iNOS gene was
found to be significantly (P < 0.05) high 12 hrs post-infection. CONCLUSIONS:
Chlamydia trachomatis stimulates innate immune cells by activation of TLR2/TLR 4.
Overall data indicate that recognition by TLR4 helps in initiation of immune
response while recognition by TLR2 leads to secretion of inflammatory cytokines
while iNOS-induced nitric oxide production helps in clearing Chlamydia. These
results are first to provide initial insights into how innate immune response
operates in human cervical monocytes upon chlamydial infection.
PMID- 21883621
TI - Intra-arterial tenecteplase for treatment of acute ischemic stroke: feasibility
and comparative outcomes.
AB - OBJECTIVE: Tenecteplase (TNK) is a third-generation thrombolytic agent. We
evaluated the safety and feasibility of intra-arterial (IA) administration of TNK
in patients with acute ischemic stroke. METHODS: Patients who received
endovascular treatment for acute ischemic stroke were identified from
prospectively collected databases at three university hospitals. We compared
clinical and radiological outcomes of patients treated with TNK to those treated
with other IA thrombolytics or mechanical thrombectomy alone. Primary outcome
measures were favorable functional outcome at 30 days (modified Rankin Scale
score of 0-2), and rate of intracranial hemorrhage (ICH). Early neurological
improvement, angiographic recanalization, time to recanalization, and mortality
at 30 days were additional outcome measures. RESULTS: We identified 114 patients
(mean age 67 +/- 15 years, 54 were women). Thirty-three patients received IA TNK,
48 received alteplase (n = 11) or reteplase (n = 37), and 33 patients had
mechanical thrombectomy alone. Stroke severity was similar among the three
groups. No difference between the groups was found in the secondary outcome
measures and ICH. Borderline statistical significance was seen toward favorable
functional outcome at 1 month in the TNK-treated patients [odds ratio (OR) = 2.8;
95% confidence interval (CI) .96-8.1, P = .063 vs. other thrombolytics, and OR =
3.0, 95% CI .97-9.5, P = .06 vs. mechanical thrombectomy alone]. CONCLUSION: Our
study demonstrates that administration of IA TNK in acute stroke is safe and
results in rates of favorable outcomes that are comparable to those observed with
currently used drugs. Additional studies are needed to further determine the
safety and efficacy of IA TNK in acute stroke treatment.
PMID- 21883622
TI - Preliminary MRI quality assessment and device acceptance guidelines for a
multicenter bioclinical study: the GO Glioblastoma Project.
AB - It is a major challenge to guarantee homogeneous acquisition during a prospective
multicenter magnetic resonance imaging (MRI) study that makes use of different
devices. The goal of the multicenter Grand Ouest Glioblastoma Project (GOGP) was
to correlate MRI quantitative parameters with biological markers extracted from
image-guided biopsies. Therefore, it was essential to ensure spatial coherence of
the parameters as well as the signal intensity and homogeneity. The project
included the same MRI protocol implemented on six devices from different
manufacturers. The key point was the initial acceptance of the imaging devices
and protocol sequences. For this purpose, and to allow comparison of quantitative
patient data, we propose a specific method for quality assessment. A common
quality control based on 10 parameters was established. Three pulse sequences of
the clinical project protocol were applied using three test-objects. A fourth
test-object was used to assess T1 accuracy. Although geometry-related parameters,
signal-to-noise ratio, uniformity, and T1 measurements varied slightly depending
on the different devices, they nevertheless remained within the recommendations
and expectations of the multicenter project. This kind of quality control
procedure should be undertaken as a prerequisite to any multicenter clinical
project involving quantitative MRI and comparison of data acquisitions with
quantitative biological image-guided biopsies.
PMID- 21883625
TI - Gliosarcoma metastatic to the leptomeninges and dura.
AB - We describe a rare case of a patient with left frontotemporal gliosarcoma, which
metastasized through the cerebrospinal fluid (CSF) to the leptomeninges and
pachymeninges. Pathologically confirmed, magnetic resonance imaging-visible
leptomeningeal spread of gliosarcoma via the CSF has not been previously
reported.
PMID- 21883624
TI - Sonographic assessment of the optic nerve sheath and transorbital monitoring of
treatment effects in a patient with spontaneous intracranial hypotension: case
report.
AB - OBJECTIVE: To investigate the potential of the ultrasound-based evaluation of the
optic nerve sheath in a patient with spontaneous intracranial hypotension due to
cervical cerebrospinal fluid (CSF) leakage. METHODS: Repeated measurements of the
optic nerve sheath diameter (ONSD) using B-mode sonography were performed before
treatment initiation, during medical treatment, and during a course of repeated
placement of epidural blood patches. RESULTS: On admission, transorbital
sonography revealed a decreased ONSD of 4.1 mm on the right and 4.3 mm on the
left side. After 8 months of treatment with caffeine and computed tomography
guided epidural blood patches a gradual distension of the ONSD into the normal
range was bilaterally observed (right: 5.2 mm; left: 5.3 mm). CONCLUSIONS: The
ultrasound-based evaluation of the optic nerve sheath may be helpful in detecting
CSF hypovolemia and for determination of treatment effects. This report should be
seen as a basis for future investigations on the sonographic assessment of the
optic nerve sheath in diagnosis and treatment of intracranial hypotension.
PMID- 21883626
TI - Endovascular cerebral protection and revascularization of innominate artery
stenosis through single-site access, with device entrapment and rescue: technical
case report.
AB - We describe a novel technique for cerebral embolic device placement with
inadvertent entrapment and subsequent rescue in the endovascular treatment of
innominate artery stenosis. A 62-year-old female presented with symptomatic right
sided subclavian steal syndrome. Single-site access for revascularization of
critical innominate artery stenosis with simultaneous cerebral embolic protection
performed for this diagnosis has not been previously reported. Initial nontarget
self-expanding stent deployment within the right subclavian artery resulted in
entrapment of the embolic protection device. The device was retrieved through
snare fixation and resheathing within a 6-French guide catheter navigated through
common femoral artery access. Innominate artery balloon-mounted stent angioplasty
was performed preceded by the embolic device retrieval, with complete resolution
of symptoms. Endovascular distal protection device placement for prevention of
cerebral atherothromboembolism during innominate artery stent angioplasty is not
without risk and utilization needs to be carefully considered.
PMID- 21883627
TI - The correlation between Spurling test and imaging studies in detecting cervical
radiculopathy.
AB - BACKGROUND: Cervical spine symptoms are a major cause of visits to general or
spinal orthopedic surgeons or even primary care physicians. Although in this era
the imaging studies can precisely rule out or diagnose pathologies in the spine,
all of these studies have limitations. Computerized tomography (CT) scan consists
of radiation exposure to the patients and it should be done with caution.
Magnetic resonance imaging (MRI) is a highly effective imaging tool, but in many
countries it is still costly. The goal of our study was to determine whether a
simple clinical test can help the clinician to identify the patients who need to
be sent for these imaging studies. METHODS: Two hundred fifty-seven patients with
clinical cervical radiculopathy underwent complete physical examination that also
included the Spurling test. After that, all patients were sent to imaging studies
of the cervical spine (CT and/or MRI). Correlation between the physical
examination using the Spurling test to the imaging studies was done. RESULTS:
Sensitivity of the Spurling test to nerve root pathology was 95% and specificity
was 94%. CONCLUSION: This paper demonstrate that patients with positive Spurling
test have probable nerve root pressure and should be sent for further imaging
studies. In patients with negative Spurling test, the possibility of nerve root
pressure is less likely.
PMID- 21883628
TI - Determination of language dominance: Wada test and fMRI compared using a novel
sentence task.
AB - BACKGROUND AND PURPOSE: This study aimed to develop a new linguistic based
functional magnetic resonance imaging (fMRI)-sentence decision task that reliably
detects hemispheric language dominance. METHODS: FMRI was performed in 13 healthy
right-handed controls and 20 patients at 1.5 T prior to neurosurgery. The main
components of language were assessed with different paradigms (rhyme, synonym,
and sentence). In controls, activations were quantified by a volume of interest
analysis. Four neuroimagers tested a visual rating score in the patients group.
Interrater agreement and concordance between fMRI and Wada test were calculated.
RESULTS: In healthy controls, the frontal language area was activated by the
sentence and synonym task in 100% and in 73% by the rhyme task. The temporal
language area was activated in 100% by the sentence-, in 64% by the synonym, and
in 55% by the rhyme task. In the patients group, interrater agreement was .90 for
activations in the inferior frontal and .97 in the superior temporal gyrus.
Correlation between the WADA test and fMRI was .86 for the sentence, and .89 for
the synonym task. CONCLUSIONS: The sentence task provides robust activations in
putative essential language areas and can be used for visual analysis of
predefined areas to facilitate interpretation of clinical fMRI.
PMID- 21883629
TI - Program director perceived factors for an enhanced advanced education program in
prosthodontics recall system.
AB - PURPOSE: A survey study of program directors in Advanced Education Programs in
Prosthodontics (AEPPs) was conducted to determine the barriers to and factors
that can lead to an enhanced patient-centered recall system. MATERIAL AND
METHODS: Surveys were sent to AEPP directors across the United States to assess
their program's recall protocol. This survey first identified whether an active
recall program existed. Based on the existence of recall, the survey then delved
into benefits of recall systems for patients and residents, barriers to the
formation of a successful recall system, and factors that can be improved upon
for an enhanced recall system. RESULTS: Thirty-two of the 45 programs responded;
however, only 28 of the surveys were completed entirely, giving a response rate
of 62%. Of these 32 programs, 19 (59.4%) reported having a recall system. A
majority of the AEPPs with recall (87.5%) indicated that their system can be
further improved. Almost all of the programs without recall (91.7%) indicated
that if solutions to the most common barriers to recall were found, they would
like to implement one within their program. Some hindrances faced by all programs
included budget for initiating and maintaining a recall system, personnel to
perform hygiene, a patient tracking system, patient education, and time
allocation in the residents' curriculum. Mann-Whitney analyses indicated no
statistically significant difference in each factor between programs with and
without a recall system. Power analysis suggested that differences in perceived
barriers between programs with and without recall systems may have been found if
the response rate was 71% or greater. Necessary budget and facilities for
initiating or maintaining a recall system may be the greatest difference in
barrier importance between programs with and without recall. CONCLUSIONS:
Prosthodontic program directors perceived their program's recall system could be
improved. If solutions to the most common hindrances were found, almost all
program directors desired to establish a recall system within their AEPP.
Therefore, a pilot recall system could be valuable in identifying these solutions
in establishing an effective recall system for prosthodontic programs within the
context of patient health promotion, program curriculum, and financial
ramifications.
PMID- 21883630
TI - Bisphosphonate therapy for skeletal malignancies and metastases: impact on jaw
bones and prosthodontic concerns.
AB - Healthy jawbones ensure better tooth anchorage and the ability to masticate and
maintain metabolism. This is achieved by a delicate balance between bone
formation and resorption in response to functional demands. An imbalance in the
expression of receptor activator of nuclear factor kappa-B (RANK) ligand (RANKL)
and osteoprotegerin (OPG) or osteoclastogenesis inhibitory factor (OCIF) is
believed to be the underlying mechanism of osteolysis in metastases, multiple
myelomas, and cancer therapy-induced bone loss in patients. Considered mainly as
bone-specific agents to treat postmenopausal osteoporosis, bisphosphonates, in
combination with certain chemotherapeutic agents have proved to be effective in
prevention of tumor formation and metastatic osteolysis in bone tissue.
Osteonecrosis of the jaws associated with them has, however, been of grave
concern to the prosthodontist, as it predisposes patients to a bone-deficient
basal seat for dental prostheses. This manuscript reviews available information
over the past 13 years on possible mechanisms of bone loss, bisphosphonate
induced osteonecrosis of jaw bones, and prosthodontic concerns.
PMID- 21883631
TI - Pre-prosthetic orthodontic treatment using personalized elastic separators for
optimization of emergence profile in esthetic crowns: a clinical report.
AB - Restoring a misaligned tooth with an inadequate contact point is a challenge to
the practitioner. In some instances, teeth that could be repositioned and
adequately restored are extracted. Thus, the aim of this article was to describe
a treatment using orthodontic and prosthetic techniques to restore esthetics and
function in a patient with a distally drifted maxillary lateral incisor. The
patient's functional and esthetic expectations were successfully met with the
outlined treatment.
PMID- 21883632
TI - A technique for repairing a loosening abutment screw for a cement-retained
implant prosthesis.
AB - Prostheses may be attached to implants or implant abutments using screw retention
or cementation. With the increased use of cement-retained, implant-supported
restorations for the replacement of missing teeth, clinicians may choose to use a
definitive cement to lute the definitive restoration. Loosening of an abutment
screw is a challenging complication of cement-retained, implant-supported
prosthetic restorations. Often, the abutment screw becomes loose from the implant
body, whereas the crown remains cemented to the abutment. In such situations,
separating the cemented crown from the underlying abutment or locating the
abutment-screw access for removal of the restoration is a difficult task. The
purpose of this report is to describe a simple technique for locating the
abutment-screw access in the event of its loosening. The advantage of this
technique is that it can facilitate easy location of the abutment screw, thus
minimizing damage to the existing restoration and allowing it to be reused.
PMID- 21883633
TI - High-resolution electron microscopical study of cyst walls of Entamoeba spp.
AB - Knowledge of the fine structural organization, molecular composition and
permeability properties of the cell surface of intestinal protozoan cysts is
important to understand the biologic basis of their resistance. Recent studies on
the biology of the cyst walls of Entamoeba histolytica and Entamoeba invadens
have considerably advanced knowledge on the cellular processes involved in the
transport and surface deposition of the main cyst wall components. Using
transmission electron microscopy, cytochemistry, scanning electron microscopy and
freeze-fracture techniques, we have obtained new information. In mature cysts the
permeability of Entamoeba cysts is limited to small molecules not by the cyst
wall, but by the plasma membrane, as demonstrated with the use of ruthenium red
as an electron-dense tracer. Cell walls of E. histolytica cysts are made up of
five to seven layers of unordered fibrils 7-8 nm thick. Alcian blue stains a
regular mesh of fibrils approximately 4 nm thick, running perpendicularly to the
cyst wall. In addition, abundant ionogenic groups are seen in cyst walls treated
with cationized ferritin. In the mature cysts of E. histolytica and E. invadens
small cytoplasmic vesicles with granular material were in close contact with the
plasma membrane, suggesting a process of fusion and deposition of granular
material to the cell wall. The plasma membrane of mature cysts is devoid of
intramembrane particles when analyzed with the freeze-fracture technique. When
viewed with scanning electron microscopy the surface of E. histolytica cysts
clearly differs from that of Entamoeba coli and E. invadens.
PMID- 21883634
TI - Guidelines for the naming of genes, gene products, and mutants in the
opportunistic protists.
AB - The opportunistic protists encompass a wide diversity of organisms including
Pneumocystis, Toxoplasma, cryptosporidia, microsporidia, and related genera.
Recent advances in the molecular biology and cellular biochemistry of these
organisms have led to the identification of an ever growing numbers of key genes
and their cognate proteins. Until now, these molecules have not been designated
using any consistent nomenclature system, leading to considerable confusion. The
participants of the 11th International Workshop on Opportunistic Protists met on
August 3, 2010 to reach consensus of a nomenclature system for genes, gene
products, and mutants in the opportunistic protists. The following summary
reports the consensus agreement to move toward a unified nomenclature system for
these organisms. The system is adapted from that used for Saccharomyces
cerevisiae.
PMID- 21883635
TI - A prospective comparison of procedural sedation and ultrasound-guided
interscalene nerve block for shoulder reduction in the emergency department.
AB - OBJECTIVES: Emergency physicians (EPs) are beginning to use ultrasound (US)
guidance to perform regional nerve blocks. The primary objective of this study
was to compare length of stay (LOS) in patients randomized to US-guided
interscalene block or procedural sedation to facilitate reduction of shoulder
dislocation in the emergency department (ED). The secondary objectives were to
compare one-on-one health care provider time, pain experienced by the patient
during reduction, and patient satisfaction between the two groups. METHODS: This
was a prospective, randomized study of patients presenting to the ED with
shoulder dislocation. The study was conducted at an academic Level I trauma
center ED with an annual census of approximately 80,000. Patients were eligible
for the study if they were at least 18 years of age and required reduction of a
shoulder dislocation. A convenience sample of patients was randomized to either
traditional procedural sedation or US-guided interscalene nerve block. Procedural
sedation was performed with etomidate as the sole agent. Interscalene blocks were
performed by hospital-credentialed EPs using sterile technique and a SonoSite
MicroMaxx US machine with a high-frequency linear array transducer. Categorical
variables were evaluated using Fisher's exact test, and continuous variables were
analyzed using the Wilcoxon rank sum test. RESULTS: Forty-two patients were
enrolled, with 21 patients randomized to each group. The groups were not
significantly different with respect to sex or age. The mean (+/-SD) LOS in the
ED was significantly higher in the procedural sedation group (177.3 +/- 37.9 min)
than in the US-guided interscalene block group (100.3 +/- 28.2 minutes; p <
0.0001). The mean (+/-SD) one-on-one health care provider time was 47.1 (+/-9.8)
minutes for the sedation group and 5 (+/-0.7) minutes for the US-guided
interscalene block group (p < 0.0001). There was no statistically significant
difference between the two groups in patient satisfaction or pain experienced
during the procedure. There were no significant differences between groups with
respect to complications such as hypoxia or hypotension (p = 0.49). CONCLUSIONS:
In this study, patients undergoing shoulder dislocation reduction using US-guided
interscalene block spent less time in the ED and required less one-on-one health
care provider time compared to those receiving procedural sedation. There was no
difference in pain level or satisfaction when compared to procedural sedation
patients.
PMID- 21883636
TI - The academic chair in emergency medicine: current demographics and survey results
identifying the skills and characteristics desired for the role.
AB - OBJECTIVES: Due to the rapid growth in academic emergency departments over the
past 20 years, recent significant changes in leadership have occurred. To prepare
for future transitions, leaders in academic emergency medicine (EM) should
identify those skills and characteristics desired in future academic chairs. The
authors sought to determine which skills and characteristics are more important
than others to help guide the development of EM-specific leadership courses.
METHODS: A survey of the current academic chairs in EM included questions
pertaining to demographics, career time course, expected career longevity, and
ratings of skills and characteristics deemed necessary for academic chairs.
Chairs were asked to rate the qualities twice, to identify qualities that must be
obtained prior to becoming chair, and those that may be obtained during chair
tenure. RESULTS: Ninety-seven percent of the membership of the Association of
Academic Chairs in Emergency Medicine (AACEM) completed the survey. Most chairs
have been in practice for over 15 years, and a minority, for less than 10 years.
One-third predict less than 6 more years in their current position, and almost
50% predict their careers as chair will continue an additional 6-10 years. The
highest-rated formal training was an administrative or leadership training course
or certification. Chairs noted that academic experience (including scholarly
productivity, peer-reviewed publication, faculty development, and graduate
medical education) was the most important skill set to obtain prior to becoming a
chair, while hospital governance and cross-departmental collaboration skills can
be obtained once in the role. Managerial skills were also felt to be of
importance. Personal characteristics were overall rated highly. No differences
were found between responses from early chairs and those later in their careers.
CONCLUSIONS: Leadership courses for aspiring chairs in EM should foster the
development of academic experience and managerial skills. Advanced degrees in
leadership or administration are highly desirable in future chairs.
PMID- 21883637
TI - Arriving by emergency medical services improves time to treatment endpoints for
patients with severe sepsis or septic shock.
AB - OBJECTIVES: The objective was to evaluate the effect of arrival to the emergency
department (ED) by emergency medical services (EMS) on time to initiation of
antibiotics, time to initiation of intravenous fluids (IVF), and in-hospital
mortality in patients with severe sepsis and septic shock. METHODS: The authors
performed an evaluation of prospectively collected registry data of patients with
a diagnosis of severe sepsis or septic shock who presented to an urban academic
ED during a 2-year period from January 1, 2005, to December 31, 2006. Descriptive
and multivariate analytic methods were used to analyze the data. Using unadjusted
and adjusted models, out-of-hospital patients who presented to the ED by
ambulance (EMS) were compared to control patients who arrived by alternative
means (non-EMS). Primary outcomes measured were ED time to initiation of
antibiotics, ED time to initiation of IVF, and in-hospital mortality. RESULTS: A
total of 963 severe sepsis patients were enrolled in the registry. Median time to
antibiotics was 116 minutes for EMS (interquartile range [IQR] = 66 to 199) vs.
152 minutes for non-EMS (IQR = 92 to 252, p <= 0.001). Median time to initiation
of IVF was 34 minutes for EMS (IQR = 10 to 88) and 68 minutes for non-EMS (IQR =
25 to 121, p <= 0.001). After adjustment for the Acute Physiology and Chronic
Health Evaluation II (APACHE II) score, age, and initial serum lactate level, no
significant differences in hospital mortality were seen (adjusted relative risk
[aRR] for EMS vs. non EMS = 1.24, 95% confidence interval [CI] = 0.92 to 1.66, p
= 0.16). The Cox proportional hazard ratio (HR) comparing EMS to non-EMS care
after similar adjustment was HR = 1.27 for IVF (95% CI = 1.10 to 1.47, p = 0.004)
and HR = 1.25 for antibiotics (95% CI = 1.08 to 1.44, p = 0.003). CONCLUSIONS:
Out-of-hospital care was associated with improved in-hospital processes for the
care of critically ill patients. Despite shortened ED treatment times for septic
patients who arrive by EMS, a mortality benefit could not be demonstrated.
PMID- 21883638
TI - National study of emergency department observation services.
AB - OBJECTIVES: The objective was to describe patient and facility characteristics of
emergency department (ED) observation services in the United States. METHODS: The
authors analyzed the 2007 National Hospital Ambulatory Medical Care Survey
(NHAMCS). Characteristics of EDs with observation units (OUs) were compared to
those without, and patients with a disposition of ED observation were compared to
those with a "short-stay" (<48 hour) hospital admission. Results are descriptive
and without formal statistical comparisons for this observational analysis.
RESULTS: An estimated 1,746 U.S. EDs (36%) reported having OUs, of which 56% are
administratively managed by ED staff. Fifty-two percent of hospitals with ED
managed OUs are in an urban location, and 89% report ED boarding, compared to 29
and 65% of those that do not have an OU. The admission rate is 38% at those with
ED-managed OUs and 15% at those without OUs. Of the 15.1% of all ED patients who
are kept in the hospital following an ED visit, one-quarter are kept for either a
short-stay admission (1.8%) or an ED observation admission (2.1%). Most (82%) ED
observation patients were discharged from the ED. ED observation patients were
similar to short-stay admission patients in terms of age (median = 52 years for
both, interquartile range = 36 to 70 years), self-pay (12% vs. 10%), ambulance
arrival (37% vs. 36%), urgent/emergent triage acuity (77% vs. 74%), use of >=1 ED
medication (64% vs.76%), and the most common primary chief complaints and primary
diagnoses. CONCLUSIONS: Over one-third of U.S. EDs have an OU. Short-stay
admission patients have similar characteristics as ED observation patients and
may represent an opportunity for the growth of OUs.
PMID- 21883639
TI - Clinicopathological conference: a deadly cause of seizures in a 67-year-old
alcoholic.
PMID- 21883640
TI - Non-invasive assessment of skin microvascular function in humans: an insight into
methods.
AB - For more than two decades, methods for the non-invasive exploration of cutaneous
microcirculation have been mainly based on optical microscopy and laser Doppler
techniques. In this review, we discuss the advantages and drawbacks of these
techniques. Although optical microscopy-derived techniques, such as nailfold
videocapillaroscopy, have found clinical applications, they mainly provide
morphological information about the microvessels. Laser Doppler techniques
coupled with reactivity tests are widespread in the field of microvascular
function research, but many technical issues need to be taken into account when
performing these tests. Post-occlusive reactive hyperemia and local thermal
hyperemia have been shown to be reliable tests, although their underlying
mechanisms are not yet fully understood. Acetylcholine and sodium nitroprusside
iontophoresis, despite their wide use as specific tests of endothelium-dependent
and -independent function, respectively, show limitations. The influence of the
skin site, recording conditions, and the way of expressing data are also
reviewed. Finally, we focus on promising tools such as laser speckle contrast
imaging.
PMID- 21883641
TI - Male breast cancer: management and follow-up recommendations.
AB - National Comprehensive Cancer Network (NCCN) guidelines for female breast cancer
treatment and surveillance are well established, but similar guidelines on male
breast cancers are less recognized. As an NCCN institution, our objective was to
examine practice patterns and follow-up for male breast cancer compared to
established guidelines for female patients. After Institutional Review Board
approval, a prospective breast database from 1990 to 2009 was queried for male
patients. Medical records were examined for clinico-pathological factors and
follow-up. The 5-year survival rates with 95% confidence intervals were estimated
using Kaplan-Meier method and Greenwood formula. Of the 19,084 patients in the
database, 73 (0.4%) were male patients; 62 had complete data. One patient had
bilateral synchronous breast cancer. The median age was 68.8 years (range 29-85
years). The mean/median invasive tumor size was 2.2/1.6 cm (range 0.0-10.0 cm).
All cases had mastectomy (29 with axillary node dissection, 23 with sentinel
lymph node biopsy only, 11 with sentinel node biopsy followed by completion
axillary dissection). Lymph node involvement occurred in 25/63 (39.7%). Based on
NCCN guidelines, chemotherapy, hormonal therapy, and radiation are indicated in
34 cases, 62 cases, and 14 cases, respectively. Only 20/34 (59%) received
chemotherapy, 51/62 (82%) received hormonal therapy, and 10/14 (71%) received
post-mastectomy radiation. Median follow-up was 26.2 months (range: 1.6-230.9
months). The 5-year survival estimates for node positive and negative diseases
were 68.5% and 87.5%, respectively (p = 0.3). Despite the rarity of male breast
cancer, treatment options based on current female breast tumors produce
comparable results to female breast cancer. Increased awareness and a national
registry for patients could help improve outcomes and tailor treatment
recommendations to the male variant.
PMID- 21883642
TI - Microvascular dysfunction: a potential mechanism in the pathogenesis of obesity
associated insulin resistance and hypertension.
AB - The intertwined epidemics of obesity and related disorders such as hypertension,
insulin resistance, type 2 diabetes, and subsequent cardiovascular disease pose a
major public health challenge. To meet this challenge, we must understand the
interplay between adipose tissue and the vasculature. Microvascular dysfunction
is important not only in the development of obesity-related target-organ damage
but also in the development of cardiovascular risk factors such as hypertension
and insulin resistance. The present review examines the role of microvascular
dysfunction as an explanation for the associations among obesity, hypertension,
and impaired insulin-mediated glucose disposal. We also discuss communicative
pathways from adipose tissue to the microcirculation.
PMID- 21883643
TI - Cold-induced urticaria after fractional carbon dioxide laser resurfacing of the
face.
PMID- 21883644
TI - Enhanced effect of platelet-rich plasma containing a new carrier on hair growth.
AB - BACKGROUND: Treatments for alopecia are in high demand, but not all are safe and
reliable. Dalteparin and protamine microparticles (D/P MPs) can effectively carry
growth factors (GFs) in platelet-rich plasma (PRP). OBJECTIVE: To identify the
effects of PRP-containing D/P MPs (PRP&D/P MPs) on hair growth. METHODS &
MATERIALS: Participants were 26 volunteers with thin hair who received five local
treatments of 3 mL of PRP&D/P MPs (13 participants) or PRP and saline (control,
13 participants) at 2- to 3-week intervals and were evaluated for 12 weeks.
Injected areas comprised frontal or parietal sites with lanugo-like hair.
Experimental and control areas were photographed. Consenting participants
underwent biopsies for histologic examination. RESULTS: D/P MPs bind to various
GFs contained in PRP. Significant differences were seen in hair cross-section but
not in hair numbers in PRP and PRP&D/P MP injections. The addition of D/P MPs to
PRP resulted in significant stimulation in hair cross-section. Microscopic
findings showed thickened epithelium, proliferation of collagen fibers and
fibroblasts, and increased vessels around follicles. CONCLUSION: PRP&D/P MPs and
PRP facilitated hair growth but D/P MPs provided additional hair growth. The
authors have indicated no significant interest with commercial supporters.
PMID- 21883645
TI - Z-plasty innovations in vertical lip reconstructions.
AB - BACKGROUND: Although the Z-plasty has been used on the lips in various
applications, its novel utility in improving classic vertical (radial) lip
reconstruction has not been described previously. OBJECTIVE: To demonstrate the
advantages of Z-plasties in vertical lip reconstruction, to explore six distinct
causes of lip deformities that arise during reconstructive surgery and how those
complications can be avoided by employing traditional Z-plasties or a new
modification we term the "sliding Z-plasty," and to provide an entirely original
first-time analysis of the geometry and physical dynamics of lip reconstruction.
MATERIALS AND METHODS: We explored the planning and execution of lip
reconstruction using traditional Z-plasties and a new sliding Z-plasty
modification. This analysis uses case reports, original illustrations, and an in
depth discussion of the spatial dynamics of lip reconstruction. CONCLUSION:
Traditional or sliding Z-plasties can improve the final outcome of vertical lip
reconstruction while avoiding or mitigating six distinct potential complications
associated with classic repairs.
PMID- 21883646
TI - Prevention of thyroidectomy scars in Asian adults using a 532-nm potassium
titanyl phosphate laser.
AB - BACKGROUND: Prediction of whether postoperative wound healing will result in a
hypertrophic scar or keloid is difficult. Diverse treatment options have been
developed in an effort to prevent excessive scar formation. OBJECTIVE: To
evaluate the efficacy and safety of a 532-nm potassium titanyl phosphate (KTP)
laser in the prevention of scar formation after total thyroidectomy. MATERIALS
AND METHODS: Twenty-eight individuals with Fitzpatrick skin types IV and V and
linear surgical suture lines after total thyroidectomy by the same surgeon were
enrolled. Twenty participants were treated using a 532-nm KTP laser two times at
2-week intervals. Eight participants were assigned to the control group. The
Vancouver Scar Scale (VSS), global assessment score (GAS), and participants'
subjective satisfaction were used to determine the effect of scar prevention.
These results were compared with those of the control group. RESULTS: The average
VSS score was remarkably lower in the KTP laser treatment group. Average GASs
indicated better cosmetic outcomes in the treatment group. Participant
satisfaction was also higher in the treatment group. No significant side effects
were observed during follow-up. CONCLUSION: A 532-nm KTP laser can be used safely
and efficiently on Asian skin to reduce scar formation after thyroidectomy. The
authors have indicated no significant interest with commercial supporters.
PMID- 21883647
TI - Twenty years of clinical experience with a new modality of vascular-targeted
photodynamic therapy for port wine stains.
AB - BACKGROUND: Port wine stains (PWS) are congenital capillary malformations of the
skin and are difficult to treat without scarring. Since January 1991, our group
has performed a new modality of vascular-targeted photodynamic therapy (PDT) for
PWS treatment. OBJECTIVE: To summarize our clinical experiences with vascular
targeted PDT for PWS at Chinese PLA General Hospital. METHODS AND MATERIALS: Our
group has collected and reviewed retrospective clinical research data of vascular
targeted PDT for treating PWS since January 1991. RESULTS: Clinical studies
showed that vascular-targeted PDT is an effective treatment for all types of PWS.
Repetitive treatment sessions were usually needed to obtain a better cosmetic
effect. No recurrence was seen in patients followed up for longer than 19 years.
There were no significant side effects or adverse reactions. CONCLUSION: This new
PDT is an effective, safe, and noninvasive modality with no recurrence for PWS,
although the protocol for PDT requires further optimization.
PMID- 21883648
TI - A double-blind, randomized study comparing pure chromated glycerin with chromated
glycerin with 1% lidocaine and epinephrine for sclerotherapy of telangiectasias
and reticular veins.
AB - BACKGROUND: Chromated glycerin (CG) is an effective, although painful, sclerosing
agent for telangiectasias and reticular leg veins treatment. OBJECTIVE: To
determine pain level and relative efficacy of pure or one-third lidocaine
epinephrine 1% mixed chromated glycerin in a prospective randomized double-blind
trial. METHOD: Patients presenting with telangiectasias and reticular leg veins
on the lateral aspect of the thigh (C(1A) or (S) E(P) A(S) P(N1) ) were
randomized to receive pure CG or CG mixed with one-third lidocaine-epinephrine 1%
(CGX) treatment. Lower limb photographs were taken before and after treatment and
analyzed by blinded expert reviewers for efficacy assessment (visual vein
disappearance). Patients' pain and satisfaction were assessed using visual
analogue scales. RESULTS: Data from 102 of 110 randomized patients could be
evaluated. Patient pain scores were significantly higher when pure CG was used
than with CGX (p<.001). Patient satisfaction with treatment outcome was similar
in the two groups. Objective visual assessment of vessel disappearance revealed
no significant difference between the two agents (p=.07). CONCLUSION: Addition of
lidocaine-epinephrine 1% to CG, in a ratio of one-third, significantly reduces
sclerotherapy pain without affecting efficacy when treating telangiectasias and
reticular leg veins.
PMID- 21883649
TI - Endovenous 808-nm diode laser occlusion of perforating veins and varicose
collaterals: a prospective study of 482 limbs.
AB - BACKGROUND: Endovenous laser ablation (EVLA) was performed in the treatment of
great and small saphenous veins (GSVs, SSVs), perforating veins (PVs), and
varicose collaterals (VCs). OBJECTIVE To verify the outcome in PVs and VCs.
MATERIALS AND METHODS: Four hundred eighty-two limbs of 306 patients were
studied. EVLA was performed on 167 GSVs, 52 SSVs, and 534 PVs of 303 limbs and on
VCs of 467 limbs; 133 GSVs were stripped, 300 of saphenofemoral junctions (SFJs)
and 45 saphenopopliteal junctions (SPJs) were interrupted. Limbs were selected
using duplex ultrasound examination and photographs; PVs-VCs diameter (<4 mm) and
VC length were measured. EVLA was performed using a 808-nm diode laser, 0.6-mm
fibers, continuous emission, 4 to 10 W, and 10 to 20 J/cm. Follow-up on 467 limbs
occurred over a mean 27.5 months (range 3 months to 6 years); 98 limbs were
followed up for longer than 4 years. RESULTS: Operating time range from 10 to 30
minutes per limb. Blood vaporization, thrombosis, fibrosis, and atrophy prevailed
in PVs and in the large VCs (>4 mm) and massive coagulation in the smaller (<4
mm). High rate of occlusion was seen, with different rates of patent PV-VC mainly
in diameter >6 mm. Thirty-nine out of 511 patent PVs (7.6%) and 96 out of 778 VCs
(12-13%) were re-treated using EVLA or foam sclerotherapy. Minor complications
occurred in 88 of the 778 (11%). CONCLUSIONS: EVLA of PVs and VCs is effective
and faster than surgery in 2- to 6-mm PVs and VCs using an 808-nm diode laser.
PMID- 21883650
TI - Fractional rejuvenation using a novel bipolar radiofrequency system in Asian
skin.
AB - BACKGROUND: Fractional photothermolysis (FP) constitutes an effective modality of
skin resurfacing by delivering thermal energy into multiple arrays of microscopic
treatment zones. Radiofrequency (RF) can induce volumetric heating of dermis
depending on electrical properties of target tissue. OBJECTIVES: To evaluate the
efficacy and safety of a novel bipolar RF-based fractional device for the
treatment of photoaged Asian faces. METHODS: Twenty-six Korean women (mean age
56, skin phototype III-IV) received three consecutive fractional RF treatments at
4- to 6-week intervals. Outcome assessments included standardized photography
physician evaluation of fine lines, pores, smoothness and tightness, brightness,
and overall appearance; degree of elastosis; subjective improvement scale; and
patient satisfaction. RESULTS: Fractional RF treatments produced moderate (26
50%) and incremental improvements in each category of physician evaluation. In
particular, degree of smoothness and tightness, brightness, and overall
appearance improved significantly 6 weeks after the third session from before the
third session. The degree of elastosis was 5.46 at baseline, which decreased
significantly to 4.05 at 6-week follow-up. Patient self-assessment paralleled the
physician assessment. Adverse events were limited to mild erythema, swelling, and
scabs, which usually shed 3.9 days after treatment. CONCLUSION: Fractional
bipolar RF treatment is an effective treatment for facial photodamage in Asians,
with a favorable safety profile.
PMID- 21883654
TI - Bone tissue in esophagus: an osteogenic metastasis.
PMID- 21883655
TI - Thoracic endovascular aortic repair for aortic complications after esophagectomy
for cancer: report of three cases.
AB - Aortic complications after esophageal cancer surgery are rare and usually fatal.
Here, we report three patients who underwent thoracic endovascular aortic repair
(TEVAR) for aortic complications after esophagectomy for cancer. In the first
case, aortic rupture was caused by pyothorax due to residual tumor after
esophagectomy. In the second case, aortic rupture was caused by pyothorax due to
anastomotic leakage. In the third case, a pseudoaneurysm was caused by surgical
injury during esophagectomy. TEVAR was safe and effective for severe aortic
complications when graft infection was avoided. The first case died of sepsis on
the 84th postoperative day, and the other two cases have survived 4 years and 2
years to date.
PMID- 21883656
TI - Randomized clinical trial of laparoscopic anterior 180 degrees partial versus
360 degrees Nissen fundoplication: 5-year results.
AB - Anterior partial fundoplication (AF) has been popularized by a lower risk of
mechanical side effects. The question then emerges whether anterior partial wrap
has a similar antireflux effect with Nissen fundoplication (NF). We therefore
conducted a randomized study to compare the long-term outcome of anterior
fundoplication with NF. One hundred patients who enrolled in the trial from May
2003 to March 2005 were randomized to laparoscopic AF or laparoscopic NF.
Endoscopy, pH monitoring, manometry, a detailed questionnaire, and a visual
analog symptom score were completed preoperative at 6, 12, 24, and 60 months
after surgical procedures. The postoperative adverse effects such as dysphagia
and flatulence were compared between the two groups. Revision surgery or
maintenance proton pump inhibitor therapy was defined as failure. Fifty
procedures were performed in each group. The outcome at 5 years follow-up was
determined for 96 patients (96%; 49 patients in the AF group and 47 in the NF
group). Three patients (3%) died of unrelated causes during follow-up, and one
patient changed address. Both fundoplications were found to provide good control
of reflux-related symptoms in most of the patients. For 96 patients followed up
more than 5 years, gastroesophageal reflux symptoms were well controlled in 81
patients (84.38%); the mean DeMeester scores in the AF group decreased from
106.89 +/- 14.12 to 12.67 +/- 3.14 and in the NF group from 109.51 +/- 17.98 to
10.81 +/- 2.65, and the esophagitis was ameliorated visibly. Moreover, there were
significantly fewer patients in the AF group who complained of flatulence.
Compared with NF, anterior 180 degrees partial fundoplication is an effective
treatment of gastroesophageal reflux and associates with fewer postoperative
adverse effects.
PMID- 21883657
TI - Programmed cell death 4 nuclear loss and miR-21 or activated Akt overexpression
in esophageal squamous cell carcinogenesis.
AB - The programmed cell death 4 (PDCD4) tumor suppressor is down-regulated in several
malignancies, and the (subcellular) expression of its protein product is
modulated by both oncomiR miR-21 and protein kinase B (Akt). PDCD4 and activated
Akt (phosphorylated Akt [pAkt]) expression were assessed immunohistochemically in
53 tissue samples obtained from 25 endoscopic esophageal mucosal resections
performed for squamous intraepithelial neoplasia (IEN) or squamous intramucosal
carcinoma (IM-SSC). In total, 33 IEN (low-grade = 15; high-grade = 15) and 20 IM
SSC specimens were considered; 50 additional tissue samples of histologically
proven normal esophageal mucosa were considered as normal controls. To further
validate the results achieved, miR-21 expression (as assessed by quantitative
real-time polymerase chain reaction and in situ hybridization) was tested in
another series of 15 normal esophageal tissue samples, 15 high-grade IEN, and 15
IM-SCCs. Normal suprabasal squamous epithelial layers consistently featured
strong PDCD4 nuclear immunostaining, which was significantly lower (P < 0.001) in
IEN (both low-and high-grade) and in IM-SSC. Conversely, pAkt and miR-21
expression was significantly up-regulated in the whole spectrum of
preneoplastic/neoplastic lesions considered. PDCD4 down-regulation, as assessed
by immunohistochemistry, is a reliable biomarker of early-stage squamous cell
esophageal neoplasia, providing additional information in the histological
assessment of these lesions.
PMID- 21883658
TI - Fluid overload deteriorate chylothorax: evaluation in a canine model.
AB - No conservative treatments for chylothorax have yet been established, and
surgical ligation of the thoracic duct is required in many cases. In the present
study, we investigated the management of body fluid in a canine chylothorax
model. Twelve beagle dogs were divided evenly into three groups: A, B, and C.
Under general anesthesia, the thoracic duct was cut and opened, and the amount of
lymph fluid leakage was measured. Intravenous extracellular fluid infusion was
started at 5mL/kg/h for the first 2h, and then between 2 and 4h, the infusion
rate was increased to 10 mL/kg/h in group A and to 20mL/kg/h in group B. During
the first 2h after cutting the thoracic duct, the mean lymph fluid leakage rates
in groups A, B, and C were 0.466, 0.635, and 0.575 mL/kg/h, respectively. The
rates of leakage did not differ significantly among the groups. Between 2 and 4h,
the mean rates of leakage were 0.750, 1.43, and 0.544mL/kg/h, respectively, being
significantly higher in groups A and B than in group C. The amount of lymph fluid
ascending the thoracic duct correlates with the amount of intravenous fluid
infusion. For the management of chylothorax, it is important to avoid fluid
overload.
PMID- 21883659
TI - Resuscitation strategies from bupivacaine-induced cardiac arrest.
AB - OBJECTIVES: Local anesthetic (LA) intoxication with cardiovascular arrest is a
potential fatal complication of regional anesthesia. Lipid resuscitation has been
recommended for the treatment of LA-induced cardiac arrest. Aim of the study was
to compare four different rescue regimens using epinephrine and/or lipid emulsion
and vasopressin to treat cardiac arrest caused by bupivacaine intoxication.
METHODS: Twenty-eight piglets were randomized into four groups (4 * 7),
anesthetized with sevoflurane, intubated, and ventilated. Bupivacaine was infused
with a syringe driver via central venous catheter at a rate of 1 mg.kg(-1).min(
1) until circulatory arrest. Bupivacaine infusion and sevoflurane were then
stopped, chest compression was started, and the pigs were ventilated with 100%
oxygen. After 1 min, epinephrine 10 MUg.kg(-1) (group 1), Intralipid((r)) 20% 4
ml.kg(-1) (group 2), epinephrine 10 MUg.kg(-1) + Intralipid((r)) 4 ml.kg(-1)
(group 3) or 2 IU vasopressin + Intralipid((r)) 4 ml.kg(-1) (group 4) were
administered. Secondary epinephrine doses were given after 5 min if required.
RESULTS: Survival was 71%, 29%, 86%, and 57% in groups 1, 2, 3, and 4. Return of
spontaneous circulation was regained only by initial administration of
epinephrine alone or in combination with Intralipid((r)). Piglets receiving the
combination therapy survived without further epinephrine support. In contrast, in
groups 2 and 4, return of spontaneous circulation was only achieved after
secondary epinephrine rescue. CONCLUSIONS: In cardiac arrest caused by
bupivacaine intoxication, first-line rescue with epinephrine and epinephrine +
Intralipid((r)) was more effective with regard to survival than Intralipid((r))
alone and vasopressin + Intralipid((r)) in this pig model.
PMID- 21883660
TI - Streptococcus pneumoniae exposure is associated with human metapneumovirus
seroconversion and increased susceptibility to in vitro HMPV infection.
AB - It remains largely unknown which factors determine the clinical outcome of human
metapneumovirus (HMPV) infections. The aim of the present study was to analyse
whether exposure to bacterial pathogens can influence HMPV infections. From 57
children, serum samples and colonization data for Haemophilus influenzae,
Moraxella catarrhalis, Staphylococcus aureus and Streptococcus pneumoniae were
collected at 1.5, 6, 14 and 24 months of age. Seroconversion rates to HMPV were
determined and related to bacterial carriage. Frequent nasopharyngeal carriage
(>=2 times in the first 2 years of life) of S. pneumoniae, but not of the other
three pathogens, was associated with increased seroconversion rates of infants to
HMPV at the age of 2 years (frequently vs. less exposed, 93% vs. 59%; p <0.05).
Subsequently, the susceptibility of well-differentiated normal human bronchial
epithelial cells (wd-NHBE) pre-incubated with bacterial pathogens to in vitro
HMPV infection was evaluated. Pre-incubation of wd-NHBE with S. pneumoniae
resulted in increased susceptibility to infection with HMPV-enhanced green
fluorescent protein (EGFP), as determined by enumeration of EGFP-positive cells.
This was not the case for cells pre-incubated with H. influenzae, M. catarrhalis
on S. aureus. We conclude that exposure to S. pneumoniae can modulate HMPV
infection.
PMID- 21883661
TI - Phage lysin as a substitute for antibiotics to detect Mycobacterium tuberculosis
from sputum samples with the BACTEC MGIT 960 system.
AB - Phage lysin was evaluated as a substitute for antibiotics in sputum samples
processed by a modified Petroff's method for the detection of Mycobacterium
tuberculosis with the MGIT 960 system. One hundred and fifty sputum samples were
processed, inoculated onto two slopes of Lowenstein-Jensen medium, and divided in
to two aliquots of 0.5 mL each. One aliquot was added to 7 mL of MGIT medium
containing polymyxin B, amphotericin B, nalidixic acid, trimethoprim and
azlocillin (PANTA) (MGIT-PANTA) and the other was added to 7 mL of MGIT medium
containing 0.8 mL of lysin (MGIT-Lysin). The samples were randomized and
incubated at 37 degrees C in the MGIT 960 system. The sensitivity and specificity
of MGIT-Lysin were 97% and 88%, respectively, as compared with MGIT-PANTA. The
average times to detection with MGIT-Lysin and MGIT-PANTA were 9.3 and 8.6 days,
respectively. The rate of contamination with MGIT-PANTA and MGIT-Lysin were 16%
and 7.3%, respectively. Phage lysin can be substituted for antibiotics in
processed sputum samples for the detection of M. tuberculosis.
PMID- 21883662
TI - Species identification of Aspergillus, Fusarium and Mucorales with direct surface
analysis by matrix-assisted laser desorption ionization time-of-flight mass
spectrometry.
AB - Accurate species discrimination of filamentous fungi is essential, because some
species have specific antifungal susceptibility patterns, and misidentification
may result in inappropriate therapy. We evaluated matrix-assisted laser
desorption ionization time-of-flight mass spectrometry (MALDI-TOF MS) for species
identification through direct surface analysis of the fungal culture. By use of
culture collection strains representing 55 species of Aspergillus, Fusarium and
Mucorales, a reference database was established for MALDI-TOF MS-based species
identification according to the manufacturer's recommendations for microflex
measurements and MALDI BioTyper 2.0 software. The profiles of young and mature
colonies were analysed for each of the reference strains, and species-specific
spectral fingerprints were obtained. To evaluate the database, 103 blind-coded
fungal isolates collected in the routine clinical microbiology laboratory were
tested. As a reference method for species designation, multilocus sequencing was
used. Eighty-five isolates were unequivocally identified to the species level
(>=99% sequence similarity); 18 isolates producing ambiguous results at this
threshold were initially rated as identified to the genus level only. Further
molecular analysis definitively assigned these isolates to the species
Aspergillus oryzae (17 isolates) and Aspergillus flavus (one isolate), concordant
with the MALDI-TOF MS results. Excluding nine isolates that belong to the fungal
species not included in our reference database, 91 (96.8%) of 94 isolates were
identified by MALDI-TOF MS to the species level, in agreement with the results of
the reference method; three isolates were identified to the genus level. In
conclusion, MALDI-TOF MS is suitable for the routine identification of
filamentous fungi in a medical microbiology laboratory.
PMID- 21883663
TI - Membrane permeability, a pivotal function involved in antibiotic resistance and
virulence in Enterobacter aerogenes clinical isolates.
AB - Imipenem-susceptible E. aerogenes isolates exhibiting extended spectrum beta
lactamases, target mutations and a basal efflux expression, were identified in
five patients. After imipenem treatment, imipenem-intermediate susceptible (IMI
I) or resistant (IMI-R) isolates emerged in these patients. Alteration in porin
synthesis and increase in efflux expression were observed in the IMI-I isolates
whereas complete loss of the porins, LPS alteration and efflux overexpression
were observed in the IMI-R isolates. Bacterial virulence of the strains was
investigated by the Caenorhabditis elegans model. The IMI-R isolates were shown
to be significantly less virulent than the IMI-susceptible or IMI-I isolates. The
pleiotropic membrane alteration and its associated fitness burden exhibited by E.
aerogenes isolates influence their antibiotic resistance and their virulence
behaviour. These findings highlight the balance between the low permeability
related resistance and virulence and their relationships with the treatment of
resistant pathogens.
PMID- 21883664
TI - Value of (1-3)-beta-d-glucan, Candida mannan and Candida DNA detection in the
diagnosis of candidaemia.
AB - This study determined the value of (1,3)-beta-d-glucan (BDG), Candida mannan (MN)
and Candida species-specific DNA as surrogates for diagnosis of candidaemia.
Thirty-nine patients yielding Candida species in blood cultures were investigated
for presence of BDG, MN and Candida species-specific DNA in serum samples. The
Candida spp. bloodstream isolates included C. albicans (n = 16), C. tropicalis (n
= 10), C. parapsilosis (n = 7), C. glabrata (n = 3) and C. dubliniensis (n = 3).
Positivity of the three markers was as follows: Candida DNA for corresponding
Candida species, 100%; BDG, 87%; MN, 59%. Despite varying sensitivities of these
biomarkers, they provided a useful adjunct to the diagnosis of candidaemia.
PMID- 21883665
TI - Malaria vaccines and the new malaria agenda.
AB - The development of an effective malaria vaccine has taken many decades, but there
is now a good chance that the first malaria vaccine will be licensed within the
next few years. However, this vaccine (RTS,S) will not be fully effective, and
more efficacious, second-generation vaccines will be needed. Good progress is
being made in the development of potential vaccines directed at each of the three
main stages of the parasite's life cycle, with a variety of different approaches,
but many challenges remain, e.g. overcoming the problem of polymorphism in many
key parasite antigens. It is likely vaccines that are effective enough to block
transmission, and thus contribute to increasing drives towards malaria
elimination, will need to contain antigens from different stages of the
parasite's life cycle.
PMID- 21883666
TI - Characteristics and prognosis in patients with prosthetic vascular graft
infection: a prospective observational cohort study.
AB - Prosthetic vascular graft infection (PVGI) is a devastating complication, with a
mortality rate of up to 75%, which is especially caused by aortic graft
infection. The purpose of this study was to evaluate factors associated with in
hospital mortality of patients with definite graft infection, and with long-term
outcome. We reviewed medical records of 85 patients treated for PVGIs defined by
positive bacterial culture of intraoperative specimens or blood samples, and/or
clinical, biological and radiological signs of infection. In-hospital patient
mortality was defined as any death occurring during the initial treatment of the
graft infection. Cure was defined as the absence of evidence of relapsing
infection during long-term follow-up (>=1 year). Eighty-five patients (54 aortic
and 31 limb graft infections) treated by surgical debridement and removal of the
infected prosthesis (n=41), surgical debridement without removal of prosthesis
(n=34) or antimicrobial treatment without surgery (n=10) were studied. The only
microbiological difference observed between patients with early (occurring within
4 months after surgery) vs. late PVGI and between those with aortic vs. limb PVGI
was the incidence of PVGI caused by Staphylococcus aureus, which was greater in
patients with limb PVGI. Overall cure was observed in 93.2% of 59 patients with a
follow-up of a minimum of 1 year. Overall in-hospital mortality was 16.5% (n=14).
Two variables were independently associated with mortality: age >70 years (OR
9.1, 95% CI 1.83-45.43, p 0.007) and aortic graft infection (OR 5.6, 95% CI 1.1
28.7, p 0.037).
PMID- 21883667
TI - Genetic diversity of carbapenem-hydrolysing beta-lactamases in Acinetobacter
baumannii from Romanian hospitals.
AB - Thirteen carbapenem-resistant Acinetobacter baumannii isolates, collected in
Romania during 2009-2010, were investigated to identify the mechanism(s)
responsible for carbapenem resistance. Genotyping was performed by pulsed-field
gel electrophoresis, multiplex PCR sequence typing and multilocus sequence
typing. Eleven non-clonally related isolates harboured the bla(OXA-23) gene on
their chromosome within a Tn2008 transposon structure. The two remaining isolates
harboured a bla(OXA-58) gene that was either plasmid or chromosome borne. Two
isolates co-expressed OXA-23 together with the extended-spectrum beta-lactamase
PER-1. This study constitutes the first report of OXA-58 and OXA-23-producing A.
baumannii isolates in Romania.
PMID- 21883668
TI - Borrelia hispanica in Ornithodoros erraticus, Portugal.
AB - Tick-borne relapsing fever (TBRF) is a spirochetal infection caused by the genus
Borrelia. The disease is distributed in the Old and New World with many different
species reported. In Europe, TBRF is caused by B. hispanica transmitted to man by
Ornithodoros erraticus, a soft tick usually found in old premises to shelter pig
herds. In Portugal, the first human case of TBRF was reported in 1942 but since
the beginning of the 1960s, the disease has rarely been described and seems to
either have disappeared or have been undiagnosed. Therefore, in 2009 a survey was
undertaken to evaluate the presence of the tick in this type of premises and to
evaluate its role as a reservoir of Borrelia. The work was carried out where the
ticks were previously reported in the Alentejo and Algarve regions. Of 63 pigpens
surveyed, O. erraticus was collected from 19% (n = 12) of these pigpens using
CO(2) traps. To evaluate potential Borrelia hosts, both pigs (n = 25) and small
rodents (n = 10) inhabiting these pigpens were surveyed for Borrelia presence, by
whole blood PCR and/or tissue culture, respectively. All results for pigs and
rodents were negative for the presence of B. hispanica. PCR assays targeting the
16S rRNA gene and intergenic spacer region of Borrelia were used. Sequence
analysis of the positive samples confirmed the presence of B. hispanica in 2.2%
(n = 5) of ticks from a pigpen in Alentejo. These results confirm natural, but
albeit low, persistence of this agent in Portugal.
PMID- 21883669
TI - Invasive group A streptococcal infections in adults, France (2006-2010).
AB - Severe invasive group A streptococcal diseases have re-emerged during the past 10
20 years. In order to provide a better insight into the current epidemiological
situation in France, we analysed the questionnaires regarding all invasive
strains received at the National Reference Center for Streptococci (CNR-Strep)
between 2006 and 2010 from patients aged >= 18 and characterized them by emm
typing, spe gene detection and antibiotic resistance. Among the 1542 invasive GAS
strains studied, 78% (n=1206) were from blood cultures, and a streptococcal toxic
shock syndrome (STSS) was described in 22% (n=340) of cases, mainly associated
with necrotizing fasciitis (NF) and pleuro-pulmonary infections (p<0.001). The in
hospital fatality rate was 15%. A total of 83 different emm types were recovered
but the three predominant emm types, representing almost 60% of the isolates,
were emm1 (24%), emm28 (17%) and emm89 (15%). The preponderance of each emm type
varied according to the year, with a significant constant increase of emm28
strains, whereas emm1 strains, representing approximately 32% of GAS invasive
isolates in 2007 and 2008, dropped to <15% in 2010 (p<0.001). The distribution of
phage-associated superantigen genes (speA, speC and ssa) was linked to certain
emm types. Between 2006 and 2010, the percentage that was macrolide-resistant
decreased from 11% to 5%, confirming the trend observed in 2007. Fortunately,
emm1 strains associated with the most life-threatening clinical manifestations
remain susceptible to all anti-streptococcal antibiotics.
PMID- 21883670
TI - Initial Pseudomonas aeruginosa infection in patients with cystic fibrosis:
characteristics of eradicated and persistent isolates.
AB - Despite intensive eradication therapy, some CF patients with early Pseudomonas
aeruginosa infection rapidly develop a chronic infection. To elucidate factors
associated with this persistence, bacterial characteristics of early P.
aeruginosa isolates were analysed that were either eradicated rapidly or
persisted despite multiple antimicrobial treatments. Eighty-six early infection
episodes were studied. First P. aeruginosa isolates from patients with
eradication (36) or persistent infection (16) were included; isolates from
patients with intermittent infection (34) were omitted from the study. Virulence
assays, antimicrobial resistance, cytotoxicity and mutation frequencies were
analysed in vitro. P. aeruginosa was genotyped by SNP-array. Transcriptomic
profiles of two eradicated and two persistent strains were compared. Nineteen per
cent of patients developed persistent infection; 42% achieved eradication.
Secretion of virulence factors and mutation frequencies were highly variable
among both eradicated and persistent isolates and were not different between the
groups. Cytotoxicity was present in 57% of eradicated vs. 100% of persistent
isolates (p <0.01). None of the isolates were resistant to antibiotics. The
isolates were genotypically highly diverse. Multivariate analysis showed that in
vitro determined bacterial characteristics could not predict persistence after
first P. aeruginosa infection. Preliminary transcriptomic data showed increased
expression of some genes related to a metabolic pathway. The early onset of
chronic infection was not associated with (in vitro determined) bacterial
characteristics only. Although the persistent isolates were more often cytotoxic,
for the individual patient it was not possible to predict the risk of persistence
based on bacterial characteristics. Unknown factors such as host-pathogen and
pathogen-pathogen interactions should be further explored.
PMID- 21883671
TI - Invasive group B streptococcal infections in adults, France (2007-2010).
AB - Group B streptococcus (GBS) has emerged as an important cause of invasive
infection in adults. Here, we report the clinical and microbiological
characteristics of 401 non-redundant GBS strains causing adult invasive
infections collected during a 4-year period (2007-2010). Bacteraemia without
focus (43.4%) and bone and joint infections (18.7%) were the main clinical
manifestations. The distribution of capsular polysaccharide (CPS) type showed
that types Ia, III, and V accounted for 71.8% of all strains. Resistance to
erythromycin increased from 20.2% in 2007 to 35.3% in 2010, and was mainly
associated with CPS type V harbouring the erm(B) resistant determinant.
PMID- 21883672
TI - Assessment of markers of glycaemic control in diabetic patients with chronic
kidney disease using continuous glucose monitoring.
AB - AIM: Due to altered red blood cell survival and erythropoietin therapy glycated
haemoglobin (HbA1c) may not accurately reflect long-term glycaemic control in
patients with diabetes and chronic kidney disease (CKD). Glycated albumin (GA)
and fructosamine are alternative markers of glycaemia. The aim of this study was
to investigate the accuracy of HbA1c, GA and fructosamine as indicators of
glycaemic control using continuous glucose monitoring. METHODS: HbA1c, GA and
fructosamine concentrations were measured in 25 subjects with diabetic
nephropathy (CKD stages 4 and 5 (estimated glomerular filtration rate <30 mL/min
per 1.73 m(2) )) matched with 25 subjects with diabetes and no evidence of
nephropathy. Simultaneous real-time glucose concentrations were monitored by
continuous glucose monitoring over 48 h. RESULTS: GA correlated significantly to
mean glucose concentrations in patients with and without CKD (r = 0.54 vs 0.49, P
< 0.05). A similar relationship was observed with fructosamine relative to
glucose. A poor correlation between HbA1c and glucose was observed with CKD (r =
0.38, P = ns) but was significant in the non-CKD group (r = 0.66, P < 0.001). The
GA/HbA1c ratio was significantly higher in diabetic patients with CKD compared
with controls (2.5 +/- 0.4 vs 2.2 +/- 0.4, P < 0.05). HbA1c values were
significantly lower in CKD patients, relative to non-CKD patients at comparable
mean glucose concentrations. CONCLUSION: HbA1c significantly underestimates
glycaemic control in patients with diabetes and CKD stages 4 and 5. In severe
CKD, GA more accurately reflects glycaemic control compared with fructosamine and
HbA1c and should be the preferred marker of glycaemic control.
PMID- 21883673
TI - Blind peritoneal catheter placement with a Tenckhoff trocar by nephrologists: a
single-center experience.
AB - AIM: Blind peritoneal dialysis (PD) catheter instrumentation with a Tenckhoff
trocar is performed without direct visualization of the peritoneum. This method
requires the least equipment, it is safe and it can be performed mainly by
nephrologists. We report here on our long-term experience with this method as
performed by nephrologists. METHODS: We reviewed the medical records at Yeungnam
University Hospital in Korea and identified all the patients who had undergone
blind PD catheter instrumentation with a Tenckhoff trocar by nephrologists. Four
hundred and three patients were enrolled. RESULTS: Early complications occurred
in 7.7% (four patients with pericatheter bleeding, one patient with pleural
leakage, two patients with migration, two patients with omental wrapping, three
patients with exit site/tunnel infection and 19 patients with peritonitis). The
late mechanical complications included eight cases of hernia, three cases of
catheter extrusion, five cases of leakage, four cases of migration and five cases
of omental wrapping. Exit site/tunnel infection and peritonitis occurred at a
rate of 0.067 and 0.40 episodes/year, respectively. The intervention free
survival rate was 84.5% at one year and 63.3% at 5 years. The catheter survival
rate was 96.5% at one year and 83.6% at 5 years. CONCLUSION: This study provides
evidence that blind PD catheter placement with a Tenckhoff trocar requires the
least equipments, and it is easy to perform. Therefore, if the general anesthesia
is impossible or equipment, such as fluoroscopy and laparoscopy, were not
available, this method may be an alternative choice for PD catheter placement.
PMID- 21883674
TI - Discrimination between COPD patients with and without alpha 1-antitrypsin
deficiency using an electronic nose.
AB - BACKGROUND AND OBJECTIVE: To compare the volatile organic compound patterns of
patients with COPD with and without alpha 1-antitrypsin (AAT) deficiency using
electronic nose technology. METHODS: Exhaled breath condensate and pure exhaled
breath of patients with COPD with (n=10) and without (n=23) AAT deficiency and
healthy controls (n=10) were analysed. The effect of human recombinant AAT on the
volatile organic compound profile of 11 AAT-deficient patients was also examined.
Exhaled breath condensate and pure exhaled breath were measured using the
Cyranose 320. Smell prints were analysed by linear discriminant analysis (LDA)
using Mahalanobis distance (MD) and cross-validation values (CVVs). RESULTS:
Smell prints of patients with AAT-deficiency were different from those with COPD
in exhaled breath condensate (LDA: P<0.0001, sensitivity of 1.00, specificity of
1.00, CVV 82.0%, MD 2.37) and in pure exhaled breath (LDA: P<0.0001, sensitivity
of 1.00, specificity of 1.00, CVV 58.3%, MD 2.27). Smell prints of AAT-deficient
patients before and after human recombinant AAT augmentation were different (LDA:
P=0.001, sensitivity of 1.00, specificity of 1.00, CVV 53.3%, MD 1.79).
CONCLUSIONS: An electronic nose can detect differences in smell prints of COPD
patients with and without AAT deficiency. Augmentation therapy changes the
volatile organic compound pattern. The electronic nose may be helpful in the
diagnosis of AAT deficiency.
PMID- 21883675
TI - Weakness of expiratory muscles and pulmonary complications in malnourished
patients undergoing upper abdominal surgery.
AB - BACKGROUND AND OBJECTIVE: Malnutrition is prevalent in hospitalized patients and
causes systemic damage including effects on the respiratory and immune systems,
as well as predisposing to infection and increasing postoperative complications
and mortality. This study aimed to assess the impact of malnutrition on the rate
of postoperative pulmonary complications, respiratory muscle strength and chest
wall expansion in patients undergoing elective upper abdominal surgery. METHODS:
Seventy-five consecutive candidates for upper abdominal surgery (39 in the
malnourished group (MNG) and 36 in the control group (CG)) were enrolled in this
prospective controlled cohort study. All patients were evaluated for nutritional
status, respiratory muscle strength, chest wall expansion and lung function
before surgery. Postoperative pulmonary complications (pneumonia,
tracheobronchitis, atelectasis and acute respiratory failure) before discharge
from hospital were also evaluated. RESULTS: The MNG showed expiratory muscle
weakness (MNG 65 +/- 24 vs CG 82 +/- 22 cm H(2) O; P < 0.001) and decreased chest
wall expansion (P < 0.001), whereas inspiratory muscle strength and lung function
were preserved (P > 0.05). The MNG also had a higher incidence of postoperative
pulmonary complications compared with the CG (31% and 11%, respectively; P =
0.05). In addition, expiratory muscle weakness was correlated with BMI in the MNG
(r = 0.43; P < 0.01). The association between malnutrition and expiratory muscle
weakness increased the likelihood of postoperative pulmonary complications after
upper abdominal surgery (P = 0.02). CONCLUSIONS: These results show that
malnutrition is associated with weakness of the expiratory muscles, decreased
chest wall expansion and increased incidence of pulmonary complications in
patients undergoing elective upper abdominal surgery.
PMID- 21883676
TI - Changes in lung composition and regional perfusion and tissue distribution in
patients with ARDS.
AB - BACKGROUND AND OBJECTIVE: ARDS is characterized by bilateral pulmonary
infiltrates and refractory hypoxemia attributed to V/Q mismatch. We used dynamic
CT to characterize changes in lung composition, regional perfusion and tissue
distribution in patients with ARDS in comparison with healthy subjects. METHODS:
The Fick principle was applied to serial attenuation measurements constructed
from sequential CT images acquired during the passage of a bolus of iodinated
contrast medium in healthy subjects (n=3) and patients with ARDS (n=11).
Perfusion was calculated by the Mullani-Gould method and mapped throughout both
lungs. Gradients of perfusion and tissue density against vertical height were
constructed. RESULTS: In comparison with normal individuals, the tissue component
of lungs from patients with ARDS was significantly increased (P<0.05). Blood
fraction was unchanged. There was a discernable gradient in tissue density from
non dependent to dependent regions in the patients with ARDS that was
significantly different from controls. The proportion of perfusion applied to
consolidated areas (i.e. shunt) correlated significantly (P<0.05) with the
severity of hypoxaemia. CONCLUSIONS: In patients with ARDS there are changes in
both lung composition and the distribution of tissue and perfusion that may
account in part for the physiological changes that define the syndrome.
PMID- 21883677
TI - ATP-binding cassette B1 gene polymorphisms, mRNA expression and chemosensitivity
to paclitaxel in non-small cell lung cancer cells.
AB - BACKGROUND AND OBJECTIVE: The adenosine triphosphate (ATP)-binding cassette, sub
family B, member 1 (ABCB1) gene encodes P-glycoprotein (Pgp), which plays an
important role in drug disposition by limiting intracellular uptake of
paclitaxel. ABCB1 gene polymorphisms may alter the expression and function of
Pgp, thereby influencing the response to chemotherapy. A panel of 17 non-small
cell lung cancer (NSCLC) cell lines was used to investigate whether alterations
in the ABCB1 gene or its mRNA expression correlated with in vitro
chemosensitivity to paclitaxel. METHODS: Polymorphisms in the ABCB1 gene were
evaluated by direct sequencing. mRNA expression levels were assessed by
quantitative real-time reverse transcription PCR. In vitro chemosensitivity to
paclitaxel was expressed as half-maximal inhibitory concentration values, using a
tetrazolium (3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide)-based
colorimetric assay. RESULTS: The variant allele frequencies for four ABCB1 gene
polymorphisms were 14.71% for 2677G>T/A, 32.35% for 2734T>C, 23.53% for 3396C>T
and 76.47% for 3435C>T. There was a significant positive correlation between
ABCB1 mRNA expression and half-maximal inhibitory concentration values for
paclitaxel (r=0.5322, P=0.0279). None of the four ABCB1 gene polymorphisms were
associated with paclitaxel chemosensitivity or ABCB1 mRNA expression in the 17
cell lines. CONCLUSIONS: These in vitro results suggest that high ABCB1 mRNA
expression may be a predictive biomarker for poor chemosensitivity to paclitaxel.
The panel of NSCLC cell lines may provide clues and indications for establishing
clinically useful relationships between a given polymorphism or level of gene
expression and chemosensitivity to an anti-cancer agent.
PMID- 21883678
TI - Potential benefits of early continuous positive pressure ventilation in patients
with rapidly progressive interstitial pneumonia.
AB - BACKGROUND AND OBJECTIVE: Rapidly progressive interstitial pneumonia (RPIP),
including acute exacerbations of interstitial pneumonia, is associated with high
rates of mortality. The present study was performed to examine the effects of
respiratory management using non-invasive ventilation (NIV) in patients with RPIP
and to assess the prognostic factors for survival. METHODS: BiPAP Vision was used
for NIV. Clinical data and information on NIV were retrospectively obtained from
patient records. Survival at 30 days was evaluated, and biomarkers were measured
after initiation of NIV. RESULTS: Thirty-eight patients who had been admitted
with RPIP and treated by NIV were included in the study. The ratio of PaO(2) to
fraction of inspired oxygen at initiation of NIV was higher in survivors than in
non-survivors (P = 0.0054). The mean duration to initiation of NIV after
admission was significantly shorter in survivors than in non-survivors (P =
0.0006). Serum Krebs von den Lungen-6 (KL-6) and LDH levels at the start of NIV
were higher in non-survivors than in survivors (KL-6, P = 0.022; LDH, P = 0.044).
Bivariate logistic regression analysis showed that early intervention with NIV
was a significant predictor of survival at 30 days. In addition, the ratio of
PaO(2) to fraction of inspired oxygen and both LDH and KL-6 levels at initiation
of NIV were significant predictors of survival. CONCLUSIONS: Early intervention
with NIV, mainly continuous positive pressure ventilation, is beneficial for the
management of patients with RPIP. A randomized controlled study in a large
population is needed to confirm the value of early NIV.
PMID- 21883679
TI - Airway dimensions and pulmonary function in chronic obstructive pulmonary disease
and bronchial asthma.
AB - BACKGROUND AND OBJECTIVE: COPD and bronchial asthma are chronic airway diseases
with a different pathogenesis. Comparisons of differences in airway calibre by
bronchial generation between these diseases and their importance to pulmonary
function have not been fully studied. We investigated airway calibre and wall
thickness in relation to pulmonary function in patients with asthma, COPD, asthma
plus emphysema and normal subjects using CT. METHODS: Sixty-three asthmatic
patients, 46 COPD, 23 patients with asthma plus emphysema and 61 control subjects
were studied cross-sectionally. We used a software with curved multiplanar
reconstruction to measure airway dimensions from 3rd- to 6th-generation bronchi
of the right lower posterior bronchus. RESULTS: Patients with COPD had increased
wall thickness, but the airway was not narrow from the 3rd-(subsegmental) to 6th
generation bronchi. Mean bronchial inner diameter (Di) of 3rd- to 6th-generation
bronchi in patients with asthma or asthma plus emphysema was smaller than that of
COPD patients and normal subjects. Airway luminal area (Ai) of 5th-generation
bronchi most closely correlated with pulmonary function in patients with stable
asthma. Although Di was similar in patients with asthma and asthma plus
emphysema, the Ai of 6th-generation bronchi correlated significantly with
pulmonary function in patients with asthma plus emphysema. CONCLUSIONS: Airway
calibre in asthma may be smaller than in COPD. Airflow limitations correlated
more closely with peripheral Ai in patients with asthma plus emphysema than in
patients with asthma alone.
PMID- 21883680
TI - When pleural potassium exceeds 5.0 mEq/L, high pleural adenosine deaminase levels
do not necessarily indicate tuberculous pleuritis.
AB - BACKGROUND AND OBJECTIVE: The aim of this study was to determine whether high
levels of pleural adenosine deaminase (pADA) are predictive for tuberculosis when
pleural effusions do not satisfy the criteria for lymphocytic effusions or show
neutrophil predominance. METHODS: This was a retrospective observational study of
147 consecutive patients with exudative pleural effusions that were diagnosed by
analysis of fluid samples during a 3-year period from 1 April 2007 to 31 March
2010. Multiple linear correlation tests were used to assess clinical variables as
possible predictors of high pADA levels. RESULTS: High pleural LDH (pLDH) and
pleural potassium (pK) levels were associated with high pADA levels (P < 0.0001).
Although there was a linear correlation between pLDH and pADA levels in patients
with parapneumonic effusions (PPE) (n = 75), tubercular effusions (n = 21),
malignant effusions (n = 41) and miscellaneous effusions (n = 10), a significant
linear correlation between pK and pADA levels was observed only in patients with
PPE (rho = 0.525, P < 0.0001). When the cut-off value for pK was set at 5.0
mEq/L, pADA levels were >50 IU/L and pK levels were >5.0 mEq/L in only one
patient (5%) in the tuberculosis group (n = 21) and 15 patients (12%, all with
PPE) in the non-tuberculosis group (n = 126). CONCLUSIONS: When pK levels exceed
5.0 mEq/L, high pADA levels do not necessarily indicate the presence of
tuberculous pleuritis.
PMID- 21883681
TI - The role of non-invasive home mechanical ventilation in patients with chronic
obstructive pulmonary disease requiring prolonged weaning.
AB - BACKGROUND AND OBJECTIVE: Patients with COPD who require prolonged weaning from
invasive mechanical ventilation show poor long-term survival. Whether non
invasive home mechanical ventilation (HMV) has a beneficial effect after
prolonged weaning has not yet been clearly determined. METHODS: Patients with
COPD who required prolonged weaning and were admitted to a specialized weaning
centre between January 2002 and February 2008 were enrolled in the study. Long
term survival and prognostic factors, including the role of non-invasive HMV,
were evaluated. RESULTS: Of 117 patients (87 men, 30 women; mean age 69.5+/-9.5
years) included in the study, weaning from invasive ventilation was achieved in
82 patients (70.1%). Successful weaning was associated with better survival 1
year after discharge from hospital (hazard ratio (HR) 2.24, 95% CI: 1.16-4.31;
P=0.016). Among the 82 patients who were successfully weaned, non-invasive HMV
was initiated in 39 (47.6%) due to persistent chronic ventilatory failure.
Initiation of HMV was associated with a higher rate of survival to 1 year as
compared with patients who did not receive ventilatory support (84.2% vs 54.3%;
HR 3.68, 95% CI: 1.43-9.43; P=0.007). In addition, younger age and higher PaO2,
haemoglobin concentration and haematocrit at discharge were associated with
better survival. In an adjusted multivariate analysis, initiation of non-invasive
HMV after successful weaning remained an independent prognostic factor for
survival to 1 year (HR 3.63, 95% CI: 1.23-10.75; P=0.019). CONCLUSIONS: These
findings suggest that based on the potential for improvement in long-term
survival, non-invasive HMV should be considered in patients with severe COPD and
persistent chronic hypercapnic respiratory failure after prolonged weaning.
PMID- 21883682
TI - Short of breath, short of benefit: important considerations for the
rehabilitation of IPF patients.
PMID- 21883684
TI - Does fever phobia cross borders? The case of Japan.
AB - BACKGROUND: Undue parental fear of fever in children was termed "fever phobia" by
Schmitt following a survey in the USA in 1980. In 2000, Crocetti et al. conducted
the same survey and concluded that fever phobia existed even 20 years later. In
this study, we explore differences in fever phobia between these two US
populations and a Japanese sample, and determine whether parents of a single
child or those whose child was previously hospitalized or had a febrile seizure
report greater anxiety about fever. METHODS: A questionnaire was distributed to
parents of children who visited a pediatric outpatient clinic in Juntendo
University Nerima Hospital between 19 and 30 November 2007. RESULTS: Data was
obtained from 211 parents who agreed to participate in the study. Compared with
much smaller proportions reported in the two previous studies, 62% of caregivers
considered a temperature below 37.8 degrees C to be a fever, although less than
half of parents reported that they were "very worried" about fever. Over 90%
identified doctors and nurses as their primary information source. In contrast to
7% of parents in the US studies, almost no parents reported that temperatures
could rise to or above 43.3 degrees C if fever was left untreated; however, 63%
of parents stated that they would visit a hospital. CONCLUSIONS: Fever phobia
exists on both sides of the border, and while caregivers in Japan appear to have
a more accurate understanding of fever, they are more likely to rely on health
care professionals to manage the condition.
PMID- 21883683
TI - Vitamin B12 treatment reduces mononuclear DNA damage.
AB - BACKGROUND: DNA damage effects of vitamin B(12) deficiency were performed in
vitro and in adults. METHODS: The study group included 32 children (13 girls, 19
boys) with vitamin B(12) deficiency (mean age 44 +/- 58 months) and their 27
mothers (mean age 30.4 +/- 5.3 years). The control group contained 30 healthy
children and 25 mothers. DNA strand breaks in peripheral blood mononuclear
leukocytes were assayed by single-cell alkaline gel electrophoresis (comet assay)
before and 8 days after the first injection of vitamin B(12). RESULTS: Mean DNA
damage scores in children with vitamin B(12) deficiency and their mothers were
significantly higher before treatment than those after treatment. The DNA damage
scores of children after treatment were still significantly higher than controls.
There were significant negative correlations between the children and their
mothers in terms of vitamin B(12) levels and DNA damage scores (r = 0.3, P= 0.02;
r = 0.58, P= 0.002, respectively). There were correlations between the children's
and their mothers' DNA damage and the severity of vitamin B(12) deficiency,
suggesting that the children and their mothers may play a role in the scarcity of
nutritional vitamin B(12). CONCLUSION: DNA damage is increased in children with
vitamin B(12) deficiency and in their mothers. DNA damage scores were
significantly improved through vitamin B(12) therapy 8 days after the first
injection, however, they were still significantly higher than those of controls.
PMID- 21883685
TI - Congenital heart disease in a Chinese hospital: pre- and postnatal detection,
incidence, clinical characteristics and outcomes.
AB - BACKGROUND: The pre- and postnatal detection rate, incidence, clinical
characteristics and outcomes of congenital heart disease (CHD) have been studied
in developed countries for many years, but rarely have large-scale studies been
reported in Chinese populations. The aim of the present study was to investigate
the pre- and postnatal detection rates, incidence, clinical characteristics and
outcomes of CHD in a Chinese hospital in order to improve the future screening
and treatment of CHD. METHODS: Fetuses without risk factors for CHD were screened
using basic cardiac ultrasound examination (BCUE). Fetuses with suspected cardiac
malformation revealed by BCUE and fetuses with risk factors were screened using
extended cardiac ultrasound examination. Outcomes recorded from fetal, neonatal
and postmortem records over 4 years (2006-2009) included: therapeutic termination
of pregnancy, spontaneous abortions or stillbirths, deaths at birth or in the
neonatal period (before 28 days of age), and rate of birth and clinical
characteristics of newborns. RESULTS: A total of 34,071 fetuses were screened for
CHD during a period of 4 years, of which 173 fetuses were screened for CHD using
BCUE and 301 fetuses were screened using extended cardiac ultrasound examination.
The incidence of fetal CHD increased from 1.1% in 2006 to 2.4% in 2009 (P <
0.05), yielding an overall incidence of 1.5% (523/34,071). Of the fetuses with
CHD, 48.2% (252/523) died before 28 days of age (including intra-uterine death
and termination of pregnancy), 51.8% (271/523) lived more than 28 days and the
incidence of live newborns with CHD was 0.80% (271/34071). CONCLUSIONS: The
prevalence of CHD was quite common in this Chinese hospital. Detailed profiles of
CHD suggest that, while training programs in obstetric screening at this hospital
were beneficial, prenatal intervention, treatment and care of fetal CHD were
inefficient and should be strengthened in China.
PMID- 21883687
TI - Ictal electrocardiographic changes in children presenting with seizures.
AB - BACKGROUND: The aim of this study was to determine electrocardiographic changes
in children during seizures. METHODS: We assessed heart rate changes, RR
intervals and QT changes during 47 seizures in children. Consecutive QT and RR
intervals were measured for 60 s before the seizures, during the seizures and 60
s after the seizures during video electroencephalography monitoring. RESULTS:
There were 47 seizures in 18 patients. Five patients had generalized seizures and
13 patients had focal seizures. Twelve patients were male. The mean age during
monitoring was 10.1 years (range 4 months-19 years). Ictal tachycardia was seen
in every seizure. No ictal bradycardia was noted. There was only one dropped beat
in a patient. The mean ictal heart-rate-corrected QT (QTc) interval was
significantly higher than the postictal measurements (P= 0.005). Mean ictal QTc
variation tended to increase during seizures and then decreased below the pre
ictal measurements in the postictal period. However these changes were
statistically insignificant (P > 0.05). RR variance was significantly decreased
during seizures compared to the postictal period and the standard deviation of
the RR intervals was significantly decreased in the ictal period compared to the
pre-ictal period (P= 0.014 and P= 0.001, respectively). CONCLUSION: Tachycardia
is the main finding in seizures in children. Ictal bradycardia and cardiac
arrhythmias are very rare despite being more frequent in adults with seizures.
PMID- 21883686
TI - Individualized long-term enzyme therapy for Gaucher disease type 1 in Slovenia.
AB - BACKGROUND: Gaucher disease type 1 (GD1) was the first lysosomal storage disorder
for which an effective enzyme replacement therapy was developed. We describe the
management of eight GD1 patients in Slovenia who were diagnosed between the ages
of 2 and 15 years. METHODS: Patients were individually assessed to establish
initial enzyme doses and monitored frequently to determine the effects of long
term enzyme dose regimens. Outcomes up to 10 years after long-term treatment are
described by changes in the Zimran severity score index, chitotriosidase and acid
phosphatase levels, and after 2001, bone parameters (DEXA bone mineral density
scores and the MRI bone marrow burden score). RESULTS: Following the initiation
of enzyme therapy with individualized dose regimens (range 25-56 U/kg/14 days)
and followed by a gradual reduction of doses (range 12-35 U/kg/14 days) during
long-term maintenance, disease status improved in all patients as measured by the
Zimran severity score index (from a mean of 11.25 [median 11.5] before therapy to
a mean of 4.12 [median 3.5] at last report). Anemia and leucopenia resolved in
all patients, chitotriosidase and acid phosphatase levels decreased in all
patients (and by over 75% in six patients) within 1 year of treatment. Bone
marrow burden scores improved in all monitored patients and DXA scores improved
in six of seven monitored patients. CONCLUSIONS: We conclude that enzyme therapy
with relatively low, individualized dose regimens is well-tolerated and effective
in children and young adults with GD1 disease, who are regularly monitored for
changes in disease status.
PMID- 21883688
TI - Serum and cerebrospinal fluid S100B, neuron-specific enolase, and total tau
protein in acute encephalopathy with biphasic seizures and late reduced
diffusion: a diagnostic validity.
AB - BACKGROUND: Acute encephalopathy with biphasic seizures and late reduced
diffusion (AESD) is characterized clinically by biphasic seizures and late
magnetic resonance imaging abnormalities, such as reduced subcortical diffusion
from day 3 onwards, often accompanied with some neurological sequelae. In the
early stages of the disease, AESD closely resembles its far more prevalent and
relatively benign counterpart, febrile seizure (FS). METHODS: We measured and
compared the serum or cerebrospinal fluid (CSF) levels of S100B, neuron-specific
enolase (NSE), and total tau protein in 43 patients with FS and 18 patients with
AESD, at any point during the disease. To assess early diagnostic validity, we
compared these biomarkers in 43 FS and eight AESD patients, with whom the day 0-2
samples were available. We used the receiver-operator characteristic curve to
evaluate the diagnostic values of these markers. RESULTS: The levels of all
biomarkers were significantly higher in AESD than FS patients. When only day 0-2
samples from AESD patients were used, the levels of all the measured biomarkers,
except serum NSE, were still significantly higher in patients with AESD than in
FS, suggesting that AESD could damage astrocytes, neurons, and axons, even in the
early stages of the disease. According to the receiver-operator characteristic
curve analyses, CSF S100B (cut-off value, 100 pg/mL) and CSF total tau protein
(cut-off value, 100 pg/mL) were better predictors of AESD than other biomarkers.
CONCLUSION: The combination of CSF S100B and CSF total tau protein resulted in a
positive predictive value of AESD 83.3%, which could be helpful for early
diagnosis, facilitating early therapeutic interventions.
PMID- 21883689
TI - Quality of life in mothers of children with obstetrical brachial plexus palsy.
AB - BACKGROUND: The aim of this study was to explore impairment in quality of life
(QOL) of the mothers who were primarily responsible for taking care of children
with obstetrical brachial plexus palsy (OBPP) and to state its association with
the age, sex and functional status of the child with OBPP. METHODS: Ninety-three
mothers of children with OBPP and 88 mothers of children without any health
problems were included in the study. Children's demographic characteristics were
recorded and the functional status of the upper extremity was determined with the
active movement scale. The QOL of the mothers was assessed with the Turkish
version of the Nottingham Health Profile (NHP). RESULTS: There were significant
differences between mothers of children with OBPP and the control group in total,
physical activity, energy expenditure, and pain score of the NHP. A decrease in
energy expenditure and physical activity levels were found to be related to
children's active movement scale results in elbow flexion and extension, and
forearm supination. A statistically meaningful difference was found between
mothers of children aged 0-2 and mothers of children aged 2-7 years in emotional
reaction, social isolation and total score of NHP. CONCLUSIONS: The results of
this study revealed that mothers of children with OBPP have poor QOL compared
with mothers of healthy children. The limitation in elbow joint movements was
found to be important for the mothers. The strongest impact of the illness is on
the emotional reactions and social isolation of the mothers. Professionals
involved in the care of children with OBPP should also help parents to cope with
the burden of caregiving.
PMID- 21883690
TI - Is bilirubin/albumin ratio correlated with unbound bilirubin concentration?
AB - BACKGROUND: The American Academy of Pediatrics guidelines recommend that the
total bilirubin (TB)/albumin (Alb) ratio (B/A ratio), instead of serum
concentration of unbound bilirubin (UB), can be used with TB for determining
treatment modality for jaundiced newborns >= 35 weeks of gestation. It is
unknown, however, whether the B/A ratio is actually correlated with serum UB.
METHODS: Four hundred and ninety-seven serum samples were obtained from 209
newborns >= 35 weeks of gestation, who were admitted to Kobe University Hospital.
Serum UB concentration was measured using the glucose oxidase-peroxidase method.
Serum TB and Alb concentrations were measured on spectrophotometry. B/A ratios
were calculated and were linearly compared with serum UB. Furthermore, the
accuracy of the B/A ratio was evaluated. RESULTS: The B/A ratio was significantly
correlated with serum UB concentration. A serum UB concentration of 0.6 ug/dL was
in agreement with a B/A ratio of 0.5. For comparison of the number of newborns
who had serum UB concentrations >= or <0.6 ug/dL and B/A ratios >= or <0.5, we
found the following characteristics: the concordance rate between serum UB
concentrations and the B/A ratio was 94%, sensitivity was 51%, and specificity
was 99%. CONCLUSIONS: The B/A ratio is significantly correlated with serum UB
concentration in newborns >= 35 weeks of gestation. The B/A ratio, however, is
underestimated when serum UB concentrations are >0.6 ug/dL.
PMID- 21883691
TI - Use of cefoperazone/sulbactam in neonates.
AB - BACKGROUND: Neonates are at high risk for nosocomial infections due to multidrug
resistant pathogens. The use of beta-lactamase inhibitors in combination with
beta-lactam antibiotics broadens the antimicrobial spectrum.
Cefoperazone/sulbactam is used in children but there are limited data on its
usage in neonates. The purpose of the present study was therefore to evaluate the
use of cefoperazone/sulbactam in the treatment of neonatal infections caused by
multidrug-resistant pathogens. METHODS: The records of neonates who were
hospitalized and who received cefoperazone/sulbactam were reviewed. RESULTS:
There were 90 infants who received cefoperazone/sulbactam. A pathogen could be
isolated in 41 (45.6%) of the infants. In total, 17.1% of isolated pathogens were
resistant to cefoperazone/sulbactam. Side-effects were seen in four of the
infants. Two infants had cholestasis, one infant had neutropenia and one had
superinfection with candida. CONCLUSION: Cefoperazone/sulbactam can be used in
the treatment of nosocomial infections caused by multidrug-resistant pathogens in
neonates.
PMID- 21883692
TI - Antitumor activity of type III interferon alone or in combination with type I
interferon against human non-small cell lung cancer.
AB - The antitumor activities of type III interferon (IFN) (interleukin [IL]-28 and IL
29) and the combination of type III IFN and type I IFN (IFN-alpha) were evaluated
using human non-small cell lung cancer (NSCLC). The expression of type III and
type I receptor complexes was detected in NSCLC lines. IL-29 significantly
inhibited the in vitro growth of a wide range of NSCLC lines in a dose-dependent
fashion. To a lesser degree, IL-28A also displayed growth inhibitory activity.
Antitumor activity of type III IFN is associated with cell cycle arrest at the G1
phase and apoptosis. IL-29 upregulated cyclin-dependent kinase inhibitor
p21Waf1/Cip1 in cells sensitive, but not insensitive, to antiproliferative
activity, and knockdown of p21 with small interfering RNA largely attenuated the
antiproliferative effect. Intratumoral and systemic administration of IL-29
inhibited OBA-LK1 and LK-1, but not A549, tumor growth in severe combined
immunodeficiency mice. Immunohistochemical analyses demonstrated marked
upregulated p21 and downregulated Ki-67 expression in tumors treated with IL-29.
The interferon combination of IL-29 and IFN-alpha displayed a more effective
antiproliferative effect and a more intense p21 expression than each reagent
alone in vitro. Furthermore, interferon combination therapy suppressed in vivo
NSCLC growth more effectively than interferon monotherapy. These findings
demonstrate that type III IFN can mediate direct antitumor activities via
increased p21 expression and induction of apoptosis and cooperate with type I IFN
to elicit more efficient direct antitumor activities, and suggest the possibility
that type III IFN might improve the efficacy and reduce the side-effects of type
I IFN cancer therapy.
PMID- 21883693
TI - Body iron stores and breast cancer risk in female atomic bomb survivors.
AB - Iron can be a potent pro-oxidant and, on this basis, elevated body iron may
increase the risk of cancer. Although epidemiological evidence is mixed, there is
overall support for this possibility. In addition, because of this same oxidative
capacity, body iron levels may alter radiation sensitivity. In the present study,
a nested case-control study of breast cancer was conducted in Japanese atomic
bomb survivors. Stored serum samples from the Adult Health Study cohort were
assayed for ferritin levels and joint statistical analyses were conducted of
ferritin and radiation dose on the risk of breast cancer. Serum ferritin is the
best feasible indicator of body iron levels in otherwise healthy people. A total
of 107 cases and 212 controls were available for analysis. The relative risk (RR)
of breast cancer for a 1 log unit increase in ferritin was 1.4 (95% confidence
interval 1.1-1.8). This translates to an RR of 1.64 comparing high and low values
of the interquartile range among controls (58 and 13.2 ng/mL, respectively). The
results support the hypothesis that elevated body iron stores increase the risk
of breast cancer. However, the study was inconclusive regarding the question of
whether body iron alters radiation-induced breast cancer risk.
PMID- 21883694
TI - miR-92 is a key oncogenic component of the miR-17-92 cluster in colon cancer.
AB - MicroRNAs (miRNAs) belong to a class of endogenously expressed non-coding small
RNAs that function primarily as gene regulators. Growing evidence suggests that
miRNAs play a significant role in tumor development, making them potential
biomarkers for cancer diagnosis and prognosis. The miR-17-92 cluster has emerged
as an important locus, being highly overexpressed in several cancers in
association with cancer development and progression. The miR-17-92 miRNA cluster
generates a single polycistronic primary transcript that yields six mature
miRNAs: miR-17, miR-18a, miR-19a, miR-20a, miR-19b, and miR-92a. In colon cancer
development, the pathophysiologic roles of these transcripts and their targets
are largely unknown. In the present study, we performed copy number analyses of
the six miRNAs transcribed from the miR-17-92 cluster in colon tumor tissues. We
determined that miR-92a was transcribed at higher levels than the other five
miRNAs in both adenomas and carcinoma. In addition, miR-92a directly targeted the
anti-apoptotic molecule BCL-2-interacting mediator of cell death (BIM) in colon
cancer tissues. An anti-miR-92a antagomir induced apoptosis of colon cancer
derived cell lines. These data indicate that miR-92a plays a pivotal role in the
development of colorectal carcinoma.
PMID- 21883695
TI - Antitumor effects of alpha-bisabolol against pancreatic cancer.
AB - In the present study, we investigated whether alpha-bisabolol, a sesquiterpene
alcohol present in essential oils derived from a variety of plants, has antitumor
effects against pancreatic cancer. alpha-Bisabolol induced a decrease in cell
proliferation and viability in pancreatic cancer cell lines (KLM1, KP4, Panc1,
MIA Paca2), but not in pancreatic epithelial cells (ACBRI515). alpha-Bisabolol
treatment induced apoptosis and suppressed Akt activation in pancreatic cancer
cell lines. Furthermore, alpha-bisabolol treatment induced the overexpression of
early growth response-1 (EGR1), whereas EGR1 siRNA decreased the alpha-bisabolol
induced cell death of KLM1 cells. Tumor growth in both subcutaneous and
peritoneal xenograft nude mouse models was significantly inhibited by
intragastric administration of 1000 mg/kg of alpha-bisabolol, once a week for
three weeks. The results indicate that alpha-bisabolol could be a novel
therapeutic option for the treatment of pancreatic cancer.
PMID- 21883696
TI - Identification of a claudin-4 and E-cadherin score to predict prognosis in breast
cancer.
AB - The elevated expression of claudins (CLDN) and E-cadherin (CDH-1) was found to
correlate with poor prognostic features. Our aim was to perform a comprehensive
analysis to assess their potential to predict prognosis in breast cancer. The
expression of CLDN-1, -3-5, -7, -8, -10, -15, -18, and E-cadherin at the mRNA
level was evaluated in correlation with survival in datasets containing
expression measurements of 1809 breast cancer patients. The breast cancer tissues
of 197 patients were evaluated with tissue microarray technique and
immunohistochemical method for CLDN-1-5, -7, and E-cadherin protein expression.
An additional validation set of 387 patients was used to test the accuracy of the
resulting prognostic score. Based on the bioinformatic screening of publicly
available datasets, the metagene of CLDN-3, -4, -7, and E-cadherin was shown to
have the most powerful predictive power in the survival analyses. An
immunohistochemical protein profile consisting of CLDN-2, -4, and E-cadherin was
able to predict outcome in the most effective manner in the training set.
Combining the overlapping members of the above two methods resulted in the
claudin-4 and E-cadherin score (CURIO), which was able to accurately predict
relapse-free survival in the validation cohort (P = 0.029). The multivariate
analysis, including clinicopathological variables and the CURIO, showed that the
latter kept its predictive power (P = 0.040). Furthermore, the CURIO was able to
further refine prognosis, separating good versus poor prognosis subgroups in
luminal A, luminal B, and triple-negative breast cancer intrinsic subtypes. In
breast cancer, the CURIO provides additional prognostic information besides the
routinely utilized diagnostic approaches and factors.
PMID- 21883697
TI - Infection of human enteroendocrine cells with Chlamydia trachomatis: a possible
model for pathogenesis in irritable bowel syndrome.
AB - BACKGROUND: Irritable bowel syndrome (IBS) is a widespread gastrointestinal
disorder of unknown etiology. Recently, our group detected chlamydial antigens in
enteroendocrine cells (EEC) of jejunum biopsies from patients with IBS.
Impairment of EEC secretion upon Chlamydia infection might lead to disturbances
of gut functions. We have therefore studied the interaction between Chlamydia and
EEC in vitro. METHODS: Two different human enteroendocrine cell lines were
studied: LCC-18 from a neuroendocrine colonic tumour and CNDT2 from a small
intestinal carcinoid. Cell lines were infected with C. trachomatis serovar LGV II
strain 434. We used Penicillin G for inducing persistent infection. The
ultrastructure of infected cells was studied using transmission electron
microscopy and immunofluorescence and we used RT-PCR analysis for studying
changes in gene expression at different stages of infection. KEY RESULTS: We
found that both cell lines could be infected with C. trachomatis yielding
productive infections and persistence could be induced using penicillin G.
Immunofluorescence showed different cellular distributions of serotonin and
chromogranin A in non-infected (cytoplasmatic distribution) compared with
infected cells (serotonin and chromogranin mostly in chlamydial inclusions). In
line with the microscopical findings, we found a significant down-regulation of
the gene coding for the vesicular monoamine transporter (VMAT1) in infected
compared with non-infected EEC (P<0.05). CONCLUSIONS & INFERENCES: Altered
protein distributions together with down-regulation of VMAT1 suggest that
chlamydial infection may influence vesicular transport. It is therefore possible
that such an infection in vivo could lead to disturbances in the regulation of
gut functions.
PMID- 21883698
TI - A placebo-controlled trial of an oral capsaicin load in patients with functional
dyspepsia.
AB - BACKGROUND: The pathophysiology of functional dyspepsia is poorly understood.
Visceral hypersensitivity may play a key role. We studied a previously validated
test to assess chemical hypersensitivity in functional dyspepsia by applying an
oral capsaicin load. METHODS: A total of 116 outpatients with upper
gastrointestinal (GI) symptoms participated in this double-blind, placebo
controlled trial of which 73 patients received a final diagnosis of functional
dyspepsia. Patients swallowed a capsule containing 0.75 mg capsaicin or placebo.
A graded questionnaire evaluated the severity of nine upper GI symptoms before
and after capsule ingestion and an aggregate symptom score was calculated. A
final score of >9 was considered as a positive test. KEY RESULTS: In functional
dyspepsia, median perception scores were 10.8 (interquartile range: 4.5-18.8)
after ingestion of capsaicin and 0.5 (0.0-2.5) after placebo (P < 0.001). Thirty
seven functional dyspepsia patients (54%) had a positive test after capsaicin
ingestion, whereas only four (11%) patients with upper GI symptoms but without
functional dyspepsia were capsaicin positive [median perception score: 1.5 (0.0
5.0)]. After placebo, symptom scores were low and not significantly different
among patient groups (P > 0.05). Clinical characteristics, age, and gender
distribution was similar in capsaicin positive and capsaicin negative functional
dyspepsia patients (P > 0.05). The value of patient blinding was good.
CONCLUSIONS & INFERENCES: Half of functional dyspepsia patients had chemical
hypersensitivity, determined with an oral capsaicin load. Placebo response was
negligible. The results of the capsaicin test were not associated with specific
dyspepsia symptoms or Rome subgroups.
PMID- 21883699
TI - Acid inhibits TRPV4-mediated Ca2+ influx in mouse esophageal epithelial cells.
AB - BACKGROUND: The transient receptor potential vanilloid 4 (TRPV4), a thermo
sensitive stretch-activated cation channel, is expressed in the skin stratified
squamous epithelium, contributing to the acquisition of barrier function.
Similarly, functional TRPV4 may be located in the stratified squamous epithelial
lining of the esophagus, being involved in the pathogenesis of gastroesophageal
reflux disease (GERD). Here we investigated the expression of TRPV4 in the mouse
esophageal epithelium. METHODS: TRPV4 expression at the mRNA and protein levels
was examined by reverse transcription-polymerase chain reaction (RT-PCR), in situ
hybridization, and immunohistochemistry. A calcium imaging technique and ATP
assay were used to evaluate the functionality of TRPV4 in freshly isolated
esophageal epithelial cells. KEY RESULTS: Transcripts and proteins encoding TRPV4
were colocalized in the basal and intermediate layers of the esophageal
epithelium. Both 4alpha-phorbol 12,13- didecanoate (4alpha-PDD), a selective
agonist for TRPV4, and hypo-osmolar solution (160 mOsm) elevated the
intracellular calcium concentration ([Ca(2+) ](i) ) in a subset of the isolated
cells (70%). These [Ca(2+) ](i) increases were potently inhibited by ruthenium
red (RuR), a TRPV4 channel antagonist, and were suppressed by extracellular
protons (pH 5.0). Finally, application of 4alpha-PDD evoked ATP release in
primary esophageal epithelial cells. CONCLUSIONS & INFERENCES: Acid-sensitive
TRPV4 channels were mainly expressed in the esophageal epithelial cells of the
basal and intermediate layers. Direct exposure of TRPV4-expressing cells to
gastric acid, as would occur in cases of GERD, could influence their cellular
functions, possibly aggravating the disease state.
PMID- 21883700
TI - Preferential activation of the vagal nodose nociceptive subtype by TRPA1 agonists
in the guinea pig esophagus.
AB - BACKGROUND: The TRPA1 receptor is directly activated by a wide range of chemicals
including many endogenous molecules relevant for esophageal pathophysiology. We
addressed the hypothesis that the TRPA1 agonists differentially activate
esophageal nociceptive subtypes depending on their embryological source (neural
crest or epibranchial placodes). METHODS: Single cell RT-PCR and whole cell patch
clamp recordings were performed on the vagal neurons retrogradely labeled from
the guinea pig esophagus. Extracellular recordings were made in the isolated
innervated esophagus preparation ex vivo. KEY RESULTS: Single cell RT-PCR
revealed that the majority of the nodose (placodes-derived) and jugular (neural
crest-derived) TRPV1-positive esophageal nociceptors express TRPA1. Single fiber
recording showed that the TRPA1 agonists allyl-isothiocyanate (AITC) and
cinnamaldehyde were effective in inducing robust action potential discharge in
the nerve terminals of nodose nociceptors, but had far less effect in jugular
nociceptors (approximately fivefold less). Higher efficacy of the TRPA1 agonists
to activate nodose nociceptors was confirmed in the isolated esophagus-labeled
vagal neurons in the whole cell patch clamp studies. Similarly to neural crest
derived vagal jugular nociceptors, the spinal DRG nociceptors that are also
neural crest-derived were only modestly activated by allyl-isothiocyanate.
CONCLUSIONS & INFERENCES: We conclude that the TRPA1 agonists are substantially
more effective activators of the placodes-derived than the neural crest-derived
esophageal nociceptors. Our data predict that in esophageal diseases the presence
of endogenous TRPA1 activators will be preferentially signaled by the vagal
nodose nociceptors.
PMID- 21883701
TI - Regulation of basal LC20 phosphorylation by MYPT1 and CPI-17 in murine gastric
antrum, gastric fundus, and proximal colon smooth muscles.
AB - BACKGROUND: Myosin light chain kinase (MLCK) and myosin light chain phosphatase
(MLCP) govern myosin light chain (LC20) phosphorylation and smooth muscle
contraction. Rho kinase (ROK) inhibits MLCP, resulting in greater LC20
phosphorylation and force generation at a given [Ca(2+) ](i) . Here, we
investigate the role of ROK in regulating LC20 phosphorylation and spontaneous
contractions of gastric fundus, gastric antrum, and proximal colon smooth
muscles. METHODS: Protein and phosphorylation levels were determined by western
blotting. The effects of Y27632, nicardipine, and GF109203X on phosphorylation
levels and contraction were measured. KEY RESULTS: gamma-Actin expression is
similar in all three smooth muscles. LC20 and pS19 are highest, but ROK1 and ROK2
are lowest, in antrum and proximal colon smooth muscles. LZ +/- myosin
phosphatase targeting subunit 1 (MYPT1), CPI-17, and pT696, pT853, and pT38 are
highest in fundus and proximal colon smooth muscles. Myosin phosphatase-rho
interacting protein (M-RIP) expression is lowest in fundus, and highest in antrum
and proximal colon smooth muscles. Y27632 reduced pT853 in each smooth muscle,
but reduced pT696 only in fundus smooth muscles. Nicardipine had no effect on
pT38 in each smooth muscle, while GF109203X reduced pT38 in proximal colon and
fundus smooth muscles. Y27632 or nicardipine reduced pS19 in proximal colon and
fundus smooth muscles. Y27632 or nicardipine inhibited antrum and proximal colon
smooth muscle spontaneous contractions, but only Y27632 reduced fundus smooth
muscle tone. Zero external Ca(2+) relaxed each smooth muscle and abolished LC20
phosphorylation. CONCLUSIONS & INFERENCES: Organ-specific mechanisms involving
the MLCP interacting proteins LZ +/- MYPT1, M-RIP, and CPI-17 are critical to
regulating basal LC20 phosphorylation in gastrointestinal smooth muscles.
PMID- 21883702
TI - Association of bile acid receptor TGR5 variation and transit in health and lower
functional gastrointestinal disorders.
AB - BACKGROUND: The membrane bound bile acid (BA) receptor, TGR5, is located on
myenteric, cholinergic and nitrergic neurons in colon and proximal small
intestine. Our aim was to assess the association of genetic variation in TGR5 and
small bowel transit (SBT) and colonic transit. METHODS: In 230 healthy controls
and 414 patients with lower functional GI disorders [FGID: irritable bowel
syndrome (IBS)-alternators (Alt) 84, IBS-constipation (IBS-C) 157, IBS-diarrhea
(IBS-D) 173], we tested the association between TGR5 SNP rs11554825 (minor allele
frequency 41%) with symptom phenotype (total cohort) and intermediate phenotype
(SBT or colonic transit by radioscintigraphy) which was available in 213 people
in this cohort. The association with symptom phenotype was assessed using
logistic regression, while the association with colonic filling at 6 h (CF6), and
colonic transit [geometric center (GC) at 24 h] was assessed using ancova, in
each instance assuming a dominant genetic model. KEY RESULTS: There was no
significant association with symptom phenotype. We observed a potential
association of SNP rs11554825 with overall transit: CF6 (P = 0.061) and GC24 (P =
0.083). The association of the SNP with CF6 in the IBS-D subgroup (P = 0.017)
indicated the TC/CC subgroup had an average 50% faster SBT compared with the TT
subgroup. In IBS-D patients, GC24 was not significantly associated with
rs11554825 (TC/CC vs TT). CONCLUSIONS & INFERENCES: Variation in TGR5 may
contribute to altered SBT and colonic transit in lower FGID. Further studies are
required to characterize the potential role of BA receptor, TGR5, in the
mechanism and treatment of bowel dysfunction in lower FGID.
PMID- 21883703
TI - Postinfectious irritable bowel syndrome: follow-up of a patient cohort of
confirmed cases of bacterial infection with Salmonella or Campylobacter.
AB - BACKGROUND: Gastrointestinal infections have been proposed to predict subsequent
irritable bowel syndrome (IBS) but large-scale infectious events are rare and
long-term data are missing. METHODS: We identified 576 individuals with a
Salmonella or Campylobacter infection between 2000 and 2009 that were followed by
a short postal questionnaire asking for the presence of current symptoms in 2010.
In case of agreement (n = 90), an extended postinfectious (PI)-IBS questionnaire
was mailed including the Hospital Anxiety Depression Scale and the Patient Health
Questionnaire. KEY RESULTS: A total of 189 patients reported back (36%); 98 had a
Salmonella and 91 had a Campylobacter infection, of which 56 reported persistent
symptoms (9.7% of the initial sample). Fifty-one patients returned the PI-IBS
questionnaire. Of 48 patients with complete data, 15 reported no or mild symptoms
of abdominal pain or discomfort while 17 had moderate and 16 severe symptoms.
Twenty-two met Rome IBS criteria, 14 (29%) reported GI symptoms before the
infection. Patients with moderate and/or severe PI-IBS symptoms were
significantly more often females, were more often infected by Salmonella than by
Campylobacter, had more severe symptoms during the initial infection, and had
more often GI symptoms prior to the infection. They reported higher anxiety,
depression, and somatisation scores, but were not different with respect to acute
stool habits. CONCLUSIONS & INFERENCES: Nearly 10% of patients with an intestinal
bacterial infection report postinfectious symptoms up to 10 years after the
infectious event. They represent a clinically important population with high
psychiatric comorbidity and somatic symptom burden.
PMID- 21883704
TI - Retrospective analysis of differences in annual factor VIII utilization among
haemophilia A patients.
AB - Finding differences in drug utilization patterns within rare patient populations
is challenging without access to a large sample. Our objective was to identify
patient and treatment-related factors associated with differences in annual
recombinant factor VIII (rFVIII) utilization in a large cohort of haemophilia A
patients. This retrospective analysis utilized a large, US specialty pharmacy
dispensing database from January 2006 to September 2009. Differences in median
annual FVIII utilization (IU kg(-1) year(-1)) by age, severity, treatment
regimen, rFVIII product type and health insurance plan were tested using non
parametric statistics and regression analysis. A total of 1011 haemophilia A
patients were included in the overall analysis. Severe haemophilia patients had
higher median annual FVIII utilization than mild/moderate patients (P < 0.0001).
Median annual FVIII utilization was also significantly different between
treatment regimens (episodic = 1429 IU kg(-1) year(-1) vs. prophylaxis = 3993 IU
kg(-1) year(-1) for severe patients, P < 0.0001). Children (0-12 years old),
adolescents (13-18 years old) and adults (19+ years old) with severe haemophilia
A receiving prophylaxis utilized 4588, 4082 and 3223 IU kg(-1) year(-1) (P <
0.0001). After controlling for age, severity, treatment regimen and insurance
type, regression analysis revealed B domain-deleted recombinant FVIII (BDD
rFVIII) was associated with 33% higher FVIII consumption compared with full
length recombinant FVIII (FL-rFVIII) (P = 0.0172). Similar results were also seen
when matching BDD-rFVIII and FL-rFVIII patients. Health insurance type was not
associated with annual FVIII utilization. As expected, age, severity and
treatment regimen were significantly associated with FVIII utilization. After
controlling for confounders, patients receiving FL-rFVIII prophylactically were
associated with lower annual FVIII utilization compared with patients receiving
BDD-rFVIII prophylactically.
PMID- 21883705
TI - Computational and molecular approaches for predicting unreported causal missense
mutations in Belgian patients with haemophilia A.
AB - Haemophilia A (HA) is caused by widespread mutations in the factor VIII gene. The
high spontaneous mutation rate of this gene means that roughly 40% of HA
mutations are private. This study aimed to describe the approaches used to
confirm private disease-causing mutations in a cohort of Belgian HA patients. We
studied 148 unrelated HA families for the presence of intron 22 and intron 1
inversion by Southern blotting and polymerase chain reaction (PCR). Multiplex
ligation-dependent probe amplification (MLPA) assay was used to detect large
genomic rearrangements. Detection of point mutations was performed by DNA
sequencing. Predicting the causal impact of new non-synonymous changes was
studied by two general strategies: (i) molecular approaches such as family
cosegregation, evaluation of the implicated codon based on phylogenic separated
species and absence of the mutation in the general Belgian population, and (ii)
bioinformatics approaches to analyse the potential functional consequences of
missense mutations. Among the 148 HA patients, in addition to common intron 22
and intron 1 inversions as well as large deletions or duplications, 67 different
point mutations were identified, of which 42 had been reported in the HAMSTeRS
database, and 25 were novel including 10 null variants for which RNA analyses
confirmed the causal effect of mutations located in a splice site consensus and
15 missense mutations whose causality was demonstrated by molecular approaches
and bioinformatics. This article reports several strategies to evaluate the
deleterious consequences of unreported F8 substitutions in a large cohort of HA
patients.
PMID- 21883706
TI - Heterotopic ossification in quadratus femoris muscle in a haemophilic patient.
PMID- 21883707
TI - MCP-1 and CCR2 gene variants in oral squamous cell carcinoma.
AB - AIM: We aimed to investigate a possible association of the MCP-1 and CCR2
polymorphisms with the risk of developing oral squamous cell carcinoma (OSCC).
METHODS: MCP-1 A2518G and CCR2 V64I gene polymorphisms were performed by
polymerase chain reaction and restriction fragment length polymorphism, in 129
patients with OSCC and 140 healthy control subjects. RESULTS: Individuals who had
G allele and GG genotype of MCP-1, and 64I allele and wt/64I genotype of CCR2 had
increased risk for OSCC (P<0.05.) In contrast, individuals with CCR2 wt/wt
genotype seem to be protected from OSCC (P < 0.01). Haplotype analysis revealed
that MCP-1G: CCR2 64I haplotype frequencies were significantly higher in patients
than those of controls (P = 0.001). CONCLUSIONS: We can suggest that the G allele
of MCP-1 and 64I allele of CCR2 may be risk factors for OSCC.
PMID- 21883708
TI - Myeloid-derived suppressor cells contribute to oral cancer progression in 4NQO
treated mice.
AB - OBJECTIVE: Abnormal myelopoiesis especially the expansion of myeloid-derived
suppressor cells (MDSCs) is increasingly recognized as an important reason for
the escape of tumor from immune surveillance. This study aims to investigate the
role of this specific population of cells in oral cancer progression. MATERIALS
AND METHODS: 4-Nitroquinoline 1-oxide (4NQO) was used to induce oral cancer in
C57BL/6 mice. The tongue mucosa was examined by hematoxylin and eosin staining.
The distribution of MDSCs in the spleen and peripheral blood and T cell subsets
in the spleen was analyzed by flow cytometry. The expression of MDSCs in the
tongue tissues was investigated by immunohistochemical staining, and the
expression of arginase-1 (ARG-1) and NOS-2 in the tongue tissues was detected by
real-time PCR. RESULTS: We found that during tumor progression, significantly
increased frequency of MDSCs was observed in the spleens and peripheral blood of
4NQO-treated mice, and the frequency of MDSCs in the spleens was positively
correlated with systemic CD3(+) CD8(+) T cells. Moreover, 4NQO-treated mice
showed significantly higher MDSCs infiltration and ARG-1 mRNA level in the tumor
site. CONCLUSIONS: Myeloid-derived suppressor cells contribute to oral tumor
progression and represent a potential target for immunotherapy of oral cancer.
PMID- 21883709
TI - Oral clefts and behavioral health of young children.
AB - OBJECTIVES: This study examined the behavioral health of young children with oral
clefts, and effects of satisfaction with facial appearance, cleft team care,
number of cleft-related surgeries, and socioeconomic status (SES). SUBJECTS AND
METHODS: The study included a population-based sample of 104 children aged 2-12
years with isolated oral clefts from the state of Iowa. Behavior was evaluated
with the Child Behavior Checklist or the Pediatric Behavior Scale 30, depending
on age, compared with normative samples. RESULTS: Risks of behavioral problems
were not significantly different from normative samples except for higher
inattention/hyperactivity risks at age 6-12 years. Low satisfaction with facial
appearance was associated with behavioral problems in all domains, except
aggression. Team-care effects were not associated with behavioral problems.
Number of cleft-related surgeries was associated with increased
anxiety/depression and somatic symptom risks. Higher SES was associated with
reduced inattention/hyperactivity, aggressive/oppositional behavior, and somatic
symptoms. CONCLUSIONS: Most children with oral clefts may have similar behavioral
health outcomes to unaffected children, except for increased
inattention/hyperactivity risks at older ages. However, low satisfaction with
facial appearance, increased exposure to surgeries, and lower SES may
significantly increase behavioral problems. Also, the findings emphasize the need
to study the representation of behavioral health professionals on cleft teams and
access to behavioral health care.
PMID- 21883711
TI - Observation of health technologies after their introduction into clinical
practice: a systematic review on data collection instruments.
AB - RATIONALE, AIMS AND OBJECTIVES: Early assessment of health technologies after
they are covered by the health system is deemed crucial to promptly identify and
analyse unforeseen problems that may arise when these are used in real world
settings. This paper aims to describe the various instruments which could be used
for collecting information intended for prospective observation of health
technologies, so as to choose the specific instrument best suited to each
context. METHODS: Systematic review of the medical literature aimed at retrieving
general reference documents on data collection instruments for post-introduction
observation of health technologies. A purpose-designed systematic bibliographic
search was elaborated for the main three data collection instruments identified.
RESULTS: The three instruments are briefly described along with the main results
of the studies retrieved, in terms of the advantages, drawbacks and
considerations to be borne in mind when it comes to use these tools in post
introduction observation of new technologies. CONCLUSIONS: At present, the most
appropriate data collection method for conducting post-introduction observation
of new technologies is the use of prospective clinical registries. Electronic
clinical records may replace clinical registries in the near future, but
currently there are still many doubts as to the quality of the information
retrieved.
PMID- 21883712
TI - Cost analysis of adding pregabalin or gabapentin to the management of community
treated patients with peripheral neuropathic pain.
AB - OBJECTIVE: To compare the cost of adding either pregabalin or gabapentin to the
management of community-treated patients with peripheral neuropathic pain (PNP).
METHODS: A retrospective observational study was conducted using medical records
from a Spanish health care provider claims database. Patients receiving health
care for PNP, above 18 years and for which either pregabalin or gabapentin was
initiated between 2006 and 2008 were included. Economic evaluation included
health care resource utilization costs and costs due to sick leave. RESULTS: A
total of 1163 patients with PNP were eligible for analysis: 764 were prescribed
pregabalin and 399 gabapentin in addition to current pain therapy. Mean age was
59.2 years and 62.2% were female. Concomitant use of analgesics was higher in the
gabapentin cohort (3.2 vs. 2.7; P = 0.003), mainly due to non-steroidal anti
inflammatory drugs (74.9% vs. 69.5%; P = 0.018) and opioids (27.7% vs. 17.9%; P =
0.031). Adjusted total costs per patient was lower in pregabalin-treated patients
(?2514 vs. ?3241; P = 0.003), due to less sick leave (?1067 vs. ?1633; P = 0.018)
and lower health care costs (?1447 vs. ?1609; P = 0.004). The higher acquisition
cost of pregabalin (?351 vs. ?191; P < 0.001) was largely compensated with lower
costs in medical visits, physiotherapy, hospital stays and concomitant
analgesics. CONCLUSIONS: In community-treated patients with PNP, total costs were
considerably less for those patients initiated with pregabalin therapy than for
those patients starting gabapentin add-on therapy. The relatively higher
treatment acquisition cost of pregabalin was largely compensated by the overall
lower costs for the other components of health care resources and sick leave,
thus reducing the economic impact on the health care provider's budget and
society.
PMID- 21883710
TI - Antibiotic effects on bacterial profile in osteonecrosis of the jaw.
AB - OBJECTIVE: Oral infection is considered to play a critical role in the
pathogenesis of bisphosphonate-related osteonecrosis of the jaw (BRONJ), and
antibiotic therapy has become a mainstay of BRONJ therapy. This study was aimed
to investigate the effect of antibiotics on bacterial diversity in BRONJ tissues.
MATERIALS AND METHODS: The bacterial profile from soft tissues associated with
the BRONJ lesion was determined using 16S rRNA-based denaturing gradient gel
electrophoresis (DGGE) and sequencing. Twenty BRONJ subjects classified as stage
0-2 were enrolled in this study, and patient groups were divided into an
antibiotic cohort (n=10) treated with systemic antibiotic and a non-antibiotic
cohort (n=10) with no prior antibiotic therapy. RESULTS: The DGGE fingerprints
indicated no significant differences in bacterial diversity of BRONJ tissue
samples. Patients on antibiotics had higher relative abundance of phylum
Firmicutes with bacterial species, Streptococcus intermedius, Lactobacillus
gasseri, Mogibacterium timidum, and Solobacterium moorei, whereas patients
without antibiotics had greater amounts of Parvimonas micra and Streptococcus
anginosus. Thirty percent of bacterial populations were uncultured (yet-to be
cultured) phylotypes. CONCLUSION: This study using limited sample size indicated
that oral antibiotic therapy may have a limited efficacy on the bacterial
population associated with BRONJ lesions.
PMID- 21883713
TI - Effects of increased payment for ventilation tube insertion on decision making
for paediatric otitis media with effusion.
AB - OBJECTIVE: The National Health Insurance (NHI) in Taiwan raised the physician fee
for myringotomy with ventilation tube insertion (VTI) from $61.5 to $117.6 in
July 2004. This study aimed to evaluate if the increased payment affected the
decision making of physicians. METHODS: This study is a retrospective analysis by
using NHI databank in Taiwan. All children less than 12 years old who underwent
VTI from 1 July 2003 to 30 June 2006 were included. Waiting time and case numbers
before and after the increased VTI payment were compared. The waiting time
between public and private hospitals was also examined. RESULTS: From the 7408
cases evaluated, there was no difference in waiting time before and after the
raise of VTI payment, and no difference within each year group. The case number
of VTI increased significantly after the increase in VTI payment (P < 0.05). The
waiting time of VTI performed in private hospitals was shorter than that in
public hospitals (P = 0.0001). CONCLUSION: The waiting time of VTI for children
with otitis media with effusion (OME) has not been shortened after the increase
in VTI payment. Waiting time in private hospitals is shorter than that in public
hospitals. Increased payment for VTI has no effect on the physicians' decision
making regarding to waiting time for children with OME in Taiwan.
PMID- 21883714
TI - Satisfaction after the transition between electronic health record systems at six
ambulatory practices.
AB - RATIONALE, AIMS AND OBJECTIVES: Early adopters of electronic health records
(EHRs) are transitioning from older to newer EHRs to satisfy meaningful use
requirements. Facilitators and barriers to satisfaction after transitioning are
important to understand as provider satisfaction is linked with improvement in
health care. METHOD: We conducted a cross-sectional survey of providers who
transitioned from an older to a newer EHR at six academic, urban ambulatory
medical practices. A novel survey was developed to assess: (1) satisfaction with
the EHR implementation; (2) patterns of information technology use; (3) work
perceptions; (4) methods for completing clinical tasks; and (5) demographic
characteristics. We analysed the results using bivariate and multivariate
analyses. RESULTS: The response rate was 64% (n = 197). A small majority were
satisfied with the new EHR (64%, n = 120). Providers who reported satisfaction
with overall quality of work life, their workload and the transition were more
likely to be satisfied with the new EHR (P < 0.01). Providers who reported using
the Internet at least daily were also more likely to be satisfied with the new
EHR (P < 0.05). In a multivariate model, satisfaction with the transition was a
strong predictor of satisfaction with the new EHR (P < 0.01). Barriers to
satisfaction include dissatisfaction with: maintaining problem and medication
lists, tracking health maintenance information, referring to clinical practice
guidelines and ordering laboratory and radiology tests (P < 0.01). CONCLUSION:
This study provides groundwork for understanding the facilitators and barriers to
provider satisfaction after the transition between EHRs and provides insight into
areas requiring attention by entities undergoing similar transitions.
PMID- 21883715
TI - Marginal structural models: much ado about (almost) nothing.
AB - Marginal structural models were developed to account for a so-called time
dependent confounder and to estimate the presumed effect of 'treatment regime'
(treatment over time). We present a set of causal axioms, according to which the
problem of time-dependent confounding does not exist, and 'treatment regime'
affects nothing. Per our axiomatization, marginal structural models do not
introduce a new idea of deconfounding, but simply estimate a weighted average of
effects. Whenever a weighted average and the weighting scheme can both be
rationalized, the models are acceptable. Whenever a weighted average does not
estimate an effect (e.g. important effect modification is ignored), or the
weights are senseless - the models should not be fit.
PMID- 21883716
TI - Comparison of tools for the assessment of inappropriate prescribing in
hospitalized older people.
AB - RATIONAL, AIMS AND OBJECTIVE: The aim of the present study was to compare the
ease of use and the capability of four approaches [Medication Appropriateness
Index (MAI), the Beers' criteria 2003, the Improved Prescribing in the Elderly
Tool (IPET) and Health Plan Employer Data and Information Set (HEDIS)] in
assessing changes in medication appropriateness in elderly patients over a period
of hospitalization. METHODS: A retrospective observational study in two hospitals
in Northern Ireland using the four measures was undertaken, involving a cohort of
192 patients (aged > 65 years). Medication appropriateness assessments were made
at three stages during the patients' hospital 'journey', that is, at admission,
during their inpatient stay and at discharge. The identifying rates of
inappropriate prescribing in elderly patients in hospital used validated
screening tools: MAI, the Beers' criteria 2003, the IPET and HEDIS. RESULTS: The
MAI was the most comprehensive approach but was also the most time consuming to
apply. Data derived using the MAI indicated clearly that there was improved
medication appropriateness over the three hospital stay stages (P < 0.001).
Although this trend was also significant for the Beers' criteria 2003 (P < 0.05)
and the IPET (P < 0.05) approaches, the HEDIS was unable to differentiate changes
in appropriateness over time. There was a good correlation between data derived
using the MAI and the Beers' criteria 2003 and the IPET approaches; this
correlation was not evident for the HEDIS. CONCLUSIONS: The MAI is the most
convincing tool in evaluating medication appropriateness, but is very time
consuming to apply. Beers' criteria 2003 and the IPET perform to an acceptable
standard within the clinical setting and are more practical in their application.
The HEDIS, although simplest to apply, does not have the sensitivity to measure
change in appropriateness over time.
PMID- 21883717
TI - Important variations in the content of care pathway documents for total knee
arthroplasty may lead to quality and patient safety problems.
AB - AIM: Reducing variations by standardizing the key interventions in clinical
processes is an effective tool to minimize the probability of medical errors.
Thus, we determined whether variations exist in the key interventions included in
care pathway documents (CPDs) of different organizations and in the timing of
these interventions during the care process. METHODS: We invited members of the
Belgian Dutch Clinical Pathway Network to send their CPDs for external review. We
analysed 19 CPDs for total knee arthroplasty. International guidelines were
consulted for definition of key interventions. Documentation of these
interventions in the pathway documents was checked. RESULTS: From the 19 CPDs
analysed, we retrieved 18 key interventions. Nine of these key interventions
appeared in at least 80% of the care pathways. Only two key interventions
appeared in all pathway documents. Nine out of 12 interventions that appeared in
at least 80% of the pathway documents showed a variation of 1 day in the timing
of care from the median timing. CONCLUSION: We conclude that important variation
exists in the included interventions and in their timing. The results of this
study suggest that, before use in daily patient care, CPDs should be reviewed by
peers and checked to ensure that all evidence-based key interventions are
included and properly planned. Only in this way can pathways documents be used
interprofessionally during the entire perioperative period in the search for
optimal quality and patient safety.
PMID- 21883718
TI - A tailored educational intervention improves doctor's performance in managing
depression: a randomized controlled trial.
AB - RATIONAL AND OBJECTIVES: To assess the effects of a tailored and activating
educational intervention, based on a three-stage modified Prochaska model of
readiness-to-change, on the performance of general physicians in primary care
(GPs) regarding management of depressive disorders. METHODS: Parallel group,
randomized control trial. Primary hypothesis was that performance would improve
by 20 percentage units in the intervention arm. The setting was primary care in
southern Tehran. The participants were 192 GPs stratified on stage of readiness
to-change, sex, age and work experience. The intervention was a 2-day interactive
workshop for a small group of GPs' at a higher stage of readiness-to-change
('intention') and a 2-day interactive large group meeting for those with lower
propensity to change ('attitude') at the pre-assessment. GPs in the control arm
participated in a standard educational programme on the same topic. The main
outcome measures were validated tools to assess GPs' performance by unannounced
standardized patients, regarding diagnosis and treatment of depressive disorders.
The assessments were made 2 months before and 2 months after the intervention.
RESULTS: GPs in the intervention arm significantly improved their overall mean
scores for performance regarding both diagnosis, with an intervention effect of
14 percentage units (P = 0.007), and treatment and referral, with an intervention
effect of 20 percentage units (P < 0.0001). The largest improvement after the
intervention appeared in the small group: 30 percentage units for diagnosis (P =
0.027) and 29 percentage units for treatment and referral (P < 0.0001).
CONCLUSIONS: Activating learning methods, tailored according to the participants'
readiness to change, improved clinical performance of GPs in continuing medical
education and can be recommended for continuing professional development.
PMID- 21883719
TI - A naive Bayes classifier for planning transfusion requirements in heart surgery.
AB - RATIONALE, AIMS AND OBJECTIVES: Transfusion of allogeneic blood products is a key
issue in cardiac surgery. Although blood conservation and standard transfusion
guidelines have been published by different medical groups, actual transfusion
practices after cardiac surgery vary widely among institutions. Models can be a
useful support for decision making and may reduce the total cost of care. The
objective of this study was to propose and evaluate a procedure to develop a
simple locally customized decision-support system. METHODS: We analysed 3182
consecutive patients undergoing cardiac surgery at the University Hospital of
Siena, Italy. Univariate statistical tests were performed to identify a set of
preoperative and intraoperative variables as likely independent features for
planning transfusion quantities. These features were utilized to design a naive
Bayes classifier. Model performance was evaluated using the leave-one-out cross
validation approach. All computations were done using spss and matlab code.
RESULTS: The overall correct classification percentage was not particularly high
if several classes of patients were to be identified. Model performance improved
appreciably when the patient sample was divided into two classes (transfused and
non-transfused patients). In this case the naive Bayes model correctly classified
about three quarters of patients with 71.2% sensitivity and 78.4% specificity,
thus providing useful information for recognizing patients with transfusion
requirements in the specific scenario considered. CONCLUSIONS: Although the
classifier is customized to a particular setting and cannot be generalized to
other scenarios, the simplicity of its development and the results obtained make
it a promising approach for designing a simple model for different heart surgery
centres needing a customized decision-support system for planning transfusion
requirements in intensive care unit.
PMID- 21883720
TI - Evaluating self-efficacy for managing chronic disease: psychometric properties of
the six-item Self-Efficacy Scale in Germany.
AB - OBJECTIVE: Self-efficacy - the confidence to carry out certain behaviour in order
to achieve a specific goal - has increasingly been recognized as an essential
prerequisite of effective self-management of chronic diseases. Therefore, valid
and reliable measures are needed to evaluate self-efficacy in both research and
clinical practice. This study explored the psychometric properties of the German
version of the Self-Efficacy for Managing Chronic Disease 6-Item Scale (SES6G).
METHODS: We performed standardized translation and cultural adaptation of the
SES6G into German. The SES6G was externally validated with the German General
Self-Efficacy Scale (SWE). Cronbach's alpha, descriptive statistics and principal
component analysis were used to assess psychometric properties of the SES6G. We
assessed the effect of the number of co-occurring chronic diseases on SES6G
scores using linear regression modelling by controlling for age, gender and
education level. RESULTS: We analysed data of 244 primary care patients in
Germany. The SES6G showed good convergent construct validity to the SWE (spearman
rank correlation 0.578, P < 0.001) and high internal consistency (Cronbach's
alpha 0.930). Principal component analysis underlined the one-dimensional
structure of the instrument. Adjusted for age and gender, increasing numbers of
co-occurring chronic diseases were associated with lower SES6G scores
(standardized beta-value -0.27, P < 0.001). Education level showed no significant
effect. CONCLUSIONS: The SES6G is a reliable and valid instrument to assess
patients' self-efficacy for managing chronic diseases. It may enhance further
research in German-speaking countries and appears to be a valuable measure for
clinical practice.
PMID- 21883721
TI - How doctors practice evidence-based medicine.
AB - RATIONALE AND AIMS: Evidence-based medicine (EBM) has gained worldwide attention.
Many studies have used questionnaires to discuss factors obstructing the practice
of EBM. However, no large-scale data analysis has focused on who has practised
EBM and when they practised it. This retrospective study aims to fill the
research gap by applying nationally representative data to analyse EBM practice
after the provision of new evidence regarding the prescription of rosiglitazone
which has been shown to increase the risk of myocardial infarction. METHODS: We
used the National Health Insurance Database in Taiwan to analyse the variations
in rosiglitazone prescription among physicians. The study period was from the
second quarter of 2007 to the fourth quarter of 2008. A total of 2536 physicians
who prescribed rosiglitazone at least once were included in this study. We
applied multivariate logistic analyses to predict the probability of physicians
ceasing to prescribe rosiglitazone. RESULTS: We observed a significant
improvement in EBM practice among specialists and experienced physicians.
Endocrinologists were four times more likely to change rosiglitazone prescription
habits than other specialists (odds ratio 4.129, 95% confidence interval 2.484
6.863). Doctors with more than 10 years of specialist experience performed better
in EBM practice. Moreover, a prominent time lag with more than 6 months between
EBM emergence and EBM practice was noticed. CONCLUSIONS: Our study suggested that
EBM was still not well practised, using rosiglitazone prescription as a study
case. Further education and encouragement to strengthen physicians' EBM practice
remain urgently needed within the medical community.
PMID- 21883722
TI - Comparison of two POLQ mutants reveals that a polymerase-inactive POLQ retains
significant function in tolerance to etoposide and gamma-irradiation in mouse B
cells.
AB - DNA polymerase theta (POLQ) is a family A polymerase that contains an intrinsic
helicase domain. POLQ has been implicated in tolerance to DNA damage but whether
this depends solely on its polymerase domain remains unknown. In this study, we
generated POLQ-null CH12F3 B cells by gene targeting and compared their
sensitivity to DNA-damaging agents with previously established POLQ-inactive
CH12F3 cells in which only the polymerase core domain was deleted. Compared with
WT cells, POLQ-null and POLQ-inactive cells exhibited similarly increased
sensitivity to mitomycin C, cisplatin, and ultraviolet radiation, suggesting that
tolerance to these DNA-damaging agents depends largely on POLQ polymerase
activity. Intriguingly, POLQ-null cells exhibited higher sensitivity than did
POLQ-inactive cells to etoposide and gamma-irradiation, both of which induce
double-strand breaks (DSBs). This observation indicates that the polymerase
deleted POLQ, expressed in POLQ-inactive cells, retains significant function in
tolerance to these agents. Class switch recombination of immunoglobulin genes,
which involves repair of activation-induced cytidine deaminase (AID)-triggered
DSBs, however, was unaffected in both POLQ-null and POLQ-inactive cells. These
results suggest that the polymerase and other functional domains of POLQ both
play important roles in tolerance to etoposide and gamma-irradiation but are
dispensable for AID-mediated class switch recombination.
PMID- 21883723
TI - Review: indications for ultrasound use in low- and middle-income countries.
AB - OBJECTIVE: To determine the indications for using ultrasound, in low- and middle
income countries (LMICs) and to assess whether its use alters clinical
management. METHODS: Literature review. We conducted a Pubmed search on the
clinical use of ultrasound in LMIC for articles published between January 2000
and December 2010, recording country of origin, speciality and whether ultrasound
use led to a change in management. RESULTS: Fifty-eight articles were identified
from 32 countries and represented nine specialties. Ultrasound was most commonly
used for assisting with the diagnosis of obstetrical conditions, followed by
intra-abdominal conditions such as liver abscesses and intussusceptions. Clinical
management was altered in >30% of cases. CONCLUSION: Ultrasound is a highly
valuable diagnostic tool in LMICs and its use should be considered essential for
all district medical facilities. The use could be applied more widely, eg., for
tropical and non-communicable diseases. Additional research is needed to further
characterize the impact of task shifting on ultrasound use in LMICs.
PMID- 21883724
TI - Total lymphocyte count is a good marker for HIV-related mortality and can be used
as a tool for starting HIV treatment in a resource-limited setting.
AB - OBJECTIVES: Total lymphocyte counts (TLC) may be used as an alternative for CD4
cell counts to monitor HIV infection in resource-limited settings, where CD4 cell
counts are too expensive or not available. METHODS: We used prospectively
collected patient data from an urban HIV clinic in Indonesia. Predictors of
mortality were identified via Cox regression, and the relation between TLC and
CD4 cell counts was calculated by linear regression. Receiver operating
characteristics (ROC) curves were used to choose the cut-off values of TLC
corresponding with CD4 cell counts <200 and <=350 cells/MUl. Based on these
analyses, we designed TLC-based treatment algorithms. RESULTS: Of 889
antiretroviral treatment (ART)-naive subjects included, 66% had CD4 cell counts
<200 and 81% had 350 <= cells/MUl at baseline. TLC and CD4 cell count were
equally strong predictors of mortality in our population, where ART was started
based on CD4 cell count criteria. The correlation coefficient (R) between TLC and
?CD4 was 0.70. Optimal cut-off values for TLC to identify patients with CD4 cell
counts <200 and <=350 cells/MUl were 1500 and 1700 cells/MUl, respectively.
Treatment algorithms based on a combination of TLC, gender, oral thrush, anaemia
and body mass index performed better in terms of predictive value than WHO
staging or TLC alone. In our cohort, such an algorithm would on average have
saved $14.05 per patient. CONCLUSION: Total lymphocyte counts is a good marker
for HIV-associated mortality. Simple algorithms including TLC can prioritize
patients for HIV treatment in a resource-limited setting, until affordable CD4
cell counts will be universally available.
PMID- 21883726
TI - Benefit of viral load testing for confirmation of immunological failure in HIV
patients treated in rural Malawi.
AB - OBJECTIVE: Viral load testing is used in the HIV programme of Chiradzulu, Malawi,
to confirm the diagnosis of immunological failure to prevent unnecessary
switching to second-line therapy. Our objective was to quantify the benefit of
this strategy for management of treatment failure in a large decentralized HIV
programme in Africa. METHODS: Retrospective analysis of monitoring data from
adults treated with first-line antiretroviral regimens for >1 year and meeting
the WHO immunological failure criteria in an HIV programme in rural Malawi. The
positive predictive value of using immunological failure criteria to diagnose
virological failure (viral load >5000 copies/ml) was estimated. RESULTS: Of the
227 patients with immunological failure (185 confirmed with a repeat CD4
measurement), 155 (68.2%) had confirmatory viral load testing. Forty-four (28.4%)
had viral load >5000 copies/ml and 57 (36.8%) >1000 copies/ml. Positive
predictive value was 28.4% (95% CI 21.4-36.2%). Repeat CD4 count testing showed
that 41% of patients initially diagnosed with immunological failure did no longer
meet failure criteria. CONCLUSIONS: Our results support the need for confirming
all cases of immunological failure with viral load testing before switching to
second-line ART to optimize the use of resources in developing countries.
PMID- 21883725
TI - Failure to test children of HIV-infected mothers in South Africa: implications
for HIV testing strategies for preschool children.
AB - OBJECTIVES: To assess the uptake of HIV testing among preschool children with HIV
positive mothers in a peri-urban population-based study in KwaZulu-Natal, South
Africa, an area of high HIV prevalence. METHODS: All children 4-6 years old and
their primary caregivers from the area were invited to participate. All
participants were asked about prior HIV testing and were offered counselling and
voluntary HIV testing irrespective of previous testing. Twenty-seven HIV-infected
mothers were interviewed to identify barriers to testing their children. RESULTS:
One thousand five hundred and eighty-three children (88% of eligible children)
and their caregivers participated. Of the biological mothers, 86% were previously
tested for HIV (27% tested positive). Among the surviving 244 children born to an
infected mother, only 41% had been tested for HIV (23% tested positive).
Subsequently, 90% of previously untested children of infected mothers underwent
HIV testing (9.3% were positive). Overall seroprevalence among study children was
4.9%. All infected mothers interviewed endorsed the belief that children of HIV
infected women should be tested for HIV. Women who missed opportunities for
antenatal HIV testing reported no systematic testing of their children at later
ages. CONCLUSIONS: In this community with high HIV prevalence, HIV testing of
children is infrequent despite high testing coverage among caregivers. The low
proportion of children tested for HIV, particularly those of infected mothers, is
of great concern as they are at high risk for morbidity and mortality associated
with untreated childhood HIV infection. HIV testing programs should strengthen
protocols to include children, especially for those who missed PMTCT
opportunities in infancy.
PMID- 21883727
TI - Ownership and use of insecticide-treated nets in Oromia and Amhara regional
states of Ethiopia two years after a nationwide campaign.
AB - OBJECTIVE: To evaluate the ownership and use of insecticide-treated nets (ITNs)
by the local community 2 years after a free distribution campaign in Ethiopia.
METHODS: This is a population-based survey using a two-stage cluster sample
design in 115 randomly selected clusters in Oromia and Amhara regional states of
Ethiopia, performed in June 2009. Data on the possession and use of ITNs were
collected using structured and pre-tested questionnaires through house-to-house
visits. Bivariate and multivariate logistic regression analyses were performed to
examine the effect of participant's malaria knowledge, location and ITN
characteristics on the use of ITNs. RESULTS: A total of 2874 households
participated in the study, and 90.6% of the study population was knowledgeable
about ITNs. About 49.1% of households reported at least one ITN; 28.4% owned two
or more. ITN coverage was significantly lower in Oromia (34.9%) than in Amhara
(76.8%, P<0.001). The average number of ITNs per ITN-owning household was 1.8. In
all surveyed households, only 21.8% of all family members, 29.4% of all children
under the age of 5 years and 23.2% of all pregnant women had slept under an ITN
the night preceding the survey. Among ITN-owning households, 63.0% of all
children under the age of 5 years and 52.1% of pregnant women had slept under an
ITN the night before the survey. Using multivariate analysis, factors
significantly associated with ITN use were number of ITNs in the household,
number of ITNs hung over the bed in the household, women's knowledge of ITNs and
women's lack of problem in using ITNs, whereas region, area of residence and ITN
status were not. CONCLUSIONS: Household ITN ownership and use remain below the
current Roll Back Malaria targets of universal coverage. A replacement strategy
is urgently needed to scale-up coverage and use of ITNs.
PMID- 21883728
TI - Francisella halioticida sp. nov., a pathogen of farmed giant abalone (Haliotis
gigantea) in Japan.
AB - AIMS: In 2005, a Francisella sp. was isolated from diseased cultured giant
abalone (Haliotis gigantea) in Japan. The aim of this study was to clarify the
taxonomic status of this Francisella sp. Shimane-1 isolate in relation to the
four described Francisella species. METHODS AND RESULTS: The 16S rRNA gene and
several housekeeping genes of the Shimane-1 were compared to isolates of the four
recognized species within the Francisella genus. DNA-DNA hybridization (DDH) and
biochemical profile comparison were performed with the two phylogenetically
closely related species, Francisella philomiragia and Francisella noatunensis.
Results show that the Shimane-1 is genetically different from all described
Francisella species and differs phenotypically from F. philomiragia and F.
noatunensis. The average DDH similarity of Francisella sp. Shimane-1 to F.
noatunensis ssp. noatunensis (NCIMB14265(T)) and to F. philomiragia (DSM7535(T))
was 49.2 and 61%, respectably, clearly supporting the establishment of Shimane-1
as a new species within the Francisella genus. CONCLUSIONS: The phenotypic and
genetic results presented in this study suggest the establishment of Shimane-1 as
a novel species, for which the name Francisella halioticida sp. nov.
(=LMG26062(T), =DSM23729(T)) is proposed. SIGNIFICANCE AND IMPACT OF THE STUDY:
This study clarifies the taxonomic position and characteristics of a novel
mollusc pathogenic Francisella species.
PMID- 21883729
TI - Modelling the effect of water activity and temperature on growth rate and
aflatoxin production by two isolates of Aspergillus flavus on paddy.
AB - AIMS: This study was conducted to characterize the growth of and aflatoxin
production by Aspergillus flavus on paddy and to develop kinetic models
describing the growth rate as a function of water activity (a(w)) and
temperature. METHODS AND RESULTS: The growth of A. flavus on paddy and aflatoxin
production were studied following a full factorial design with seven a(w) levels
within the range of 0.82-0.99 and seven temperatures between 10 and 43 degrees C.
The growth of the fungi, expressed as colony diameter (mm), was measured daily,
and the aflatoxins were analysed using HPLC with a fluorescence detector. The
maximum colony growth rates of both isolates were estimated by fitting the
primary model of Baranyi to growth data. Three potentially suitable secondary
models, Rosso, polynomial and Davey, were assessed for their ability to describe
the radial growth rate as a function of temperature and a(w). Both strains failed
to grow at the marginal temperatures (10 and 43 degrees C), regardless of the
a(w) studied, and at the a(w) level of 0.82, regardless of temperature. Despite
that the predictions of all studied models showed good agreement with the
observed growth rates, Davey model proved to be the best predictor of the
experimental data. The cardinal parameters as estimated by Rosso model were
comparable to those reported in previous studies. Toxins were detected in the
range of 0.86-0.99 a(w) with optimal a(w) of 0.98 and optimal temperature in the
range of 25-30 degrees C. CONCLUSIONS: The influences of a(w) and temperature on
the growth of A. flavus and aflatoxin production were successfully characterized,
and the models developed were found to be capable of providing good, related
estimates of the growth rates. SIGNIFICANCE AND IMPACT OF THE STUDY: The results
of this study could be effectively implemented in minimizing the risk of
aflatoxin contamination of the paddy at postharvest.
PMID- 21883730
TI - Analysis of the germination of individual Clostridium perfringens spores and its
heterogeneity.
AB - AIMS: To analyse the germination and its heterogeneity of individual spores of
Clostridium perfringens. METHODS AND RESULTS: Germination of individual wild-type
Cl. perfringens spores was followed by monitoring Ca-dipicolinic acid (CaDPA)
release and by differential interference contrast (DIC) microscopy. Following the
addition of KCl that acts via germinant receptors (GRs), there was a long
variable lag period (T(lag)) with slow release of c. 25% of CaDPA, then rapid
release of remaining CaDPA in c. 2 min (DeltaT(release)) and a parallel decrease
in DIC image intensity, and a final decrease of c. 25% in DIC image intensity
during spore cortex hydrolysis. Spores lacking the essential cortex-lytic enzyme
(CLE) (sleC spores) exhibited the same features during GR-dependent germination,
but with longer average T(lag) values, and no decrease in DIC image intensity
because of cortex hydrolysis after full CaDPA release. The T(lag) of wild-type
spores in KCl germination was increased significantly by lower germinant
concentrations and suboptimal heat activation. Wild-type and sleC spores had
identical average T(lag) and DeltaT(release) values in dodecylamine germination
that does not utilize GRs. CONCLUSIONS: Most of these results were essentially
identical to those reported for the germination of individual spores of Bacillus
species. However, individual sleC Cl. perfringens spores germinated inefficiently
with either KCl or exogenous CaDPA, in contrast to CLE-deficient Bacillus spores,
indicating that germination of these species' spores is not completely identical.
SIGNIFICANCE AND IMPACT OF THE STUDY: This work provides information on the
kinetic germination and its heterogeneity of individual spores of Cl.
perfringens.
PMID- 21883731
TI - Biosynthesis of cyclodextrin glucosyltransferase by the free and immobilized
cells of Bacillus cereus NRC7 in batch and continuous cultures.
AB - AIMS: The objective of this study was to enhance the production of cyclodextrin
glucanotransferase (CGTase) produced by a local isolate Bacillus cereus NRC7.
METHODS AND RESULTS: In batch culture, maximal CGTase activity (69.0 U ml(-1))
was reached after 24-h incubation period. In continuous production of CGTase by
the free cells of B. cereus NRC7, maximal reactor productivity (11.76 KU l(-1) h(
1)), with enzyme concentration of 49.0 U ml(-1) and specific productivity of
904.6 U per g wet cells per h, was attained at dilution rate of 0.24 h(-1), over
a period of 640 h. Bacillus cereus NRC7 cells were immobilized on chitosan. The
immobilization conditions with respect to matrix concentration and maximal cell
loading were optimized for maximal CGTase production. In repeated batch
operation, the activity of the immobilized cells was stable during ten cycles and
the activity remained between 51 and 55 U ml(-1). In packed-bed reactor, the
immobilized cells showed maximal productivity (27.18 KU l(-1) h(-1)) with enzyme
concentration of 54.63 U ml(-1) and specific productivity of 151.89 U per g wet
cells per h at dilution rate of 0.5 h(-1). The half-life of the immobilized cells
was higher than 20 days. CONCLUSIONS: Continuous fermentation by the immobilized
cells in packed-bed reactor is an appropriate potential technique for B. cereus
NRC7 CGTase production that gave maximum productivity (27.18 KU l(-1) h(-1)),
which was 9.47-, 2.31-, 12.24- and 12.94-fold higher than the free cells in
batch, free cells in continuous, immobilized cells in batch and repeated batch
cultures, respectively. SIGNIFICANCE AND IMPACT OF THE STUDY: This is the first
study that evaluates CGTase productivity, in different fermentation modes, in
terms of specific productivity (U per gram cells per h). In continuous
fermentation by immobilized cells, maximal levels of CGTase productivity are
higher than the previously reported values.
PMID- 21883732
TI - Use of ceramic-based cell immobilization to produce 1,3-propanediol from
biodiesel-derived waste glycerol with Klebsiella pneumoniae.
AB - AIMS: The feasibility of the continuous production of a valuable bioplastic raw
material, namely 1,3-propanediol (1,3-PDO) from biodiesel by-product glycerol,
using immobilized cells was investigated. In addition, the effect of hydraulic
retention time (HRT) was also analysed. METHODS AND RESULTS: Ceramic balls and
ceramic rings were used for the immobilization of a locally isolated strain;
Klebsiella pneumoniae (GenBank no. 27F HM063413). HRT of 1 h is the best one in
terms of volumetric production rate (g 1,3-PDO l(-1) h(-1)). The results
indicated that ceramic-based cell immobilization achieved a 2-fold higher
production rate (10 g 1,3-PDO l(-1) h(-1)) in comparison with suspended cell
system (4.9 g 1,3-PDO l(-1) h(-1)). CONCLUSIONS: Continuous cultures with
immobilized cells revealed that 1,3-PDO production was more effective and more
stable than suspended culture systems. Furthermore, cell immobilization had also
obvious benefits especially for resistance of the production for extreme
conditions (high organic loading rates, cell washouts). The results were
important for understanding the significance of continuous immobilization process
among other well-known 1,3-PDO fermentation processes. SIGNIFICANCE AND IMPACT OF
THE STUDY: This work is a promising process for further studies, as the
immobilized micro-organism was able to reach high volumetric production rates at
short HRT, it has an important role in tolerating and converting glycerol during
fermentation. Therefore, HRT is a very significant operational parameter (P value
<0.05) directly affecting the bioreactor performance and production rate.
PMID- 21883733
TI - An in vitro model for the growth and analysis of chronic wound MRSA biofilms.
AB - AIMS: To develop an in vitro model (Colony/drip-flow reactor - C/DFR) for the
growth and analysis of methicillin-resistant Staphylococcus aureus (MRSA)
biofilms. METHODS AND RESULTS: Using the C/DFR model, biofilms were grown on the
top of polycarbonate filter membranes inoculated with a clinical isolate of MRSA,
placed on absorbent pads in the DFR and harvested after 72 h. The biofilms varied
from 256 to 308 MUm in thickness with a repeatability standard deviation of 0.22.
Testing of antimicrobial agents was also performed where C/DFR biofilms were
grown in parallel with conventional colony biofilms. A saline solution (control),
1% silver sulfadiazine solution, and 0.25% Dakin's solution were used to treat
the biofilms for 15 min. Microscopic evaluation of biofilm morphology and
thickness was conducted. The Dakins solution in both models produced
statistically significantly higher log reductions than silver sulfadiazine
treatment. CONCLUSIONS: The C/DFR biofilms were thick and repeatable and
exhibited higher resistance to Dakins solution than the treated colony biofilms.
SIGNIFICANCE AND IMPACT OF THE STUDY: The C/DFR can be used as a tool for
examining complex biofilm physiology as well as for performing comparative
experiments that test wound care products and novel antimicrobials.
PMID- 21883734
TI - Bovine recto-anal junction squamous epithelial (RSE) cell adhesion assay for
studying Escherichia coli O157 adherence.
AB - AIM: To develop a new adherence assay, using cattle recto-anal junction squamous
epithelial (RSE) cells, for evaluating bacterial adherence to cells of bovine
origin. METHODS AND RESULTS: Proof of concept was demonstrated using the human
gastrointestinal pathogen Escherichia coli O157:H7, for which cattle are
reservoirs. Adherence assays were conducted using both RSE and HEp-2 cells, in
the presence and absence of D+Mannose. E. coli O157 specifically adhered in a
type I fimbriae-independent manner to RSE cells in significantly higher numbers
and also bound significantly higher numbers of RSE cells than diverse laboratory
strains of nonpathogenic E. coli. CONCLUSION: The RSE cell adhesion assay output
highly reproducible and interpretable results that compared very well with those
obtained using the more extensively used HEp-2 cell adherence assay. SIGNIFICANCE
AND IMPACT OF THE STUDY: The RSE cell adhesion assay provides a convenient means
of directly defining and evaluating pathogen factors operating at the bovine
recto-anal junction. The RSE cell adhesion assay further has the potential for
extrapolation to diverse bacteria, including food-borne pathogens that colonize
cattle via adherence to this particular anatomical site.
PMID- 21883735
TI - Enhancement of phenol degradation by soil bioaugmentation with Pseudomonas sp.
JS150.
AB - AIMS: To test whether bioaugmentation with genetically modified Pseudomonas sp.
JS150 strain could be used to enhance phenol degradation in contaminated soils.
METHODS AND RESULTS: The efficiency of phenol removal, content of humic carbon,
survival of inoculant, number of total culturable autochthonous bacteria and
changes in fatty acid methyl esters (FAME) profiling obtained directly from soils
were examined. Bioaugmentation significantly accelerated phenol biodegradation
rate in tested soils. Phenol applied at the highest concentration (5.0 mg g(-1)
soil) was completely degraded in clay soil (FC) within 65 days, whereas in sand
soil (FS) within 72 days. In comparison, phenol biodegradation proceeded for 68
and 96 days in nonbioaugmented FC and FS soils, respectively. The content of
humic carbon remained at the same level at the beginning and the end of
incubation time in all soil treatments. The number of introduced bacteria (2.50 *
10(9) g(-1) soil) markedly decreased during the first 4 or 8 days depending on
contamination level and type of soil; however, inoculant survived over the
experimental period of time. Analysis of FAME patterns indicated that changes in
the percentages of cyclopropane fatty acids 17:0 cy and 19:0 cyomega10c and
branched fatty acids might be useful markers for monitoring the progress of
phenol removal from soil. CONCLUSIONS: It was confirmed that soil bioaugmentation
with Pseudomonas sp. JS150 significantly enhanced soil activity towards phenol
degradation. Cyclopropane and branched fatty acids were sensitive probes for
degree of phenol utilization. SIGNIFICANCE AND IMPACT OF THE STUDY: In future,
genetically modified Pseudomonas sp. JS150 strain could be of use in the
bioaugmentation of phenol-contaminated areas.
PMID- 21883736
TI - Four-year follow-up of two chronic hepatitis B recipients of hepatitis B surface
antigen-positive cadaveric liver grafts from asymptomatic carriers.
AB - AIM: Only seven cases of liver transplantation (OLT) with positive serum
hepatitis B surface antigen (HBsAg) grafts have been reported in the world till
now. Here we report the 4-year follow-up results and clinical pathologic
characteristics of two recipients of chronic hepatitis B transplanted with HBsAg
positive cadaveric liver grafts from asymptomatic carriers. METHODS: Lamivudine
combined with hepatitis B immune globulin were used for the control of hepatitis
B virus (HBV) infection in both of the recipients post-OLT. The liver functions,
virus status and pathologic characteristics of two recipients were followed up
according to the rounte protocol of Liver Transplantation Center of West China
Hospital. RESULTS: The serum HBV deoxyribonucleic acid (DNA) turned negative
within 30 days post-OLT, but HBsAg remained positive for both of the recipients
during follow up. HBV breakthrough occurred in one recipient at the month 12 post
OLT, with detectable serum HBV-DNA (740 copies/mL) and tyrosine-methionine
aspartate-aspartate motif mutation (rtM204I and rtM204V). After the replacement
of lamivudine by adefovir dipivoxil 10 mg daily for 2 months, serum HBV-DNA of
this recipient became undetectable again and maintained undetectable during
follow up. Both of the recipients have survived for more than 4 years post-OLT,
with stable liver function and mild hepatitis. CONCLUSION: Due to extreme
scarcity of liver graft, we think that HBsAg-positive liver graft without active
HBV-DNA replication and severe pathological manifestation from asymptomatic
carriers may deserve consideration when no other graft is available in a bearable
waiting time.
PMID- 21883737
TI - Development rate of chronic kidney disease in hepatitis C virus patients with
advanced fibrosis after interferon therapy.
AB - AIM: The aim of this retrospective cohort study is to assess the development
incidence and predictive factors for chronic kidney disease (CKD) after the
termination of interferon therapy in hepatitis C virus (HCV) positive Japanese
patients with liver cirrhosis. METHODS: A total of 650 HCV positive, liver
cirrhotic patients who were treated with interferon and showed an estimated
glomerular filtration rate (eGFR) of >=60 mL/min per 1.73 m(2) after the
termination of interferon therapy were enrolled. CKD was defined as an eGFR of
<60 mL/min per 1.73 m(2) . End-stage-CKD was defined as an eGFR of <15
mL/min/1.73 m(2) . The primary goal is the new development of CKD and end-stage
CKD. RESULTS: Eighty-five patients developed CKD, and six patients progressed
to end-stage-CKD. The development rate of CKD was 5.2% at the 5th year, 14.5% at
the 10th year and 30.6% at the 15th year. Multivariate Cox proportional hazards
analysis showed that CKD occurred when patients had age increments of 10 years
(hazard ratio: 2.32; 95% confidence interval [CI] 1.61-3.35; P < 0.001), eGFR
decrements of 10 mL/min per 1.73 m(2) (hazard ratio: 1.66; 95% CI 1.27-2.16; P <
0.001), hypertension (hazard ratio: 2.00; 95% CI 1.13-3.53; P = 0.017), diabetes
(hazard ratio: 1.79; 95% CI 1.02-3.14; P = 0.042), and non-clearance of HCV
(hazard ratio: 2.67; 95% CI 1.34-5.32; P = 0.005). The development rate of end
stage-CKD was 0.4% at the 5th year, 1.6% at the 10th year and 2.8% at the 15th
year. CONCLUSIONS: The annual incidence for CKD among cirrhotic patients with
HCV was determined to be about 1.0-1.5%. In addition, the annual incidence for
end-stage-CKD is one order of magnitude lower than that of CKD.
PMID- 21883738
TI - Primary biliary cirrhosis - Autoimmune hepatitis overlap syndrome: A rationale
for corticosteroids use based on a nation-wide retrospective study in Japan.
AB - AIMS: Primary biliary cirrhosis (PBC) and autoimmune hepatitis (AIH) may
simultaneously coexist in some patients, designated as PBC-AIH overlap syndrome.
Previous studies suggest that combination therapy of ursodeoxycholic acid (UDCA)
and corticosteroids may be effective. In the current study, we aimed to describe
clinical features of these cases and to propose a rationale for combination
treatment in PBC-AIH overlap. METHODS: We enrolled patients with PBC-AIH
overlap from eight referral centers for liver diseases in Japan, and clinical,
biochemical and immunological features were examined. Liver histology of all
patients at diagnosis were analyzed altogether in detail. Eighty-nine and 44
patients with PBC and AIH alone were included and served as controls. RESULTS:
We identified 33 patients with PBC-AIH overlap. The mean follow-up period was 6.1
years. On liver histology, the HA (hepatitis activity) score was significantly
higher than the CA (cholangitis activity) score (P < 0.001). At the end of the
follow-up period, corticosteroids were used in 23 patients (72%), and neither
liver-related death nor liver transplantation had been noted. The sensitivity and
specificity of the simplified AIH scoring system for prediction of patients who
required corticosteroids during clinical course was 92% and 75% in the training
set (n = 17), and 91% and 80% in the validation set (n = 16) of overlap. Only 3%
of PBC patients were diagnosed as having indication for corticosteroid use.
CONCLUSION: In PBC-AIH overlap, AIH-like features are dominant in liver
histology. The simplified AIH scoring system could predict patients who needed
corticosteroids with a higher specificity.
PMID- 21883739
TI - Liver stiffness measured by transient elastography is a predictor of
hepatocellular carcinoma development in viral hepatitis.
AB - AIM: To investigate the value of liver stiffness in diagnosing hepatocellular
carcinoma (HCC) among patients with viral hepatitis, and to prospectively
investigate relationships between liver stiffness and HCC development. METHODS:
Liver stiffness was measured by transient elastography for 157 patients with
viral hepatitis, along with various other parameters potentially associated with
HCC. HCC was initially present in 41 patients and absent in 116 patients, of whom
106 patients were followed prospectively for HCC development. Diagnostic
performances of liver stiffness and other clinical parameters in predicting
presence of HCC were evaluated using receiver operating characteristic (ROC)
curves and area under the ROC curve (AUROC). RESULTS: Liver stiffness was
significantly higher in patients with HCC (24.9 +/- 19.5 kPa) than in patients
without HCC (10.9 +/- 8.4 kPa; P < 0.0001). Age (P < 0.0001), platelet cell count
(P = 0.0001), prothrombin activity (P = 0.0009), alpha fetoprotein (P = 0.0091),
and des-gamma-carboxy prothrombin (DCP) (P = 0.0099) also differed significantly
between patients with and without HCC. The largest AUROC was for liver stiffness.
Differences between liver stiffness and age, platelet cell count, prothrombin
activity, and DCP were not significant, but the AUROC of liver stiffness was
superior to that of alpha fetoprotein (P = 0.03850). Using a cut-off liver
stiffness of 12.5 kPa, development of HCC was identified in 10 of the 106
patients followed. Multivariate analysis identified liver stiffness >=12.5 kPa,
age >=60 years, and serum total bilirubin >=1.0 mg/dL as significantly correlated
with development of HCC. CONCLUSIONS: Liver stiffness as measured by transient
elastography is a predictor of HCC development in viral hepatitis.
PMID- 21883740
TI - Characterization of hepatocellular adenoma based on the phenotypic
classification: The Kanazawa experience.
AB - AIM: Hepatocellular adenoma (HCA) represents a heterogeneous entity, and
recently four major subgroups were identified based on genotype and phenotype
classification from Europe. HCA is rare in Asian countries including Japan and
there has been no study regarding the subgroups of HCA in Japan. METHODS: We
took advantage of the reported genotype/phenotype classification to analyze 14
HCA (seven women) in Japan. RESULTS: We identified one hepatocyte nuclear
factor (HNF)1alpha-inactivated HCA (one woman), two beta-catenin-activated HCA
(one woman), seven inflammatory HCA (IHCA, two women); four additional cases
(three women) had no known phenotypic marker (unclassified HCA). The use of oral
contraceptives was found only in two unclassified HCA (29%) cases. Fatty change
of the background liver was seen in one beta-catenin-activated HCA cases, four
IHCA (57%) and two unclassified HCA (50%). Hepatic fibrosis was seen in five IHCA
(71%) and two unclassified HCA (50%) cases. Four IHCA patients (one woman) were
alcohol drinkers and one had alcoholic steatofibrosis and three had alcoholic
cirrhosis. Eight HCA (57%) were multiple; one HNF1alpha-inactivated HCA (100%),
four IHCA (57%) and three unclassified HCA (75%). The tumor was significantly
larger in beta-catenin-activated HCA than in other subgroups. The association of
hepatocellular carcinoma was seen only in one case of unclassified HCA.
CONCLUSION: This study suggests that IHCA arising in men with alcoholic liver
disease may be a major subtype of HCA in Japan.
PMID- 21883741
TI - Highly sensitive AFP-L3% assay is useful for predicting recurrence of
hepatocellular carcinoma after curative treatment pre- and postoperatively.
AB - AIM: The micro-total analysis system (uTAS), a fully automated immunoassay
system using microchip capillary electrophoresis, is highly sensitive and able to
quickly assay the AFP-L3%. The clinical usefulness of this system was studied.
METHODS: We retrospectively enrolled 250 patients who underwent curative
treatment for primary hepatocellular carcinoma (HCC) (93 patients underwent
hepatic resection and 157, radiofrequency ablation [RFA]). RESULTS: The
sensitivity for uTAS AFP-L3% was 40.3% at the cutoff value of 5% in a range of
AFP less than 20 ng/mL where the conventional method was unable to determine AFP
L3%. The sensitivity for AFP-L3% remained high even at stage I and at tumor size
less than 2 cm (42.5% and 46.0%, respectively). Recurrence rate of patients with
AFP-L3% greater than 5% was significantly higher than that of patients with less
than 5% (P = 0.001). Furthermore, in resected patients, the postoperative AFP-L3%
remained elevated with value greater than 5% was related to HCC recurrence (P =
0.001). Multivariate analysis revealed that multiple tumors (P = 0.004),
preoperative AFP-L3% greater than 5% (P = 0.003), albumin less than 3.5 g/dL (P =
0.008), and RFA (P = 0.003) were significant prognostic factors of recurrence.
CONCLUSIONS: The uTAS was found to be a highly sensitive assay for AFP-L3% in
patients with curative treatment of HCC. A cutoff value of 5% was useful for
predicting recurrence after the curative treatment and detecting small tumors and
early stage HCC. Additionally, postoperative AFP-L3% was found to be a prognostic
factor of HCC recurrence.
PMID- 21883742
TI - Easy-to-use phylogenetic analysis system for hepatitis B virus infection.
AB - AIM: The molecular phylogenetic analysis has been broadly applied to clinical
and virological study. However, the appropriate settings and application of
calculation parameters are difficult for non-specialists of molecular genetics.
In the present study, the phylogenetic analysis tool was developed for the easy
determination of genotypes and transmission route. METHODS: A total of 23
patients of 10 families infected with hepatitis B virus (HBV) were enrolled and
expected to undergo intrafamilial transmission. The extracted HBV DNA were
amplified and sequenced in a region of the S gene. RESULTS: The software to
automatically classify query sequence was constructed and installed on the
Hepatitis Virus Database (HVDB). Reference sequences were retrieved from HVDB,
which contained major genotypes from A to H. Multiple-alignments using CLUSTAL W
were performed before the genetic distance matrix was calculated with the six
parameter method. The phylogenetic tree was output by the neighbor-joining
method. User interface using WWW-browser was also developed for intuitive
control. This system was named as the easy-to-use phylogenetic analysis system (E
PAS). Twenty-three sera of 10 families were analyzed to evaluate E-PAS. The
queries obtained from nine families were genotype C and were located in one
cluster per family. However, one patient of a family was classified into the
cluster different from her family, suggesting that E-PAS detected the sample
distinct from that of her family on the transmission route. CONCLUSIONS: The E
PAS to output phylogenetic tree was developed since requisite material was
sequence data only. E-PAS could expand to determine HBV genotypes as well as
transmission routes.
PMID- 21883743
TI - DNA repair gene XRCC7 polymorphisms (rs#7003908 and rs#10109984) and
hepatocellular carcinoma related to AFB1 exposure among Guangxi population,
China.
AB - AIM: The X-ray repair cross-complementing group 7 (XRCC7) plays an important
role in the repair of DNA double-strand breaks by nonhomologous end-joining
repair (NEJR) pathway. However, the role of XRCC7 polymorphisms (rs#7003908 and
rs#10109984) possibly influencing NEJR capacity in hepatocellular carcinoma (HCC)
induced by aflatoxin B1 (AFB1) has not been well elaborated. METHODS: This
hospital-based case-control study, including 348 patients with newly diagnosed
HCC and 597 controls without any evidence of liver diseases, was conducted to
elucidate the association between these two polymorphisms and the risk of HCC
related to AFB1 exposure among a Guangxi population from a high AFB1-exposure
area by means of TaqMAN-polymerase chain reaction technique. RESULTS: We
observed that HCC patients featured higher AFB1 exposure than control group (odds
ratios [OR] = 6.49 and 6.75 for exposure years and exposure levels,
respectively). Furthermore, these individuals with the genotypes of XRCC7
rs#7003908 G alleles (namely XRCC7-TG or -GG), compared the homozygote of XRCC7
rs#7003908 T alleles (XRCC7-TT), faced increasing risk of HCC (OR, 3.45 and 5.04;
95% confidence intervals [CIs], 2.40-4.94 and 3.28-7.76, respectively). We also
found some evidence that this polymorphism interacted with AFB1-expousure years
or levels in the process of HCC carcinogenesis. Additionally, XRCC7 rs#7003908
polymorphism was correlated with the levels of AFB1-DNA adducts (r = 0.142, P <
0.001). XRCC7 rs#10109984 polymorphism, however, did not modify the risk of HCC
related to AFB1 exposure (P > 0.05). CONCLUSION: These data suggest that XRCC7
rs#7003908 polymorphism may be one of the genetic modifiers for AFB1-related HCC
among Guangxi population.
PMID- 21883744
TI - Renal function in children with heart transplantation after switching to CNI-free
immunosuppression with everolimus.
AB - Renal impairment because of CNI contributes to long-term morbidity. Therefore,
CNI avoiding or sparing treatment strategies are important. In this article, we
describe the results of a CNI-free treatment protocol with regard to recovery of
renal function. Twenty-eight patients with heart transplantation were switched
from CNI regimen to everolimus and mycophenolate, when cGFR was <75 mL/min/1.73
m(2). In all patients, CNI was stopped, when everolimus trough levels of 5-8 ng/L
were achieved. Serum creatinine and cGFR were determined before and after 6 and
12 months. Median serum creatinine decreased from 1.2 mg/dL (range 0.7-3.7)
before everolimus to 1.0 (range 0.6-1.8) and 1.0 (range 0.5-1.9) mg/dL after 6
and 12 months. Median cGFR was 47.81 (range 18.3-72.6) mL/min/1.73 m(2) before
everolimus and 63.1 (range 37.8-108.7) mL/min/1.73 m(2) at six months and 64.8
(range 37.7-106.6) mL/min/1.73 m(2) after 12 months. All changes from baseline to
six and 12 months were statistically significant (p < 0.05). Adverse events were
infections (n = 3) and rejections (n = 3). Therapy was discontinued in four
patients. Conversion to CNI-free immunosuppression resulted in significant
improvements of renal function within six months of CNI withdrawal. Side effects
are common. However, more studies are required to demonstrate the effectiveness
in children.
PMID- 21883745
TI - Severe calcification of the aorta (porcelain aorta) associated with sarcoidosis
in a pediatric heart transplant recipient.
AB - We report a unique case of severe calcification of the aorta, bilateral coronary
ostial stenoses and calcification of the mitral valve and left ventricle due to
sarcoidosis. The patient underwent neonatal orthotopic heart transplantation
secondary to hypoplastic left heart syndrome and developed hypercalcemia with
other features of sarcoidosis at 10 yr of age. The mechanism for severe extra
renal calcification localized to the allograft is poorly understood, but may
involve discordant local immune modulation and/or calcification-regulation
between graft and host tissues.
PMID- 21883746
TI - Is there a role for oral human immunoglobulin in the treatment for norovirus
enteritis in immunocompromised patients?
AB - No treatment for NVE is available. Immunocompromised patients with NVE treated
with OHIG (12 cases) were retrospectively identified and matched 1:1 by age and
gender with immunocompromised patients with NVE not treated with OHIG (12
controls). Chi-squared test, t-test, bivariate conditional linear regression
analyses, and Kaplan-Meier curve were performed. A total of 58.3% patients were
small bowel transplant (SBT) recipients. Although not statistically significant,
cases compared with controls were more likely to have had induction therapy (p =
0.25, OR = 65.3), higher peak tacrolimus levels (p = 0.43, OR = 1.04), SBT (p =
0.30, OR = 65.3), prior NVE (p = 0.42, OR = 2.0), TPN support (p = 0.42 OR =
2.0), and decrease in immunosuppression (p = 0.14, OR = 5.0). Treatment with OHIG
favored resolution of diarrhea (p = 0.078, OR = 65.3) and decreased stool output
seven days after treatment compared with controls (mean difference 11.95
mL/kg/day, p = 0.09). OHIG did not impact total time to resolution of diarrhea
(mean 12.08 vs. 11.91 days; p = 0.63), length of hospital stay (p = 0.31, OR =
1.05), or cost of hospitalization (p = 0.32, OR = 1.0). We show a potential role
of OHIG treatment for NVE. Resolution of diarrhea and decreased stool output were
observed at seven days; no benefit was found for length of hospital stay or
hospital cost.
PMID- 21883747
TI - A clinically significant interaction between tacrolimus and multiple proton pump
inhibitors in a kidney transplant recipient.
AB - The shared metabolism of PPIs and tacrolimus through the CYP enzyme system has
been associated with clinically significant drug interactions, especially in
patients who are classified as CYP 2C19 PMs. However, existing data are
conflicting, indicating that a single mechanism does not account for all
interactions. A drug interaction between tacrolimus and omeprazole, esomeprazole,
but not lansoprazole, occurred in an 18-yr-old female kidney transplant recipient
classified as a CYP 2C19 extensive (normal) metabolizer. This case suggests that
further research is needed to establish the definitive mechanism of this
potentially serious drug-drug interaction. Physicians prescribing PPIs in organ
transplant recipients with tacrolimus immunosuppression should consider close
pharmacokinetic monitoring of tacrolimus when starting or switching a PPI.
PMID- 21883748
TI - Severe hyperinsulinemia, decreased GLUT3 and GLUT4 expression, and increased
retinol binding protein 4 in a patient with chronic graft-versus-host disease
post bone marrow transplantation.
AB - Hyperinsulinemia with or without DM2 is a frequent long-term sequela of BMT,
especially following cGvHD. In this report, an extensive evaluation of a patient
with cGvHD is described: glucose and insulin during OGTT, markers of
inflammation, adiponectin and RBP4, body composition analysis, and the kinetics
of GLUT3 and GLUT4 in circulating monocytes were evaluated. Hyperinsulinemia,
associated with partial lipodystrophy, elevated RBP4, low adiponectin levels, and
decreased expression of GLUT3 and GLUT4 were detected. The defects disclosed in
this particular patient possibly explain, at least in part, the mechanisms
underlying insulin resistance in patients undergoing BMT. It is not clear whether
insulin resistance was caused by the drugs, the process itself, or the residual
damage to the muscles and/or adipose tissue.
PMID- 21883750
TI - Impact of donor cardiopulmonary resuscitation on pediatric heart transplant
outcome.
AB - Mortality is the highest of any solid organ in pediatric patients awaiting heart
transplantation. Strategies to increase the donor pool are needed if survival to
transplant is to improve. There can be reluctance to accept pediatric hearts for
transplantation if the donor has received cardiopulmonary resuscitation (CPR).
This study asked if donor CPR impacts the survival of pediatric heart transplant
recipients. Analysis of the UNOS database was performed for all cardiac
transplants performed in patients aged 0-18 yr, with donors classified as to
whether they received CPR (CPR+) or not (CPR-). We compared overall survival and
survival at 30 days, one yr, and five yr between groups. Within the CPR+ group,
the impact of duration of CPR on survival was compared. The need for inotropic
support and ejection fraction was compared between donor groups as a measure of
organ function. Overall survival and survival at 30 days, one yr, and five yr did
not differ in the CPR+ compared to the CPR- group. Within the CPR+ group,
duration of CPR was unrelated to post-transplant survival. The need for inotropic
support at procurement was similar, and ejection fraction did not differ between
the CPR+ and CPR- groups. Donor CPR does not have a negative impact on pediatric
heart transplant survival.
PMID- 21883749
TI - Genotype, phenotype, and outcomes of nine patients with T-B+NK+ SCID.
AB - There are few reports of clinical presentation, genotype, and HCT outcomes for
patients with T-B+NK+ SCID. Between 1981 and 2007, eight of 84 patients with SCID
who received and/or were followed after HCT at UCSF had the T-B+NK+ phenotype.
One additional patient with T-B+NK+ SCID was identified as the sibling of a
patient treated at UCSF. Chart reviews were performed. Molecular analyses of
IL7R, IL2RG, JAK3, and the genes encoding the CD3 T-cell receptor components
delta (CD3D), epsilon (CD3E), and zeta (CD3Z) were carried out. IL7R mutations
were documented in four patients and CD3D mutations in two others. Three patients
had no defects found. Only two of nine patients had an HLA-matched related HCT
donor. Both survived, and neither developed GVHD. Five of seven recipients of
haploidentical grafts survived. Although the majority of reported cases of T
B+NK+ SCID are caused by defects in IL7R, CD3 complex defects were also found in
this series and should be considered when evaluating patients with T-B+NK+ SCID.
Additional genes, mutations in which account for T-B+NK+ SCID, remain to be
found. Better approaches to early diagnosis and HCT treatment are needed for
patients lacking an HLA-matched related donor.
PMID- 21883751
TI - Calcineurin inhibitor minimization using sirolimus leads to improved renal
function in pediatric heart transplant recipients.
AB - The introduction of cyclosporine revolutionized the practice of immunosuppression
for solid organ transplant recipients, and has resulted in a significant increase
in survival. While CNI use has been the mainstay of immunosuppressive therapy in
pediatric heart transplantation, CNIs have been associated with an increased risk
of nephropathy leading to significant morbidity and mortality. We evaluated the
effect on renal function of a CNI minimization protocol using SRL in pediatric
heart transplant patients with CNI induced renal insufficiency. An IRB approved
retrospective chart review and case control study was performed. There were 20
patients identified with renal insufficiency who had been converted to SRL
(target 5-8 ng/mL) and cyclosporine (target 50-75 vs. 125-150 ng/mL). Renal
insufficiency was defined as isotopic (Indium 111 DTPA) GFR <60 mL/min per 1.73
m(2) or sCr >1 mg/dL. Outcome variables evaluated were GFR and sCr at time of
conversion and at two yr post conversion. Comparison was made with case control
subjects matched for age at Tx, time from Tx to conversion, and initial GFR. The
median age at Tx = 81 days (S.D. +/-26), median time of conversion after Tx = 10
yrs (s.d. +/-0.65). Self-limited/treatable side effects included
hypercholesterolemia (10), neutropenia (6), aphthous ulcer (3), edema (2), anemia
(2), and tremor (1). One patient rejected in the two yr prior to conversion, and
one patient had two rejection episodes following conversion. GFR at conversion
for study group was 51 +/- 14 vs. 60 +/- 2 at two yr, p = 0.018. GFR at inclusion
for control group was 56 +/- 20 vs. 53 +/- 21, p = 0.253. This report
demonstrates that minimizing CNI exposure by addition of SRL to the
immunosuppressant regimen in pediatric heart transplant recipients result in
improved renal function in comparison to historically managed patients.
Furthermore, immunotherapy with SRL and lower-dose CNI can effectively prevent
rejection with an acceptable side-effect profile.
PMID- 21883752
TI - The impact of age at transfer from pediatric to adult-oriented care on renal
allograft survival.
AB - Immaturity among individuals transferred from pediatric to adult-oriented care at
a young age may leave them vulnerable to higher graft failure risks than in
individuals transferred older. We sought to determine the impact of age at
transfer on renal allograft failure rates. We evaluated graft failure rates among
440 kidney recipients recorded in the UNOS database (1987-2007), who had been
transferred from pediatric to adult care. Transfers were identified using the
center codes recorded at yearly data collection. Failure rates for those
transferred early (<21 yr old) were compared with rates for those transferred
late (>=21 yr old); time-dependent Cox models were used to estimate the
additional risk of graft failure associated with early vs. late transfer. The age
standardized failure rate was 12.9 per 100 person-years among those transferred
early, and 8.7 per 100 person-years among those transferred late. Compared with
individuals the same age who had transferred late, graft failure rates were 58%
higher ([95% confidence interval: 7%, 134%], p = 0.02) among those who had
transferred early. Younger age at transfer to adult care is associated with
higher graft failure rates. Transfer to adult-oriented care at <21 yr of age
should be undertaken with caution.
PMID- 21883753
TI - Intraindividual variability of the modified Schwartz and novel CKiD GFR equations
in pediatric renal transplant patients.
AB - GFR in children can be obtained from a formula using SCr and height or various
formulas including serum CysC. Recently, two new GFR formulas have been
developed: (i) height and SCr-mSchwartz GFR and (ii) height, SCr, CysC, and serum
urea (CKiD GFR). While these formulas proved to be accurate when compared to the
gold standard, their use in children post-kidney Tx is yet to be assessed. A
total of 1174 blood samples (urea, SCr and CysC) were analyzed from the post-Tx
period in 24 Tx children (12 boys, median age = 8.6 yr) currently followed at our
institution. CKiD GFR and mSchwartz GFR were compared using Bland-Altman analysis
and the CV. The mSchwartz GFR overestimated the CKiD GFR (mean bias = 1.09 +/-
0.14; 95% limits of agreements from 0.82 to 1.36). Median CV of CKiD GFR (10.3%)
was significantly lower than that of mSchwartz GFR (15.0%), p = 0.04, and
negatively correlated with the slope of GFR (r(2) = 0.34, p = 0.0026). In
conclusion, CKiD GFR has a significantly lower intraindividual variation than
mSchwartz GFR and may be better suited for longitudinal follow-up of patients
post-Tx.
PMID- 21883754
TI - Colocolonic intussusception in a four-yr-old with a heart transplant: a case
report and review of the literature.
AB - A rare case of a colocolonic intussusception in a pediatric cardiac transplant
patient is reported along with a review of colocolonic intussusception in
pediatric patients after organ transplantation. A four-yr-old girl with a history
of heart transplantation shortly after birth presented with a 12-hour history of
abdominal pain, vomiting, and bloody stools. Initial abdominal x-ray showed
rectal edema. A rectal examination revealed a palpable intussusceptum that
prompted a therapeutic contrast enema, which failed to reduce the
intussusception. Surgical exploration was performed with reduction of the
intussusception and resection of the terminal ileum and cecum. A pathologic lead
point was not identified.
PMID- 21883755
TI - Lethal human herpesvirus-6 encephalitis after cord blood transplant.
AB - Clinical, biological, pathological, and imaging findings were all suggestive of
lethal human herpesvirus-6-associated encephalitis in a 61-year-old man who had
undergone a cord blood transplant. The neuropathological findings of this unusual
autopsy case and the pathogenesis of this infection in immunocompromised patients
are discussed.
PMID- 21883756
TI - Epididymal and prostatic histoplasmosis in a renal transplant recipient from
southern India.
AB - An unusual case of epididymal and prostatic histoplasmosis is reported from
Karnataka State of southern India, in a 37-year-old male renal transplant
recipient, who had presented with gastrointestinal histoplasmosis a year before
the second presentation and had responded successfully to 9 months' treatment
with itraconazole. The patient presented a second time with high-grade fever and
pain in the scrotum and the lower abdomen. Ultrasound of the abdomen revealed a
retrotrigonal abscess, which was confirmed on computed tomography scan of the
pelvis. Pus was drained via transrectal route and biopsy specimens were taken
from the epididymis and the prostate. Histopathology revealed the picture
suggestive of histoplasmosis, and the pus on culture confirmed the infection with
Histoplasma capsulatum. To our knowledge, only 5 cases of epididymal
histoplasmosis have been reported in the literature, our case being the second
report from India and sixth in the world; prostatic histoplasmosis is also a rare
occurrence.
PMID- 21883757
TI - The association between cytomegalovirus immune globulin and long-term recipient
and graft survival following liver transplantation.
AB - The association between cytomegalovirus (CMV) immune globulin (CMVIG) and long
term clinical outcomes has not been well defined. We examined the association
between CMVIG and long-term recipient and graft survival in liver transplant
recipients. Data were from the Scientific Registry of Transplant Recipients and
included recipients transplanted between January 1995 and October 2008; follow-up
was through March 2009. All recipients<=80 years of age with primary, single
organ liver transplants, given CMVIG with (n=2350) or without antivirals (n=455),
antivirals without CMVIG (n = 32,939), or no CMV prophylaxis (n=28,508) before
discharge were included. Kaplan-Meier analysis was used to examine rates of acute
rejection (AR), graft loss, and death, over 7 years post transplantation. The
adjusted risk of AR, graft loss, and death associated with CMVIG with and without
antivirals vs. no prophylaxis was estimated using the Cox proportional hazards
regression. In the univariate analysis, CMVIG, with and without antivirals, was
associated with increased AR rates, but decreased mortality; CMVIG with
antivirals was also associated with decreased graft loss compared with no
prophylaxis. From the multivariable model, CMVIG with antivirals was associated
with increased risk for AR, but decreased risk for graft loss and death; after
adjustment, the association between CMVIG alone and mortality was not
significant. CMVIG with antivirals is associated with increased risk of AR but
greater long-term patient and graft survival after liver transplantation.
PMID- 21883758
TI - Immune response after rabies vaccine in a kidney transplant recipient.
AB - A 48-year-old male kidney-transplant recipient was bitten by a rabid dog. His
immunosuppressive treatment consisted of cyclosporine 60 mg b.i.d., mycophenolate
mofetil (MMF) 250 mg t.i.d., and prednisone 5 mg. After wound care, he received 5
doses of purified vero cell rabies vaccine on days 0, 3, 7, 14, and 28, and human
rabies immunoglobulin, according to international guidelines. Adequate levels of
rabies virus neutralizing antibodies were observed after the administration of
the third vaccine dose. However, a decrease of antibody titer was detected by day
28. Immunosuppressive medication was minimized, withdrawing MMF and reducing the
dose of cyclosporine. Booster doses of the same vaccine were administered on days
38, 41, 45, 52, and 66. Adequate neutralizing antibody response was recovered
during the ensuing 12 months, under reduced immunosuppression. Nineteen months
after the incident, the patient remains with good graft function and is
asymptomatic for rabies. It remains to be determined whether the attained immune
response was either the result of the booster vaccinations or the reduction of
immunosuppression alone. Nevertheless, such an outcome would have been possible
only with the combined management strategy implemented.
PMID- 21883759
TI - Use of the QuantiFERON-TB Gold interferon-gamma release assay for screening
transplant candidates: a single-center retrospective study.
AB - BACKGROUND: Tuberculosis (TB) reactivation is a rare but significant complication
of organ transplantation, and screening of all transplant candidates for latent
infection is recommended with either an interferon-gamma release assay (IGRA) or
tuberculin skin test (TST). METHODS: After institutional review board approval,
we retrospectively collected data to describe the yield of transplant candidate
screening using the QuantiFERON-TB Gold (QFT) and QuantiFERON-TB Gold In-Tube
(QFT-IT) assays since the institution of TB screening in 2008 and the
epidemiology of all cases of post-transplant TB in our institution since 2004.
RESULTS: A total of 2392 patients were screened with either the QFT or QFT-IT
assay through October 2009; 245 (10.2%) tested positive and 206 (8.6%) were
indeterminate. Of those with positive results, 107 (43.7%) were foreign born and
most of the remainder had prior TB exposures. Of the tests performed at a
reference lab, 29% were indeterminate, whereas 14% were indeterminate using our
in-house lab. The majority of indeterminate results were seen in liver transplant
candidates (40.6% vs. 11.8% in non-liver candidates). Three of 694 (0.43%)
screened patients who underwent transplantation developed TB post transplant.
CONCLUSIONS: Post-transplant TB occurs at a low rate with universal IGRA-based
candidate screening, which is comparable to studies using TST screening.
PMID- 21883760
TI - Pseudomonas aeruginosa endocarditis in renal transplant recipients.
AB - Infective endocarditis (IE) is a serious and life-threatening disease. Transplant
recipients are at increased risk of acquiring serious infections. The most common
organisms causing IE in solid organ transplant recipients are reported to be gram
positive. IE due to Gram-negative organism has rarely been reported. We report 2
cases of renal transplant recipients who met the Duke's criteria for IE due to
Pseudomonas aeruginosa.
PMID- 21883761
TI - Spatial guidance of cell asymmetry: septin GTPases show the way.
AB - Eukaryotic cells develop asymmetric shapes suited for specific physiological
functions. Morphogenesis of polarized domains and structures requires the
amplification of molecular asymmetries by scaffold proteins and regulatory
feedback loops. Small monomeric GTPases signal polarity, but how their downstream
effectors and targets are spatially co-ordinated to break cell symmetry is poorly
understood. Septins comprise a novel family of GTPases that polymerize into non
polar filamentous structures which scaffold and restrict protein localization.
Recent studies show that septins demarcate distinct plasma membrane domains and
cytoskeletal tracks, enabling the formation of intracellular asymmetries. Here,
we review these findings and discuss emerging mechanisms by which septins promote
cell asymmetry in fungi and animals.
PMID- 21883762
TI - Ubiquitination of substrates by esterification.
AB - Post-translational modification by ubiquitination determines intracellular
location and fate of numerous proteins, thus impacting a diverse array of
physiologic functions. Past dogma has been that ubiquitin was only coupled to
substrates by isopeptide bonds to internal lysine residues or less frequently
peptide bonds to the N-terminus. Enigmatically, however, several proteins lacking
lysines had been reported to retain ubiquitin-dependent fates. Resolution of this
paradox was afforded by recent observations that ubiquitination of substrates can
also occur on cysteine or serine and threonine residues by thio- or oxy-ester
bond formation, respectively (collectively called esterification). Although
chemically possible, these bonds were considered too labile to be of
physiological relevance. In this review we discuss recent evidence for the
ubiquitination of protein substrates by esterification and speculate on its
mechanism and its physiological importance.
PMID- 21883763
TI - Aminopeptidase-resistant peptides are targeted to lysosomes and subsequently
degraded.
AB - Most cytoplasmic and nuclear proteins are degraded via the ubiquitin-proteasome
system into peptides, which are subsequently hydrolyzed by downstream
aminopeptidases. Inefficient degradation can lead to accumulation of protein
fragments, and subsequent aggregation and toxicity. Whereas the role of the
proteasome and the effect of its impairment on aggregation have been intensively
studied, little is known about how cells deal with peptides that show resistance
to degradation by aminopeptidases. Here, we introduced peptidase-resistant
peptides into living cells and show that these peptides rapidly and irreversibly
accumulate into puncta in the perinuclear region of the cell. Accumulation
appears to be independent of peptide sequence but is less efficient for longer
peptides. The puncta colocalize with autophagosomal and lysosomal markers,
suggesting that these peptides end up within lysosomes via macroautophagy.
Surprisingly, the peptides still accumulate within lysosomes when macroautophagy
is impaired, suggesting a trafficking route independent of macroautophagy. Upon
lysosomal uptake, peptides are degraded, suggesting that cells can clear
peptidase-resistant proteasomal products by an alternative pathway, which targets
them to lysosomes.
PMID- 21883764
TI - Phagocytosis of IgG-coated polystyrene beads by macrophages induces and requires
high membrane order.
AB - The biochemical composition and biophysical properties of cell membranes are
hypothesized to affect cellular processes such as phagocytosis. Here, we examined
the plasma membranes of murine macrophage cell lines during the early stages of
uptake of immunoglobulin G (IgG)-coated polystyrene particles. We found that the
plasma membrane undergoes rapid actin-independent condensation to form highly
ordered phagosomal membranes, the biophysical hallmark of lipid rafts.
Surprisingly, these membranes are depleted of cholesterol and enriched in
sphingomyelin and ceramide. Inhibition of sphingomyelinase activity impairs
membrane condensation, F-actin accumulation at phagocytic cups and particle
uptake. Switching phagosomal membranes to a cholesterol-rich environment had no
effect on membrane condensation and the rate of phagocytosis. In contrast,
preventing membrane condensation with the oxysterol 7-ketocholesterol, even in
the presence of ceramide, blocked F-actin dissociation from nascent phagosomes
and particle uptake. In conclusion, our results suggest that ordered membranes
function to co-ordinate F-actin remodelling and that the biophysical properties
of phagosomal membranes are essential for phagocytosis.
PMID- 21883765
TI - Hotspots organize clathrin-mediated endocytosis by efficient recruitment and
retention of nucleating resources.
AB - The formation of clathrin-coated pits (CCPs) at the plasma membrane has been
reported to sometimes occur repeatedly at predefined sites. However, defining
such CCP 'hotspots' structurally and mechanistically has been difficult due to
the dynamic and heterogeneous nature of CCPs. Here, we explore the molecular
requirements for hotspots using a global assay of CCP dynamics. Our data
confirmed that a subset of CCPs is nucleated at spatially distinct sites. The
degree of clustering of nucleation events at these sites is dependent on the
integrity of cortical actin, and the availability of certain resources, including
the adaptor protein AP-2 and the phospholipid PI(4,5)P(2) . We observe that
modulation in the expression level of FCHo1 and 2, which have been reported to
initiate CCPs, affects only the number of nucleations. Modulation in the
expression levels of other accessory proteins, such as SNX9, affects the spatial
clustering of CCPs but not the number of nucleations. On the basis of these
findings, we distinguish two classes of accessory proteins in clathrin-mediated
endocytosis (CME): nucleation factors and nucleation organizers. Finally, we
observe that clustering of transferrin receptors spatially randomizes pit
nucleation and thus reduces the role of hotspots. On the basis of these data, we
propose that hotspots are specialized cortical actin patches that organize CCP
nucleations from within the cell by more efficient recruitment and/or retention
of the resources required for CCP nucleation partially due to the action of
nucleation organizers.
PMID- 21883766
TI - Rac1 and calmodulin interactions modulate dynamics of ARF6-dependent endocytosis.
AB - The main cellular Ca(2+) sensor, calmodulin (CaM), interacts with and regulates
several small GTPases, including Rac1. The present study revealed high binding
affinity of Rac1 for CaM and uncovered two new essential binding domains in Rac1:
the polybasic region, important for phosphatidylinositol-4-phosphate 5-kinase
(PIP5K) interaction, and the adjacent prenyl group. CaM inhibition increased Rac1
binding to PIP5K and induced an extensive phosphatidylinositol 4,5-bisphosphate
(PI4,5P(2) )-positive tubular membrane network. Immunofluorescence demonstrated
that the tubules were plasma membrane invaginations resulting from an ADP
ribosylation factor 6 (ARF6)-dependent and clathrin-independent pathway. The role
of Rac1 in this endocytic route was analyzed by expressing constitutively active
and inactive mutants. While active Rac1 impaired tubulation, the inactive mutant
enhanced it. Intriguingly, inactive mutant expression elicited tubulation by
recruiting PIP5K and inhibiting Rac1 at the plasma membrane. Accordingly, CaM
inhibition inactivated Rac1 and increased Rac1/PIP5K interaction. Therefore, our
findings highlight an important new role for Rac1 and CaM in controlling clathrin
independent endocytosis.
PMID- 21883767
TI - Development of the Brief Bedside Dysphagia Screening Test in the Czech Republic.
AB - In patients who are prone to impaired swallowing, dysphagia screening has been
advocated. However, most dysphagia screening tests are lacking gold-standard
validation and nurse screeners. The purpose of this study was to develop a
nursing dysphagia screening test to determine the penetration or aspiration risk
in patients with neurological and ear, nose, and throat conditions. Eighty-seven
Czech patients underwent a bedside assessment by nurse screeners. A comparison of
the results to the gold standard, flexible endoscopic examination of swallowing,
identified eight "important" or "marginal" assessment items, which were combined
into one test, called the Brief Bedside Dysphagia Screening Test: "ability to
clench the teeth"; "symmetry/strength of the tongue"; "symmetry/strength of the
facial muscles"; "symmetry/strength of the shoulder shrug"; "dysarthria"; "thick
liquid: choking"; "thick liquid: dripping from the mouth"; and "thick liquid:
cough". The sensitivity, specificity, and negative predictive value of the Brief
Bedside Dysphagia Screening Test were: 87.1%, 30.4%, and 81%, respectively, in
all patients; 95.2%, 27.5%, and 93.3%, respectively, in patients with
neurological conditions; and 60%, 60%, and 42.9%, respectively, in patients with
ear, nose, and throat conditions. The test is more suitable for patients with
neurological conditions than for more heterogeneous patient populations.
PMID- 21883768
TI - Patients' understandings and feelings of safety during hospitalization in Iran: a
qualitative study.
AB - The purpose of this study was to explore patients' understandings and feelings of
safety during hospitalization. A qualitative design using a thematic analysis
approach was used to gather and analyze the understandings of 19 patients (11
male and 8 female) hospitalized in medical and surgical wards of a teaching
hospital in an urban area of Iran. After employing purposive sampling for the
selection of participants, semistructured interviews were held for data
collection. Three main themes emerged from the data analysis: from attention to
recovery, becoming hopeful of life, and maintaining life routines. The second
theme consisted of two subthemes: not to be forgotten and becoming optimistic
toward health-care settings. Supporting mechanisms designed to improve the
feeling of safety in hospitalized patients should consider the patient as a whole
and emphasize the humanistic aspect of patient care. In addition we conclude that
nurses need to learn to assume a leadership role in patient safety.
PMID- 21883769
TI - Development of the Holistic Nursing Competence Scale.
AB - This study developed a scale to measure the nursing competence of Japanese
registered nurses and to test its psychometric properties. Following the
derivation of scale items and pilot testing, the final version of the scale was
administered to 331 nurses to establish its internal consistency, as well as its
construct and criterion-related validity. Using an exploratory and a confirmatory
factor analysis, 36 items with a five-factor structure were retained to form the
Holistic Nursing Competence Scale. These factors illustrate nurses' general
aptitude and their competencies in staff education and management, ethical
practice, the provision of nursing care, and professional development. The Scale
has a positive correlation with the length of clinical experience. A Cronbach's
alpha coefficient was 0.967. The Scale is a reliable and valid measure, helping
both nurses and organizations to correctly evaluate nurses' competence and
identify their needs for professional development.
PMID- 21883770
TI - Biocompatibility and retinal support of a foldable capsular vitreous body
injected with saline or silicone oil implanted in rabbit eyes.
AB - INTRODUCTION: The aim of this study was to evaluate over a 180-day period the
biocompatibility and retinal support of a foldable capsular vitreous body
injected with either saline or silicone oil implanted in rabbit eyes. METHODS: A
standard three-port pars plana vitrectomy was performed, and foldable capsular
vitreous bodies were implanted into the vitreous cavity of rabbit eyes (n = 18).
Silicone oil tamponade was used as the control group (n = 5). Of the foldable
capsular vitreous body-implanted eyes, either saline (n = 9) or silicone oil (n =
9) was injected into the foldable capsular vitreous body to support the retina.
The treated eyes were examined using a slit lamp with a non-contact slit-lamp
lens, a tonopen, a non-contact specular microscope and a B-scan ultrasound during
the 180-day implantation period. A histological examination was performed at 90
and 180 days. RESULTS: During the 180-day implantation period, no significant
corneal keratopathy or intraocular inflammation was noted, and the intraocular
pressure (IOP) and corneal endothelial numbers remained steady among the three
groups. B-scan ultrasonography showed a smoothly increased echogenicity in front
of the retina in group of foldable capsular vitreous bodies injected with saline.
Gross examination showed that the foldable capsular vitreous bodies injected with
saline or silicone oil smoothly supported the retina. The saline or silicone oil
inside the foldable capsular vitreous body was homogeneous, transparent and
filled the foldable capsular vitreous body. Histological examination showed no
obvious abnormality of the cornea, ciliary body or retina in the foldable
capsular vitreous body-implanted eyes. CONCLUSIONS: These results suggest that
foldable capsular vitreous bodies injected with either saline or silicone oil
showed good biocompatibility and retinal support in rabbit eyes over a 180-day
implantation time.
PMID- 21883771
TI - Differential effect of long versus short wavelength light exposure on pupillary
re-dilation in patients with outer retinal disease.
AB - BACKGROUND: In patients with outer retinal degeneration, a differential pupil
response to long wavelength (red) versus short wavelength (blue) light
stimulation has been previously observed. The goal of this study was to quantify
differences in the pupillary re-dilation following exposure to red versus blue
light in patients with outer retinal disease and compare them with patients with
optic neuropathy and with healthy subjects. DESIGN: Prospective comparative
cohort study. PARTICIPANTS: Twenty-three patients with outer retinal disease, 13
patients with optic neuropathy and 14 normal subjects. METHODS: Subjects were
tested using continuous red and blue light stimulation at three intensities (1,
10 and 100 cd/m2) for 13 s per intensity. Pupillary re-dilation dynamics
following the brightest intensity was analysed and compared between the three
groups. MAIN OUTCOME MEASURES: The parameters of pupil re-dilation used in this
study were: time to recover 90% of baseline size; mean pupil size at early and
late phases of re-dilation; and differential re-dilation time for blue versus red
light. RESULTS: Patients with outer retinal disease showed a pupil that tended to
stay smaller after light termination and thus had a longer time to recovery. The
differential re-dilation time was significantly greater in patients with outer
retinal disease (median = 28.0 s, P < 0.0001) compared with controls and patients
with optic neuropathy. CONCLUSIONS: A differential response of pupil re-dilation
following red versus blue light stimulation is present in patients with outer
retinal disease but is not found in normal eyes or among patients with visual
loss from optic neuropathy.
PMID- 21883772
TI - Does unintentional macular translocation after retinal detachment repair
influence visual outcome?
AB - BACKGROUND: To document the occurrence of postoperative macular translocation
after retinal detachment repair and discuss its influence on visual outcome.
DESIGN: Retrospective case series in a tertiary care setting. PARTICIPANTS: Five
eyes of five patients presenting to our clinic with macula-off rhegmatogenous
retinal detachment. METHODS: All patients underwent surgical repair of the
retinal detachment, with regular postoperative follow-up, including macular
optical coherence tomography and fundus autofluorescence. MAIN OUTCOME MEASURES:
Visual acuity and subjective visual symptoms in patients with anatomically
successful retinal detachment repair, in whom inadvertent macular translocation
was noted. RESULTS: Our series demonstrates the presence of unintentional macular
translocation after retinal detachment repair, detected by fundus
autofluorescence imaging. In contrast to previous reports, we document
inadvertent macular translocation in one patient after scleral buckling surgery.
In each case, the retina was fully reattached postoperatively and no other
complications were identified. There was variability in the symptoms and
objective visual outcomes after surgery. CONCLUSIONS: Inadvertent macular
translocation can occur following repair of macula-off retinal detachment, and
may be a significant contributor to poorer visual outcome after retinal
detachment, despite objective surgical success.
PMID- 21883773
TI - Small interfering RNA targeting for infected-cell polypeptide 4 inhibits herpes
simplex virus type 1 replication in retinal pigment epithelial cells.
AB - BACKGROUND: This study sought to inhibit herpes simplex virus type 1 replication
using small interfering RNA which targeting infected-cell polypeptide 4 genes to
mediate transcription of early and late viral genes in herpes simplex virus type
1 lytic (productive) infection in retina epithelial cells. METHODS: After pre- or
post-infecting with herpes simplex virus type 1, small interfering RNAs were
transfected into retina epithelial cells. The antiviral effects of small
interfering RNA were evaluated by Western blot, plaque assays, indirect
immunofluorescence and reverse transcription polymerase chain reaction. The viral
titre was detected by the 50% tissue culture infective dose method. RESULTS:
Small interfering RNA decreased infected-cell polypeptide 4 expression in retina
epithelial cells that were infected with herpes simplex virus type 1 before or
after small interfering RNA transfection. Compared with herpes simplex virus type
1 infection alone or transfection with negative control small interfering RNA,
the viral titre and the retina epithelial cell cytopathic effect were
significantly decreased in retina epithelial cells transfected with infected-cell
polypeptide 4-targeting small interfering RNA (50 and 100nM) (P<0.05). The small
interfering RNA effectively silenced herpes simplex virus type 1 infected-cell
polypeptide 4 expression on both mRNA and the protein levels (P<0.05). The
inhibition of infected-cell polypeptide 4-targeting small interfering RNA on
infected-cell polypeptide 4 protein expression was also verified by Western blot
in herpes simplex virus type 1 infected human cornea epithelial cell, human
trabecular meshwork cells and Vero cells. CONCLUSIONS: Infected-cell
polypeptide 4-targeting small interfering RNA can inhibit herpes simplex virus
type 1 replication in retina epithelial cells, providing a foundation for
development of RNA interference as an antiviral therapy.
PMID- 21883774
TI - Comparison of intraocular pressure measurement between rebound, non-contact and
Goldmann applanation tonometry in treated glaucoma patients.
AB - BACKGROUND: To compare the intraocular pressure readings obtained with the iCare
rebound tonometer and the 7CR non-contact tonometer with those measured by
Goldmann applanation tonometry in treated glaucoma patients. DESIGN: A
prospective, cross-sectional study was conducted in a private tertiary glaucoma
clinic. PARTICIPANTS OR SAMPLES: One hundred nine (54 males : 55 females)
patients including only eyes under medical treatment for glaucoma. METHODS:
Measurement by Goldmann applanation tonometry, iCare rebound tonometry and 7CR
non-contact tonometry. MAIN OUTCOME MEASURES: Intraocular pressure. RESULTS:
There were strong correlations between the intraocular pressure measurements
obtained with Goldmann and both the rebound and non-contact tonometers (Spearman
r-values >= 0.79, P < 0.001). However, there were small, statistically
significant differences between the average readings for each tonometer. For the
rebound tonometer, the mean intraocular pressure was slightly higher compared
with the Goldmann applanation tonometer in the right eyes (P = 0.02), and similar
in the left eyes (P = 0.93); however, these differences did not reach statistical
significance. The Goldmann correlated measurements from the non-contact tonometer
were lower than the average Goldmann reading for both right (P < 0.001) and left
(P > 0.01) eyes. The corneal compensated measurements from the non-contact
tonometer were significantly higher compared with the other tonometers (P <=
0.001). CONCLUSIONS: The iCare rebound tonometer and the 7CR non-contact
tonometer measure intraocular pressure in fundamentally different ways to the
Goldmann applanation tonometer. The resulting intraocular pressure values vary
between the instruments and will need to be considered when comparing clinical
versus home acquired measurements.
PMID- 21883775
TI - Prospective analysis of visual outcomes using apodized, diffractive multifocal
intraocular lenses following phacoemulsification for cataract or clear lens
extraction.
AB - BACKGROUND: To evaluate efficacy, safety and predictability of apodized,
diffractive multifocal intraocular lenses. DESIGN: Prospective, observational
study. PARTICIPANTS OR SAMPLE: Two hundred three patients (363 eyes) underwent
surgery for cataract (54.8%) or clear lens extraction (45.2%). METHODS: A study
of consecutive patients undergoing lens extraction with insertion of the AcrySof
Natural ReSTOR intraocular lens (SN60D3). Assessment included: refraction,
corneal topography, biometry, monocular and binocular uncorrected and best
spectacle corrected distance and near visual acuity. MAIN OUTCOME MEASURES:
Visual acuity, spectacle dependence. RESULTS: Mean preoperative best corrected
visual acuity was 6/12 (cataract) and 6/6 (clear lens extraction). One hundred
sixty-one (98.2%) of the clear lens extraction group were hyperopic and
presbyopic (mean spherical equivalent +4.25+/-3.5D). Postoperatively uncorrected
visual acuity was >=6/12 in 96.5% of eyes (51.9% >=6/6) and >= N5 in 95% of eyes.
At 6 months, 182 eyes (91.4%) (cataract) and 137 eyes (83.5%) (clear lens
extraction) were <=0.50 D of target spherical equivalent. Spectacle independence
was reported in 81.9% (n=168). Minor halos or other visual phenomena were
reported in 10.2%. No subjects required intraocular lens exchange. Safety index
at 6 months was 2.5 and 1.17 for cataract and clear lens extraction,
respectively. CONCLUSIONS: The apodized, diffractive multifocal intraocular lens
predictably provided excellent near uncorrected visual acuity and good distance
uncorrected visual acuity following cataract and clear lens extraction surgery
with a high rate of spectacle independence. A minority of subjects note halos and
other visual phenomena that may be related to intraocular lens design.
PMID- 21883776
TI - Prevalence of trachoma in a single community, 1975-2007.
AB - BACKGROUND: To determine the change in the prevalence in active trachoma in
children in a remote Aboriginal community over a 32-year period. DESIGN: Data
used from two cross-sectional studies repeated in the same community 32 years
apart. PARTICIPANTS OR SAMPLES: Children aged 5-13 years living in the community.
METHODS: Thirty-five mm photographs of the everted upper lid taken in 1975 and
digital photographs taken in 2007 were graded using a fine trachoma-grading
scheme. MAIN OUTCOME MEASURE: The age-specific prevalence and severity of
trachoma was compared at the two time points. RESULTS: Images were available from
82 children in 1975 and from 92 children in 2007. The overall prevalence of
active trachoma (trachomatous inflammation follicular and or trachomatous
inflammation intense) was 59% in 1975 and in 2007 was significantly lower at 23%
(P<0.001). The overall severity grades of active trachoma had also decreased
significantly for each sign from 1975 to 2007 (all P values from the rank-sum
test were less than 0.001). However, in 2007, there were still some children with
severe active trachoma and severe scarring still occurred. CONCLUSION: Although
the prevalence and severity of active trachoma in children have decreased
significantly over the last 30 years in this community, trachoma still remains a
significant public health problem. One third of the children have active
trachoma, a figure in excess of the threshold set as a public health problem by
the World Health Organization.
PMID- 21883777
TI - Tight orbit syndrome resulting from large globe with high myopia: intractable
glaucoma treated by orbital decompression.
PMID- 21883778
TI - Referral of diabetic macular oedema by Australian optometrists: comment.
PMID- 21883779
TI - Perioperative management of the hypothalamic-pituitary-adrenal axis in patients
with pituitary adenomas: an Australasian survey.
AB - BACKGROUND: There is limited consensus regarding optimal glucocorticoid
administration for pituitary surgery to prevent a potential adrenal crisis. AIM:
To assess the investigation and management of the hypothalamic-pituitary-adrenal
(HPA) axis in patients undergoing trans-sphenoidal hypophysectomy in Australasia.
METHODS: A questionnaire was sent to one endocrinologist at each of 18 centres
performing pituitary surgery in Australasia. Using hypothetical case vignettes,
respondents were asked to describe their investigation and management of the HPA
axis for a patient with a: non-functioning macroadenoma and intact HPA axis, non
functioning macroadenoma and HPA deficiency and growth hormone secreting
microadenoma undergoing trans-sphenoidal hypophysectomy. RESULTS: Responses were
received from all 18 centres. Seventeen centres assess the HPA axis
preoperatively by measuring early morning cortisol or a short synacthen test.
Preoperative evaluation of the HPA status influenced glucocorticoid prescription
by 10 centres, including 2/18 who would not prescribe perioperative
glucocorticoids for a patient with a macroadenoma and an intact HPA axis. Tumour
size influenced glucocorticoid prescribing patterns at 7/18 centres who prescribe
a lower dose or no glucocorticoids for a patient with a microadenoma. Choice of
investigations for definitive postoperative assessment of the HPA axis varied
with eight centres requesting an insulin tolerance test, four centres a 250 ug
short synacthen test and six centres requesting other tests. CONCLUSIONS: There
is wide variability in the investigation and management of perioperative
glucocorticoid requirements for patients undergoing pituitary surgery in
Australasia. This may reflect limited evidence to define optimal management and
that further well-designed studies are needed.
PMID- 21883780
TI - Patterns of inflammatory activation associated with precipitants of acute
coronary syndromes: a case-crossover study.
AB - AIMS: We sought to assess a broad array of possible precipitants of acute
coronary syndromes (ACS) and evaluate their association with detectable
inflammatory activation. METHODS AND RESULTS: Within a case-crossover design,
using a standardised questionnaire, interviews among 348 ST-elevation myocardial
infarction (44%) or high-risk non-ST-elevation ACS patients (56%), explored
potential precipitants, including: infection (INF)-temperature >38 degrees C
and/or respiratory tract, urinary or skin infection; inflammation (INFL)
exacerbation of inflammatory conditions; exercise (EX)-moderate to heavy
exercise; fast food (FF)-consumption of a meal purchased from a fast food
company. Risk and control periods were: weekly over 8 weeks for INF and INFL; 24
hourly over 4 days for FF and 4 hourly over 48 h for EX. C-reactive protein (CRP)
levels were assessed at admission. These precipitants were identified in 203/348
(58.3%) patients. An increased temporal risk was observed for: INF (0-7 days vs 7
8 weeks odds ratio (OR): 7.5, confidence interval (CI): 1.7-67.6, P = 0.002);
INFL (0-7 days vs 7-8 weeks OR: 14.0, CI: 2.13-591.9, P = 0.001); EX (0-4 h vs 24
28 h OR: 2.2, CI: 1.3-3.5, P = 0.001) and FF (0-24 h vs 72-96 h OR: 5.67, CI: 1.6
30.2, P = 0.003). CRP levels were significantly elevated among patients reporting
infective and inflammatory potential precipitants, but not among those reporting
fast food consumption and unaccustomed moderate-heavy exercise. CONCLUSION:
Infection, inflammatory conditions, moderate-heavy exercise and potentially fast
food consumption appear to precipitate high-risk ACS. Increased inflammation as
measured by CRP was not consistently detected despite the identification of an
ACS precipitant. Strategies that target improved overall health may also lead to
fewer ACS events through a reduction in triggers.
PMID- 21883781
TI - Angiotensin-converting enzyme gene insertion/deletion polymorphism and essential
hypertension in the Chinese population: a meta-analysis including 21,058
participants.
AB - BACKGROUND: The angiotensin-converting enzyme (ACE) gene insertion/deletion (I/D)
polymorphism has been suggested to influence susceptibility to essential
hypertension (EH), but the results of many individual studies are conflicting.
AIM AND METHODS: To explore the relationship between the ACE gene I/D
polymorphism and EH in the Chinese population, 67 separated studies were analyzed
in a meta-analysis including 21,058 participants. The electronic databases
PubMed, Embase, Web of Science, China Biological Medicine Database and China
National Knowledge Infrastructure were searched. The pooled odds ratio (ORs) and
its corresponding 95% confidence interval were calculated by the random effects
model. RESULTS: In this ACE I/D gene polymorphism meta-analysis, the distribution
of the D allele frequency was 0.45 for the EH group and 0.40 for the control
group. The summary OR for the distribution frequency of D allele was 1.27 (5%
confidence interval 1.17-1.37). The heterogeneity among the 67 studies was also
significant (P < 0.00001, I(2) = 71.4%). There was a significant association
between distribution frequency of the D allele and EH risk in Han, Kazakh,
Tibetan, Zhuang and unclassified nationalities (P < 0.05). In contrast, in the
national minorities, such as Mongolian, Uigur, Yi, Dongxiang, Yugu, Korean and
Gamel, the association between distribution frequency of the D allele and EH risk
was not significant (P > 0.05). CONCLUSIONS: In the whole Chinese population, the
D allele was significantly linked with EH susceptibility. However, the relation
between the I/D polymorphism and EH is still inconclusive in some national
minorities and must await larger scale studies.
PMID- 21883782
TI - Preliminary analysis of the cost-effectiveness of the National Bowel Cancer
Screening Program: demonstrating the potential value of comprehensive real world
data.
AB - BACKGROUND/AIM: The complexity and cost of treating cancer patients is escalating
rapidly and increasingly difficult decisions are being made regarding which
interventions provide value for money. BioGrid Australia supports collection and
analysis of comprehensive treatment and outcome data across multiple sites. Here,
we use preliminary data regarding the National Bowel Cancer Screening Program
(NBCSP) and stage-specific treatment costs for colorectal cancer (CRC) to
demonstrate the potential value of real world data for cost-effectiveness
analyses (CEA). METHODS: Data regarding the impact of NBCSP on stage at diagnosis
were combined with stage-specific CRC treatment costs and existing literature. An
incremental CEA was undertaken from a government healthcare perspective,
comparing NBCSP with no screening. The 2008 invited population (n= 681,915) was
modelled in both scenarios. Effectiveness was expressed as CRC-related life years
saved (LYS). Costs and benefits were discounted at 3% per annum. RESULTS: Over
the lifetime and relative to no screening, NBCSP was predicted to save 1265 life
years, prevent 225 CRC cases and cost an additional $48.3 million, equivalent to
a cost-effectiveness ratio of $38,217 per LYS. A scenario analysis assuming full
participation improved this to $23,395. CONCLUSIONS: This preliminary CEA based
largely on contemporary real world data suggests population-based faecal occult
blood test screening for CRC is attractive. Planned ongoing data collection will
enable repeated analyses over time, using the same methodology in the same
patient populations, permitting an accurate analysis of the impact of new
therapies and changing practice. Similar CEA using real world data related to
other disease types and interventions appears desirable.
PMID- 21883783
TI - General practitioners' knowledge of and attitudes to inflammatory bowel disease.
AB - BACKGROUND: Inflammatory bowel disease (IBD) is a chronic disease requiring long
term management. General practitioners (GPs) are often the first point of contact
for initial symptoms and flares. Thus we assessed GPs' attitudes to and knowledge
of IBD. METHODS: A state-wide postal survey of GPs was performed collecting
demographic details, practice and attitudes in IBD-specific management and
knowledge. RESULTS: Of 1800 GPs surveyed in South Australia, 409 responded; 58%
were male, 80% Australian trained and 73% practised in metropolitan areas. Most
GPs (92%) reported seeing zero to five IBD patients per month. Overall, 37% of
the GPs reported being generally 'uncomfortable' with IBD management.
Specifically, they were only somewhat comfortable in providing/using maintenance
therapy, steroid therapy or unspecified therapy for an acute flare. They were
uncomfortable with the use of immunomodulators and biologicals (71 and 91%
respectively). No GP reported never referring, referring sometimes (12%), often
(34%) or always (55%). Most (87%) GPs rated their communication with private
specialists positively; while only 32% were satisfied with support from public
hospitals. Of concern, most (70%) monitored patients on immunosuppression on a
case-by-case basis rather than by protocol. In multivariable analyses, GPs' IBD
specific knowledge did not influence comfort with overall management, nor did
knowledge influence GP comfort with any particular therapy. CONCLUSION:
Individual GPs care for few IBD patients and have variable attitudes in their
practice. Whether improvement can realistically be achieved given individual GP's
paucity of patients is questionable. These data support the provision of better
support and specific action plans for IBD patients.
PMID- 21883784
TI - Homozygous FCGR3A-158V alleles predispose to late onset neutropenia after CHOP-R
for diffuse large B-cell lymphoma.
AB - BACKGROUND: Recent reports suggest genetic polymorphisms influence susceptibility
to rituximab-induced late-onset neutropenia (LON), which in turn may be a
predictor of good outcome in B-cell lymphoma. AIMS: We report the largest study
to date assessing FCGR3A-V158F polymorphisms in diffuse large B-cell lymphoma
(DLBCL) treated with cyclophosphamide/hydroxydaunorubicin/Oncovin
(vincristine)/prednisone/rituximab (CHOP-R). The influence of C1qA-A276G
polymorphisms in DLBCL, and the impact of both polymorphisms on susceptibility to
LON and outcome were also examined. METHODS: 115 DLBCL patients treated with CHOP
R were compared with 105 healthy White people controls with regards to FCGR3A
V158F and C1qA-A276G polymorphisms. LON incidence and event-free and overall
survival (EFS and OS) were analysed for linkage to either polymorphism. RESULTS:
The FCGR3A-V158F but not the C1qA-A276G polymorphism influenced the risk of
developing LON. 50% of FCGR3A-158V/V patients experienced LON. In contrast, only
7% V/F and 2% F/F experienced LON. The FCGR3A-158V/V genotype was associated with
LON compared with V/F (P = 0.028) and F/F genotypes (P = 0.005). Although no
patients with either LON or FCGR3A-158V homozygosity relapsed compared with 33%
FCGR3A-158F/F and 21% non-LON, this did not translate into improved EFS or OS.
CONCLUSIONS: Polymorphic analysis may be a predictive tool to identify those at
high risk of LON. Prospective studies are required to establish definitively if
LON or FCGR3A-158V/V genotype influences outcome.
PMID- 21883785
TI - Encouraging results in older patients receiving chemotherapy: a retrospective
analysis of treatment guideline adherence in daily practice.
AB - OBJECTIVE: A retrospective study was performed to determine whether patients over
60 years old who received chemotherapy were treated according to the existing
treatment guidelines and to investigate the reasons for dose reductions or
treatment delay. MATERIAL AND METHODS: Three hundred and seven patients aged over
60 years old and diagnosed with colon, breast or lung cancer between 1998 and
2008 who were treated with chemotherapy in the Radboud University Medical Center
were included. From the medical records we recorded the number of and the reasons
for dose reductions and delays. We calculated the relative dose intensity (RDI)
received. RESULTS: RDI did not decrease significantly with age. However patients
over 65 years of age had a higher probability of receiving a suboptimal dose
intensity, even when treated with curative intent. There was no correlation
between toxicity and age, however the comorbidity score increased with age. The
average received RDI was higher in patients diagnosed more recently. CONCLUSION:
Despite increased comorbidity, older patients receiving chemotherapy were
generally treated according to protocol without high incidence of severe
toxicity. We saw improvement of RDI over the time period investigated. The
participation of geriatricians in multidisciplinary oncology teams could help to
optimize therapy decisions for patients with comorbidity.
PMID- 21883786
TI - Associations of muscle stiffness and thickness with muscle strength and muscle
power in elderly women.
AB - AIM: The aims of this study were to investigate the influence of age on muscle
stiffness and to examine the relationships among muscle stiffness, muscle
thickness, subcutaneous fat thickness, muscle strength and muscle power in
elderly women. METHODS: The subjects were 16 young (mean age 20.3 years) and 34
elderly (mean age 84.2 years) women. Muscle stiffness of the right quadriceps
femoris muscle was measured at rest and during a maximal voluntary isometric
muscle contraction using a myotonometer, a computerized, electronic tissue
compliance meter. Thicknesses of the rectus femoris and the vastus intermedius
muscles and the overlying subcutaneous fat were measured using ultrasound.
Quadriceps strength and the chair stand test were used to represent muscle
strength and muscle power, respectively. RESULTS: There were significant
differences in muscle stiffness between rest and contraction conditions among the
young but not the elderly women. Muscle stiffness during contraction, the rate of
change in muscle stiffness during contraction, and muscle thickness were
significantly greater in young than in elderly subjects. Pearson correlation
coefficient analyses showed that muscle stiffness was significantly associated
with muscle power, but not with muscle strength. CONCLUSION: This study suggests
that the increase in muscle stiffness during voluntary muscle contraction is
limited in elderly women compared with young women, and that muscle stiffness may
be related to muscle power rather than muscle strength in elderly persons.
PMID- 21883787
TI - Arabinoxylans and inulin differentially modulate the mucosal and luminal gut
microbiota and mucin-degradation in humanized rats.
AB - The endogenous gut microbiota affects the host in many ways. Prebiotics should
favour beneficial intestinal microbes and thus improve host health. In this
study, we investigated how a novel class of potential prebiotic long-chain
arabinoxylans (LC-AX) and the well-established prebiotic inulin (IN) modulate the
gut microbiota of humanized rats. Six weeks after axenic rats were inoculated
with a human faecal microbiota, their colonic microbiota was similar to this
inoculum (~ 70%), whereas their caecal microbiota was enriched with
Verrucomicrobia and Firmicutes concomitant with lower abundance of Bacteroidetes.
Moreover, different Bifidobacterium species colonized the lumen (B. adolescentis)
and mucus (B. longum and B. bifidum). Both LC-AX and IN increased SCFA levels and
induced a shift from acetate towards health-promoting propionate and butyrate
respectively. By applying a high-resolution phylogenetic micro-array (HITChip) at
the site of fermentation (caecum), IN and LC-AX were shown to stimulate bacterial
groups with known butyrate-producers (Roseburia intestinalis, Eubacterium
rectale, Anaerostipes caccae) and bifidobacteria (B. longum) respectively.
Prebiotic administration also resulted in lower caecal abundances of the mucin
degrading Akkermansia muciniphila and potentially more mucin production by the
host. Both factors might explain the increased caecal mucin levels for LC-AX
(threefold) and IN (sixfold). These mucins were degraded along the colon,
resulting in high faecal abundances of Akkermansia muciniphila for LC-AX and
especially IN-treated rats. Finally, the microbial changes caused an adaptation
period for the host with less weight gain, after which the host fine-tuned the
interaction with this altered microbiota. Our results demonstrate that next to
IN, LC-AX are promising prebiotic compounds by stimulating production of health
promoting metabolites by specific microbes in the proximal regions. Further,
prebiotic supplementation shifted mucin degradation to distal regions, where
mucin-degraders may produce beneficial metabolites (e.g. propionate by
Akkermansia muciniphila), so that prebiotics may potentially improve gut health
along the entire length of the intestine.
PMID- 21883788
TI - Comparison of biosurfactant detection methods reveals hydrophobic surfactants and
contact-regulated production.
AB - Biosurfactants are diverse molecules with numerous biological functions and
industrial applications. A variety of environments were examined for
biosurfactant-producing bacteria including soil, water and leaf surfaces.
Biosurfactant production was assessed with an atomized oil assay for a large
number of bacterial isolates and compared with a commonly used drop collapse
assay from broth and plate cultures. The atomized oil assay detected every strain
that produced a biosurfactant detectable by the drop collapse test, and also
identified additional strains that were not detected with the drop collapse assay
because they produced low levels of surfactant or hydrophobic (low water
solubility) surfactants such as pumilacidins. Not all strains that produced a
biosurfactant detectable by the drop collapse when cultured on agar surfaces
produced surfactants detectable by drop collapse when cultured in broth, and vice
versa. Many bacterial strains exhibited preferential production of surfactants
when grown on an agar surface compared with broth cultures, and such surface
enhancement of production could also be stimulated by increasing the viscosity of
liquid culture media. Surface induction of surfactant production in the epiphyte
Pseudomonas syringae was regulated at the transcriptional level.
PMID- 21883789
TI - Assimilation of acetate by the putative atmospheric methane oxidizers belonging
to the USCalpha clade.
AB - Forest soils are a major biological sink for atmospheric methane, yet the
identity and physiology of the microorganisms responsible for this process remain
unclear. Although members of the upland soil cluster alpha (USCalpha) are assumed
to represent methanotrophic bacteria adapted to the oxidation of the trace level
of methane in the atmosphere and to be an important sink of this greenhouse gas,
so far they have resisted isolation. In particular, the question of whether the
atmospheric methane oxidizers are able to obtain all their energy and carbon
solely from atmospheric methane still waits to be answered. In this study, we
performed stable-isotope probing (SIP) of RNA and DNA to investigate the
assimilation of (13) C-methane and (13) C-acetate by USCalpha in an acidic forest
soil. RNA-SIP showed that pmoA mRNA of USCalpha was not labelled by (13) C of
supplemented (13) C methane, although catalysed reporter deposition -
fluorescence in situ hybridization (CARD-FISH) targeting pmoA mRNA of USCalpha
detected its expression in the incubated soil. In contrast, incorporation of (13)
C-acetate into USCalphapmoA mRNA was observed. USCalphapmoA genes were not
labelled, indicating that they had not grown during the incubation. Our results
indicate that the contribution of alternative carbon sources, such as acetate, to
the metabolism of the putative atmospheric methane oxidizers in upland forest
soils might be substantial.
PMID- 21883790
TI - Engineering multiple genomic deletions in Gram-negative bacteria: analysis of the
multi-resistant antibiotic profile of Pseudomonas putida KT2440.
AB - The genome of the soil bacterium Pseudomonas putida strain KT2440 has been erased
of various determinants of resistance to antibiotics encoded in its extant
chromosome. To this end, we employed a coherent genetic platform that allowed the
precise deletion of multiple genomic segments in a large variety of Gram-negative
bacteria including (but not limited to) P. putida. The method is based on the
obligatory recombination between free-ended homologous DNA sequences that are
released as linear fragments generated upon the cleavage of the chromosome with
unique I-SceI sites, added to the segment of interest by the vector system.
Despite the potential for a SOS response brought about by the appearance of
double stranded DNA breaks during the process, fluctuation experiments revealed
that the procedure did not increase mutation rates - perhaps due to the
protection exerted by I-SceI bound to the otherwise naked DNA termini. With this
tool in hand we made sequential deletions of genes mexC, mexE, ttgA and ampC in
the genome of the target bacterium, orthologues of which are known to determine
various degrees of antibiotic resistance in diverse microorganisms. Inspection of
the corresponding phenotypes demonstrated that the efflux pump encoded by ttgA
sufficed to endow P. putida with a high-level of tolerance to beta-lactams,
chloramphenicol and quinolones, but had little effect on, e.g. aminoglycosides.
Analysis of the mutants revealed also a considerable diversity in the
manifestation of the resistance phenotype within the population and suggested a
degree of synergism between different pumps. The directed edition of the P.
putida chromosome shown here not only enhances the amenability of this bacterium
to deep genomic engineering, but also validates the corresponding approach for
similar handlings of a large variety of Gram-negative microorganisms.
PMID- 21883791
TI - Iron transporters in marine prokaryotic genomes and metagenomes.
AB - In the pelagic environment, iron is a scarce but essential micronutrient. The
iron acquisition capabilities of selected marine bacteria have been investigated,
but the recent proliferation of marine prokaryotic genomes and metagenomes offers
a more comprehensive picture of microbial iron uptake pathways in the ocean.
Searching these data sets, we were able to identify uptake mechanisms for Fe(3+),
Fe(2+) and iron chelates (e.g. siderophore and haem iron complexes). Transport of
iron chelates is accomplished by TonB-dependent transporters (TBDTs). After
clustering the TBDTs from marine prokaryotic genomes, we identified TBDT clusters
for the transport of hydroxamate and catecholate siderophore iron complexes and
haem using gene neighbourhood analysis and co-clustering of TBDTs of known
function. The genomes also contained two classes of siderophore biosynthesis
genes: NRPS (non-ribosomal peptide synthase) genes and NIS (NRPS Independent
Siderophore) genes. The most common iron transporters, in both the genomes and
metagenomes, were Fe(3+) ABC transporters. Iron uptake-related TBDTs and
siderophore biosynthesis genes were less common in pelagic marine metagenomes
relative to the genomic data set, in part because Pelagibacter ubique and
Prochlorococcus species, which almost entirely lacked these Fe uptake systems,
dominate the metagenomes. Our results are largely consistent with current
knowledge of iron speciation in the ocean, but suggest that in certain niches the
ability to acquire siderophores and/or haem iron chelates is beneficial.
PMID- 21883792
TI - Distribution and growth of aerobic anoxygenic phototrophs in the Mediterranean
Sea.
AB - The distribution of aerobic anoxygenic phototrophs (AAPs) was surveyed in various
regions of the Mediterranean Sea in spring and summer. These phototrophic
bacteria were present within the euphotic layer at all sampled stations. The AAP
abundances increased with increasing trophic status ranging from 2.5 * 10(3)
cells per ml in oligotrophic Eastern Mediterranean up to 90 * 10(3) cells per ml
in the Bay of Villefranche. Aerobic anoxygenic phototrophs made up on average 1
4% of total prokaryotes in low nutrient areas, whereas in coastal and more
productive stations these organisms represented 3-11% of total prokaryotes. Diel
bacteriochlorophyll a decay measurements showed that AAP community in the Western
Mediterranean grew rapidly, at rates from 1.13 to 1.42 day(-1). The lower AAP
abundances registered in the most oligotrophic waters suggest that they are
relatively poor competitors under nutrient limiting conditions. Instead, AAPs
appear to be metabolically active organisms, which thrive better in more
eutrophic environments providing the necessary substrates to maintain high growth
rates.
PMID- 21883793
TI - Prevalence of season-specific Escherichia coli strains in the Yeongsan River
Basin of South Korea.
AB - Seasonal and spatial variation in the genotypic richness of 3480 Escherichia coli
isolates obtained from the Yeongsan River basin in South Korea was investigated
by using the horizontal fluorophore-enhanced rep-PCR (HFERP) DNA fingerprinting
technique. The relationship between 60 E. coli isolates from each of 58
freshwater samples was determined by using multidimensional scaling (MDS)
analysis and self-organized maps (SOMs). The MDS analysis, done based on HFERP
DNA fingerprints, showed that E. coli isolates obtained in October through
December clustered tightly, while those obtained in other sampling periods were
more genetically diverse. However, site-specific E. coli genotypes were not
observed. SOMs analysis, done using the 10 most frequently isolated E. coli
genotypes, showed the occurrence of season-specific E. coli genotypes and the
main SOMs clusters were most influenced by temperature, strain diversity and
biochemical oxygen demand. Diversity among E. coli genotypes tended to decrease
as water temperature decreased, and the numbers of E. coli genotypes observed in
urban area were greater, more diverse and less dependent on water temperature
than those obtained from agricultural areas. Taken together, our findings
indicate that that an ecological approach needs to be considered in order to
obtain a better understanding of E. coli community dynamics in the environment
and that SOMs analysis is useful to visualize the multidimensional dependent
variables that are influencing the types and dynamics of specific E. coli
genotypes in the environment.
PMID- 21883794
TI - Identification and analysis of the gene cluster involved in biosynthesis of
paenibactin, a catecholate siderophore produced by Paenibacillus elgii B69.
AB - Bacteria belonging to the genus Paenibacillus are recognized as rich sources of
bioactive natural products. To date, there are few characterized siderophores
from this genus. Here, through genome analysis, we identified a non-ribosomal
peptide biosynthetic gene cluster (pae) responsible for siderophore assembly in
Paenibacillus elgii B69. The 12.8 kb gene cluster comprises six open reading
frames encoding proteins similar to the components of the bacillibactin
biosynthetic machinery and bacillibactin esterase. To examine the product of the
pae gene cluster, we cultured P. elgii B69 in iron-deficient medium for
siderophore expression. A novel siderophore structurally similar to
bacillibactin, designated paenibactin, was purified and characterized. Its
structure was determined as a cyclic trimeric lactone of 2,3-dihydroxybenzoyl
alanine-threonine. The involvement of the pae gene cluster in paenibactin
biosynthesis was confirmed by the biochemical assay of adenylation domain
specificity. Furthermore, we demonstrated that the pae gene cluster evolves from
an ancestral bacillibactin biosynthetic gene cluster via sequence and
phylogenetic analyses. The structural difference between paenibactin and
bacillibactin may stem from a mutation-induced change in the adenylation domain
specificity. Based on these findings and published models for bacillibactin, we
proposed models for paenibactin biosynthesis, ferric-paenibactin uptake and
paenibactin-bounded iron release.
PMID- 21883795
TI - Resistance, resilience and recovery: aquatic bacterial dynamics after water
column disturbance.
AB - For lake microbes, water column mixing acts as a disturbance because it
homogenizes thermal and chemical gradients known to define the distributions of
microbial taxa. Our first objective was to isolate hypothesized drivers of lake
bacterial response to water column mixing. To accomplish this, we designed an
enclosure experiment with three treatments to independently test key
biogeochemical changes induced by mixing: oxygen addition to the hypolimnion,
nutrient addition to the epilimnion, and full water column mixing. We used
molecular fingerprinting to observe bacterial community dynamics in the treatment
and control enclosures, and in ambient lake water. We found that oxygen and
nutrient amendments simulated the physical-chemical water column environment
following mixing and resulted in similar bacterial communities to the mixing
treatment, affirming that these were important drivers of community change. These
results demonstrate that specific environmental changes can replicate broad
disturbance effects on microbial communities. Our second objective was to
characterize bacterial community stability by quantifying community resistance,
recovery and resilience to an episodic disturbance. The communities in the
nutrient and oxygen amendments changed quickly (had low resistance), but
generally matched the control composition by the 10th day after treatment,
exhibiting resilience. These results imply that aquatic bacterial assemblages are
generally stable in the face of disturbance.
PMID- 21883797
TI - Differential decay of human faecal Bacteroides in marine and freshwater.
AB - Genetic markers from Bacteroides and other faecal bacteria are being tested for
inclusion in regulations to quantify aquatic faecal contamination and estimate
public health risk. For the method to be used quantitatively across environments,
persistence and decay of markers must be understood. We measured concentrations
of contaminant molecular markers targeting Enterococcus and Bacteroides spp. in
marine and freshwater microcosms spiked with human sewage and exposed to either
sunlight or dark treatments. We used Bayesian statistics with a delayed Chick
Watson model to estimate kinetic parameters for target decay. DNA- and RNA-based
targets decayed at approximately the same rate. Molecular markers persisted
(could be detected) longer in marine water. Sunlight increased the decay rates of
cultured indicators more than those of molecular markers; sunlight also limited
persistence of molecular markers. Within each treatment, Bacteroides markers had
similar decay profiles, but some Bacteroides markers significantly differed in
decay rates. The role of extracellular DNA in persistence appeared unimportant in
the microcosms. Because conditions were controlled, microcosms allowed the
effects of specific environmental variables on marker persistence and decay to be
measured. While marker decay profiles in more complex environments would be
expected to vary from those observed here, the differences we measured suggest
that water matrix is an important factor affecting quantitative source tracking
and microbial risk assessment applications.
PMID- 21883798
TI - Colonization of cucumber seeds by bacteria during germination.
AB - Detailed analysis revealed fundamental differences between bacterial association
with cucumber (Cucumis sativus) seeds and seedlings roots. Seed colonization by
bacteria seems to result from passive encounter between bacteria, conveyed by
imbibed soil solution, and the germinating seed. In accordance, the seed
associated bacterial community composition directly reflected that of the
germination medium and was characterized by low dominance. Transition from seed
to root was marked by a shift in bacterial community composition and in an
increase in dominance values. Furthermore, settlement of bacteria on roots was
tightly controlled by the specific properties of each root segment. Size and
richness of the seed-associated bacterial community were clearly determinate by
the community in the germination medium. In contrast, for fully developed and
active roots, the medium effect on these parameters was negligible. Perturbation
of the seed environment by a pathogen (Pythium aphanidermatum) had major
consequences on the seed bacterial community. However, those were mostly related
to direct pathogen-bacteria rather than seed-bacteria interactions. In
conclusion, simple, even passive processes may determine the initial stage of
plant-microbe association during seed germination, prior to extension of the
primary root. Therefore, seed germination is a unique phase in the plant life
cycle, with respect to its interaction with the below-ground microbiome.
PMID- 21883799
TI - Microbial rhodopsins on leaf surfaces of terrestrial plants.
AB - The above-ground surfaces of terrestrial plants, the phyllosphere, comprise the
main interface between the terrestrial biosphere and solar radiation. It is
estimated to host up to 10(26) microbial cells that may intercept part of the
photon flux impinging on the leaves. Based on 454-pyrosequencing-generated
metagenome data, we report on the existence of diverse microbial rhodopsins in
five distinct phyllospheres from tamarisk (Tamarix nilotica), soybean (Glycine
max), Arabidopsis (Arabidopsis thaliana), clover (Trifolium repens) and rice
(Oryza sativa). Our findings, for the first time describing microbial rhodopsins
from non-aquatic habitats, point towards the potential coexistence of microbial
rhodopsin-based phototrophy and plant chlorophyll-based photosynthesis, with the
different pigments absorbing non-overlapping fractions of the light spectrum.
PMID- 21883800
TI - New roles for bacterial siderophores in metal transport and tolerance.
AB - Siderophores are chelators with extremely strong affinity for ferric iron and are
best known for their capacity to feed microorganisms with this metal. Despite
their preference for iron, they can also chelate numerous other metals with
variable affinities. There is also increasing evidence that metals other than
iron can activate the production of siderophores by bacteria, thereby implicating
siderophores in the homeostasis of metals other than iron and especially heavy
metal tolerance. This article considers this new concept that siderophores play a
role in protecting bacteria against metal toxicity and discusses the possible
contribution of these chelators to the transport of biological relevant metals in
addition to iron.
PMID- 21883796
TI - Responses of soil cellulolytic fungal communities to elevated atmospheric CO2 are
complex and variable across five ecosystems.
AB - Elevated atmospheric CO(2) generally increases plant productivity and
subsequently increases the availability of cellulose in soil to microbial
decomposers. As key cellulose degraders, soil fungi are likely to be one of the
most impacted and responsive microbial groups to elevated atmospheric CO(2). To
investigate the impacts of ecosystem type and elevated atmospheric CO(2) on
cellulolytic fungal communities, we sequenced 10,677 cbhI gene fragments encoding
the catalytic subunit of cellobiohydrolase I, across five distinct terrestrial
ecosystem experiments after a decade of exposure to elevated CO(2). The cbhI
composition of each ecosystem was distinct, as supported by weighted Unifrac
analyses (all P-values; < 0.001), with few operational taxonomic units (OTUs)
being shared across ecosystems. Using a 114-member cbhI sequence database
compiled from known fungi, less than 1% of the environmental sequences could be
classified at the family level indicating that cellulolytic fungi in situ are
likely dominated by novel fungi or known fungi that are not yet recognized as
cellulose degraders. Shifts in fungal cbhI composition and richness that were
correlated with elevated CO(2) exposure varied across the ecosystems. In aspen
plantation and desert creosote bush soils, cbhI gene richness was significantly
higher after exposure to elevated CO(2) (550 umol mol(-1)) than under ambient
CO(2) (360 umol mol(-1) CO(2)). In contrast, while the richness was not altered,
the relative abundance of dominant OTUs in desert soil crusts was significantly
shifted. This suggests that responses are complex, vary across different
ecosystems and, in at least one case, are OTU-specific. Collectively, our results
document the complexity of cellulolytic fungal communities in multiple
terrestrial ecosystems and the variability of their responses to long-term
exposure to elevated atmospheric CO(2).
PMID- 21883801
TI - The haem-uptake gene cluster in Vibrio fischeri is regulated by Fur and
contributes to symbiotic colonization.
AB - Although it is accepted that bacteria-colonizing host tissues are commonly faced
with iron-limiting conditions and that pathogenic bacteria often utilize iron
from host-derived haem-based compounds, the mechanisms of iron acquisition by
beneficial symbiotic bacteria are less clear. The bacterium Vibrio fischeri
mutualistically colonizes the light organ of the squid Euprymna scolopes. Genome
sequence analysis of V. fischeri revealed a putative haem-uptake gene cluster,
and through mutant analysis we confirmed this cluster is important for haemin use
by V. fischeri in culture. LacZ reporter assays demonstrated Fur-dependent
transcriptional regulation of cluster promoter activity in culture. GFP-based
reporter assays revealed that gene cluster promoter activity is induced in
symbiotic V. fischeri as early as 14 h post inoculation, although colonization
assays with the haem uptake mutant suggested an inability to uptake haem does not
begin to limit colonization until later stages of the symbiosis. Our data
indicate that the squid light organ is a low iron environment and that haem-based
sources of iron are used by symbiotic V. fischeri cells. These findings provide
important additional information on the availability of iron during symbiotic
colonization of E. scolopes by V. fischeri, as well as the role of haem uptake in
non-pathogenic host-microbe interactions.
PMID- 21883802
TI - Biologically threatened dolphins and whales.
AB - Among the several threats to which free-ranging cetaceans are exposed, a number
of biological noxae are believed to represent a serious hazard to their health
and conservation on a global scale, with special emphasis on the Mediterranean
Sea. These pathogens include viral agents such as Morbillivirus, which during the
last 25 years have caused dramatic epidemics and die-offs among several aquatic
mammal species and populations worldwide, as well as Herpesvirus, protozoan
agents such as Toxoplasma gondii and bacterial pathogens such as Brucella spp.
PMID- 21883804
TI - Intranasal administration of insulin to the brain impacts cognitive function and
peripheral metabolism.
AB - In recent years, the central nervous system (CNS) has emerged as a principal site
of insulin action. This notion is supported by studies in animals relying on
intracerebroventricular insulin infusion and by experiments in humans that make
use of the intranasal pathway of insulin administration to the brain. Employing
neurobehavioural and metabolic measurements as well as functional imaging
techniques, these studies have provided insight into a broad range of central and
peripheral effects of brain insulin. The present review focuses on CNS effects of
insulin administered via the intranasal route on cognition, in particular memory
function, and whole-body energy homeostasis including glucose metabolism.
Furthermore, evidence is reviewed that suggests a pathophysiological role of
impaired brain insulin signaling in obesity and type 2 diabetes, which are
hallmarked by peripheral and possibly central nervous insulin resistance, as well
as in conditions such as Alzheimer's disease where CNS insulin resistance might
contribute to cognitive dysfunction.
PMID- 21883803
TI - Elevated AIM2-mediated pyroptosis triggered by hypercytotoxic Francisella mutant
strains is attributed to increased intracellular bacteriolysis.
AB - Intracellular bacterial pathogens Francisella novicida and the Live Vaccine
Strain (LVS) are recognized in the macrophage cytosol by the AIM2 inflammasome,
which leads to the activation of caspase-1 and the processing and secretion of
active IL-1beta, IL-18 and pyroptosis. Previous studies have reported that F.
novicida and LVS mutants in specific genes (e.g. FTT0584, mviN and ripA) induce
elevated inflammasome activation and hypercytotoxicity in host cells, leading to
the proposal that F. novicida and LVS may have proteins that actively modulate
inflammasome activation. However, there has been no direct evidence of such
inflammasome evasion mechanisms. Here, we demonstrate for the first time that the
above mutants, along with a wide range of F. novicida hypercytotoxic mutants that
are deficient for membrane-associated proteins (DeltaFTT0584, DeltamviN,
DeltaripA, DeltafopA and DeltaFTN1217) or deficient for genes involved in O
antigen or LPS biosynthesis (DeltawbtA and DeltalpxH) lyse more intracellularly,
thus activating increased levels of AIM2-dependent pyroptosis and other innate
immune signalling pathways. This suggests that an inflammasome-specific evasion
mechanism may not be present in F. novicida and LVS. Furthermore, future studies
may need to consider increased bacterial lysis as a possible cause of elevated
stimulation of multiple innate immune pathways when the protein composition or
surface carbohydrates of the bacterial membrane is altered.
PMID- 21883805
TI - Do antidiabetic medications play a specific role in differentiated thyroid cancer
compared to other cancer types?
AB - The risk for differentiated thyroid cancer, like for many other types of cancer,
is increased in obese individuals and people with intermediate hyperglycaemia.
The incidence of all cancers, with the exception of thyroid cancer, is also
increased in type 2 diabetes mellitus patients. The review compares the
prevalence of thyroid carcinoma and other cancers in obese, people with
intermediate hyperglycaemia and patients with diabetes and summarizes mode of
action and anti-tumourigenic effect of common antidiabetic medications. The over
expression of dipeptidyl peptidase IV in the tumours, not seen in the other
cancer types, is suggested as a potential reason for the unique situation in
thyroid cancer.
PMID- 21883806
TI - Achieving a clinically relevant composite outcome of an HbA1c of <7% without
weight gain or hypoglycaemia in type 2 diabetes: a meta-analysis of the
liraglutide clinical trial programme.
AB - AIM: Effective type 2 diabetes management requires a multifactorial approach
extending beyond glycaemic control. Clinical practice guidelines suggest targets
for HbA1c, blood pressure and lipids, and emphasize weight reduction and avoiding
hypoglycaemia. The phase 3 clinical trial programme for liraglutide, a human
glucagon-like peptide 1 analogue, showed significant improvements in HbA1c and
weight with a low risk of hypoglycaemia compared to other diabetes therapies. In
this context, we performed a meta-analysis of data from these trials evaluating
the proportion of patients achieving a clinically relevant composite measure of
diabetes control consisting of an HbA1c <7% without weight gain or hypoglycaemia.
METHODS: A prespecified meta-analysis was performed on 26-week patient-level data
from seven trials (N = 4625) evaluating liraglutide with commonly used therapies
for type 2 diabetes: glimepiride, rosiglitazone, glargine, exenatide, sitagliptin
or placebo, adjusting for baseline HbA1c and weight, for a composite outcome of
HbA1c <7.0%, no weight gain and no hypoglycaemic events. RESULTS: At 26 weeks,
40% of the liraglutide 1.8 mg group, 32% of the liraglutide 1.2 mg group and 6
25% of comparators (6% rosiglitazone, 8% glimepiride, 15% glargine, 25%
exenatide, 11% sitagliptin, 8% placebo) achieved this composite outcome. Odds
ratios favoured liraglutide 1.8 mg by 2.0- to 10.5-fold over comparators.
CONCLUSIONS: As assessed by the composite outcome of HbA1c <7%, no hypoglycaemia
and no weight gain, liraglutide was clearly superior to the other commonly used
therapies. However, the long-term clinical impact of this observation remains to
be shown.
PMID- 21883807
TI - Your patient information website: how good is it?
AB - AIM: The study was designed to evaluate the accessibility, reliability and
readability of information on familial adenomatous polyposis (FAP) on the Web.
METHOD: We searched for the keywords 'familial adenomatous polyposis' using the
three most popular search engines (GoogleTM YahooTM, MSNTM) and looked at the
first 50 websites. The LIDA tool (an online validation instrument for healthcare
websites) was used to assess their accessibility, usability and reliability. The
readability of each document was assessed using the Flesch Reading Ease (FRE)
score. We also checked whether each site was certified by the Health on the Net
Foundation Code of Conduct (HONcode)--the oldest and most trustworthy code for
medical and health-related information available on the Internet. RESULTS: Of the
150 possible sites, only 48 were analysed because of repetitions (52), irrelevant
content (21) or inaccessible links (29). Nineteen were HONcode-certified. The
mean LIDA and FRE scores for all websites were 62.59% (SD=10) and 32.9 (SD=16)
respectively. HONcode-certified websites have slightly higher reliability scores
than HONcode-uncertified websites (38.5%vs 36.2%). CONCLUSION: Good quality
information on patients with FAP is difficult to obtain on the Internet. The
websites analysed have alarmingly low reliability scores. The readability of
their content is poor and they often do not appear among the top search results.
There is a need to develop a clear, easily accessible and authoritative resource
for patients with FAP.
PMID- 21883808
TI - Distal intestinal obstruction syndrome in an adult with cystic fibrosis.
PMID- 21883809
TI - Life threatening haemorrhage after electrode removal: a severe complication
following sacral nerve stimulation procedure for the treatment of faecal
incontinence.
PMID- 21883810
TI - Dome-type colorectal carcinoma: a case report and review of the literature.
PMID- 21883811
TI - Effect of the laxative magnesium oxide on gastrointestinal functional recovery in
fast-track colonic resection: a double-blind, placebo-controlled randomized
study.
AB - AIM: A double-blind randomized controlled study was conducted to compare the
effect of magnesium oxide (1 g 12-h) with placebo given within an evidence-based
multimodal rehabilitation programme on gastrointestinal recovery, pain,
mobilization and hospital stay after open colonic resection. METHOD: Of 62
potentially eligible patients, 13 were excluded, leaving 22 in the magnesium
oxide group and 27 in the placebo group. The main outcome measure was time to
normalization of bowel function. Secondary outcome measures included
postoperative nausea, vomiting, pain, fatigue, mobilization and length of
postoperative hospital stay. RESULTS: The median times to first flatus and
defaecation in the laxative and placebo groups were 18.0 vs 14.0 h and 42 vs 50 h
(P > 0.15). Early intake of liquids, protein drinks and solid food, nausea and
vomiting, pain, fatigue and mobilization were similar in the groups (P > 0.3).
The median postoperative hospital stay was 3 days in both groups (P > 0.65).
CONCLUSION: Magnesium oxide does not enhance the recovery of gastrointestinal
function within the context of an evidence-based multimodal rehabilitation
programme after open colonic surgery.
PMID- 21883812
TI - Rectal irrigation: a useful tool in the armamentarium for functional bowel
disorders.
AB - AIM: The efficacy of rectal irrigation (RI) was assessed in patients with various
functional bowel disorders. METHOD: A prospective analysis was carried out of
patients presenting to our functional bowel clinic from 2005 to 2009. The
Cleveland Clinic Constipation and Incontinence Scores were used to assess
outcomes following rectal irrigation. Patients were asked if they were satisfied
with RI and would recommend it to a friend. RESULTS: Ninety-one patients (80
female, median age 51 (17-78) years had undergone rectal irrigation for the
following indications: chronic constipation (n = 32), slow transit constipation
(n = 18), obstructed defaecation (n = 10), and faecal incontinence (n = 31). Of
the 60 patients with constipation, 50 (83%) were available for follow up. Mean
constipation scores improved from 18.72 to 11.45 following rectal irrigation (P =
0.001). Twenty-five patients experienced failure of RI to control symptoms, 10 of
whom were offered surgery. Of the patients with incontinence, 20 (67%) were
available for follow up. Mean incontinence scores improved from 16.2 to 10.8 with
rectal irrigation (P = 0.005). Twelve patients discontinued RI, the commonest
reason being lack of improvement in symptoms. Seven of these patients were
offered surgery. The only complication was in one patient with constipation who
had minor rectal bleeding following irrigation, which was stopped. CONCLUSION:
Rectal irrigation can be a useful tool in the management of functional bowel
disorders and should be tried prior to the consideration of any surgery. However,
further work is needed to define the precise indications and patient selection
criteria.
PMID- 21883813
TI - Risk factors for surgical site infection after elective resection for rectal
cancer. A multivariate analysis on 2131 patients.
AB - AIM: Surgical site infection (SSI) is the most common cause of morbidity after
colorectal surgery. The aim of this study was to analyze risk factors for SSI in
patients who had undergone surgery for rectal cancer. METHOD: A multicentre
observational study was carried out on 2131 patients operated on for rectal
cancer between May 2006 and May 2009. Twenty-nine centres were involved. SSI
included wound infection and organ space infection within 30 days after the
operation. Univariate and multivariate analyses were carried out to study
possible risk factors for SSI. RESULTS: Wound infection and organ space infection
were diagnosed in 8.9% and 10%, respectively, of patients. The anastomotic
leakage rate was 8%. Multivariate analysis showed that wound infection was
related to tumour stage, a converted laparoscopic procedure and open surgery.
Organ space infection was related to Stage IV tumour, a tumour < 11 cm from the
anal verge, low anterior resection and Hartmann's procedure. CONCLUSION: Rectal
surgery for malignant disease is associated with a considerable rate of SSI.
Wound infection and organ space infection are related to different factors and
therefore should be evaluated separately.
PMID- 21883814
TI - Functional results and patient satisfaction with sacral nerve stimulation for
idiopathic faecal incontinence.
AB - AIM: Sacral nerve stimulation (SNS) is an established treatment for faecal
incontinence (FI) when conservative modalities have failed to restore continence.
This two-centre study aimed to document functional outcome and its relationship
to patient satisfaction. METHOD: Patients treated with SNS for idiopathic FI in
Aarhus (Denmark) and Maastricht (The Netherlands) were included. At the most
recent follow-up appointment, 127 patients (80%) were identified as receiving
active SNS therapy. A questionnaire considering bowel habits, quality of life,
and self-reported satisfaction and a 3-week bowel-habit diary were mailed to
these patients, and 85% responded. RESULTS: Patient satisfaction was clearly
related to the number of FI episodes. Patients experiencing full continence were
all satisfied, and although the satisfaction rate decreased as the number of FI
episodes increased, 46% of the patients with more FI episodes at follow-up than
at baseline were still satisfied. Per-protocol analysis resulted in a
satisfaction rate of 57.3%. In total, 74.7% of the patients receiving active SNS
therapy reported a reduction of >= 50% in FI episodes, 10.3% of whom were
dissatisfied after a median of 46 months of follow-up. CONCLUSION: There is a
clear relationship between patient satisfaction and improved continence. A total
of 57.3% of the patients offered SNS therapy were satisfied at follow-up.
However, 46% of the patients with more FI episodes at follow-up than at baseline
were also satisfied. Therefore, functional outcome of SNS therapy cannot be based
only on bowel-habit diaries and bowel scores.
PMID- 21883815
TI - Demonstration of erectile management techniques to men scheduled for radical
prostatectomy reduces long-term regret: a comparative cohort study.
AB - OBJECTIVE: To determine whether preoperative demonstrations of intracavernosal
and vacuum therapies for erectile dysfunction (ED) influence the decision of
treatment choice, reducing long-term regret. PATIENTS AND METHODS: In all, 82
consecutive men with localized prostate cancer, scheduled for radical
prostatectomy and reporting an International Index of Erectile Function score of
>21, were prospectively enrolled at a single cancer centre. Following standard
preoperative counselling, half of the men were invited to attend a further
consultation for intracavernosal and vacuum therapy demonstrations. All patients
were evaluated pretreatment and then 3 monthly using the five-point International
Index of Erectile Function score and the 14-item Hospital Anxiety and Depression
scale. At 12 months treatment choice changes were recorded and patients were
assessed for treatment choice regret using Clark's validated two-item regret
questionnaire. Statistical analysis was performed using the Mann-Whitney and
Fisher's exact tests. Results were compared with a control population of 41 men
who did not undergo additional ED counselling. RESULTS: In all, 8/41 men (19%)
changed their treatment choice, opting for brachytherapy rather than radical
prostatectomy. Only 1/41 in the control population changed their decision before
surgery. At 1 year, one patient (2%) in the intervention group expressed regret
at his treatment choice (radical prostatectomy) compared with eight (20%) in the
control group (P= 0.03, two-sided Fisher's exact test); ED was identified as the
major cause of this regret. CONCLUSION: Preoperative demonstrations of ED
therapies can optimize decision making in prostate cancer and help reduce long
term regret.
PMID- 21883817
TI - The burden of bladder cancer in men and women: analysis of the years of life
lost.
AB - OBJECTIVE: To estimate the average loss in life expectancy (LE) due to bladder
cancer (BC) in men and women in the USA. PATIENTS AND METHODS: Cancer records for
51,528 patients diagnosed with BC during 1988-1997 were obtained from the
Surveillance, Epidemiology, and End Results database. Potential follow-up ranged
from 10 to 20 years (median 14 years). Loss in median LE at BC diagnosis was
computed as the difference between expected median survival and observed median
survival. Expected survival was calculated using two methods: method 1 used age,
sex, and race-specific LE in the general population, method 2 used the hazard of
death from non-BC causes in patients with BC (to account for past exposures and
treatment-related toxicities not present in the general population). RESULTS:
During the study period, BC death occurred in 17% of men and 23% of women and non
BC death occurred in 53% of men and 47% of women. Using LE in the general
population as the reference (method 1), loss in median LE at BC diagnosis was 3.9
years for men (33% of their potential remaining years of life) and 6.5 years for
women (47% of their potential remaining years of life). Using non-BC-specific
hazard as the reference (method 2), loss in median LE was 2.7 years for men (26%
of their potential remaining years of life) and 4.1 years for women (36% of their
potential remaining years of life). CONCLUSION: Compared with men, women loose
more years of life and a greater fraction of their life expectancy to BC.
PMID- 21883816
TI - Robot-assisted partial nephrectomy for sporadic ipsilateral multifocal renal
tumours.
AB - OBJECTIVE: To report our short-term results of robot-assisted partial nephrectomy
for treating sporadic multiple ipsilateral renal tumours. METHODS: Over a 3-year
period, eight patients with two or more ipsilateral renal masses underwent nine
robotic partial nephrectomies in our institution. We evaluated the PADUA and
R.E.N.A.L. nephrometry scores, intraoperative outcomes, histopathological
characteristics, complications according to Clavien classification and renal
function outcomes. RESULTS: In total, 19 tumours were removed from eight patients
in nine procedures. Mean operative time was 199 +/- 47 min (median 200; range 150
300). Mean size of the dominant lesion was 3.0 +/- 1.1 cm (2.7; 1.6-4.8) and
overall mean tumour size was 2.2 +/- 1.2 cm (1.9; 0.4-4.8). Mean number of
tumours removed per patient was 2.4. Median PADUA and R.E.N.A.L. scores were 7
and 6 (with the predominance of an anterior, non-hilar position), respectively.
Excluding the six off-clamp resected tumours, the mean warm ischaemia time was 21
+/- 9.2 min (21; 10-35). Mean estimated blood loss was 250 +/- 154 mL (200; 100
500) and no patient required transfusion. There were no intraoperative
complications or conversion to open surgery. One patient had atrial fibrillation,
resolved with anti-arrhythmic drugs. Mean length of stay was 4.2 +/- 0.97 days.
Sixteen of the nineteen tumours were malignant, most of papillary type and
Fuhrman grade II. The mean decrease in glomerular filtration rate was 4%, with a
mean follow-up of 14 months. CONCLUSIONS: Robotic partial nephrectomy for
sporadic ipsilateral multifocal renal tumours is feasible and safe. Off-clamp
resection of multiple tumours can also be safely performed in carefully selected
lesions.
PMID- 21883818
TI - The role of a standardized 36 core template-assisted transperineal prostate
biopsy technique in patients with previously negative transrectal ultrasonography
guided prostate biopsies.
AB - OBJECTIVE: To determine the efficacy and safety of a standardized 36 core
template-assisted transperineal biopsy technique for detecting prostate cancer in
patients with previously negative transrectal ultrasonography-guided prostate
biopsies and elevated prostate-specific antigen (PSA) levels. PATIENTS AND
METHODS: Between April 2008 to September 2010, a total of 40 patients with a mean
(range) age of 63 (49-73) years, a mean (range) elevated PSA level of 21.9 (4.7
87) ng/mL and two previous sets of negative TRUS-guided prostate biopsies
underwent standardized 36 core template-assisted transperineal prostate biopsies
under general anaesthetic as a day case procedure. The cancer detection rate and
complications for all cases were evaluated. RESULTS: In total, 27 of 40 (68%)
patients were found to have adenocarcinoma of the prostate, two patients (5.0%)
had atypical small acinar proliferation, one had high-grade prostatic
intraepithelial neoplasia (2.5%), four (10%) had chronic active inflammation and
six (15%) had benign histology. Gleason scores were in the range 6-9, with a
median Gleason score of 7. There were no cases of urosepsis, urinary tract
infections or haematuria. A single patient experienced acute urinary retention,
with a subsequent succesful trial without a catheter, and haematospermia was
common, although minor. CONCLUSIONS: Our standardized 36 core template-assisted
transperineal prostate biopsy technique is safe and associated with a high
detection rate of prostate cancer. This technique should be considered in
patients with elevated PSA levels and previously negative TRUS-guided prostate
biopsies.
PMID- 21883819
TI - Time trends in prostate cancer surgery: data from an Internet-based multicentre
database.
AB - OBJECTIVES: To report our experience with an Internet-based multicentre database
that enables tumour documentation, as well as the collection of quality-related
parameters and follow-up data, in surgically treated patients with prostate
cancer. The system was used to assess the quality of prostate cancer surgery and
to analyze possible time-dependent trends in the quality of care. PATIENTS AND
METHODS: An Internet-based database system enabled a standardized collection of
treatment data and clinical findings from the participating urological centres
for the years 2005-2009. An analysis was performed aiming to evaluate relevant
patient characteristics (age, pathological tumour stage, preoperative
International Index of Erectile Function-5 score), intra-operative parameters
(operating time, percentage of nerve-sparing operations, complication rate,
transfusion rate, number of resected lymph nodes) and postoperative parameters
(hospitalization time, re-operation rate, catheter indwelling time). Mean values
were calculated and compared for each annual cohort from 2005 to 2008. The
overall survival rate was also calculated for a subgroup of the Berlin patients.
RESULTS: A total of 914, 1120, 1434 and 1750 patients submitted to radical
prostatectomy in 2005, 2006, 2007 and 2008 were documented in the database. The
mean age at the time of surgery remained constant (66 years) during the study
period. More than half the patients already had erectile dysfunction before
surgery (median International Index of Erectile Function-5 score of 19-20).
During the observation period, there was a decrease in the percentage of pT2
tumours (1% in 2005; 64% in 2008) and a slight increase in the percentage of
patients with lymph node metastases (8% in 2005; 10% in 2008). No time trend was
found for the operating time (142-155 min) or the percentage of nerve-sparing
operations (72-78% in patients without erectile dysfunction). A decreasing
frequency was observed for the parameters: blood transfusions (1.9% in 2005; 0.5%
in 2008), postoperative bleeding (2.6%; 1.2%) and re-operations (4.5%; 2.8%). The
mean hospitalization time decreased accordingly (10 days in 2005; 8 days in
2008). The examined subcohort had an overall mortality of 1.5% (median follow-up
of 3 years). CONCLUSIONS: An Internet-based database system for tumour
documentation in patients with prostate cancer enables the collection and
assessment of important parameters for the quality of care and outcomes. The
participating centres show an improvement in the quality of surgical management,
including a reduction of the complication rate.
PMID- 21883820
TI - Long-term results of a randomized trial comparing holmium laser enucleation of
the prostate and transurethral resection of the prostate: results at 7 years.
AB - OBJECTIVE: To assess the durability of holmium laser enucleation of prostate in
comparison to transurethral resection of the prostate (TURP). PATIENTS AND
METHODS: Patients were enrolled in the present study between June 1997 and
December 2000 and followed per protocol. All patients were urodynamically
obstructed with a prostate volume of between 40 and 200 mL. At long-term follow
up, variables assessed included Benign Prostatic Hyperplasia Impact Index
(BPHII), International Continence Society Short Form Male questionnaire (ICSmale
SF) and the International Index of Erectile Function (IIEF). Adverse events,
including the need for retreatment, were specifically assessed. RESULTS: Thirty
one (14 holmium laser enucleation of the prostate [HoLEP] and 17 TURP) of the
initial 61 patients were available, with 12 deceased and 18 lost to follow-up.
The mean (range) follow-up was 7.6 (5.9-10.0) years and the mean (+/-sd) age at
follow-up was 79.8 (+/-6.2) years. The mean (+/-sd) values (HoLEP vs TURP) were
as follows: maximum urinary flow rate (Q(max)), 22.09 +/- 15.47 vs 17.83 +/- 8.61
mL/s; American Urological Association (AUA) symptom score, 8.0 +/- 5.2 vs 10.3 +/
7.42; quality of life (QOL) score 1.47 +/- 1.31 vs 1.31 +/- 0.85; BPHII, 1.53 +/
2.9 vs 0.58 +/- 0.79; IIEF-EF (erectile function), 11.6 +/- 7.46 vs 9.21 +/-
7.17; ICSmale Voiding Score (VS), 4.2 +/- 3.76 vs 3.0 +/- 2.41; ICSmale
Incontinence Score (IS), 3.07 +/- 3.3 vs 1.17 +/- 1.4. There were no significant
differences in any variable between the two groups beyond the first year. Of the
assessable patients, none required re-operation for recurrent BPH in the HoLEP
arm and three (of 17) required re-operation in the TURP arm . CONCLUSION: The
results of this randomized trial confirm that HoLEP is at least equivalent to
TURP in the long term with fewer re-operations being necessary.
PMID- 21883821
TI - Clinical elicitation of the penilo-cavernosus reflex in circumcised men.
AB - OBJECTIVE: * To test clinical observations that the penilo-cavernosus reflex is
much more difficult to elicit in circumcised men. PATIENTS AND METHODS: * Men
consecutively referred for uro-neurological or uro-neurophysiological examination
were prospectively included. * Those with possible sacral neuropathic lesions
were excluded. * A history was obtained, and a clinical neurological examination
was performed. * The penilo-cavernosus reflex was tested clinically and
neurophysiologically using electrical and mechanical stimulation. * Reflex
elicitability scores in groups of circumcised men, men with foreskin retraction
and a control group of uncircumcised men were compared using the Mann-Whitney U
test. RESULTS: * The reflex was clinically non-elicitable in 73%, 64% and 8% of
30 circumcised men, 15 men with foreskin retraction, and 29 control men,
respectively. * The scored reflex elicitability was significantly (P < 0.001)
higher in control men than in the other two groups clinically, but not
neurophysiologically. CONCLUSION: * The study confirmed the lower clinical and
similar neurophysiological elicitability of the penilo-cavernosus reflex in
circumcised men and in men with foreskin retraction. This finding needs to be
taken into account by urologists and other clinicians in daily clinical practice.
PMID- 21883822
TI - The relationship between Prostate CAncer gene 3 (PCA3) and prostate cancer
significance.
AB - OBJECTIVE: To evaluate the relationship between Prostate CAncer gene 3 (PCA3) and
prostate cancer significance. PATIENTS AND METHODS: Clinical data from two multi
centre European open-label, prospective studies evaluating the clinical utility
of the PCA3 assay in guiding initial and repeat biopsy decisions were analysed.
First-catch urine was collected after digital rectal examination (three strokes
per lobe) and the PCA3 score was determined using the PROGENSA((r)) PCA3 assay.
Transrectal ultrasound-guided biopsy (>=8 cores) and radical prostatectomy (RP)
specimens were analysed by the local pathologist. The relationship between biopsy
and RP outcomes with the PCA3 score was assessed. RESULTS: Of the 1009 men
enrolled, 348 (34%) had a positive biopsy. The median and mean PCA3 scores were
statistically significantly lower in men with biopsy Gleason score <7 vs >=7,
with clinical stage T1c vs T2a-T2c, T3a cancers, with <=33% vs >33% positive
biopsy cores and with 'biopsy indolent' vs 'biopsy significant' prostate cancer
(indolent prostate cancer defined by biopsy Epstein criteria). In all, 175 men
with a positive biopsy had a RP: median and mean PCA3 scores were statistically
significantly lower in men with pathological Gleason score <7 vs >=7, and with
pathological stage T2a-T2c vs T3a-T3b cancers. CONCLUSIONS: The PCA3 score may
combined with traditional tools aid in identifying men with clinically
insignificant prostate cancer, as shown by biopsy and RP pathological features
including biopsy Epstein criteria, who could be candidates for active
surveillance. Treatment selection should be based on a combination of clinical
and pathological variables. If one wants to use a threshold point to guide
treatment decisions in clinical practice, a PCA3 score threshold of 20 may have
the highest utility for selecting men with clinically insignificant prostate
cancer in whom active surveillance may be appropriate; a PCA3 score threshold of
50 may be used to identify men at high risk of harbouring significant prostate
cancer who are candidates for RP. Although the association between the PCA3 score
and prostate cancer aggressiveness needs further evaluation, the inclusion of the
PCA3 score into patient management strategies may provide clinicians with another
tool to more accurately determine the course of treatment.
PMID- 21883824
TI - In the era of micro-dissection sperm retrieval (m-TESE) is an isolated testicular
biopsy necessary in the management of men with non-obstructive azoospermia?
AB - OBJECTIVES: To assess the outcome of sperm retrieval using micro-dissection-TESE
(m-TESE) and simultaneous diagnostic biopsy in NOA to determine if the final
definitive histology correlated with the outcome of sperm retrieval by m-TESE in
men with NOA. To determine if there was a correlation between FSH levels and
positive sperm retrieval rates and assessed the success rate of m-TESE as either
a primary or a salvage procedure after previous negative sperm retrieval. The EAU
guidelines (2010) recommend that in men with non obstructive azoospermia 'a
testicular biopsy is the best procedure to define the histological diagnosis and
the possibility of finding sperm'. However, these guidelines do not identify
which patients should have a diagnostic biopsy and if this biopsy should be
performed as an isolated procedure or synchronously with sperm retrieval. It is
also suggested that there is a correlation between the histological diagnosis and
possibility of finding sperm on testis biopsy. PATIENTS AND METHODS: 100 men with
NOA underwent a m-TESE sperm retrieval between 2005 and 2010 at a single centre.
All patients underwent hormonal analysis (serum FSH, Testosterone and LH levels)
and genetic analyses after full counselling including; Y-deletion, CF-gene
analysis and karyotype. Thirty five men had previously undergone unsuccessful
TESA/TESE or diagnostic biopsy at other centres. All patients underwent
synchronous sperm retrieval and biopsy of the testis, which was sent for
histopathological examination on the day of an ICSI cycle or as an isolated
procedure. RESULTS: Mean age of patients was 37.25 (range 29-56 years). The mean
serum FSH levels in the Sertoli cell only, maturation arrest and
hypospermatogenesis groups were 21.3 IU/L (2.8-75), 16.18 (1.6-67) and 14.17 IU/L
(0.8-42.3) respectively. SR rates in the respective groups were 42.85%, 26.6% and
75.86% (P= 0.023). There were no post-operative complications. In the 35 men who
had previously undergone unsuccessful procedures elsewhere, the SR rates were
57.1%. The overall sperm retrieval rate was 50%. There was no correlation between
SR and FSH levels (P= 0.28). CONCLUSION: M-TESE should be considered the gold
standard for retrieval of testicular sperm in NOA, even in cases where there has
been previously unsuccessful attempts. FSH levels and histology cannot be used to
predict the success of sperm retrieval. An isolated diagnostic testicular biopsy
is not recommended in men with NOA, as a significant proportion of men undergoing
m-TESE will have successful a sperm retrieval irrespective of previous histology
or previous unsuccessful surgery.
PMID- 21883823
TI - Pelvic floor disorders: linking genetic risk factors to biochemical changes.
AB - Pelvic floor disorders (PFDs) such as stress urinary incontinence (SUI) and
pelvic organ prolapse (POP) may share a common pathophysiological process related
to pelvic floor tissue laxity and loss of support. We reviewed recent literature
on observed biochemical changes in women with SUI and POP, linking them to
genetic predisposition. We found that studies of pelvic tissues showed
differences between control subjects and women with POP and SUI in collagen and
elastin structure at a molecular and fibrillar level. Studies were heterogeneous
but showed a trend towards decreased collagen and elastin content. The
contribution of matrix metalloproteinases to increased collagenolysis can be
related to genetic polymorphisms present in higher frequency in women with PFD.
Extracellular matrix (ECM) protein turnover plays a role in the development of
POP and SUI, but much remains to be understood of this complex dynamic interplay
of enzymes, proteins and molecules. Genotyping of candidate genes participating
in ECM formation will elucidate the missing link between the manifestation of the
disease and the biochemical changes observed systematically, in addition to those
in the pelvic floor.
PMID- 21883826
TI - Prostatic intraepithelial neoplasia: its morphological and molecular diagnosis
and clinical significance.
AB - The aim of the present paper was to review the morphological spectrum of
prostatic intraepithelial neoplasia (PIN), its relationship to carcinoma of the
prostate (PCa) and its clinical significance. We reviewed the literature on
premalignant lesions of the prostate, with an emphasis on high grade prostatic
intraepithelial neoplasia (HGPIN). HGPIN is the most likely precursor of PCa,
according to almost all available evidence. HGPIN is characterized by cellular
proliferations within pre-existing ducts and acini, with nuclear and nucleolar
enlargement similar to PCa. The clinical importance of recognizing HGPIN is based
on its association with PCa. In recent years, a significant decline from 36% to
22% in the predictive value of cancer after an initial diagnosis of HGPIN. A
major factor contributing to the decreased incidence of cancer after a diagnosis
of HGPIN on needle biopsy in the contemporary era is related to increased needle
biopsy core sampling, which detects many associated cancers on initial biopsy.
Some recent studies have suggested that molecular findings associated with HGPIN
might be able to predict which men are more likely to have cancer on re-biopsy.
PMID- 21883825
TI - Vitamin D(3) cryosensitization increases prostate cancer susceptibility to
cryoablation via mitochondrial-mediated apoptosis and necrosis.
AB - OBJECTIVES: To investigate the effect and molecular mechanisms of action of
Vitamin D(3) (VD(3) ) as a neo-adjunctive agent before cryosurgery in an effort
to increase treatment efficacy for prostate cancer (CaP). To eliminate the
potential for disease recurrence that exists at the periphery of the freeze
lesion, where temperatures may be insufficient to destroy both androgen-sensitive
(AS) and androgen-insensitive (AI) CaP. METHODS: Human CaP cells, LNCaP, were
each genetically altered to express the AS and AI phenotypes and subjected to
VD(3) treatment and freezing in an in vitro and tissue-engineered model. Cell
viability, caspase inhibitor and western blot studies were used to determine the
basis of the different responses of AI and AS cells to VD(3) cryosensitization.
RESULTS: VD(3) was found to be a highly effective cryosensitizer, resulting in a
>50% overall increase in cell death after -15 degrees C freezing. Fluorescence
microscopy, western blot analysis and caspase protease assays confirmed that the
increased activation of apoptosis was modulated through a mitochondrial-mediated
pathway. Caspase inhibition studies showed that apoptosis played an integral role
in cell death, with VD(3) cryosensitivation-induced apoptotic events responsible
for >30% of the overall cell death after -15 degrees C freezing. CONCLUSIONS:
The present study suggests that the use of VD(3) as a cryosensitizer increases
cryoablation efficacy through the increased activity of apoptosis as well as
through necrosis. The data show that through VD(3) treatment the overall level of
AI CaP cell tolerance to freezing is reduced to a level similar to that of AS
CaP. VD(3) pre-treatment in conjunction with cryoablation may increase treatment
efficacy and reduce disease recurrence for CaP patients.
PMID- 21883827
TI - Complications and postoperative events after cryosurgery for prostate cancer.
AB - OBJECTIVES: There has been a call in the urological literature for standardized
reporting of complications. To use strict criteria aiming to report our
complications and other postoperative events in a cohort of men undergoing third
generation prostate cryosurgery. PATIENTS AND METHODS: Demographic, clinical and
pathological data were collected on men undergoing primary cryosurgery from 2002
2010, excluding those who had received neoadjuvant or adjuvant radiotherapy.
Complications and events were broadly defined as any deviation from the expected
postoperative course and any subjective complaint expressed during a follow-up
visit. Descriptive statistics were generated and compared between groups using
chi-squared and rank sum tests as appropriate. Logistic regression analyses were
performed to assess the potential predictors of any complication or event.
RESULTS: In total, 106 consecutive patients were identified. There were no
intra-operative complications or instances of equipment failure. We observed 42
early complications, with 48 delayed and 10 late postoperative events. A total of
five (4.7%) patients had persistent urge and/or stress incontinence. Thirty-one
patients had International Prostate Symptom Score (IPSS) and bother index scores
available before and after surgery; median scores decreased from 7 and 2 to 6 and
1, for IPSS and bother index, respectively. Twenty-four patients had Sexual
Health Inventory for Men scores available before surgery and at 2-year follow-up;
median scores decreased from 11 to 2. On multivariate analysis, there were no
significant associations. CONCLUSIONS: Modern cryosurgery is safe, and most of
the complications and postoperative events are transient. Erectile function,
however, has marked deterioration. We were unable to identify significant risk
factors for complications or postoperative events.
PMID- 21883828
TI - Incidence of second malignancies after external beam radiotherapy for clinical
stage I testicular seminoma.
AB - OBJECTIVES: * To determine the use of adjuvant external beam radiotherapy (EBRT)
for patients with clinical stage I testicular seminoma in the USA. * To quantify
the risk of specific second primary malignancies (SPMs) associated with radiation
exposure in these patients. PATIENTS AND METHODS: * We used the Surveillance,
Epidemiology and End Results database to identify patients diagnosed with
clinical stage I testicular seminoma between 1973 and 2000. * We evaluated the
use of EBRT in these patients. * We calculated standardized incidence ratios of
specific SPMs in these patients. * We stratified the incidence of SPMs based on
age at seminoma diagnosis and time to SPM from initial seminoma diagnosis.
RESULTS: * Adjuvant EBRT use declined from the first decade of the study period
to the last decade of the study period (80.6% vs 70.2%). * Overall, there was a
19% increase in SPMs in patients exposed to EBRT (observed/expected, O/E, 1.51;
95% CI, 1.08-1.31) compared to the general population. * Specifically,
significantly increased risks were observed for thyroid cancer (O/E, 2.32; 95%
CI, 1.16-4.16), pancreatic cancer (O/E, 2.38; 95% CI, 1.43-3.72), non-bladder
urothelial malignancies (O/E, 4.27; 95% CI, 1.57-9.29), bladder cancer (O/E,
1.47; 95% CI, 1.01-2.28), all haematological malignancies (O/E, 1.44; 95% CI,
1.08-1.89) and non-Hodgkin's lymphoma (O/E, 1.77; 95% CI, 1.22-2.48). * Patients
had a persistently elevated risk of SPMs 15 years from the time of initial
clinical stage I testicular seminoma diagnosis (O/E, 1.29; 95% CI, 1.10-1.49).
CONCLUSIONS: * We confirmed the increased risk of SPMs after EBRT for seminoma,
and we identified the specific types of SPMs that develop. * The risk of EBRT
associated SPM persists for years after the initial seminoma diagnosis, and
patients should be informed about these long-term risks.
PMID- 21883829
TI - Elective partial nephrectomy is equivalent to radical nephrectomy in patients
with clinical T1 renal cell carcinoma: results of a retrospective, comparative,
multi-institutional study.
AB - OBJECTIVE: To compare the oncological outcomes of patients who underwent elective
partial nephrectomy (PN) or radical nephrectomy (RN) for clinically organ
confined renal masses <=7 cm in size (cT1). PATIENTS AND METHODS: The records of
3480 patients with cT1N0M0 disease were extracted from a multi-institutional
database and analyzed retrospectively. RESULTS: In patients who underwent PN, the
risk of clinical understaging was 3.2% in cT1a cases and 10.6% in cT1b cases.
With regard to the cT1a patients, the 5- and 10-year cancer-specific survival
(CSS) estimates were 94.7% and 90.4%, respectively, after RN and 96.1% and 94.9%,
respectively, after PN (log-rank test: P = 0.01). With regard to cT1b patients,
the 5-year CSS probabilities were 92.6% after RN and 90% after PN, respectively
(log-rank test: P = 0.89). Surgical treatment failed to be an independent
predictor of CSS on multivariable analysis, both for cT1a and cT1b patients.
Interestingly, PN was oncologically equivalent to RN also in patients with pT3a
tumours (log-rank test: P = 0.91). CONCLUSIONS: Elective PN is not associated
with an increased risk of recurrence and cancer-specific mortality in both cT1a
and cT1b tumours. Data from the present study strongly support the use of partial
nephrectomy in patients with clinically T1 tumours, according to the current
recommendations of the international guidelines.
PMID- 21883830
TI - Cost-effectiveness analysis of degarelix for advanced hormone-dependent prostate
cancer.
AB - OBJECTIVE: To evaluate the cost-effectiveness of degarelix vs luteinizing hormone
releasing hormone analogue (triptorelin) plus short-term antiandrogen treatment
for advanced prostate cancer. METHODS: We developed a decision analytic model
based on a clinical trial and literature review. The two interventions evaluated
were: (i) monthly injection of degarelix and (ii) 3-monthly triptorelin therapy
plus short-term flutamide, cyproterone or bicalutamide treatment. The model
consisted of a decision tree monitoring a hypothetical cohort of patients aged 70
years from the start of hormonal treatment to the end of the first month, and a
Markov model monitoring patients from the end of month 1 for a time horizon of 10
years (i.e. when 96% of patients are assumed to have died). The base-case
analysis assumed patients present with asymptomatic metastatic prostate cancer.
Costs and outcomes were collected over the model time horizon. Outcome measures
were quality-adjusted life years (QALYs), lifetime costs and incremental cost
effectiveness ratios. Sensitivity analyses (one-way and multi-way) and
probabilistic sensitivity analyses were conducted to explore the uncertainties
around the assumptions. RESULTS: In the base-case analysis, the incremental cost
effectiveness ratio (ICER) for degarelix vs triptorelin plus antiandrogen was
L59,000 per QALY gained. The model was most sensitive to the rate of significant
adverse events in the triptorelin plus antiandrogen group. The model was also
sensitive to the assumed survival of patients with metastatic prostate cancer and
the price of degarelix. The results of the probabilistic sensitivity analyses
suggested that there was a low probability (9.6%) of degarelix being the most
cost-effective treatment option when a willingness-to-pay threshold of L30,000
per QALY gained is assumed. CONCLUSION: Degarelix is unlikely to be cost
effective compared to triptorelin plus short-term antiandrogen in the management
of advanced prostate cancer with respect to the usual thresholds of cost
effectiveness used in the UK: L20,000-30,000 per QALY gained (used by the
National Institute for Health and Clinical Excellence).
PMID- 21883831
TI - Role of Rho-kinase and protein kinase C during contraction of hypertrophic
detrusor in mice with partial urinary bladder outlet obstruction.
AB - OBJECTIVE: To study muscarinic/purinergic receptor activation and Rho
kinase/protein kinase C (PKC) signalling during smooth muscle contraction in
normal and hypertrophic mouse urinary bladders. METHODS: Partial urinary outflow
obstruction was induced in adult female (10-12 weeks) C57Bl/6 mice and
comparisons were made with sham-operated controls. Bladder preparations were
examined in vitro. Expression of signalling proteins was examined using Western
blot analysis. RESULTS: Obstructed bladders increased more than threefold in
weight and were found to have enhanced muscarinic and attenuated purinergic
components during nerve-induced contractions. The contractile response to
carbachol was shifted towards lower concentrations of carbachol for the peak
response and had a markedly enhanced sustained component. The amplitude of the
alpha,beta-methylene ATP-induced responses was lowered. Rho-kinase inhibitor
Y27632 (10 uM) inhibited peak and sustained contractile responses to carbachol in
control bladders (peak by 38%; plateau 57%) and obstructed bladders (peak 37%
plateau 47%). PKC inhibitor GF109203X (1 uM) inhibited carbachol contractions in
controls (peak by 29%; plateau 29%) and obstructed bladders (peak 17%; plateau
12%). Inhibition by a similar extent was observed after nerve stimulation.
Sensitivity to Ca(2+) in high-K(+) depolarized intact tissues increased in
obstructed bladders. This increased receptor-independent Ca(2+)-sensitivity was
abolished by Y27632. Tissue contents of the myosin-binding phosphatase subunit
MYPT-1 and catalytic phosphatase subunit PP1beta, were decreased and the contents
of RhoGDI, RhoA and CPI-17 increased. A decrease in the Rho-kinase isoform ROCK-1
was observed. CONCLUSION: Based on these results, one can speculate that Rho
kinase inhibition would preferentially target the pathological phasic activity in
the urinary bladder rather than inhibit the physiological receptor-mediated
bladder emptying.
PMID- 21883832
TI - Prevalence of hesitancy in 30-80-year-old Finnish men: Tampere Ageing Male
Urological Study (TAMUS).
AB - Study Type--Therapy (symptom prevalence). Level of Evidence 2a. What's known on
the subject? and What does the study add? In several population-based studies the
prevalence of hesitancy has varied from 20% to 52%. Studies concern mostly older
men >=50-years-old. Knowledge of troublesomeness that hesitancy causes is very
scarce. This is a large population-based study on hesitancy in men with a wide
age range. This study reports the prevalence of hesitancy from 30-year-old men to
80-year-old men. The bother of hesitancy is reported and this is also presented
in different age groups. OBJECTIVE: * To estimate the prevalence and bother of
hesitancy by age group. MATERIALS AND METHODS: * In this population-based study,
the target population was 30- to 80-year-old men from Pirkanmaa County, Finland.
* Information was collected by means of a mailed self-administered questionnaire
in 2004. The overall participation proportion was 58.7% (4384 men out of 7470). *
The Danish Prostatic Symptom Score (DAN-PSS-1) questionnaire was used to evaluate
urinary symptoms, particularly hesitancy. Logistic regression was used for
multivariate analysis. RESULTS: * Almost half of the men (46.8%, 95% CI 45.3
48.3%) reported hesitancy at least occasionally, but only 0.5% (95% CI 0.3-0.7%)
had hesitancy every time they urinated. The prevalence of any hesitancy was 42.3%
at 30 years and 50.5% at 80 years of age (trend P < 0.001). Only a few men
reported hesitancy often or always, prevalence increasing with age from 2.6% to
11.4% (trend P < 0.001). * Hesitancy caused a small problem for 18.3% of the men
and a moderate or major problem for 0.9-5.3%. Only 3% of the men with infrequent
hesitancy reported more than a small problem, whereas 59% of the men with
hesitancy often or always reported a small problem and 32% reported a moderate or
major problem. * Two other voiding symptoms, straining and weak stream, were
strongly associated with hesitancy (with odds ratios exceeding 80). CONCLUSIONS:
* Mild hesitancy is very common in men of all ages. * Severe cases are rare, but
the prevalence increases with age. * Hesitancy is a well-tolerated urinary
symptom.
PMID- 21883833
TI - Sentinel node detection in renal cell carcinoma. A feasibility study for
detection of tumour-draining lymph nodes.
AB - OBJECTIVE: To evaluate the feasibility of performing sentinel node detection in
patients with renal cell carcinoma (RCC). MATERIALS AND METHODS: An open series
of 13 arbitrarily selected patients with T1b-T3b RCC scheduled for radical
nephrectomy at a single Tertiary Academic Centre were examined with different
modalities of sentinel node detection. Preoperative ultrasonography-guided
injection of radioactive isotope, lymphoscintigram and single photon emission
computed tomography/computed tomography, followed by intraoperative gamma-probe
detection and Patent Blue detection, as well as postoperative scintigram of the
main specimen were the planned interventions. These investigations were performed
in conjunction with intended open radical nephrectomy. RESULTS: In 10 of the 13
patients sentinel node detection was achieved with 32 sentinel nodes displayed.
Radio-guided surgery using an intraoperative gamma-probe resulted in the highest
realtive detection rate with detection of sentinel nodes in nine patients. In
total, nine metastatic sentinel nodes were detected in three patients. One
patient, preoperatively staged as N+, was restaged after sentinel node detection
and histopathology as pN0. CONCLUSIONS: Sentinel node detection in renal tumours
is feasible although evaluation of different modes of detection needs further
refinement and standardization. All nodes preoperatively detected by routine
computed tomography as suspicious metastatic lesions were confirmed as sentinel
nodes, including two nodes considered as metastatic by preoperative routine
imaging but ultimately staged as non-metastatic sentinel nodes.
PMID- 21883834
TI - Assessment of lower urinary tract symptoms in men by international prostate
symptom score and core lower urinary tract symptom score.
AB - Study Type - Therapy (symptom prevalence). Level of Evidence 2a. What's known on
the subject? and What does the study add? The International Prostate Symptom
Score (IPSS) has been most commonly used for the symptom assessment of men with
lower urinary tract symptoms (LUTS). However, LUTS in men are so variable that
they may not be fully captured by the IPSS questionnaire alone. This study has
demonstrated that the Core Lower Urinary Tract Symptom Score (CLSS)
questionnaire, which addresses 10 important symptoms, is an appropriate initial
assessment tool for LUTS in men with various diseases/conditions. OBJECTIVE:
International Prostate Symptom Score (IPSS) has been commonly used to assess
lower urinary tract symptoms (LUTS). We have recently developed Core Lower
Urinary Tract Symptom Score (CLSS). The aim of this study is to compare IPSS and
CLSS for assessing LUTS in men. PATIENTS AND METHODS: Consecutive 515 men
fulfilled IPSS and CLSS questionnaires. IPSS QOL Index was used as the QOL
surrogate. The clinical diagnoses were BPH (n = 116), BPH with OAB wet (n =80),
prostate cancer (n = 128), prostatitis (n = 68), underactive bladder (n = 8),
others (n = 72), and controls (e.g., occult blood) (n = 42). Simple statistics
and predictability of poor QOL (QOL Index 4 or greater) were examined. RESULTS:
All symptom scores were significantly increased in symptomatic men compared with
controls. Scores of corresponding symptoms of two questionnaires were
significantly correlated (r = 0.58-0.85, all P < 0.0001). A multivariate
regression model to predict poor QOL indicated nine symptoms (daytime frequency,
nocturia, urgency, urgency incontinence, slow stream, straining, incomplete
emptying, bladder pain and urethral pain) as independent factors. The hazard
ratios for bladder pain (2.2) and urgency incontinence (2.0) were among the
highest. All the nine symptoms are addressed in CLSS, while three symptoms
(urgency incontinence, bladder, and urethral pain) are dismissed in IPSS.
CONCLUSION: CLSS questionnaire is more comprehensive than IPSS questionnaire for
symptom assessment of men with various diseases/conditions, although both
questionnaires can capture LUTS with possible negative impact on QOL.
PMID- 21883835
TI - Diffusion-weighted magnetic resonance imaging in patients selected for radical
cystectomy: detection rate of pelvic lymph node metastases.
AB - OBJECTIVE: To evaluate whether DW-MRI improves the detection of pelvic lymph
nodes metastates in patients with bladder cancer undergoing radical cystectomy.
PATIENTS AND METHODS: 36 patients with CT scan negative for nodal metastates
underwent DW-MRI before surgery. Diagnostic accuracy of DW-MRI was compared with
histopathological findings. RESULTS: Mean ADC value was 0.85 * 10(-3) mm(3)/s in
the nodal metastatic group and 1 * 10(-3) mm(3)/s in the nodal non-metastatic
group (P = 0.02). The ADC cut-off value, obtained by the ROC curve was 0.86 * 10(
3) mm(3)/s. Patient-based sensitivity, specificity and positive and negative
predictive values were 76.4%, 89.4%, 26.6%, and 71.4%, respectively. CONCLUSION:
DW-MRI may be used to differentiate metastatic from non-metastatic lymph nodes in
patients with high-grade bladder cancer.
PMID- 21883836
TI - Hormone therapy for prostate cancer and the risk of stroke: a 5-year follow-up
study.
AB - OBJECTIVE: To examine the 5-year risk of stroke among patients with prostate
cancer (PC) receiving androgen deprivation therapy (ADT) in Taiwan, using a
population-based dataset. PATIENTS AND METHODS: This prospective case-control
study used data sourced from the Longitudinal Health Insurance Database. The
study included 365 patients with PC; 64 (17.6%) received ADT for more than 1
month. Cox proportional hazards regression was used to evaluate the association
between ADT and the risk of stroke during the subsequent 5-year follow-up period,
after adjusting for sociodemographic characteristics and hypertension, diabetes,
coronary heart disease, heart failure, atrial fibrillation and hyperlipidaemia.
RESULTS: In the total sample of 365 patients with PC, 68 (18.6%) patients had
strokes during the 5-year follow-up period. These included 11 patients with PC
who received ADT (17.2% of all patients who received ADT) and 57 patients who did
not receive ADT (18.9% of patients who did not receive ADT). After adjusting for
potential confounders, no significant difference in the hazard of stroke was
found between patients with PC who did and did not receive ADT (hazard ratio,
1.09; 95% confidence interval, 0.80-1.50). CONCLUSION: There was no significant
difference in the risk of stroke between ethnic Chinese patients with PC who did
and did not receive ADT, after adjusting for potential confounders.
PMID- 21883837
TI - Upper urinary tract transitional cell carcinoma: location is not correlated with
prognosis.
AB - OBJECTIVE: To identify the impact of tumour location on the disease recurrence
and survival of patients who were treated surgically for upper urinary tract
transitional cell carcinoma (UUT-TCC). PATIENTS AND METHODS: A single-centre
series of 189 consecutive patients who were treated surgically for UUT-TCC
between January 1999 and December 2009 was evaluated. Patients who had previously
undergone radical cystectomy, preoperative chemotherapy or contralateral UUT-TCC
were excluded. In all, 133 patients were available for evaluation. Tumour
location was categorized as renal pelvis or ureter based on the location of the
dominant tumour. Recurrence-free probabilities and cancer-specific survival were
estimated using the Kaplan-Meier method and Cox regression analyses. RESULTS: The
5-year recurrence-free and cancer-specific survival estimates for the cohort in
the present study were 66% and 62%, respectively. The 5-year bladder-only
recurrence-free probability was 76%. Using multivariate analysis, only pT
classification (hazard ratio, HR, 2.46; P = 0.04) and demographic characteristics
(HR, 2.86 for areas of Balkan endemic nephropathy, vs non-Balkan endemic
nephropathy areas; 95% confidence interval, 1.37-5.98; P = 0.005) were associated
with disease recurrence. Tumour location was not associated with disease
recurrence in any of the analyses. There was no difference in cancer-specific
survival between renal pelvis and ureteral tumours (P = 0.476). Using
multivariate analysis, pT classification (HR, 8.04; P = 0.001) and lymph node
status (HR, 4.73; P = 0.01) were the only independent predictors associated with
a worse cancer-specific survival. CONCLUSION: Tumour location is unable to
predict outcomes in a single-centre series of consecutive patients who were
treated with radical nephroureterectomy for UUT-TCC.
PMID- 21883838
TI - Prognostic factors and outcome in patients with T1 high-grade bladder cancer: can
we identify patients for early cystectomy?
AB - OBJECTIVE: To assess outcome in patients with T1 high-grade (T1HG) bladder cancer
treated at a single academic institution and to determine the prognostic factors
that can help in counselling patients towards early cystectomy. PATIENTS AND
METHODS: Records of 2570 patients with bladder cancer treated from 1995 to 2005
were reviewed. Only patients diagnosed with T1HG disease were included in the
analysis. Collected variables included various clinicopathological parameters,
use of statins, smoking, as well as dates of recurrence, progression, radical
cystectomy and death. Recurrence-free survival (RFS) and worsening-free survival
(WFS) were analyzed. Multivariate Cox proportional regression analysis was
employed to verify the prognostic significance of various variables. RESULTS: In
total, 278 (10.8%) patients were identified as having T1HG disease on
transurethral resection. 66% of patients who recurred, and 36.3% developed stage
progression after a median (range) follow-up of 3 (0.1-15.4) years. 30% patients
who underwent radical cystectomy, and 9% were dead of disease. The 5-year RFS and
WFS rates were 26.6% and 49.4%, respectively. On multivariate analysis, only non
trigonal tumour location, restaging transurethral resection, history of previous
carcinoma not invading bladder muscle and adjuvant bacille Calmette-Guerin (BCG)
therapy were significantly associated with prolonged RFS, whereas papillary
tumour architecture, history of previous carcinoma not invading bladder muscle
and adjuvant BCG therapy were significantly associated with prolonged WFS.
CONCLUSIONS: Patients with T1HG bladder cancer are at a significant risk of
progression and death from disease. Primary tumours, sessile architecture and
trigonal location are factors associated with a worse outcome and may be used to
counsel patients towards early cystectomy.
PMID- 21883839
TI - Tubeless vs standard percutaneous nephrolithotomy: a meta-analysis.
AB - OBJECTIVE: To systematically review and compare tubeless percutaneous
nephrolithotomy (PCNL) with standard PCNL. MATERIALS AND METHODS: We conducted a
review of the English language literature on studies involving randomized
controlled trials for PCNL. The studies chosen to be included in our review
compared tubeless PCNL with standard PCNL and described the advantages of each in
the outcomes. Two reviewers independently screened the studies for eligibility,
evaluated their quality and extracted the data from the eligible studies, with
confirmation by cross-checking. Data were processed using RevMan 5.0. RESULTS:
Seven studies involving 1365 cases met the inclusion criteria, and these were
included in the meta-analysis. The patients' baseline characteristics were
comparable in all the studies. By comparing the four common characteristics, we
found no difference in efficacy between the two surgical approaches in terms of
mean operation duration and postoperative haematocrit change (P > 0.05). We found
that the mean analgesic requirement and number of days in hospital were lower for
tubeless PCNL (P < 0.05). CONCLUSIONS: Our results show that tubeless PCNL is a
good option in non-complicated cases, with the advantages of reduced hospital
stay and little need for postoperative analgesia. There was no difference between
the two approaches in operation duration, or haematocrit change after surgery. As
only few studies with small study populations were available, more high quality
larger trials with longer follow-up are recommended.
PMID- 21883840
TI - Chemotherapy for advanced adrenal cancer: improvement from a molecular approach?
AB - Advanced adrenal carcinoma remains a significant therapeutic challenge, with
conventional approaches to systemic therapy having failed to achieve sustained
objective remissions or major survival benefit in most instances. Several
systemic therapies, including mitotane, suramin and gossypol, as well as
cytotoxic agents, such as cisplatin and etoposide, have produced responses of ~15
30%, with median survival figures of ~6-15 months, depending on case selection
bias, with only <10% 5-year survival rates. Recent preclinical and pathological
studies have indicated a range of potential targets for drugs, including WNT/beta
catenin, epidermal growth factor receptor, RAF and k-RAS; similar applications in
melanoma and renal carcinoma have achieved significant gains, and these targets
are worthy of further, structured investigation. Advanced adrenal carcinoma
constitutes an orphan disease, with a high mortality rate, and merits investment
in clinical trials.
PMID- 21883841
TI - Assessment of lower urinary tract symptoms in men by international prostate
symptom score and core lower urinary tract symptom score.
PMID- 21883842
TI - Association of prolactin-induced protein with preputial development of
hypospadias.
AB - OBJECTIVE: To investigate the molecular aetiology of hypospadias during a
critical developmental period by identifying differentially regulated genes in
the tissues of individuals with hypospadias and comparing these genes with
similar genes in the tissues of control individuals. MATERIALS AND METHODS:
Pregnant Sprague-Dawley rats were administered flutamide (7.5 mg) on gestational
days 15-17 to produce hypospadiac pups. Dams were killed on gestational day 17,
and the genital tubercles (GTs) of male pups were harvested. Gene expression of
RNA isolated from the GTs was analysed using an oligonucleotide microarray
containing 20,500 genes. The results of microarray analysis were confirmed using
quantitative real-time PCR (qPCR). Protein expression levels were studied using
Western blot analysis. The distribution of genes associated with GT development
in rats was histologically examined. Prepuces harvested from patients with
hypospadias and phimosis were immunohistochemically examined for gene
distribution. RESULTS: Of the 20, 500 genes, 23 annotated genes, including
prolactin-induced protein (Pip), in the GTs of the hypospadiac rats were
expressed at levels less than half of that of similar genes in the GTs of the
control rats. Findings from qPCR and Western blot analysis revealed significantly
lower Pip/PIP expression in the GTs of the hypospadiac rats than in those of the
control rats. Immunohistochemical analysis revealed PIP expression in the
prepuces of the GTs of the control and hypospadiac rats. PIP was expressed in the
human prepuces of the patients with hypospadias and phimosis. CONCLUSIONS:
Pip/PIP, expressed at low levels in the GTs of hypospadiac rats, may be
associated with preputial development. This model can be useful to elucidate the
molecular mechanisms underlying penile and urethral development as well as
preputial development. Further studies should provide detailed information
regarding the molecular aetiology of hypospadias.
PMID- 21883843
TI - Is joint hypermobility associated with vesico-ureteral reflux? An assessment of
50 patients.
AB - OBJECTIVE: To assess whether there is an increased prevalence of joint
hypermobility in patients with vesico-ureteric reflux (VUR). MATERIALS AND
METHODS: We studied 50 patients with primary VUR and matched controls drawn from
a reference population. Joint mobility was assessed using the Bulbena
hypermobility score. RESULTS: We identified significantly more patients with VUR
with generalized joint hypermobility than controls (24% vs 6.7%, P= 0.007).
CONCLUSION: Our findings confirm our clinical observation of an increased rate of
joint hypermobility in patients with VUR. We speculate that an altered
composition of the connective tissue may contribute to the severity of the (pre
existing) VUR phenotype.
PMID- 21883844
TI - Prospective multi-centre study of adjustable single-incision mini-sling
(Ajust((r)) ) in the management of stress urinary incontinence in women: 1-year
follow-up study.
AB - OBJECTIVES: To determine whether an adjustable single-incision mini-sling (SIMS,
Ajust((r)) ) is safe and effective in the management of female stress urinary
incontinence (SUI) at 12 months follow-up. To determine whether it is feasible to
be performed under local anesthesia (LA). MATERIALS AND METHODS: The present
study is a multicentre prospective cohort study in which 90 female patients
underwent SIMS-Ajust((r)) using a standardized insertion technique. The last 45
women were offered the procedure under LA. All patients completed their 12-month
follow-up. RESULTS: The patient-reported success rate, using Patient Global
Impression of Improvement (PGI-I), was 80% at 12 months follow-up and a further
6% (n= 5) reported themselves to be 'improved'. In all, 32/45 (71%) patients
agreed to undergo the procedure under LA while one patient required conversion to
general anaesthetic. There was no organ damage or requirement for blood
transfusion. Significantly lower rates of blood loss (P= 0.025) and postoperative
voiding difficulties (P= 0.026) were seen in the LA group. The re-operation rate
for SUI was 6% at 12 months. CONCLUSIONS: SIMS (Ajust) appears to be a safe
procedure, which is feasible under LA. SIMS (Ajust) have an 80% patient-reported
success rate at 12 months follow-up.
PMID- 21883845
TI - Information needs of men on androgen deprivation therapy.
AB - Study Type - Needs assessment survey Level of Evidence 2b. What's known on the
subject? and What does the study add? Although androgen deprivation therapy (ADT)
is widely used to treat men with prostate cancer, little is known about the
information needs of patients on ADT. We found that patients are generally very
satisfied with using ADT and expressed minimal decisional regret with its use up
to four years later. For men receiving ADT in the adjuvant setting, their
survival estimates with the addition of ADT were quite reasonable when compared
to findings in randomized trails. A key area to enhance patient education appears
to be side effects, especially around hot flashes and fatigue, which were also
the most bothersome treatment sequelae for patients. OBJECTIVE: To evaluate
information needs of men receiving androgen deprivation therapy (ADT). PATIENTS
AND METHODS: A cross-sectional survey was distributed to English-speaking
prostate cancer patients receiving ADT adjuvant to radical therapy or for
biochemical relapse. Three cohorts were recruited based on duration of ADT use:
<6 months (cohort 1), 6-18 months (cohort 2) and 18 months to 4 years (cohort 3).
Several validated questionnaires were used, including the Control Preferences
Scale (CPS), Satisfaction with Treatment Decision Scale (SWD) and Decisional
Regret Scale (DRS). Patients on adjuvant ADT were asked to estimate their overall
survival with and without ADT. RESULTS: Eighty-five men were recruited, of whom
91.8% were receiving a gonadotrophin-releasing hormone agonist, 4.7% were
receiving anti-androgen monotherapy and 3.5% were receiving combined androgen
blockade. Patients preferred the following decision-making roles: 23.5% active,
50.6% collaborative, 27.0% passive. Mean patient satisfaction for ADT use was
high at 24.0/30 and decisional regret was low at 7.9/25. There was a perceived
overall survival benefit of 3.9-6.9% at 5 years, 3.6-17.8% at 10 years and 5.7
18.1% at 15 years with the addition of adjuvant ADT. Hot flushes and fatigue
were reported as the most common theoretical adverse effects as well as those
experienced most commonly by patients. CONCLUSIONS: Patients on ADT were
generally satisfied with their decisions to start ADT and expressed minimal
decisional regret up to 4 years later. A key area to enhance patient education
appears to be adverse effects, especially around hot flushes and fatigue.
PMID- 21883846
TI - Partial nephrectomy in two patients with known T3a tumours involving the renal
vein.
AB - Study Type--Therapy (case series). Level of Evidence 4. What's known on the
subject? And what does the study add? Although nephron-sparing surgery (NSS) has
became accepted therapy for T1 tumours, radical nephrectony is considered the
best therapy for T3a tumours involving the renal vein. NSS can be considered in
T3a or greater tumours if imperative indications exist, such as bilateral disease
or solitary kidney. However, there is little published data on the
characteristics of these patients, the impact of surgery on their renal function,
and long-term oncologic outcomes. This study profiles single-institution
experience with nephron-sparing surgery for known T3a tumours involving the renal
vein, including patient characteristics, tumour characteristics, preoperative and
follow-up imaging, preoperative and follow-up estimated glomerular filtration
rate, length of temporary postoperative haemodialysis, and oncologic outcome.
Additionally, we compare this to other published data on nephron-sparing surgery
for similar tumors. OBJECTIVE: * To present two patients with T3a tumours
involving the renal vein who underwent nephron-sparing surgery (NSS) for
imperative reasons. PATIENTS AND METHODS: * Retrospective chart review of
patients who underwent NSS for renal cell carcinoma (RCC) with known renal vein
tumour thrombus (RVTT). * Both patients underwent open partial nephrectomy and
renal vein thrombectomy of a solitary kidney. * Primary outcomes of the study
include radiographic evidence of recurrence, haemodialysis requirement and
estimated glomerular filtration rate (eGFR) before and after surgery. RESULTS: *
Patient 1 is 24 months from NSS and has no evidence of recurrence based on CT
scan. His final pathology revealed a 9-cm, T3a, clear cell, Fuhrman grade II
carcinoma. He spent 42 days on haemodialysis and is now off all dialysis. His
preoperative and most recent eGFR are 48 and 23 mL/min/1.73 m(2) based on the
Modification of Diet in Renal Disease (MDRD) equation and 69.4 and 29.8 mL/min by
the Cockcroft-Gault equation. * Patient 2 is 9 months from NSS and has no
evidence of recurrence based on CT scan. Her final pathology revealed a 6-cm,
T3a, clear cell, Fuhrman grade II-III carcinoma. She spent 30 days on
haemodialysis and is now off all dialysis. Her preoperative and most recent eGFR
are 58 and 30 mL/min/1.73 m(2) based on the MDRD equation and 62.2 and 32.8
mL/min by the Cockcroft-Gault equation. CONCLUSION: * Based on our review,
preservation of renal function and favourable oncological outcome can be
accomplished with NSS in patients with known stage T3a RCC with RVTT and should
be considered in carefully selected patients.
PMID- 21883847
TI - Prognostic role of ECOG performance status in patients with urothelial carcinoma
of the upper urinary tract: an international study.
AB - OBJECTIVE: To evaluate the prognostic role of ECOG Performance status (ECOG-PS)
in a large multi-institutional international cohort of patients treated with
radical nephroureterectomy for upper tract urothelial carcinoma. MATERIALS AND
METHODS: Data of 427 patients treated with radical nephroureterectomy at five
international institutions in Asia, Europe and Northern America were collected
retrospectively from 1987 to 2008. Logistic and Cox regression models were used
for univariable and multivariable analyses. RESULTS: ECOG-PS was 0 in 272 of 427
(64%) patients. The median follow-up of the whole cohort was 32 months. The five
year recurrence-free (RFS), cancer-specific (CSS) and overall (OS) survival
estimates were 71.7%, 74.9% and 68.5%, respectively, in patients with ECOG-PS 0
compared with 60.1%, 67.8%, and 51.4% respectively, in patients with ECOG-PS >=1
(P value 0.08 for RFS, 0.43 for CSS, and <0.001 for OS, respectively). On
multivariable Cox regression analyses, ECOG-PS was not an independent predictor
of either RFS (hazard ratio 1.4; P = 0.107) or CSS (hazard ratio 1.2; P = 0.426)
but was an independent predictor of OS (hazard ratio 1.5; P = 0.03). CONCLUSIONS:
In this large multicentre international study, ECOG-PS was not significantly
associated with RFS and CSS. Conversely we find a strong association with
survival 1-month after surgery and OS. Further research is needed to ascertain
the additive prognostic role of ECOG-PS in well-designed prospective multicentre
studies.
PMID- 21883848
TI - Symptom profile variability of interstitial cystitis/painful bladder syndrome by
age.
AB - Study Type--Diagnostic (symptom prevalence). Level of Evidence 2b. What's known
on the subject? And what does the study add? Symptom variability is profound in
the population of patients diagnosed with interstitial cystitis and painful
bladder syndrome because these diagnoses represent a very heterogeneous patient
population. Recently, younger patients are being diagnosed with these pain
syndromes, without any specific investigation into the symptoms they experience.
We identified that patients diagnosed with interstitial cystitis and painful
bladder syndrome have variable clinical symptom profiles depending on the age at
the time of their diagnosis. Dyspareunia, external genitalia pain, urgency,
frequency and dysuria were more common in younger patients whereas nocturia,
urinary incontinence and the presence of Hunner's ulcers were more common in
older patients. Better defining symptom profiles for patients at the time of
evaluation may potentially aid in more accurate and expedited diagnosis of these
conditions, particularly in the youngest patient population which is being
recognized more commonly in recent times. OBJECTIVE: * To investigate the
clinical profile differences among patients with interstitial cystitis/painful
bladder syndrome (IC/PBS) based upon age at the time of diagnosis from childhood
into the geriatric age group. METHODS: * An analysis of 268 patients with IC/PBS
seen between 1990 and 2008 was performed. * Three age cohorts were analysed: <30
years, >=30 years but <60 years, and >=60 years of age at time of diagnosis. *
Patient demographics, disease characteristics and IC/PBS-associated symptoms were
compared across the three groups. RESULTS: * Of the 268 patients, 60 were <30
years of age at the time of IC diagnosis (median 22, range 11-29), 105 were >=30
but <60 years (median 48, range 30-59) and 103 patients were >=60 years of age
(median 69, range 60-88). * Of the patients in the youngest, middle and oldest
age cohorts: 12.0, 42.0 and 39.8% had Hunner's ulcers, respectively (P<0.001). *
Dyspareunia and external genitalia pain were more common in the youngest age
cohort, P<0.001 and P=0.001, respectively. * Urinary urgency (P=0.033), frequency
(P=0.006) and dysuria (P<0.001) were also more common in patients diagnosed
before 30 years of age. * The reported rate of nocturia and urinary incontinence
increased with age, P=0.002 and P<0.001, respectively. CONCLUSIONS: * Patients
with IC/PBS analysed across a wide spectrum of ages at time of diagnosis
portrayed a unique symptom profile pattern. * Patients diagnosed at the youngest
ages experienced significantly more urinary urgency, frequency, dysuria,
dyspareunia and pain in their external genitalia. * Older patients had higher
rates of nocturia, urinary incontinence and Hunner's ulcer disease.
PMID- 21883849
TI - Stage-specific impact of pelvic lymph node dissection on survival in patients
with non-metastatic bladder cancer treated with radical cystectomy.
AB - OBJECTIVE: To examine the effect of stage-specific pelvic lymph node dissection
(PLND) on cancer-specific (CSM) and overall mortality (OM) rates at radical
cystectomy (RC) for bladder cancer. METHODS: Overall, 11,183 patients were
treated with RC within the Surveillance, Epidemiology, and End Results database.
Univariable and multivariable Cox regression analyses tested the effect of PLND
on CSM and OM rates, after stratifying according to pathological tumour stage.
RESULTS: Overall, PLND was omitted in 25% of patients, and in 50, 35, 27, 16 and
23% of patients with respectively pTa/is, pT1, pT2, pT3 and pT4 disease (P <
0.001). For the same stages, the 10-year CSM-free rates for patients undergoing
PLND compared with those with no PLND were, respectively, 80 vs 71.9% (P = 0.02),
81.7 vs 70.0% (P < 0.001), 71.5 vs 56.1% (P = 0.001), 43.7 vs 38.8% (P = 0.006),
and 35.1 vs 32.0% (P = 0.1). In multivariable analyses, PLND omission was
associated with a higher CSM in patients with pTa/is, pT1 and pT2 disease (all P
<= 0.01), but failed to achieve independent predictor status in patients with pT3
and pT4 disease (both P >= 0.05). Omitting PLND predisposed to a higher OM across
all tumour stages (all P <= 0.03). CONCLUSIONS: Our results indicate that PLND
was more frequently omitted in patients with organ-confined disease. The
beneficial effect of PLND on cancer control outcomes was more evident in these
patients than in those with pT3 or pT4 disease. PLND at RC should always be
considered, regardless of tumour stage.
PMID- 21883850
TI - Subcapsular renal haematoma after holmium:yttrium-aluminum-garnet laser
ureterolithotripsy.
AB - OBJECTIVE: To report the incidence, risk factors, and outcomes of subcapsular
renal haematoma (SRH) after ureteroscopic lithotripsy (URSL) using
holmium:yttrium-aluminum-garnet (Ho:YAG) laser to treat ureteric stones. PATIENTS
AND METHODS: Prospective data from 2848 URSLs performed between January 2003 and
September 2010 were retrospectively analysed. In all 11 patients were identified
as having a SRH after URSL if they had persistent severe ipsilateral flank pain
or a palpable mass within a day of surgery, or presented with radiographic
evidence of a SRH. Risk factors for the development and course of the SRH were
reported. RESULTS: Of the 2848 consecutive patients treated with URSL using
Ho:YAG laser, 11 (0.4%) developed a SRH after surgery. Patients who developed a
SRH had larger stones (1.4 vs 0.9 cm, P < 0.001), more severe ipsilateral
hydronephrosis (P < 0.001), longer operation duration (41 vs 33 min, P < 0.001),
and higher perfusion pressure of hydraulic irrigation (176.8 vs 170.2 mmHg, P <
0.001) than patients who did not develop a SRH. Patient age, sex, body mass
index, presence of diabetes mellitus, history of urolithiasis and hypertension,
presence of multiple stones, stone location and flow rate of hydraulic irrigation
were not statistically different in patients who did or did not develop a SRH.
Most patients were managed conservatively, with no further intervention or with a
flank drain, until the SRH resolved. Overall, in three patients the SRH resolved
with no further intervention, six patients were treated with a drain only, and
two patients had open surgery within a day of presenting with SRH. CONCLUSIONS:
The rate of development of SRH after URSL is very low. Most patients who present
with a SRH after URSL, can be treated conservatively with no intervention or with
a drain only.
PMID- 21883851
TI - Trends in urological stone disease.
AB - OBJECTIVE: To summarize the changes in prevalence and treatment of upper urinary
tract stone disease in the UK over the last 10 years. METHODS: Data from the
Hospital Episode Statistics (HES) website (http://www.hesonline.nhs.uk) were
extracted, summarized and presented. RESULTS: The number of upper urinary tract
stone hospital episodes increased by 63% to 83,050 in the 10-year period. The use
of shock wave lithotripsy (SWL) for treating all upper tract stones increased
from 14,491 cases in 2000-2001 to 22,402 cases in 2010 (a 55% increase) with a
69% increase in lithotripsy for renal stones. There was a 127% increase in the
number of ureteroscopic stone treatments from 6,283 to 14,242 cases over the 10
year period with a 49% increase from 2007/2008 to 2009/2010. There was a decline
in open surgery for upper tract stones from 278 cases in 2000/2001 to 47 cases in
2009/2010 (an 83% reduction). Treatment for stone disease has increased
substantially in comparison with other urological activity. In 2009/2010, SWL was
performed almost as frequently as transurethral resection of the prostate or
transurethral resection of bladder tumour, ureteroscopy for stones was performed
more frequently than nephrectomy, radical prostatectomy and cystectomy combined,
and percutaneous nephrolithotomy was performed more frequently than cystectomy.
CONCLUSIONS: The present study highlights the increase in prevalence and
treatment of stone disease in the UK over the last 10 years. If this trend
continues it has important implications for workforce planning, training, service
delivery and research in the field of urolithiasis.
PMID- 21883852
TI - Association between smoking cessation and sexual health in men.
AB - OBJECTIVE: To provide the first empirical investigation of the association
between smoking cessation and indices of physiological and subjective sexual
health in men. SUBJECTS AND METHODS: Male smokers, irrespective of erectile
dysfunction status, who were motivated to stop smoking ('quitters'), were
enrolled in an 8-week smoking cessation programme involving a nicotine
transdermal patch treatment and adjunctive counselling. Participants were
assessed at baseline (while smoking regularly), at mid-treatment (while using a
high-dose nicotine transdermal patch), and at a 4-week post-cessation follow-up.
Physiological (circumferential change via penile plethysmography) and subjective
sexual arousal indices (continuous self-report), as well as self-reported sexual
functioning were assessed at each visit. RESULTS: Intent-to-treat analyses
indicated that, at follow-up, successful quitters (n= 20), compared with those
who relapsed (n= 45), showed enhanced erectile tumescence responses, and faster
onset to reach maximum subjective sexual arousal. Although successful quitters
displayed across-session enhancements in sexual function, they did not show a
differential improvement compared with unsuccessful quitters. CONCLUSIONS:
Smoking cessation significantly enhances both physiological and self-reported
indices of sexual health in long-term male smokers, irrespective of baseline
erectile impairment. It is hoped that these results may serve as a novel means to
motivate men to stop smoking.
PMID- 21883853
TI - Factors associated with ejaculatory and orgasmic dysfunction in men with erectile
dysfunction: analysis of clinical trials involving the phosphodiesterase type 5
inhibitor tadalafil.
AB - OBJECTIVE: To determine frequencies of, and risk factors for, ejaculatory
dysfunction (EjD) and orgasmic dysfunction (OD) in men with different degrees of
erectile dysfunction (ED). PATIENTS AND METHODS: Baseline data from 28 ED trials
were integrated and analysed. The International Index of Erectile Function
Question 9 (IIEF-Q9; 'When you had sexual stimulation or intercourse, how often
did you ejaculate?') and IIEF-Q10 ('How often did you have the feeling of orgasm
with or without ejaculation?') were used to evaluate ejaculatory and orgasmic
functions. Responses of 'almost never or never' or 'a few times (much less than
half the time)' were taken as evidence of EjD or OD, respectively, whereas
responses of 'almost always or always' or 'most times (much more than half the
time)' were taken as evidence of normal function. Estimates of the relative risks
(RRs) of EjD or OD were determined for multiple patient characteristics. RESULTS:
Among 12,130 study participants with available data, only 5117 (42.2%) reported
normal ejaculatory function, and 4321 (35.6%) normal orgasm, regardless of ED
severity. Among subjects with poor ejaculatory function, 16.7% had mild ED, and
among subjects with poor sensation of orgasm, 21.9% had mild ED. Frequencies of
EjD and OD increased with increasing ED severity. Of the 5117 individuals with
normal ejaculatory function, 796 (15.6%) had poor sensation of orgasm. Of the
4321 subjects with normal orgasm, 226 (5.2%) had poor ejaculatory function. Men
with (vs without) EjD or OD tended to be younger: 53.7 vs 56.9 years and 54.2 vs
56.2 years, respectively. Factors associated with increased RRs of EjD and OD
included cardiomyopathy (RR for EjD 1.74; RR for OD 1.59); cardiac failure (RR
1.40; 1.22); and baseline use (or history of use) of antipsychotics (RR 1.45;
1.30), selective serotonin reuptake inhibitors (RR 1.31; 1.27), and tricyclic
antidepressants (RR 1.34; 1.28). CONCLUSIONS: EjD and OD occurred at baseline in
more than one in three men enrolled in tadalafil trials. Even men with mild ED
reported EjD or OD. Further studies are warranted to better understand the
impacts of EjD and OD on male sexuality and quality of life.
PMID- 21883854
TI - Use of a mixture of lignocaine and bupivacaine vs lignocaine alone for male
circumcision under local anaesthesia in Rakai, Uganda.
AB - OBJECTIVE: To assess self-reported pain control during and after surgery with a
mixture of lignocaine and bupivacaine compared with lignocaine alone among male
circumcision (MC) service recipients in Rakai, Uganda. PATIENTS AND METHODS: The
two formulations of local anaesthesia for MC were used alternatively at weekly
intervals in 360 patients; 179 received lignocaine alone and 181 received the
lignocaine and bupivacaine mixture (LBmix). The proportions of men reporting pain
during or after surgery, and the need for additional anaesthesia during surgery
were determined for the LBmix vs lignocaine using Poisson adjusted rate ratios
(RRs). Characteristics including age, weight, surgeon (medical officer vs
clinical officer), surgical method and duration of surgery were compared between
the arms using two-sample t-tests and chi-square tests. RESULTS: Patient and
provider characteristics were comparable between the two anaesthetic groups. A
higher proportion of patients reported pain during surgery in the lignocaine
group (adjusted RR 11.6, 95% confidence interval [CI] 3.5-37.9, P < 0.001),
required additional anaesthesia (adjusted RR 4.8, 95% CI 1.4-17.1, P = 0.015),
and were more likely to report pain during the immediate postoperative period
(adjusted RR 3.4, 95% CI 2.3-5.0, P < 0.001). These differences were particularly
marked among patients with MC times longer than the median (adjusted RR 13.4, 95%
CI 3.1-57.0, P < 0.001). CONCLUSION: The LBmix significantly reduced pain
associated with MC and the need for additional anaesthesia during MC.
PMID- 21883855
TI - Systematic review of surgical treatments for benign prostatic hyperplasia and
presentation of an approach to investigate therapeutic equivalence (non
inferiority).
AB - OBJECTIVE: * To assess the potential additional benefit of non-standard vs
standard surgical treatments for benign prostatic hyperplasia (BPH) and to
present a new methodological approach to investigate therapeutic equivalence (non
inferiority) regarding symptom reduction. PATIENTS AND METHODS: * We conducted a
systematic review and searched MEDLINE, Embase and the Cochrane Library (last
search: 10/2009) for randomized controlled trials (RCTs) and non-randomized
controlled clinical trials (CCTs). * Eligible studies were those that included
patients with symptomatic BPH requiring surgical treatment and which compared non
standard procedures (e.g. minimally invasive technologies) with standard ones
(e.g. transurethral resection of the prostate, TURP). In addition, only studies
analysing patient-relevant outcomes were considered (e.g. irritative and
obstructive symptoms, length of hospital stay, quality of life and adverse
events). * The main outcome of interest for the present analysis was superiority
or non-inferiority for symptom reduction. * As no trial investigated non
inferiority, we defined a non-inferiority threshold (0.25 standard deviation) on
the basis of published literature. If a non-standard procedure showed non
inferiority for symptom reduction, additional outcomes were assessed. Meta
analyses were conducted if feasible and meaningful. RESULTS: * In all, 43 mainly
low-quality trials (RCTs only) compared nine non-standard surgical treatments
with standard ones. * Mean follow-up ranged from 6 to 84 months. * No non
standard procedure was superior for symptom reduction. Non-inferiority for
symptom reduction was shown in patients who had undergone holmium laser resection
of the prostate (HoLRP) or thulium laser resection of the prostate (TmLRP). * As
procedural advantages (e.g. no occurrence of transurethral resection syndrome)
and other advantages (e.g. shortened hospital stay) were found, an indication of
an additional benefit of HoLRP and TmLRP was determined. CONCLUSIONS: * No proof
of superiority for symptom reduction has been shown for non-standard surgical
treatments in patients with BPH. * There is a lack of high-quality RCTs and
trials designed to investigate non-inferiority. * Future studies should define a
non-inferiority threshold (ideally, uniform) a priori, so that results of
individual studies are interpretable and comparable, and future systematic
reviews should consider this issue.
PMID- 21883856
TI - Incidence and outcomes of ductal carcinoma of the prostate in the USA: analysis
of data from the Surveillance, Epidemiology, and End Results program.
AB - OBJECTIVE: To use the national Surveillance, Epidemiology, and End Results (SEER)
cancer registry to describe the natural history, national incidence and treatment
patterns for ductal prostate cancer (PCa) over the last 20 years, as the
available literature on ductal PCa is limited to small case series because of few
patient numbers. PATIENTS AND METHODS: From the SEER registry, 693 men with
ductal PCa were identified from 1970. The demographics, clinical features and
cause of death data were collected from men with ductal and acinar histological
types. RESULTS: The incidence of ductal PCa has increased over each decade, but
the overall percentage of ductal relative to acinar PCa has remained stable. Men
with ductal PCa were more likely to present with advanced disease (30% T3 with
ductal PCa, compared with 7% with acinar PCa). Men with ductal PCa underwent
similar rates of radical surgery, lower rates of radiotherapy but a higher
frequency of outlet (transurethral resection) procedures. Men with ductal PCa had
a significantly greater rate of death from PCa (12% vs 4%) than men with acinar
PCa. Comparing PCa-specific mortality, men with ductal PCa had similar rates of
death to men with Gleason 4 + 4 grade acinar PCa. CONCLUSIONS: Despite a stable
incidence, ductal PCa remains an aggressive PCa usually presenting with advanced
clinical stage and resulting in a high rate of PCa-specific mortality similar to
Gleason 4 + 4 acinar PCa. Patients would probably benefit from combined
modalities including radical surgery, radiotherapy and palliative outlet
procedures.
PMID- 21883857
TI - Survival of patients with small cell carcinoma of the prostate during 1973-2003:
a population-based study.
AB - OBJECTIVE: To describe the survival of patients with primary small cell carcinoma
(SCC) of the prostate and assess prognostic factors based on a large population
sample. PATIENTS AND METHODS: A total of 241 cases of SCC of the prostate were
reported to the Surveillance, Epidemiology, and End Results (SEER) registries
from 1973 to 2003 of which 191 cases were included in our study. We used the
Kaplan-Meier method for estimating survival, and Cox proportional hazard
regression modelling to evaluate prognostic variables. RESULTS: The overall age
adjusted incidence rate was 0.278 per 1,000,000 (95% confidence interval, 0.239
0.323). In all, 60.5% presented as metastatic disease compared with 39.5% who
presented as local/regional disease (P= 0.012). The 12, 24, 36, 48 and 60 months
observed survival rates were 47.9%, 27.5%, 19%, 17% and 14.3% respectively. On
univariate analyses, age <60, concomitant low-grade prostatic adenocarcinoma,
absence of metastasis, prostatectomy and radiation therapy were favourable
prognostic factors. In multivariate regression modelling, age, pathology and
stage were strong predictors of survival. CONCLUSIONS: Using the SEER database,
we present the largest study describing the epidemiology of primary SCC of the
prostate. We found age, concomitant low-grade prostatic adenocarcinoma, and stage
of the disease to be the strongest predictors of survival for patients with
prostatic SCC. Future studies evaluating a broader range of clinical and
molecular markers are needed to refine the prognostic model of this relatively
rare disease.
PMID- 21883858
TI - Early comparison of nephrectomy options in children (open, transperitoneal
laparoscopic, laparo-endoscopic single site (LESS), and robotic surgery).
AB - OBJECTIVE: To compare the perioperative parameters of paediatric patients who
underwent nephrectomy via laparo-endoscopic single site (LESS) surgery (also
known as single incision laparoscopic surgery or SILS) with those who underwent
nephrectomy via conventional laparoscopy (LAP), robotic-assisted laparoscopy
(RALN), and open surgery (OPEN). PATIENTS AND METHODS: The medical records of 69
paediatric patients at a single institution who underwent nephrectomies for non
functioning kidneys in 72 renal units (39 OPEN, 11 LAP, 11 RALN and 11 LESS) were
reviewed for patient demographics and perioperative clinical parameters. RESULTS:
The minimally invasive modalities in children, including LESS nephrectomy, were
associated with shorter lengths of hospital stay (P < 0.001) and decreased
postoperative pain medication usage (P < 0.001) than with open surgery. Similar
surgical times were noted with LESS and the other minimally invasive modalities
(LAP and RALN) (P= 0.056). However, the minimally invasive modalities (LESS, LAP
and RALN) were associated with slightly longer surgical times when compared with
open surgery (P < 0.001), which may, in part, be secondary to learning curve
factors. No differences were noted among the minimally invasive modalities for
postoperative pain medication usage (P= 0.354) and length of hospital stay (P=
0.86). CONCLUSIONS: The minimally invasive modalities for nephrectomy in
children, including LESS nephrectomy, are associated with shorter lengths of
hospital stay and decreased postoperative pain medication use when compared with
open surgery. LESS nephrectomy in children is associated with similar surgical
times, lengths of hospital stay and postoperative pain medication use as the
other minimally invasive modalities (LAP and RALN). Slightly longer surgical
times are noted with the minimally invasive modalities, including LESS
nephrectomy, when compared with open surgery, which may, in part, be secondary to
learning curve factors.
PMID- 21883859
TI - Photoselective vaporization of the prostate: pursuing good indications based on
the results of 400 Japanese patients.
AB - OBJECTIVE: To investigate good indications of photoselective vaporization of the
prostate (PVP) by retrospective evaluation of its efficacy in relation to
prostate volume. PATIENTS AND METHODS: A cohort of 400 patients had International
Prostate Symptoms Score (IPSS), Quality of Life (QoL) score, urinary peak flow
(Q(max) ), and prostate volume (P(vol) ), assessed before and after surgery.
Changes of outcome variables were compared among four groups classified according
to preoperative prostate volume: <30 mL (group A), >=30 and <50 mL (group B),
>=50 and <70 mL (group C), and >70 mL (group D). RESULTS: Operating times and
amounts of energy applied were 44.2 min and 159 kJ, 67.7 min and 268 kJ, 111 min
and 409 kJ, and 171 min and 604 kJ in groups A, B, C, and D, respectively. All
variables improved significantly and immediately, and remained for more than 12
months. Investigation of groups classified by prostate size demonstrated that
IPSS and QoL score decreased and improvement rate of Q(max) rose as prostate
volume increased. Changes of P(vol) were from 24.5 mL to 13.2 mL (-46.1%), from
39.8 mL to 22.9 mL (-42.4%), from 58.4 mL to 32.8 mL (-43.8%), and from 92.1 mL
to 53.8 mL (-41.5%) in groups A, B, C, and D, respectively. Overall the P(vol)
reduction rate was 43.2%, and no significant differences were observed in
reduction ratios among the groups. Complications were minimal, but bladder neck
contracture arose in 16 cases with a smaller prostate. CONCLUSION: Whilst the
percentage reduction in volume was similar across the groups, the larger absolute
volume reduction in patients with larger prostates was associated with greater
improvement in objective urinary function variables, and with symptom score
reduction.
PMID- 21883860
TI - Bilateral pudendal afferent stimulation improves bladder emptying in rats with
urinary retention.
AB - OBJECTIVE: To determine whether bilateral electrical stimulation (BiES) of the
transected pudendal sensory nerves could further enhance the voiding efficiency
beyond that produced by unilateral electrical stimulation (UniES) of transected
pudendal afferents in rats with urinary retention. MATERIALS AND METHODS: The
efficiency of bladder emptying with either UniES or BiES of pudendal nerve
afferents was measured after acute bilateral transection of the sensory branch of
the pudendal nerve. The effects of UniES and BiES on voiding in a partially
denervated bladder and acute spinal transection, respectively, were determined.
RESULTS: The voiding efficiency (VE) was reduced from 69 to 22% after bilateral
transection of the sensory branch of the pudendal nerve. UniES or BiES increased
the VE to 49-62%. Although in most instances BiES consistently generated more
efficient bladder emptying than did UniES, these differences were not
significant. Both UniES and BiES increased VE after unilateral pelvic nerve
transection, demonstrating efficacy in a partially denervated bladder. The
enhancement of VE by either UniES or BiES was preserved after acute T(9)-T(10),
demonstrating the spinal origin of this augmenting reflex. CONCLUSIONS: The
results of the present study are consistent with an essential role for pudendal
sensory feedback in efficient bladder emptying, and unilateral and bilateral
electrical activation of pudendal nerve afferents are equally efficient in
improving bladder emptying in an animal model of urinary retention. This could
provide an approach to improve bladder emptying in patients with non-obstructive
urinary retention.
PMID- 21883861
TI - The changing pattern of antimicrobial resistance within 42,033 Escherichia coli
isolates from nosocomial, community and urology patient-specific urinary tract
infections, Dublin, 1999-2009.
AB - OBJECTIVE: To investigate the changing pattern of antimicrobial resistance in
Escherichia coli urinary tract infection over an eleven year period, and to
determine whether E. coli antibiotic resistance rates vary depending on whether
the UTI represents a nosocomial, community acquired or urology patient specific
infection. PATIENT AND METHODS: A retrospective analysis of the 42,033 E. coli
urine isolates from the 11-year period 1999-2009 in a single Dublin teaching
hospital was performed. WHONET(TM) software was used to analyse the changing
pattern of sensitivity and resistance of E. coli to commonly used antibiotics
over the study period. The origins of the urine samples were stratified into
three groups: inpatients with nosocomial UTIs; urine originating from the
emergency department and general practice (community UTIs); and UTIs in urology
patients. RESULTS: Urinary tract infections in the urology patient population
demonstrate higher antibiotic resistance rates than nosocomial or community UTIs.
There were significant trends of increasing resistance over the 11-year period
for ampicillin, trimethoprim, gentamicin and ciprofloxacin, and significant
differences in co-amoxyclav, gentamicin, nitrofurantion and ciprofloxacin
resistance rates depending on the sample origin. Ampicillin and trimethoprim were
the least active agents against E. coli, with total 11-year resistance rates of
58.3 and 33.8%, respectively. The overall gentamicin resistance rate was 3.4% and
is climbing at a rate of 0.7% per year (P < 0.001). Within the urology patient
population the resistance rate was 6.4%. Ciprofloxacin resistance approaches 20%
in the nosocomial UTI population and approaches 30% in the urology population;
however, it remains a reasonable empirical antibiotic choice in this community,
with an 11-year resistance rate of 10.6%. CONCLUSIONS: E. coli remains the
commonest infecting uropathogen in the community and hospital setting with its
incidence climbing from 50 to 60% of UTIs over the 11-year period. Neither
penicillins nor trimethoprim represent suitable empirical antimicrobials for UTI
and ciprofloxacin resistance in this Dublin-based study renders it unsuitable
empirical therapy for nosocomial UTIs and UTIs in the urology population. The
dramatic 11-year rate increase in gentamicin resistance is of paramount concern.
PMID- 21883862
TI - The effect of intracrystalline and surface-bound osteopontin on the attachment of
calcium oxalate dihydrate crystals to Madin-Darby canine kidney (MDCK) cells in
ultrafiltered human urine.
AB - OBJECTIVE: To determine the effects of intracrystalline (IC), surface-bound (SB)
and combined IC + SB osteopontin (OPN) on the binding of urinary calcium oxalate
dihydrate (COD) crystals to Madin-Darby canine kidney (MDCK-II) cells in
ultrafiltered (UF) human urine. MATERIALS AND METHODS: (14)C-oxalic acid-labelled
urinary COD crystals containing IC OPN were generated in pooled UF human urine
containing human milk OPN at concentrations of 0, 1.0 and 5.0 mg/L. Additional
labelled crystals were nucleated from a separate sample of the same pooled UF
urine, to which were later added the same amounts of protein to produce crystals
with SB OPN. COD crystals with IC+SB OPN were prepared using a combination of
both techniques. Control crystals were prepared in the absence of OPN. Crystals
were incubated with MDCK-II cells for up to 180 min in UF urine adjusted to 8 mm
Ca(2+). Binding values for individual concentrations at specific time points and
overall differences between binding curves were compared using the Mann-Whitney U
test. Crystal morphology and attachment to the cells were confirmed using field
emission scanning electron microscopy (FESEM). RESULTS: The sizes of crystals
precipitated from UF urine in the presence of 0, 1 and 5 mg/L OPN were 21.9 um,
19.3 um and 16.5 um, indicating that OPN had inhibited crystal growth in a dose
dependent fashion. Binding curves for control crystals were smooth, while those
of the IC and IC+SB COD crystals associated with 1 and 5 mg/L OPN were bimodal,
as were those of the 1 mg/L SB crystals. This suggests that OPN induces or
potentiates a transient response that enables MDCK-II cells to release COD
crystals after they have attached. Although OPN generally reduced the binding of
urinary COD crystals to MDCK-II cells, at times it also appeared to mediate
adhesion. It is possible therefore that OPN can reduce or increase crystal
binding, and that our data represent the net effect of its opposing inhibitory or
promotory properties. CONCLUSIONS: In UF urine, OPN inhibits the growth of COD
crystals and reduces the binding of urinary COD crystals to MDCK-II cells,
regardless of whether it is IC, SB, or IC+SB. Future studies aimed at clarifying
the effects of OPN, or indeed any urinary component, on crystal-cell interaction,
should use crystals precipitated from urine and be performed under urinary
conditions.
PMID- 21883863
TI - Prognostic significance of preoperative kidney volume for predicting renal
function in renal cell carcinoma patients receiving a radical or partial
nephrectomy.
AB - Study Type - Prognosis (case series) Level of Evidence 4 What's known on the
subject? and What does the study add? At present, many studies have been executed
to identify predictors for chronic kidney disease or renal insufficiency after
radical nephrectomy or partial nephrectomy. We examined whether preoperative
kidney volume is a predictor for renal function after radical or partial
nephrectomies in RCC patients. To our knowledge, this is the first study to
report on the relationship between preoperative kidney volume and changes in
renal function in RCC patients who underwent radical nephrectomy or partial
nephrectomy performed by one surgeon. OBJECTIVE: To investigate whether
preoperative kidney volume is a prognostic factor for predicting the
postoperative glomerular filtration rate (GFR) in renal cell carcinoma (RCC)
patients. PATIENTS AND METHODS: We included 133 patients who underwent radical
(n= 83) or partial (n= 50) nephrectomy for RCC. Kidney parenchymal volume was
measured using personal computer-based software and GFR was estimated before and
after surgery at 6 and 12 months. We evaluated the change in kidney volume after
radical and partial nephrectomy and used regression analysis to identify
predictors of lower post-surgical GFR at 12 months. RESULTS: The mean volume of
the normal side kidney for the radical nephrectomy group increased from 142.4 mL
to 166.0 mL (17.2%) and 171.5 mL (21.2%) after surgery at 6 and 12 months,
respectively. In the partial nephrectomy group, the volume of the normal side
kidney increased from 127.2 mL to 138.8 mL (9.1%) and 140.6 mL (10.9%) after
surgery at 6 and 12 months, respectively. The volume of the operated side kidney
decreased from 128.5 mL to 102.3 mL (20.1%) and 101.8 (20.6%) after surgery at 6
and 12 months, respectively. In the radical nephrectomy group, older age (P <
0.001), preoperative volume of the normal kidney (P= 0.022) and preoperative GFR
for the normal side kidney (P= 0.045) were significant predictors of lower post
surgical GFR at 12 months. In the partial nephrectomy group, older age (P= 0.001)
and preoperative volume for both kidneys (P= 0.037) were significant predictors
of lower post-surgical GFR at 12 months. CONCLUSION: Preoperative kidney volume
is an independent predictor of GFR in RCC patients who underwent radical or
partial nephrectomy.
PMID- 21883864
TI - Clinical efficacy and prognostic factors for overall survival in Japanese
patients with metastatic renal cell cancer treated with sunitinib.
AB - Study Type--Therapy (case series). Level of Evidence 4. What's known on the
subject? and What does the study add? A randomized prospective phase III clinical
trial for systemic treatment-naive metastatic renal cell cancer (RCC) patients
demonstrated the superiority of sunitinib over interferon with an acceptable
safety profile. However, a commonly asked question is whether patients with RCC
in clinical trials are representative of those with this disease being seen in
ordinary clinical practice. To our knowledge, this is the first report of
sunitinib for the Japanese patients with metastatic RCC in ordinary clinical
practice. The estimated median PFS and OS in this study were 9.3 and 32.2 months,
respectively. The application of the MSKCC model distinctly separated OS curves
(P<0.001), suggesting that MSKCC prognostic factors might be still valid to
predict survival in metastatic RCC in the era of molecular targeted therapy.
OBJECTIVES: * To report the treatment efficacy and safety profile of sunitinib
for patients with metastatic renal cell carcinoma (RCC) in ordinary clinical
practice. * In addition, to investigate the prognostic clinicopathological
factors in these patients. PATIENTS AND METHODS: * The present study consisted of
native Japanese patients with metastatic RCC, comprising 29 pretreated and 34
systemic treatment-naive patients. * Univariate and multivariate analyses were
performed by the log-rank test and the Cox proportional hazards model,
respectively. RESULTS: * Estimated median progression-free survival and overall
survival (OS) were 9.3 months (95% confidence interval, CI, 5.0-13.7) and 32.2
months (95% CI, 24.4-40.0), respectively. * Among the patients pretreated before
sunitinib, two patients were treated with initialized systemic therapy with
sorafenib and the remaining 27 were initialized with interferon-alpha. * The OS
from the initial systemic therapy of the patients in pretreated groups was 79.6
months (95% CI, 14.6-144.5). * The application of the Memorial Sloan-Kettering
Cancer Center model distinctly separated the OS curves (P < 0.001). * The most
common grade 3 adverse events were fatigue (53%), thrombocytopaenia (48%), hand
foot syndrome (16%), anaemia (20%), hypertension (10%) and leucopaenia (9%),
although these events were manageable and reversible. CONCLUSIONS: * Sunitinib
has a favourable efficacy/safety profile for Japanese metastatic RCC patients in
clinical practice. * The estimated median OS was >2 years with acceptable
tolerability. * The median OS from the initial systemic therapy of the pretreated
patients was >6 years. * Memorial Sloan-Kettering Cancer Center prognostic
factors still appear to be valid for predicting survival in metastatic RCC in the
era of molecular targeted therapy.
PMID- 21883865
TI - Early comparison of nephrectomy options in children (open, transperitoneal
laparoscopic, laparo-endoscopic single site (LESS), and robotic surgery).
PMID- 21883867
TI - Components of oviduct physiology in eutherian mammals.
AB - Recalling the evolutionary sequence of development first of gonad and
subsequently of oviducts, ovarian endocrine regulation of all known components of
oviduct physiology is reviewed. Ovaries not only influence oviducts via the
systemic blood circulation, but also locally by counter-current transfer of
relatively high concentrations of steroid hormones and prostaglandins between the
ovarian vein and oviduct branch of the ovarian artery. The efficiency and impact
of such counter-current transfer is greatest around the time of ovulation, the
transfer process receiving further inputs from hormones present in peritoneal
fluid. Classical oviduct physiology is summarised, and the potential molecular
consequences of temperature gradients within the duct lumen examined. At
ovulation, an oocyte-cumulus complex is displaced in minutes from the follicular
surface to the site of fertilisation at the ampullary-isthmic junction of the
oviduct. This rapid initial phase is contrasted with the subsequent slow
progression of embryos to the uterus in days, still encompassed within a zona
pellucida. Regarding transport of spermatozoa, the formation of a pre-ovulatory
reservoir in the caudal portion of the oviduct isthmus is noted, with suppression
of motility and sperm-head binding to epithelial organelles acting to maintain
fertilising ability. Completion of capacitation is prompted shortly before
ovulation, predominantly by Ca(2+) influx into bound spermatozoa. A controlled
release of spermatozoa coupled with their hyperactivation results in initial
sperm:egg ratios at the site of fertilisation close to unity, thereby avoiding
the pathological condition of polyspermy. Both the oviduct milieu and embryonic
development are influenced by paracrine activity of follicular granulosa cells
released at ovulation and remaining in suspension in the vicinity of the oocyte
or embryo. These cells may amplify early pregnancy signals from a zygote to the
endosalpinx. Beneficial effects of the oviduct on domestic animal embryos are
contrasted with anomalies arising as a consequence of in vitro culture. Primate
embryos do not require exposure to an oviduct for normal development, perhaps due
to overlapping compositions of endosalpingeal and endometrial secretions.
Additionally, primate endometrial secretions may be modified by viable gametes or
an embryo in the presence of a cumulus cell suspension.
PMID- 21883866
TI - Characterization of polygenic resistance to powdery mildew in tomato at
cytological, biochemical and gene expression level.
AB - Extensive research in the area of plant innate immunity has increased
considerably our understanding of the molecular mechanisms associated with
resistance controlled by a dominant resistance gene. In contrast, little is known
about the molecular basis underlying the resistance conferred by quantitative
trait loci (QTLs). In this study, using the interaction of tomato (Solanum
lycopersicum) with Oidium neolycopersici, we compared the cytological,
biochemical and molecular mechanisms involved in both monogenic and polygenic
resistances conferred by a dominant gene (Ol-1) and three QTLs (Ol-qtls),
respectively. Our results showed that the three Ol-qtls jointly confer a very
high level of broad-spectrum resistance and that the resistance is associated
with both the hypersensitive response and papillae formation, with the
hypersensitive response being prevalent. Both H(2)O(2) and callose accumulation,
which are coupled with Ol-1-mediated resistance, are also associated with the
resistance conferred by Ol-qtls. Further, we analysed the pathogen-induced
transcript profiles of near-isogenic lines carrying the three Ol-qtls and the Ol
1 gene. Transcript profiles obtained by cDNA-amplified fragment length
polymorphism analysis showed that, on fungal challenge, about 70% of the
transcript-derived fragments are up-regulated in both susceptible and resistant
genotypes. Most of the sequenced transcript-derived fragments showed homology to
genes with functions in defence responses, suggesting that defence-responsive
genes responsible for basal defence are involved in both monogenic and polygenic
resistances conferred by Ol-1 and Ol-qtls, respectively. Although about 18% of
the identified transcript-derived fragments are specific for either monogenic or
polygenic resistance, their expression patterns need to be further verified by
quantitative reverse transcriptase-polymerase chain reaction.
PMID- 21883868
TI - Robust production of virus-like particles and monoclonal antibodies with
geminiviral replicon vectors in lettuce.
AB - Pharmaceutical protein production in plants has been greatly promoted by the
development of viral-based vectors and transient expression systems. Tobacco and
related Nicotiana species are currently the most common host plants for the
generation of plant-made pharmaceutical proteins (PMPs). Downstream processing of
target PMPs from these plants, however, is hindered by potential technical and
regulatory difficulties owing to the presence of high levels of phenolics and
toxic alkaloids. Here, we explored the use of lettuce, which grows quickly yet
produces low levels of secondary metabolites and viral vector-based transient
expression systems to develop a robust PMP production platform. Our results
showed that a geminiviral replicon system based on the bean yellow dwarf virus
permits high-level expression in lettuce of virus-like particles (VLP) derived
from the Norwalk virus capsid protein and therapeutic monoclonal antibodies
(mAbs) against Ebola and West Nile viruses. These vaccine and therapeutic
candidates can be readily purified from lettuce leaves with scalable processing
methods while fully retaining functional activity. Furthermore, this study also
demonstrated the feasibility of using commercially produced lettuce for high
level PMP production. This allows our production system to have access to
unlimited quantities of inexpensive plant material for large-scale production.
These results establish a new production platform for biological pharmaceutical
agents that are effective, safe, low cost, and amenable to large-scale
manufacturing.
PMID- 21883870
TI - Systematic review on the financial return of worksite health promotion programmes
aimed at improving nutrition and/or increasing physical activity.
AB - This systematic review summarizes the current evidence on the financial return of
worksite health promotion programmes aimed at improving nutrition and/or
increasing physical activity. Data on study characteristics and results were
extracted from 18 studies published up to 14 January 2011. Two reviewers
independently assessed the risk of bias of included studies. Three metrics were
(re-)calculated per study: the net benefits, benefit cost ratio (BCR) and return
on investment (ROI). Metrics were averaged, and a post hoc subgroup analysis was
performed to compare financial return estimates between study designs. Four
randomized controlled trials (RCTs), 13 non-randomized studies (NRSs) and one
modelling study were included. Average financial return estimates in terms of
absenteeism benefits (NRS: ROI 325%, BCR 4.25; RCT: ROI -49%, BCR 0.51), medical
benefits (NRS: ROI 95%, BCR 1.95; RCT: ROI -112%, BCR -0.12) or both (NRS: ROI
387%, BCR 4.87; RCT: ROI -92%, BCR 0.08) were positive in NRSs, but negative in
RCTs. Worksite health promotion programmes aimed at improving nutrition and/or
increasing physical activity generate financial savings in terms of reduced
absenteeism costs, medical costs or both according to NRSs, whereas they do not
according to RCTs. Since these programmes are associated with additional types of
benefits, conclusions about their overall profitability cannot be made.
PMID- 21883869
TI - Lightening the load? A systematic review of community pharmacy-based weight
management interventions.
AB - The extent to which community pharmacies can increase capacity for weight
management is unknown. Thus, the objective of the present paper was to evaluate
the effectiveness and cost-effectiveness of community pharmacy weight management
interventions. This paper used a design of systematic review and narrative
synthesis. Electronic databases (1999-2009) were searched, including Medline,
EMBASE, CINAHL and Pharm-line. Weight management studies in community pharmacies
were eligible for the inclusion criteria. All languages and study designs were
considered. Outcome measures included body weight or anthropometry (at baseline
and at least one follow-up time point). Data were extracted through independent,
duplicate data extraction and quality assessment. As a result, 10 studies were
included, totalling 2,583 service users and 582 pharmacies from the USA, the UK,
Switzerland, Spain and Denmark. One was a randomized controlled trial of a meal
replacement versus a reduced calorie diet. A non-randomized controlled before and
after study compared community pharmacist treatment using Orlistat with usual
care. Eight studies were uncontrolled. Five studies described behaviour change
techniques. Long-term (12 months) mean weight loss measured in three studies
ranged from 1.1 to 4.1 kg. Four uncontrolled studies reported statistically
significant weight loss. No study reported economic evaluations. Currently, there
is insufficient evidence for the effectiveness and cost-effectiveness of
community pharmacy-based weight management initiatives to support investment in
their provision.
PMID- 21883871
TI - The benefits of bariatric surgery in obese patients with hip and knee
osteoarthritis: a systematic review.
AB - Osteoarthritis is a common progressive disease leading to joint pain and severe
disability. It is a complex multifactorial disease leading to damage of
cartilage, deposition of subchondral bone matrix and release of pro-inflammatory
cytokines. Obesity is an emerging epidemic and also an important risk factor for
osteoarthritis. Weight loss has been shown to improve pain and function in hip
and knee joints with osteoarthritis. Bariatric surgery currently is the only
evidence-based approach to marked weight loss in obese individuals. However,
there is currently limited literature to evaluate the role of bariatric surgery
in hip and knee osteoarthritis. The objective of the present study was to
systematically review the literature regarding the effectiveness of bariatric
surgery in obese adult patients in improving large weight-bearing joint (hip and
knee) osteoarthritis. Published English-language manuscripts were considered for
review inclusion. A comprehensive search of electronic databases using broad
search terms was completed. From a total of 400 articles, eight articles were
identified. A total of six studies were included for qualitative analysis. A
general trend was identified indicating improved hip and knee osteoarthritis
following marked weight loss secondary to bariatric surgery. This systematic
review demonstrates that bariatric surgery may benefit obese patients with hip or
knee osteoarthritis. However, this review identifies the need for randomized
controlled trials to clarify the role and indications for bariatric surgery.
PMID- 21883872
TI - Perinatal morbidity associated with late preterm deliveries compared with
deliveries between 37 and 40 weeks of gestation.
AB - OBJECTIVE: To estimate the risk of short-term complications in neonates born
between 34 and 36 weeks of gestation. DESIGN: This is a retrospective cohort
study. SETTING: Deliveries in 2005 in the USA. POPULATION: Singleton live births
between 34 and 40 weeks of gestation. METHODS: Gestational age was subgrouped
into 34, 35, 36 and 37-40 completed weeks of gestation. Statistical comparisons
were performed using chi-square test and multivariable logistic regression
models, with 37-40 weeks of gestation designated as referent. MAIN OUTCOME
MEASURES: Perinatal morbidities, including 5-minute Apgar scores, hyaline
membrane disease, neonatal sepsis/antibiotics use, and admission to the intensive
care unit. RESULTS: In all, 175,112 neonates were born between 34 and 36 weeks in
2005. Compared with neonates born between 37 and 40 weeks, neonates born at 34
weeks had higher odds of 5-minute Apgar <7 (adjusted odds ratio [aOR] 5.51, 95%
CI 5.16-5.88), hyaline membrane disease (aOR 10.2, 95% CI 9.44-10.9), mechanical
ventilation use >6 hours (aOR 9.78, 95% CI 8.99-10.6) and antibiotic use (aOR
9.00, 95% CI 8.43-9.60). Neonates born at 35 weeks were similarly at risk of
morbidity, with higher odds of 5-minute Apgar <7 (aOR 3.42, 95% CI 3.23-3.63),
surfactant use (aOR 3.74, 95% CI 3.21-4.22), ventilation use >6 hours (aOR 5.53,
95% CI 5.11-5.99) and neonatal intensive-care unit admission (aOR 11.3, 95% CI
11.0-11.7). Neonates born at 36 weeks remain at higher risk of morbidity compared
with deliveries at 37-40 weeks of gestation. CONCLUSIONS: Although the risk of
undesirable neonatal outcomes decreases with increasing gestational age, the risk
of neonatal complications in late preterm births remains higher compared with
infants delivered at 37-40 weeks of gestation.
PMID- 21883873
TI - Clinical characteristics of well women seeking labial reduction surgery: a
prospective study.
AB - OBJECTIVE: To assess clinical characteristics and expectations in well women
requesting elective labial reduction surgery. DESIGN: Prospective study of women
attending an outpatient gynaecology clinic. SETTING: General gynaecology clinic
at a Central London teaching hospital. SAMPLE: Women requesting labial reduction
surgery and referred by their general practitioner. METHODS: The labia minora
width and length were measured for all participants for comparison with published
normal values. The presenting complaint was recorded, along with demographic
details, expectations of surgery and sources of information regarding appearance
of the labia. MAIN OUTCOME MEASURES: Labial measurements, reported symptoms and
expectations of surgery. RESULTS: The labia of all participants were within
normal published limits, with a mean (SD) of 26.9 (12.8) mm (right labia), and
24.8 (13.1) mm (left labia). The majority of complaints were regarding appearance
or discomfort. Expectations were to alter the appearance with surgery.
CONCLUSIONS: All women seeking surgery had normal-sized labia minora. Clear
guidance is needed for clinicians on how best to care for the worried well woman
seeking surgery.
PMID- 21883874
TI - Randomized double-blind sham-controlled crossover study of short-term effect of
percutaneous electrical nerve stimulation in neuropathic pain.
AB - BACKGROUND: Percutaneous electrical nerve stimulation (PENS) is an electrical
neuromodulation technique that has shown its therapeutic potential in various
chronic pain conditions over the past few years, but well-blinded controlled
studies are lacking. PATIENTS AND METHODS: A randomized double-blind sham
controlled crossover trial on 31 patients with chronic pain with surface
hyperalgesia to investigate the efficacy of PENS. RESULTS: For the active PENS
therapies, the median numerical rating scale (NRS) for pain changed from 7.5
(standard deviation [SD] +/- 1) (range 6-10) before therapy to 0.5 (range 0-8.5)
after therapy (Z = -4.206, P < 0.0005 [two-tailed]). The mean pain pressure
threshold (PPT) measured with the von Frey aesthesiometer changed from 202 gm (SD
+/- 137 gm) (range 55-800 gm) before therapy to 626 gm (SD +/- 228 gm) (range 45
800 gm) after therapy (Z = -4.373, P < 0.0005 [two-tailed]). There was a
statistically significant difference between the changes in NRS for the active
(3.9 [+/-3.2][0-8]) compared with the sham (0.1 [+/-0.4][0-1.5]) therapies, U =
40, Z = -3.484, P < 0.0001 (two-tailed). There was a statistically significant
difference between the changes in PPT for the active (310 gm [+/-267 gm][0-670
gm]) compared with the sham (8 gm [+/-4 gm][0-15 gm]) therapies, U = 48.5, Z =
2.699, P = 0.007 (two-tailed). CONCLUSION: PENS therapy appears to be effective
in providing short-term pain relief in chronic pain conditions. Studies,
involving larger sample sizes and longer follow-up are recommended.
PMID- 21883875
TI - Brazilian Portuguese validation of the Leeds Assessment of Neuropathic Symptoms
and Signs for patients with chronic pain.
AB - BACKGROUND: Neuropathic pain (NP) is a very frequent and unrecognized condition
in clinical practice. Therefore, it is important to have a reliable instrument to
assess pain subtypes in various cultures. The Leeds Assessment of Neuropathic
Symptoms and Signs (LANSS) has been widely used and validated in many countries.
Up to now, there has been no reliability study of this instrument in Brazil.
METHODS: The scores of the Brazilian Portuguese version of the LANSS were studied
in a sample of 90 chronic pain outpatients from southern Brazil. LANSS was
translated into Portuguese and then back translated to English. Intraclass
correlation coefficient (ICC) and internal consistency (IC) were estimated. The
intensity of pain complaints, other demographic data, and LANSS scores
distribution according to pain subtypes (nociceptive, neuropathic, and mixed)
were also evaluated. RESULTS: The Brazilian Portuguese version of the LANSS
showed good ICC (r = 0.97) and IC (Cronbach's alpha = 0.67 for total LANSS
score). Patients with NP provided significant higher LANSS scores (19.1 +/- 3.3)
in comparison with those with nociceptive (7.3 +/- 4.5) and mixed (13.9 +/- 3.7)
types of pain. CONCLUSIONS: This LANSS version was found to be a reliable
instrument for the evaluation of pain complaints due to a variety of causes. The
profile of pain scores was similar to that observed in other countries.
PMID- 21883876
TI - Measuring the pain impact in adults with a chronic pain condition: adaptation and
validation of the Pain Impact Questionnaire (PIQ-6) to the Portuguese culture.
AB - OBJECTIVE: The aim of this study was to validate the Pain Impact Questionnaire
(PIQ-6) for Portuguese adults with a chronic pain condition. DESIGN: The
Portuguese version was developed through forward-backward translations and a
cognitive debriefing with chronic pain patients. A subsequent sample of patients
was selected to test the validity and the obtained Portuguese measure. PATIENTS
AND SETTING: Patients are comprised of a sample of 104 physical therapy patients
with chronic pain from four outpatient clinics. OUTCOMES MEASURES: Reliability
and validity were tested by administering the PIQ-6, the Medical Outcomes Study
12-Item Short Form (SF-12) questionnaire, a numerical rating pain scale, and a
form to collect some of the characteristics of the patients. RESULTS: After
obtaining the semantic and content equivalence, the Portuguese version of PIQ-6
showed good levels of reproducibility (intraclass correlation coefficient between
0.82 and 0.94) and good levels of internal consistency, with Cronbach's alpha of
0.92. The factor analysis confirmed the unidimensionality of the measure (initial
eigen-value = 4.29, 71.6%). Construct validity was supported by the correlations
obtained with a numerical rating pain scale (rho = 0.705), SF-12 subscales (r =
0.723 and -0.656), and the age of the patients (r = 0.274). CONCLUSION: The PIQ-6
proved to be equivalent in both cultures (American/Portuguese), and is useful,
reliable, and valid for use in Portugal.
PMID- 21883877
TI - Comparison of 'time within therapeutic INR range' with 'percentage INR within
therapeutic range' for assessing long-term anticoagulation control in children: a
rebuttal.
PMID- 21883878
TI - Fondaparinux treatment of acute heparin-induced thrombocytopenia confirmed by the
serotonin-release assay: a 30-month, 16-patient case series.
AB - BACKGROUND: Fondaparinux is theoretically an attractive agent for the treatment
of immune heparin-induced thrombocytopenia (HIT), a prothrombotic disorder caused
by platelet-activating anti-platelet factor 4/heparin antibodies. Although
reports of the use of fondaparinux for this indication have thus far been
favorable, the diagnosis of HIT in most cases was not based on definitive
laboratory confirmation of heparin-dependent, platelet-activating antibodies.
OBJECTIVES: To report thrombotic and major bleeding outcomes with fondaparinux in
patients with a high likelihood of having acute HIT based on clinical features
and a positive result in the confirmatory platelet serotonin-release assay (SRA),
a sensitive and specific test for platelet-activating HIT antibodies.
METHODS/PATIENTS: We reviewed consecutive eligible patients with SRA-positive HIT
(mean peak serotonin release, 91% [normal, < 20%]; mean IgG-specific PF4/heparin
enzyme immunoassay result, 2.53 optical density units [normal, < 0.45 units]) in
one medical center over a 30-month period who received fondaparinux for
anticoagulation during acute HIT (platelet count, < 150 * 10(9) L(-1)). Where
available, plasma samples were used to measure thrombin-antithrombin (TAT)
complex levels. RESULTS: Sixteen patients with SRA-positive HIT received
fondaparinux: 14 surgical (11 after cardiac surgery; three after vascular
surgery) and two medical (acute stroke). Fifty-six per cent of patients had HIT
associated thrombosis at the time of diagnosis. No patient developed new,
recurrent or progressive thrombosis; one patient developed a major bleed (calf
hematoma). One patient judged to have irreversible tissue necrosis before
receiving fondaparinux therapy ultimately required limb amputation. TAT complex
levels were reduced within 24 h of starting fondaparinux, and 13 of 13 patients
were successfully switched to warfarin. CONCLUSION: Fondaparinux shows promise
for the treatment of patients with SRA-positive acute HIT.
PMID- 21883880
TI - Differential contributions of monocyte- and platelet-derived microparticles
towards thrombin generation and fibrin formation and stability.
AB - BACKGROUND: Microparticles (MPs) are sub-micron vesicles shed by activated or
apoptotic cells, including platelets and monocytes. Increased circulating MPs are
associated with thrombosis; however, their role in thrombogenesis is poorly
understood. OBJECTIVE: To determine how MPs promote thrombin generation and
modulate fibrin density and stability. METHODS: Platelets and monocytes were
isolated from healthy donors. Platelets were stimulated with calcium ionophore,
thrombin receptor agonist peptide (TRAP) or TRAP/convulxin. Monocytes and human
monocytic THP-1 cells were stimulated with lipopolysaccharide (LPS). MPs were
isolated, washed by high-speed centrifugation and assessed using the following:
transmission electron microscopy (TEM), Nanoparticle Tracking Analysis (NTA),
flow cytometry, tissue factor (TF) activity, prothrombinase activity, thrombin
generation, and clot formation, density and stability. RESULTS: MPs from
monocytes (M-MPs) and platelets (PMPs) had similar shapes and diameters (100-300
nm). M-MPs had TF activity (16.7 +/- 2.4 pm TF per 10(6) MP), supported
prothrombinase activity and triggered shorter thrombin generation lag times than
buffer controls (5.4 +/- 0.5 vs. 84.2 +/- 4.8 min, respectively). Compared with
controls, M-MPs supported faster fibrin formation (0.24 +/- 0.24 vs. 76.7 +/-
15.1 mOD min(-1) , respectively), 38% higher fibrin network density and higher
clot stability (3.8-fold higher turbidity in the presence of tissue plasminogen
activator). In contrast, PMPs did not have TF activity and supported 2.8-fold
lower prothrombinase activity than M-MPs. PMPs supported contact-dependent
thrombin generation, but did not independently increase fibrin network density or
stability. Interestingly, PMPs increased rates of thrombin generation and fibrin
formation (1.7- and 1.3-fold, respectively) when mixed with THP-1-derived MPs.
CONCLUSION: MPs from platelets and monocytes differentially modulate clot
formation, structure and stability, suggesting unique contributions to
thrombosis.
PMID- 21883881
TI - Fondaparinux in acute heparin-induced thrombocytopenia: a case series.
PMID- 21883882
TI - The effects of cause of death classification on prognostic assessment of patients
with pulmonary embolism.
AB - BACKGROUND: Although previous studies have provided evidence that the majority of
deaths following an acute pulmonary embolism (PE) directly relate to the PE, more
recent registries and cohort studies suggest otherwise. METHODS: We assessed the
cause of death during the first 30 days after the diagnosis of acute symptomatic
PE in a consecutive series of patients. We also assessed the prognostic
characteristics of the simplified Pulmonary Embolism Severity Index (sPESI) and
cardiac troponin I (cTnI) obtained at the time of PE diagnosis. RESULTS: During
the first 30 days after diagnosis, 127 of the 1291 patients died (9.8%; 95%
confidence interval [CI], 8.2-11.5). Sixty patients (4.6%; 95% CI, 3.5-5.8) died
from definite or possible PE, and 67 (5.2%; 95% CI, 4.0-6.4) died from other
causes (cancer 25, infection 18, hemorrhage 7, heart failure 7, chronic
obstructive pulmonary disease 5, renal failure 1, seizures 1, unknown 3). The
sPESI predicted all-cause (odds ratio [OR], 5.97; 95% CI, 1.74-20.54; P < 0.01)
and PE-associated mortality (OR, 8.79; 95% CI, 1.12-68.79; P = 0.04). cTnI only
predicted PE-associated mortality (adjusted OR, 2.39; 95% CI, 1.25-4.57; P <
0.01). For all-cause mortality, the sPESI low-risk strata had a negative
predictive value of 98.8% (95% CI, 97.4-100) in comparison with 91.3% (95% CI,
88.9-93.6) for the cTnI. CONCLUSIONS: Within the first 30 days after the
diagnosis of acute symptomatic PE, death due to PE and death due to other causes
occur in a similar proportion of patients. As cTnI only predicted PE-associated
mortality, low-risk sPESI had a higher negative predictive value for all-cause
mortality compared with cTnI.
PMID- 21883883
TI - Muscle-directed gene therapy for hemophilia B with more efficient and less
immunogenic AAV vectors.
AB - BACKGROUND: Adeno-associated viral vector (AAV)-mediated and muscle-directed gene
therapy is a safe and non-invasive approach to treatment of hemophilia B and
other genetic diseases. However, low efficiency of transduction, inhibitor
formation and high prevalence of pre-existing immunity to the AAV capsid in
humans remain as main challenges for AAV2-based vectors using this strategy.
Vectors packaged with AAV7, 8 and 9 serotypes have improved gene transfer
efficiencies and may provide potential alternatives to overcome these problems.
OBJECTIVE: To compare the long-term expression of canine factor IX (cFIX) levels
and anti-cFIX antibody responses following intramuscular injection of vectors
packaged with AAV1, 2, 5, 7, 8 and 9 capsid in immunocompetent hemophilia B mice.
RESULTS: Highest expression was detected in mice injected with AAV2/8 vector (28%
of normal), followed by AAV2/9 (15%) and AAV2/7 (10%). cFIX expression by AAV2/1
only ranged from 0 to 5% of normal levels. High incidences of anti-cFIX inhibitor
(IgG) were detected in mice injected with AAV2 and 2/5 vectors, followed by
AAV2/1. None of the mice treated with AAV2/7, 2/8 and 2/9 developed inhibitors or
capsid T cells. CONCLUSIONS: AAV7, 8 and 9 are more efficient and safer vectors
for muscle-directed gene therapy with high levels of transgene expression and
absence of inhibitor formation. The absence of antibody response to transgene by
AAV7, 8 and 9 is independent of vector dose but may be due to the fact that these
three serotypes are associated with high level distribution to, and transduction
of, hepatocytes following i.m. injection.
PMID- 21883884
TI - The use of a single von Willebrand factor-containing, plasma-derived FVIII
product in hemophilia A immune tolerance induction: the US experience.
AB - BACKGROUND: Inhibitors are a serious complication for patients with severe
hemophilia A. Immune tolerance induction (ITI) is the primary method for
eradicating these inhibitors. The role of type of concentrate and in particular
the use of von Willebrand factor-containing, plasma-derived factor VIII (VWF/pd
FVIII) concentrate in primary or rescue ITI remains unclear. OBJECTIVES: To
report retrospective collection of data on the use of a single VWF/pd-FVIII
concentrate in primary and rescue ITI. METHODS: Retrospective chart review of
hemophilia A inhibitor patients at 11 US institutions who received VWF/pd-FVIII
concentrate in primary or rescue ITI. RESULTS: Primary ITI was carried out in
eight inhibitor patients with a 75% complete and partial success. Secondary ITI
was carried out in 25 inhibitor patients, with 52% attaining complete or partial
success. CONCLUSIONS: This report represents the largest group of primarily
pediatric, high-titer inhibitor patients treated with a single VWF/pd-FVIII
concentrate. It adds retrospective data to the use of VWF-containing plasma
derived factor VIII concentrate in primary and rescue ITI, particularly in those
patients with characteristics of poor response to ITI.
PMID- 21883885
TI - A functional variant in the thrombospondin-1 gene and the risk of small for
gestational age infants.
AB - INTRODUCTION: Thrombospondin-1 (TSP-1) is a prothrombotic and anti-angiogenic
glycoprotein expressed in the placenta. A functional single nucleotide
polymorphism in the TSP-1 gene (TSP-1 A2210G) is a risk factor for familial
premature myocardial infarction. Small for gestational age (SGA) infants are at
increased risk of coronary artery disease in adult life and common genetic
factors may underlie both conditions. We investigated the association of TSP-1
A2210G in SGA infants and their parents. METHOD: The 3234 nulliparous pregnant
women, their partners and babies were recruited in Adelaide and Auckland to a
prospective multicenter cohort study. Amongst 2123 Caucasian women, 216 (10.2%)
delivered an SGA infant, defined as birth weight < 10th customized centile
adjusted for maternal height, weight, parity and ethnicity, as well as
gestational age at delivery and infant sex. Uncomplicated pregnancies served as
controls (n = 1185). DNA extracted from peripheral/cord blood or buccal swabs was
genotyped using Sequenom MassARRAY. Multivariable logistic regression was used to
compare the odds of SGA between the genotype groups adjusting for potential
confounders. RESULTS: Paternal (adjOR, 1.4; 95% CI 1.0-2.0) and neonatal (adjOR,
1.8; 95% CI, 1.1-2.7) TSP-1 A2210G associates with SGA. The maternal polymorphism
approaches significance for an association with SGA (adjOR, 1.3; 95% CI, 0.9
1.9). Maternal TSP-1 A2210G associates with a reduced maternal birth weight
adjusted for gestational age at delivery (P = 0.03). CONCLUSION: The TSP-1 A2210G
polymorphism, which is a risk factor for myocardial infarction, is associated
with SGA pregnancies, suggesting that this polymorphism may associate with the
risk of vascular disorders across the life course.
PMID- 21883886
TI - TAFIa inhibiting nanobodies as profibrinolytic tools and discovery of a new TAFIa
conformation.
AB - BACKGROUND: Because activated thrombin activatable fibrinolysis inhibitor (TAFIa)
has very powerful antifibrinolytic properties, co-administration of t-PA and a
TAFIa inhibitor enhances t-PA treatment. OBJECTIVE: We aimed to generate
nanobodies specifically inhibiting the TAFIa activity and to test their effect on
t-PA induced clot lysis. RESULTS: Five nanobodies, raised towards an activated
more stable TAFIa mutant (TAFIa A(147) -C(305) -I(325) -I(329) -Y(333) -Q(335) ),
are described. These nanobodies inhibit specifically TAFIa activity, resulting in
an inhibition of up to 99% at a 16-fold molar excess of nanobody over TAFIa,
IC(50) 's range between 0.38- and > 16-fold molar excess. In vitro clot lysis
experiments in the absence of thrombomodulin (TM) demonstrate that the nanobodies
exhibit profibrinolytic effects. However, in the presence of TM, one nanobody
exhibits an antifibrinolytic effect whereas the other nanobodies show a slight
antifibrinolytic effect at low concentrations and a pronounced profibrinolytic
effect at higher concentrations. This biphasic pattern was highly dependent on TM
and t-PA concentration. The nanobodies were found to bind in the active-site
region of TAFIa and their time-dependent differential binding behavior during
TAFIa inactivation revealed the occurrence of a yet unknown intermediate
conformational transition. CONCLUSION: These nanobodies are very potent TAFIa
inhibitors and constitute useful tools to accelerate fibrinolysis. Our data also
demonstrate that the profibrinolytic effect of TAFIa inhibition may be reversed
by the presence of TM. The identification of a new conformational transition
provides new insights into the conformational inactivation of the unstable TAFIa.
PMID- 21883887
TI - Altered distribution of interstitial cells and innervation in the rat urinary
bladder following spinal cord injury.
AB - Changes in the distribution of interstitial cells (IC) are reportedly associated
with dysfunctional bladder. This study investigated whether spinal cord injury
(SCI) resulted in changes to IC subpopulations (vimentin-positive with the
ultrastructural profile of IC), smooth muscle and nerves within the bladder wall
and correlated cellular remodelling with functional properties. Bladders from SCI
(T8/9 transection) and sham-operated rats 5 weeks post-injury were used for ex
vivo pressure-volume experiments or processed for morphological analysis with
transmission electron microscopy (TEM) and light/confocal microscopy. Pressure
volume relationships revealed low-pressure, hypercompliance in SCI bladders
indicative of decompensation. Extensive networks of vimentin-positive IC were
typical in sham lamina propria and detrusor but were markedly reduced post-SCI;
semi-quantitative analysis showed significant reduction. Nerves labelled with
anti-neurofilament and anti-vAChT were notably decreased post-SCI. TEM revealed
lamina propria IC and detrusor IC which formed close synaptic-like contacts with
vesicle-containing nerve varicosities in shams. Lamina propria and detrusor IC
were ultrastructurally damaged post-SCI with retracted/lost cell processes and
were adjacent to areas of cellular debris and neuronal degradation. Smooth muscle
hypertrophy was common to SCI tissues. In conclusion, IC populations in bladder
wall were decreased 5 weeks post-SCI, accompanied with reduced innervation,
smooth muscle hypertrophy and increased compliance. These novel findings indicate
that bladder wall remodelling post-SCI affects the integrity of interactions
between smooth muscle, nerves and IC, with compromised IC populations.
Correlation between IC reduction and a hypercompliant phenotype suggests that
disruption to bladder IC contribute to pathophysiological processes underpinning
the dysfunctional SCI bladder.
PMID- 21883888
TI - Cardiomyocytes derived from human embryonic and induced pluripotent stem cells:
comparative ultrastructure.
AB - Induced pluripotent stem cells (iPSC) are generated from fully differentiated
somatic cells that were reprogrammed into a pluripotent state. Human iPSC which
can be obtained from various types of somatic cells such as fibroblasts or
keratinocytes can differentiate into cardiomyocytes (iPSC-CM), which exhibit
cardiac-like transmembrane action potentials, intracellular Ca(2+) transients and
contractions. While major features of the excitation-contraction coupling of iPSC
CM have been well-described, very little is known on the ultrastructure of these
cardiomyocytes. The ultrastructural features of 31-day-old (post-plating) iPSC-CM
generated from human hair follicle keratinocytes (HFKT-iPSC-CM) were analysed by
electron microscopy, and compared with those of human embryonic stem-cell-derived
cardiomyocytes (hESC-CM). The comparison showed that cardiomyocytes from the two
sources share similar proprieties. Specifically, HFKT-iPSC-CM and hESC-CM,
displayed ultrastructural features of early and immature phenotype: myofibrils
with sarcomeric pattern, large glycogen deposits, lipid droplets, long and
slender mitochondria, free ribosomes, rough endoplasmic reticulum, sarcoplasmic
reticulum and caveolae. Noteworthy, the SR is less developed in HFKT-iPSC-CM. We
also found in both cell types: (1) 'Ca(2+)-release units', which connect the
peripheral sarcoplasmic reticulum with plasmalemma; and (2) intercellular
junctions, which mimic intercalated disks (desmosomes and fascia adherens). In
conclusion, iPSC and hESC differentiate into cardiomyocytes of comparable
ultrastructure, thus supporting the notion that iPSC offer a viable option for an
autologous cell source for cardiac regenerative therapy.
PMID- 21883889
TI - Selection of disease-specific biomarkers by integrating inflammatory mediators
with clinical informatics in AECOPD patients: a preliminary study.
AB - Systemic inflammation is a major factor influencing the outcome and quality of
patient with chronic obstructive pulmonary disease (COPD) and acute exacerbations
(AECOPD). Because of the inflammatory complexity, a great challenge is still
confronted to optimize the identification and validation of disease-specific
biomarkers. This study aimed at developing a new protocol of specific biomarker
evaluation by integrating proteomic profiles of inflammatory mediators with
clinical informatics in AECOPD patients, understand better their function and
signal networks. Plasma samples were collected from healthy non-smokers or
patients with stable COPD (sCOPD) or AECOPD on days 1 and 3 of the admission and
discharging day (day 7-10). Forty chemokines were measured using a chemokine
multiplex antibody array. Clinical informatics was achieved by a Digital
Evaluation Score System (DESS) for assessing severity of patients. Chemokine data
was compared among different groups and its correlation with DESS scores was
performed by SPSS software. Of 40 chemokines, 30 showed significant difference
between sCOPD patients and healthy controls, 16 between AECOPD patients and
controls and 13 between AECOPD patients and both sCOPD and controls, including
BTC, IL-9, IL-18Bpa, CCL22,CCL23, CCL25, CCL28, CTACK, LIGHT, MSPa, MCP-3, MCP-4
and OPN. Of them, some had significant correlation with DESS scores. There is a
disease-specific profile of inflammatory mediators in COPD and AECOPD patients
which may have a potential diagnostics together with clinical informatics of
patients. Our preliminary study suggested that integration of proteomics with
clinical informatics can be a new way to validate and optimize disease-special
biomarkers.
PMID- 21883890
TI - Bone marrow mesenchymal stem cells can differentiate and assume corneal
keratocyte phenotype.
AB - It remains elusive as to what bone marrow (BM) cell types infiltrate into injured
and/or diseased tissues and subsequently differentiate to assume the phenotype of
residential cells, for example, neurons, cardiac myocytes, keratocytes, etc., to
repair damaged tissue. Here, we examined the possibility of whether BM cell
invasion via circulation into uninjured and injured corneas could assume a
keratocyte phenotype, using chimeric mice generated by transplantation of
enhanced green fluorescent protein (EGFP)(+) BM cells into keratocan null (Kera(
/-)) and lumican null (Lum(-/-)) mice. EGFP(+) BM cells assumed dendritic cell
morphology, but failed to synthesize corneal-specific keratan sulfate
proteoglycans, that is KS-lumican and KS-keratocan. In contrast, some EGFP(+) BM
cells introduced by intrastromal transplantation assumed keratocyte phenotypes.
Furthermore, BM cells were isolated from Kera-Cre/ZEG mice, a double transgenic
mouse line in which cells expressing keratocan become EGFP(+) due to the
synthesis of Cre driven by keratocan promoter. Three days after corneal and
conjunctival transplantations of such BM cells into Kera(-/-) mice, green
keratocan positive cells were found in the cornea, but not in conjunctiva. It is
worthy to note that transplanted BM cells were rejected in 4 weeks. MSC isolated
from BM were used to examine if BM mesenchymal stem cells (BM-MSC) could assume
keratocyte phenotype. When BM-MSC were intrastromal-transplanted into Kera(-/-)
mice, they survived in the cornea without any immune and inflammatory responses
and expressed keratocan in Kera(-/-) mice. These observations suggest that
corneal intrastromal transplantation of BM-MSC may be an effective treatment
regimen for corneal diseases involving dysfunction of keratocytes.
PMID- 21883879
TI - The timing, extent, progression and regression of deep vein thrombosis in
immobile stroke patients: observational data from the CLOTS multicenter
randomized trials.
AB - BACKGROUND: Deep vein thrombosis (DVT) is an important complication of stroke,
but the evidence to support commonly used prophylactic strategies is conflicting.
OBJECTIVES: To describe the incidence, extent, associated clinical features and
evolution of DVT after stroke. PATIENTS/METHODS: The CLOTS trials 1 and 2
together randomized 5632 immobile stroke patients in 135 hospitals in nine
countries. We screened patients for asymptomatic DVT with compression duplex
ultrasound (CDU) at about 7-10 days and again at about 25-30 days after
enrollment. RESULTS: Six hundred and forty-one (11.4%) of 5632 patients had DVT
detected on the first CDU scan at a median of 8 days (interquartile range [IQR] 7
10 days) after enrollment, and an additional 176 (3.1%) had a DVT on the second
CDU scan at a median of 28 days (IQR 26-30 days). Of the 817 with DVTs, 289 (35%)
were symptomatic and 39 (5%) had pulmonary embolism (PE) confirmed by imaging.
Six hundred and seventy-six (83%) were unilateral, 141 (17%) were bilateral, 322
(39%) were limited to calf veins, 172 (21%) were popliteal, and 323 (40%) were
femoral. Among the 542 patients with DVT and a weak leg, the DVT affected the
weaker leg in 396 (73%), the stronger leg in 59 (11%), and was bilateral in 87
(16%). Among the 318 patients with a DVT detected on the first CDU scan who had a
second scan, the DVT regressed in 148 (47%), stayed the same in 140 (44%), and
progressed in only 30 (9%). CONCLUSIONS: Although most DVTs develop within the
first week, some develop later, and some early DVTs progress. Any prophylaxis
needs to be started early but ideally continued for at least 4 weeks.
PMID- 21883891
TI - aV integrins and TGF-beta-induced EMT: a circle of regulation.
AB - Transforming growth factor-beta (TGF-beta) has roles in embryonic development,
the prevention of inappropriate inflammation and tumour suppression. However, TGF
beta signalling also regulates pathological epithelial-to-mesenchymal transition
(EMT), inducing or progressing a number of diseases ranging from inflammatory
disorders, to fibrosis and cancer. However, TGF-beta signalling does not proceed
linearly but rather induces a complex network of cascades that mutually influence
each other and cross-talk with other pathways to successfully induce EMT.
Particularly, there is substantial evidence for cross-talk between alphaV
integrins and TGF-beta during EMT, and anti-integrin therapeutics are under
development as treatments for TGF-beta-related disorders. However, TGF-beta's
complex signalling network makes the development of therapeutics to block TGF
beta-mediated pathology challenging. Moreover, despite our current understanding
of integrins and TGF-beta function during EMT, the precise mechanism of their
role during physiological versus pathological EMT is not fully understood. This
review focuses on the circle of regulation between alphaV integrin and TGF-beta
signalling during TGF-beta induced EMT, which pose as a significant driver to
many known TGF-beta-mediated disorders.
PMID- 21883893
TI - Lysophosphatidylcholine inhibits membrane-associated SNARE complex disassembly.
AB - In cells, N-ethylmaleimide-sensitive factor (NSF) attachment protein receptors
called SNAREs are involved in membrane fusion. In neurons, for example, target
membrane proteins SNAP-25 and syntaxin called t-SNAREs present at the pre
synaptic membrane, and a synaptic vesicle-associated membrane protein (VAMP) or v
SNARE, is part of the conserved protein complex involved in neurotransmission.
Cholesterol and LPC (L-alpha-lysophosphatidylcholine) are known to contribute to
the negative and positive curvature respectively of membranes. In this study,
using purified recombinant neuronal membrane-associated SNAREs, we demonstrate
for the first time that membrane-curvature-influencing lipids profoundly
influence SNARE complex disassembly. Exposure of cholesterol-associated t-SNARE
and v-SNARE liposome mixtures to NSF-ATP results in dissociated vesicles. In
contrast, exposure of LPC-associated t-SNARE and v-SNARE liposome mixtures to NSF
ATP, results in inhibition of t-/v-SNARE disassembly and the consequent
accumulation of clustered vesicles. Similarly, exposure of isolated rat brain
slices and pancreas to cholesterol or LPC, also demonstrates LPC-induced
inhibition of SNARE complex disassembly. Earlier studies demonstrate a strong
correlation between altered plasma LPC levels and cancer. The altered plasma LPC
levels observed in various cancers may in part contribute to defects in SNARE
assembly-disassembly and membrane fusion, consequently affecting protein
maturation and secretion in cancer cells.
PMID- 21883892
TI - Trichostatin A induces 5-lipoxygenase promoter activity and mRNA expression via
inhibition of histone deacetylase 2 and 3.
AB - The 5-lipoxygenase (5-LO) is the key enzyme in the formation of leukotrienes. We
have previously shown that the histone deacetylase (HDAC) inhibitor trichostatin
A (TSA) activates 5-LO transcription via recruitment of Sp1, Sp3 and RNA
polymerase II to the proximal promoter. To identify the HDACs involved in the
regulation of 5-LO promoter activity isoform-specific HDAC inhibitors were
applied. 5-LO promoter activity and mRNA expression were up-regulated by the
class I HDAC inhibitors apicidin and MS-275 but not by class II inhibitors.
Knockdown of HDAC 1, 2 and 3 revealed that HDAC2 and HDAC3 but not HDAC1 is
involved in the up-regulation of 5-LO mRNA expression. To analyse the chromatin
modifications at the 5-LO promoter associated with HDAC inhibition, the time
course of 5-LO mRNA induction by trichostatin A was investigated and the
concomitant changes in histone modifications at the 5-LO promoter in HL-60, U937
and Mono Mac6 cells were determined. Chromatin immunoprecipitation analysis
revealed that trichostatin A increases acetylation of histones H3 and H4 at the 5
LO core promoter in HL-60 and U937 cells whereas no significant changes were
observed in Mono Mac6 cells. The appearance of H3 and H4 acetylation preceded the
5-LO mRNA induction whereas in all three cell lines, induction of 5-LO mRNA
expression correlated with histone H3 lysine 4 trimethylation (H3K4me3), a marker
for transcriptional activity of gene promoters.
PMID- 21883894
TI - Endocytosis and intracellular processing of platelet microparticles by brain
endothelial cells.
AB - Platelet-derived microparticles (PMP) bind and modify the phenotype of many cell
types including endothelial cells. Recently, we showed that PMP were internalized
by human brain endothelial cells (HBEC). Here we intend to better characterize
the internalization mechanisms of PMP and their intracellular fate. Confocal
microscopy analysis of PKH67-labelled PMP distribution in HBEC showed PMP in
early endosome antigen 1 positive endosomes and in LysoTracker-labelled
lysosomes, confirming a role for endocytosis in PMP internalization. No fusion of
calcein-loaded PMP with HBEC membranes was observed. Quantification of PMP
endocytosis using flow cytometry revealed that it was partially inhibited by
trypsin digestion of PMP surface proteins and by extracellular Ca(2+) chelation
by EDTA, suggesting a partial role for receptor-mediated endocytosis in PMP
uptake. This endocytosis was independent of endothelial receptors such as
intercellular adhesion molecule-1 and vascular cell adhesion molecule-1 and was
not increased by tumour necrosis factor stimulation of HBEC. Platelet-derived
microparticle internalization was dramatically increased in the presence of
decomplemented serum, suggesting a role for PMP opsonin-dependent phagocytosis.
Platelet-derived microparticle uptake was greatly diminished by treatment of HBEC
with cytochalasin D, an inhibitor of microfilament formation required for both
phagocytosis and macropinocytosis, with methyl-beta-cyclodextrin that depletes
membrane cholesterol needed for macropinocytosis and with amiloride that inhibits
the Na(+)/H(+) exchanger involved in macropinocytosis. In conclusion, PMP are
taken up by active endocytosis in HBEC, involving mechanisms consistent with both
phagocytosis and macropinocytosis. These findings identify new processes by which
PMP could modify endothelial cell phenotype and functions.
PMID- 21883895
TI - Identification of new cancer biomarkers based on aberrant mucin glycoforms by in
situ proximity ligation.
AB - Mucin glycoproteins are major secreted or membrane-bound molecules that, in
cancer, show modifications in both the mucin proteins expression and in the O
glycosylation profile, generating some of the most relevant tumour markers in
clinical use for decades. Thus far, the identification of these biomarkers has
been based on the detection of either the protein or the O-glycan modifications.
We therefore aimed to identify the combined mucin and O-glycan features, that is,
specific glycoforms, in an attempt to increase specificity of these cancer
biomarkers. Using in situ proximity ligation assays (PLA) based on existing
monoclonal antibodies directed to MUC1, MUC2, MUC5AC and MUC6 mucins and to
cancer-associated carbohydrate antigens Tn, Sialyl-Tn (STn), T, Sialyl-Le(a)
(SLe(a)) and Sialyl-Le(x) (SLe(x)) we screened a series of 28 mucinous
adenocarcinomas from different locations (stomach, ampulla of Vater, colon, lung,
breast and ovary) to detect specific mucin glycoforms. We detected
Tn/STn/SLe(a)/SLe(x)-MUC1 and STn/SLe(a)/SLe(x)-MUC2 glycoforms in >=50% of the
cases, with a variable distribution among organs. Some new glycoforms-T/SLe(a)
MUC2, STn/T/SLe(a) SLe(x)-MUC5AC and STn/T/SLe(a)/SLe(x)-MUC6-were identified for
the first time in the present study in a variable percentage of cases from
different organs. In conclusion, application of the PLA technique allowed
sensitive detection of specific aberrant mucin glycoforms in cancer, increasing
specificity to the use of antibodies either to the mucin protein backbone or to
the O-glycan haptens alone.
PMID- 21883896
TI - Everolimus is an active agent in medullary thyroid cancer: a clinical and in
vitro study.
AB - Everolimus, an mTOR inhibitor, which has been demonstrated to induce anti-tumour
effects in different types of neuroendocrine tumours, has never been evaluated in
patients with medullary thyroid cancer (MTC). The aim of this study was to
evaluate the in vitro and in vivo effects of everolimus in combination with
octreotide in MTC. Two patients with progressive metastatic MTC and high
calcitonin levels were treated with everolimus 5-10 mg/day. Both patients were
under treatment with octreotide LAR at the study entry. An in vitro study was
also performed to assess everolimus effects on MTC cell lines (TT and MZ-CRC-1
cells). A tumour response was observed in both patients. Serum calcitonin
decreased by 86% in patient 1 and by 42% in patient 2. In TT and MZ-CRC-1 cells,
everolimus induced a significant dose-dependent inhibition in cell proliferation.
This effect seems to be related to a cell cycle arrest in G(0) /G(1) phase in
both cell lines and to the induction of cellular senescence in TT cells.
Everolimus in combination with octreotide may be active as anti-tumour therapy in
patients with progressive metastatic MTC, suggesting to further evaluate this
agent in MTC patients in a large prospective study.
PMID- 21883897
TI - Analysis of microdissected neurons by 18O mass spectrometry reveals altered
protein expression in Alzheimer's disease.
AB - It is evident that the symptoms of Alzheimer's disease (AD) are derived from
severe neuronal damage, and especially pyramidal neurons in the hippocampus are
affected pathologically. Here, we analysed the proteome of hippocampal neurons,
isolated from post-mortem brains by laser capture microdissection. By using (18)O
labelling and mass spectrometry, the relative expression levels of 150 proteins
in AD and controls were estimated. Many of the identified proteins are involved
in transcription and nucleotide binding, glycolysis, heat-shock response,
microtubule stabilization, axonal transport or inflammation. The proteins showing
the most altered expression in AD were selected for immunohistochemical analysis.
These analyses confirmed the altered expression levels, and showed in many AD
cases a pathological pattern. For comparison, we also analysed hippocampal
sections by Western blot. The expression levels found by this method showed poor
correlation with the neuron-specific analysis. Hence, we conclude that cell
specific proteome analysis reveals differences in the proteome that cannot be
detected by bulk analysis.
PMID- 21883898
TI - Basement membrane collagen type IV expression by human mesenchymal stem cells
during adipogenic differentiation.
AB - During adipogenic differentiation human mesenchymal stem cells (hMSC) produce
collagen type IV. In immunofluorescence staining differentiating hMSCs started to
express collagen type IV when Oil Red O-positive fat droplets appeared
intracellularly. Quantitative real time-polymerase chain reaction confirmed
progressive increase of collagen type IV alpha1 and alpha2 mRNA levels over time,
18.6- and 12.2-fold by day 28, respectively, whereas the copy numbers of alpha3
alpha6 mRNAs remained rather stable and low. Type IV collagen was in confocal
laser scanning microscopy seen around adipocytes, where also laminins and nidogen
were found, suggesting pericellular deposition of all key components of the fully
developed basement membrane. Immunofluorescence staining of matrix
metalloproteinase-2 (MMP-2, 72 kD type IV collagenase, gelatinase A) and MMP-9
(92 kD type IV collagenase, gelatinase B) disclosed only faint staining of MSCs,
but MMP-9 was strongly induced during adipogenesis, whereas MSC supernatants
disclosed in zymography pro-MMP-2 and faint pro-MMP-9 bands, which increased over
time, with partial conversion of pro-MMP-2 to its active 62 kD form.
Differentiation was associated with increasing membrane type 1-MMP/MMP-14 and
tissue inhibitor of metalloproteinase-2 (TIMP-2) staining, which may enable
participation of type IV collagenases in basement membrane remodelling via
ternary MT1-MMP/TIMP-2/MMP-2 or -9 complexes, focalizing the fully active enzyme
to the cell surface. MMP-9, which increased more in immunofluorescence staining,
was perhaps preferentially bound to cell surface and/or remodelling adipocyte
basement membrane. These results suggest that upon MSC-adipocyte differentiation
collagen type IV synthesis and remodelling become necessary when intracellular
accumulation of fat necessitates a dynamically supporting and instructive, partly
denatured adipogenic pericellular type IV collagen scaffold.
PMID- 21883899
TI - A retrospective evaluation of iatrogenic dental root damage with predrilled vs
drill-free bone anchor screws for intermaxillary fixation.
AB - AIMS: The aim of this study was to retrospectively evaluate iatrogenic dental
root damage, caused by two different techniques that utilized bone anchor screws,
for intermaxillary fixation (IMF) in orofacial trauma. MATERIALS AND METHODS: The
techniques used included either predrilled or drill-free bone anchor screws. A
total of 123 patients who required IMF were evaluated (97 men and 26 women).
Sixty-four patients were treated in the predrilled group, and 59 patients were
treated in the drill-free group. The data were collected over an 8-year period
and were analyzed using crosstabs and Fisher's exact test. RESULTS: Injuries to
dental roots were found only in the predrilled group. Twenty-nine patients
(45.3%) were injured at the time of surgery. One year after surgery, 10 patients
(15.6%) had permanently injured dental roots. There was a significant difference
in injury rates between the predrilled and drill-free groups 1 year after surgery
(P < 0.001). CONCLUSION: There is an increased potential risk of iatrogenic
injury and permanent damage to the dental roots when a technique that involves
predrilled holes for bone anchor screws is used.
PMID- 21883900
TI - Successful organ donation from brain dead donors in a Chinese organ
transplantation center.
AB - Solid organ transplantation is an effective treatment for patients with end-stage
organ failure. Donation after brain death (DBD) is a means of addressing the
inadequate supply of acceptable donor organs but has only gradually begun to be
accepted in mainland China. A major barrier has been the absence of brain death
and organ transplant legislation. This paper describes our initial experience
with organ transplantation using organs from brain dead donors and discusses
strategies for encouraging organ transplantation and brain death legislation in
China. Six patients underwent renal transplantation and two patients underwent
liver transplantation with organs procured from three brain dead donors at the
Organ Transplantation Center, the 181st Hospital. All patients are alive with
excellent graft function. DBD is an important means of increasing the number of
organs available for transplantation and its widespread implementation in China
should be encouraged. Brain death and organ transplantation legislation is
necessary to ensure the rights and obligations of donors, recipients and medical
institutions.
PMID- 21883901
TI - Systematic review: kidney transplantation compared with dialysis in clinically
relevant outcomes.
AB - Individual studies indicate that kidney transplantation is associated with lower
mortality and improved quality of life compared with chronic dialysis treatment.
We did a systematic review to summarize the benefits of transplantation, aiming
to identify characteristics associated with especially large or small relative
benefit. Results were not pooled because of expected diversity inherent to
observational studies. Risk of bias was assessed using the Downs and Black
checklist and items related to time-to-event analysis techniques. MEDLINE and
EMBASE were searched up to February 2010. Cohort studies comparing adult chronic
dialysis patients with kidney transplantation recipients for clinical outcomes
were selected. We identified 110 eligible studies with a total of 1 922 300
participants. Most studies found significantly lower mortality associated with
transplantation, and the relative magnitude of the benefit seemed to increase
over time (p < 0.001). Most studies also found that the risk of cardiovascular
events was significantly reduced among transplant recipients. Quality of life was
significantly and substantially better among transplant recipients. Despite
increases in the age and comorbidity of contemporary transplant recipients, the
relative benefits of transplantation seem to be increasing over time. These
findings validate current attempts to increase the number of people worldwide
that benefit from kidney transplantation.
PMID- 21883902
TI - Long-term impact of subclinical inflammation diagnosed by protocol biopsy one
year after renal transplantation.
AB - The long-term impact of subclinical acute rejection (SCAR) on renal graft
function remains poorly understood. Furthermore, the interpretation of borderline
lesions is difficult and their incidence is variable. The aim of this study was
to analyze the characteristics of subclinical inflammation (SCI) in protocol
biopsies performed 1-year after renal transplantation. SCI was defined as the
presence of borderline lesions or SCAR according to the Banff 2005
classification. The patients included were a subpopulation of the CONCEPT study
in which patients were randomized 3 months after transplantation to receive
either sirolimus (SRL) or cyclosporine A (CsA) in combination with mycophenolate
mofetil. At 1 year, we observed SCI in 37 of the 121 patients observed with an
evaluable biopsy. The incidence was more frequent in the SRL group (SRL 45.2% vs.
CsA 15.3%). At 30 months , SCI was associated with a significantly lower level of
estimated glomerular filtration rate (mean MDRD 50.8 [+/-13.3] vs. 57.7 [+/-16.3]
mL/min/1.73 m(2) , p = 0.035). In conclusion, SCI at 1-year posttransplantation
is associated with worsening renal function and is more frequent in SRL-treated
patients. Therefore, evaluation of SCI may be a valuable tool to allow the
optimization of immunosuppressive regimens.
PMID- 21883904
TI - Reverse chimerism: stem cells going the other way.
PMID- 21883903
TI - Mobilization of host stem cells enables long-term liver transplant acceptance in
a strongly rejecting rat strain combination.
AB - Careful examination of liver, kidney and heart transplants in human recipients
has revealed small numbers of host bone marrow derived stem cells in the graft.
If the limited recipient repopulation of a donor graft that is currently observed
could be facilitated, it is possible that conversion to a predominantly host
phenotype would permit long-term graft function without immunosuppression. We
proposed to "engineer" repopulation after transplant in a strain combination
(dark agouti [DA] to Lewis green fluorescent protein+[LEW GFP+]) which rejects
liver grafts strongly, a model that more closely resembles the situation in
humans. Treatment on days 0, 1, 2, 3 and 7 after transplantation with low-dose
(0.1 mg/kg) tacrolimus (T) designed to blunt rejection combined with plerixafor
(P) to mobilize host stem cells resulted in greater than 180 days graft survival
with extensive albeit spotty conversion of a small (50%) DA graft to the
recipient LEW GFP+ genotype. Subsequent skin grafting revealed donor-specific
graft prolongation. The T plus P treatment resulted in higher levels of Lin
Thy1+CD34+CD133+ stem cells and Foxp3+ regulatory T cells in the blood and liver
at day 7. Thus, pharmacological mobilization of host stem cells sustains liver
allografts by two mechanisms: repopulation of injured donor cells and regulation
of the immune response.
PMID- 21883906
TI - Former smoking is a risk factor for chronic kidney disease after lung
transplantation.
AB - Chronic kidney disease (CKD) is a common complication after lung transplantation
(LTx). Smoking is a risk factor for many diseases, including CKD. Smoking
cessation for >6 months is required for LTx enlistment. However, the impact of
smoking history on CKD development after LTx remains unclear. We investigated the
effect of former smoking on CKD and mortality after LTx. CKD was based on
glomerular filtration rate (GFR) ((125) I-iothalamate measurements). GFR was
measured before and repeatedly after LTx. One hundred thirty-four patients never
smoked and 192 patients previously smoked for a median of 17.5 pack years. At 5
years after LTx, overall cumulative incidences of CKD-III, CKD-IV and death were
68.5%, 16.3% and 34.6%, respectively. Compared to never smokers, former smokers
had a higher risk for CKD-III (hazard ratio [HR] 95% confidence interval [95%CI]=
1.69 [1.27-2.24]) and IV (HR = 1.90 [1.11-3.27]), but not for mortality (HR =
0.99 [0.71-1.38]). Adjustment for potential confounders did not change results.
Thus, despite cessation, smoking history remained a risk factor for CKD in LTx
recipients. Considering the increasing acceptance for LTx of older recipients
with lower baseline renal function and an extensive smoking history, our data
suggest that the problem of post-LTx CKD may increase in the future.
PMID- 21883905
TI - Sotrastaurin, a protein kinase C inhibitor, ameliorates ischemia and reperfusion
injury in rat orthotopic liver transplantation.
AB - Sotraustaurin (STN), a small molecule, targeted protein kinase C (PKC) inhibitor
that prevents T-lymphocyte activation via a calcineurin-independent pathway, is
currently being tested in Phase II renal and liver transplantation clinical
trials. We have documented the key role of activated T cells in the inflammation
cascade leading to liver ischemia/reperfusion injury (IRI). This study explores
putative cytoprotective functions of STN in a clinically relevant rat model of
hepatic cold ischemia followed by orthotopic liver transplantation (OLT). Livers
from Sprague-Dawley rats were stored for 30 h at 4 degrees C in UW solution, and
then transplanted to syngeneic recipients. STN treatment of liver
donors/recipients or recipients only prolonged OLT survival to >90% (vs. 40% in
controls), decreased hepatocellular damage and improved histological features of
IRI. STN treatment decreased activation of T cells, and diminished
macrophage/neutrophil accumulation in OLTs. These beneficial effects were
accompanied by diminished apoptosis, NF-kappaB/ERK signaling, depressed
proapoptotic cleaved caspase-3, yet upregulated antiapoptotic Bcl-2/Bcl-xl and
hepatic cell proliferation. In vitro, STN decreased PKCtheta/IkappaBalpha
activation and IL-2/IFN-gamma production in ConA-stimulated spleen T cells, and
diminished TNF-alpha/IL-1beta in macrophage-T cell cocultures. This study
documents positive effects of STN on liver IRI in OLT rat model that may
translate as an additional benefit of STN in clinical liver transplantation.
PMID- 21883907
TI - Elevated plasma long pentraxin-3 levels and primary graft dysfunction after lung
transplantation for idiopathic pulmonary fibrosis.
AB - Primary graft dysfunction (PGD) after lung transplantation may result from
ischemia reperfusion injury (IRI). The innate immune response to IRI may be
mediated by Toll-like receptor and IL-1-induced long pentraxin-3 (PTX3) release.
We hypothesized that elevated PTX3 levels were associated with PGD. We performed
a nested case control study of lung transplant recipients with idiopathic
pulmonary fibrosis (IPF) or chronic obstructive pulmonary disease (COPD) from the
Lung Transplant Outcomes Group cohort. PTX3 levels were measured pretransplant,
and 6 and 24 h postreperfusion. Cases were subjects with grade 3 PGD within 72 h
of transplantation and controls were those without grade 3 PGD. Generalized
estimating equations and multivariable logistic regression were used for
analysis. We selected 40 PGD cases and 79 non-PGD controls. Plasma PTX3 level was
associated with PGD in IPF but not COPD recipients (p for interaction < 0.03).
Among patients with IPF, PTX3 levels at 6 and 24 h were associated with PGD (OR =
1.6, p = 0.02 at 6 h; OR = 1.4, p = 0.008 at 24 h). Elevated PTX3 levels were
associated with the development of PGD after lung transplantation in IPF
patients. Future studies evaluating the role of innate immune activation in IPF
and PGD are warranted.
PMID- 21883908
TI - Impact of MELD-based allocation on end-stage renal disease after liver
transplantation.
AB - The proportion of patients undergoing liver transplantation (LT), with
concomitant renal dysfunction, markedly increased after allocation by the model
for end-stage liver disease (MELD) score was introduced. We examined the
incidence of subsequent post-LT end-stage renal disease (ESRD) before and after
the policy was implemented. Data on all adult deceased donor LT recipients
between April 27, 1995 and December 31, 2008 (n = 59 242), from the Scientific
Registry of Transplant Recipients, were linked with Centers for Medicare &
Medicaid Services' ESRD data. Cox regression was used to (i) compare pre-MELD and
MELD eras with respect to post-LT ESRD incidence, (ii) determine the risk factors
for post-LT ESRD and (iii) quantify the association between ESRD incidence and
mortality. Crude rates of post-LT ESRD were 12.8 and 14.5 per 1000 patient-years
in the pre-MELD and MELD eras, respectively. Covariate-adjusted post-LT ESRD risk
was higher in the MELD era (hazard ratio [HR]= 1.15; p = 0.0049). African
American race, hepatitis C, pre-LT diabetes, higher creatinine, lower albumin,
lower bilirubin and sodium >141 mmol/L at LT were also significant predictors of
post-LT ESRD. Post-LT ESRD was associated with higher post-LT mortality (HR =
3.32; p < 0.0001). The risk of post-LT ESRD, a strong predictor of post-LT
mortality, is 15% higher in the MELD era. This study identified potentially
modifiable risk factors of post-LT ESRD. Early intervention and modification of
these risk factors may reduce the burden of post-LT ESRD.
PMID- 21883909
TI - Time trends in risk and risk determinants of non-Hodgkin lymphoma in solid organ
transplant recipients.
AB - Organ transplantation increases risk of non-Hodgkin lymphoma (NHL), but long-term
risk and time trends have seldom been evaluated. Immunosuppressive drug load is
an important risk determinant, but the details are unclear. We studied NHL risk
in a nationwide Swedish cohort of 11 081 graft recipients transplanted 1970-2008.
Relative risks (RRs) were estimated within the cohort and versus the general
population by age, sex, follow-up time and calendar period. NHL risk was also
assessed by cumulative and average doses of immunosuppressive treatments in a
nested case-control design throughout 1997 using conditional logistic regression.
We observed 153 NHL cases during 97 853 years of follow-up. Compared with the
general population, NHL risk was eightfold increased (RR 7.9; 95% confidence
interval [CI] 6.6-9.4), and increased risks persisted after >=15 years of follow
up among kidney (6.1; 95% CI 3.5-10) and nonkidney recipients (44; 14-103). Among
nonkidney recipients, NHL risk was lower in the 2000s compared with the 1990s
(0.5; 95% CI 0.3-1.0; p = 0.04). A high average dose of antithymocyte
immunoglobulin (ATG) conferred an eightfold increased risk of NHL (OR 8.5; 95% CI
1.9-38). To conclude, posttransplant NHL risk decreased during the last decade
among nonkidney recipients, possibly because of a more careful use of ATG, the
introduction of new drugs, or both.
PMID- 21883910
TI - Blood transfusions in organ transplant patients: mechanisms of sensitization and
implications for prevention.
AB - Sensitization by previous pregnancies or transplants is considered unavoidable,
but it is transfusions given to these patients that leads most often to broad
sensitization. Both leukocytes and red cells carry a significant HLA antigen
load, and residual leukocytes and/or red cell HLA may explain why leukocyte
reduced units are unable to prevent sensitization to any significant degree.
Prevention of sensitization will require a more active effort to avoid blood
transfusions, whenever possible. When transfusions are required, there is
evidence that the use of HLA-matched blood or immunosuppression in selected
situations may reduce sensitization, even in patients previously exposed to
alloantigens. These additional measures are not logistically straightforward or
devoid of risks and need to be confirmed by rigorous studies. However, remaining
as passive observers when patients become broadly sensitized should no longer be
considered an acceptable alternative for potential transplant recipients.
PMID- 21883911
TI - A renal transplantation model for developing countries.
AB - The estimated incidence of end-stage renal disease (ESRD) in Pakistan is 100 per
million population. Paucity and high costs of renal replacement therapy allows
only 10% to get dialysis and 4-5% transplants. Our center, a government
organization, started a dialysis and transplant program in 1980s where all
services were provided free of charge to all patients. It was based on the
concept of community government partnership funded by both partners. The guiding
principles were equity, transparency, accountability and development of all
facilities under one roof. This partnership has sustained itself for 30 years
with an annual budget of $25 million in 2009. Daily 600 patients are dialyzed and
weekly 10-12 receive transplants. One- and 5-year graft survival of 3000
transplants is 92% and 85%, respectively. The institute became a focus of
transplantation in Pakistan and played a vital role in the campaign against
transplant tourism and in promulgation of transplant law of 2007, and also helped
to increase altruistic transplants in the country. This model emphasizes that in
developing countries specialized centers in government sector are necessary for
transplantation to progress and community support can make it available to the
common man.
PMID- 21883912
TI - Chronic illness management as an innovative pathway for enhancing long-term
survival in transplantation.
PMID- 21883913
TI - Pregnancy after transplantation: milestones and assessments of risk.
PMID- 21883914
TI - Portal vein thrombosis is a potentially preventable complication in clinical
islet transplantation.
AB - Percutaneous transhepatic portal access avoids surgery but is rarely associated
with bleeding or portal venous thrombosis (PVT). We herein report our large,
single-center experience of percutaneous islet implantation and evaluate risk
factors of PVT and graft function. Prospective data were collected on 268
intraportal islet transplants (122 subjects). A portal venous Doppler ultrasound
was obtained on Days 1 and 7 posttransplant. Therapeutic heparinization, complete
ablation of the portal catheter tract with Avitene paste and limiting packed cell
volume (PCV) to <5 mL completely prevented any portal thrombosis in the most
recent 101 islet transplant procedures over the past 5 years. In the previous
cumulative experience, partial thrombosis did not affect islet function. Standard
liver volume correlated negatively (r =-0.257, p < 0.001) and PCV correlated
positively with portal pressure rise (r = 0.463, p < 0.001). Overall, partial
portal thrombosis occurred after 10 procedures (overall incidence 3.7%, most
recent 101 patient incidence 0%). There were no cases of complete thrombosis and
no patient developed sequelae of portal hypertension. In conclusion, portal
thrombosis is a preventable complication in clinical islet transplantation,
provided therapeutic anticoagulation is maintained and PCV is limited to <5 mL.
PMID- 21883915
TI - Specificity of histological markers of long-term CNI nephrotoxicity in kidney
transplant recipients under low-dose cyclosporine therapy.
AB - The specificity of chronic histological lesions induced by calcineurin inhibitors
(CNI) is often questioned, but few studies have directly compared long-term
lesions in renal-transplant patients who received this treatment and those who
did not. We therefore conducted a retrospective study of 141 kidney-transplant
recipients treated with (n = 48) or without (n = 93) cyclosporine (CsA) to
compare the histological lesions observed at 3-month, 24-month and 10-year
protocol biopsies. All of the chronic elementary lesions (glomerulosclerosis,
interstitial fibrosis, tubular atrophy, arteriolar hyalinosis, fibrointimal
thickening) progressed in frequency and severity in both groups, although
significantly more in the CsA group. Ten-year biopsy results showed that 92% of
patients in the CsA-treated group and 65% in the control group had arteriolar
hyalinosis lesions. When we focused on muscular arteriolar hyaline deposits more
specific to CsA arteriolopathy, we observed these lesions in 68% of CsA patients
and 28% of patients who had never received CsA. CsA was not the sole factor
involved in the development of arteriolar hyalinosis and was independently
associated with an increased risk of graft loss. In summary, we observed that
histological lesions commonly attributed to CsA nephrotoxicity were not
sufficiently specific to definitively diagnose CNI nephrotoxicity.
PMID- 21883916
TI - Histological characteristics of calcineurin inhibitor toxicity--there is no such
thing as specificity!
PMID- 21883917
TI - Islet xenotransplantation using gal-deficient neonatal donors improves
engraftment and function.
AB - Significant deficiencies in understanding of xenospecific immunity have impeded
the success of preclinical trials in xenoislet transplantation. Although
galactose-alpha1,3-galactose, the gal epitope, has emerged as the principal
target of rejection in pig-to-primate models of solid organ transplant, the
importance of gal-specific immunity in islet xenotransplant models has yet to be
clearly demonstrated. Here, we directly compare the immunogenicity, survival and
function of neonatal porcine islets (NPIs) from gal-expressing wild-type (WT) or
gal-deficient galactosyl transferase knockout (GTKO) donors. Paired diabetic
rhesus macaques were transplanted with either WT (n = 5) or GTKO (n = 5) NPIs.
Recipient blood glucose, transaminase and serum xenoantibody levels were used to
monitor response to transplant. Four of five GTKO versus one of five WT
recipients achieved insulin-independent normoglycemia; transplantation of WT
islets resulted in significantly greater transaminitis. The WT NPIs were more
susceptible to antibody and complement binding and destruction in vitro. Our
results confirm that gal is an important variable in xenoislet transplantation.
The GTKO NPI recipients have improved rates of normoglycemia, likely due to
decreased susceptibility of xenografts to innate immunity mediated by complement
and preformed xenoantibody. Therefore, the use of GTKO donors is an important
step toward improved consistency and interpretability of results in future
xenoislet studies.
PMID- 21883918
TI - Bilateral native ureteral ligation without nephrectomy in the management of
kidney transplant recipients with native proteinuria.
AB - The aim of this study was to assess the safety of bilateral native ureteral
ligation (BNUL) without nephrectomy in the management of native proteinuria in
kidney transplant (KTx) recipients. We retrospectively studied 17 patients who
underwent BNUL between 2002 and 2010 with a median preoperative 24 h protein
concentration of 2140 (range 1020-25 000) mg/L. Fifteen of the 17 patients had
focal segmental glomerulosclerosis as their primary renal disease and ligation
was employed to facilitate the diagnosis of early recurrence. The BNUL was
performed simultaneously with KTx in 14 patients. Surgical techniques were: open
(n = 5), pure laparoscopic (n = 1) and a hybrid of hand-assisted laparoscopic
surgical/open approach (n = 12) used at the time of transplantation via the
transplant incision. At a median follow-up of 46 months (range 1-59), no patient
had a complication related to BNUL and none required interventions associated
with their native kidneys. BNUL without nephrectomy seems to be a safe technique
to manage native proteinuria in renal transplant candidates.
PMID- 21883919
TI - Induction of antiviral cytotoxic T cells by plasmacytoid dendritic cells for
adoptive immunotherapy of posttransplant diseases.
AB - Virus-associated hematologic malignancies (EBV lymphoproliferative disease) and
opportunistic infections (CMV) represent a major cause of hematopoietic stem cell
and solid organ transplantation failure. Adoptive transfer of antigen-specific T
lymphocytes appears to be a major and successful immunotherapeutic strategy, but
improvements are needed to reliably produce high numbers of virus-specific T
cells with appropriate requirements for adoptive immunotherapy that would allow
extensive clinical use. Since plasmacytoid dendritic cells (pDCs) are crucial in
launching antiviral responses, we investigated their capacity to elicit
functional antiviral T-cell responses for adoptive cellular immunotherapy using a
unique pDC line and antigens derived from Influenza, CMV and EBV viruses.
Stimulation of peripheral blood mononuclear cells from HLA-A*0201(+) donors by
HLA-A0201 matched pDCs pulsed with viral-derived peptides triggered high levels
of multi-specific and functional cytotoxic T-cell responses (up to 99%
tetramer(+) CD8 T cells) in vitro. Furthermore, the central/effector memory
cytotoxic T cells elicited by the pDCs strongly display antiviral activity upon
adoptive transfer into a humanized mouse model that mimics a virus-induced
malignancy. We provide a simple and potent method to generate virus-specific CTL
with the required properties for adoptive cellular immunotherapy of post
transplant diseases.
PMID- 21883920
TI - Trends in wait-list mortality in children listed for heart transplantation in the
United States: era effect across racial/ethnic groups.
AB - We sought to evaluate trends in overall and race-specific pediatric heart
transplant (HT) wait-list mortality in the United States (US) during the last 20
years. We identified all children <18 years old listed for primary HT in the US
during 1989-2009 (N = 8096, 62% White, 19% Black, 13% Hispanic and 6% Other)
using the Organ Procurement and Transplant Network database. Wait-list mortality
was assessed in four successive eras (1989-1994, 1995-1999, 2000-2004 and 2005
2009). Overall wait-list mortality declined in successive eras (26%, 23%, 18% and
13%, respectively). The decline across eras remained significant in adjusted
analysis (hazard ratio [HR] 0.70 in successive eras, 95% confidence interval
[CI], 0.67-0.74) and was 67% lower for children listed during 2005-2009 versus
those listed during 1989-1994 (HR 0.33; CI, 0.28-0.39). In models stratified by
race, wait-list mortality decreased in all racial groups in successive eras. In
models stratified by era, minority children were not at higher risk of wait-list
mortality in the most recent era. We conclude that the risk of wait-list
mortality among US children listed for HT has decreased by two-thirds during the
last 20 years. Racial gaps in wait-list mortality present variably in the past
are not present in the current era.
PMID- 21883921
TI - Nanoparticle delivery of mycophenolic acid upregulates PD-L1 on dendritic cells
to prolong murine allograft survival.
AB - Conventional immunosuppressive drug delivery requires high systemic drug levels
to provide therapeutic benefit, but frequently results in toxic side effects.
Novel drug delivery methods, such as FDA-approved poly(lactic-co-glycolic acid)
(PLGA) nanoparticles (NPs), are promising drug delivery platforms to reduce drug
doses and minimize toxicity. Using murine models of skin transplantation, we
investigated whether PLGA NPs would effectively deliver mycophenolic acid (MPA),
a common clinical immunosuppressant, and avoid the toxicity of conventional drug
delivery. We found that intermittent treatment with NPs encapsulated with MPA (NP
MPA) resulted in a significant extension of allograft survival than intermittent
conventional MPA treatment even though the concentration of MPA within NP-MPA was
a 1000-fold lower than conventional drug. Importantly, recipients who were
administered NP-MPA intermittently avoided drug toxicity, whereas those treated
with daily conventional drug manifested cytopenias. Dendritic cells (DCs)
endocytosed NP-MPA to upregulate programmed death ligand-1 (PD-L1) and displayed
a decreased ability to prime alloreactive T cells. Importantly, the ability of NP
MPA to promote allograft survival was partly PD-L1 dependent. Collectively, this
study indicates that NPs are potent drug delivery tools that extend allograft
survival without drug toxicity.
PMID- 21883922
TI - Association of BDNF and COMT genotypes with cognitive processing of anti-smoking
PSAs.
AB - Anti-smoking public service announcements (PSAs) often use persuasive arguments
to attempt to influence attitudes about smoking. The persuasiveness of a PSA has
previously been associated with factors that influence the cognitive processing
of its message. Genetic factors that influence cognitive processing might thus
affect individuals' responses to the persuasive arguments presented in PSAs. In
the present study, we examined polymorphisms in the genes encoding brain-derived
neurotrophic factor (BDNF Val66Met) and catechol-O-methyltransferase (COMT
Val158Met), which affect cognitive processing in the prefrontal cortex, to
identify genetic factors associated with self-reported outcomes of message
processing, perceived effectiveness and quitting intentions among smokers viewing
PSAs. A total of 120 smokers viewed sets of four PSAs that varied with respect to
features of argument strength (AS) and message sensation value. We observed
significant associations of BDNF genotype with central processing, narrative
processing, perceived effectiveness of the anti-smoking PSAs and participant
quitting intentions; the BDNF Met allele was associated with lower scores on all
these measures. Central processing acted as a mediator of the association of
genotype with quitting intentions and perceived effectiveness. There was a
significant interaction of COMT genotype by AS in the model of narrative
processing, such that individuals homozygous for the COMT Val allele reported
higher narrative processing in the high-AS condition but not in the low-AS
condition. To our knowledge, this is the first study to identify genetic factors
associated with cognitive processing of anti-smoking PSAs.
PMID- 21883923
TI - Mutation of the dyslexia-associated gene Dcdc2 impairs LTM and visuo-spatial
performance in mice.
AB - Developmental reading disorder (RD) affects 5-10% of school aged children, with a
heritability of approximately 60%. Genetic association studies have identified
several candidate RD susceptibility genes, including DCDC2; however, a direct
connection between the function of these genes and cognitive or learning
impairments remains unclear. Variants in DCDC2, a member of the doublecortin
family of genes, have been associated in humans with RD and ADHD and Dcdc2 may
play a role in neuronal migration in rats. In this study, we examined the effect
of Dcdc2 mutation on cognitive abilities in mice using a visual attention and
visuo-spatial learning and memory task. We show that both heterozygous and
homozygous mutations of Dcdc2 result in persistent visuo-spatial memory deficits,
as well as visual discrimination and long-term memory deficits. These behavioral
deficits occur in the absence of neuronal migration disruption in the mutant
mice, and may be comorbid with an anxiety phenotype. These are the first results
to suggest a direct relationship between induced mutation in Dcdc2 and changes in
behavioral measures. Dcdc2 mutant mice should prove useful in future studies
designed to further dissect the underlying neural mechanisms that are impaired
following Dcdc2 mutation.
PMID- 21883924
TI - Genetic variants in the choline acetyltransferase (ChAT) gene are modestly
associated with normal cognitive function in the elderly.
AB - Genetic variants in the choline acetyltransferase (ChAT) gene have been suggested
as risk factors for neurodegenerative Alzheimer's disease (AD). Here we tested
the importance of genetic variants in the ChAT gene in normal cognitive function
of elderly in a study sample of Danish twins and singletons (N = 2070). The ChAT
rs3810950 A allele, which has been associated with increased risk for AD, was
found to be associated with a decrease cognitive status evaluated by a five
component cognitive composite score [P = 0.03, regression coefficient -0.30, 95%
confidence interval (CI) -0.57 to -0.02], and the rs3810950 and rs8178990
ancestral GC haplotype was also associated with better cross-sectional cognitive
composite score (P = 0.04, regression coefficient 0.59, 95% CI 0.03 to 1.16).
Growth curve model analyses applied to up to 10 years of follow-up data showed
that the rs3810950 A allele was associated with a lower cognitive composite score
and Mini Mental State Examination at the lowest age (73 years of age), and was
lower in the whole interval 73-82 although the absolute difference became smaller
with age. Stratification by the presence of the APOE epsilon4 allele showed that
rs3810950 AG/non-APOE epsilon4 carriers and rs3810950 AA/APOE epsilon4 carriers
were associated with a lower cognitive composite score in younger elderly 73-83
years of age, similar to previous reports of association with AD.
PMID- 21883925
TI - Complete clearance of calciphylaxis following combined treatment with cinacalcet
and sodium thiosulfate.
PMID- 21883926
TI - Lichen planus of nails - successful treatment with Alitretinoin.
PMID- 21883927
TI - Special issue: Protein structure and proteomics: introduction.
PMID- 21883928
TI - Multiple NF-Y-binding CCAAT boxes are essential for transcriptional regulation of
the human C7orf24 gene, a novel tumor-associated gene.
AB - Human chromosome 7 ORF 24 (C7orf24) has been identified as a tumor-related
protein, and shown to be a gamma-glutamyl cyclotransferase. In the current study,
we characterized the promoter region of the human C7orf24 gene to explore the
transcriptional regulation of the gene. We revealed that the human C7orf24
promoter is a TATA-less promoter, containing five CCAAT boxes aligned in a
forward orientation. By performing a luciferase reporter assay with 5'-deleted
and site-directed mutated constructs in HeLa, MCF-7 and IMR-90 cells, we found
that three proximal CCAAT boxes are important for basal transcription.
Electrophoretic mobility gel shift assay and chromatin immunoprecipitation assay
demonstrated that NF-Y specifically bound to all three CCAAT boxes. In addition,
the mRNA and protein expression levels of C7orf24 were significantly reduced in
HeLa cells depleted of NF-YB, a subunit of NF-Y. These results suggested that NF
Ys bound to the three proximal CCAAT boxes play a central role in the
transcription of the gene. Furthermore, as in the case of other genes transcribed
under the control of multiple NF-Ys, such as human E2f1 and cyclin b1, the
C7orf24 gene expression profile oscillated during the cell cycle, implying that
C7orf24 is a novel cell cycle-associated gene.
PMID- 21883929
TI - The AMPK/SNF1/SnRK1 fuel gauge and energy regulator: structure, function and
regulation.
AB - All life forms on earth require a continuous input and monitoring of carbon and
energy supplies. The AMP-activated kinase (AMPK)/sucrose non-fermenting1
(SNF1)/Snf1-related kinase1 (SnRK1) protein kinases are evolutionarily conserved
metabolic sensors found in all eukaryotic organisms from simple unicellular fungi
(yeast SNF1) to animals (AMPK) and plants (SnRK1). Activated by starvation and
energy-depleting stress conditions, they enable energy homeostasis and survival
by up-regulating energy-conserving and energy-producing catabolic processes, and
by limiting energy-consuming anabolic metabolism. In addition, they control
normal growth and development as well as metabolic homeostasis at the organismal
level. As such, the AMPK/SNF1/SnRK1 kinases act in concert with other central
signaling components to control carbohydrate uptake and metabolism, fatty acid
and lipid biosynthesis and the storage of carbon energy reserves. Moreover, they
have a tremendous impact on developmental processes that are triggered by
environmental changes such as nutrient depletion or stress. Although intensive
research by many groups has partly unveiled the factors that regulate
AMPK/SNF1/SnRK1 kinase activity as well as the pathways and substrates they
control, several fundamental issues still await to be clarified. In this review,
we will highlight these issues and focus on the structure, function and
regulation of the AMPK/SNF1/SnRK1 kinases.
PMID- 21883930
TI - Biological characteristics of two lysines on human serum albumin in the high
affinity binding of 4Z,15Z-bilirubin-IXalpha revealed by phage display.
AB - 4Z,15Z-bilirubin-IXalpha (4Z,15Z-BR), an endogenous compound that is sparingly
soluble in water, binds human serum albumin (HSA) with high affinity in a
flexible manner. A phage library displaying recombinant HSA domain II was
constructed, after three rounds of panning against immobilized 4Z,15Z-BR, and
eight clones with high affinity for the pigment were found to contain conserved
basic residues, such as lysine or arginine, at positions 195 and 199. The wild
type and two mutants, K195A and K199A, of whole HSA as well as stand-alone domain
II were expressed in Pichia pastoris for ligand-binding studies. The binding of
4Z,15Z-BR to the K195A and K199A mutants was decreased in both whole HSA and the
domain II proteins. The P-helicity conformer (P-form) of 4Z,15Z-BR was found to
preferentially bind to the wild types and the K195A mutants, whereas the M-form
bound to the K199A mutants. Photoconversion experiments showed that the P-form of
4Z,15Z-BR was transformed into highly water-soluble isomers at a much faster rate
than the M-form. In addition, the M-form of 4Z,15Z-BR showed higher affinity for
domain I than for domain II. The present findings suggest that, whereas both
Lys195 and Lys199 in subdomain IIA are important for the high-affinity binding of
4Z,15Z-BR, Lys199 plays a more prominent role in the elimination of 4Z,15Z-BR.
PMID- 21883931
TI - Circadian expression of steroidogenic cytochromes P450 in the mouse adrenal gland
-involvement of cAMP-responsive element modulator in epigenetic regulation of
Cyp17a1.
AB - The cytochrome P450 (CYP) genes Cyp51, Cyp11a1, Cyp17a1, Cyb11b1, Cyp11b2 and
Cyp21a1 are involved in the adrenal production of corticosteroids, whose
circulating levels are circadian. cAMP signaling plays an important role in
adrenal steroidogenesis. By using cAMP responsive element modulator (Crem)
knockout mice, we show that CREM isoforms contribute to circadian expression of
steroidogenic CYPs in the mouse adrenal gland. Most striking was the CREM
dependent hypomethylation of the Cyp17a1 promoter at zeitgeber time 12, which
resulted in higher Cyp17a1 mRNA and protein expression in the knockout adrenal
glands. The data indicate that products of the Crem gene control the epigenetic
repression of Cyp17 in mouse adrenal glands.
PMID- 21883932
TI - Early use of negative pressure therapy in combination with silver dressings in a
difficult breast abscess.
AB - Combining silver-based dressings with negative pressure therapy after radical
excision of chronically infected breast disease is a novel application of two
technologies. One patient with complex, chronic, infected breast disease
underwent radical excision of the affected area and was treated early with a
combination of silver-based dressings and topical negative pressure therapy. The
wound was then assessed sequentially using clinical measurements of wound area
and depth, pain severity scores and level of exudation. It is possible to combine
accepted techniques with modern dressing technologies that result in a positive
outcome. In this case, the combination of a silver-based dressing with negative
pressure therapy following radical excision proved safe and was well tolerated by
the patient. Full epithelisation of the wound was achieved and there was no
recurrence of the infection for the duration of the treatment.
PMID- 21883933
TI - Necrotising fasciitis due to Absidia corymbifera in wounds dressed with non
sterile bandages.
AB - We present three cases of Absidia corymbifera necrotising fasciitis presenting to
our centre within 1 month of each other. All patients had wound dressings with
non sterile crepe bandages at peripheral centres. One patient was lost to follow
up, another improved on timely antifungal therapy, while the last patient
succumbed to disseminated infection. We propose that traumatic and deep wounds be
dressed with sterile roller bandages to prevent outbreaks of wound zygomycosis.
PMID- 21883934
TI - In vitro and in vivo studies on matrix metalloproteinases interacting with small
intestine submucosa wound matrix.
AB - Small intestine submucosa (SIS), a bioactive extracellular matrix (ECM)
containing critical components of the ECM including collagens, proteoglycans, and
glycosaminoglycans, has been widely used for wound healing. The purpose of this
study was to investigate the interaction between SIS and matrix
metalloproteinases (MMPs). MMP-1, MMP-2, and MMP-9 displayed different binding
affinities, indicated by a loss in activity in solution upon incubation with SIS
at 53.8%, 85.9%, and 36.9% over 24 hours, respectively. A cell migration study
was conducted to evaluate the effects of MMPs and SIS on keratinocytes. The
results indicated that MMPs inhibit keratinocyte migration in vitro, and that the
inhibition can be significantly reduced by pre-incubating the MMP solution with
SIS. To evaluate activity in vivo a diabetic mouse wound healing study was
conducted. Biopsy samples were collected on different days for analysis of MMP
levels by gelatin zymography. MMP activity was found to be attenuated by SIS
treatment on day 3 after wounding. On day 7, the attenuation became less
significant indicating that the MMP binding ability of SIS had become saturated.
SIS was able to reduce MMP activity immediately, and may reduce the inhibitory
effects of MMPs on keratinocyte migration.
PMID- 21883935
TI - Skin necrosis of scrotum due to endovascular embolisation: a case report.
AB - The aim of our case report was to analyse the results obtained with the
Matriderm(r) system and autologous skin grafting for the surgical treatment of
skin necrosis of scrotum as a result of endovascular embolisation. We recruited
one patient with scrotum skin necrosis as a result of endovascular embolisation
admitted at the department of Plastic and Reconstructive Surgery, University of
Rome 'Tor Vergata'. The patient underwent Matriderm(r) system and autologous skin
grafting for skin necrosis treatment. After a single treatment, reduction of the
skin necrosis was obtained, after 30 days from the surgical treatment. Patient
experienced a reduction in pain and a complete restoration of the loss in volume
and quality of skin was noticed. Matriderm(r) system and autologous skin grafting
is a simple, safe and feasible technique. When comparing this treatment with
others, Matriderm(r) is a simpler, more economic and less time-consuming method,
and does not require sophisticated laboratory facilities.
PMID- 21883937
TI - Diabetic foot infections: microbiological aspects, current and future antibiotic
therapy focusing on methicillin-resistant Staphylococcus aureus.
AB - Diabetic patients are at increased risk of complicated skin, skin structure and
bone infections including infections of diabetic foot ulcerations (DFU). Analyses
of epidemiology and microbial pathogenicity show that staphylococci seem to be
predestined to induce such infections. In addition, multidrug resistance
particularly due to an increasing prevalence of methicillin-resistant
Staphylococcus aureus (MRSA) seems to be the challenge for effective antibiotic
therapy. With regard to infections with MRSA, classical agents like vancomycin,
linezolid, fosfomycin or trimethroprim-sulphametoxazol might be agents of choice
in DFU. New-generation drugs including broad-spectrum tetracyclines like
tigecycline, first and second generation of cyclic lipopeptides, anti-MRSA beta
lactams including ceftobiprole and anti-MRSA antibodies are developed or in
progress and the hope for the future.
PMID- 21883936
TI - Acceleration of wound repair by curcumin in the excision wound of mice exposed to
different doses of fractionated gamma radiation.
AB - Fractionated irradiation (IR) before or after surgery of malignant tumours causes
a high frequency of wound healing complications. Our aim was to investigate the
effect of curcumin (CUM) on the healing of deep excision wound of mice exposed to
fractionated IR by mimicking clinical conditions. A full-thickness dermal
excision wound was created on the shaved dorsum of mice that were orally
administered or not with 100 mg of CUM per kilogram body weight before partial
body exposure to 10, 20 or 40 Gy given as 2 Gy/day for 5, 10 or 20 days. The
wound contraction was determined periodically by capturing video images of the
wound from day 1 until complete healing of wounds. Fractionated IR caused a dose
dependent delay in the wound contraction and prolonged wound healing time,
whereas CUM administration before fractionated IR caused a significant elevation
in the wound contraction and reduced mean wound healing time. Fractionated IR
reduced the synthesis of collagen, deoxyribonucleic acid (DNA) and nitric oxide
(NO) at different post-IR times and treatment of mice with CUM before IR elevated
the synthesis of collagen, DNA and NO significantly. Histological examination
showed a reduction in the collagen deposition, fibroblast and vascular densities
after fractionated IR, whereas CUM pre-treatment inhibited this decline
significantly. Our study shows that CUM pre-treatment accelerated healing of
irradiated wound and could be a substantial therapeutic strategy in the
management of irradiated wounds.
PMID- 21883938
TI - Investigation of mechanisms involved in (-)-borneol-induced vasorelaxant response
on rat thoracic aorta.
AB - The monoterpene (-)-borneol is present in essential oils of several medicinal
plants. The aim of this study was to evaluate (-)-borneol effects on rat thoracic
aorta artery rings. The cumulative addition of (-)-borneol (10(-9) -3 * 10(-4)
M) on a phenylephrine-induced pre-contraction (10(-6) M) promoted a vasorelaxant
effect in a concentration-dependent manner and independent of vascular
endothelium. A similar effect was obtained on KCl-induced pre-contractions (80
mM). (-)-Borneol (10(-5) -3 * 10(-4 ) M) inhibited contractions induced by
cumulative addition of CaCl2 (10(-6) -3 * 10(-2) M) in depolarizing medium
without Ca(2+) in a concentration-dependent manner. On S-(-) Bay K 8644-induced
pre-contractions (10(-7) M), (-)-borneol did not induce significant changes
compared with KCl-induced pre-contractions. In a Ca(2+) -free medium, (-)-borneol
(10(-5) , 10(-4) or 10(-3) M) interfered in calcium mobilization from
phenylephrine (10(-6) M)- or caffeine (20 mM)-sensitive intracellular stores.
The involvement of K(+) channels was evaluated by tetraethylammonium (3 mM), 4
aminopyridine (1 mM) and glibenclamide (10(-5) M) pre-treatment, and (-)-borneol
induced vasorelaxation was markedly attenuated. Thus, this vasorelaxant effect
can probably be attributed to calcium influx blockade through voltage-operated
calcium channels (CaV L), calcium mobilization from intracellular stores and
potassium channels activation.
PMID- 21883939
TI - Reactive oxygen species and endothelial function--role of nitric oxide synthase
uncoupling and Nox family nicotinamide adenine dinucleotide phosphate oxidases.
AB - The healthy endothelium prevents platelet aggregation and leucocyte adhesion,
controls permeability to plasma components and maintains vascular integrity.
Damage to the endothelium promotes endothelial dysfunction characterized by:
altered endothelium-mediated vasodilation, increased vascular reactivity,
platelet aggregation, thrombus formation, increased permeability, leucocyte
adhesion and monocyte migration. Molecular processes contributing to these
phenomena include increased expression of adhesion molecules, synthesis of pro
inflammatory and pro-thrombotic factors and increased endothelin-1 secretion.
Decreased nitric oxide bioavailability and increased generation of reactive
oxygen species (ROS) are among the major molecular changes associated with
endothelial dysfunction. A critical source of endothelial ROS is a family of non
phagocytic nicotinamide adenine dinucleotide phosphate (NADPH) oxidases,
including the prototypic Nox2-based NADPH oxidases, Nox1, Nox4 and Nox5. Other
possible sources include mitochondrial electron transport enzymes, xanthine
oxidase, cyclooxygenase, lipoxygenase and uncoupled nitric oxide synthase (NOS).
Cross-talk between ROS-generating enzymes, such as mitochondrial oxidases and
Noxs, is increasingly implicated in cellular ROS production. The present review
discusses the importance of endothelial ROS in health and disease and focuses on
the major ROS-generating systems in the endothelium, namely uncoupled endothelial
nitric oxide synthase and NADPH oxidases.
PMID- 21883940
TI - Structural alterations in small resistance arteries in obesity.
AB - In cardiovascular and metabolic diseases, small resistance arteries may show the
presence of structural alterations. In particular, in essential hypertension, an
increased media-to-lumen ratio of subcutaneous small arteries with no change in
the total amount of vascular wall tissue (eutrophic remodelling) has already been
described several years ago. Similar alterations have been demonstrated also in
patients with diabetes mellitus and obesity; in this case, however, a more
evident contribution of vascular smooth muscle cell growth (hypertrophic
remodelling) is present. This review addresses the effects of obesity on small
resistance artery structure. Similar to diabetic patients, obese patients show an
increased media-to-lumen ratio of subcutaneous small arteries, which appears
associated with hypertrophic remodelling, as demonstrated by an increase in media
cross-sectional area. Endothelial dysfunction evaluated as vasodilator response
to acetylcholine has also been observed. Several studies have shown that
increased media-to-lumen ratio of subcutaneous small resistance arteries
possesses a prognostic significance in relation to cardiovascular outcome.
Appropriate antihypertensive treatment may improve microvascular alterations both
in essential hypertension and in type 2 diabetes mellitus. In obesity, a
pronounced weight loss may improve microvascular structure. However, further
studies are needed to elucidate the effects of other pharmacological and non
pharmacological interventions in obesity.
PMID- 21883941
TI - Sexual behaviors and situational characteristics of most recent male-partnered
sexual event among gay and bisexually identified men in the United States.
AB - INTRODUCTION: Recent nationally representative studies documenting event-level
sexual behavior have included samples that are predominantly heterosexual,
resulting in limited information on the sexual repertoire of gay and bisexually
identified men. AIM: This study sought to document the sexual behaviors that gay
and bisexually identified men report during their most recent male-partnered
sexual event and to describe the situational characteristics and participants'
evaluation of these events. METHODS: Via an internet-based survey, data were
collected from 24,787 gay and bisexually identified men (ages 18-87 years) from
50 US states and the District of Columbia. MAIN OUTCOME MEASURES: Measures
included items related to sociodemographics, recent sexual behavior history,
situational characteristics, orgasm, and ratings of arousal and pleasure.
RESULTS: Participants' mean age was 39.2 years; ethnicities included white
(84.6%), Latino (6.4%), and African American (3.6%); and most men (79.9%)
identified as homosexual. The most commonly reported behavior was kissing a
partner on the mouth (74.5%), followed by oral sex (72.7%), and partnered
masturbation (68.4%). Anal intercourse occurred among less than half of
participants (37.2%) and was most common among men ages 18-24 (42.7%). Sex was
most likely to occur in the participant's home (46.8%), with less frequently
reported locations including hotels (7.4%) and public spaces (3.1%). The number
of behaviors engaged in during last sexual event varied with most (63.2%)
including 5-9 different sexual behaviors. CONCLUSIONS: These data provide one of
the first examinations of sexual behaviors during the most recent male-partnered
sexual event among gay and bisexually identified men in the United States.
Findings from this study suggest that gay and bisexually identified men have a
diverse sexual repertoire and that partnered sexual behaviors are not limited
solely to acts of penile insertion.
PMID- 21883942
TI - Potential risks of chronic sildenafil use for priapism in sickle cell disease.
AB - INTRODUCTION: Priapism is a common concern in sickle cell disease. With a high
frequency of recurrences and serious long-term sequela, a preventative, rather
than traditionally reactive approach, needs to be taken in these patients.
Reports have shown successful use of sildenafil as a prophylactic treatment but
have failed to address adverse outcomes, including vasoocclusive pain crises, of
chronic sildenafil therapy in sickle cell patients. AIMS: We wish to draw
attention to the potential adverse outcomes of this therapy on the overall state
of the patient's disease for consideration in future studies. METHODS: We used
sildenafil in a patient suffering from almost daily attacks of priapism. RESULTS:
Sildenafil was successful in decreasing the frequency of priapism; however, our
patient experienced an increased frequency of vasoocclusive crises, something not
previously addressed. CONCLUSION: Future studies of sildenafil use in sickle cell
disease need to assess the global state of the disease, not just the frequency of
priapism.
PMID- 21883943
TI - The importance of sexual self-disclosure to sexual satisfaction and functioning
in committed relationships.
AB - INTRODUCTION: Past research indicates that sexual self-disclosure, or the degree
to which an individual is open with his or her partner about sexual preferences,
is a key aspect of sexual satisfaction and that partner's lack of knowledge about
one's sexual preferences is associated with persistent sexual dysfunction. AIMS:
To replicate and extend past research by examining (i) how one's own levels of
sexual self-disclosure are related to one's own sexual health (after controlling
for partner's levels of sexual self-disclosure); (ii) how one's partner's levels
of sexual self-disclosure are associated with one's own sexual health (after
controlling for one's own levels of sexual self-disclosure); and (iii) whether
gender moderates the associations between sexual self-disclosure and sexual
health. MAIN OUTCOME MEASURES: Scores from the Golombok Rust Inventory of Sexual
Satisfaction and the Sexual Communication Satisfaction Scale. METHODS: A cross
sectional dyadic study using a convenience sample of 91 heterosexual couples in
long-term committed relationships. Data were analyzed using the Actor-Partner
Interdependence Model. RESULTS: One's own level of sexual self-disclosure is
positively associated with one's own sexual satisfaction, beta = -0.24, t(172.85)
= -3.50, P < 0.001. Furthermore, partner's level of sexual self-disclosure is
associated with men's sexual satisfaction but not with women's sexual
satisfaction, beta = -0.45, t(86.81) = -4.06, P < 0.001 and beta = 0.02, t(87.00)
= 0.20, ns, respectively. The association between own self-disclosure and sexual
problems is stronger for women as compared with men, beta = -0.72, t(87.00) =
6.31, P < 0.001 and beta = -0.24, t(86.27) = -3.04, P < 0.01, respectively.
CONCLUSIONS: Our results demonstrate that sexual self-disclosure is significantly
associated with sexual satisfaction and functioning for both men and women,
albeit in different ways. Our findings underscore the importance of sexual self
disclosure and highlight the importance of the interpersonal level of analysis in
understanding human sexuality.
PMID- 21883944
TI - Outcomes with the "V" implantation technique vs. standard technique for
testosterone pellet therapy.
AB - INTRODUCTION: Standard technique (ST) for implantation of testosterone pellets
involves making a single linear track in the subcutaneous tissue of the buttock
from the incision. After our initial experience with this modality, we modified
this surgical technique to our current "V" technique (VT). This involves two
tracks both caudally directed and emanating from the same skin incision but
angulated approximately 10-15 degrees apart. While this allows additional pellets
to be inserted more easily, and increased space to place those pellets further
from the skin incision, it minimally increases the surgical procedure. AIM: We
sought to examine the impact of this technical modification on therapeutic
efficacy and surgical complication rates. METHODS: Retrospective chart review of
all patients treated with testosterone pellets at our institution. MAIN OUTCOME
MEASURE: Complication rates for infection, extrusion, hematoma, and pain.
RESULTS: One hundred sixty-eight patients underwent 281 implantation procedures
(40 via ST and 241 via VT). The mode number of pellets used with ST was 8 (range
6-8) and with VT was 10 (range 10-13). Incidence of pellet extrusion was 7.5%
with ST and 0.8% with VT. Infection complicated ST in 5% of cases but only 1.2%
with VT. No cases of hematoma were seen with ST but 1.2% of VT cases. Pain
prompting discontinuation of therapy was seen in 7.5% with ST and 1.7% with VT.
Significant pain without discontinuation was seen in 5% with ST and 1.2% with VT.
Only in 1 of the 3 cases of hematoma was the individual on blood thinners. Fifty
eight other insertions were performed on blood thinners without significant
hematoma. None of the individuals who developed infection or bleeding required
additional surgical therapy. CONCLUSIONS: VT allows successful placement of
larger number of pellets, with low rates of complications, especially extrusion,
even in men on anticoagulants.
PMID- 21883945
TI - Exercise training improves the defective centrally mediated erectile responses in
rats with type I diabetes.
AB - INTRODUCTION: Erectile dysfunction is a serious and common complication of
diabetes mellitus. Apart from the peripheral actions, central mechanisms are also
responsible for the penile erection. AIM: The goal of the present study was to
determine the impact of exercise training (ExT) on the centrally mediated
erectile dysfunction in streptozotocin (STZ)-induced type I diabetic (T1D) rats.
METHODS: Male Sprague-Dawley rats were injected with STZ to induce diabetes
mellitus. Three weeks after STZ or vehicle injections, rats were assigned to
either ExT (treadmill running for 3-4 weeks) or sedentary groups to produce four
experimental groups: control + sedentary, T1D + sedentary, control + ExT, and T1D
+ ExT. MAIN OUTCOME MEASURE: After 3-4 weeks ExT, central N-methyl-D-aspartic
acid (NMDA) or sodium nitroprusside (SNP)-induced penile erectile responses were
measured. Neuronal nitric oxide synthase (nNOS) expression in the paraventricular
nucleus (PVN) of the hypothalamus was measured by using histochemistry, real time
polymerase chain reaction (PCR) and Western blot approaches. RESULTS: In rats
with T1D, ExT significantly improved the blunted erectile response, and the
intracavernous pressure changes to NMDA (50 ng) microinjection within the PVN
(T1D + ExT: 3.0 +/- 0.6 penile erection/rat; T1D + sedentary: 0.5 +/- 0.3 penile
erection/rat within 20 minutes, P < 0.05). ExT improved erectile dysfunction
induced by central administration of exogenous nitric oxide (NO) donor, SNP in
T1D rats. Other behavior responses including yawning and stretching, induced by
central NMDA and SNP microinjection were also significantly increased in T1D rats
after ExT. Furthermore, we found that ExT restored the nNOS mRNA and protein
expression in the PVN in T1D rats. CONCLUSIONS: These results suggest that ExT
may have beneficial effects on the erectile dysfunction in diabetes through
improvement of NO bioavailability within the PVN. Thus, ExT may be used as
therapeutic modality to up-regulate nNOS within the PVN and improve the central
component of the erectile dysfunction in diabetes mellitus.
PMID- 21883946
TI - Sex hormone levels, genetic androgen receptor polymorphism, and anxiety in >=50
year-old males.
AB - INTRODUCTION: While associations between somatic changes and sex hormone levels
in aging men have been explored in many studies, the association of testosterone
and estradiol with psychic symptoms other than depression and the role of the
genetically determined CAG repeat (CAGn) polymorphism of the androgen receptor
(AR) have received much less attention. AIM: The purpose of this article is to
investigate the associations between general anxiety, phobic anxiety and panic
with sex hormone levels and the genetic androgen receptor polymorphism in aging
males. METHODS: This cross-sectional study of males aged >=50 years included 120
consecutive patients of the Department of Psychosomatics and Psychotherapy, 76
consecutive patients of the Andrology Clinic, and 100 participants from the
general population; all of them completed the Brief Symptom Inventory (BSI), the
Aging Males' Symptoms (AMS) Scale, and the Patient Health Questionnaire (PHQ-9).
Morning blood samples were analyzed for total and free testosterone, estradiol,
sex hormone-binding globulin (SHBG), and the CAGn AR polymorphism. Psychosomatic
patients also underwent psychiatric assessment. MAIN OUTCOME MEASURES: Scores on
the Anxiety subscales of the BSI and PHQ, Anxiety disorders according to
International Classification of Diseases, 10th revision (ICD-10). RESULTS: The
two clinical samples had significantly longer CAGn of the AR and higher anxiety
levels compared to the population sample. Anxiety scores were positively
correlated with CAGn in psychosomatic patients and in andrological patients, in
the latter also with estradiol levels, while the population sample showed no
significant correlations between anxiety scores, CAGn and sex hormones. Anxiety
cases according to BSI, PHQ, and ICD-10 had significantly longer CAGn of the AR
when compared to the other participants, but there were no significant
differences in testosterone or free testosterone levels. CONCLUSIONS: Our results
indicate that genetically determined long CAGn of the AR is an independent risk
factor for higher anxiety, panic and phobic anxiety levels.
PMID- 21883947
TI - Vaginal orgasm is related to better mental health and is relevant to evolutionary
psychology: a response to Zietsch et al.
PMID- 21883948
TI - The effect of major depression on sexual function in women.
AB - INTRODUCTION: Eleven hundred eighty-four depressed women were entered into five
short-term (8 weeks) studies of gepirone-extended release (ER) vs. placebo for
treatment of major depressive disorder (MDD) (134001, 134002, and 134017), or
atypical depressive disorder (ADD) (134004 and 134006). The effect of depression
on sexual function was examined prior to treatment. AIM: To determine the effect
of depression on the prevalence of Diagnostic and Statistical Manual Fourth
Edition (DSM-IV) sexual dysfunction diagnoses and the Derogatis Inventory of
Sexual Function (DISF) total score and domain scores and to measure the effect of
severity of depression. MAIN OUTCOME MEASURES: Hamilton Depression Rating Scale
(HAMD-17), DSM-IV diagnoses, and DISF total and domain scores. METHODS: DSM-IV
diagnoses--hypoactive sexual desire disorder (HSDD), sexual aversion disorder
(SAD), female arousal disorder (FAD), and female orgasmic disorder (FOD)--were
made by a trained psychiatrist. The HAMD-17 measured antidepressant efficacy. The
DISF or its self-report version measured sexual function. To access the effect of
severity of depression, baseline HAMD-17 scores were stratified as mild (<18),
moderate (19-22), severe (23-25), or extreme (26-33). All measures were taken at
baseline. RESULTS: In this depressed female population, prevalence rates were
HSDD 17.7%, SAD 3.4%, FAD 5.8%, and FOD 7.7%. These rates for females are within
the reported normal (nondepressed) values. However, DISF scores are one or more
standard deviations below population norms for total score. DISF domains are not
equally affected: orgasm is most impaired, while sexual desire and sexual arousal
are somewhat preserved. Higher HAMD scores result in lower DISF scores (greater
sexual dysfunction). CONCLUSIONS: In women, depression affects DISF scores more
than DSM-IV diagnoses for sexual dysfunction. With increasing severity of
depression (increased HAMD scores), sexual dysfunction becomes greater (lower
DISF scores). For equal HAMD scores, DISF scores for MDD and ADD are the same.
PMID- 21883949
TI - Satisfying sexual events as outcome measures in clinical trial of female sexual
dysfunction.
AB - INTRODUCTION: Assessing the sexual response in women with female sexual
dysfunctions (FSDs) in clinical trials remains difficult. Part of the challenge
is the development of meaningful and valid end points that capture the complexity
of women's sexual response. AIM: The purpose of this review is to highlight the
shortcomings of daily diaries and the limitations of satisfying sexual events
(SSEs) as primary end points in clinical trials of women with hypoactive sexual
desire disorder (HSDD) as recommended by the Food and Drug Administration (FDA)
in their draft guidance on standards for clinical trials in women with FSD.
METHODS: Clinical trials in women with HSDD using SSEs as primary end points were
reviewed. MAIN OUTCOME MEASURES: The agreement between three outcome measures
(SSEs, desire, and distress) was assessed to illustrate to what degree
improvements in SSEs were in agreement with improvements in sexual desire and/or
personal distress. RESULTS: Nine placebo-controlled randomized trials in women
with HSDD were reviewed: seven with transdermal testosterone and two with
flibanserin. In four trials, all using transdermal testosterone 300 ug/day had
agreement between changes in SSEs, desire, and distress. In five studies
(testosterone 300 ug/day, n = 2; testosterone 150 ug/day, n = 1; flibanserin n =
2), changes in SSEs did not correlate with changes in desire and/or distress and
vice versa. It should be noted that in the flibanserin trials, SSEs did correlate
with desire assessed using the Female Sexual Function Index but not when it was
assessed using the eDiary. CONCLUSIONS: Findings in the literature do not
uniformly support the recommendations from the FDA draft guidance to use diary
measures in clinical trials of HSDD as primary end points. Patient-reported
outcomes appear to be better suited to capture the multidimensional and more
subjective information collected in trials of FSD.
PMID- 21883950
TI - Simultaneous penile-vaginal orgasm is associated with sexual satisfaction.
PMID- 21883951
TI - Neural activation toward erotic stimuli in homosexual and heterosexual males.
AB - INTRODUCTION: Studies investigating sexual arousal exist, yet there are diverging
findings on the underlying neural mechanisms with regard to sexual orientation.
Moreover, sexual arousal effects have often been confounded with general arousal
effects. Hence, it is still unclear which structures underlie the sexual arousal
response in homosexual and heterosexual men. AIM: Neural activity and subjective
responses were investigated in order to disentangle sexual from general arousal.
Considering sexual orientation, differential and conjoint neural activations were
of interest. METHODS: The functional magnetic resonance imaging (fMRI) study
focused on the neural networks involved in the processing of sexual stimuli in 21
male participants (11 homosexual, 10 heterosexual). Both groups viewed pictures
with erotic content as well as aversive and neutral stimuli. The erotic pictures
were subdivided into three categories (most sexually arousing, least sexually
arousing, and rest) based on the individual subjective ratings of each
participant. MAIN OUTCOME MEASURES: Blood oxygen level-dependent responses
measured by fMRI and subjective ratings. RESULTS: A conjunction analysis revealed
conjoint neural activation related to sexual arousal in thalamus, hypothalamus,
occipital cortex, and nucleus accumbens. Increased insula, amygdala, and anterior
cingulate gyrus activation could be linked to general arousal. Group differences
emerged neither when viewing the most sexually arousing pictures compared with
highly arousing aversive pictures nor compared with neutral pictures. CONCLUSION:
Results suggest that a widespread neural network is activated by highly sexually
arousing visual stimuli. A partly distinct network of structures underlies sexual
and general arousal effects. The processing of preferred, highly sexually
arousing stimuli recruited similar structures in homosexual and heterosexual
males.
PMID- 21883952
TI - Use of pelvic floor ultrasound to assess pelvic floor muscle function in
Urological Chronic Pelvic Pain Syndrome in men.
AB - INTRODUCTION: An important cause or maintaining factor for pain in Urological
Chronic Pelvic Pain Syndrome (UCPPS) may be pelvic floor muscle (PFM)
dysfunction, which may also be implicated in sexual dysfunction and influenced by
psychosocial factors. Pelvic floor ultrasound is a noninvasive, reliable, and
relatively simple method to assess PFM morphology and function and can be
assessed by the anorectal angle (ARA) and levator plate angle (LPA). AIMS: The
aim of the present study was to examine PFM morphology in men with UCPPS as
compared with controls and to examine the correlation with pain and psychosocial
measures. METHODS: Our participants were 24 men with UCPPS and 26 controls. A GE
Voluson E8 ultrasound probe was placed on the perineum, and three-dimensional
images were taken at rest and during PFM contraction. MAIN OUTCOME MEASURES: The
main outcomes were ARA and LPA at rest and contraction. Participants also
completed the National Institute of Health (NIH) Chronic Prostatitis Symptom
Index, Male Sexual Health Questionnaire, State Anxiety Inventory, and Pain
Catastrophizing Scale. RESULTS: Men with UCPPS had more acute ARAs than controls
both at rest and during contraction. The two groups did not differ in LPA at
rest; however, men with UCPPS had significantly more acute angles during
contraction and LP excursion. Acute ARAs were positively correlated with greater
pain report and sexual dysfunction. Anxiety was correlated with more acute ARAs
and more obtuse LPAs. CONCLUSIONS: Three implications can be drawn from the
findings. First, ARA at rest and during contraction as well as LP angle during
contraction and LPA excursion separates men with UCPPS from controls. Second, ARA
at rest and during contraction was correlated with pain and sexual dysfunction,
while LPA at rest was related to anxiety. Third, pelvic floor ultrasound has the
potential to be a useful and objective method of assessing PFM morphology in
UCPPS.
PMID- 21883953
TI - Characterization of erectile function in elastin haploinsufficicent mice.
AB - INTRODUCTION: Elastin fibers confer passive recoil to many tissues including the
lung, skin, and arteries. In the penis, elastin is present in sinusoids,
arterioles, and in the tunica albuginea. Although decreased penile elastin has
been reported in men with erectile dysfunction, the exact role of elastin in
physiologic processes integral to erection remains speculative. AIM: The aim of
this study was to characterize erectile function in elastin-deficient mice.
METHODS: Elastin haploinsufficient mice (Eln(+/-) ) and aged match Eln(+/+) (Wt)
mice were used. Cavernosum was removed from some mice for quantification of
elastin, collagen, and smooth muscle actin. Ex vivo assessment of contractile
force generation was performed by myography. In vivo assessment of intracorporal
pressure normalized to mean arterial pressure in response to electrical
stimulation of the cavernosal nerve was measured. Veno-occlusive function was
determined by cavernosography. MAIN OUTCOME MEASURES: The main outcome measures
of this study were the in vitro and in vivo assessment of cavernosal
vasoreactivity, veno-occlusive function and erection in mice deficient in
elastin. RESULTS: Eln (+/-) mice exhibited ~33% less penile elastin than Wt mice,
with no change in collagen. Cavernosal tissue from Eln(+/-) mice has a
significantly heightened contractile response, explained in part by increased
smooth muscle cell content. Veno-occlusive function was significantly altered in
Eln(+/-) mice. Interestingly, erectile function was impaired only at submaximal
voltage (1 V) stimulation (there was no impairment during the higher 2-V
stimulus). CONCLUSIONS: Eln (+/-) mice display a cavernosal phenotype consistent
with developmental changes attributable to the loss of elastin. These alterations
confer a degree of altered erectile function that is able to be overridden by
maximal stimulatory input. Altogether, these data suggest that elastin is
important for erectile function.
PMID- 21883954
TI - Time to onset of action of vardenafil: a retrospective analysis of the pivotal
trials for the orodispersible and film-coated tablet formulations.
AB - INTRODUCTION: Patients and physicians consider a rapid onset of action to be an
important attribute of oral pharmacotherapy for erectile dysfunction. AIM: To
investigate the time to onset of action of a new orodispersible tablet (ODT)
formulation of vardenafil. METHODS: A post hoc integrated analysis was performed
on data from two 12-week, double-blind, multicenter, randomized, parallel-group,
placebo-controlled phase III trials of 10 mg vardenafil ODT. Data for the
vardenafil film-coated tablet were generated from a retrospective integrated
analysis at week 12 of four double-blind, multicenter, randomized, parallel
group, fixed-dose, placebo-controlled phase III trials. Time intervals (in 15-,
30-, and 60-minute increments, up to >=6 hours after study medication intake)
were determined for the period between dosing and start of sexual activity (with
the intention of intercourse). MAIN OUTCOME MEASURES: The total number of sexual
intercourse attempts and Sexual Encounter Profile question 3 (SEP3) success rates
were calculated per time interval. RESULTS: Within 15 minutes postdosing, mean
per-patient SEP3 success rates were 62.5% (vardenafil ODT) vs. 29.4% (placebo),
with corresponding overall SEP3 success rates of 59.8% and 38.2%. In this time
interval, 5.3% vs. 2.8% of all sexual activity attempts were initiated by
subjects taking vardenafil ODT (n = 89) or placebo (n = 62), respectively. At 16
30 minutes postdosing, SEP3 success rates were 65.3% and 32.6% (mean per-patient)
and 70.2% and 51.0% (overall) for vardenafil ODT vs. placebo, respectively, with
a corresponding 10.4% and 8.7% of all sexual activity attempts being made by
subjects taking vardenafil ODT (n = 170) or placebo (n = 118). Comparable results
were observed for vardenafil 10 and 20 mg film-coated tablet at corresponding
time intervals. CONCLUSIONS: Vardenafil ODT shows a rapid onset of action
comparable with that of vardenafil film-coated tablet. In those men who begin
sexual activity within 30 minutes after dosing, the majority of sexual attempts
lead to successful intercourse.
PMID- 21883955
TI - Synthesis and comparison of antioxidant properties of indole-based melatonin
analogue indole amino Acid derivatives.
AB - Increased levels of reactive oxygen species attributed to oxidative stress have
been found to be responsible for the development of some vital diseases such as
cardiovascular, neurodegenerative and autoimmune diseases. Recently, it was
observed that melatonin is a highly important antioxidant, and melatonin
analogues are under investigation to find out improved antioxidant activity. In
this study, 14 melatonin -based analogue indole amino acid and N-protected amino
acid derivatives were synthesized and elucidated spectrometrically. To
investigate the antioxidant activity of the synthesized compounds and to compare
with melatonin, butylhydroxytoluene and vitamin E, lipid peroxidation inhibition
and 2,2-diphenyl-1-picrylhydrazyl radical-scavenging activities were tested. The
results indicated that the synthesized new indole amino acid derivatives have
similar activities to melatonin in 2,2-diphenyl-1-picrylhydrazyl radical
scavenging activity assay but more potent activities in lipid peroxidation
inhibition assay.
PMID- 21883957
TI - Synthesis and antibacterial activity of aromatic and heteroaromatic amino
alcohols.
AB - Two series of aromatic and heteroaromatic amino alcohols were synthesized from
alcohols and aldehydes and evaluated for their antibacterial activities. All the
octylated compounds displayed a better activity against the four bacteria tested
when evaluated by the agar diffusion method and were selected for the evaluation
of minimal inhibitory concentration. The best results were obtained for p
octyloxybenzyl derivatives against Staphylococcus epidermidis (minimal inhibitory
concentrations = 32 MUm).
PMID- 21883956
TI - X-ray crystal structure of bone marrow kinase in the x chromosome: a Tec family
kinase.
AB - Bone marrow kinase in the X chromosome, a member of the Tec family of tyrosine
kinases, plays a role in both monocyte/macrophage trafficking as well as cytokine
secretion. Although the structures of Tec family kinases Bruton's tyrosine kinase
and IL-2-inducible T-cell kinase are known, the crystal structures of other Tec
family kinases have remained elusive. We report the X-ray crystal structures of
bone marrow kinase in the X chromosome in complex with dasatinib at 2.4 A
resolution and PP2 at 1.9 A resolution. The bone marrow kinase in the X
chromosome structures reveal a typical kinase protein fold; with well-ordered
protein conformation that includes an open/extended activation loop and a
stabilized DFG-motif rendering the kinase in an inactive conformation. Dasatinib
and PP2 bind to bone marrow kinase in the X chromosome in the ATP binding pocket
and display similar binding modes to that observed in other Tec and Src protein
kinases. The bone marrow kinase in the X chromosome structures identify
conformational elements of the DFG-motif that could potentially be utilized to
design potent and/or selective bone marrow kinase in the X chromosome inhibitors.
PMID- 21883958
TI - Effects of hyperoxic ventilation on 6-h survival at the critical haemoglobin
concentration aggravated by experimentally induced tachycardia in anaesthetized
pigs.
AB - AIM: Administration of 100% oxygen [hyperoxic ventilation (HV)] has been proven
to ameliorate oxygen transport, tissue oxygenation and survival in different
models of extreme normovolemic and hypovolemic anaemia. However, up to date, it
is unknown whether HV is also able to improve outcome of extreme anaemia if
myocardial oxygen consumption is contemporaneously increased by tachycardia.
Therefore, we investigated the influence of HV on the 6-h survival rate during
extreme anaemia and aggravated by experimentally induced tachycardia in a
prospective, randomized study in a pig model of critical anaemia. METHODS: After
government approval, 14 anesthetized pigs mechanically ventilated on room air
were haemodiluted by replacing a certain amount of whole blood with hydroxethyl
starch 6% (200.000/0.5) until their individual critical haemoglobin concentration
(Hb(crit)) was achieved. At Hb(crit), tachycardia (180 bpm) was induced in all
animals by atrial pacing. Thereafter, animals were observed for the next 6 h
either at room air (FiO(2) 0.21; group NOX) or during HV (FiO(2) 1.0; group HOX)
without further intervention. As primary outcome parameter of this study, the 6-h
survival rate was selected. RESULTS: Hyperoxic ventilation increased the 6-h
survival rate from 14 to 100%. In contrast to the NOX group, macrohaemodynamics
and oxygen transport improved in the HOX group during the observation period
without apparent adverse effects of HV. CONCLUSIONS: Hyperoxic ventilation can be
considered a safe and effective measure for the optimization of oxygen supply
during extreme anaemia and despite concomitant tachycardia within 6 h. Whether HV
can also be recommended beyond this period warrants further studies.
PMID- 21883959
TI - Detecting sweet and umami tastes in the gastrointestinal tract.
AB - Information about nutrients is a critical part of food selection in living
creatures. Each animal species has developed its own way to safely seek and
obtain the foods necessary for them to survive and propagate. Necessarily, humans
and other vertebrates have developed special chemosensory organs such as taste
and olfactory organs. Much attention, recently, has been given to the
gastrointestinal (GI) tract as another chemosensory organ. Although the GI tract
had been considered to be solely for digestion and absorption of foods and
nutrients, researchers have recently found taste-signalling elements, including
receptors, in this tissue. Further studies have revealed that taste cells in the
oral cavity and taste-like cells in the GI tract appear to share common
characteristics. Major receptors to detect umami, sweet and bitter are found in
the GI tract, and it is now proposed that taste-like cells reside in the GI tract
to sense nutrients and help maintain homeostasis. In this review, we summarize
recent findings of chemoreception especially through sweet and umami sensors in
the GI tract. In addition, the possibility of purinergic transmission from taste
like cells in the GI tract to vagus nerves is discussed.
PMID- 21883960
TI - The effect of continuous and interval exercise on PGC-1alpha and PDK4 mRNA in
type I and type II fibres of human skeletal muscle.
AB - AIM: Differences in fibre-type recruitment during exercise may induce a
heterogenic response in fibre-type gene expression. We have investigated the
effect of two different exercise protocols on the fibre-type-specific expression
of master genes involved in oxidative metabolism [proliferator-activated receptor
gamma coactivator-1alpha (PGC-1alpha) and Pyruvate dehydrogenase kinase 4
(PDK4)]. METHODS: Untrained subjects (n = 7) completed 90-min cycling either at a
constant intensity [continuous exercise (CE): approximately 60% of VO(2max) ] or
as interval exercise (IE: approximately 120/20% VO(2max) , duty cycle 12/18s).
Muscle samples were taken before (pre) and 3 h after (post) exercise. Single
fibres were isolated from freeze-dried muscle and characterized as type I or type
II. The cDNA from two fibres of the same type was pooled and mRNA analysed with
reverse transcription quantitative real-time PCR. RESULTS: Continuous exercise
and IE elicited a small increase in blood lactate (<2.5 mM) and moderate glycogen
depletion (<40%) without difference between exercise modes. The mRNA of PGC
1alpha and PDK4 increased 5- to 8-fold in both fibre types after exercise, and
the relative increase was negatively correlated with the basal level. However,
the mRNA of PGC-1alpha and PDK4 was not different between type I and II fibres
neither pre nor post, and there was no difference in the exercise-induced
response between fibre types or exercise modes. CONCLUSION: We conclude that the
mRNA of PGC-1alpha and PDK4 increases markedly in both fibre types after
prolonged exercise without difference between CE and IE. The similar response
between fibre types may relate to that subjects were sedentary and that the
metabolic stress was low.
PMID- 21883961
TI - The importance of an extended preoperative trial of BAHA in unilateral
sensorineural hearing loss: a prospective cohort study.
AB - OBJECTIVES: The influence of an extended preoperative trial of bone-anchored
hearing aid (BAHA) and headband on the decision to proceed with surgery in cases
of unilateral sensorineural hearing loss is studied. DESIGN: In this prospective
study, the headband was introduced after assessment confirmed suitable candidacy.
Those who had an initially favourable response to the headband trial were
encouraged to complete a 2-week home trial of device and headband. Patients were
asked to pay special attention to situations where they perceived high demands on
their hearing, e.g. at the dinner table, in the car, walking outside etc.
Counselling regarding the BAHA placement, the need for daily care of the implant
site and the financial implications of acquiring the device was also given.
SETTING: Tertiary university hospital. PARTICIPANTS: Patients with unilateral
sensorineural hearing loss, fulfilling criteria for BAHA surgery, with favourable
response to initial 'office trial' of headband. MAIN OUTCOME MEASURES: After 2
week home trial, BAHA and headband were returned with a statement of the
patient's intentions. RESULTS: Sixteen of 50 (32%) patients who expressed
definite interest in BAHA surgery after a positive introduction to the headband
in the office did not wish to proceed to surgery having completed a more thorough
2-week trial of device at home. Most patients cited a failure of the device to
meet their hearing requirements. Subsequently, we found further attrition with
nine more patients (18%) deciding at a still later date, not to proceed with
surgery. Ultimately, just 25 of 50 (50%) of our initially enthusiastic group
chose not to proceed to surgery. In the group who did proceed, there were no non
users. CONCLUSIONS: We propose the importance of an extended preoperative trial
where BAHA is considered in the rehabilitation of unilateral sensorineural
hearing loss. The trial may foster more realistic expectations of device
performance in this challenging group. A 'cooling-off' period before surgery also
appears to lead to further attrition with the ultimate reward of no non-users in
those proceeding to surgery.
PMID- 21883962
TI - Influenza disease burden in adults by subtypes following the initial epidemic of
pandemic H1N1 in Singapore.
AB - Peaks of influenza activity in July 2009 and January 2010 were >90% pandemic H1N1
(pH1N1), but by May 2010, H3N2 predominated in hospital attendances (46.5%,
versus 38.9% pH1N1); H3N2 hospital attendances were older (72.9% aged >=60 years
versus 13.5% for pH1N1), but the age-stratified proportions admitted for
pneumonia ]were similar. As at the end of the third epidemic wave in Singapore,
pH1N1 cases in hospital attendances were still markedly younger than cases of
H3N2 or influenza B, with little evidence for any changes in severity.
PMID- 21883963
TI - Contribution of murine innate serum inhibitors toward interference within
influenza virus immune assays.
AB - BACKGROUND: Prior to detection of an antibody response toward influenza viruses
using the hemagglutination inhibition assay (HAI), sera are routinely treated to
inactivate innate inhibitors using both heat inactivation (56 degrees C) and
recombinant neuraminidase [receptor-destroying enzyme (RDE)]. OBJECTIVES: We
revisited the contributions of innate serum inhibitors toward interference with
influenza viruses in immune assays, using murine sera, with emphasis on the
interactions with influenza A viruses of the H3N2 subtype. METHODS: We used
individual serum treatments: 56 degrees C alone, RDE alone, or RDE + 56 degrees
C, to treat sera prior to evaluation within HAI, microneutralization, and
macrophage uptake assays. RESULTS: Our data demonstrate that inhibitors present
within untreated murine sera interfere with the HAI assay in a manner that is
different from that seen for the microneutralization assay. Specifically, the
gamma class inhibitor alpha(2) -Macroglobulin (A2-M) can inhibit H3N2 viruses
within the HAI assay, but not in the microneutralization assay. Based on these
findings, we used a macrophage uptake assay to demonstrate that these inhibitors
can increase uptake by macrophages when the influenza viruses express an HA from
a 1968 H3N2 virus isolate, but not a 1997 H3N2 isolate. CONCLUSIONS: The practice
of treating sera to inactivate innate inhibitors of influenza viruses prior to
evaluation within immune assays has allowed us to effectively detect influenza
virus-specific antibodies for decades. However, this practice has yielded an
under-appreciation for the contribution of innate serum inhibitors toward host
immune responses against these viruses, including contributions toward
neutralization and macrophage uptake.
PMID- 21883965
TI - A novel test tube method of screening for hemoglobin E.
AB - INTRODUCTION: Hemoglobin (Hb) E is a beta-structural variant common worldwide.
This Hb disorder can form a compound heterozygous state with the beta-thalassemia
gene, leading to life-threatening hereditary hemolytic anemia, HbE/beta
thalassemia. Screening of HbE has proven to be a challenging practice in
prevention and control of the HbE/beta-thalassemia. METHODS: A novel test tube
method for HbE screening using diethyl aminoethyl (DEAE)-cellulose resin was
described. With the developed system, HbE/A(2) did not bind to the resin and
remained dissolved in the supernatant, whereas other Hbs completely bound to the
resin. The red color of the supernatant observed in the test tube indicated the
presence of HbE. Colorless or markedly pale color of the supernatant indicates
the absence of HbE. RESULTS: Accuracy and efficiency of the established method in
detecting HbE was comparable with the standard cellulose acetate electrophoresis
method. The developed method is cheap and simple with no requirement of
sophisticated equipment. The reagent could be stored at 4 degrees C for up to 5
months. Hemolysate samples aged up to 5 months were still suitable for this test.
CONCLUSION: The described novel test tube method could be an alternative method
of mass population screening for HbE, particularly in small health care
facilities.
PMID- 21883964
TI - Performance of rapid influenza H1N1 diagnostic tests: a meta-analysis.
AB - BACKGROUND: Following the outbreaks of 2009 pandemic H1N1 infection, rapid
influenza diagnostic tests have been used to detect H1N1 infection. However, no
meta-analysis has been undertaken to assess the diagnostic accuracy when this
manuscript was drafted. METHODS: The literature was systematically searched to
identify studies that reported the performance of rapid tests. Random effects
meta-analyses were conducted to summarize the overall performance. RESULTS:
Seventeen studies were selected with 1879 cases and 3477 non-cases. The overall
sensitivity and specificity estimates of the rapid tests were 0.51 (95%CI: 0.41,
0.60) and 0.98 (95%CI: 0.94, 0.99). Studies reported heterogeneous sensitivity
estimates, ranging from 0.11 to 0.88. If the prevalence was 30%, the overall
positive and negative predictive values were 0.94 (95%CI: 0.85, 0.98) and 0.82
(95%CI: 0.79, 0.85). The overall specificities from different manufacturers were
comparable, while there were some differences for the overall sensitivity
estimates. BinaxNOW had a lower overall sensitivity of 0.39 (95%CI: 0.24, 0.57)
compared with all the others (P-value <0.001), whereas QuickVue had a higher
overall sensitivity of 0.57 (95%CI: 0.50, 0.63) compared with all the others (P
value = 0.005). CONCLUSIONS: Rapid tests have high specificity but low
sensitivity and thus limited usefulness.
PMID- 21883966
TI - The role of molecular genetic analysis within the diagnostic haemato-oncology
laboratory.
AB - The identification of the molecular genetic basis to many haematological
malignancies along with the increased use of molecularly targeted therapy has
heralded an increasing role for molecular genetic-based techniques. Demonstration
of acquired changes such as the JAK2 V617F mutation within myeloproliferative
neoplasms has quickly moved from a research setting to the diagnostic laboratory.
Disease-specific genetic markers, such as the BCR-ABL1 fusion gene in chronic
myeloid leukaemia, enable sensitive molecular genetic methods to be applied for
the detection and quantification of low-level residual disease, allowing early
identification of relapse. Consequently, molecular genetics now plays a crucial
role in diagnosis, the identification of prognostic markers and monitoring of
haematological malignancies. The development of high-throughput whole-genome
approaches offers the potential to rapidly screen newly diagnosed patients for
all disease-associated molecular genetic changes.
PMID- 21883967
TI - State of the art in myeloid sarcoma.
AB - INTRODUCTION: Myeloid sarcomas are extramedullary lesions composed of myeloid
lineage blasts that typically form tumorous masses and may precede, follow, or
occur in the absence of systemic acute myeloid leukemia. They most commonly
involve the skin and soft tissues, lymph nodes, and gastrointestinal tract and
are particularly challenging to diagnose in patients without an antecedent
history of acute myeloid leukemia. METHODS: We conducted a search of the English
language medical literature for recent studies of interest to individuals
involved in the diagnosis of myeloid sarcoma. RESULTS: The differential diagnosis
includes non-Hodgkin lymphoma, blastic plasmacytoid dendritic cell neoplasm,
histiocytic sarcoma, melanoma, carcinoma, and (in children) small round blue cell
tumors. The sensitivity and specificity of immunohistochemical markers must be
considered when evaluating a suspected case of myeloid sarcoma. A high percentage
of tested cases have cytogenetic abnormalities. CONCLUSION: A minimal panel of
immunohistochemical markers should include anti-CD43 or anti-lysozyme as a lack
of immunoreactivity for either of these sensitive markers would be inconsistent
with a diagnosis of myeloid sarcoma. Use of more specific markers of myeloid
disease, such as CD33, myeloperoxidase, CD34 and CD117 is necessary to establish
the diagnosis. Other antibodies may be added depending on the differential
diagnosis. Identification of acute myeloid leukemia-associated genetic lesions
may be helpful in arriving at the correct diagnosis.
PMID- 21883968
TI - The use of the white cell count and haemoglobin in combination as an effective
screen to predict the normality of the full blood count.
AB - INTRODUCTION: The utility of the full blood count (FBC) is vast with each
parameter serving as a tool to aid diagnosis and monitor disease progression.
However, the effectiveness of the test is hampered because of increased workload
and lack of interpretation. In the effort to redress this issue, the combined use
of the white blood cell count (WBC) and haemoglobin in predicting the normality
of the FBC is evaluated. METHOD: FBC data were collated from 2191 patients and
classified into two groups depending on whether the WBC and the haemoglobin were
within the reference range. Blood films were examined on the abnormal FBC samples
in each group and graded on morphology. RESULTS: The FBC was normal in 89.6% of
cases in the presence of a normal WBC and haemoglobin with subtle abnormalities
in the remainder; 1+ grading of abnormal morphology in 93%. However, when the WBC
and/or haemoglobin was abnormal, the remaining FBC was significantly abnormal (P
< 0.05) and the corresponding blood films were grossly abnormal; 2+/3+ grading in
96% of cases. CONCLUSION: We concluded that in the presence of a normal WBC and
haemoglobin, the FBC is normal in almost all cases and measuring these two
parameters could be used as an effective screen to predict FBC normality.
PMID- 21883969
TI - Plasma protein oxidation is correlated positively with plasma iron levels and
negatively with hemolysate zinc levels in sickle-cell anemia patients.
AB - INTRODUCTION: Sickle-cell anemia (SCA) is a disease of high oxidative stress. The
oxidative medium of SCA was evaluated by protein oxidation parameters and their
correlation with lipids and ions were investigated both in the plasma and in the
erythrocyte. METHODS: Blood samples were obtained from 15 steady-state patients
with SCA, 10 carriers, and 10 controls. Plasma protein carbonyl, total
sulfhydryl, total cholesterol, triglyceride, phospholipids, and bilirubin levels
were determined by spectrophotometric methods. Plasma copper, zinc, and iron
levels were determined by atomic absorption spectrophotometry. Levels of
cholesterol, triglyceride, and ions were also determined in the hemolysate lipid
extract. RESULTS: Patients had increased protein carbonyl and decreased total
sulfhydryl levels compared with controls. Plasma cholesterol levels were lower
than controls, and triglyceride levels were higher than carriers in patients with
SCA. Plasma iron and hemolysate copper were higher in patients than carriers and
controls. Plasma protein carbonyl levels were found to be correlated with plasma
iron and hemolysate zinc levels in the patient group. CONCLUSION: Alterations of
proteins, lipids, and ions in the plasma and erythrocyte of steady-state patients
with SCA were demonstrated. Some of these alterations are related with each other
and with the oxidative stress observed in the disease.
PMID- 21883970
TI - Quantification of hemoglobin Constant Spring in heterozygote and homozygote by a
capillary electrophoresis method.
AB - INTRODUCTION: Capillary electrophoresis (CE) is a high-resolution method for
detection of hemoglobin Constant Spring (Hb CS). METHODS: The levels of Hb CS
quantified by CE were compared among three groups of samples including
heterozygote and homozygote of Hb CS as well as Hb H-CS disease classified by DNA
molecular diagnosis. The mean corpuscular volume (MCV) of red blood cells was
also analyzed among these three groups. RESULTS: Mean +/- SD of Hb CS level of
the homozygote was not significantly different from that of the Hb H-CS disease
(1.9 +/- 1.8 vs. 2.8 +/- 1.3, P = 0.13), but it was significantly higher than
that of the heterozygote (1.9 +/- 1.8 vs. 0.4 +/- 0.2, P = 0.007). The MCV <70 fL
was found in Hb H-CS disease only. CONCLUSION: CE is the preferable method for
screening of heterozygote and homozygote of Hb CS. Moreover, in conjunction with
a lower MCV (<70 fL), this approach provided a high resolution to identify Hb H
CS disease.
PMID- 21883971
TI - Suicidal changes in patients with first episode psychosis: clinical predictors of
increasing suicidal tendency in the early treatment phase.
AB - AIM: To identify predictors for developing a higher suicidal tendency during
treatment of first-episode psychosis. METHODS: In a prospective follow-up study,
we examined clinical factors collected at treatment initiation as predictors for
developing a higher suicidal tendency among patients in the first year of
treatment of psychosis. Patients were grouped and ranked according to their
highest suicidal tendency in the year before treatment: not suicidal, suicidal
thoughts, suicidal plans or suicide attempt(s). Predictors for becoming more
suicidal in the first year of treatment were examined on group level in
multivariate logistic regression analyses. We assessed patients' suicidal
tendency and clinical factors using validated interviews and rating scales.
Analysis included 386 patients. RESULTS: Among patients with some suicidal
tendency a one-point increase score on hallucinations significantly predicted
developing a higher suicidal tendency, whereas a one-point increase score on
delusions was preventive of this. Feeling hopeless was highly associated with
suicide attempt in those with earlier suicide attempt. CONCLUSION: The risk of
suicide attempt did not differ between patient groups with suicidal thoughts,
plans or suicide attempt. In first year of treatment of psychosis, hallucinations
increased the risk for becoming more suicidal, whereas delusions reduced this
risk in already suicidal patients.
PMID- 21883972
TI - Prodromal psychosis screening in adolescent psychiatry clinics.
AB - BACKGROUND: Research has identified a syndrome conferring ultra-high risk (UHR)
for psychosis, although UHR interviews require intensive staff training, time and
patient burden. Previously, we developed the Prodromal Questionnaire (PQ) to
screen more efficiently for UHR syndromes. AIMS: This study examined the
concurrent validity of the PQ against UHR status and preliminary predictive
validity for later psychotic disorder. METHOD: We assessed a consecutive patient
sample of 408 adolescents who presented to psychiatry clinics in Helsinki,
Finland, seeking mental health treatment, including 80 participants who completed
the Structured Interview for Prodromal Syndromes (SIPS). RESULTS: A cut-off score
of 18 or more positive symptoms on the PQ predicted UHR diagnoses on the SIPS
with 82% sensitivity and 49% specificity. Three of 14 (21%) participants with
high PQ scores and SIPS UHR diagnoses developed full psychotic disorders within 1
year. CONCLUSIONS: Using the PQ and SIPS together can be an efficient two-stage
screening process for prodromal psychosis in mental health clinics.
PMID- 21883973
TI - Children's experiences of parental mental illness: a literature review.
AB - AIM: This paper provides a review of published qualitative research on children's
experiences of parental mental illness. METHODS: We undertook a comprehensive
search of Medical Literature Analysis and Retrieval System Online, PsycINFO,
Cumulative Index to Nursing and Allied Health Literature, Sociological Abstracts
and Applied Social Sciences Index and Abstracts databases, as well as citation
searches in Web of Science and manual searches of other relevant journals and
reference lists of primary papers. RESULTS: Although 20 studies met the search
criteria, only 10 focused exclusively on children's descriptions of their
experience--the remainder elicited adults' perspectives on children's experiences
of parental mental illnesses. Findings are organized under three themes: the
impact of illness on children's daily life, how children cope with their
experiences and how children understand mental illness. CONCLUSIONS: Despite
references to pervasive knowledge gaps in the literature, significant information
has been accumulated about children's experiences of parental mental illness.
Considerable variability in research findings and tensions remain unresolved. For
example, evidence is mixed as to children's knowledge and understanding of mental
illnesses and how best to deploy resources to help them acquire optimal
information. Furthermore, children's desire to be recognized as important to
their parents' well-being conflicted with adults' perceptions that children
should be protected from too much responsibility. Nevertheless, the cumulative
evidence remains a key reason for advocating for psychoeducation and peer-support
group interventions for children, which are endorsed by child and adult study
participants alike.
PMID- 21883974
TI - Non-expert clinicians' detection of autistic traits among attenders of a youth
mental health service.
AB - AIMS: The aims of this study were to determine the point prevalence of autism
spectrum disorders and to estimate the prevalence of autistic traits in a youth
mental health service. METHODS: Following three educational sessions on autism
spectrum disorders, treating clinicians were interviewed to determine whether the
clients on their caseloads had (i) a confirmed prior diagnosis of autism spectrum
disorder; (ii) were felt to exhibit autistic traits; or (iii) were not felt to
exhibit autistic traits. RESULTS: Information on autism spectrum disorder status
was obtained for 476 patients. Of the included patients, 3.4% (n = 16) had a
confirmed diagnosis of autism spectrum disorder and 7.8% (n = 37) were reported
by treating clinicians to exhibit autistic traits. CONCLUSIONS: The rate of
autism spectrum disorder was higher in this population than that in community
samples with twice as many again being identified as having autistic traits by
their treating clinicians. This has implications for correct diagnosis and
appropriate management in these settings.
PMID- 21883975
TI - Growth phase-associated changes in the proteome and transcriptome of
Lactobacillus rhamnosus GG in industrial-type whey medium.
AB - The growth phase during which probiotic bacteria are harvested and consumed can
strongly influence their performance as health-promoting agents. In this study,
global transcriptomic and proteomic changes were studied in the widely used
probiotic Lactobacillus rhamnosus GG during growth in industrial-type whey medium
under strictly defined bioreactor conditions. The expression of 636 genes (P <=
0.01) and 116 proteins (P < 0.05) changed significantly over time. Of the
significantly differentially produced proteins, 61 were associated with
alterations at the transcript level. The most remarkable growth phase-dependent
changes occurred during the transition from the exponential to the stationary
growth phase and were associated with the shift from glucose fermentation to
galactose utilization and the transition from homolactic to mixed acid
fermentation. Furthermore, several genes encoding proteins proposed to promote
the survival and persistence of L. rhamnosus GG in the host and proteins that
directly contribute to human health showed temporal changes in expression. Our
results suggest that L. rhamnosus GG has a highly flexible and adaptable
metabolism and that the growth stage during which bacterial cells are harvested
and consumed should be taken into consideration to gain the maximal benefit from
probiotic bacteria.
PMID- 21883977
TI - Intramuscular injection of soluble receptor for advanced glycation endproducts
expression vector prevents the development of streptozotocin-induced diabetes
mellitus in rats on high fat diet.
AB - BACKGROUND: In order to study if advanced glycation endproducts (AGE) in high
temperature cooked high fat diet could be the cause of type 2 diabetes, a
expressing vector encoding soluble form of receptor for AGE (sRAGE) was injected
intramuscularly, and the incidence of streptozotocin (STZ)-induced diabetes
mellitus in rats on high fat diet were observed. METHODS: Rat sRAGE gene, cloned
to a pLNCX(2) expression vector (pLNCX(2) -sRAGE), was injected into the hind leg
muscles of Sprague-Dawley rats. Rats were fed with high fat diet for 8 weeks
before pLNCX(2) -sRAGE injection (designed as T group), or pLNCX2 (as H group),
and rats on normal chow (as N group). The diet remained the same until end of the
study. Serum malondialdehyde (MDA) and superoxide dismutase (SOD) levels were
studied in one serial of rats (n = 8) under the treatment of different vectors
without STZ injection. For a second serial of study (n = 20), rats were injected
with 30 mg/kg STZ intraperitoneally 2 weeks after the second injection of
vectors, and tail blood glucose was detected 1 week later. RESULTS:
Malondialdehyde levels were found to be decreased 1 week after injection of sRAGE
and lasted for at least 3 weeks after each injection. SOD activities were found
to be increased slowly in the second week after each injection. As determined
with fasting and random glycemia only two rats were in diabetic level (fasting
glycemia >=7.0 mmol/L and random glycemia >=11.1 mmol/L) in T group while eight
mice were in the diabetic level in H group. CONCLUSIONS: Intramuscular injection
of sRAGE decreases the MDA level and increases SOD activities, and decreases the
STZ-induced incidence of diabetes in rats in high fat diet.
PMID- 21883978
TI - Emotional distress is associated with poor self care in type 2 diabetes mellitus.
AB - BACKGROUND: The aim of the present study was to evaluate possible clinical and
psychosocial variables that influence diabetes self-care management in patients
with type 2 diabetes mellitus (T2DM). METHODS: A total of 150 individuals with
T2DM who had had diabetes for at least 6 months were recruited to this cross
sectional study. Levels of self-care and psychosocial status were determined
using the Self-Care Inventory (SCI) and Problem Areas in Diabetes (PAID) scale.
The PAID scores were calculated using a five-point Likert scale with options
ranging from 0 (not a problem) to 4 (serious problem). Data were evaluated using
non-parametric and parametric tests as appropriate. RESULTS: The mean age of the
study participants was 69.97 +/- 8.68 years. Cronbach's alpha for SCI and PAID
scores was 0.85 and 0.98, respectively. People with poor glycemic control had
significantly higher mean (+/-SD) total PAID scores than individuals with good
glycemic control (29.5 +/- 30.9 vs 16.7 +/- 26.9, respectively; P = 0.012). There
was a significant relationship between PAID scores and glycemic control (r = 0.2;
P = 0.012). CONCLUSIONS: The present study demonstrates that psychosocial factors
directly influence glycemic control and diabetes self-care habits. In addition,
diabetes-specific distress in study population was unrelated to the duration of
diabetes, the age of the patients and anthropometric indices.
PMID- 21883976
TI - Strategies for discovery and improvement of enzyme function: state of the art and
opportunities.
AB - Developments in biocatalysis have been largely fuelled by consumer demands for
new products, industrial attempts to improving existing process and minimizing
waste, coupled with governmental measures to regulate consumer safety along with
scientific advancements. One of the major hurdles to application of biocatalysis
to chemical synthesis is unavailability of the desired enzyme to catalyse the
reaction to allow for a viable process development. Even when the desired enzyme
is available it often forces the process engineers to alter process parameters
due to inadequacies of the enzyme, such as instability, inhibition, low yield or
selectivity, etc. Developments in the field of enzyme or reaction engineering
have allowed access to means to achieve the ends, such as directed evolution, de
novo protein design, use of non-conventional media, using new substrates for old
enzymes, active-site imprinting, altering temperature, etc. Utilization of enzyme
discovery and improvement tools therefore provides a feasible means to overcome
this problem. Judicious employment of these tools has resulted in significant
advancements that have leveraged the research from laboratory to market thus
impacting economic growth; however, there are further opportunities that have not
yet been explored. The present review attempts to highlight some of these
achievements and potential opportunities.
PMID- 21883979
TI - DNA barcoding meets molecular scatology: short mtDNA sequences for standardized
species assignment of carnivore noninvasive samples.
AB - Although species assignment of scats is important to study carnivore biology,
there is still no standardized assay for the identification of carnivores
worldwide, which would allow large-scale routine assessments and reliable cross
comparison of results. Here, we evaluate the potential of two short mtDNA
fragments [ATP6 (126 bp) and cytochrome oxidase I gene (COI) (187 bp)] to serve
as standard markers for the Carnivora. Samples of 66 species were sequenced for
one or both of these segments. Alignments were complemented with archival
sequences and analysed with three approaches (tree-based, distance-based and
character-based). Intraspecific genetic distances were generally lower than
between-species distances, resulting in diagnosable clusters for 86% (ATP6) and
85% (COI) of the species. Notable exceptions were recently diverged species, most
of which could still be identified using diagnostic characters and uniqueness of
haplotypes or by reducing the geographic scope of the comparison. In silico
analyses were also performed for a 110-bp cytochrome b (cytb) segment, whose
identification success was lower (70%), possibly due to the smaller number of
informative sites and/or the influence of misidentified sequences obtained from
GenBank. Finally, we performed case studies with faecal samples, which supported
the suitability of our two focal markers for poor-quality DNA and allowed an
assessment of prey DNA co-amplification. No evidence of prey DNA contamination
was found for ATP6, while some cases were observed for COI and subsequently
eliminated by the design of more specific primers. Overall, our results indicate
that these segments hold good potential as standard markers for accurate species
level identification in the Carnivora.
PMID- 21883980
TI - A new version of PRT software for sibling groups reconstruction with comments
regarding several issues in the sibling reconstruction problem.
AB - Pedigree reconstruction using genotypic markers has become an important tool for
the study of natural populations. The nonstandard nature of the underlying
statistical problems has led to the necessity of developing specialized
statistical and computational methods. In this article, a new version of pedigree
reconstruction tools (PRT 2.0) is presented. The software implements algorithms
proposed in Almudevar & Field (Journal of Agricultural Biological and
Environmental Statistics, 4, 1999, 136) and Almudevar (Biometrics, 57, 2001a,
757) for the reconstruction of single generation sibling groups (SG). A wider
range of enumeration algorithms is included, permitting improved computational
performance. In particular, an iterative version of the algorithm designed for
larger samples is included in a fully automated form. The new version also
includes expanded simulation utilities, as well as extensive reporting, including
half-sibling compatibility, parental genotype estimates and flagging of potential
genotype errors. A number of alternative algorithms are described and
demonstrated. A comparative discussion of the underlying methodologies is
presented. Although important aspects of this problem remain open, we argue that
a number of methodologies including maximum likelihood estimation (COLONY 1.2 and
2.0) and the set cover formulation (KINALYZER) exhibit undesirable properties in
the sibling reconstruction problem. There is considerable evidence that large
sets of individuals not genetically excluded as siblings can be inferred to be a
true sibling group, but it is also true that unrelated individuals may be
genetically compatible with a true sibling group by chance. Such individuals may
be identified on a statistical basis. PRT 2.0, based on these sound statistical
principles, is able to efficiently match or exceed the highest reported accuracy
rates, particularly for larger SG. The new version is available at
http://www.urmc.rochester.edu/biostat/people/faculty/almudevar.cfm.
PMID- 21883981
TI - Nonspecific PCR amplification by high-fidelity polymerases: implications for next
generation sequencing of AFLP markers.
AB - High-fidelity 'proofreading' polymerases are often used in library construction
for next-generation sequencing projects, in an effort to minimize errors in the
resulting sequence data. The increased template fidelity of these polymerases can
come at the cost of reduced template specificity, and library preparation methods
based on the AFLP technique may be particularly susceptible. Here, we compare
AFLP profiles generated with standard Taq and two versions of a high-fidelity
polymerase. We find that Taq produces fewer and brighter peaks than high-fidelity
polymerase, suggesting that Taq performs better at selectively amplifying
templates that exactly match the primer sequences. Because the higher accuracy of
proofreading polymerases remains important for sequencing applications, we
suggest that it may be more effective to use alternative library preparation
methods.
PMID- 21883982
TI - Cellular and clinical report of new Griscelli syndrome type III cases.
AB - The RAB27A/Melanophilin/Myosin-5a tripartite protein complex is required for
capturing mature melanosomes in the peripheral actin network of melanocytes for
subsequent transfer to keratinocytes. Mutations in any one member of this
tripartite complex cause three forms of Griscelli syndrome (GS), each with
distinct clinical features but with a similar cellular phenotype. To date, only
one case of GS type III (GSIII), caused by mutations in the Melanophilin (MLPH)
gene, has been reported. Here, we report seven new cases of GSIII in three
distinct Arab pedigrees. All affected individuals carried a homozygous missense
mutation (c.102C>T; p.R35W), located in the conserved Slp homology domain of
MLPH, and had hypomelanosis of the skin and hair. We report the first cellular
studies on GSIII melanocytes, which demonstrated that MLPH(R35W) causes
perinuclear aggregation of melanosomes in melanocytes, typical for GS.
Additionally, co-immunoprecipitation assays showed that MLPH(R35W) lost its
interaction with RAB27A, indicating pathogenicity of the R35W mutation.
PMID- 21883983
TI - Identification of a melanocyte-specific, microphthalmia-associated transcription
factor-dependent regulatory element in the intronic duplication causing hair
greying and melanoma in horses.
AB - Greying with age in horses is an autosomal dominant trait, characterized by hair
greying, high incidence of melanoma and vitiligo-like depigmentation. Previous
studies have revealed that the causative mutation for this phenotype is a 4.6-kb
intronic duplication in STX17 (Syntaxin 17). By using reporter constructs in
transgenic zebrafish, we show that a construct containing two copies of the
duplicated sequence acts as a strong enhancer in neural crest cells and has
subsequent melanophore-specific activity during zebrafish embryonic development
whereas a single copy of the duplicated sequence acts as a weak enhancer,
consistent with the phenotypic manifestation of the mutation in horses. We
further used luciferase assays to investigate regulatory regions in the
duplication, to reveal tissue-specific activities of these elements. One region
upregulated the reporter gene expression in a melanocyte-specific manner and
contained two microphthalmia-associated transcription factor (MITF) binding
sites, essential for the activity. Microphthalmia-associated transcription factor
regulates melanocyte development, and these binding sites are outstanding
candidates for mediating the melanocyte-specific activity of the element. These
results provide strong support for the causative nature of the duplication and
constitute an explanation for the melanocyte-specific effects of the Grey allele.
PMID- 21883984
TI - Two separate Ni(2+) -sensitive voltage-gated Ca(2+) channels modulate
transretinal signalling in the isolated murine retina.
AB - PURPOSE: Light-evoked responses from vertebrate retinas were recorded as an
electroretinogram (ERG). The b-wave is the most prominent component of the ERG,
and in the bovine retina its NiCl(2) -sensitive component was attributed to
reciprocal signalling by pharmacoresistant R-type voltage-gated Ca(2+) channels,
which similar to other voltage-dependent Ca(2+) channels trigger and control
neurotransmitter release. The murine retina has the great advantage that the
effect of gene inactivation for Ni(2+) -sensitive Ca(2+) channels can be analysed
to prove or disprove that any of these Ca(2+) channels is involved in retinal
signalling. METHODS: Superfused retinas from different murine genotypes lacking
either one or both highly Ni(2+) -sensitive voltage-gated Ca(2+) channels were
used to record their ex vivo ERGs. RESULTS: The isolated retinas from mice
lacking Ca(v)2.3 R-type or Ca(v)3.2 T-type or both voltage-gated Ca(2+) channels
were superfused with a NiCl(2) (15 MUm) containing nutrient solution. The change
in the b-wave amplitude and implicit time, caused by NiCl(2), was calculated as a
difference spectrum and compared to data from control animals. From the results,
it can be deduced that Ca(v)2.3 contributes rather to a later component in the b
wave response, while in the absence of Ca(v)3.2 the gain of Ni(2+) -mediated
increase in the b-wave amplitude is significantly increased, probably due to a
loss of reciprocal inhibition to photoreceptors. Thus, each of the Ni(2+)
sensitive Ca(2+) channels contributes to specific features of the b-wave
response. CONCLUSION: Both high-affinity Ni(2+)-sensitive Ca(2+) channels
contribute to transretinal signalling. Based on the results from the double
knockout mice, additional targets for NiCl(2) must contribute to transretinal
signalling, which will be most important for the structurally similar
physiologically more important heavy metal cation Zn(2+).
PMID- 21883985
TI - Two-year follow-up of a randomized trial of spectacles alone or combined with
Bangerter filters for treating anisometropic amblyopia.
AB - PURPOSE: To compare spectacle correction alone to spectacle correction with
Bangerter filters as treatments for anisometropic amblyopia in children 1 year
after completion of a 1-year randomized trial. METHODS: In a randomized clinical
trial, 80 children (mean age, 4.4 years) with anisometropic amblyopia and a best
median visual acuity (VA) in the amblyopic eye of 0.4 logarithm of the minimum
angle of resolution (logMAR) were assigned to treatment with either spectacles or
spectacles in combination with a Bangerter filter for 1 year. After 1 year,
treatment with spectacles continued. If the VA differed by >= 2 lines, treatment
with Bangerter filters was continued if originally prescribed. The main outcome
measure was the median change in VA of the amblyopic eye after 2 years. RESULTS:
The median change in VA of the amblyopic eye did not differ significantly between
the groups (0.4 log unit for both groups) at the 2-year visit. At that time, the
VA in the amblyopic eyes and the fellow eyes was 0.0 median logMAR in both
groups. Between years 1 and 2, the median VA improved in the amblyopic eyes; in
the spectacles group (p = 0.0181) and in the Bangerter filter group (p = 0.0342).
The median anisometropia decreased in both groups (p < 0.0001 for both
comparisons). CONCLUSION: We found stability in the VA improvement in both
groups. The magnitude of the VA change 2 years after treatment with spectacles
alone did not differ significantly from that after treatment with spectacles and
a Bangerter filter for anisometropic amblyopia.
PMID- 21883986
TI - The post-illumination pupil response of melanopsin-expressing intrinsically
photosensitive retinal ganglion cells in diabetes.
AB - PURPOSE: This study investigates the clinical utility of the melanopsin
expressing intrinsically photosensitive retinal ganglion cell (ipRGC) controlled
post-illumination pupil response (PIPR) as a novel technique for documenting
inner retinal function in patients with Type II diabetes without diabetic
retinopathy. METHODS: The PIPR was measured in seven patients with Type II
diabetes, normal retinal nerve fibre thickness and no diabetic retinopathy
compared to healthy age-similar controls. A 488- and 610-nm, 7.15-diameter
stimulus was presented in Maxwellian view to the right eye and the left
consensual pupil light reflex was recorded. RESULTS: The group data for the blue
PIPR (488 nm) identified a trend of reduced ipRGC function in patients with
diabetes with no retinopathy. The transient pupil constriction was lower on
average in the diabetic group. The relationship between duration of diabetes and
the blue PIPR amplitude was linear, suggesting that ipRGC function decreases with
increasing diabetes duration. CONCLUSION: This is the first report to show that
the ipRGC-controlled PIPR may have clinical applications as a non-invasive
technique for determining the progression of inner neuroretinal changes in
patients with diabetes before they are ophthalmoscopically or anatomically
evident. The lower transient pupil constriction amplitude indicates that outer
retinal photoreceptor inputs to the pupil light reflex may also be affected in
diabetes.
PMID- 21883987
TI - In vivo evaluation of photoreceptor mosaic in early onset large colloid drusen
using adaptive optics.
PMID- 21883988
TI - Prevalence and incidence of ocular trauma in North China: the Beijing Eye Study.
AB - PURPOSE: To determine the prevalence and incidence of ocular trauma and
proportion of trauma-related visual impairment in the population of Greater
Beijing. METHODS: The population-based Beijing Eye Study included 4439 subjects
in 2001, of whom 3251 subjects returned for follow-up examination in 2006
(response rate: 73.3%). The subjects underwent a comprehensive ocular evaluation.
Prospective information on ocular trauma and type of treatment was recorded with
questionnaires in face-to-face interviews. RESULTS: A history of ocular trauma
was reported by 72 (1.6 +/- 0.2%) subjects (age-standardized prevalence: 1.7 +/-
0.01%). Prevalence of ocular trauma history was associated with male gender (p =
0.02), rural residence (p = 0.04) and alcohol consumption (p = 0.01). Trauma as
underlying cause for visual impairment (best-corrected visual acuity < 20/60 and
>= 20/400) was found in 4 (6.6%) eyes and as underlying cause for blindness (best
corrected visual acuity <20/400) in three eyes (4.2%). In the survey of 2006, 116
(3.6 +/- 0.3%) participants had a self-reported history of ocular trauma, which
was associated with male gender (p = 0.002), low income (p = 0.01) and alcohol
consumption (p = 0.016). The 5-year incidence of ocular trauma was 2.6 +/- 0.3%,
which was associated with male gender (p = 0.02), younger age (p = 0.037) and
lower income (p = 0.009). CONCLUSIONS: In the adult population of Greater Beijing
with an age of 40+ years, the prevalence of ocular trauma was 1.6 +/- 0.2% and
was associated with male gender, rural residence and alcohol consumption. The age
standardized ocular trauma prevalence of 1.7 +/- 0.01% was comparable with
figures from Caucasian populations. The 5-year incidence of ocular trauma of 2.6
+/- 0.3% was associated with male gender, younger age and lower income.
PMID- 21883989
TI - Circulating antiretinal antibodies predict the outcome of anti-VEGF therapy in
patients with exudative age-related macular degeneration.
AB - PURPOSE: To determine serum antiretinal antibody (ARA) levels in response to
treatment with intravitreal bevacizumab of exudative age-related macular
degeneration (AMD). METHODS: The study comprised 22 patients treated with
intravitreal bevacizumab (Avastin) 1.25mg. In all patients, serum ARA levels were
assessed by indirect immunofluorescence on normal monkey retina substrate. The
ophthalmic examination including best corrected visual acuity (BCVA), fundoscopy,
fluorescein angiography, optical coherence tomography (OCT) and
immunohistochemical investigations. These were repeated at 4-week intervals
during a loading phase of antiangiogenic therapy. Sera of 22 sex- and age-matched
healthy subjects were used as controls for immunohistochemical studies. RESULTS:
Before bevacizumab therapy, ARAs were detected in the sera of all patients at
titres ranging from 1:40 to 1:1280. The titres were significantly higher (p <
0.01) than in controls (1:10-1:40). There was no significant correlation between
serum ARA titres and neither the type nor the dimensions of choroidal
neovascularization, as well as central retinal thickness. Following treatment,
all patients demonstrated significant decrease in ARA levels. This correlated
with improvement of BCVA, decreased leakage of fluorescein and reduction of
subretinal fluid on OCT. CONCLUSION: Serum ARA levels demonstrate a dynamic
change which occurs in parallel with clinical outcomes of antiangiogenic therapy.
They also may act as markers of the therapeutic benefits of vascular endothelial
growth factor inhibition.
PMID- 21883990
TI - Clopidogrel resistance: identifying and overcoming a barrier to effective
antiplatelet treatment.
AB - Clopidogrel is an inhibitor of the ADP receptor P2Y12 and platelet aggregation.
It is widely used for the management of atherothrombotic disease in patients who
have experienced severe vascular events such as stroke or myocardial infarction
or with peripheral artery disease. However, some patients show "resistance" to
clopidogrel, and show impaired inhibition of platelet aggregation. In this
review, I discuss the clinical evidence of the extent of the problem, potential
implications for future cardiovascular events and clinical tests to assess
platelet aggregation. I also discuss the mechanisms that appear responsible for
clopidogrel resistance. Clopidogrel is administered as a prodrug and the active
metabolite is generated by the cytochrome P450 system. Therefore, inadequate
responses to clopidogrel may be caused by polymorphisms in one or more of the
cytochrome P450 enzymes and interaction/competition with other drugs metabolized
by the cytochrome P450 system (e.g., statins and proton pump inhibitors).
Finally, I discuss the therapeutic options available for patients with known or
suspected clopidogrel resistance, including the use of drugs with alternative
molecular targets (e.g., cilostazol), metabolized via different pathways (e.g.,
prasugrel) or administered in an active form (e.g., ticagrelor). Clopidogrel
resistance is a clinically significant problem with potentially severe
consequences if it is not identified or managed appropriately. The availability
of point-of-care assays and novel treatments provide clinicians with an extensive
array of tools that should aid in the management of atherothrombotic
diseases/events, and reduce the risk of future severe events in these patients.
PMID- 21883991
TI - Targeting fibrosis for the treatment of heart failure: a role for transforming
growth factor-beta.
AB - Chronic heart failure (CHF) is a growing health problem in developed nations. The
pathological accumulation of extracellular matrix is a key contributor to CHF in
both diabetic and nondiabetic states, resulting in progressive stiffening of the
ventricular walls and loss of contractility. Proinflammatory disease processes,
including inflammatory cytokine activation, contribute to accumulation of
extracellular matrix in the heart. Transforming growth factor-beta is a key
profibrotic cytokine mediating fibrosis. Current therapeutic strategies do not
directly target the profibrotic inflammatory processes occurring in the heart and
hence there is a clear unmet clinical need to develop new therapeutic agents
targeting fibrosis. Accordingly, strategies that inhibit proinflammatory cytokine
activation and pathological accumulation of extracellular matrix (ECM) provide a
potential therapeutic target for prevention of heart failure. This review focuses
on the therapeutic targeting of TGF-beta in the prevention of pathological
fibrosis in the heart.
PMID- 21883992
TI - Interventional treatments for hypertrophic cardiomyopathy.
AB - Hypertrophic cardiomyopathy (HCM) is the most common inherited cardiac disorder.
This autosomal dominant condition is defined by left ventricular hypertrophy and
associated with functional limitation and premature death. In fact, many
individuals are asymptomatic and the annual mortality in most modern series is 1%
or less. However, severe symptoms may develop at any age, and the risk of
premature death from arrhythmia, stroke, and progressive systolic impairment may
complicate asymptomatic disease. The clinical management of patients with HCM
therefore encompasses (1) genetic counseling including discussion of indications
for genetic testing and cascade family screening, (2) assessment of prognostic
risk from ventricular arrhythmia, stroke, and heart failure, and (3) symptom
management. This article describes the interventional treatments in the
management of severe symptoms associated with left ventricular outflow tract
obstruction (LVOTO).
PMID- 21883993
TI - Two coronary "orphan" diseases in search of clinical consideration: coronary
syndromes x and y.
AB - We set out to describe the clinical characteristics of patients presenting with
acute or stable coronary syndromes and no stenosis in epicardial coronaries.
Although the existence of patients who experience typical angina and who have
intact epicardial coronaries is well accepted, the pathophysiology of cardiac
ischemia in this setting remains poorly understood. In typical coronary syndrome
X, it is believed that at least two components play a role: the first is the
incapacity of coronary resistance vessels to adapt to situations of increased
blood demand, resulting in demand ischemia; the second is an inappropriate
transduction or generation or pain stimuli within the central nervous system.
These two mechanisms concur to determine episodes of precordial pain and
electrocardiogram (ECG) evidence of ischemia during exercise. In contrast, the
coronary slow-flow phenomenon, or syndrome Y, is an angiographic finding that is
characterized by delayed progression of the contrast medium during coronary
angiography. Although the mechanism of this phenomenon remains largely unknown,
it has been proposed that it might depend on the presence of inappropriately high
resting coronary resistances, causing reduced blood flow and therefore low-flow
ischemia and unstable angina. Importantly, the prognosis of many of the patients
presenting with coronary slow-flow does not appear to be favorable, with
recurrence of acute coronary syndromes and life-threatening arrhythmias. In the
present article, we revise the current evidence regarding these two phenomena,
and propose that syndrome Y should be considered a separate clinical entity.
PMID- 21883994
TI - Patent foramen ovale--assessment and treatment.
AB - A patent foramen ovale (PFO) is detectable in 20-25% of the population. Some, but
not all, case control studies have found an increased incidence of PFO in
patients with cryptogenic stroke. Prospective cohort studies have failed to
convincingly demonstrate a link between PFO and first stroke, and evidence
linking PFO to recurrent stroke is far from compelling. The rate of recurrent
stroke in medically treated patients is low, but the development of devices for
PFO closure has lead to enthusiasm in some quarters to pursue a strategy of
device closure. Nonrandomized studies have suggested a lower risk of recurrent
events with device closure but the data are heterogeneous, and potentially prone
to bias. Device implantation is associated with a risk of major adverse events of
between 1.5% and 2.3%, and there is a significant rate of failure to close
shunts. The results of randomized trials of device closure are keenly awaited.
Migraine with aura has been linked with PFO. A recent metanalysis suggested an
association, but the one prospective population study did not. The well
publicized and controversial MIST Trial is the only randomized trial of device
closure in migraineurs yet published, and failed to demonstrate a convincing
benefit from device closure. Other conditions such as platypnea-orthodeoxia
syndrome and prevention of decompression sickness in divers, may justify device
closure. Evidence for a role of PFO in the etiology of cryptogenic stroke and
migraine is contradictory. It is possible that some patients might benefit from
PFO closure but there is scant evidence of sufficient quality to justify routine
PFO closure in either group. It is essential that ongoing randomized trials of
device closure are completed.
PMID- 21883995
TI - Hyperkalemia associated with use of angiotensin-converting enzyme inhibitors and
angiotensin receptor blockers.
AB - The aims of this article are to review the current understanding of hyperkalemia
associated with angiotensin-converting enzyme inhibitor (ACEi) or angiotensin
receptor blocker (ARB) therapy. This includes reviewing the pathophysiology of
how these agents affect potassium handling within the kidney, risk factors for
developing hyperkalemia, incidence, clinical signs and symptoms, and providing a
practical approach to treatment of the patient who is either at risk of, or
experiencing, hyperkalemia. ACEi and ARB are effective therapeutic agents used in
a variety of clinical scenarios. However, related to their effects on the renin
angiotensin-aldosterone system, their use can be associated with hyperkalemia,
particularly in patients who have chronic renal insufficiency. Published
incidence estimates of hyperkalemia associated with ACEi or ARB vary, but up to
10% of patients may experience at least mild hyperkalemia. Important
considerations when initiating ACEi or ARB therapy include obtaining an estimate
of glomerular filtration rate and a baseline serum potassium concentration, as
well as assessing whether the patient has excessive potassium intake from diet,
supplements, or drugs that can also increase serum potassium. Serum potassium
monitoring shortly after initiation of therapy can assist in preventing
hyperkalemia. If hyperkalemia does develop, prompt recognition of cardiac
dysrhythmias and effective treatment to antagonize the cardiac effects of
potassium, redistribute potassium into cells, and remove excess potassium from
the body is important.Understanding the mechanism of action of ACEi and ARB
coupled with judicious drug use and clinical vigilance can minimize the risk to
the patient of developing hyperkalemia. Should hyperkalemia occur, prompt
recognition and management can optimize clinical outcome.
PMID- 21883996
TI - Microembolic signals and aspirin resistance in patients with carotid stenosis.
AB - INTRODUCTION AND AIMS: Aspirin resistance may be linked to increased risk of
cardiovascular events. We aimed to evaluate whether it was associated with
presence of microembolic signals (MES), a readily measurable marker of increased
stroke risk, in those with internal carotid artery (ICA) disease. METHODS: We
studied patients with significant ICA disease who were compliant with aspirin
therapy. We performed monitoring for MES and measured aspirin resistance status,
using the platelet function analyzer (PFA)-100 and Verify-Now systems. We
compared frequency of aspirin resistance between patients with and without MES
and assessed agreement between the different platelet function tests. RESULTS: We
recruited 62 patients. Most (53, 85.5%) had symptomatic carotid disease and 16
(25.8%) had MES. The rate of aspirin resistance on at least one test was 25.8%
(16 patients), with 13 (21%) resistant on PFA-100 testing, 8 (12.9%) using the
Verify-Now system and 5 (8.1%) resistant on both. Aspirin resistance was more
common in patients with MES (50% compared to 17.4% without, P= 0.018 on Fisher's
exact test). Agreement between the platelet function tests was moderate (k=
0.41). CONCLUSION: Aspirin resistance appears more common in patients with
carotid disease who have MES compared to those without. Further work should aim
to establish whether screening for aspirin resistance and subsequent adjustment
to antiplatelet therapy reduces the rate of MES and stroke risk in those with
carotid disease.
PMID- 21883997
TI - Effects of beta-blockade on exercise performance at high altitude: a randomized,
placebo-controlled trial comparing the efficacy of nebivolol versus carvedilol in
healthy subjects.
AB - AIMS: Exposure to high altitude (HA) hypoxia decreases exercise performance in
healthy subjects. Although beta-blockers are known to affect exercise capacity in
normoxia, no data are available comparing selective and nonselective beta
adrenergic blockade on exercise performance in healthy subjects acutely exposed
to HA hypoxia. We compared the impact of nebivolol and carvedilol on exercise
capacity in healthy subjects acutely exposed to HA hypobaric hypoxia. METHODS: In
this double-blind, placebo-controlled trial, 27 healthy untrained sea-level (SL)
residents (15 males, age 38.3 +/- 12.8 years) were randomized to placebo (n = 9),
carvedilol 25 mg b.i.d. (n = 9), or nebivolol 5 mg o.d. (n = 9). Primary
endpoints were measures of exercise performance evaluated by cardiopulmonary
exercise testing at sea level without treatment, and after at least 3 weeks of
treatment, both at SL and shortly after arrival at HA (4559 m). RESULTS: HA
hypoxia significantly decreased resting and peak oxygen saturation, peak
workload, VO(2) , and heart rate (HR) (P < 0.01). Changes from SL (no treatment)
differed among treatments: (1) peak VO(2) was better preserved with nebivolol (
22.5%) than with carvedilol (-37.6%) (P < 0.01); (2) peak HR decreased with
carvedilol (-43.9 +/- 11.9 beats/min) more than with nebivolol (-24.8 +/- 13.6
beats/min) (P < 0.05); (3) peak minute ventilation (VE) decreased with carvedilol
(-9.3%) and increased with nebivolol (+15.2%) (P= 0.053). Only peak VE changes
independently predicted changes in peak VO(2) at multivariate analysis (R= 0.62,
P < 0.01). CONCLUSIONS: Exercise performance is better preserved with nebivolol
than with carvedilol under acute exposure to HA hypoxia in healthy subjects.
PMID- 21883998
TI - Novel platelet ADP P2Y12 inhibitors in the treatment of acute coronary syndrome.
AB - Inhibition of the platelet P2Y12 receptor plays an important role in the
prevention of thrombotic complications of acute coronary syndrome and
percutaneous coronary interventions. Despite clinical benefits with clopidogrel
therapy in these high risk patients, efficacy of clopidogrel is limited by slow
onset of action, variability in platelet inhibitory response and potential drug
drug interactions. Importantly, suboptimal platelet inhibition by clopidogrel is
associated with worse prognosis. This underscores the need for alternate
antiplatelet treatment strategies. A number of novel P2Y12 antagonists are
approved or in advanced development and some have demonstrated superior platelet
inhibition effect, clinical outcomes, and safety profile than clopidogrel in
patients with acute coronary syndrome. The aim of this manuscript is to provide
an overview on the current status in P2Y12 receptor inhibition and to review the
pharmacology and clinical development of four of these agents: prasugrel,
cangrelor, ticagrelor, and elinogrel.
PMID- 21884000
TI - Serum levels of advanced glycation end products (AGEs) are inversely associated
with the number and migratory activity of circulating endothelial progenitor
cells in apparently healthy subjects.
AB - OBJECTIVES: Endothelial progenitor cells (EPCs) have been shown to participate in
the process of vascular repair, thus playing a protective role against
cardiovascular disease (CVD). It is known that atherosclerotic risk factors could
affect EPC number and function. Advanced glycation end products (AGEs) contribute
to the pathogenesis of atherosclerosis as well. However, as far as we know, there
is no report to show the relationship between serum AGE levels and circulating
EPCs in humans. Therefore, in this study, we investigated whether serum level of
AGEs was associated with EPC number and functions in apparently healthy subjects,
independent of traditional cardiovascular risk factors. RESEARCH DESIGN AND
METHODS: Apparently healthy volunteers (34.6 +/- 6.9 years old, 40 males and 8
females) who were not on any medications underwent a complete history and
physical examination, determination of blood chemistries, including AGEs, and
number, differentiation and migratory activity of circulating EPCs. RESULTS:
Serum AGEs levels were 9.20 +/- 1.85 U/mL. Multiple stepwise regression analysis
revealed that serum levels of AGEs and smoking were independently correlated with
reduced number of EPCs. Further, female, AGEs, and reduced HDL-cholesterol levels
were independently associated with impaired migratory activity of circulating
EPCs. CONCLUSIONS: This study demonstrated for the first time that the serum
level of AGEs was one of the independent correlates of decreased cell number and
impaired migratory activity of circulating EPCs in apparently healthy subjects.
Our present observations suggest that even in young healthy subjects, serum level
of AGEs may be a biomarker that could predict the progression of atherosclerosis
and future cardiovascular events.
PMID- 21883999
TI - TRITON and beyond: new insights into the profile of prasugrel.
AB - Prasugrel, a third-generation thienopyridine antiplatelet agent, demonstrated
superior efficacy to clopidogrel but with an increased risk of bleeding in the
phase III pivotal registration Trial to Assess Improvement in Therapeutic
Outcomes by Optimizing Platelet Inhibition with Prasugrel-Thrombolysis in
Myocardial Infarction (TRITON-TIMI 38). This article reviews and discusses select
components of a large literature of prasugrel data that has emerged since the
TRITON-TIMI 38 (TRITON) study primary disclosure.
PMID- 21884001
TI - Why do homocysteine-lowering B vitamin and antioxidant E vitamin supplementations
appear to be ineffective in the prevention of cardiovascular diseases?
AB - Homocysteine has been established as a serious, independent risk factor for
atherosclerosis. An elevated plasma homocysteine concentration is accompanied by
increased cardiovascular risk; therefore, it can be assumed that lowering the
plasma homocysteine level results in a decreased risk. Vitamin B complex (folic
acid, and vitamins B6 and B12) substitution therapy decreases the plasma
homocysteine level, inhibits oxidative stress, and ameliorates some biochemical
and clinical parameters that indicate the progression of atherosclerosis. Vitamin
E administration may also reduce atherogenesis through its antioxidant effect.
The effectiveness of B and E vitamin substitution in decreasing cardiovascular
risk has been suggested by cohort as well as prospective and retrospective
studies undertaken during the last two decades. On the other hand, recent large,
randomized clinical trials did not substantiate a beneficial effect of
homocysteine-lowering B vitamin supplementation or vitamin E antioxidant
therapies in reducing cardiovascular risk in humans. We analyzed eight B vitamin
and four E vitamin trials from a critical point of view, and in this article we
reviewed and commented on their results and focused on the contradictions found
in them. We showed that the possible factors implicated in the failure of vitamin
therapies included inappropriate designs. The protocols neglected an essential
fact: that the impact of some confounding factors, such as concomitant use of
statins, acetylsalicylic acid, folic acid, and other drugs, might have led to
bias and an inappropriate interpretation of the data. The cardiovascular
protective and preventive effects of statins and aspirin might have reduced or
abolished the possibility of observing a difference in the number of events
between the vitamin and placebo groups for the clinical endpoints. We concluded
that the vitamin preventive effect on cardiovascular disease may not be rejected
in reference to the negative trial evidence.
PMID- 21884002
TI - Statin myopathy: a lipid clinic experience on the tolerability of statin
rechallenge.
AB - INTRODUCTION: Statin myopathy is a generally encountered side effect of statin
usage. Both muscle symptoms and a raised serum creatine kinase (CK) are used in
case definition, but these are common manifestations of other conditions, which
may not be statin related. Statin rechallenge assuming no contraindication in
selected cases is an option before considering a different class of lipid
lowering agent. AIMS: We aim to characterize retrospectively the patients
referred to our Lipid Clinic with a diagnosis of statin myopathy. The
tolerability of different statins was assessed to determine a strategy for
rechallenging statins in such patients in the future. RESULTS: Patients with
statin myopathy constitute 10.2% of our Lipid Clinic workload. They are
predominantly female (62.0%), Caucasian (63.9%), with a mean age of 58.3 years
and mean body mass index (BMI) of 29.3 kg/m(2). The serum CK and erythrocyte
sedimentation rate (ESR) were statistically higher compared to patients with
statin intolerances with no muscular component or CK elevations. Secondary causes
of statin myopathy were implicated in 2.7% of cases. Following statin myopathy to
simvastatin we found no statistical difference between the tolerability rates
between atorvastatin, rosuvastatin, pravastatin, and fluvastatin. Fibrates,
cholestyramine, and ezetimibe were statistically better tolerated in these
patients. CONCLUSIONS: Statin rechallenge is a real treatment option in patients
with statin myopathy. Detailed history and examination is required to exclude
muscle diseases unrelated to statin usage. In patients developing statin myopathy
on simvastatin, we did not find any statistical difference between subsequent
tolerability rates to rosuvastatin, pravastatin, and fluvastatin.
PMID- 21884003
TI - Pharmacological properties of the central antihypertensive agent, moxonidine.
AB - The sympathetic nervous system plays a central role in the pathophysiology not
only of hypertension and other cardiovascular diseases but also metabolic
disorders including disturbances of glucose and lipid homeostasis. A centrally
acting sympathetic agent is therefore attractive not only for lowering blood
pressure, but also intervening with multiple disease processes. Older agents such
as clonidine and guanabenz have numerous side effects, including sedation and dry
mouth that limit their acceptability to patients. Moxonidine and the related
agent rilmenidine have greatly reduced side effects, because they have reduced
activity at the alpha(2) -adrenergic receptors that mediate these undesirable
actions. Instead, moxonidine and rilmenidine act primarily through a novel
cellular site, termed the I(1) -imidazoline receptor. The molecular biology of
the I(1) -imidazoline receptor protein has recently been described, and the cell
signaling pathways linked to this protein have been characterized. Moxonidine has
unique effects on a number of cell types through this unusual cellular site of
action. There are multiple therapeutic implications of these cellular actions,
especially for metabolic syndrome and its associated derangements in glucose and
lipid metabolism. Finally, the clinical trials that seemed to identify an
unfavorable outcome in severe heart failure are dissected and critiqued. We
conclude that moxonidine and future successors to this agent could be of great
value in treating multiple chronic diseases.
PMID- 21884004
TI - Imidazoline antihypertensive drugs: selective i(1) -imidazoline receptors
activation.
AB - Involvement of imidazoline receptors (IR) in the regulation of vasomotor tone as
well as in the mechanism of action of some centrally acting antihypertensives has
received tremendous attention. To date, pharmacological studies have allowed the
characterization of three main imidazoline receptor classes, the I(1)
imidazoline receptor which is involved in central inhibition of sympathetic tone
to lower blood pressure, the I(2) -imidazoline receptor which is an allosteric
binding site of monoamine oxidase B (MAO-B), and the I(3) -imidazoline receptor
which regulates insulin secretion from pancreatic beta-cells. All three
imidazoline receptors represent important targets for cardiovascular research.
The hypotensive effect of clonidine-like centrally acting antihypertensives was
attributed both to alpha(2) -adrenergic receptors and nonadrenergic I(1)
imidazoline receptors, whereas their sedative action involves activation of only
alpha(2) -adrenergic receptors located in the locus coeruleus. Since more
selective I(1) -imidazoline receptors ligands reduced incidence of typical side
effects of other centrally acting antihypertensives, there is significant
interest in developing new agents with higher selectivity and affinity for I(1)
imidazoline receptors. The selective imidazoline receptors agents are also more
effective in regulation of body fat, neuroprotection, inflammation, cell
proliferation, epilepsy, depression, stress, cell adhesion, and pain. New
agonists and antagonists with high selectivity for imidazoline receptor subtypes
have been recently developed. In the present review we provide a brief update to
the field of imidazoline research, highlighting some of the chemical diversity
and progress made in the theoretical studies of imidazoline receptor ligands.
PMID- 21884005
TI - Overexpression of vasostatin-1 protects hypoxia/reoxygenation injuries in
cardiomyocytes independent of endothelial cells.
AB - INTRODUCTION: Vasostatin-1 (VS-1) has been suggested in protecting
hypoxia/reoxygenation (H/R) injuries in isolated hearts. However, the molecular
mechanisms remained to be elucidated. METHODS: Cardiomyocytes were treated with
recombinant Ad-VS-1 adenoviral vector before H/R. Cell viability was studied
using MTT methods and annexin V-FITC flow cytometry. Intracellular oxidative
stress was measured by superoxide dismutase (SOD) and malondialdehyde (MDA), and
inflammatory reactions by enzyme-linked immunosorbent assay (ELISA). Measurement
of myocardial nitrous oxide synthase (NOS) was determined by serum nitric oxide
(NO) concentrations using nitrite reductase and endothelial nitric oxide synthase
(eNOS) by Western blotting. Inhibitors of the NOS system, including hemoglobin
and KT5823, were applied to verify the results. RESULTS: In comparison of the
blank group, cardiac myocytes overexpressing VS-1 showed significant decrease in
apoptosis, intracellular oxidative stress, and inflammatory reactions (P < 0.05).
In addition, serum NO concentrations and expression of eNOS were notably enhanced
(P < 0.05). These protective effects of VS-1 were suppressed in the presence of
apoptosis-inducing agents. CONCLUSIONS: Overexpression of VS-1 in cardiomyocytes
could limit the H/R injuries at molecular levels. The protective effects were
independent of endothelial cell function, suggestive of a potential therapeutic
target for patients with myocardial ischemia in the future.
PMID- 21884006
TI - Ginsenoside Re: pharmacological effects on cardiovascular system.
AB - Ginsenosides are the bioactive constituents of ginseng, a key herb in traditional
Chinese medicine. As a single component of ginseng, ginsenoside Re (G-Re) belongs
to the panaxatriol group. Many reports demonstrated that G-Re possesses the
multifaceted beneficial pharmacological effects on cardiovascular system. G-Re
has negative effect on cardiac contractility and autorhythmicity. It causes
alternations in cardiac electrophysiological properties, which may account for
its antiarrhythmic effect. In addition, G-Re also exerts antiischemic effect and
induces angiogenic regeneration. In this review, we first outline the chemistry
and the pharmacological effects of G-Re on the cardiovascular system.
PMID- 21884007
TI - Additive effect of homocysteine- and cholesterol-lowering therapy on endothelium
dependent vasodilation in patients with cardiovascular disease.
AB - AIM: Endothelial dysfunction is a marker for development and progression of
atherosclerosis. Statin therapy improves endothelial function in cardiovascular
patients by reducing LDL-cholesterol and by pleiotropic effects. B-group vitamin
supplementation restores endothelial function mainly by reducing homocysteine
induced oxidative stress. Thus, we evaluated the effect of rosuvastatin, B-group
vitamins and their combination on endothelial function in high-risk
cardiovascular patients. METHODS: Thirty-six patients with cardiovascular disease
were randomly, double-blinded assigned to either rosuvastatin 10 mg (group R, n =
18) or vitamin supplementation consisting of folic acid 1 mg, vitamin B12 0.4 mg,
and B6 10 mg (group V, n = 18) for 6 weeks. After 6 weeks all patients received
rosuvastatin and vitamin supplementation in combination for additional 6 weeks.
Endothelial function was assessed by flow-mediated vasodilation (FMD) at baseline
and after 6- and 12-week treatment. RESULTS: At baseline, FMD, plasma lipids,
vitamins, and homocysteine were comparable between both groups. After 6 weeks,
FMD improved in both groups (from 4.4 +/- 1.6 to 6.9 +/- 1.4% group R, P= 0.0004
and from 4.9 +/- 1.8 to 6.4 +/- 1.8% group V, P= 0.0002). This improvement in FMD
was mainly associated with a decrease of plasma lipids in group R and a decrease
of homocysteine in group V. After 12 weeks, the combined therapy with
rosuvastatin and vitamins further improved FMD to the normal range in 26/33
patients compared to 5/36 at baseline (P < 0.0001). CONCLUSIONS: In conclusion,
both treatments, rosuvastatin and B-group vitamin supplementation, improved
endothelial function in high-risk cardiovascular patients. The combination of
both therapies had an additive effect on endothelial function suggesting
different mechanisms of action.
PMID- 21884008
TI - Metabolic antianginal agent ranolazine offers good symptom relief in a patient
with inoperable severe aortic stenosis.
AB - Severe inoperable aortic stenosis is a challenge for clinicians. Management of
symptoms with traditional antianginal agents, which exert hemodynamic changes
often may not be possible in such patient groups. We report the first known case
of the safe use of ranolazine with good symptomatic relief of angina in an 88
year-old lady with isolated severe aortic stenosis (without significant coronary
disease) who was not suitable for surgical or percutaneous valve replacement due
to medical comorbidity.
PMID- 21884009
TI - Targeting inflammation in cardiovascular diseases. still a neglected field?
AB - Prevention and treatment of atherosclerosis is still a clinical challenge in the
cardiovascular medicine. The classical belief that atherosclerotic lesion
development solely depends on lipid deposition has been replaced by the current
concept that activation of immune and inflammatory responses plays a central role
in plaque initiation and progression. In this review we summarize studies on
human and genetically modified animals describing a finite number of cellular and
molecular mechanisms that underlie immunoinflammation in atherosclerotic plaques.
We focus on the pro- and antiinflammatory mediators activated during
atherogenesis and the intracellular signaling pathways regulating these events.
Besides the advances on established pharmacological agents, we propose potential
strategies for reduction/stabilization of atherosclerotic plaques based on the
clinical data in inflammatory-associated pathologies and on the encouraging
studies in experimental models of atherosclerosis. We emphasize the potential of
such novel inhibitors comprising receptor antagonists, neutralizing antibodies,
kinase inhibitors, peptide-based technologies, and chemicals as emerging
antiinflammatory strategies for the treatment of atherosclerotic disease
complications.
PMID- 21884010
TI - Trimetazidine reduces endogenous free fatty acid oxidation and improves
myocardial efficiency in obese humans.
AB - INTRODUCTION: The metabolic modulator trimetazidine (TMZ) has been suggested to
induce a metabolic shift from myocardial fatty acid oxidation (FAO) to glucose
utilization, but this mechanism remains unproven in humans. The oxidation of
plasma derived FA is commonly measured in humans, whereas the contribution of FA
from triglycerides stored in the myocardium has been poorly characterized. AIMS:
To verify the hypothesis that TMZ induces a metabolic shift, we combined positron
emission tomography (PET) and magnetic resonance spectroscopy ((1)H-MRS) to
measure myocardial FAO from plasma and intracellular lipids, and myocardial
glucose metabolism. Nine obese subjects were studied before and after 1 month of
TMZ treatment. Myocardial glucose and FA metabolism were assessed by PET with
(18)F-fluorodeoxyglucose and (11)C-palmitate. (1)H-MRS was used to measure
myocardial lipids, the latter being integrated into the PET data analysis to
quantify myocardial triglyceride turnover. RESULTS: Myocardial FAO derived from
intracellular lipids was at least equal to that of plasma FAs (P = NS). BMI and
cardiac work were positively associated with the oxidation of plasma derived FA
(P <= 0.01). TMZ halved total and triglyceride-derived myocardial FAO (32.7 +/-
8.0 to 19.6 +/- 4.0 MUmol/min and 23.7 +/- 7.5 to 10.3 +/- 2.7 MUmol/min,
respectively; P <= 0.05). These changes were accompanied by increased cardiac
efficiency since unchanged LV work (1.6 +/- 0.2 to 1.6 +/- 0.1 Watt/g * 10(2),
NS) was associated with decreased work energy from the intramyocardial
triglyceride oxidation (1.6 +/- 0.5 to 0.4 +/- 0.1 Watt/g * 10(2), P = 0.036).
CONCLUSIONS: In obese subjects, we demonstrate that myocardial intracellular
triglyceride oxidation significantly provides FA-derived energy for mechanical
work. TMZ reduced the oxidation of triglyceride-derived myocardial FAs improving
myocardial efficiency.
PMID- 21884011
TI - Matricellular proteins: new molecular targets to prevent heart failure.
AB - Matricellular proteins are highly expressed in reparative responses to pressure
and volume overload, ischemia, oxidative stress after myocardial injury, and
modulate the inflammatory and fibrotic process in ventricular remodeling, which
leads to cardiac dysfunction and eventually overt heart failure. Generally,
matricellular proteins loosen strong adhesion of cardiomyocytes to extracellular
matrix, which would help cells to move for rearrangement and allow inflammatory
cells and capillary vessels to spread during tissue remodeling. Among
matricellular proteins, osteopontin (OPN) and tenascin-C (TN-C) are de-adhesion
proteins and upregulate the expression and activity of matrix metalloproteinases.
These matricellular proteins could be key molecules to diagnose cardiac
remodeling and also might be targets for the prevention of adverse ventricular
remodeling. This review provides an overview of the role of matricellular
proteins such as OPN and TN-C in cardiac function and remodeling, as determined
by both in basic and in clinical studies.
PMID- 21884012
TI - Sunitinib, a receptor tyrosine kinase inhibitor, increases blood pressure in rats
without associated changes in cardiac structure and function.
AB - BACKGROUND: Sunitinib, a multi-tyrosine kinase inhibitor has demonstrated
clinical activity in advanced renal cell carcinoma and imatinib
resistant/intolerant gastrointestinal stromal tumor. It has been associated with
manageable hypertension and other unique toxicities. AIMS: Two nonclinical
studies were conducted to determine if sunitinib has direct/indirect effects on
cardiac structure/function that may be related to hypertension at clinically
relevant exposures. MATERIALS & METHODS: Rats received once-daily vehicle or
sunitinib 1 or 10 mg/kg/day (n = 10/group) orally for 4 weeks, followed by 2
weeks off treatment then a 2-week rechallenge. Blood pressure (BP) and heart rate
(HR) were continuously acquired and echocardiograms were obtained weekly. Effects
of sunitinib and its metabolite (0.003-0.3 MUM) were also evaluated in guinea pig
isolated Langendorff-perfused hearts (n = 4-6 hearts/group). RESULTS: Sunitinib
10 mg/kg/day produced significant (P < 0.05) hemodynamic changes: 24 h average BP
increased during initial dosing/rechallenge, with rebound hypotension during the
off-treatment period; 24 h average HR increased during the off-treatment period,
and decreased during rechallenge; no changes in cardiac structure/function were
observed. In guinea pig isolated hearts, neither sunitinib nor its metabolite had
direct effects on contractility, HR or left ventricular pressure. DISCUSSION &
CONCLUSION: These studies demonstrate that sunitinib/metabolite had no direct
effects on cardiac function ex vivo, and that therapeutically relevant
concentrations of sunitinib dosed on a "clinical schedule" increased BP in rats
without adverse changes in cardiac structure/function.
PMID- 21884014
TI - Novel treatments for cardiovascular disease prevention.
AB - The purpose of this review is to describe novel pharmacologic and
nonpharmacologic preventive therapies, as well as new strategies to improve
delivery of available therapies. Cardiovascular disease (CVD) is the leading
cause of death worldwide, and prevention plays a critical role in curbing the
global epidemic. Despite available treatment for tobacco addiction, platelet
inhibition, blood pressure, and lipid lowering for reduction of atherosclerotic
disease, significant gaps in treatment of total CVD remain. We review a range of
new preventive treatment options, including drugs for tobacco cessation,
platelet/thrombotic inhibition, lipid- and blood pressure-lowering;
nonpharmacologic options such as left atrial appendage closure devices and
caloric restriction; and strategies such as fixed-dose combination drugs,
laboratory screening for drug tailoring, and community-based prevention programs.
CVD preventive research continues to evolve and provide clinicians and patients
with novel pharmacologic and nonpharmacologic therapies, including new preventive
strategies.
PMID- 21884015
TI - The future of anticoagulant therapy.
PMID- 21884013
TI - ARIES-3: ambrisentan therapy in a diverse population of patients with pulmonary
hypertension.
AB - INTRODUCTION: Ambrisentan is an oral, once daily, endothelin receptor antagonist
approved for treatment of pulmonary arterial hypertension (PAH). Previous studies
of ambrisentan were limited to patients with Group 1 PAH and often excluded
patients receiving other pulmonary hypertension (PH) therapies. AIMS: ARIES-3 was
an open-label study evaluating efficacy and safety of ambrisentan in patients
with various PH etiologies and background PH medications. Patients received 5 mg
ambrisentan once daily for 24 weeks. The primary endpoint was change from
baseline in 6-minute walk distance (6MWD) at week 24. RESULTS: A total of 224
patients with PH due to idiopathic and familial PAH (31%), connective tissue
disease (18%), chronic hypoxemia (22%), chronic thromboembolic disease (13%), or
other etiologies (16%) were enrolled and 53% of patients received stable
background PAH therapies. After 24 weeks of therapy, an increase in 6MWD (+21 m;
95% CI: 12-29) and a decrease in B-type natriuretic peptide (-26%; 95% CI: -34 to
-16%) was observed in the overall population compared to baseline; however,
increases in 6MWD were not observed in several non-Group 1 PH subpopulations.
Peripheral edema, headache, and dyspnea were the most common adverse events.
CONCLUSION: This study reconfirms the results of previous placebo-controlled
studies, which demonstrate that ambrisentan is well tolerated and provides
benefit in patients with PAH. Definitive conclusions regarding the safety and
efficacy of ambrisentan in specific non-Group 1 PH etiologies cannot be
determined and larger, controlled studies will be necessary to determine the
efficacy and safety of ambrisentan in these populations.
PMID- 21884016
TI - Evaluation of the effects of urotensin II and soluble epoxide hydrolase inhibitor
on skin microvessel tone in healthy controls and heart failure patients.
AB - INTRODUCTION: Urotensin II (UII) is a potent vasoactive peptide that exerts
differential effects on heart failure (HF) patients compared to health controls.
However, the mechanism of action remains unclear. The role of soluble epoxide
hydrolase (sEH) as a mediator of UII in the vasculature has not been explored.
AIMS: The aim of this study was to examine the effect of UII in the presence and
absence of sEH inhibitor AUDA on skin microvessel tone in HF patients and healthy
controls using iontophoresis and laser Doppler velocimetry. UII (10(-7) M) and
AUDA (10(-10), 10(-7), and 10(-5) M) were administered to the forearm of
participants by iontophoresis for 30 seconds. Laser Doppler velocimetry was
performed for 5 minutes to measure flux through the subcutaneous blood vessels.
Response (flux) was measured for 5 minutes per concentration with 25 continuous
scans. RESULTS: UII increased flux in healthy controls by 39% (P < 0.05) and
increased flux in HF patients by 6% (ns). AUDA (10(-10) and 10(-7) M)
administration further decreased flux by 115% (P < 0.05) and 255% (P < 0.0001),
respectively in healthy controls. In HF patients, AUDA (10(-10), 10(-7), and 10(
5) M) further increased flux by 77% (P < 0.05), 67% (P < 0.01), and 100% (P <
0.05), respectively. AUDA alone at 10(-7) M increased flux in both groups by 31%
(healthy controls, P < 0.05) and 36% (HF, P < 0.01). CONCLUSION: Taken together,
the presence of HF appeared to abrogate the vasodilator responsiveness of sEH
inhibitor. These results suggest an important role for both UII and sEH in
vascular regulation and that sEH may be involved in mediating UII effects.
Furthermore, the study highlights the therapeutic potential of sEH inhibitors for
the treatment of HF.
PMID- 21884017
TI - Blood pressure and cardiovascular outcomes in patients taking nonsteroidal
antiinflammatory drugs.
AB - INTRODUCTION: The increased thrombotic cardiovascular (CV) risk in trials of
cyclo-oxygenase-2 (COX-2) inhibitors versus placebo, and the apparent similar
risk with nonsteroidal antiinflammatory drugs (NSAIDs), may be related to their
potential to elevate blood pressure (BP). AIMS: We evaluated the relationship
between baseline BP and change in BP on CV events (CVEs) in patients receiving
NSAIDs or COX-2 inhibitors in the prospective randomized, double-blind,
Multinational Etoricoxib and Diclofenac Arthritis Long-term Program (N = 34,701)
comparing etoricoxib 60 or 90 mg or diclofenac 150 mg daily for a mean duration
of 18 months. The main outcome measure was confirmed thrombotic CVEs. The
Antiplatelet Trialists' Collaboration endpoint, all-cause mortality,
CV/congestive heart failure (CHF) mortality, and CHF incidence were similarly
evaluated. RESULTS: We found that baseline systolic BP (SBP) was associated with
significantly higher risk of all events (P < 0.001). Baseline diastolic BP (DBP)
was inversely and significantly associated with risk of all events (P < 0.001 to
P = 0.016) except CV/CHF mortality (P = 0.054). There was no significant
differential effect between etoricoxib and diclofenac in relation to CVEs, except
for confirmed CHF, for which the risk was significantly higher with etoricoxib (P
= 0.019). Only CHF risk (P = 0.020 for both SBP and DBP change), but not
thrombotic endpoints, was significantly associated with change in BP from months
0 to 4. These findings were not meaningfully altered after covariate adjustment
for baseline CV risk. CONCLUSIONS: Baseline BP, but not change in BP, was
significantly associated with risk of thrombotic CVEs through 18 months. The CV
risk of COX-2s and NSAIDs did not appear to be related to the BP-elevating
effects of these agents, although such analyses, i.e., from randomized controlled
trials, are unable to definitively exclude such a relationship.
PMID- 21884018
TI - Efficacy of a new accelerated streptokinase regime in acute myocardial
infarction: a double blind randomized clinical trial.
AB - BACKGROUND: Studies of thrombolysis in acute ST-elevation myocardial infarction
(STEMI) have focused on differences in outcome between groups receiving various
regimes. Expedited treatment may influence the efficacy of nonfibrin specific
thrombolytic agents in restoring early patency of the infarct-related artery
(IRA), which is a major determinant of survival after ST-elevation myocardial
infarction (STEMI). METHODS: We performed a randomized double blind clinical
trial comparing an accelerated infusion (1.5 MU/20 min; group A, n = 200) with
the conventional infusion (1.5 MU/60 min; group B, n = 100) of streptokinase (SK)
in 300 patients with their first episode of acute STEMI. Demographics, clinical
reperfusion rates, angiographic study findings, left ventricular ejection
fraction (LVEF), in-hospital morbidity and mortality and one year mortality were
compared between two groups. RESULTS: Mean age was 59 +/- 12 years (79% male).
There were no differences in baseline data between groups. Clinical,
electrocardiographic and physiologic reperfusion indices revealed significant
faster and higher reperfusion rates and better preserved LVEF at discharge in
group A. Sixty-three percent of patients in either group underwent invasive
coronary angiography at a mean of 5 days with comparable findings. Atrial
fibrillation, malignant ventricular arrhythmias in the second day, in-hospital
and late mortalities rates occurred more frequently in group B patients. In
multivariate analysis, accelerated SK infusion was the only independent predictor
of higher electrocardiographic reperfusion (OR = 3.2, CI: 1.93-5.3, P < 0.001).
CONCLUSIONS: The accelerated SK infusion regimen of 1.5 MU in 20 min is safe and
well tolerated with significantly faster and higher clinical reperfusion rates,
more preserved LV systolic function, less atrial and ventricular sustained
arrhythmias, and less in-hospital and 1 year mortality rates in acute STEMI.
PMID- 21884020
TI - Thiazide-induced hyponatraemia: epidemiology and clues to pathogenesis.
AB - Thiazide diuretics are one of the most widely used and cost-effective classes of
antihypertensive agents worldwide. Thiazides however have a significant side
effect profile and are frequently insufficient to normalize blood pressure alone.
Thiazide-induced hyponatraemia (TIH) is a major adverse effect, affecting up to
one in seven patients receiving these drugs. TIH is more common in females, the
elderly and those of low body weight and may cause symptoms such as confusion,
falls and seizures. It is a common cause of hospital admission in the elderly.
Although TIH occurs at least as frequently as hypokalaemia, much less is
understood about the mechanism by which this occurs. Thiazides lower blood
pressure by reducing the reabsorption of sodium from the distal nephron by
inhibition of the NaCl cotransporter. The molecular mechanism by which this
occurs together with the little known role of thiazides in regulating water
reabsorbtion from the collecting ducts is discussed and the relevance to TIH
evaluated. TIH is highly reproducible by thiazide rechallenge suggesting there
may be a genetic predisposition. Both targeted resequencing of candidate genes
and genome wide association techniques offer promising strategies by which such
genetic contributions may be investigated. The rewards for uncovering the
molecular mechanisms underlying TIH and the regulation of distal nephron sodium
and water absorption are significant; not only could it inform the design of
better tolerated, more efficacious thiazide-like antihypertensive agents but it
may also facilitate the pharmacogenomic profiling of hypertensive patients to
avoid thiazides in those likely to suffer adverse effects.
PMID- 21884019
TI - Pilates in heart failure patients: a randomized controlled pilot trial.
AB - BACKGROUND: Conventional cardiac rehabilitation program consist of 15 min of warm
up, 30 min of aerobic exercise and followed by 15 min calisthenics exercise. The
Pilates method has been increasingly applied for its therapeutic benefits,
however little scientific evidence supports or rebukes its use as a treatment in
patients with heart failure (HF). PURPOSE: Investigate the effects of Pilates on
exercise capacity variables in HF. METHODS: Sixteen pts with HF, left ventricular
ejection fraction 27 +/- 14%, NYHA class I-II were randomly assigned to
conventional cardiac rehabilitation program (n = 8) or mat Pilates training (n =
8) for 16 weeks of 30 min of aerobic exercise followed by 20 min of the specific
program. RESULTS: At 16 weeks, pts in the mat Pilates group and conventional
group showed significantly increase on exercise time 11.9 +/- 2.5 to 17.8 +/- 4
and 11.7 +/- 3.9 to 14.2 +/- 4 min, respectively. However, only the Pilates group
increased significantly the ventilation (from 56 +/- 20 to 69 +/- 17 L/min, P =
0.02), peak VO(2) (from 20.9 +/- 6 to 24.8 +/- 6 mL/kg/min, P = 0.01), and O(2)
pulse (from 11.9 +/- 2 to 13.8 +/- 3 mL/bpm, P = 0.003). The Pilates group showed
significantly increase in peak VO(2) when compared with conventional group (24.8
+/- 6 vs. 18.3 +/- 4, P = 0.02). CONCLUSIONS: The result suggests that the
Pilates method may be a beneficial adjunctive treatment that enhances functional
capacity in patients with HF who are already receiving standard medical therapy.
PMID- 21884021
TI - Atorvastatin inhibits homocysteine-induced endoplasmic reticulum stress through
activation of AMP-activated protein kinase.
AB - AIM: Accumulating evidence suggests that endoplasmic reticulum (ER) stress plays
a fundamental role in the initiation and development of atherosclerosis.
Atorvastatin is known to exert pleiotropic effects on cardiovascular system. This
study was designed to examine the effect of atorvastatin on homocysteine (Hcy)
induced activation of ER stress and the potential mechanisms regarding AMP
activated protein kinase (AMPK). METHODS AND RESULTS: Apolipoprotein E-deficient
(apoE(-/-)) mice were administrated with methionine or atorvastatin and
sacrificed 2 months later for plasma tests and immunohistochemical analysis. To
further study the mechanisms, human umbilical vein endothelial cells (HUVECs)
were incubated with various concentrations of Hcy for 1 h, or 500 MUmol/L Hcy for
1-24 h. Furthermore, we challenged HUVECs with Hcy in the presence or absence of
atorvastatin, 5-amino-4-imidazolecarboxamide riboside-l-beta-D-ribofuranoside
(AICAR), an AMPK agonist, and AMPK-DN that expressed a dominant-negative mutant
of AMPK. Expression levels of ER stress markers were measured by real-time PCR
and Western blot analysis. Our data revealed that atorvastatin prevented Hcy
induced ER stress in the aortic roots of hyperhomocysteinemic mice. In vitro
study showed atorvastatin suppressed Hcy-induced ER stress in HUVECs as well.
AICAR is found to have the same effect as that of atorvastatin, which could be
antagonized by AMPK-DN. CONCLUSIONS: Atorvastatin inhibits Hcy-induced ER stress
both in vitro and in vivo. The protective effect of atorvastatin against Hcy
induced vascular injury is mediated by AMPK activation.
PMID- 21884022
TI - Estrogen receptor beta does not influence ischemic tolerance in the aged female
rat heart.
AB - INTRODUCTION: Ischemic heart disease remains the leading cause of morbidity and
mortality in aged women, with a 2- to 3-fold increase in incidence following
menopause. Clinical trials have failed to demonstrate cardioprotective benefit
from chronic estrogen (E(2)) replacement therapy, yet protective effects of E(2)
have been demonstrated in adult animal models and are mediated by the estrogen
receptor (ER) subtypes ERalpha and ERbeta. AIMS: The aim of this study was to
determine the effects of acute ERbeta activation on ischemia/reperfusion (I/R)
injury in adult, aged, and aged E(2)-deficient female rats. METHODS: Hearts were
isolated from adult (6 months; n = 9), aged (24 months; n = 13), and aged
ovariectomized (OVX; n = 14) female Fischer 344 rats and subjected to 47 min of
global I and 60 min of R. Rats were acutely treated with the ERbeta-agonist
diarylpropionitrile (DPN; 5 MUg/kg) or vehicle 45 min prior to I/R; ERbeta mRNA
and protein levels were also assessed. RESULTS: Acute treatment with DPN had no
effect on functional recovery following I/R injury in adult, aged, or aged OVX
female rats. Additionally, we were unable to detect ERbeta mRNA or protein in the
adult or aged female rat myocardium. CONCLUSIONS: Here, for the first time, our
data suggest that acute ERbeta activation does not impact ischemic tolerance in
the adult or aged female Fischer 344 rat myocardium and this likely due to a lack
of detectable ERbeta.
PMID- 21884023
TI - A meta-analysis of impact of proton pump inhibitors on antiplatelet effect of
clopidogrel.
AB - Previous mechanistic studies have suggested a possible interaction between proton
pump inhibitor (PPIs) and clopidogrel. However, the results of clinical trials
about the effects of PPIs on safety and efficacy of clopidogrel are
controversial. The study sought to estimate the impact of PPIs on antiplatelet
effect of clopidogrel. The study performed a meta-analysis of comparative
concomitant use of clopidogrel with PPIs versus clopidogrel without PPIs studies
published or presented to October 2010. Cardiovascular death, readmission for
myocardial infarction/readmission for acute coronary syndrome, and nonfatal
stroke were set as clinical endpoints. In randomized control trials (RCTs), the
clinical endpoints risk ratio for clopidogrel with PPIs versus clopidogrel
without PPIs was 1.20 (P= 0.34) in the random-effects model and 1.03 (P= 0.63) in
the fixed-effects model. In observational studies, the risk ratio for the
clinical endpoints for clopidogrel with PPI versus clopidogrel without PPI was
1.40 (P < 0.001) in the random-effects model and 1.49 (P < 0.001) in the fixed
effects model. Different assay methods showed that coadministration of
clopidogrel with PPIs was associated with attenuation of clopidogrel's
antiplatelet effect in vitro. This meta-analysis indicated an obvious discrepancy
between RCTs and observational studies with respect to the interaction between
PPIs and clopidogrel.
PMID- 21884024
TI - The role of OATP1B1 and BCRP in pharmacokinetics and DDI of novel statins.
AB - The aim of this review is to provide useful information not only for studying the
effect of OATP1B1 and/or BCRP gene mutation on pharmacokinetics of novle statins
of pitavastatin and rosuvastatin but also for studying drug-drug interactions
(DDI) between the novle statins and other substrates of OATP1B1 and/or BCRP.
Intra- and inter-ethnic differences in pharmacokinetic profiles of clinically
relevant drugs are important issues reported in many papers not only for scenes
of appropriate drug used in clinical settings but also for those of the drug
development. Pharmacogenomics is extremely useful for understanding these racial
differences. Recent pharmacogenetics study have disclosed important roles of drug
transporters in the pharmacokinetic (PK) profiles of some clinically relevant
drugs. In this presentation, we introduce single nucleotide polymorphisms (SNPs)
of OATP1B1 and BCRP and review the contribution of genetic polymorphisms of the
transporters to the pharmacokinetics of dual substrates as pitavastatin and
rosuvastatin from recent study. At the same time, the DDIs between pitavastatin
or rosuvastatin and other drug have been extensively concerned because of
inhibiting OATP1B1-mediated hepatic uptake or BCRP-mediated hepatic efflux of
pitavastatin and rosuvastatin. This review summarized the current studies about
the role of OATP1B1 and BCRP in DDIs between pitavastatin or rosuvastatin and
other clinically relevant drugs. The role of OATP1B1 and BCRP gene mutation can
affect the PK profiles of pitavastatin and rosuvastatin. The DDIs between the
novle statins and other substrates of OATP1B1 or BCRP may occur and cause change
in the pharmacokinetic of the novle statins.
PMID- 21884025
TI - Is it cost-effective to increase aspirin use in outpatient settings for primary
or secondary prevention? Simulation data from the REACH Registry Australian
Cohort.
AB - AIMS: To describe aspirin use in primary and secondary prevention and to
determine the incremental costs-effectiveness ratio (ICER) per life year gain
(LYG) of aspirin use among subjects with, or at high risk of atherothrombotic
disease. DESIGN AND SUBJECTS: To project the cost-effectiveness of aspirin over 5
years of follow-up, a Markov state transition model was developed with yearly
cycles and the following health states: "Alive" (post-CAD) and "Dead." The model
compared current coverage observed among 2361 subjects using the prospective
Australian subset of Reduction of Atherothrombosis for continued Health (REACH)
registry, and hypothetical situation whereby all subjects assumed to be treated.
Costs were calculated based on the Australian government reimbursed data for
2010. MAIN OUTCOME MEASURES: ICER per LYG for increased use of aspirin. RESULTS:
The use of aspirin in current group varied from 67% to 70%. The base-case
analysis showed that increasing aspirin use among subjects with existing CAD in
outpatient settings was cost saving, while increasing use of aspirin in primary
prevention equated to an ICER of AUD 7126 per LYG. CONCLUSION: Among subjects
with existing CAD aspirin use was shown to be a dominant choice of treatment.
However, among patients without existing cardiovascular disease (primary
prevention), increased uptake of aspirin was cost effective but with uncertain
benefit, with two hemorrhagic bleeding events occurring for every life saved.
PMID- 21884026
TI - Combination angiotensin converting enzyme and direct renin inhibition in heart
failure following experimental myocardial infarction.
AB - AIMS: Diminishing the activity of the renin-angiotensin system (RAS) plays a
pivotal role in the treatment of heart failure. In addition to angiotensin
converting enzyme (ACE) inhibitors and angiotensin-receptor blockers, direct
renin inhibition has emerged as a potential adjunctive treatment to conventional
RAS blockade. We sought to determine the effectiveness of this strategy after
myocardial infarction (MI) in the setting of preexisting hypertension, a common
premorbid condition in patients with ischemic heart disease. METHODS AND RESULTS:
Ten-week-old female heterozygous hypertensive (mRen-2)27 transgenic rats (Ren-2),
were randomized to one of five groups (n = 8 per group); sham, MI, MI +
aliskiren, MI + lisinopril and MI + combination lisinopril and aliskiren. Cardiac
function was assessed by echocardiography and in vivo cardiac catheterization.
Untreated MI animals developed heart failure with hypotension, dilation, reduced
ejection fraction (EF), and raised left ventricular end-diastolic pressure
(LVEDP). Treatment with single agent treatment had only modest effect on cardiac
function though combination therapy was associated with significant improvements
in EF and LVEDP when compared to untreated MI animals (P < 0.05). Histologic
analysis demonstrated increase extracellular matrix deposition and cardiomyocyte
hypertrophy in the noninfarct region of all MI groups when compared with sham
operated animals (P < 0.05) that was reduced by ACE inhibitor monotherapy and
combination treatment but not by aliskiren alone. CONCLUSION: In a hypertensive
rat model that underwent experimental MI, EF, and LVEDP, key functional indices
of heart failure, were improved by treatment with combination ACE and direct
renin inhibition when compared with either agent used alone.
PMID- 21884027
TI - Chronic pretreatment of metformin is associated with the reduction of the no
reflow phenomenon in patients with diabetes mellitus after primary angioplasty
for acute myocardial infarction.
AB - INTRODUCTION: Metformin is one of the most commonly prescribed antihyperglycemic
agents for the treatment of type 2 diabetes. However, little is known about the
effect of metformin on no-reflow in diabetic patients. AIM: In this study, we
investigated retrospectively whether chronic pretreatment with metformin was
associated with no-reflow in diabetic patients who underwent primary coronary
intervention for acute myocardial infarction (AMI). RESULTS: A total of 154
consecutive diabetic patients who underwent primary angioplasty for a first ST
segment elevation myocardial infarction were studied. No-reflow was defined as a
final TIMI flow of <=2 or final TIMI flow of 3 with a myocardial blush grade of
<2. The no-reflow phenomenon was found in 53 of 154 patients. There were no
significant differences in clinical characteristics between the patients with and
without metformin pretreatment. However, the 65 patients receiving chronic
metformin treatment before admission had lower incidence of the no-reflow than
those without it (4.2 and 14.6%, P < 0.05). Multivariable logistic regression
analysis revealed that absence of metformin pretreatment was a significant
predictor of the no-reflow along with high-burden thrombus, ejection fraction on
admission and anterior AMI. CONCLUSION: These results suggested that chronic
pretreatment with metformin may be associated with the reduction of the no-reflow
phenomenon in patients with diabetes mellitus after primary angioplasty for AMI.
PMID- 21884029
TI - Clopidogrel "resistance": where are we now?
AB - Antiplatelet therapy with aspirin and clopidogrel in PCI patients, though
effective, is still associated with thrombotic complications. These are
multifactorial in origin, but partially attributable to "clopidogrel resistance."
However, how best to identify and manage "clopidogrel resistance" remains
unclear. Targeting therapeutic changes specifically at those individuals with
poor response to clopidogrel is likely to be a solution. A "one size fits all"
approach to clopidogrel dosing is probably flawed. This review will explore (1)
the definition and mechanisms of clopidogrel resistance, (2) assessment of
clopidogrel resistance by (i) platelet function testing and (ii) genetic testing,
(3) the management of "clopidogrel resistance," and (4) newer antiplatelet
agents, and evolving stent technology. A pubmed literature review was performed
using the keywords "clopidogrel", "resistance", "poor response", "adverse
events", "platelet function tests", and "genetic tests". In looking at new
agents, keywords "prasugrel", "cangrelor", "ticagrelor""Elinogrel", and "P2Y12
receptor antagonists" were used. Third, a search was performed looking at "stent
design", "IVUS", "bioabsorbable stents", and "stent apposition". Whilst new P2Y12
receptor antagonists and improved stent technology may reduce thrombotic events
in the future, there is still a need for clopidogrel. There is good evidence that
poor response to clopidogrel is associated with adverse outcome. Platelet
function tests probably provide more clinically useful data than genetic tests,
but the question of how best to identify and manage variability in response to
clopidogrel demands further research.
PMID- 21884028
TI - A dosing algorithm for erythropoietin alpha in older adults with heart failure
and a preserved ejection fraction.
AB - AIMS: Erythropoietin stimulating agents (ESAs) is an active area of clinical
investigation in heart failure (HF) but can cause hypertension and higher
hemoglobin concentrations (Hb) that have been associated with adverse outcomes.
We evaluated a dosing algorithm and potential confounders' effect on Hb and blood
pressure (BP) in a clinical trial. METHODS: In an ongoing randomized, placebo
controlled, single blind clinical trial of ESA (epoetin alfa) in anemic patients
with HF and a preserved ejection fraction (HFPEF), Hb was measured weekly as was
BP, weight and concomitant medical therapy. A repeated measure mixed model
evaluated determinants of weekly changes in Hb and BP. RESULTS: Among 45 subjects
(78 +/- 11 years, 67% women, EF = 57 +/- 9%) with a total of 780 repeated weekly
Hb measures, Hb significantly increased over time in those assigned to ESA (beta
= 0.933, P < 0.0001), compared to placebo. Dose (beta = -0.108, P < 0.0001),
patient weight (beta = -0.016, P = 0.0037), diuretic use (beta = -0.124, P =
0.0389), and time (beta = 0.003, P = 0.0331), were all significantly associated
with Hb change. Increased diuretic dose and weight change were significantly
inversely associated with changes in Hb. ESA administration and dose were not
significant determinants of absolute BP or changes in BP from baseline.
DISCUSSION: In addition to ESA dose and duration of therapy, factors indicative
of volume status including weight and diuretic use are determinants of hemoglobin
levels in HF subjects. CONCLUSION: The currently employed dosing algorithm, which
adjusts the administration of ESA based on the absolute hemoglobin and weekly
change in hemoglobin increases Hb with relatively a low weekly dose of ESA
without significant effects on BP.
PMID- 21884031
TI - Is angiotensin-converting enzyme inhibitor a contraindication for contrast
induced nephropathy prophylaxis? A review about its paradox.
AB - Contrast-induced nephropathy (CIN) is reported to be the third leading cause of
acute renal failure. The role of angiotensin-converting enzyme (ACE) inhibitors
in CIN is controversial. Some studies pointed out that it was effective in the
prevention of CIN, while some concluded that it was associated with increased
risk of CIN, especially for patients with preexisting renal impairment.
Nevertheless, it is a common practice in many centers to prescribe ACE inhibitors
before coronary angiography. The most likely mechanisms leading to CIN are
medullary hypoxia due to decreased renal blood flow (RBF) secondary to renal
artery vasoconstriction and direct tubular toxicity by contrast medium (CM).
Furthermore, experimental data suggest that an activated renin-angiotensin
aldosterone system, increased endothelin-1, and reactive oxygen species play a
role in the pathogenesis of CIN and these can be inhibited by using ACE
inhibitors. In this context, we review the medical literatures and discuss the
pathogenesis, the role of renin-angiotensin-aldosterone system on the development
of CIN and ACE inhibitors' effect on CIN.
PMID- 21884030
TI - Effects of the recombinant form of the natural human B-type natriuretic peptide
and levosimendan on pulmonary hyperventilation and chemosensivity in heart
failure.
AB - BACKGROUND: The origin of dyspnea in chronic heart failure (HF) is
multifactorial, and excessive ventilation is thought to play a role in inducing
this symptom. Chemosensivity is augmented in HF, correlates with increased
pulmonary ventilation (VE), and is an adverse prognostic marker. Despite
increased blood levels of natriuretic peptides in clinical conditions associated
with dyspnea, their effect on pulmonary VE and chemoreceptor activity remains
unexplored. METHODS: We tested in a prospective, placebo-controlled, three-way
cross-over, double-blind randomized study the effects of the recombinant form of
the natural human B-type natriuretic peptide (R-BNP) in comparison with placebo
and levosimendan on chemoreflex sensitivity at rest, as well as their effects on
pulmonary VE, systemic blood pressure, heart rate and sympathetic serum activity
both at rest and during exercise. RESULTS: Eleven stable chronic HF patients were
randomized to sessions of 6-min treadmill-walking tests during placebo, or
levosimendan or R-BNP intravenous infusion in the following conditions: room air,
hypoxia, and hypercapnia. R-BNP administration determined higher pulmonary
ventilatory response at rest and during exercise (P < 0.001) consequent to a
boost of respiratory rate (P < 0.001) under room air and hypoxia conditions.
Norepinephrine blood levels increased from rest to exercise in all conditions
without differences among placebo, levosimendan, and R-BNP effects. BNP blood
levels remained unchanged. CONCLUSIONS: The novelty of the present findings is
that R-BNP infusion in HF patients can boost pulmonary ventilatory response at
rest and during exercise.
PMID- 21884033
TI - A survey of the risk of zoonoses for veterinarians.
AB - The objectives of this study were to identify factors associated with zoonotic
infections in veterinarians, the incidence of physician consultation and
treatment and the incidence of diagnostic and treatment errors. Veterinarians in
any area of practice were solicited to participate in an online survey through an
invitation letter sent to the Oregon Veterinary Medical Association. Proportions
of respondents to various factors were analyzed for differences among gender,
age, time since graduation and type of practice in which they worked. In all, 216
complete responses were received. In all, 13.9% of respondents had never been
vaccinated against rabies, and 20.8% had been exposed to suspect rabid animals,
mostly (64.4%) a single time. Other zoonoses were reported by 47.2% of
respondents: mostly diseases transmitted via contact (57.4%) especially ringworm,
followed by those with oral transmission (21.7%). Most zoonotic infections were
reportedly acquired by young veterinarians working in primary care veterinary
practice. Cats were the species most commonly reported as the animal source of a
zoonotic infection. Veterinarians likely self-diagnosed zoonotic diseases,
especially those transmitted by contact. Medical care providers were consulted
for diagnosis of more serious diseases. Diagnosis and treatment errors were
uncommon. Results of this study emphasize the need to educate future
veterinarians during their early years in veterinary school about the risks
associated with their future jobs.
PMID- 21884032
TI - Seroprevalence and risk factors associated with Escherichia coli O157 in a
farming population.
AB - Evidence suggests that frequent and direct exposure to domestic animals has made
farmers less susceptible to symptomatic Escherichia coli O157 infection than
other members of the community. We have quantified the seroprevalence of
antibodies to E. coli O157 lipopolysaccharide (LPS) from a representative cohort
of farm workers in three geographically distinct regions of the United Kingdom
during two sampling rounds over a 2-year period. All participants completed a
questionnaire to determine the range and extent of recent animal contact
alongside other potential occupational and environmental exposure routes. A total
of 31/946 (3.3%) serum samples contained antibodies to E. coli O157 LPS (from
both rounds combined). On the second sampling round, a significant difference in
seropositivity was apparent between the three regions, with enhanced
seroprevalence linked to recent contact with beef cattle, having a private water
supply and contact with a child under 5 years old. Only five seropositive people
reported symptoms of a gastrointestinal tract infection, although these symptoms
were mild. These results further support the premise of acquired immunity to E.
coli O157 associated with prolonged antigenic exposures within the farming
environment.
PMID- 21884034
TI - Development of an algorithm for assessing the risk to food safety posed by a new
animal disease.
AB - An algorithm was developed as a tool to rapidly assess the potential for a new or
emerging disease of livestock to adversely affect humans via consumption or
handling of meat product, so that the risks and uncertainties can be understood
and appropriate risk management and communication implemented. An algorithm
describing the sequence of events from occurrence of the disease in livestock,
release of the causative agent from an infected animal, contamination of fresh
meat and then possible adverse effects in humans following meat handling and
consumption was created. A list of questions complements the algorithm to help
the assessors address the issues of concern at each step of the decision pathway.
The algorithm was refined and validated through consultation with a panel of
experts and a review group of animal health and food safety policy advisors via
five case studies of potential emerging diseases of cattle. Tasks for model
validation included describing the path taken in the algorithm and stating an
outcome. Twenty-nine per cent of the 62 experts commented on the model, and one
third of those responding also completed the tasks required for model validation.
The feedback from the panel of experts and the review group was used to further
develop the tool and remove redundancies and ambiguities. There was agreement in
the pathways and assessments for diseases in which the causative agent was well
understood (for example, bovine pneumonia due to Mycoplasma bovis). The stated
pathways and assessments of other diseases (for example, bovine Johne's disease)
were not as consistent. The framework helps to promote objectivity by requiring
questions to be answered sequentially and providing the opportunity to record
consensus or differences of opinion. Areas for discussion and future
investigation are highlighted by the points of diversion on the pathway taken by
different assessors.
PMID- 21884037
TI - Porphyria cutanea tarda, iron, inflammation and diabetes mellitus.
PMID- 21884035
TI - Outcome of three commercial serum ELISAs and faecal detection of Mycobacterium
avium subsp. paratuberculosis in consecutive samples from a cattle herd with low
prevalence of paratuberculosis (Johne's disease).
AB - Paratuberculosis (Johne's disease) in ruminants is caused by Mycobacterium avium
subsp. paratuberculosis (MAP). Owing to the lack of accurate laboratory tests,
diagnosis is challenging in subclinically infected cattle. To evaluate the long
term performance of serum ELISAs for the detection of paratuberculosis in a dairy
herd with low MAP-prevalence, three investigations of all the cows and the
consecutive testing of 33 cows suspected to be infected with MAP and 30 cows
classified as MAP free were performed over a period of 22 months. Blood samples
were tested by three commercial serum ELISAs, MAP shedding was detected by
bacteriological culture and polymerase chain reaction (PCR). The ELISA results
varied in a wide range in the herd investigations with 1.2% to 18.8% positive
samples, the faecal samples were positive for MAP between 1.8% and 4.9% in the
three herd investigations. Over the study period, ELISA-positive serum samples
varied between 0.0% and 69.7% in MAP-suspicious and 0.0% and 17.6% in MAP
unsuspicious cows with a poor correlation between ELISAs and faecal shedding. The
correlation coefficient of the optical density values of the three ELISAs varied
between 0.348 and 0.61. Evidence of cow specific variations of residuals was
found in all linear models. The linear mixed models showed relevant contribution
of cow specific variation in explanation of the residual variances. They also
showed significant effects of the explanatory ELISA, the group (MAP-suspicious or
MAP-unsuspicious) and the time of sampling. It can be concluded that the choice
of the laboratory test significantly influences the outcome of the testing for
MAP and that none of the three ELISAs can be thoroughly recommended as single
test for the early diagnosis of paratuberculosis in cattle. Test results should
always be interpreted with caution to avoid erroneous decisions and the
disappointment of those engaged in the abatement of paratuberculosis.
PMID- 21884038
TI - A biased comment on double-blind review.
PMID- 21884039
TI - Comparison of psoralen plus ultraviolet A therapy and biologics in moderate to
severe chronic plaque psoriasis.
PMID- 21884040
TI - Life-style factors and hand eczema.
PMID- 21884041
TI - Eczema and cancer risk: a critical appraisal and review of the literature.
AB - AIM: Hwang et al. aimed to evaluate the risk of malignancy among individuals with
eczema, allergic rhinitis (AR) and asthma, compared with the general Taiwanese
population. HYPOTHESIS: People with atopic conditions, including eczema, have an
altered risk of malignancy. SETTING AND DESIGN: This was a prospective nationwide
cohort study. The authors used the Taiwanese National Health Insurance Research
Database (NHIRD) to compare the incidence of cancers among people with
established allergic disease relative to the risk in the general population.
STUDY EXPOSURE: Exposure was the presence of one or more atopic conditions
(eczema, AR or asthma). Data were extracted on 997,729 randomly selected people
registered on the NHIRD at any time point between 1996 and 2008. Eczema was
identified via ICD-9-CM codes with the diagnosis being made by a dermatologist,
paediatrician or allergist. Follow-up was until 2008, date of first cancer or
death. OUTCOMES: The outcome was a new diagnosis of malignancy, identified via
catastrophic illness insurance certificates, again using ICD-9-CM diagnostic
codes. PRIMARY OUTCOME MEASURE: Standardized incidence ratios (SIRs) for cancers
overall and different types of malignancy among patients with eczema, AR or
asthma were calculated against the expected number of cancer cases in the general
population, adjusted for age and sex. RESULTS: The number of patients identified
with eczema, AR and asthma was 34,263, 225,315 and 107,601, respectively. Overall
cancer rates in patients with these conditions were not significantly different
from those in the general population [SIR eczema = 0.97 (95% confidence interval
0.87-1.09), SIR AR = 1.02 (0.98-1.05) and SIR asthma = 1.01 (0.97-1.04)].
However, when the results for eczema were stratified by age, people aged between
20 and 39 years appeared to have a 56% increase in risk in relation to 'any
cancer' [SIR = 1.56 (1.13-2.09)]. Looking at individual cancer types in patients
with eczema, only the risk of brain cancer was significantly raised [SIR = 2.52
(1.15-4.79)]. Patients who had had all three allergic conditions had a reduced
SIR for 'cancers overall' [SIR = 0.59 (0.37-0.88)]. This inverse association was
less strong for those with eczema and asthma [SIR = 0.73 (0.55-0.97)] or asthma
and AR [SIR = 0.79 (0.73-0.84)] and statistically only of borderline significance
for those with eczema and AR [SIR = 0.85 (0.67-1.07)]. CONCLUSIONS: Hwang et al.
conclude that the relationship between allergic diseases and cancer risk is
complex and site specific. The risk of malignancy was highest in all atopic
conditions in the 20-39-year age group. In patients with eczema, the incidence of
brain cancer was higher than expected, which the authors note is at odds with
previous studies. However, numbers were too small to allow stratification by
histological subtypes. The authors warn against deriving conclusions for rarer
cancers and that borderline SIRs must be interpreted with caution.
PMID- 21884042
TI - Response to eczema and cancer risk: a critical appraisal and review of the
literature.
PMID- 21884043
TI - Commentary: eczema and cancer risk.
PMID- 21884044
TI - How can we tell if frogs jump further?
PMID- 21884046
TI - Recombination and hitchhiking of deleterious alleles.
AB - When new advantageous alleles arise and spread within a population, deleterious
alleles at neighboring loci can hitchhike alongside them and spread to fixation
in areas of low recombination, introducing a fixed mutation load. We use
branching processes and diffusion equations to calculate the probability that a
deleterious allele hitchhikes and fixes alongside an advantageous mutant. As
expected, the probability of fixation of a deleterious hitchhiker rises with the
selective advantage of the sweeping allele and declines with the selective
disadvantage of the deleterious hitchhiker. We then use computer simulations of a
genome with an infinite number of loci to investigate the increase in load after
an advantageous mutant is introduced. We show that the appearance of advantageous
alleles on genetic backgrounds loaded with deleterious alleles has two potential
effects: it can fix deleterious alleles, and it can facilitate the persistence of
recombinant lineages that happen to occur. The latter is expected to reduce the
signals of selection in the surrounding region. We consider these results in
light of human genetic data to infer how likely it is that such deleterious
hitchhikers have occurred in our recent evolutionary past.
PMID- 21884048
TI - Sperm competition and mate harm unresponsive to male-limited selection in
Drosophila: an evolving genetic architecture under domestication.
AB - Earlier research by W.R. Rice showed that experimentally limiting gene expression
to males in Drosophila melanogaster leads to the rapid evolution of higher
fitness. Using a similar male-limited (ML) selection protocol, we confirmed that
result and showed that eliminating intralocus sexual conflict results in a
comprehensive remodeling of the sexually dimorphic phenotype. However, despite
starting from laboratory-evolved descendants of the same founder population used
in earlier work, we found no evidence for the increased performance in sperm
competition or increased postmating harm to females previously demonstrated. We
employed females with both ancestral population genotypes and those of the
special "clone generator" females used in ML selection. Despite strong
differences in sperm storage or usage patterns between these females, there was
no detectable adaptation by males to the specific female stock used in the
selection protocol. The lack of evolution of postcopulatory traits suggests
either that requisite genetic variation was eliminated by long-term domestication
of the base population, or that complex male-by-male-by-female interactions made
these traits unavailable to selection. The different evolutionary outcomes
produced by two very similar experiments done at different time points
underscores the potential for cryptic adaptation in the laboratory to
qualitatively affect inferences made using quantitative genetic methodologies.
PMID- 21884047
TI - Polyandry and the decrease of a selfish genetic element in a wild house mouse
population.
AB - Despite deleterious effects on individuals, the t haplotype is a selfish genetic
element present in many house mouse populations. By distorting the transmission
ratio, +/t males transmit the t haplotype to up to 90% of their offspring.
However, t/t individuals perish in utero. Theoretical models based on these
properties predict a much higher t frequency than observed, leading to the t
paradox. Here, we use empirical field data and theoretical approaches to
investigate whether polyandry is a female counterstrategy against the negative
fitness consequences of such distorters. We found a significant decrease of the t
frequency over a period of 5.5 years that cannot be explained by the effect of
transmission ratio distortion and recessive lethals, despite significantly higher
life expectancy of +/t females compared to +/+ females. We quantified life
history data and homozygous and heterozygous fitness effects. Population
subdivision and inbreeding were excluded as evolutionary forces influencing the t
system. The possible influence of polyandry on the t system was then investigated
by applying a stochastic model to this situation. Simulations show that polyandry
can explain the observed t dynamics, making it a biologically plausible
explanation for low t frequencies in natural populations in general.
PMID- 21884049
TI - Assortative mating counteracts the evolution of dispersal polymorphisms.
AB - Polymorphic dispersal strategies are found in many plant and animal species. An
important question is how the genetic variation underlying such polymorphisms is
maintained. Numerous mechanisms have been discussed, including kin competition or
frequency-dependent selection. In the context of sympatric speciation events,
genetic and phenotypic variation is often assumed to be preserved by assortative
mating. Thus, recently, this has been advocated as a possible mechanism leading
to the evolution of dispersal polymorphisms. Here, we examine the role of
assortative mating for the evolution of trade-off-driven dispersal polymorphisms
by modeling univoltine insect species in a metapopulation. We show that
assortative mating does not favor the evolution of polymorphisms. On the
contrary, assortative mating favors the evolution of an intermediate dispersal
type and a uni-modal distribution of traits within populations. As an
alternative, mechanism dominance may explain the occurrence of two discrete
morphs.
PMID- 21884050
TI - Genetic admixture in multidimensional environmental space: asymmetrical niche
similarity promotes gene flow in armadillos (Dasypus novemcinctus).
AB - We unite genetic data with a robust test of niche divergence to test the
hypothesis that patterns of gene flow between two lineages of the nine-banded
armadillo are influenced by their climatic niches. We collected Geographical
Information System (GIS) data on climate using locality information from 111
individuals from two lineages that had associated genetic material. We tested
whether niches of these lineages were more conserved or divergent than the
background environments of their geographic ranges and found evidence for niche
conservatism on two axes and no evidence for divergence on any axis. To address
the role of niche similarity in gene flow, we genotyped the 111 individuals at
five microsatellite loci and tested whether admixed individuals tended to be
located in parts of multidimensional environmental space (E-space) shared between
the two lineages. We observed an asymmetrical pattern of overlap, in which the
West lineage's E-space was almost completely included inside East lineage's E
space. Genetic admixture levels were significantly higher in the West lineage
and, for both lineages, in shared portions of E-space. This suggests that niche
similarity can facilitate gene flow among disjunct groups with moderate-to-good
dispersal capabilities, contrasting with the prevailing view of niche
conservatism as a diversifying force.
PMID- 21884051
TI - Effects of founding genetic variation on adaptation to a novel resource.
AB - Population genetic theory predicts that adaptation in novel environments is
enhanced by genetic variation for fitness. However, theory also predicts that
under strong selection, demographic stochasticity can drive populations to
extinction before they can adapt. We exposed wheat-adapted populations of the
flour beetle (Tribolium castaneum) to a novel suboptimal corn resource, to test
the effects of founding genetic variation on population decline and subsequent
extinction or adaptation. As previously reported, genetically diverse populations
were less likely to go extinct. Here, we show that among surviving populations,
genetically diverse groups recovered faster after the initial population decline.
Within two years, surviving populations significantly increased their fitness on
corn via increased fecundity, increased egg survival, faster larval development,
and higher rate of egg cannibalism. However, founding genetic variation only
enhanced the increase in fecundity, despite existing genetic variation-and
apparent lack of trade-offs-for egg survival and larval development time. Thus,
during adaptation to novel habitats the positive impact of genetic variation may
be restricted to only a few traits, although change in many life-history traits
may be necessary to avoid extinction. Despite severe initial maladaptation and
low population size, genetic diversity can thus overcome the predicted high
extinction risk in new habitats.
PMID- 21884052
TI - Comparing environmental and genetic variance as adaptive response to fluctuating
selection.
AB - Phenotypic variation within populations has two sources: genetic variation and
environmental variation. Here, we investigate the coevolution of these two
components under fluctuating selection. Our analysis is based on the lottery
model in which genetic polymorphism can be maintained by negative frequency
dependent selection, whereas environmental variation can be favored due to bet
hedging. In our model, phenotypes are characterized by a quantitative trait under
stabilizing selection with the optimal phenotype fluctuating in time. Genotypes
are characterized by their phenotypic offspring distribution, which is assumed to
be Gaussian with heritable variation for its mean and variance. Polymorphism in
the mean corresponds to genetic variance while the width of the offspring
distribution corresponds to environmental variance. We show that increased
environmental variance is favored whenever fluctuations in the selective optima
are sufficiently strong. Given the environmental variance has evolved to its
optimum, genetic polymorphism can still emerge if the distribution of selective
optima is sufficiently asymmetric or leptokurtic. Polymorphism evolves in a
diagonal direction in trait space: one type becomes a canalized specialist for
the more common ecological conditions and the other type a de-canalized bet
hedger thriving on the less-common conditions. All results are based on
analytical approximations, complemented by individual-based simulations.
PMID- 21884053
TI - Population divergence along lines of genetic variance and covariance in the
invasive plant Lythrum salicaria in eastern North America.
AB - Evolution during biological invasion may occur over contemporary timescales, but
the rate of evolutionary change may be inhibited by a lack of standing genetic
variation for ecologically relevant traits and by fitness trade-offs among them.
The extent to which these genetic constraints limit the evolution of local
adaptation during biological invasion has rarely been examined. To investigate
genetic constraints on life-history traits, we measured standing genetic variance
and covariance in 20 populations of the invasive plant purple loosestrife
(Lythrum salicaria) sampled along a latitudinal climatic gradient in eastern
North America and grown under uniform conditions in a glasshouse. Genetic
variances within and among populations were significant for all traits; however,
strong intercorrelations among measurements of seedling growth rate, time to
reproductive maturity and adult size suggested that fitness trade-offs have
constrained population divergence. Evidence to support this hypothesis was
obtained from the genetic variance-covariance matrix (G) and the matrix of
(co)variance among population means (D), which were 79.8% (95% C.I. 77.7-82.9%)
similar. These results suggest that population divergence during invasive spread
of L. salicaria in eastern North America has been constrained by strong genetic
correlations among life-history traits, despite large amounts of standing genetic
variation for individual traits.
PMID- 21884054
TI - Rapid evolution of fire melanism in replicated populations of pygmy grasshoppers.
AB - Evolutionary theory predicts an interactive process whereby spatiotemporal
environmental heterogeneity will maintain genetic variation, while genetic and
phenotypic diversity will buffer populations against stress and allow for fast
adaptive evolution in rapidly changing environments. Here, we study color
polymorphism patterns in pygmy grasshoppers (Tetrix subulata) and show that the
frequency of the melanistic (black) color variant was higher in areas that had
been ravaged by fires the previous year than in nonburned habitats, that, in
burned areas, the frequency of melanistic grasshoppers dropped from ca. 50% one
year after a fire to 30% after four years, and that the variation in frequencies
of melanistic individuals among and within populations was genetically based on
and represented evolutionary modifications. Dark coloration may confer a
selective benefit mediated by enhanced camouflage in recently fire-ravaged areas
characterized by blackened visual backgrounds before vegetation has recovered.
These findings provide rare evidence for unusually large, extremely rapid
adaptive contemporary evolution in replicated natural populations in response to
divergent and fluctuating selection associated with spatiotemporal environmental
changes.
PMID- 21884055
TI - Rapid evolution caused by pollinator loss in Mimulus guttatus.
AB - Anthropogenic perturbations including habitat loss and emerging disease are
changing pollinator communities and generating novel selection pressures on plant
populations. Disruption of plant-pollinator relationships is predicted to cause
plant mating system evolution, although this process has not been directly
observed. This study demonstrates the immediate evolutionary effects of
pollinator loss within experimental populations of a predominately outcrossing
wildflower. Initially equivalent populations evolved for five generations within
two pollination treatments: abundant bumblebee pollinators versus no pollinators.
The populations without pollinators suffered greatly reduced fitness in early
generations but rebounded as they evolved an improved ability to self-fertilize.
All populations diverged in floral, developmental, and life-history traits, but
only a subset of characters showed clear association with pollination treatment.
Pronounced treatment effects were noted for anther-stigma separation and
autogamous seed set. Dramatic allele frequency changes at two chromosomal
polymorphisms occurred in the no pollinator populations, explaining a large
fraction of divergence in pollen viability. The pattern of phenotypic and genetic
changes in this experiment favors a sequential model for the evolution of the
multitrait "selfing syndrome" observed throughout angiosperms.
PMID- 21884056
TI - Sexual dimorphism and speciation on two ecological coins: patterns from nature
and theoretical predictions.
AB - Adaptive divergence of phenotypes, such as sexual dimorphism or adaptive
speciation, can result from disruptive selection via competition for limited
resources. Theory indicates that speciation and sexual dimorphism can result from
identical ecological conditions, but co-occurrence is unlikely because whichever
evolves first should dissipate the disruptive selection necessary to drive
evolution of the other. Here, we consider ecological conditions in which
disruptive selection can act along multiple ecological axes. Speciation in lake
populations of threespine sticklebacks (Gasterosteus aculeatus) has been
attributed to disruptive selection due to competition for resources. Head shape
in sticklebacks is thought to reflect adaptation to different resource
acquisition strategies. We measure sexual dimorphism and species variation in
head shape and body size in stickleback populations in two lakes in British
Columbia, Canada. We find that sexual dimorphism in head shape is greater than
interspecific differences. Using a numerical simulation model that contains two
axes of ecological variation, we show that speciation and sexual dimorphism can
readily co-occur when the effects of loci underlying sexually dimorphic traits
are orthogonal to those underlying sexually selected traits.
PMID- 21884057
TI - Contrasting theory with the empirical data of species recognition.
AB - We tested hypotheses on how animals should respond to heterospecifics encountered
in the environment. Hypotheses were formulated from models parameterized to
emphasize four factors that are expected to influence species discrimination:
mating and territorial interactions; sex differences in resource value;
environments in which heterospecifics were common or rare; and the type of
identity cues available for species recognition. We also considered the role of
phylogeny on contemporary responses to heterospecifics. We tested the extent
these factors explained variation among taxa in species discrimination using a
meta-analysis of three decades of species recognition research. A surprising
outcome was the absence of a general predictor of when species discrimination
would most likely occur. Instead, species discrimination is dictated by the
benefits and costs of responding to a conspecific or heterospecific that are
governed by the specific circumstances of a given species. The phylogeny of
species recognition provided another unexpected finding: the evolutionary
relationships among species predicted whether courting males within species-but
not females-would discriminate against heterospecifcs. This implies that species
recognition has evolved quite differently in the sexes. Finally, we identify
common pitfalls in experimental design that seem to have affected some studies
(e.g., poor statistical power) and provide recommendations for future research.
PMID- 21884058
TI - Hybridization, species collapse, and species reemergence after disturbance to
premating mechanisms of reproductive isolation.
AB - There are now a number of well-studied cases in which hybridization between
closely related sympatric species has increased, sometimes resulting in the
replacement of species pairs by hybrid swarms. Many of these cases have been
linked to anthropogenic environmental change, but the mechanisms leading from
environmental change to species collapse, and the long-term effects of
hybridization on species pairs, remain poorly understood. We used an individual
based stochastic simulation model to explore the conditions under which
disturbances that weaken premating barriers to reproduction patterns between
sympatric species might lead to increased hybridization and to species collapse.
Disturbances often resulted in bouts of hybridization, but in many cases strong
reproductive isolation spontaneously reemerged. This was sometimes true even
after hybrid swarms had replaced parental species. The reemergence of species
pairs was most likely when disturbances were of short duration.
Counterintuitively, incipient species pairs were more likely to reemerge after
strong but temporary disturbances than after weaker disturbances of the same
duration. Even temporary bouts of hybridization often led to substantial
homogenization of species pairs. This suggests that ecosystem managers may be
able to refill ecological niches, but in general will not be able to resurrect
lost species after species collapse.
PMID- 21884059
TI - Floral isolation is the main reproductive barrier among closely related sexually
deceptive orchids.
AB - Floral isolation is an important component of pollinator-driven speciation.
However, up to now, only a few studies have quantified its strength and relative
contribution to total reproductive isolation. In this study, we quantified floral
isolation among three closely related, sympatric orchid species of the genus
Ophrys by directly tracking pollen flow. Ophrys orchids mimic their pollinators'
mating signals, and are pollinated by male insects during mating attempts. This
pollination system, called sexual deception, is usually highly specific. However,
whether pollinator specialization also conveys floral isolation is currently
under debate. In this study, we found strong floral isolation: among 46 tracked
pollen transfers in two flowering seasons, all occurred within species.
Accounting for observation error rate, we estimated a floral isolation index
>=0.98 among each pair of species. Hand pollination experiments suggested that
postpollination barriers were effectively absent among our study species. Genetic
analysis based on AFLP markers showed a clear species clustering and very few
F(1) hybrids in natural populations, providing independent evidence that strong
floral isolation prevents significant interspecies gene flow. Our results provide
the first direct evidence that floral isolation acts as the main reproductive
barrier among closely related plant species with specialized pollination.
PMID- 21884061
TI - The role of different reproductive barriers during phenotypic divergence of
isopod ecotypes.
AB - The question of how diverging populations become separate species by restraining
gene flow is a central issue in evolutionary biology. Assortative mating might
emerge early during adaptive divergence, but the role of other types of
reproductive barriers such as migration modification have recently received
increased attention. We demonstrate that two recently diverged ecotypes of a
freshwater isopod (Asellus aquaticus) have rapidly developed premating isolation,
and this isolation barrier has emerged independently and in parallel in two south
Swedish lakes. This is consistent with ecological speciation theory, which
predicts that reproductive isolation arises as a byproduct of ecological
divergence. We also find that in one of these lakes, habitat choice acts as the
main barrier to gene flow. These observations and experimental results suggest
that migration modification might be as important as assortative mating in the
early stages of ecological speciation. Simulations suggest that the joint action
of these two isolating barriers is likely to greatly facilitate adaptive
divergence, compared to if each barrier was acting alone.
PMID- 21884060
TI - Heterozygosity and its unexpected correlations with hybrid sterility.
AB - In general, heterozygosity is considered to be advantageous, primarily because it
masks the effects of deleterious recessive alleles. However, there is usually a
reduction in fitness in individuals that are heterozygous due to the pairing of
two species (heterospecific). Because the parental alleles arose along separate
evolutionary paths, they may not function properly when brought together within
an individual. The formation of these unfit interspecies hybrids is one of the
mechanisms that maintains species isolation. Interestingly, it has been observed
that later-generation individuals resulting from a backcross to one parent are
more often sterile than those resulting from a backcross to the other parent, but
the mechanism underlying this trend is unknown. Here, I show that one direction
of backcross produces offspring with more heterospecific genome, and that this is
correlated with the directionality seen in backcross hybrid sterility. Therefore,
the directionality in sterility is likely due to the different amounts of
heterospecific genome present in the two backcrosses. Surprisingly, in spite of
the potential fitness consequences, I also find that interspecies laboratory
backcrosses in general yield an excess of heterospecific individuals, and that
this trend is consistent across multiple taxa.
PMID- 21884062
TI - Evidence for repeated acquisition and loss of complex body-form characters in an
insular clade of Southeast Asian semi-fossorial skinks.
AB - Evolutionary simplification, or loss of complex characters, is a major theme in
studies of body-form evolution. The apparently infrequent evolutionary
reacquisition of complex characters has led to the assertion (Dollo's Law) that
once lost, complex characters may be impossible to re-evolve, at least via the
exact same evolutionary process. Here, we provide one of the most comprehensive,
fine-scale analyses of squamate body-form evolution to date, introducing a new
model system of closely related, morphologically variable, lizards. Our
phylogenetic results support independent instances of complete limb loss as well
as multiple instances of digit and external ear opening loss and re-acquisition.
Even more striking, we find strong statistical support for the re-acquisition of
a pentadactyl body form from a digit-reduced ancestor. Our study reveals that
species of the genus Brachymeles exemplify regions of morphospace (body plans)
previously undocumented in squamates. Our findings have broad, general
implications for body-form evolution in burrowing vertebrates: whatever
constraints have shaped trends in morphological evolution among other squamate
groups (excluding Bipes) have been lost in this one exemplary clade. The results
of our study join a nascent body of literature showing strong statistical support
for character loss, followed by evolutionary re-acquisition of complex structures
associated with a generalized pentadactyl body form.
PMID- 21884063
TI - Evolution of extreme body size disparity in monitor lizards (Varanus).
AB - Many features of species' biology, including life history, physiology,
morphology, and ecology are tightly linked to body size. Investigation into the
causes of size divergence is therefore critical to understanding the factors
shaping phenotypic diversity within clades. In this study, we examined size
evolution in monitor lizards (Varanus), a clade that includes the largest extant
lizard species, the Komodo dragon (V. komodoensis), as well as diminutive species
that are nearly four orders of magnitude smaller in adult body mass. We
demonstrate that the remarkable body size disparity of this clade is a
consequence of different selective demands imposed by three major habitat use
patterns-arboreality, terrestriality, and rock-dwelling. We reconstructed
phylogenetic relationships and ancestral habitat use and applied model selection
to determine that the best-fitting evolutionary models for species' adult size
are those that infer oppositely directed adaptive evolution associated with
terrestriality and rock-dwelling, with terrestrial lineages evolving extremely
large size and rock-dwellers becoming very small. We also show that habitat use
affects the evolution of several ecologically important morphological traits
independently of body size divergence. These results suggest that habitat use
exerts a strong, multidimensional influence on the evolution of morphological
size and shape disparity in monitor lizards.
PMID- 21884064
TI - Collateral damage: rapid exposure-induced evolution of pesticide resistance leads
to increased susceptibility to parasites.
AB - Although natural populations may evolve resistance to anthropogenic stressors
such as pollutants, this evolved resistance may carry costs. Using an
experimental evolution approach, we exposed different Daphnia magna populations
in outdoor containers to the carbamate pesticide carbaryl and control conditions,
and assessed the resulting populations for both their resistance to carbaryl as
well as their susceptibility to infection by the widespread bacterial
microparasite Pasteuria ramosa. Our results show that carbaryl selection led to
rapid evolution of carbaryl resistance with seemingly no cost when assessed in a
benign environment. However, carbaryl-resistant populations were more susceptible
to parasite infection than control populations. Exposure to both stressors
reveals a synergistic effect on sterilization rate by P. ramosa, but this
synergism did not evolve under pesticide selection. Assessing costs of rapid
adaptive evolution to anthropogenic stress in a semi-natural context may be
crucial to avoid too optimistic predictions for the fitness of the evolving
populations.
PMID- 21884065
TI - Protection first then facilitation: a manipulative parasite modulates the
vulnerability to predation of its intermediate host according to its own
developmental stage.
AB - Many trophically transmitted parasites with complex life cycles manipulate their
intermediate host behavior in ways facilitating their transmission to final host
by predation. This facilitation generally results from lowering host's
antipredatory defenses when the parasite is infective to the final host. However,
a recent theoretical model predicts that an optimal parasitic strategy would be
to protect the intermediate host from predation when noninfective, before
switching to facilitation when the infective stage is reached. We tested this
hypothesis in the fish acanthocephalan parasite Pomphorhynchus laevis using the
amphipod Gammarus pulex as intermediate host. Gammarids parasitized by
noninfective stage of P. laevis (acanthella) hid significantly more under refuges
than uninfected ones. In addition, acanthella-infected gammarids were less
predated upon by trout than uninfected ones. As predicted, a switch toward
decreased antipredatory behavior of G. pulex and enhanced vulnerability to
predation was found when P. laevis reached the stage infective to its final host.
The parasites appear to be able to exploit plasticity in host antipredatory
responses, and shift the host optimal response toward their own optimal balance.
PMID- 21884066
TI - No evidence for postcopulatory inbreeding avoidance in Drosophila melanogaster.
AB - Selection to avoid inbreeding is predicted to vary across species due to
differences in population structure and reproductive biology. Over the past
decade, there have been numerous investigations of postcopulatory inbreeding
avoidance, a phenomenon that first requires discrimination of mate (or sperm)
relatedness and then requires mechanisms of male ejaculate tailoring and/or
cryptic female choice to avoid kin. The number of studies that have found a
negative association between male-female genetic relatedness and competitive
fertilization success is roughly equal to the number of studies that have not
found such a relationship. In the former case, the underlying mechanisms are
largely unknown. The present study was undertaken to verify and expand upon a
previous report of postcopulatory inbreeding avoidance in D. melanogaster, as
well as to resolve underlying mechanisms of inbreeding avoidance using transgenic
flies that express a sperm head-specific fluorescent tag. However, siblings did
not have a lower fertilization success as compared to unrelated males in either
the first (P(1) ) or second (P(2) ) mate role in sperm competition with a
standard unrelated competitor male in our study population of D. melanogaster.
Analyses of mating latency, copulation duration, egg production rate, and
remating interval further revealed no evidence for inbreeding avoidance.
PMID- 21884068
TI - Increased floral divergence in sympatric monkeyflowers.
AB - Sympatric sister species are predicted to have greater divergence in reproductive
traits than allopatric sister species, especially if mating system shifts, such
as the evolution of self-fertilization, are more likely to originate within the
geographic range of the outcrossing ancestor. We present evidence that supports
this expectation-sympatric sister species in the monkeyflower genus, Mimulus,
exhibit greater divergence in flower size than allopatric sister species.
Additionally, we find that sympatric sister species are more likely to have one
species with anthers that overtop their stigmas than allopatric sister species,
suggesting that the evolution of automatic self-pollination may contribute to
this pattern. Potential mechanisms underlying this pattern include reinforcement
and a stepping stone model of parapatric speciation.
PMID- 21884069
TI - Use of exotic hosts by Lepidoptera: widespread species colonize more novel hosts.
AB - The study of host shifts by herbivorous insects has played an important role in
evolutionary biology, contributing to research in coevolution, ecological
speciation, and adaptive radiation. As invasive plants become more abundant in
many ecosystems, the potential for exotic host use by native insects increases.
Graves and Shapiro (2003) have documented exotic host use by 34% of Californian
butterflies, suggesting that the plants and butterflies of California might be an
important model system for the colonization and utilization of novel resources.
In this study, we analyze relationships among geographic range, native diet
breadth, and the use of exotic hosts by Californian butterflies and skippers
(Lepidoptera). Geographic range and, to a lesser extent, native diet breadth are
significant predictors of exotic host use, with positive relationships found both
before and after phylogenetic correction. These results give insight into the
process of insect host range evolution, as geographically widespread generalists
have an apparently greater tendency to use novel, exotic hosts than
geographically constrained specialists. Increasing occurrences of exotic host use
are expected and those species not capable of shifting to nonnative hosts are
likely to have higher vulnerability to extirpation and extinction in the future.
PMID- 21884067
TI - Nonadaptive evolution of mitochondrial genome size.
AB - Genomes vary greatly in size and complexity, and identifying the evolutionary
forces that have generated this variation remains a major goal in biology. A
controversial proposal is that most changes in genome size are initially
deleterious and therefore are linked to episodes of decrease in effective
population sizes. Support for this hypothesis comes from large-scale comparative
analyses, but vanishes when phylogenetic nonindependence is taken into account.
Another approach to test this hypothesis involves analyzing sequence evolution
among clades where duplications have recently fixed. Here we show that episodes
of fixation of duplications in mitochondrial genomes of the gecko Heteronotia
binoei (two independent clades) and of mantellid frogs (five distinct branches)
coincide with reductions in the ability of selection to purge slightly
deleterious mutations. Our results support the idea that genome complexity can
arise through nonadaptive processes in tetrapods.
PMID- 21884070
TI - The evolution of Family Process: contexts and transformations(1) ,(2).
PMID- 21884071
TI - Couple therapy research and the practice of couple therapy: can we talk?
AB - As has been true in every other realm of psychotherapy, couple therapy research
generally has had very little impact on the day-to-day practice of couple
therapists. To a significant degree, this unfortunate disconnection may be
attributable to an overemphasis by researchers in the field on treatment packages
and therapeutic methods/techniques. Insufficient attention has been paid to other
important sources of influence on treatment outcomes, especially the couple
therapist herself/himself. It is argued that effective couple therapy requires a
good "fit" between the person of the therapist and her primary theoretical
orientation, and that couple therapists may be more influenced by research that
addresses process aspects of the therapeutic approaches to which they have their
primary theoretical allegiances.
PMID- 21884072
TI - Integrative problem-centered metaframeworks therapy I: core concepts and
hypothesizing.
AB - Over the last 20 years psychotherapy and family therapy have been inundated with
a plethora of empirically validated treatments for particular disorders. That
trend will increase. Psychotherapists will increasingly be exhorted and
ultimately required to integrate empirical data and multicultural competence into
their practice. Additionally, individual psychotherapy's default dominance of
psychotherapeutic discourse needs to integrate and come to theoretical and
clinical terms with the implications of the growing body of research
demonstrating the validity and value of a multisystemic perspective. This article
(and its companion article) presents a comprehensive, integrative, multisystemic,
and empirically informed psychotherapeutic perspective to help therapists and
psychotherapy trainers successfully address these challenges-Integrative Problem
Centered Metaframeworks (IPCM) Therapy. This first article presents and
illustrates IPCM's theoretical foundation, core concepts, and "case formulating"
components. It delineates a Blueprint for the practice and teaching of 21st
century psychotherapists who can meld science and art into best practice.
PMID- 21884073
TI - Integrative problem-centered metaframeworks therapy II: planning, conversing, and
reading feedback.
AB - This is the second of 2 articles presenting Integrative Problem Centered
Metaframeworks (IPCM) Therapy, a multisystemic, integrative, empirically
informed, and common factor perspective for family, couple, and individual
psychotherapy. The first article presented IPCM's foundation concepts and
Blueprint for therapy, focusing on the first Blueprint component-Hypothesizing or
assessment. This article, focusing on intervention, presents the other 3
Blueprint components-Planning, Conversing, and Feedback. Articulated through the
Blueprint, intervention is a clinical experimental process in which therapists
formulate hypotheses about the set of constraints (the Web) within a client
system that prevents problem resolution, develop a therapeutic Plan based on
those hypotheses, implement the Plan through a coconstructed dialogue with the
clients, and then evaluate the results. If the intervention is not successful,
the results become feedback to modify the Web, revise the Plan, and intervene
again. Guided by the therapeutic alliance, this process repeats until the
presenting problems resolve. IPCM Planning sequentially integrates the major
empirically and yet-to-be empirically validated therapies and organizes their key
strategies and techniques as common factors. Conversing and Feedback employ
empirical STIC((r)) (Systemic Therapy Inventory of Change) data collaboratively
with clients to formulate hypotheses and evaluate interventions. This article
emphasizes the art and science of IPCM practice.
PMID- 21884074
TI - Facilitating relational empowerment in couple therapy.
AB - Couples in distressed relationships often get caught up in power struggles,
"Power Over" interactions that are informed by both neurobiology (e.g., the fight
flight reaction) and by cultural assumptions (e.g., competition, individualism,
and patriarchy). This article seeks to widen the discourse about power by
highlighting "Power To" and "Power With." Power To includes the ability to self
regulate, to read and manage one's own emotions, and to have voice while
respecting the other's voice. Power With reflects the couple's commitment to
conurture the relationship through empathy, respect, and generosity. Power To and
Power With are proposed to constitute relational empowerment, the ability to
navigate one's inner world and the interpersonal realm. The neurobiology of both
couples' reactivity and relational empowerment are considered. Techniques are
offered to facilitate Power To and Power With, interventions that interrupt
couples' cycles of reactivity and allow them to make more thoughtful choices.
Emotion regulation and empathy are particularly important skills of relational
empowerment, and examples are offered to increase these capacities in couple
therapy. The therapeutic perspective offered in this article challenges cultural
practices and assumptions that keep intimate partners polarized in power
struggles, and explores how relational empowerment can foster an egalitarian,
mutually respectful relationship.
PMID- 21884075
TI - Heterosexual, lesbian, and gay male relationships: a comparison of couples in
1975 and 2000.
AB - This study examined the differences among lesbians, gay men, and heterosexuals at
two points in time (1975 and 2000) using responses of 6,864 participants from two
archival data sets. Groups were compared on variables representing equality of
behaviors between partners in seven realms: traditionally "feminine" housework,
traditionally "masculine" housework, finances, support, communication,
requesting/refusing sex, and decision-making. In addition, the current study
compared monogamy agreements and monogamy behaviors reported by the two cohorts
of couple types. Overall, the results indicate that on the equality variables,
there have been many statistically significant behavioral shifts among the
different sexual orientations across 25 years. In addition, all couple types
reported substantially greater rates of monogamy in the year 2000 than in 1975.
The present study has important clinical implications for therapists working with
couples because it provides new baseline evidence regarding how couples now
interact with one another (especially about monogamy) and how this has shifted
over time. In addition, it elucidates the differences that still exist between
different couple types, which could serve to inform couple therapists as they
strive to become more culturally competent working with same-sex couples.
PMID- 21884076
TI - Guidelines for classifying evidence-based treatments in couple and family
therapy.
AB - Guidelines for Evidence-Based Treatments in Family Therapy are intended to help
guide clinicians, researchers, and policy makers in identifying specific clinical
interventions and treatment programs for couples and families that have
scientifically based evidence to support their efficacy. In contrast to criteria,
which simply identify treatments that "work" and have been employed in the
evaluation of other psychotherapies, these guidelines propose a three-tiered
levels-of-evidence-based model that moves from "evidence-informed," to "evidence
based," to "evidence-based and ready for dissemination and transportation within
diverse community settings." Each level reflects an interaction between the
specificity of the intervention, the strength and readth of the outcomes, and the
quality of the studies that form the evidence. These guidelines uniquely promote
a clinically based "matrix" approach in which the empirical support is evaluated
according to various dimensions including strength of the outcomes, the
applicability across cultural contexts, and demonstration of specific change
mechanisms. The guidelines are offered not only as a basis for understanding the
evidence for diverse clinical approaches in couple and family therapy within the
systemic tradition of the field, but also as an alternative aspirational model
for evaluating all psychotherapies.
PMID- 21884077
TI - Multiple family groups for adult cancer survivors and their families: a 1-day
workshop model.
AB - With marked advances in early detection and aggressive multimodality treatment,
many adult cancers are now associated with good prognoses for disease-free
survival. A burgeoning literature examining posttreatment quality-of-life issues
has highlighted the numerous challenges experienced by patients and families in
the aftermath of cancer treatment, further underscoring a need for new family
based psychosocial support interventions for cancer survivors and their families.
This paper describes the clinical protocol for one such intervention, a 1-day
"workshop" version of a multiple family group (MFG) for head and neck cancer
survivors and their families. Data are reported from our experiences in running
five 1-day workshops. Families uniformly reported that they were highly satisfied
with their MFG participation, leading us to conclude that the abbreviated 1-day
MFG model we are advocating is a promising family-focused support intervention
for cancer survivors and their families.
PMID- 21884079
TI - Lessons learned from the landmark "a civil action" trial.
PMID- 21884078
TI - Developing preventive mental health interventions for refugee families in
resettlement.
AB - In refugee resettlement, positive psychosocial outcomes for youth and adults
depend to a great extent on their families. Yet refugee families find few
empirically based services geared toward them. Preventive mental health
interventions that aim to stop, lessen, or delay possible negative individual
mental health and behavioral sequelae through improving family and community
protective resources in resettled refugee families are needed. This paper
describes 8 characteristics that preventive mental health interventions should
address to meet the needs of refugee families, including: Feasibility,
Acceptability, Culturally Tailored, Multilevel, Time Focused, Prosaicness,
Effectiveness, and Adaptability. To address these 8 characteristics in the
complex environment of refugee resettlement requires modifying the process of
developmental research through incorporating innovative mental health services
research strategies, including: resilience framework, community collaboration,
mixed methods with focused ethnography, and the comprehensive dynamic trial. A
preventive intervention development cycle for refugee families is proposed based
on a program of research on refugees and migrants using these services research
strategies. Furthering preventive mental health for refugee families also
requires new policy directives, multisystemic partnerships, and research
training.
PMID- 21884080
TI - Differences in the personality profile of medication-overuse headache sufferers
and drug addict patients: a comparative study using MMPI-2.
AB - BACKGROUND: Medication-overuse headache (MOH) refers to headache attributed to
excessive use of acute medications. The role of personality needs studies to
explain the shifting from drug use to drug abuse. The main aim of this study is
to study personality, according to Minnesota Multiphasic Personality Inventory,
comparing MOH, episodic headache, substance addicts (SA) vs healthy controls.
METHODS: Eighty-two MOH patients (mean age 44.5; 20 M, 62 F) and 35 episodic
headache (mean age 40.2; 8 M, 27 F), were compared to 37 SA (mean age 32.5; 29 M,
8 F) and 37 healthy controls (mean age: 32.49; 20 M, 17 F). International
Classification of Headache Disorders 2nd Edition criteria were employed. Chi
square test, Kruskal-Wallis test, and post hoc comparisons were used for
statistics. RESULTS: MOH patients scored higher on Hypochondriasis, Depression
(only females), Hysteria (only females) (P < .000). MOH did not show higher
scores than episodic headache or healthy controls in dependency scales, while SA
did. CONCLUSION: The data obtained show that MOH and SA do not share common
personality characteristics linked to dependence. Although further studies are
needed to understand if such a difference is related to instrumental
characteristics or to yet undiscovered psychobiological characteristics of MOH
patients; however, we hypothesize that the detected difference may rely on the
fact that drug dependence in the 2 groups is promoted by entirely different
needs: pleasure seeking in the SA group, pain avoidance in the MOH group.
PMID- 21884081
TI - Delayed diagnosis in pediatric headache: an outpatient Italian survey.
AB - OBJECTIVE: The aim of this prospective study is to assess the time lapse between
the onset of recurring headache and the correct diagnosis in a cohort of
pediatric patients attending an Italian children's headache center for the first
time. METHODS: One hundred and one patients and parents, referred to the
Pediatric Headache Centre of San Raffaele Hospital in Milan, Italy, underwent a
semi-structured interview to ascertain features of headache since onset (clinical
and family history, presence of childhood periodic syndromes, previously
undergone instrumental exams and specialists' examinations before the correct
diagnosis, past and current treatment). All patients were evaluated by expert
neurologists and their headache was classified according to the International
Classification of Headache Disorders II (2004). RESULTS: The median time delay
from the onset of the first episode of recurrent headache to definite diagnosis
was 20 months (interquartile range 12 to 36 months). A correlation with younger
age and a more delayed headache diagnosis was found (r Spearman = 0.25; P =
.039). An association between diagnostic delay and positive family history
(median 24 months [12 to 48] vs 12 [6 to 24]; P = .014) or female gender (median
18 months [12 to 42] vs. 12 [5 to 30]; P trend = .070) was also evident. Notably,
76 out of 101 patients referred to our Center received an appropriate diagnosis
according to International Classification of Headache Disorders II at the time of
our visit only. Of note, up to 21% of this group were previously misdiagnosed
(for epilepsy 43%, sinusitis 38%, or other diseases 19%), a fact that contributed
to a longer time of clinical assessment (median 39 months) before reaching a
correct diagnosis. The other group of 80 patients (79%) did not receive a
specific diagnosis and treatment, and were not studied until their symptom became
chronic and disabling. CONCLUSION: Pediatric headache is still under-diagnosed
and not adequately considered as a health problem in the medical community as
well as social settings. There is a need for educational programs regarding
headache involving not only general practitioners, pediatricians, and
neurologists, but also the general population. These are desirable in order to
raise awareness of such a condition and, accordingly, treat children accurately.
PMID- 21884082
TI - Headache due to a large parasitic cyst.
PMID- 21884083
TI - Which patients with headache do not seek medical attention?
AB - OBJECTIVES: To evaluate why patients do not discuss their headaches with their
doctors and to compare these patients with those who seek medical assistance for
headache. METHOD: Cross-sectional study. A total of 200 consecutive patients
attended by family doctors had their complaints registered. Those with headaches
were interviewed. A semi-structured questionnaire, Headache Impact Test and
Hospital Anxiety and Depression Scale were used. RESULTS: Fifty-two percent had
headaches. Ten percent sought medical assistance for headache, 11% already had
received some form of medical assistance for headache. There was no association
between headache disability and seeking a doctor for headache. Patients that did
not seek a doctor for headache had a higher prevalence of tension-type headache
(59.6% vs. 22.1%; P < .01), a lower prevalence of migraine with aura (32.3% vs.
40.5%; P < .01), headache intensity (5.4 vs. 6.8; P = .01) and frequency (4.2 *
7.4 days/month; P < .01). Fifty-two percent of them needed preventive treatment.
Most of them did not seek a doctor because their headaches were mild or received
relief from painkillers. CONCLUSIONS: Patients who did not seek medical
assistance for headache had more tension-type headache, less migraine with aura,
lower headache intensity and frequency, but the same headache disability. Most of
them needed preventive treatment and did not seek doctors because their headache
was mild or received relief from painkillers.
PMID- 21884084
TI - Headache in 25 consecutive patients with atrial septal defects before and after
percutaneous closure--a prospective case series.
AB - In contrast to patent foramen ovale that is highly prevalent in the general
population, atrial septal defect (ASD) is a rare congenital heart defect. The
effect of ASD closure on headache and migraine remains a matter of controversy.
The objectives of our study were (1) to determine headache prevalence in
consecutive patients with ASD scheduled for percutaneous closure for cardiologic
indications, using the International Classification of Headache Disorders and (2)
to compare headache characteristics before and after closure of ASD. In this
observational case series no a priori power analysis was performed. Twenty-five
consecutive patients were prospectively included over 27 months. Median duration
of follow-up was 12 months [interquartile range 0]. Prevalence of active headache
seemed to be higher compared with the general population: any headaches 88% (95%
confidence interval 70-96), migraine without aura 28% (14-48), migraine with aura
16% (6-35). After ASD closure, we observed a slightly lower headache frequency
(median frequency 1.0 [2.6] vs. 0.3 [1.5] headaches per month; P = .067). In
patients with ongoing headaches, a significant decrease in headache intensity
(median VAS 7 [3] vs. 5 [4]; P = .036) was reported. Three patients reporting
migraine with aura before the intervention noted no migraine with aura attacks at
follow-up, 2 of them reported ongoing tension-type headache, 1 migraine without
aura. In summary, this prospective observational study confirms the high
prevalence of headache, particularly migraine, in ASD patients and suggests a
possible small beneficial effect of ASD closure.
PMID- 21884087
TI - Tolerance to the beneficial effects of prophylactic migraine drugs: a systematic
review of causes and mechanisms.
AB - Loss of benefit of a previously effective treatment regimen, also known as
tolerance, can be an important barrier to the successful preventive treatment of
migraine. We undertook a systematic review of the literature to identify the
prevalence and possible mechanisms of drug tolerance in migraine prophylaxis.
Results demonstrate that the frequency of tolerance to prophylactic migraine
treatment is unknown, but available data support an estimate that it occurs in 1
8% of patients receiving prophylaxis. Four broad types of tolerance were
identified that are likely to be relevant to migraine prophylaxis. These are
pharmacokinetic, pharmacodynamic, behavioral, and cross tolerance. The mechanisms
that underlie these types of tolerance determine whether their effects can be
overcome or minimized. For example, certain forms of tolerance may be affected by
manipulation of environmental cues associated with drug administration, by the
order in which drugs are used, and by the concomitant use of other medications.
Many medications used for migraine prophylaxis exert their effects through the
endogenous opioid system. The implications of this finding are explored,
particularly the parallels between medication overuse headache and tolerance to
migraine prophylaxis. Given the many ways in which tolerance to migraine
medications may develop, in some ways it is not surprising that migraine
preventive drugs stop working; it is more surprising that in many cases they do
not.
PMID- 21884088
TI - Tolerance and loss of beneficial effect during migraine prophylaxis: clinical
considerations.
AB - A familiar situation in migraine treatment is the patient with an initial
positive response to prophylactic drug therapy who later experiences relapse. The
goals of this paper are to provide a theoretical framework to help doctors think
about this problem, to evaluate factors and response patterns that may be
associated with different causes of relapse, and to suggest clinical strategies
that may aid in its management. Six key explanations for loss of benefit from
prophylactic therapy are: (1) pharmacokinetic, pharmacodynamic, and behavioral
drug tolerance; (2) non-specific or placebo effects; (3) natural variability in
disease activity; (4) disease progression; (5) inaccurate recall of treatment
effects; and (6) drug delivery problems. Current options for patients who
experience loss of benefit from prophylactic therapy include traditional
techniques such as switching, re-trying, rotating, or combining drugs. Selected
behavioral and environmental treatment techniques might also be useful. We
describe a practical, structured approach to evaluation and management of relapse
with migraine prophylaxis.
PMID- 21884089
TI - Tolerance to headache medications.
PMID- 21884090
TI - Herbal medicinal treatment options for headache and migraine.
PMID- 21884093
TI - Chronos in synchronicity: manifestations of the psychoid reality.
AB - Jung's most obvious time-related concept is synchronicity. Yet, even though
'time' is embedded in it (chronos) there has been no systematic treatment of the
time factor. Jung himself avoided dealing explicitly with the concept of time in
synchronicity, in spite of its temporal assumptions and implications. In this
paper the role of time in synchronicity is examined afresh, locating it in the
context of meaning and relating it to the psychoid archetype. Synchronicity is
viewed as an expression of the psychoid; the vital parameter for the elucidation
of this link appears to be time. The author argues that the psychoid rests on
relative time which Jung deemed transcendent. The existence of two different uses
of the word 'time' in Jung's opus are emphasized: fixed time that dominates
consciousness and relative time that exists in the psyche at large. Since
consciousness cannot grasp the psychoid's temporality it de-relativizes time;
examples of this 'behaviour' of time can be observed in instances of
synchronicity. It is thus argued that synchronicity demonstrates by analogy the
nature of the psychoid archetype. Jung's quaternio, as it developed via his
communication with Pauli, is also examined in light of the above presented 'time
theory'.
PMID- 21884094
TI - Synchronicity and the meaning-making psyche.
AB - This paper contrasts Jung's account of synchronicity as evidence of an objective
principle of meaning in Nature with a view that emphasizes human meaning-making.
All synchronicities generate indicative signs but only where this becomes a
'living symbol' of a transcendent intentionality at work in a living universe
does synchronicity generate the kind of symbolic meaning that led Jung to posit
the existence of a Universal Mind. This is regarded as a form of personal,
experiential knowledge belonging to the 'imaginal world of meaning'
characteristic of the 'primordial mind', as opposed to the 'rational world of
knowledge' in which Jung attempted to present his experiences as if they were
empirically and publicly verifiable. Whereas rational knowledge depends on a form
of meaning in which causal chains and logical links are paramount, imaginal
meaning is generated by forms of congruent correspondence-a feature that
synchronicity shares with metaphor and symbol-and the creation of narratives by
means of retroactive organization of its constituent elements.
PMID- 21884095
TI - Un-thought out metaphysics in analytical psychology: a critique of Jung's
epistemological basis for psychic reality.
AB - The author investigates the relation of Kant, Schopenhauer and Heidegger to
Jung's attempts to formulate theory regarding the epistemological conundrum of
what can and what cannot be known and what must remain uncertain. Jung's
ambivalent use and misuse of Kant's division of the world into phenomenal and
noumenal realms is highlighted in discussion of concepts such as the psychoid
archetype which he called 'esse in anima' and his use of Schopenhauer's concept
of 'will' to justify a transcendence of the psyche/soma divide in a postulation
of a 'psychoid' realm. Finally, the author describes Jung's reaction to
Heidegger's theories via his assertion that Heidegger's 'pre-given world design'
was an alternate formulation of his concept of the archetypes. An underlying
theme of the paper is a critique of Jung's foundationalism which perpetuates the
myth of an isolated mind. This model of understanding subjectivity is briefly
contrasted with Heidegger's 'fundamental ontology' which focuses on a non
Cartesian 'understanding' of the 'presencing of being' in everyday social and
historical contexts.
PMID- 21884096
TI - Jung's equation of the ground of being with the ground of psyche.
AB - The paper amplifies Jung's psychology of ground associated with the culmination
of the alchemical process in the unus mundus. It argues that Jung and Dorn
identify the experience of the ground with the experience of divinity as the
common originary source of individual and totality. It notes the monistic and
pantheistic implications of the experience and goes on to amplify the experience
through Eckhart's mediaeval mysticism of ground and Paul Tillich's modern
philosophical/theological understanding of ground. It concludes that the
Jung/Dorn psychological understanding of ground supersedes monotheistic
consciousness. Their vision supports the emergence of a societal myth based on
the identification of the ground as the source of all divinities and faith in
them. This source currently urges a mythic consciousness that would surpass its
past and current concretions and so alleviate the threat that monotheistic
consciousness in any domain now poses to human survival.
PMID- 21884097
TI - The interiorizing movement of logical life: reflections on Wolfgang Giegerich.
AB - The following article is an account of my discovery of and subsequent immersion
in Wolfgang Giegerich's work. A sampling of his voluminous writings on the soul
is set out to illustrate how he attempts to penetrate thinkingly into
psychological phenomena and his claim that this inevitably brings out their
internal dialectic. The article summarizes his critiques of Jung, Hillman, and
The Red Book: Liber Novus.
PMID- 21884100
TI - Whole genome duplication: challenges and considerations associated with sequence
orthology assignment in Salmoninae.
AB - To illustrate some of the challenges and considerations in assigning correct
orthology necessary for any comparative genomic investigation among salmonids,
sequence data from the non-coding regions of different chromosomes in three
members of the subfamily Salmoninae, rainbow trout Oncorhynchus mykiss, Atlantic
salmon Salmo salar and Arctic charr Salvelinus alpinus, were compared. By
analysing c. 55 distinct loci, corresponding to c. 142 kbp sequence information
per species, 18 duplicated patterns representative of the two sequential rounds
of teleost-specific whole genome duplications (i.e. 3R and 4R WGD) were
identified. Sequence similarities between the 4R paralogues were c. 90%, which
was slightly lower than those of the 4R orthologues and c. 60% for the 3R
products. Through careful examination of the sequence data, however, only 14 loci
could reliably be assigned as true orthologues. Locus-specific trees were
constructed through maximum parsimony, maximum likelihood and neighbour-joining
methods and were rooted using the information from a close relative, lake
whitefish Coregonus clupeaformis. All approaches generated congruent trees
supporting the {Coregonus [Salmo (Oncorhynchus, Salvelinus)]} topology. The
general phenotypic characteristics of sequences, however, were highly suggestive
of the basal position of Oncorhynchus, raising the hypothesis of an accelerated
rate of nucleotide evolution in this species.
PMID- 21884101
TI - Stressed mothers - troubled offspring: a study of behavioural maternal effects in
farmed Salmo salar.
AB - Mature female Atlantic salmon Salmo salar were given intraperitoneal cortisol
implants 1 week prior to stripping to examine the influence of simulated maternal
stress on offspring boldness and social dominance. Behavioural tests originally
designed to investigate stress responsiveness and coping styles in salmonids
(i.e. feeding in isolation, dominance tests and acute confinement) were carried
out on the offspring 1.5 years after hatching. In the feeding test, there were no
differences between the two treatment groups in total feeding score or number of
pellets eaten, but offspring from the cortisol-implanted females made more
unsuccessful feeding attempts than offspring from control females. In dominance
tests, there was no difference between controls and cortisol-treated fish
regarding propensity to become socially dominant. A higher proportion of
individuals with bite marks, however, was observed in the cortisol group when
compared to controls. Cortisol-treated offspring that gained dominant rank in the
dominance tests performed more aggressive acts after stable dominance-subordinate
relationships were established compared to control winners. During acute
confinement stress, offspring from cortisol-implanted females showed a reduction
in the proportion of time they were moving compared to the controls. These
results indicate that the maternal endocrine state at spawning affects several
aspects of progeny behaviour potentially related to subsequent success and
survival in farmed S. salar.
PMID- 21884102
TI - Implantation of cocoa butter reduces egg and hatchling size in Salmo trutta.
AB - This study demonstrated that, irrespective of hormone type or dose, administering
cocoa butter implants during egg development affected the growth of female brown
trout Salmo trutta and reduced the size of their offspring. Cortisol treatment
also increased adult mortality. Caution is urged in the use of implants for
studies of maternal hormonal influences on adult fishes and their offspring.
PMID- 21884103
TI - Ontogenetic changes in location and morphology of chloride cells during early
life stages of the Nile tilapia Oreochromis niloticus adapted to fresh and
brackish water.
AB - Ontogenetic changes in the location, size, density and morphology of chloride
cells in the Nile tilapia Oreochromis niloticus adapted to fresh and brackish
water are described using Na(+) /K(+) -ATPase immunohistochemistry, light
microscopy (LM), scanning electron microscopy (SEM) and confocal scanning laser
microscopy (CSLM). The pattern of chloride cell distribution changed during
development under both treatments, with chloride cell density decreasing
significantly from hatch to 7 days post-hatch, but appearing on the inner
opercular area at 3 days post-hatch and increasing significantly thereafter (P <
0.05). Chloride cells were always denser in fresh- than in brackish-water larvae.
In both treatments, chloride cells located on the outer operculum and tail showed
a marked increase in size with age, but cells located on the abdominal epithelium
of the yolk sac and the inner operculum showed a significant decrease in size (P
< 0.05). Chloride cells from brackish-water adapted larvae from 1 day post-hatch
onwards were always significantly larger (P < 0.05) than those from freshwater
adapted larvae. SEM revealed structural differences in chloride cell apical
morphology according to environmental conditions. There appears to be clearly
defined temporal staging of the appearance of adaptive mechanisms that confer an
ability to cope with varying environmental conditions during early development.
PMID- 21884104
TI - Reproductive biology and implications for management of the painted sweetlips
Diagramma pictum in the southern Arabian Gulf.
AB - The reproductive biology of the painted sweetlips Diagramma pictum was determined
from 487 individuals collected between January and December 2010 in the southern
Arabian Gulf. There was no evidence of sex change and the combination of
histological results with the sex composition of the size and age structures
indicated a gonochoristic sexual pattern. There were peaks in gonado-somatic
indices for females in March and October with spawning occurring during two
seasons (April to May and November). The mean size and age at sexual maturity
(L(m50) and A(m50) ) were 35.7 cm fork length (L(F) ) and 2.9 years for females
and 26.7 cm L(F) and 0.5 years for males. The maximum recorded age (11 years) and
small mean size and young age at sexual maturity for males may be a direct result
of intensive demersal fishing in the southern Arabian Gulf. There was an
exponential increase in the cumulative reproductive potential with size and a
linear increase with age for both sexes. The mean L(F) (L(c50) ) at which D.
pictum became vulnerable to capture was 33.3 cm, which corresponded to only 3 and
7% of the cumulative reproductive potential of males and females, respectively.
Size-specific and age-specific reproductive potential indicated that conventional
regulations that equate the mean size at first capture to sexual maturation are
unsuitable for the management of D. pictum.
PMID- 21884105
TI - Genetic population structure of grey mackerel Scomberomorus semifasciatus in
northern Australia.
AB - This study used mtDNA sequence and microsatellite markers to elucidate the
population structure of Scomberomorus semifasciatus collected from 12 widespread
sampling locations in Australia. Samples (n = 544) were genotyped with nine
microsatellite loci, and 353 were sequenced for the control (384 bp) and ATPase
(800 bp) mtDNA gene regions. Combined interpretation of microsatellite and mtDNA
data identified four genetic stocks of S. semifasciatus: Western Australia, north
west coast of the Northern Territory, Gulf of Carpentaria and the eastern coast
of Queensland. Connectivity among stocks across northern Australia from the
Northern Territory to the eastern coast of Queensland was high (mean F(ST) =
0.003 for the microsatellite data and Phi(ST) = 0.033 and 0.009 for control
region and ATPase, respectively) leading to some uncertainty about stock
boundaries. In contrast, there was a clear genetic break between the stock in
Western Australia compared to the rest of northern Australia (mean F(ST) = 0.132
for the microsatellite data and Phi(ST) = 0.135 and 0.188 for control region and
ATPase, respectively). This indicates a restriction to gene flow possibly
associated with suboptimal habitat along the Kimberley coast (north Western
Australia). The appropriate scale of management for this species corresponds to
the jurisdictions of the three Australian states, except that authorities in
Queensland and Northern Territory should co-ordinate the management of the Gulf
of Carpentaria stock.
PMID- 21884106
TI - Implications of the divergent use of a suite of estuaries by two exploited marine
fish species.
AB - Biological characteristics of the marine species King George whiting Sillaginodes
punctatus and Australian herring Arripis georgianus in three seasonally open
estuaries (Broke, Irwin and Wilson Inlets), one permanently open estuary (Oyster
Harbour) and one normally closed estuary (Wellstead Estuary) on the south coast
of Western Australia have been determined and compared. Sillaginodes punctatus
enters the seasonally and permanently open estuaries early in life and reaches
total lengths (L(T)) >280 mm at which it can be legally retained and thus
contributes to commercial and recreational fisheries in these systems. This
sillaginid almost invariably emigrates from these estuaries before reaching its
typical size at maturity (L(T50)) and does not return after spawning in marine
waters. In contrast, virtually all female A. georgianus (>= 98%) in the three
seasonally open estuaries and the majority in the normally closed (89.5%) and
permanently open estuaries (83%) exceeded the L(T50) of this species at maturity,
reflecting the fact that the nursery areas of this species are predominantly
located much further to the east. Although adult females of A. georgianus in
seasonally open and normally closed estuaries had developed mature ovaries by
autumn, at which time they were prevented from migrating to the sea by closure of
the estuary mouths, this species did not spawn in those estuaries. The oocytes in
their ovaries were undergoing extensive atresia, a process that had been
incipient prior to oocyte maturation. As the adult females of A. georgianus in
the permanently open Oyster Harbour at this time all possessed resting gonads,
i.e. their oocytes were all previtellogenic, the adults that were present in that
estuary earlier and were destined to spawn in autumn must have emigrated from
that permanently open estuary to their marine spawning areas prior to the onset
of gonadal recrudescence. The body masses at length of A. georgianus, which were
almost invariably higher in summer and autumn than in winter and spring, were
greater in the very productive environments of the seasonally open and normally
closed estuaries than in the less productive and essentially marine environment
of Oyster Harbour and coastal marine waters. In general, the same pattern of
differences between water bodies was exhibited by the growth of A. georgianus and
by the more restricted data for body mass at L(T) and growth of S. punctatus.
Despite an increase in anthropogenic activities in Wilson Inlet over the last two
decades, the growth of both species was very similar to that recorded 20 years
earlier. The fisheries implications of the results for the two species are
discussed.
PMID- 21884107
TI - Stable-isotope analyses reveal the importance of seagrass beds as feeding areas
for juveniles of the speckled worm eel Myrophis punctatus (Teleostei:
Ophichthidae) in Florida.
AB - The feeding habits and habitats of the speckled worm eel Myrophis punctatus were
studied on the mangrove edge of the Indian River Lagoon (IRL, Florida) using gut
content and stable-isotope analyses of carbon (delta(13) C) and nitrogen
(delta(15) N). Four taxa were identified through analyses of gut contents, and
the index of relative importance suggested that amphipods, microphytobenthos and
annelids are the most important food sources in the fish's diet. To assess the
feeding habits of the fish after their recruitment to the IRL, these food sources
were collected from mangroves and nearby seagrass beds for isotope analyses.
Stable isotopes constituted a powerful tool for discriminating fish prey items
from mangroves (mean +/- s.d.delta(13) C = -20.5 +/- 0.60/00) and those from
seagrass beds (mean +/- s.d.delta(13) C = -16.9 +/- 0.60/00), thus providing good
evidence of food source origins. The 56 M. punctatus collected [10.0 < total
length (L(T) ) < 16.2 cm] had average isotopic signatures of delta(13) C = -16.7
+/- 0.20/00 and delta(15) N = 8.2 +/- 0.10/00. A significant depletion in (13) C
was observed for larger juveniles (15.0 < L(T) < 16.2 cm), suggesting that they
found a portion of their food in mangroves. Estimation of the trophic level from
stable isotopes (T(Liso)) was similar among different size groups of juvenile
fish (T(Liso) = 3.2-3.5); therefore, M. punctatus was considered a secondary
consumer, which is consistent with its zoobenthic diet. The concentration
dependent mixing Stable Isotope Analysis in R (SIAR) model revealed the
importance of food sources from seagrass beds as carbon sources for all the fish
collected, with a significant increase in mangrove prey contributions, such as
annelids, in the diet of larger juveniles. This study highlights the importance
of seagrass beds as feeding habitats for juveniles of M. punctatus after their
recruitment to coastal waters.
PMID- 21884108
TI - Behavioural and physiological responses of brook trout Salvelinus fontinalis to
midwinter flow reduction in a small ice-free mountain stream.
AB - This study presents an experimental analysis of the effects of midwinter flow
reduction (50-75%, reduction in discharge in 4 h daily pulses) on the physical
habitat and on behaviour and physiology of overwintering brook trout Salvelinus
fontinalis in a small mountain stream. Flow reduction did not result in
significant lowering of temperature or formation of surface or subsurface ice.
The main findings were (1) daily movement by S. fontinalis increased (c. 2.5
fold) during flow reduction, but was limited to small-scale relocations (<10 m).
(2) Undercut banks were the preferred habitat and availability of these habitats
was reduced during flow reduction. (3) Although both experimental and reference
fish did lose mass and condition during the experiment, no effects of flow
reduction on stress indicators (blood cortisol or glucose) or bioenergetics
(total body fat, water content or mass loss) were detected, probably because
access to the preferred type of cover remained available. Like other salmonids,
S. fontinalis moves little and seeks physical cover during winter. Unlike many of
the more studied salmonids, however, this species overwinters successfully in
small groundwater-rich streams that often remain ice-free, and this study
identifies undercut banks as the critical winter habitat rather than substratum
cover.
PMID- 21884109
TI - An invasion record for the swimbladder nematode Anguillicoloides crassus in
European eel Anguilla anguilla in a deep warm-monomictic [corrected] lake, from
invasion to steady state.
AB - This study is the first account of the establishment and development of the
neozoic nematode parasite Anguillicoloides crassus in its host, the European eel
Anguilla anguilla, in a deep, warm-monomictic [corrected] lake. A 21 year study
of A. crassus took place in Upper Lake Constance (ULC), Europe's second largest
pre-alpine lake. The study included two extensive surveys, one in 1991 during the
initial parasite invasion phase and the second in 2006 when the infection was
well established. The subtropical swimbladder nematode A. crassus was first
recorded in A. anguilla in ULC in 1989. Prevalence reached 60% in 1992 and
remained at this level until 2007. In 2008, prevalence decreased to 48%.
Infection intensity peaked in 1993 at a mean value of 16 adult parasites per host
fish. Around 90% of all A. anguilla examined displayed swimbladder lesions, with
a significant trend to increasing severity over time. Moreover, heavy swimbladder
lesions were seen in c. 10% of A. anguilla ready to migrate to their spawning
habitat. Both ruffe Gymnocephalus cernuus and sunfish Lepomis gibbosus serve as
paratenic hosts for A. crassus in ULC. Gymnocephalus cernuus seems to be the main
vector, and infection is especially frequent in spring possibly caused by reduced
immune system efficacy of G. cernuus during winter. In 1991, hypochromic anaemia
was prevalent in ULC A. anguilla acutely infected with A. crassus, whereas in
2006 blood values were indicative of chronic infection. The growth and survival
rates of A. anguilla during their continental phase were not noticeably altered
in infected fish, but damage to the swimbladder probably impairs migration
potential and thus the subsequent breeding success of the oceanic phase.
PMID- 21884110
TI - Molecular cloning, functional characterization and phylogenetic analysis of TRAIL
in Japanese pufferfish Takifugu rubripes.
AB - In this study, the complementary DNA (cDNA) of Japanese pufferfish Takifugu
rubripes tumour necrosis factor-related apoptosis-inducing ligand (TRAIL) was
cloned by reverse-transcription PCR. The open reading frame of the TRAIL
consisted of 870 bases. The deduced amino-acid sequence of the TRAIL showed a
high homology with the sequences of other teleosts. Recombinant soluble TRAIL was
fused with a small ubiquitin-related modifier gene to enhance the soluble
expression level in Escherichia coli BL21 (DE3). In vitro, the 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyl tetrasodium bromide (MTT) assay indicated that
the purified soluble TRAIL was able to induce apoptosis of Jurkat and HeLa cells
in a dose-dependent manner.
PMID- 21884111
TI - An assessment of the size structure, distribution and behaviour of fish
populations within a temporarily closed estuary using dual frequency
identification sonar (DIDSON).
AB - The fish populations within the East Kleinemonde Estuary, South Africa, were
studied using Dual frequency IDentification SONar (DIDSON) during March 2010
which allowed for direct comparisons of the abundance, distribution and behaviour
of a wide size range of fishes along the length of the system. Small schooling
fishes <100 mm in total length (L(T) ) were most abundant while larger size
classes 100-300 and 300-500 mm were less abundant, but evenly distributed
longitudinally within the estuary. Fishes <100 mm were most abundant within the
mouth region which may reflect the feeding ecology of species that fall into
these size classes and the effects of higher turbidities further up the estuary.
Large fishes >500 mm were similarly most abundant near the mouth, and fishes of
this size being mostly piscivorous, probably showed these distribution patterns
due to the location of smaller prey fishes. Differential behaviour was observed
among the longitudinal sections, with small fishes forming schools in areas of
low turbidity and particularly in the presence of large predatory fishes. Results
from this study present a snap-shot of the composition, distribution and
behaviour of the ichthyofauna within a small temporarily closed estuary, and also
show that abiotic factors and interactions of various size classes can influence
their distribution. There is significant potential for DIDSON in future
ecological research within these systems.
PMID- 21884112
TI - Shyness and boldness differences across contexts in juvenile three-spined
stickleback Gasterosteus aculeatus from an anadromous population.
AB - To examine if a behavioural syndrome is present in juvenile three-spined
stickleback Gasterosteus aculeatus from anadromous populations, individuals were
repeatedly exposed to a variety of conditions to assess behavioural consistency
and determine if a shyness-boldness syndrome occurred. The degree of exploration
in a novel environment was positively correlated with boldness in all other test
conditions. Activity level in all contexts, foraging, predator inspection and
shoaling were found to be repeatable while other behaviours such as latency to
respond appeared more plastic. Both similarities and differences were found for
behavioural correlations within and across contexts when compared to prior
studies on freshwater populations of G. aculeatus. This suggests that selection
pressures may vary across ontogeny and environments.
PMID- 21884113
TI - Temperature dependence of cardiac sarcoplasmic reticulum Ca2+-ATPase from rainbow
trout Oncorhynchus mykiss.
AB - In this work, the temperature dependence of the sarco-endoplasmic reticulum
Ca(2+) -ATPase (SERCA2) activity from rainbow trout Oncorhynchus mykiss cardiac
ventricles was measured and compared with the mammalian SERCA2 isoform. The rate
of ATP-dependent Ca(2+) transport catalysed by O. mykiss vesicles was totally
abolished by thapsigargin and the Ca(2+) ionophore A(23187) . At warm
temperatures (25 and 30 degrees C), the SERCA2 from O. mykiss ventricles
displayed the same rate of Ca(2+) uptake. At 35 degrees C, the activity of the
O. mykiss enzyme decreased after 20 min of reaction time. The rate of Ca(2+)
uptake catalysed by the mammalian SERCA2 was temperature dependent exhibiting its
maximal activity at 35 degrees C. In contrast to the rate of Ca(2+) uptake, the
rate of ATP hydrolysis catalysed by O. mykiss SERCA2 was not significantly
different at 25 and 35 degrees C, but the rate of ATP hydrolysis catalysed by
the rat Rattus norvegicus SERCA2 isoform at 35 degrees C was two-fold higher
than at 25 degrees C. At low temperatures (5 to 20 degrees C), the rate of
Ca(2+) uptake from O. mykiss SR was less temperature dependent than the R.
norvegicus isoform, being able to sustain a high activity even at 5 degrees C.
The mean +/-s.e. Q(10) values calculated from 25 to 35 degrees C for ATP
hydrolysis were 1.112 +/- 0.026 (n = 3) and 2.759 +/- 0.240 (n = 5) for O. mykiss
and R. norvegicus, respectively. Taken together, the results show that the O.
mykiss SERCA2 was not temperature dependent over the 10 to 25 degrees C
temperature interval commonly experienced by the animal in vivo. The Q(10) value
of SERCA2 was significantly lower in O. mykiss than R. norvegicus which may be
key for cardiac function over the wide environmental temperatures experienced in
this eurythermal fish.
PMID- 21884114
TI - A quick, least-invasive, inexpensive and reliable method for sampling Gadus
morhua postlarvae for genetic analysis.
AB - The present study describes the successful design and testing of a quick, least
invasive, reliable and inexpensive sampling procedure for Atlantic cod Gadus
morhua. This protocol can be easily applied to postlarval fish following a simple
three-step procedure, without availing of commercial DNA extraction kits, while
ensuring survival of sampled individuals.
PMID- 21884115
TI - First record and further description of the Cape hagfish Myxine
capensis(Myxinidae) off Mozambique, western Indian Ocean.
AB - The first record of the Cape hagfish Myxine capensis in Mozambican waters is
reported based on a single 356 mm total length specimen taken by bottom trawl off
Maputo, 25 degrees 52.9' S; 34 degrees 42.7' E - 25 degrees 54.1' S; 34
degrees 41.0' E, at depths from 557 to 564 m. This account also represents the
northernmost record of a hagfish in the western Indian Ocean. Morphometric and
meristic data are provided and compared with those of 27 specimens (including the
holotype) caught off Namibia and South Africa.
PMID- 21884116
TI - Non-lethal measurement of pectoral fin aspect ratio in coral-reef fishes.
AB - This study describes a novel method for measuring pectoral fin aspect ratio (AR)
on live coral-reef fishes and tests the method against traditional measurements
taken from a dissected fin. No significant differences were detected among
repeated fin measurements, which validates the accuracy (intact v. dissected) and
precision (repeatability over several days) of fin AR measurements on live
fishes. One exception highlighted issues that may arise when working with species
prone to fin damage.
PMID- 21884118
TI - The forensic sciences: international perspectives, global vision.
PMID- 21884119
TI - Forensic identification science evidence since Daubert: Part I--A quantitative
analysis of the exclusion of forensic identification science evidence.
AB - The U.S. Supreme Court decisions in Daubert v. Merrell Dow Pharmaceuticals Inc.
and Kumho Tire Co. Ltd. v. Carmichael transformed the way scientific expert
evidence was reviewed in courts across the United States. To gauge the impact of
these rulings on the admission of forensic identification evidence, the authors
analyzed 548 judicial opinions from cases where admission of such evidence was
challenged. Eighty-one cases (15%) involved exclusion or limitation of
identification evidence, with 50 (65.7%) of these failing to meet the
"reliability" threshold. This was largely because of a failure to demonstrate a
sufficient scientific foundation for either the technique (27 cases) or the
expert's conclusions (17 cases). The incidence of exclusion/limitation because of
a lack of demonstrable reliability suggests that there is a continuing need for
the forensic sciences to pursue research validating their underlying theories and
techniques of identification to ensure their continued acceptance by the courts.
PMID- 21884122
TI - Letter to the editor--Guns and homicides in the United States.
PMID- 21884120
TI - Cannabis profiling based on its elemental composition--is it possible?
AB - Elemental composition of 85 cannabis samples was established using GF AAS and ICP
OES methods. The robustness of the method was determined by analyzing eight
independently prepared replicates from a single cannabis plant. The accuracy of
the method was established by analyzing four plant certified reference material
samples. The ability of discriminant analysis using elemental compositions to
distinguish between fiber cannabis samples collected from four different regions
of Poland was evaluated. Then, a classification model was developed that
correctly classified selected samples of known origin. Cannabis samples
confiscated by law enforcement agencies have also been subjected to discriminant
analysis. A classification model has been developed for four locations in Poland
(Bialystok, Koscierzyna, the environs of Skarzysko Kamienna, and Bydgoszcz), to
help determine where samples of unknown origin could have been grown.
PMID- 21884123
TI - Commentary on the so-called Spontaneous Human Combustion phenomenon.
PMID- 21884125
TI - Commentary on: Shields LB, Rolf CM, Davis GJ, Hunsaker JC 3rd. Sudden and
unexpected death in three cases of Ehlers-Danlos syndrome type IV. J Forensic Sci
2010;55(6):1641-5.
PMID- 21884131
TI - Effects of dietary macronutrient distribution on vascular integrity in obesity
and metabolic syndrome.
AB - Metabolic syndrome is a condition characterized by a clustering of risk factors
for cardiovascular disease. Emerging data suggest vascular integrity is disrupted
in metabolic syndrome. Vascular integrity may be determined using several
measurements, including pulse wave velocity, augmentation index, and flow
mediated dilation. Arterial stiffness has become an important clinical indicator
of cardiovascular disease risk. Several circulating inflammatory peptides also
impact vascular integrity. The present review examines the efficacy of
nutritional interventions aimed at improving vascular integrity and reducing
levels of associated inflammatory peptides in individuals with metabolic
syndrome, with a specific focus on the effect of dietary macronutrient
redistribution on these factors.
PMID- 21884132
TI - Prevalence of malnutrition in Parkinson's disease: a systematic review.
AB - Parkinson's disease (PD) patients may be at higher risk of malnutrition because
of the symptoms associated with the disease and the side effects of the
medication used to manage it. A decline in nutritional status is associated with
many adverse outcomes related to health and quality of life. It is not clear,
however, to what extent this population is currently affected by malnutrition.
The objective of this review was to systematically assess the methodology and
outcomes of studies reporting the prevalence of malnutrition in PD patients.
Studies that attempted to classify participants with PD into nutritional risk
and/or malnutrition categories using body mass index, weight change,
anthropometric measures, and nutritional screening and assessment scores were
included. The prevalence of malnutrition ranged from 0% to 24% in PD patients,
while 3-60% of PD patients were reported to be at risk of malnutrition. There was
a large degree of variation among studies in the methods chosen, the definition
of malnutrition using those methods, and the detail in which the methodological
protocols were reported. The true extent of malnutrition in the PD population has
yet to be accurately quantified. It is important, however, to screen for
malnutrition at the time of PD diagnosis.
PMID- 21884130
TI - Balancing the benefits of n-3 polyunsaturated fatty acids and the risks of
methylmercury exposure from fish consumption.
AB - Fish and shellfish are widely available foods that provide important nutrients,
particularly n-3 polyunsaturated fatty acids (n-3 PUFAs), to many populations
globally. These nutrients, especially docosahexaenoic acid, confer benefits to
brain and visual system development in infants and reduce risks of certain forms
of heart disease in adults. However, fish and shellfish can also be a major
source of methylmercury (MeHg), a known neurotoxicant that is particularly
harmful to fetal brain development. This review documents the latest knowledge on
the risks and benefits of seafood consumption for perinatal development of
infants. It is possible to choose fish species that are both high in n-3 PUFAs
and low in MeHg. A framework for providing dietary advice for women of
childbearing age on how to maximize the dietary intake of n-3 PUFAs while
minimizing MeHg exposures is suggested.
PMID- 21884133
TI - Economic analysis of nutrition interventions for chronic disease prevention:
methods, research, and policy.
AB - Increased interest in the potential societal benefit of incorporating health
economics as a part of clinical translational science, particularly nutrition
interventions, led the Office of Dietary Supplements at the National Institutes
of Health to sponsor a conference to address key questions about the economic
analysis of nutrition interventions to enhance communication among health
economic methodologists, researchers, reimbursement policy makers, and
regulators. Issues discussed included the state of the science, such as what
health economic methods are currently used to judge the burden of illness,
interventions, or healthcare policies, and what new research methodologies are
available or needed to address knowledge and methodological gaps or barriers.
Research applications included existing evidence-based health economic research
activities in nutrition that are ongoing or planned at federal agencies.
International and US regulatory, policy, and clinical practice perspectives
included a discussion of how research results can help regulators and policy
makers within government make nutrition policy decisions, and how economics
affects clinical guideline development.
PMID- 21884134
TI - Supplemental dietary leucine and the skeletal muscle anabolic response to
essential amino acids.
AB - Skeletal muscle protein synthesis (MPS) is regulated by a number of dietary
factors, to include essential amino acids (EAAs). Leucine, a branched-chain amino
acid, has been identified as a stimulator of MPS in many cell culture and animal
studies. However, whether supplemental leucine exerts a unique stimulatory
effect, as compared to other EAAs, on muscle anabolism in humans has not been
clearly demonstrated. A recent study found no improvement in resting MPS in
adults who consumed a 10 g EAA supplement providing added leucine (3.5 g leucine)
when compared to a control 10 g EAA supplement (1.8 g leucine). These findings
suggest that added leucine is unnecessary for the stimulation of MPS when
sufficient EAAs are provided; however, the study of supplemental leucine during
conditions such as endurance exercise, caloric deprivation, and ageing may be
warranted.
PMID- 21884135
TI - Nutrition updates.
PMID- 21884136
TI - Photochemistry and Photobiology. Editorial.
PMID- 21884137
TI - Guest editorial.
PMID- 21884138
TI - The treatment of oral cancer: an overview for dental professionals.
AB - Oral cancer is a serious life-threatening disease. Dental professionals may be
the first individuals to identify/suspect these lesions before referring to oral
and maxillofacial surgeons and oral medicine specialists. Because the general
dentist will likely follow on with the patient's future oral health, it is
important that he or she has a basic understanding of the various treatments
involved in treating oral malignancies and their respective outcomes. The four
main modalities discussed in this review include surgery alone, radiotherapy
alone, surgery with radiotherapy, and chemotherapy with or without surgery and
radiotherapy. Chemotherapy has become an area of great interest with the
introduction of new 'targeted therapies' demonstrating promising results in
conjunction with surgery. Despite these results, the toxicities associated with
chemotherapy regimens are frequent and can be severe, and therefore may not be
suitable for all patients. Treatment modalities have improved significantly over
the decades with overall decreases in recurrence rates, improved disease-free and
overall survival, and an improved quality of life. Prognosis, however, is still
ultimately dependent on the clinical stage of the tumour at the initial diagnosis
with respect to size, depth, extent, and metastasis as recurrence rates and
survival rates plummet with disease progression.
PMID- 21884139
TI - Oral cancer and oral erythroplakia: an update and implication for clinicians.
AB - Despite recent advances in therapy and treatment for oral cancer, survival rates
are still low. It is generally accepted that oral cancer may arise from
potentially premalignant disorders. Oral erythroplakia has been identified as the
one with the highest malignant transformation rates. The aim of this review was
to provide detailed information on oral cancer and oral erythroplakia. Few data
are available on oral erythroplakia and there is an urgent need for randomized
controlled trials. Early detection and diagnosis is still the key to survival
rates. Dentists and physicians may play an important role in the detection of
premalignant lesions and therefore improve patients' outcome.
PMID- 21884141
TI - Diving dentistry: a review of the dental implications of scuba diving.
AB - In light of the overwhelming popularity of self-contained underwater breathing
apparatus (SCUBA) diving, general dental practitioners should be prepared to
address complications arising as a result of diving and to provide patients with
accurate information. The aim of this article was to introduce the concepts of
diving medicine and dentistry to the dentist, and to supply the dental
practitioner with some diagnostic tools as well as treatment guidelines. The
literature was reviewed to address diving barotrauma (pressure-induced injury
related to an air space) to the head, face and oral regions, as well as scuba
mouthpiece-related oral conditions. The relevant conditions for dentists who
treat divers include diving-associated headache (migraine, tension-type
headache), barosinusitis and barotitis-media (sinus and middle ear barotrauma,
respectively), neuropathy, trigeminal (CN V) or facial (CN VII) nerve baroparesis
(pressure-induced palsy), dental barotrauma (barometric-related tooth injury),
barodontalgia (barometric-related dental pain), mouthpiece-associated herpes
infection, pharyngeal gag reflex and temporomandibular joint disorder
(dysfunction). For each condition, a theoretical description is followed by
practical recommendations for the dental practitioner for the prevention and
management of the condition.
PMID- 21884140
TI - Temporomandibular joint surgery: what does it mean to the dental practitioner?
AB - A poor appreciation of the role of surgery in the management of temporomandibular
disorders (TMD) may result in some patients being denied access to appropriate
care. While surgery is often considered as an option of last resort, there are
instances where surgery is the definitive and sometimes the only treatment
option. The aim of this paper was to review the role of temporomandibular joint
(TMJ) surgery and its place in the treatment armamentarium of temporomandibular
disorders. Indications, rationale for surgery, risks vs. benefits are discussed
and complemented with examples of clinical cases treated by the author. All
dental practitioners should be aware of the benefits of TMJ surgery so that
patients do not suffer unnecessarily from ongoing non-surgical treatments that
ultimately prove to be ineffective in the management of their condition.
PMID- 21884142
TI - Self-reported oral health of a metropolitan homeless population in Australia:
comparisons with population-level data.
AB - BACKGROUND: There is limited information on self-perceived oral health of
homeless populations. This study quantified self-reported oral health among a
metropolitan homeless adult population and compared against a representative
sample of the metropolitan adult population obtained from the National Survey of
Adult Oral Health. METHODS: A total of 248 homeless participants (age range 17-78
years, 79% male) completed a self-report questionnaire. Data for an age-matched,
representative sample of metropolitan-dwelling adults were obtained from
Australia's second National Survey of Adult Oral Health. Percentage responses and
95% confidence intervals were calculated, with non-overlapping 95% confidence
intervals used to identify statistically significant differences between the two
groups. RESULTS: Homeless adults reported poorer oral health than their age
matched general population counterparts. Twice as many homeless adults reported
visiting a dentist more than a year ago and that their usual reason for dental
attendance was for a dental problem. The proportion of homeless adults with a
perceived need for fillings or extractions was also twice that of their age
matched general population counterparts. Three times as many homeless adults
rated their oral health as 'fair' or 'poor'. CONCLUSIONS: A significantly greater
proportion of homeless adults in an Australian metropolitan location reported
poorer oral health compared with the general metropolitan adult population.
PMID- 21884143
TI - Dental attendance of oral and oropharyngeal cancer patients in a public hospital
in Western Australia.
AB - BACKGROUND: Dentists have recently seen the introduction of devices which aim to
facilitate early oral cancer detection, sparking interest in opportunistic oral
cancer screening. However, concerns have been raised about the lack of regular
dental attendance amongst high risk individuals. The purpose of this study was to
obtain information pertaining to dental attendance of oral and oropharyngeal
cancer patients. METHODS: All records of patients referred to the Oral Medicine
Clinic at the Oral Health Centre of Western Australia, between January 2005 and
December 2009, from one major teaching hospital were examined. Information
extracted included age, gender, smoking status, referral date, tumour type,
tumour site, disease stage (TNM classification), and information on dental
attendance. Outcomes measured included time (months) since the patient's last
dental visit and information concerning regularity of dental attendance. RESULTS:
No association was found between dental attendance and gender, smoking, disease
stage or age at diagnosis. Most patients had not visited a dentist in the
preceding 12 months. The mean date of last dental visit was 5.6 years prior.
CONCLUSIONS: More should be done in Australia to encourage patients at high risk
of oral cancer to attend the dentist and undergo annual oral soft tissue
examination.
PMID- 21884144
TI - Knowledge and perceptions regarding oral and pharyngeal carcinoma among adult
dental patients.
AB - BACKGROUND: The aim of this study was to assess awareness and knowledge of oral
and pharyngeal carcinoma and risk perception for developing the cancer among
adult patients attending a major dental centre in Perth. Influence of socio
demographic factors on awareness, knowledge and perception was ascertained.
METHODS: A random sample of 120 potential participants over the age of 18 who
attended the Oral Health Centre of Western Australia between 14 and 18 June 2010
were invited to participate in the survey. A total of 100 participants completed
a face-to-face interview guided by a questionnaire. RESULTS: Seventy-two per cent
of the participants had heard of oral and pharyngeal carcinoma. Sixteen per cent
knew that both smoking and drinking increased the risk of oral and pharyngeal
carcinoma and 49% knew at least one sign or symptom of the cancer. Language
spoken at home, education, and employment influenced cancer awareness and
knowledge. Sixty-two per cent of the participants considered themselves not at
risk of developing the cancer. CONCLUSIONS: The findings suggest that knowledge
concerning oral and pharyngeal carcinoma in the community may be limited and
educational strategies may be required to improve such knowledge.
PMID- 21884145
TI - Risk factors and symptoms associated with xerostomia: a cross-sectional study.
AB - BACKGROUND: The aim of this study was to examine the symptoms and risk factors
associated with self-reported xerostomia. METHODS: Data were collected from 601
self-administered questionnaires among dental clinic attendees. Logistic
regression models to estimate odds ratios and 95% confidence intervals were used
to investigate the association for exposures of interest, such as socio
demographic characteristics, self-reported symptoms, oral hygiene habits and
xerostomia. RESULTS: Participants reported having dry mouth in 19.6% of cases.
Xerostomia was associated with a significant increase in the odds of having dry
lips, throat, eye, skin and nose. Patients with self-reported xerostomia were
three times more likely to drink water to swallow food than were patients without
xerstomia. Older individuals were significantly more likely to report dry mouth,
and the prevalence of xerostomia increased with advancing age. The prevalence of
xerostomia in patients taking one or more drugs was significantly higher compared
to medication-free patients, and increased with increasing numbers of medications
used. Finally, individuals with a nervous or mental disorder, or who wore
removable dentures were five times more likely to develop xerostomia than
patients without disorder or dentures. CONCLUSIONS: Dentists should be familiar
with the symptoms of xerostomia and be prepared to take an active role in the
diagnosis, management and treatment of the oral complications.
PMID- 21884146
TI - Inhaled methoxyflurane (Penthrox) sedation for third molar extraction: a
comparison to nitrous oxide sedation.
AB - BACKGROUND: The aim of this study was to evaluate the use of inhaled
methoxyflurane (Penthrox) in the reduction of dental anxiety in patients
undergoing mandibular third molar removal in a specialist surgical suite and
compare it to the conventional nitrous oxide sedation. METHODS: A prospective
randomized, non-blinded crossover design study of 20 patients receiving two types
of sedation for their third molar extraction who participated in 40 treatment
sessions. At first appointment, a patient was randomly assigned to receive either
nitrous oxide sedation or intermittent Penthrox inhaler sedation, with the
alternate regimen administered during the second appointment. Peri-procedural
vital signs (heart rate and blood pressure) were recorded and any deviations from
20% from the baseline values, as well as any drop in oxygen saturation below 92%
were documented. The Ramsay Sedation Scale (RSS) score was recorded every five
minutes. Patient cooperation during the procedure, patients' general opinion
about the sedation technique, surgeon satisfaction and the occurrence of side
effects were all recorded. After the second procedure, the patient was also asked
if he or she had any preference of one sedation technique over the other.
RESULTS: Levels of sedation were comparable in nitrous oxide and Penthrox
sedation sessions. However, at 15 minutes of sedation it was significantly
lighter (p < 0.05) in Penthrox. No patient in both regimens reached a RSS deeper
than a score of 4. Parameters measured for assessment of sedation (patient
cooperation, surgeon satisfaction and patient general opinion about sedation
technique) were all similarly comparable for both nitrous oxide and Penthrox. In
both sedation sessions, the odour of the inhalational agent was accepted by the
patients; half of the patients (10 patients) who received methoxyflurane thought
its odour was pleasant. Patients preferred methoxyflurane (Penthrox) inhalation
over nitrous oxide sedation (Fisher's Exact test, p < 0.05). Adverse events were
minimal. No patient was either deeply sedated or agitated. Blood pressure was
within +/- 20% from the baseline values. No patient had oxygen saturation less
than 92%. Dizziness was the most frequently encountered side effect in both
regimens (four patients each). Two patients had bradycardia (HR < 60
beats/minute) when nitrous oxide was used in comparison to one patient with
Penthrox sedation. Paraesthesia of fingers and heaviness of the chest was
encountered only with nitrous oxide sedation (four patients). Mild self-limited
shivering occurred in one patient with Penthrox sedation. CONCLUSIONS: The
Penthrox Inhaler can produce a comparable sedation to that of nitrous oxide for
the surgical extraction of third molars under local anaesthesia.
PMID- 21884147
TI - The all-ceramic, inlay supported fixed partial denture. Part 2. Fixed partial
denture design: a finite element analysis.
AB - The clinical use of all-ceramic crowns and fixed partial dentures has seen
widespread adoption over the past few years due to their increasing durability
and longevity. However, the application of inlays as an abutment design has not
been as readily embraced because of their relatively high failure rates. With the
use of an idealized inlay preparation design and prosthesis form which better
distributes the tensile stresses, it is possible to utilize the inlay as support
for an all-ceramic fixed partial denture. Utilizing a three-dimensional finite
element analysis, a direct comparison of the inlay supported all-ceramic bridge
against the traditional full crown supported all-ceramic bridge is made. The
results demonstrate that peak stresses in the inlay bridge are around 20% higher
than in the full crown supported bridge with von Mises peaking at about 730 MPa
when subjected to theoretical average maximum bite force in the molar region of
700 N, which is similar to the ultimate tensile strengths of current zirconia
based ceramics.
PMID- 21884148
TI - Cardiovascular responses to different stages of restorative dental treatment
unaffected by local anaesthetic type.
AB - BACKGROUND: The aim of this study was to evaluate the cardiovascular effects of
maxillary infiltration using 2% lidocaine with 1:100,000 adrenaline, 4% articaine
with 1:200,000 adrenaline, and 4% articaine with 1:100,000 adrenaline in
different stages during restorative dental procedures. METHODS: Twenty healthy
patients randomly received 1.8 mL of the three local anaesthetics. Systolic blood
pressure, average blood pressure, diastolic blood pressure, and heart rate were
evaluated by the oscillometric and photoplethysmograph methods in seven stages
during the appointment. RESULTS: Statistical analysis by ANOVA and Tukey tests of
cardiovascular parameters did not show significant differences between the
anaesthetic associations. There were significant differences for the parameters
among different clinical stages. CONCLUSIONS: The variation of cardiovascular
parameters was similar for lidocaine and articaine with both adrenaline
concentrations and showed no advantage of one drug over the other. Cardiovascular
parameters were influenced by the stages of the dental procedures, which showed
the effect of anxiety during restorative dental treatment.
PMID- 21884149
TI - Effect of supplementation of soft drinks with green tea extract on their erosive
potential against dentine.
AB - BACKGROUND: Matrix metalloproteinase (MMP) inhibitors reduce dentine erosion.
This in vitro study evaluated the effect of the supplementation of soft drinks
with green tea extract, a natural inhibitor of MMPs, on their erosive potential
against dentine. METHODS: For each drink tested (Coca-Cola, Kuat guarana, Sprite
and light Coca-Cola), 40 dentine specimens were divided into two subgroups
differing with respect to supplementation with green tea extract at 1.2% (OM24,
100%Camellia sinensis leaf extract, containing 30 +/- 3% of catechin; Omnimedica,
Switzerland) or not (control). Specimens were subjected to four pH cycles,
alternating de- and remineralization in one day. For each cycle, samples were
immersed in pure or supplemented drink (10 minutes, 30 mL per block) and in
artificial saliva (60 minutes, 30 mL per block) at 37 degrees C, under
agitation. Dentine alterations were determined by profilometry (MUm). Data were
analysed by two-way ANOVA and Bonferroni's test (p < 0.05). RESULTS: A
significant difference was observed among the drinks tested with Sprite leading
to the highest surface loss and light Coca-Cola to the lowest. Supplementation
with green tea extract reduced the surface loss by 15% to 40% but the difference
was significant for Coca-Cola only. CONCLUSIONS: Supplementation of soft drinks
with green tea extract might be a viable alternative to reduce their erosive
potential against dentine.
PMID- 21884150
TI - Oral cancer in young non-habitue females: a report of four cases and review of
the literature.
AB - BACKGROUND: The aim of this study is to highlight the growing incidence of oral
cancer in young females without apparent traditional risk factors. METHODS: We
present a case series of young female patients with histopathologically diagnosed
squamous cell carcinoma of the tongue and no known exposure to major risk
factors, reporting to our institution over one year. A review of the literature
was carried out to find documented studies on oral cancer in young females.
RESULTS: We found an increase in the number of young female patients presenting
with squamous cell carcinomas on the lateral border of the tongue who did not
have any of the traditional risk factors associated with the disease, which is in
contrast with the number of male patients presenting with the same disease.
CONCLUSIONS: Our study highlights the increasing incidence of oral cancer in
young females without apparent traditional risk factors, an area of growing
concern. Published studies in this particular group are limited. Nevertheless,
the situation is alarming owing to the seriousness this problem poses for the
community. More research is required to determine the aetiological and risk
factors associated with this outcome.
PMID- 21884151
TI - Oral plasmablastic lymphoma: a case report.
AB - Oral plasmablastic lymphoma is a rare malignancy that is associated with patients
with HIV or other immunosuppression. This article describes a case of a patient
with severe haemophilia A (<1% factor VIII) who had medically acquired HIV and
hepatitis C, a CD4+ count of 192 cells/MUL and a viral load of 33 200 copies/mL.
The patient presented with a two-month history of a firm swelling around a lower
molar. The tooth was removed and the surrounding tissue biopsied. The importance
of obtaining an early definitive diagnosis and seeking adequate medical treatment
is discussed.
PMID- 21884152
TI - Oral health messages for the Australian public. Findings of a national consensus
workshop.
AB - The Australian National Oral Health Plan 2004-2013 noted the importance of oral
health promotion in improving oral health and stated that broad agreement was
required on a consistent suite of evidence-based oral health promotion messages.
Consistent messages are needed to avoid confusion among the public and to assist
the advocacy for oral health being integrated into general health promotion. A
workshop was held to examine the scientific evidence and develop consensus oral
health messages for the Australian public which are in line with the general
health messages recommended by Australian health authorities.
PMID- 21884157
TI - Introduction to social neuroscience: gene, environment, brain, body.
PMID- 21884155
TI - Dental implant tourism.
PMID- 21884158
TI - Sociophysiology 25 years ago: early perspectives of an emerging discipline now
part of social neuroscience.
AB - Sociophysiology was a term used early in the history of sociology and then again
25 years ago to describe interactions between the "social" and the "biological"
worlds. Social scientists had largely viewed biology and the brain as a "black
box" that was not an active aspect of their work or theories. A landmark,
unpublished conference in 1986 brought together social scientists and biologists
dedicated to the idea that bringing sociological conceptualizations and
approaches together with those of physiology might create new ways to understand
human behavior. The umbrella question for sociophysiology was dual: how do social
processes impact the physiology of the organism, and how does that altered
physiology affect future social behavior? This paper summarizes that conference
with the goal of providing a glimpse into the early history of social
neuroscience and to demonstrate the variety of individuals and interests that
were present at the emergence of this new field. The late Patricia R. Barchas
organized and chaired the conference.
PMID- 21884160
TI - Metabolic syndrome: links to social stress and socioeconomic status.
AB - Socioeconomic stress associated with financial and psychosocial stress is
widespread in society. A comprehensive body of research indicates that low
socioeconomic status and social stress is associated with a broad spectrum of
health risks. This paper reviews epidemiological evidence demonstrating the
association between chronic social stress and development of obesity and symptoms
leading to metabolic syndrome. The cumulative effects of socioeconomic stress on
health and well being are evident throughout the lifespan, affecting children,
adolescents, and adults. While the links between stress and metabolic disease are
documented, the mechanisms remain less well understood. Animal models are well
established and have provided opportunities to systematically investigate
contributing mechanisms that may be targeted to develop treatment and prevention
strategies against metabolic disorders arising from exposure to chronic social
stress.
PMID- 21884159
TI - Sleep quality, social well-being, gender, and inflammation: an integrative
analysis in a national sample.
AB - Social isolation and poor sleep quality are independent predictors of poor health
outcomes and increased biological risk for disease. We previously found in a
small sample of older women that the presence of social ties compensated for poor
sleep in associations with the inflammatory protein interleukin 6 (IL-6). The
current study extended those findings to a national sample of middle-aged and
older men and women. Using both subjective and objective sleep assessments, we
found that in men, but not in women, social engagement moderated the association
of subjective sleep complaints with both IL-6 and the soluble adhesion molecule E
selectin. Social engagement also moderated the link between sleep efficiency
assessed by actigraphy-and IL-6 levels in men, but not in women. These results
extend our previous work and bolster the suggestion that positive psychological
functioning may compensate for other risk factors in predicting advantageous
profiles of biological risk in aging adults.
PMID- 21884162
TI - Extreme marginalization: addiction and other mental health disorders, stigma, and
imprisonment.
AB - Major well-defined medical problems that are, in part, the unfortunate outcome of
a negative social environment may include specific addictive diseases and other
mental health disorders, in particular the affective disorders of anxiety,
depression, social phobia, and posttraumatic stress syndrome. This overview
touches on the topic of extreme marginalization associated with addiction and
other mental health disorders, along with arrest, imprisonment, and parole. All
of these are characterized by a lasting stigma that hauntingly continues to
affect each person suffering from any of these problems.
PMID- 21884161
TI - Blunted diurnal decline of cortisol among older adults with low socioeconomic
status.
AB - Low socioeconomic status (SES) is associated with increased risk for adverse
health outcomes; those with low SES are thought to experience greater
environmental disadvantage and exposure to chronic stress over the life course.
The effects of chronic stress on health have been measured by cortisol levels and
variations in their diurnal pattern. However, the patterns of association between
SES and cortisol have been equivocal in older adults. This paper examined in 98
older adults participating in the Brain Health Substudy of the Baltimore
Experience Corps Trial baseline patterns of diurnal variation in salivary
cortisol associated with lower versus higher SES using total income and perceived
SES relative to others. For each measure, participants stratified into lower
versus higher SES showed a more blunted rate of decline in diurnal salivary
cortisol over the day in adjusted models (P values <= 0.05). There were no SES
related differences in awakening cortisol, cortisol-awakening response, or area
under the curve. These findings confirm prior evidence of a biologic pathway
through which socioeconomic disadvantage is linked to biologic vulnerability, and
through which the impact of volunteer service in Experience Corps may be
measured.
PMID- 21884163
TI - Intracellular sensors of microbes and danger.
PMID- 21884164
TI - Intracellular sensors of extracellular bacteria.
AB - Initial recognition of bacteria by the innate immune system is thought to occur
primarily by germline-encoded pattern recognition receptors (PRRs). These
receptors are present in multiple compartments of host cells and are thus capable
of surveying both the intracellular and extracellular milieu for bacteria. It has
generally been presumed that the cellular location of these receptors dictates
what type of bacteria they respond to: extracellular bacteria being recognized by
cell surface receptors, such as certain Toll-like receptors, and bacteria that
are capable of breaching the plasma membrane and entering the cytoplasm, being
sensed by cytoplasmic receptors, including the Nod-like receptors (NLRs).
Increasingly, it is becoming apparent that this is a false dichotomy and that
extracellular bacteria can be sensed by cytoplasmic PRRs and this is crucial for
controlling the levels of these bacteria. In this review, we discuss the role of
two NLRs, Nod1 and Nod2, in the recognition of and response to extracellular
bacteria.
PMID- 21884165
TI - Two signal models in innate immunity.
AB - Two-signal models have a rich history in immunology. In the classic two-signal
model of T-cell activation, signal one consists of engagement of the T-cell
receptor by antigen/major histocompatibility complex, whereas signal two arises
from costimulatory ligands on antigen-presenting cells. A requirement for two
independent signals helps to ensure that T-cell responses are initiated only in
response to bona fide infectious threats. Our studies have led us to conclude
that initiation of innate immune responses to pathogens also often requires two
signals: signal one is initiated by a microbe-derived ligand, such as
lipopolysaccharide (LPS) or flagellin, whereas signal two conveys additional
contextual information that often accompanies infectious microbes. Although
signal one alone is sufficient to initiate many innate responses, certain
responses-particularly ones with the potential for self-damage-require two
signals for activation. Many of our studies have employed the intracellular
bacterial pathogen Legionella pneumophila, which has been established as a
valuable model for understanding innate immune responses. In this review, we
discuss how the innate immune system integrates multiple signals to generate an
effective response to L. pneumophila and other bacterial pathogens.
PMID- 21884166
TI - Peptidoglycan: a critical activator of the mammalian immune system during
infection and homeostasis.
AB - Peptidoglycan is a conserved structural component of the bacterial cell wall with
molecular motifs unique to bacteria. The mammalian immune system takes advantage
of these properties and has evolved to recognize this microbial associated
molecular pattern. Mammals have four secreted peptidoglycan recognition proteins,
PGLYRP-1-4, as well as two intracellular sensors of peptidoglycan, Nod1 and Nod2.
Recognition of peptidoglycan is important in initiating and shaping the immune
response under both homeostatic and infection conditions. During infection,
peptidoglycan recognition drives both cell-autonomous and whole-organism defense
responses. Here, we examine recent advances in the understanding of how
peptidoglycan recognition shapes mammalian immune responses in these diverse
contexts.
PMID- 21884167
TI - Recognition of nucleic acids by pattern-recognition receptors and its relevance
in autoimmunity.
AB - Host cells trigger signals for innate immune responses upon recognition of
conserved structures in microbial pathogens. Nucleic acids, which are critical
components for inheriting genetic information in all species including pathogens,
are key structures sensed by the innate immune system. The corresponding
receptors for foreign nucleic acids include members of Toll-like receptors, RIG-I
like receptors, and intracellular DNA sensors. While nucleic acid recognition by
these receptors is required for host defense against the pathogen, there is a
potential risk to the host of self-nucleic acids recognition, thus precipitating
autoimmune and autoinflammatory diseases. In this review, we discuss the roles of
nucleic acid-sensing receptors in guarding against pathogen invasion,
discriminating between self and non-self, and contributing to autoimmunity and
autoinflammatory diseases.
PMID- 21884169
TI - RIG-I-like receptors: cytoplasmic sensors for non-self RNA.
AB - Viral infection results in the generation of non-self RNA species in the cells,
which is recognized by retinoic acid inducible gene-I-like receptors (RLRs), and
initiates innate antiviral responses, including the production of proinflammatory
cytokines and type I interferon. In this review, we summarize reports on virus
specificity of RLRs, structures of non-self RNA patterns, structural biology of
RLRs, and the signaling adapter molecules involved in antiviral innate immunity.
PMID- 21884170
TI - Cytoplasmic DNA innate immune pathways.
AB - The innate immune system is responsible for detecting microbial invasion of the
cell and for stimulating host defense countermeasures. These anti-pathogen
procedures include the transcriptional activation of powerful antiviral genes
such as the type I interferons (IFNs) or the triggering of inflammatory responses
through interleukin-1 (IL-1) production. Over the past decade, key cellular
sensors responsible for triggering innate immune signaling pathways and host
defense have started to be resolved and include the Toll-like receptor (TLR), RIG
I-like helicase, and the cytoplasmic nucleotide-binding oligermerization domain
like receptor families. These sensors recognize non-self pathogen-associated
molecular patterns such as microbial lipopolysaccharides and nucleic acids. For
example, TLR9 has evolved to detect CpG DNA commonly found in bacteria and
viruses and to initiate the production of IFN and other cytokines. In contrast,
AIM2 (absent in melanoma 2) has been shown to be essential for mediating
inflammatory responses involving IL-1beta following the sensing of microbial DNA.
Recently, a molecule referred to as STING (stimulator of IFN genes) was
demonstrated as being vital for recognizing cytoplasmic DNA and for activating
the production of innate immune genes in response to a variety of DNA pathogens
and even certain RNA viruses. Comprehending the mechanisms of intracellular DNA
mediated innate immune signaling may lead to the design of new adjuvant concepts
that will facilitate vaccine development and may provide important information
into the origins of autoimmune disease.
PMID- 21884171
TI - The PYHIN protein family as mediators of host defenses.
AB - The innate immune response is the first line of defense against infection and
relies on the ability of immune cells to detect the presence of infection through
germline-encoded pattern recognition receptors. These include the Toll-like
receptors, the retinoic acid inducible gene-like receptors, the nucleotide
oligomerization domain-like receptors, and a number of DNA-sensing molecules.
Members of the PYHIN protein family have recently emerged as sensors of microbial
DNA. PYHIN proteins bind microbial DNA and form caspase-1-activating
inflammasomes (AIM2) or drive type I IFN gene transcription (IFI16). Here, we
review these discoveries and highlight the emerging role of the PYHIN protein
family in mammalian host defenses.
PMID- 21884168
TI - dsRNA sensors and plasmacytoid dendritic cells in host defense and autoimmunity.
AB - The innate immune system detects viruses through molecular sensors that trigger
the production of type I interferons (IFN-I) and inflammatory cytokines. As
viruses vary tremendously in size, structure, genomic composition, and tissue
tropism, multiple sensors are required to detect their presence in various cell
types and tissues. In this review, we summarize current knowledge of the
diversity, specificity, and signaling pathways downstream of viral sensors and
ask whether two distinct sensors that recognize the same viral component are
complementary, compensatory, or simply redundant. We also discuss why viral
sensors are differentially distributed in distinct cell types and whether a
particular cell type dominates the IFN-I response during viral infection.
Finally, we review evidence suggesting that inappropriate signaling through viral
sensors may induce autoimmunity. The picture emerging from these studies is that
disparate viral sensors in different cell types form a dynamic and integrated
molecular network that can be exploited for improving vaccination and therapeutic
strategies for infectious and autoimmune diseases.
PMID- 21884173
TI - The inflammasome: an integrated view.
AB - An inflammasome is a multiprotein complex that serves as a platform for caspase-1
activation and caspase-1-dependent proteolytic maturation and secretion of
interleukin-1beta (IL-1beta). Though a number of inflammasomes have been
described, the NLRP3 inflammasome is the most extensively studied but also the
most elusive. It is unique in that it responds to numerous physically and
chemically diverse stimuli. The potent proinflammatory and pyrogenic activities
of IL-1beta necessitate that inflammasome activity is tightly controlled. To this
end, a priming step is first required to induce the expression of both NLRP3 and
proIL-1beta. This event renders the cell competent for NLRP3 inflammasome
activation and IL-1beta secretion, and it is highly regulated by negative
feedback loops. Despite the wide array of NLRP3 activators, the actual triggering
of NLRP3 is controlled by integration a comparatively small number of signals
that are common to nearly all activators. Minimally, these include potassium
efflux, elevated levels of reactive oxygen species (ROS), and, for certain
activators, lysosomal destabilization. Further investigation of how these and
potentially other as yet uncharacterized signals are integrated by the NLRP3
inflammasome and the relevance of these biochemical events in vivo should provide
new insight into the mechanisms of host defense and autoinflammatory conditions.
PMID- 21884172
TI - Intracellular sensing of microbes and danger signals by the inflammasomes.
AB - The cells of the innate immune system mobilize a coordinated immune response
towards invading microbes and after disturbances in tissue homeostasis. These
immune responses typically lead to infection control and tissue repair.
Exaggerated or uncontrolled immune responses, however, can also induce acute of
chronic inflammatory pathologies that are characteristic for many common diseases
such as sepsis, arthritis, atherosclerosis, or Alzheimer's disease. In recent
years, the concerted efforts of many scientists have uncovered numerous
mechanisms by which immune cells detect foreign or changed self-substances that
appear in infections or during tissue damage. These substances stimulate
signaling receptors, which leads to cellular activation and the induction of
effector mechanisms. Here, we review the role of inflammasomes, a family of
signaling molecules that form multi-molecular signaling platforms and activate
inflammatory caspases and interleukin-1beta cytokines.
PMID- 21884174
TI - Sensing damage by the NLRP3 inflammasome.
AB - The NLRP3 inflammasome is activated in response to a variety of signals that are
indicative of damage to the host including tissue damage, metabolic stress, and
infection. Upon activation, the NLRP3 inflammasome serves as a platform for
activation of the cysteine protease caspase-1, which leads to the processing and
secretion of the proinflammatory cytokines interleukin-1beta (IL-1beta) and IL
18. Dysregulated NLRP3 inflammasome activation is associated with both heritable
and acquired inflammatory diseases. Here, we review new insights into the
mechanism of NLRP3 inflammasome activation and its role in disease pathogenesis.
PMID- 21884175
TI - Deregulated inflammasome signaling in disease.
AB - Inflammasomes are multi-protein complexes that sense microbial molecules and
endogenous danger signals in intracellular compartments. Inflammasome assembly
results in caspase-1 activation, which in turn drives maturation and secretion of
the pro-inflammatory cytokines interleukin 1beta (IL-1beta) and IL-18, and
induces pyroptosis to eliminate the infectious agent. The importance of
inflammasomes in regulating immune responses was recognized with the discovery of
polymorphisms in genes encoding inflammasome components and their linkage to
aberrant production of IL-1beta and IL-18 in autoimmune and hereditary periodic
fevers syndromes. We review the current knowledge on the role of inflammasomes in
regulating innate and adaptive immune responses with an emphasis on the role of
these immune complexes in autoinflammatory disorders and autoimmune diseases such
as colitis, type I diabetes, multiple sclerosis and vitiligo.
PMID- 21884176
TI - Molecular mechanisms of inflammasome activation during microbial infections.
AB - The innate immune system plays a crucial role in the rapid recognition and
elimination of invading microbes. Detection of microbes relies on germ-line
encoded pattern recognition receptors (PRRs) that recognize essential bacterial
molecules, so-called pathogen-associated molecular patterns (PAMPs). A subset of
PRRs, belonging to the NOD-like receptor (NLR) and the PYHIN protein families,
detects viral and bacterial pathogens in the cytosol of host cells and induces
the assembly of a multi-protein signaling platform called the inflammasome. The
inflammasome serves as an activation platform for the mammalian cysteine protease
caspase-1, a central mediator of innate immunity. Active caspase-1 promotes the
maturation and release of interleukin-1beta (IL-1beta) and IL-18 as well as
protein involved in cytoprotection and tissue repair. In addition, caspase-1
initiates a novel form of cell death called pyroptosis. Here, we discuss latest
advances and our insights on inflammasome stimulation by two model intracellular
pathogens, Francisella tularensis and Salmonella typhimurium. Recent studies on
these pathogens have significantly shaped our understanding of the molecular
mechanisms of inflammasome activation and how microbes can evade or manipulate
inflammasome activity. In addition, we review the role of the inflammasome
adapter ASC in caspase-1 autoproteolysis and new insights into the structure of
the inflammasome complex.
PMID- 21884177
TI - Innate and adaptive immune responses to cell death.
AB - The immune system plays an essential role in protecting the host against
infections and to accomplish this task has evolved mechanisms to recognize
microbes and destroy them. In addition, it monitors the health of cells and
responds to ones that have been injured and killed, even if this occurs under
sterile conditions. This process is initiated when dying cells expose
intracellular molecules that can be recognized by cells of the innate immune
system. As a consequence of this recognition, dendritic cells are activated in
ways that help to promote T-cell responses to antigens associated with the dying
cells. In addition, macrophages are stimulated to produce the cytokine
interleukin-1 that then acts on radioresistant parenchymal cells in the host in
ways that drive a robust inflammatory response. In addition to dead cells, a
number of other sterile particles and altered physiological states can similarly
stimulate an inflammatory response and do so through common pathways involving
the inflammasome and interleukin-1. These pathways underlie the pathogenesis of a
number of diseases.
PMID- 21884178
TI - Caspase-1-induced pyroptotic cell death.
AB - Programmed cell death is a necessary part of development and tissue homeostasis
enabling the removal of unwanted cells. In the setting of infectious disease,
cells that have been commandeered by microbial pathogens become detrimental to
the host. When macrophages and dendritic cells are compromised in this way, they
can be lysed by pyroptosis, a cell death mechanism that is distinct from
apoptosis and oncosis/necrosis. Pyroptosis is triggered by Caspase-1 after its
activation by various inflammasomes and results in lysis of the affected cell.
Both pyroptosis and apoptosis are programmed cell death mechanisms but are
dependent on different caspases, unlike oncosis. Similar to oncosis and unlike
apoptosis, pyroptosis results in cellular lysis and release of the cytosolic
contents to the extracellular space. This event is predicted to be inherently
inflammatory and coincides with interleukin-1beta (IL-1beta) and IL-18 secretion.
We discuss the role of distinct inflammasomes, including NLRC4, NLRP3, and AIM2,
as well as the role of the ASC focus in Caspase-1 signaling. We further review
the importance of pyroptosis in vivo as a potent mechanism to clear intracellular
pathogens.
PMID- 21884180
TI - The machinery of Nod-like receptors: refining the paths to immunity and cell
death.
AB - One of the fundamental aspects of the innate immune system is its capacity to
discriminate between self and non-self or altered self, and to quickly respond by
eliciting effector mechanisms that act in concert to restore normalcy. This
capacity is determined by a set of evolutionarily conserved pattern recognition
receptors (PRRs) that sense the presence of microbial motifs or endogenous danger
signals, including tissue damage, cellular transformation or metabolic
perturbation, and orchestrate the nature, duration and intensity of the innate
immune response. Nod-like receptors (NLRs), a group of intracellular PRRs, are
particularly essential as evident by the high incidence of genetic variations in
their genes in various diseases of homeostasis. Here, I overview the signaling
mechanisms of NLRs and discuss the mounting evidence of evolutionary conservation
between their pathways and the cell death machinery. I also describe their
effector functions that link the sensing of danger to the induction of
inflammation, autophagy or cell death.
PMID- 21884181
TI - Monsters and dragons and sharks--oh my!
PMID- 21884179
TI - Mitoxosome: a mitochondrial platform for cross-talk between cellular stress and
antiviral signaling.
AB - Evidence is accumulating that the mitochondria form an integral platform from
which innate signaling takes place. Recent studies revealed that the mitochondria
are shaping the innate response to intracellular pathogens, and mitochondrial
function is modulating and being modulated by innate immune signaling. Further,
cell biologic analyses have uncovered the dynamic relocalization of key
components involved in cytosolic viral recognition and signaling to the
mitochondria, as well as the mobilization of mitochondria to the sites of viral
replication. In this review, we provide an integrated view of how cellular stress
and signals following cytosolic viral recognition are intimately linked and
coordinated at the mitochondria. We incorporate recent findings into our current
understanding of the role of mitochondrial function in antiviral immunity and
suggest the existence of a 'mitoxosome', a mitochondrial oxidative signalosome
where multiple pathways of viral recognition and cellular stress converge on the
surface of the mitochondria to facilitate a coordinated antiviral response.
PMID- 21884182
TI - Unique urinalysis.
PMID- 21884183
TI - The need for cytologic/histologic correlation studies to establish a cytologic
grading system for canine mast cell tumors in veterinary medicine.
PMID- 21884184
TI - Canine reference intervals for the Sysmex XT-2000iV hematology analyzer.
AB - BACKGROUND: The laser-based Sysmex XT-2000iV hematology analyzer is increasingly
used in veterinary clinical pathology laboratories, and instrument-specific
reference intervals for dogs are not available. OBJECTIVE: The purpose of this
study was to establish canine hematologic reference intervals according to
International Federation of Clinical Chemistry and Clinical and Laboratory
Standards Institute guidelines using the Sysmex XT-2000iV hematology analyzer.
METHODS: Blood samples from 132 healthy purebred dogs from France, selected to
represent the most prevalent canine breeds in France, were analyzed. Blood smears
were scored for platelet (PLT) aggregates. Reference intervals were established
using the nonparametric method. PLT and RBC counts obtained by impedance and
optical methods were compared. Effects of sex and age on reference intervals were
determined. RESULTS: The correlation between impedance (I) and optical (O)
measurements of RBC and PLT counts was excellent (Pearson r=.99 and .98,
respectively); however, there were significant differences between the 2 methods
(Student's paired t-test, P<.0001). Differences between sexes were not
significant except for HCT, PLT-I, and PLT-O. WBC, lymphocyte, and neutrophil
counts decreased significantly with age (ANOVA, P<.05). Median eosinophil counts
were higher in Brittany Spaniels (1.87 * 10(9) /L), Rottweilers (1.41 * 10(9)
/L), and German Shepherd dogs (1.38 * 10(9) /L) than in the overall population
(0.9 * 10(9) /L). PLT aggregates were responsible for lower PLT counts by the
impedance, but not the optical, method. CONCLUSION: Reference intervals for
hematologic analytes and indices were determined under controlled preanalytical
and analytical conditions for a well-characterized population of dogs according
to international recommendations.
PMID- 21884185
TI - Canine differential leukocyte counting with the CellaVision DM96Vision, Sysmex XT
2000iV, and Advia 2120 hematology analyzers and a manual method.
AB - BACKGROUND: For differential leukocyte counts, automated blood smear evaluation
systems have been too slow or inaccurate to replace or supplement the manual
differential count. The CellaVision DM96Vision (DM96V), a new instrument, is an
automated image analysis system that is rapid and accurate enough to be used for
enumerating human leukocytes and may be useful for analysis of canine blood.
OBJECTIVES: The aims of this study were to evaluate the performance of the DM96V
in differential counting of canine leukocytes, to compare its performance with
that of other methods, and to analyze interoperator variability. METHODS: Four
methods of determining the leukocyte differential count of 108 canine blood
samples were compared based on agreement, precision, and errors as well as
relative performance. Differential counts were obtained using the DM96V, the
manual method, and automated methods performed by the Advia 2120 and Sysmex XT
2000iV. RESULTS: All leukocyte types were detected by the DM96V and the manual
method, and all 4 methods had similar mean and median results in most cases. The
automated methods were more precise than either the DM96V or manual method when
comparing identification of a single type of leukocyte, especially neutrophils
and lymphocytes. However, precision of the automated methods was only fair for
monocytes, and the Advia and Sysmex failed to identify basophils. The Advia
reported fewer monocytes and eosinophils than did the other methods.
Significantly fewer lymphocytes were identified by the manual method than by the
Sysmex, Advia, and DM96V. The DM96V occasionally presented duplicate images of
the same neutrophils. CONCLUSIONS: The CellaVision DM96V is a satisfactory system
for facilitating canine differential leukocyte counting. The DM96V differential
count was more similar to the manual count than to automated counts, which were
more precise but had errors and omissions in detecting some types of leukocytes.
PMID- 21884186
TI - Hematologic and serum biochemical reference values for the wild Spectacled
Caiman, Caiman crocodilus crocodilus, from the Venezuelan plains.
AB - BACKGROUND: Commercial farming of Caiman crocodilus crocodilus has had an impact
on the use of this species for meat consumption and the leather industry.
Spectacled Caimans comprise part of the South American plains biodiversity.
Misinterpretation of laboratory data is a risk owing to the limited hematologic
and serum biochemical values available for this species. OBJECTIVE: The aim of
this study was to determine hematologic and serum biochemical values for wild
Spectacled Caimans from the Venezuelan plains. METHODS: Blood samples were
collected form the caudal tail vein of 100 Spectacled Caimans (40 males and 60
females) from the plains located in the State of Apure. Values for RBC count,
PCV, hemoglobin concentration, WBC absolute and differential counts, and
thrombocyte counts were obtained using manual methods, and RBC indices were
calculated. Serum biochemical analysis included measurement of alkaline
phosphatase, alanine aminotransferase, aspartate aminotransferase, and creatine
kinase activities and concentrations of total protein and albumin. Comparisons
between sexes were analyzed using the Mann-Whitney test. RESULTS: Reference
values for wild Spectacled Caimans were determined. Minor differences in
hematologic values, particularly for RBC counts, were found compared with
previously published values for this species. Serum biochemical values were
similar to those available for other crocodilians. There were no significant
differences between males and females. CONCLUSIONS: Minor differences between the
values obtained for wild Spectacled Caimans and those previously published for
this species may be related to differences in methodology and environmental
conditions. Availability of hematologic and serum biochemical reference values
will be useful for accurate diagnosis and management of disease in this species.
PMID- 21884187
TI - What is your diagnosis? Unilateral ovarian mass in a mare.
PMID- 21884188
TI - What is your diagnosis? Unexpected finding in sedimented urine from a dog.
PMID- 21884190
TI - What on earth?!: diatomaceous earth as evidence of delusional infestation.
PMID- 21884191
TI - Provisioning.
PMID- 21884194
TI - Statistics, probability, significance, likelihood: words mean what we define them
to mean.
PMID- 21884195
TI - Roger Cotton Histopathology Prize 2010.
PMID- 21884196
TI - Loss of dystroglycan function in oesophageal cancer.
AB - AIMS: Oesophageal cancer is an increasingly common human malignancy, with its
incidence in the West rapidly rising. It is associated with a very poor
prognosis, and its exact pathogenesis is uncertain. Dystroglycan and E-cadherin
are cell adhesion molecules, the loss of which is often related to tumour
differentiation, aggressiveness and invasiveness. The aim was therefore to
evaluate their roles in oesophageal carcinogenesis. METHODS AND RESULTS: mRNA and
protein levels of dystroglycan and E-cadherin were examined in oesophageal normal
and tumour tissue samples, and in FLO-1 oesophageal adenocarcinoma cells, using
immunohistochemistry, western blotting and reverse transcription polymerase chain
reaction. E-cadherin,alpha-dystroglycan and beta-dystroglycan levels were
decreased in the oesophageal primary tumour samples, despite the presence of
normal levels of dystroglycan mRNA. In FLO-1 cells, increasing cell density
caused a decrease in protein levels of beta-dystroglycan over time, despite the
persistent presence of dystroglycan mRNA. Re-expression of dystroglycan in FLO-1
cells reduced the numbers and size of colonies formed in soft agar, indicative of
a role for dystroglycan in suppressing the tumour phenotype. CONCLUSIONS: The
adenocarcinoma cells mirrored the in vivo situation with respect to dystroglycan
function, making this a useful model of oesophageal carcinogenesis; moreover,
loss of dystroglycan protein, despite the presence of dystroglycan mRNA, points
to a post-translational mechanism of dystroglycan loss.
PMID- 21884197
TI - Comparison of gastro-oesophageal junction carcinomas in Chinese versus American
patients.
AB - AIMS: To compare the clinical and pathological features of gastro-oesophageal
junction (GEJ) carcinomas in Chinese and American patients. METHODS AND RESULTS:
Eighty consecutive patients with a GEJ carcinoma (43 from mainland China, and 37
from the USA) were evaluated for association with Barrett oesophagus (BO),
chronic Helicobacter pylori gastritis, intestinal metaplasia, and outcome. GEJ
carcinomas were defined as tumours that were located within 20 mm of, and
crossed, the GEJ. Overall, GEJ carcinomas from Chinese patients revealed
significantly more frequent location in the proximal stomach, higher pathological
stage, larger size, younger patient age, and association with chronic H. pylori
gastritis. In contrast, GEJ cancers from American patients showed a strong
association with distal oesophageal location, BO, and associated intestinal
metaplasia and dysplasia. Pathologically, GEJ carcinomas from American patients
were predominantly adenocarcinomas, whereas Chinese patients showed a higher
proportion of mucinous, adenosquamous, acinar or neuroendocrine tumours. Overall,
3- and 5-year survival rates were statistically similar between both patient
groups, but upon multivariate analysis, Chinese patients showed statistically
better survival rates for stage III tumours. CONCLUSIONS: Most GEJ carcinomas in
patients from China represent proximal gastric cancers associated with chronic H.
pylori gastritis, and BO-associated carcinomas are rare among this patient
population.
PMID- 21884198
TI - Phospholipase A2 group IIA expression correlates with prolonged survival in
gastric cancer.
AB - AIMS: The secreted phospholipase A2 type IIA (PLA2G2A) gene has been identified
as a modifier of intestinal adenoma multiplicity in Apc(Min/+) mice. The aim of
the present study was to analyse the clinical significance of PLA2G2A expression
in human gastric cancer. METHODS AND RESULTS: Using immunohistochemistry,
cytoplasmic immunoreactivity of PLA2G2A was observed in 27% (40 of 149) of
gastric cancer tissues compared with negative staining in normal mucosa. The
PLA2G2A expression rate in well-differentiated carcinoma was elevated
significantly compared with that in poorly differentiated carcinoma (46% versus
19%, P = 0.001). Statistical analysis also revealed that PLA2G2A expression
correlated negatively with depth of mural invasion, lymph node metastasis and
tumour-node-metastasis (TNM) stage (P < 0.05). Patients with positive PLA2G2A
expression showed higher 5-year overall survival than those with negative
expression (P = 0.0004). In intestinal metaplasia, PLA2G2A was found to be
abundant in Paneth cells. The coexistence of PLA2G2A and lysozyme was observed in
Paneth cell-rich gastric cancer (P < 0.0001). CONCLUSIONS: PLA2G2A may predict
survival and might be a potential biomarker for early detection and
individualized therapy.
PMID- 21884199
TI - Low expression of Granzyme B in colorectal cancer is associated with signs of
early metastastic invasion.
AB - AIMS: Tumour-infiltrating forkhead box P3 (FoxP3+ ) regulatory T cells (T(regs) )
have stronger prognostic significance than cytotoxic CD8+ T cells in colorectal
cancer (CRC). Because there is evidence that some tumour-infiltrating CD8+ T
cells may be inactive, the present study aimed to investigate the prognostic
significance of Granzyme B, one of the major effector molecules of T cells.
METHODS AND RESULTS: A tissue microarray containing 963 CRCs was stained
immunohistochemically for Granzyme B and the level of expression quantified by
digital image analysis. Granzyme B expression was higher in tumours with
microsatellite instability (P < 0.0001), a dense lymphocytic infiltrate (P <
0.0001) and location in the proximal colon (P = 0.009), but lower in tumours with
vascular invasion (P = 0.007), perineural invasion (P =0.041) and positive nodal
status (P < 0.001). Elevated expression of Granzyme B was associated with
improved survival on univariate analysis (hazard ratio = 0.65; 95% confidence
interval 0.51-0.84; P = 0.001), but not in a multivariate model that included
stage, vascular invasion and FoxP3+ T(reg) cell density. CONCLUSIONS: Low
expression of Granzyme B was associated with early signs of metastasis in CRC.
The stronger prognostic significance of FoxP3+ T(regs) is in keeping with animal
models that suggest these cells act as gatekeepers for the release of Granzyme B
from CD8+ T cells.
PMID- 21884200
TI - Oestrogen receptor-beta CA repeat polymorphism is associated with incidence of
colorectal cancer among females.
AB - AIMS: Increasing evidence suggests an association between oestrogens and
colorectal cancer. Oestrogen receptor beta, ER-beta, putatively plays a
pathobiological role in colorectal cancer as colorectal epithelial cells
frequently express ER-beta. The aim was to elucidate the association of the
dinucleotide (CA) repeat polymorphism of the ER-beta gene (ESR2) with colorectal
cancer. METHODS AND RESULTS: Deoxyribonucleic acids extracted from the renal
cortex of 1488 Japanese autopsies with complete clinical/pathological data were
studied. CA repeat polymorphism was determined by polymerase chain reaction using
fluorescein-labelled primers. Patients were divided into three genotype groups
according to the number of CA repeats of each allele (S < 22, L >= 22); SS (with
two S alleles), SL (with one each S and L allele) and LL (with two L alleles).
The presence/absence of colorectal cancers was determined by examining the
clinical records and autopsy material. The incidence of colorectal cancer was
significantly different according to the ESR2 CA repeat genotype only among
females (SS, 37/202 = 18.3%; SL, 19/332 = 5.7%; LL, 5/155 = 3.2%, P < 0.0001).
Immunohistochemically, cancers in females with the SS genotype, but not the SL
genotype, frequently expressed the C-terminus portion of ER-beta1 (wild-type ER
beta). CONCLUSIONS: A role for ESR2 CA repeat polymorphism in the pathogenesis of
colorectal cancer among females is suggested.
PMID- 21884201
TI - Keratin 7 expression in colorectal cancer--freak of nature or significant
finding?
AB - AIMS: To assess the prevalence of keratin 7 (K7) expression in colorectal cancer
and to correlate findings with clinicopathological parameters and patients'
outcome. METHOD AND RESULTS: A total of 370 patients were evaluated for K7
expression by immunohistochemistry using a tissue microarray technique. K7
expression was scored semiquantitatively as either focal (<10%), moderate (10
50%) or extensive (>50%). In all, 32 (9%) tumours were immunoreactive for K7,
with five cases showing extensive, four moderate and 23 focal expression,
respectively. K7 expression was associated with poor tumour differentiation (P =
0.005) and the extent of tumour budding (P = 0.02). In whole sections, K7
immunoreactivity prevailed in single cells and small clusters of cells at the
invasion front. Analysis of serial sections showed that K7-positive cells
colocalized with keratin 20, whereas they lacked immunoreactivity for E-cadherin,
MUC2 and MIB-1. Disease progression occurred in 52% of patients with K7-positive
tumours and 41% with K7-negative tumours (P = 0.19); 48% of patients with K7
positive tumours but only 33% with K7-negative tumours died of disease (P =
0.06). CONCLUSIONS: Aberrant expression of K7 in budding cancer cells represents
a modification of the epithelial phenotype ('epithelial-epithelial transition':
EET) which may be linked to gains in motility and invasive potential.
PMID- 21884202
TI - Prognostic value of ABCG2 in moderately and poorly differentiated intrahepatic
cholangiocarcinoma.
AB - AIMS: Intrahepatic cholangiocarcinoma (ICC) is a primary hepatic malignancy
derived from cholangiocytes. The survival rate of ICC patients is very low, and
conventional chemotherapy is not effective in prolonging long-term survival.
Adenosine 5'-triphosphate (ATP)-binding cassette (ABC) transporters mediate the
transport of various substances in several cellular processes. The expression of
ABCB1, ABCC1 and ABCG2 has been implicated in multidrug resistance and poor
prognosis in several types of cancer. The aim of this study was to examine their
expression in normal cholangiocytes and ICC tissues. METHODS AND RESULTS:
Immunohistochemistry was employed to evaluate the expression of these
transporters in 60 cases of ICC with respect to clinicopathological features and
patient outcome. The proportions of cases with loss of ABCB1, ABCC1 and ABCG2
expression were 93.3%, 68.3% and 50%, respectively. Only the loss of ABCG2 was
related to a worse prognosis (P = 0.031), and was associated with lymph node
involvement (P = 0.003) and higher tumour grade (P = 0.028). Furthermore,
multivariate analysis showed that the loss of ABCG2 expression was an independent
prognostic factor in patients with moderately or poorly differentiated ICC (P =
0.02). CONCLUSIONS: These results suggest that ABCG2 may be involved in
cholangiocarcinogenesis; the loss of its expression may enhance tumour
progression and contribute to aggressive growth of ICC.
PMID- 21884203
TI - Increased alpha-B-crystallin expression in mammary metaplastic carcinomas.
AB - AIMS: Mammary metaplastic carcinoma is a rare breast carcinoma, and may present
diagnostic difficulty. Alpha-B-crystallin has been recently reported to be
expressed in basal-like and metaplastic carcinomas. METHODS AND RESULTS: Thirty
three metaplastic carcinomas, 44 conventional high-grade carcinomas and 28
mesenchymal spindle cell neoplasms as controls were assessed for their expression
of alphaB-crystallin and conventional basal-like phenotypic markers CK5/6, CK14,
p63, c-kit and epidermal growth factor receptor (EGFR) by immunohistochemistry.
Alpha-B-crystallin staining was positive in 68% of the metaplastic carcinomas
with cytoplasmic staining in all tumour cell components. CK5/6, CK14, p63, c-kit
and EGFR stained 43%, 68%, 45%, 21% and 25% of the metaplastic carcinomas,
respectively. Combining these markers, 84% of the metaplastic carcinomas
expressed either alphaB-crystallin or CK14. In comparison, only 14% (six cases)
of conventional high-grade carcinoma and 7% (two cases) of mesenchymal spindle
cell neoplasm expressed alphaB-crystallin; all but one of these carcinomas were
ER/PR/HER2 triple-negative. CONCLUSIONS: Using alphaB-crystallin for diagnosis of
metaplastic carcinoma gives a 68% sensitivity, 88% specificity, 74% positive
predictive value, 85% negative predictive value and 78% accuracy. The sensitivity
is enhanced to 84% with combinations of alphaB-crystallin/CK14. Alpha-B
crystallin may be used as an adjunct marker in the diagnosis of metaplastic
carcinoma.
PMID- 21884204
TI - Angiopoietins 1 and 2 and Tie-2 receptor expression in human ductal breast
disease.
AB - AIMS: This study aimed to identify the involvement of the angiopoietin/Tie-2
receptor system in breast cancer development, progression, metastasis and
angiogenesis. METHODS AND RESULTS: We quantified and correlated angiopoietin-1
(Ang-1), Ang-2 and Tie-2 expression in sections of normal human breast, benign
and premalignant hyperplastic tissue, pre-invasive and invasive cancer, and
compared these findings with our previously published data on vascular
endothelial growth factor (VEGF) and microvessel density (MVD) in the same
samples. A breast cancer tissue microarray was used to evaluate the prognostic
value of these factors. Histological analysis revealed a significant decrease in
Ang-1 expression (P = 0.001) and an inverse correlation with MVD (r = -0.442, P =
0.008) and VEGF (r = -0.510, P = 0.002) in the non-invasive lesions. In contrast
Ang-2 expression increased significantly (P = 0.0004) with increasing severity of
lesion and correlated with MVD (r = 0.570; P = 0.0002), while Tie-2 expression
remained relatively unchanged. Expression of all three factors was reduced in
invasive breast cancer and did not correlate with oestrogen receptor (ER),
progesterone receptor (PR), human epidermal growth factor receptor 2 (HER2),
lymph node status or tumour grade. CONCLUSIONS: These data suggest that a change
in the angiopoietin balance in favour of Ang-2 is associated with the angiogenic
switch at the onset of hyperplasia in the breast. However, angiopoietins and the
Tie-2 receptor are not related to known prognostic indicators in invasive breast
cancer.
PMID- 21884205
TI - Epidermal growth factor receptor gene amplification and protein overexpression in
basal-like carcinoma of the breast.
AB - AIMS: Epidermal growth factor receptor (EGFR) is frequently expressed in basal
like breast cancer (BLBC). The aim of this study was to evaluate their
correlation as detected by immunohistochemistry (IHC) or fluorescence in-situ
hybridization (FISH). METHODS AND RESULTS: IHC for oestrogen receptor (ER),
progesterone receptor (PR), human epidermal growth factor receptor (HER) 2,
cytokeratin (CK) 5/6 and EGFR, and FISH for EGFR amplification, were performed in
59 cases of BLBC. EGFR IHC results were scored semiquantitatively, and compared
with its gene amplification status. ER, PR and HER2 were negative in all cases,
whereas 35 and 55 cases were positive for CK5/6 and EGFR. For EGFR IHC, 20, 11,
11 and 17 cases showed a negative, a low, an intermediate or a high staining
level, respectively, and seven cases showed gene amplification by FISH, with two,
19, 11 and 20 cases showing balanced monosony, disomy, trisomy, and polysomy
respectively. Immunohistochemical expression in gene-amplified tumours was
significantly higher than in those without amplification, including balanced
polysomy tumours. EGFR immunohistochemical expression also correlated with the
EGFR/chromosome 7 ratio. High sensitivity (86%) and negative predictive value
(98%) were achieved with high-level immunohistochemical expression as a cut-off
to predict gene amplification. CONCLUSIONS: High-level EGFR immunohistochemical
expression correlated with and predicted EGFR amplification, and may be used as a
screening method to exclude gene amplification.
PMID- 21884206
TI - Expression of class 3 semaphorins and their receptors in human breast neoplasia.
AB - AIMS: This study aimed to identify the involvement of class 3 semaphorins (Sema3)
and receptors, neuropilins (Np1 and Np2) and plexins (A1-A4) in breast cancer
development and angiogenesis. METHODS AND RESULTS: We quantified and correlated
Sema3A, Sema3B, Sema3F and their known receptors and coreceptors Plexin-A1,
Plexin-A3, Np1 and Np2 in sections of normal human breast, benign and pre
malignant hyperplastic tissue, pre-invasive and invasive cancer, and compared
these findings with our previously published data on vascular endothelial growth
factor (VEGF) and microvessel density (MVD) in the same samples. Histological
analysis revealed that Sema3B was expressed more strongly and widely than Sema3A
and 3F in normal breast tissue and all three semaphorins decreased with the
transition from in situ to invasive cancer (P < 0.014). Plexin-A3 decreased
significantly with progression towards invasive cancer (P < 0.045), whereas
Plexin-A1 expression was only significantly reduced once invasion had occurred (P
= 0.012). Np1 and Np2 were expressed in both endothelial and epithelial/tumour
cells. Np2 expression did not change, but Np1 expression significantly increased
in the spectrum from hyperplasia to ductal carcinoma in situ (P < 0.035), but
decreased with invasive cancer. CONCLUSION: These data suggest that a decrease
in class 3 semaphorin and their plexin receptors have some relationship with
disease progression in ductal breast carcinoma.
PMID- 21884207
TI - Nuclear translocation of beta-catenin synchronized with loss of E-cadherin in
oral epithelial dysplasia with a characteristic two-phase appearance.
AB - AIMS: One of the important histopathological characteristics of oral epithelial
dysplasia is a two-phase appearance of rete processes, comprising an upper layer
of keratinized cells and a lower layer of basaloid cells, and thereby creating a
sharp contrast between these two separate cell populations. The aim of this study
was to determine the cellular adhesion status of the basaloid cells. METHODS AND
RESULTS: Immunohistochemistry for beta-catenin, E-cadherin and their related
molecules was carried out in surgical specimens of two-phase epithelial dysplasia
of the oral mucosa. The lower-half basaloid cells and the upper keratinized cells
were microdissected separately, and extracted DNA samples were subjected to
methylation-specific polymerase chain reaction amplification for E-cadherin. beta
Catenin was immunolocalized within the nuclei and cytoplasm of Ki67-positive
lower-half basaloid cells, as well as on the cell membrane of upper parakeratotic
cells. The basaloid cells of the lower-half were also positive for matrix
metalloproteinase-7 and cyclin D1, beta-catenin target gene products, alpha
dystroglycan, tenascin-C, and perlecan, but not for E-cadherin. The promoter
region of the E-cadherin gene was hypermethylated. CONCLUSIONS: The solid
proliferation of lower-half E-cadherin-free basaloid cells is enhanced by Wnt
signalling cascades, as well as by the intraepithelial extracellular matrix or
its bound growth factors.
PMID- 21884208
TI - Malignant potential of oral and labial chronic discoid lupus erythematosus: a
clinicopathological study of 87 cases.
AB - AIMS: To investigate clinicopathological features and identify
clinicopathological risk factors for the malignant transformation of oral and
labial chronic discoid lupus erythematosus (DLE) in a relatively large number of
patients from China. METHODS AND RESULTS: A total of 87 patients with clinical
and histopathological diagnosis of DLE between 1993 and 2009 were reviewed
retrospectively in our hospital. The average age at diagnosis was 51.7 years,
with a male:female ratio of 1:1.8. The lower lip was the most common site
(71.3%). We documented six DLE patients with malignant transformation. On
univariate analysis, patients with high-risk dysplasia (P = 0.002) or aged >60 (P
= 0.045) were associated with DLE malignant transformation, but gender, lesion
site, smoking and alcohol intake were not risk factors. On multivariate analysis,
high-risk dysplasia was a significant indicator for DLE malignant transformation.
High-risk dysplasia was associated with a 14.24-fold [95% confidence interval
(95% CI), 1.97-102.88; P = 0.008] increased risk of malignant transformation,
compared with non/low-risk dysplasia. CONCLUSIONS: The utilization of high-risk
dysplasia as a significant indicator for evaluating malignant transformation risk
in patients with DLE is suggested, which may be helpful to guide treatment
selection.
PMID- 21884210
TI - CD34 and microtubule-associated protein 2 expression in dysembryoplastic
neuroepithelial tumours with an emphasis on dual expression in non-specific
types.
AB - AIMS: Three histological variants of dysembryoplastic neuroepithelial tumour
(DNT) have been described, namely, simple, complex and non-specific. However, the
concept of non-specific variants of DNT remains controversial, because they
cannot be accurately distinguished by histological findings alone from ordinary
gliomas. The aim was to characterize further the non-specific histological forms
of DNT. METHODS AND RESULTS: Forty-one DNTs classified as three histological
forms were investigated with CD34 and microtubule-associated protein 2 (MAP2)
immunohistochemistry. CD34 immunoreactivity was more frequently observed in non
specific DNT types (16/18 cases; 88.9%) than in classic types (6/23 cases; 26.1%)
(P < 0.001). Peritumoral CD34 expression of non-neoplastic cells was
significantly associated with CD34-positive tumours (20/22 cases; 90.9%) than
with CD34-negative tumours (3/19 cases; 15.8%) (P < 0.001). MAP2 positivity in
oligodendroglia-like cells or glial elements was significantly different between
classic types and non-specific types (P = 0.025). CD34 and MAP2
immunoreactivities were significantly more frequent in non-specific types (83.3%)
than in simple (10%) and complex forms (30.8%) (P < 0.001). CONCLUSIONS: Non
specific DNTs are glioneuronal tumours that have a heterogeneous population of
cells with more immature neuronal and glial phenotypes. Furthermore, with regard
to practical implications, combined analysis of CD34 and MAP2 is useful in
distinguishing DNTs from particularly diagnostically challenging mimics.
PMID- 21884209
TI - Glycoconjugate expression in adenoid cystic carcinoma of the salivary glands: up
regulation of L1 predicts fatal prognosis.
AB - AIMS: The up-regulation of the cell adhesion molecule L1 has been associated
with impaired prognosis in several cancers. This study aimed to identify
potential prognostic markers, including L1, in adenoid cystic carcinoma of the
salivary glands (ACCs), which might give additional insight into the molecular
mechanisms underlying malignant progression. METHODS AND RESULTS: The expression
of L1 was analysed in 34 primary ACCs (nine tubular, 15 cribriform, nine solid,
one mixed) and correlated with recurrence, metastasis, overall survival and
clinicopathological parameters. Independent of the histological subtype, intense
L1 expression in the primary tumours was associated significantly with metastasis
(P = 0.02) and death (P = 0.044). In the subgroup of cribriform ACCs, 10 of 15
tumours contained pseudocysts, which were associated with significantly lower
recurrence rates (P = 0.003), lower metastasis rates (P = 0.009) and a prolonged
overall survival (P =0.004). CONCLUSIONS: Determination of L1 expression in
primary ACCs improves risk estimations. As up-regulation of L1 expression
predicts fatal prognosis, L1 might be involved functionally in growth and spread
of ACC and might thus present a molecular target for future therapeutic
strategies.
PMID- 21884211
TI - No non-sentinel node involvement in melanoma patients with limited Breslow
thickness and low sentinel node tumour load.
AB - AIMS: Most melanoma patients with a positive sentinel node (SN) undergo
completion lymph node dissection and frequently experience associated morbidity.
However, only 10-30% of SN-positive patients have further lymph node metastases.
The aim of the present study was to predict the absence of non-SN metastases in a
multicentre study of patients with a positive SN based on primary melanoma
features and SN tumour load. METHODS AND RESULTS: Of 70 SN positive patients, 18
had non-SN metastases. Penetrative depth of metastatic cells into the SN and SN
tumour load was assessed by morphometry. None of the 14 patients (20%) with a
Breslow thickness <2.0 mm and an SN tumour load <0.2 mm2 had non-SN metastases.
Similarly, none of the 15 patients (21%) with a Breslow thickness <2.0 mm and SN
penetrative depth <600 MUm had non-SN metastases. Lastly, none of the 14 patients
(20%) with a Breslow thickness <2.0 mm and a diameter of the largest SN deposit
<500 MUm had non-SN metastases. CONCLUSIONS: A combination of limited Breslow
thickness and low SN tumour load predicts absence of non-SN metastases in
melanoma patients with a positive SN with high accuracy. We propose that this
subgroup may be spared completion lymph node dissection.
PMID- 21884212
TI - Injection site pseudosarcoma in piriformis syndrome.
AB - AIMS: Pseudosarcomatous reactive myofibroblastic proliferations have been
described following surgery or trauma at a variety of anatomical sites. These
types of reactions have not been previously described at injection sites. Here we
evaluated prevalence, morphologic patterns and clinical resolution of such
lesions. METHODS AND RESULTS: We analyzed 266 surgical resection specimens
obtained during the definitive treatment of piriformis syndrome. Three cases
showed exuberant reactive fibroblastic/myofibroblastic intramuscular
proliferations, mimicking a sarcoma. In all three cases the surgeries were found
to be preceded by local injections of cortisone and bupivacaine. Clinical follow
up revealed no uncontrolled growth. CONCLUSIONS: As the clinical history of
injections is often not provided, it is important to be aware of this pitfall
when reviewing skeletal muscle resections for entrapment syndromes.
PMID- 21884213
TI - Observer prediction of HER2 amplification in HercepTest 2+ breast cancers as a
potential audit instrument.
AB - AIMS: Correct assignment of human epidermal growth factor receptor 2 (HER2)
status in breast cancer is important. Indeterminate (2+) HER2
immunohistochemistry (IHC) is usually resolved by FISH for HER2 gene
amplification. It was hypothesised that predicting HER2 amplification in IHC 2+
cases could improve audit of HER2 IHC and its interpretation. METHODS AND
RESULTS: One observer (J. J. G.) interpreted 4343 assessable HercepTests on
consecutive breast cancers from the West of Scotland over 45 consecutive months
during 2007-2010, with 2+ cases classified prospectively as 'probably amplified',
'possibly amplified' or 'probably not amplified' prior to FISH. A HER2 to
chromosome 17 FISH ratio >2 was taken to define HER2 amplification. There were
265 3+ cases (6.1%) and 883 2+ cases (20.3%). Of 187 'probably amplified' 2+
cases, 166 (88.8%) were amplified, as were 90 (37.8%) of 238 'possibly amplified'
2+ cases. Of 458 'probably not amplified' but still 2+ cases, 59 (12.8%) were in
fact amplified (overall chi2 333.89, df 2, P < 0.0001). In total, 580 of 4343
(13.4%) cancers were HER2-positive (265 3+ by IHC and 315 2+ and HER2 amplified).
CONCLUSIONS: Breast cancers HER2-indeterminate (2+) by HercepTest IHC can be
strongly separated into those probably HER2 amplified, a core indeterminate group
and those probably not HER2 amplified. The percentage of HER2 amplified cases in
each category is proposed as an instrument for comparison of HER2 IHC and its
interpretation between laboratories and observers.
PMID- 21884214
TI - 'Difficult to diagnose' desmoid tumours: a potential role for CTNNB1 mutational
analysis.
AB - AIMS: The utility of CTNNB1 (encoding beta-catenin) genotyping for diagnosing
sporadic desmoid tumours (DT) when traditional clinicopathological parameters
were inconclusive was evaluated. METHODS AND RESULTS: Cases included were: (i)
new primary lesions where initial DT diagnosis was inconclusive; and (ii)
possible recurrent DT versus scar. Formalin-fixed paraffin-embedded (FFPE)
tissues were obtained via needle biopsy or a surgical excision (57 specimens) as
part of initial assessment. DNA extraction, CTNNB1 exon 3 amplification and
sequencing were conducted in a Clinical Laboratory Improvement Amendments of 1988
(CLIA)-approved molecular diagnostics laboratory. For patients with no previous
DT history (n = 47) sequencing identified mutations in 30 (64%), substantiating
DT diagnosis. In biopsies with non-mutated (NM) CTNNB1 (n = 17) the test was
inconclusive; in seven of these, a diagnosis of DT was strongly favoured in the
subsequent surgical resection specimen. Ten patients with previously resected DT
were evaluated; mutation was identified in six cases (60%), indicating DT over
scar. In two (20%) with primary tumours harbouring CTNNB1 mutation no mutation
was found, favouring scar over DT; the other two NM-CTNNB1 cases (20%) were
inconclusive. CONCLUSIONS: CTNNB1 genotyping can be very useful in 'difficult to
diagnose' lesions when the differential diagnosis includes DT. Recognizing
inherent test limitations, the presence of CTNNB1 mutation can inform the
therapeutic approach.
PMID- 21884215
TI - BackgroundCorrector--a software program for fast and user-friendly improvement of
digital photomicrographs.
PMID- 21884216
TI - Multiple intratumoral KRAS mutations can clonally segregate to different lymph
node metastases in colon cancer.
PMID- 21884217
TI - Reduced numbers of regulatory T cells in breast carcinoma with medullary
features.
PMID- 21884218
TI - Epstein-Barr virus in the germinal centres of adenopathies affected by classic
Hodgkin lymphoma.
PMID- 21884219
TI - Synchronous Epstein-Barr virus-positive diffuse large B-cell lymphoma of the
elderly and Epstein-Barr virus-positive classical Hodgkin lymphoma.
PMID- 21884220
TI - Solitary bronchial neuroma associated with asthma: chronic irritation
pathogenesis?
PMID- 21884221
TI - Cross-cultural similarities and differences in person-body reasoning:
experimental evidence from the United Kingdom and Brazilian Amazon.
AB - We report the results of a cross-cultural investigation of person-body reasoning
in the United Kingdom and northern Brazilian Amazon (Marajo Island). The study
provides evidence that directly bears upon divergent theoretical claims in
cognitive psychology and anthropology, respectively, on the cognitive origins and
cross-cultural incidence of mind-body dualism. In a novel reasoning task, we
found that participants across the two sample populations parsed a wide range of
capacities similarly in terms of the capacities' perceived anchoring to bodily
function. Patterns of reasoning concerning the respective roles of physical and
biological properties in sustaining various capacities did vary between sample
populations, however. Further, the data challenge prior ad-hoc categorizations in
the empirical literature on the developmental origins of and cognitive
constraints on psycho-physical reasoning (e.g., in afterlife concepts). We
suggest cross-culturally validated categories of "Body Dependent" and "Body
Independent" items for future developmental and cross-cultural research in this
emerging area.
PMID- 21884222
TI - How linguistic and cultural forces shape conceptions of time: English and
Mandarin time in 3D.
AB - In this paper we examine how English and Mandarin speakers think about time, and
we test how the patterns of thinking in the two groups relate to patterns in
linguistic and cultural experience. In Mandarin, vertical spatial metaphors are
used more frequently to talk about time than they are in English; English relies
primarily on horizontal terms. We present results from two tasks comparing
English and Mandarin speakers' temporal reasoning. The tasks measure how people
spatialize time in three-dimensional space, including the sagittal (front/back),
transverse (left/right), and vertical (up/down) axes. Results of Experiment 1
show that people automatically create spatial representations in the course of
temporal reasoning, and these implicit spatializations differ in accordance with
patterns in language, even in a non-linguistic task. Both groups showed evidence
of a left-to-right representation of time, in accordance with writing direction,
but only Mandarin speakers showed a vertical top-to-bottom pattern for time
(congruent with vertical spatiotemporal metaphors in Mandarin). Results of
Experiment 2 confirm and extend these findings, showing that bilinguals'
representations of time depend on both long-term and proximal aspects of language
experience. Participants who were more proficient in Mandarin were more likely to
arrange time vertically (an effect of previous language experience). Further,
bilinguals were more likely to arrange time vertically when they were tested in
Mandarin than when they were tested in English (an effect of immediate linguistic
context).
PMID- 21884223
TI - Case of malignant melanoma associated with a sarcoid reaction.
PMID- 21884224
TI - Parent-of-origin effect: a hint from vitiligo epidemiology.
PMID- 21884225
TI - "Gentle cesareans": better in some respects, but fewer cesareans are better
still.
PMID- 21884226
TI - United States home births increase 20 percent from 2004 to 2008.
AB - BACKGROUND: After a gradual decline from 1990 to 2004, the percentage of births
occurring at home increased from 2004 to 2008 in the United States. The objective
of this report was to examine the recent increase in home births and the factors
associated with this increase from 2004 to 2008. METHODS: United States birth
certificate data on home births were analyzed by maternal demographic and medical
characteristics. RESULTS: In 2008, there were 28,357 home births in the United
States. From 2004 to 2008, the percentage of births occurring at home increased
by 20 percent from 0.56 percent to 0.67 percent of United States births. This
rise was largely driven by a 28 percent increase in the percentage of home births
for non-Hispanic white women, for whom more than 1 percent of births occur at
home. At the same time, the risk profile for home births has been lowered, with
substantial drops in the percentage of home births of infants who are born
preterm or at low birthweight, and declines in the percentage of home births that
occur to teen and unmarried mothers. Twenty-seven states had statistically
significant increases in the percentage of home births from 2004 to 2008; only
four states had declines. CONCLUSION: The 20 percent increase in United States
home births from 2004 to 2008 is a notable development that will be of interest
to practitioners and policymakers. (BIRTH 38:3 September 2011).
PMID- 21884227
TI - Mothers' level of education and childbirth interventions: A population-based
study in Flanders, Northern Belgium.
AB - BACKGROUND: Interventions to influence the time and way to be born have been a
global concern for decades. Yet, limited information is available on what drives
these interventions and their variation in frequency among countries,
institutions, and practitioners. The objective of this study was to examine to
what extent first-time mothers' educational achievement contributes to the
frequency of childbirth interventions. METHODS: Childbirth interventions,
including induction of labor, cesarean section, instrumental delivery, and
epidural analgesia, registered by the Flemish Study Center for Perinatal
Epidemiology for Belgian-born nulliparous women from 1999 to 2006, were linked to
the level of maternal education, recorded by the Belgian civil birth
registration. Education was divided into four levels based on the highest diploma
attained and adjusted for marital and occupational status. RESULTS: Frequencies
of all interventions were inversely related to the level of maternal education.
The effect remained after adjustment for birth year, maternal age, marital
status, occupation, infant birthweight, gestational age, assisted conception, and
type of hospital. Effect sizes between highest and lowest levels of education
were relatively small for operative (31% vs 36%) and instrumental vaginal birth
(20.7% vs 22.3%) compared with "initiated delivery" (defined as labor induction
and prelabor cesarean section; 30.2% vs 40.3%) and epidural analgesia (66.8% vs
78.0%). The educational gradient in initiated delivery occurred at all
gestational ages, contributing to lower gestational age and lower birthweight of
term infants with decreasing levels of education. CONCLUSIONS: In an affluent
society with universal and equitable access to maternity care, the more educated
women are, the more likely they are to have a spontaneous labor and spontaneous
birth without intervention. (BIRTH 38:3 September 2011).
PMID- 21884228
TI - The use of complementary and alternative medicine during pregnancy: a
longitudinal study of Australian women.
AB - BACKGROUND: The use of complementary and alternative medicine is increasingly
prevalent in contemporary Western societies. The objective of this study was to
explore trends and patterns in complementary and alternative medicine
practitioner consultations and the use of complementary and alternative medicine
consumption before, during, and after pregnancy and between pregnancies. METHODS:
Analysis focused on data from 13,961 women from the younger cohort of the
Australian Longitudinal Study on Women's Health collected between 1996 and 2006.
Chi-square tests were employed for the cross-sectional analysis of categorical
variables and t tests for continuous variables. Generalized estimating equations
were used to conduct multivariate longitudinal analysis. RESULTS: Complementary
and alternative medicine use among pregnant and nonpregnant women continued to
increase over the 10-year period. Although pregnancy status was not predictive of
the use of alternative treatments, pregnant women employed these therapies or
modalities for the relief of pregnancy-related complaints and symptoms. Analysis
also revealed that women used complementary and alternative treatments
selectively during pregnancy. CONCLUSIONS: This study highlights the need for
further research that is sensitive to the consumption of specific complementary
and alternative therapies or modalities and to the wider contexts within which
women perceive risk associated with their use of complementary and alternative
treatments.
PMID- 21884229
TI - The experience of pregnancy and birth with midwives: results from the Canadian
maternity experiences survey.
AB - BACKGROUND: In Canada maternity care is publicly funded, and although women may
choose their care providers, choices may be limited. The purpose of this study
was to compare perceptions of maternity outcomes and experiences of those who
received care from midwives with those who received care from other providers.
METHODS: Based on the 2006 Canadian census, a random sample of women (n = 6,421)
who had recently given birth in Canada completed a computer-assisted telephone
interview for the Maternity Experiences Survey. The sample was stratified
according to province or territory where birth occurred, age, rural or urban
residence, and presence of other children in the home. Those who were 15 years of
age and older, gave birth to a singleton baby, and were living with their infant
were eligible for inclusion. RESULTS: Women whose primary prenatal providers were
midwives had fewer ultrasounds and were more likely to attend prenatal classes
and have at least five or more prenatal visits. They were also more likely to
rate satisfaction with their maternity experience as "very positive" and be
satisfied with information provided on a variety of pregnancy and birth topics if
their primary prenatal provider was a midwife. They were almost half as likely to
experience induction and 7.33 times more likely to experience a medication-free
delivery. They were more likely to initiate and maintain breastfeeding at 3 and 6
months. CONCLUSIONS: Evidence shows that midwifery outcomes and levels of
satisfaction meet or exceed Canadian maternity care standards. Facilitation of
the continuing integration of midwives as autonomous practitioners throughout
Canada is recommended. (BIRTH 38:3 September 2011).
PMID- 21884230
TI - Posttraumatic stress disorder in new mothers: results from a two-stage U.S.
national survey.
AB - BACKGROUND: Prevalence rates of women in community samples who screened positive
for meeting the DSM-IV criteria for posttraumatic stress disorder after
childbirth range from 1.7 to 9 percent. A positive screen indicates a high
likelihood of this postpartum anxiety disorder. The objective of this analysis
was to examine the results that focus on the posttraumatic stress disorder data
obtained from a two-stage United States national survey conducted by Childbirth
Connection: Listening to Mothers II (LTM II) and Listening to Mothers II
Postpartum Survey (LTM II/PP). METHODS: In the LTM II study, 1,373 women
completed the survey online, and 200 mothers were interviewed by telephone. The
same mothers were recontacted and asked to complete a second questionnaire 6
months later and of those, 859 women completed the online survey and 44 a
telephone interview. Data obtained from three instruments are reported in this
article: Posttraumatic Stress Disorder Symptom Scale-Self Report (PSS-SR),
Postpartum Depression Screening Scale (PDSS), and the Patient Health
Questionnaire-2 (PHQ-2). RESULTS: Nine percent of the sample screened positive
for meeting the diagnostic criteria of posttraumatic stress disorder after
childbirth as determined by responses on the PSS-SR. A total of 18 percent of
women scored above the cutoff score on the PSS-SR, which indicated that they were
experiencing elevated levels of posttraumatic stress symptoms. The following
variables were significantly related to elevated posttraumatic stress symptoms
levels: low partner support, elevated postpartum depressive symptoms, more
physical problems since birth, and less health-promoting behaviors. In addition,
eight variables significantly differentiated women who had elevated posttraumatic
stress symptom levels from those who did not: no private health insurance,
unplanned pregnancy, pressure to have an induction and epidural analgesia,
planned cesarean birth, not breastfeeding as long as wanted, not exclusively
breastfeeding at 1 month, and consulting with a clinician about mental well-being
since birth. A stepwise multiple regression revealed that two predictor variables
significantly explained 55 percent of the variance in posttraumatic stress
symptom scores: depressive symptom scores on the PHQ-2 and total number of
physical symptoms women were experiencing at the time they completed the LTM
II/PP survey. CONCLUSION: In this two-stage national survey the high percentage
of mothers who screened positive for meeting all the DSM-IV criteria for a
posttraumatic stress disorder diagnosis is a sobering statistic.
PMID- 21884231
TI - Breastfeeding policies and practices in Canadian hospitals: comparing 1993 with
2007.
AB - BACKGROUND: The Baby-Friendly Hospital Initiative (BFHI) promotes the World
Health Organization International Code of Marketing of Breast-milk Substitutes
(WHO Code) and the WHO/UNICEF's Ten Steps to Successful Breastfeeding (Ten
Steps). The purpose of this study is to describe and compare maternity hospitals'
adherence to the BFHI in 1993 and 2007 for Canada and for each province and
territory. METHODS: A survey of all Canadian maternity hospitals was conducted in
1993 and 2007 on routine maternity care practices and policies including infant
feeding. RESULTS: The overall response rate was 91 percent (n = 523/572
hospitals) in 1993 and 92 percent (n = 323/353 hospitals) in 2007. Eighty-two
percent (415/507) of hospitals in 1993 and 68 percent (198/292) in 2007 had
exclusive contracts with formula companies. Fifty-eight percent (302/517) of
hospitals in 1993 and 90 percent (289/322) in 2007 never gave breastfeeding
mothers sample packs containing formula. Fifty-eight percent (296/507) in 1993
and 85 percent (273/321) in 2007 had written breastfeeding policies (Step 1); 97
percent (503/518) in 1993 and 99 percent (320/322) in 2007 allowed mothers to
breastfeed, on cue, whenever the babies indicated an interest 24 hours a day
(Step 8); 24 percent (126/519) in 1993 and 64 percent (206/321) in 2007 reported
that they did not provide soothers (Step 9); 58 percent (297/513) in 1993 and 68
percent (215/316) in 2007 always offered information on breastfeeding support
groups and/or advice at time of discharge (Step 10). CONCLUSIONS: In the 14 years
separating the two surveys, Canadian maternity hospitals substantially improved
their implementation of the WHO Code and their adherence to the WHO/UNICEF Ten
Steps.
PMID- 21884232
TI - Impact of baby-friendly hospital practices on breastfeeding in Hong Kong.
AB - BACKGROUND: The World Health Organization (WHO) developed the Baby-Friendly
Hospital Initiative to improve hospital maternity care practices that support
breastfeeding. In Hong Kong, although no hospitals have yet received the Baby
Friendly status, efforts have been made to improve breastfeeding support. The aim
of this study was to examine the impact of Baby-Friendly hospital practices on
breastfeeding duration. METHODS: A sample of 1,242 breastfeeding mother-infant
pairs was recruited from four public hospitals in Hong Kong and followed up
prospectively for up to 12 months. The primary outcome variable was defined as
breastfeeding for 8 weeks or less. Predictor variables included six Baby-Friendly
practices: breastfeeding initiation within 1 hour of birth, exclusive
breastfeeding while in hospital, rooming-in, breastfeeding on demand, no
pacifiers or artificial nipples, and information on breastfeeding support groups
provided on discharge. RESULTS: Only 46.6 percent of women breastfed for more
than 8 weeks, and only 4.8 percent of mothers experienced all six Baby-Friendly
practices. After controlling for all other Baby-Friendly practices and possible
confounding variables, exclusive breastfeeding while in hospital was protective
against early breastfeeding cessation (OR: 0.61; 95% CI: 0.42-0.88). Compared
with mothers who experienced all six Baby-Friendly practices, those who
experienced one or fewer Baby-Friendly practices were almost three times more
likely to discontinue breastfeeding (OR: 3.13; 95% CI: 1.41-6.95). CONCLUSIONS:
Greater exposure to Baby-Friendly practices would substantially increase new
mothers' chances of breastfeeding beyond 8 weeks postpartum. To further improve
maternity care practices in hospitals, institutional and administrative support
are required to ensure all mothers receive adequate breastfeeding support in
accordance with WHO guidelines.
PMID- 21884233
TI - Poor health-related quality of life after severe preeclampsia.
AB - BACKGROUND: Preeclampsia is a major complication of pregnancy associated with
increased maternal morbidity and mortality, and adverse birth outcomes. The
objective of this study was to describe changes in all domains of health-related
quality of life between 6 and 12 weeks postpartum after mild and severe
preeclampsia; to assess the extent to which it differs after mild and severe
preeclampsia; and to assess which factors contribute to such differences.
METHODS: We conducted a prospective multicenter cohort study of 174 postpartum
women who experienced preeclampsia, and who gave birth between February 2007 and
June 2009. Health-related quality of life was measured at 6 and 12 weeks
postpartum by the RAND 36-item Short-Form Health Survey (SF-36). The population
for analysis comprised women (74%) who obtained scores on the questionnaire at
both time points. RESULTS: Women who experienced severe preeclampsia had a
lower postpartum health-related quality of life than those who had mild
preeclampsia (all p < 0.05 at 6 wk postpartum). Quality of life improved on
almost all SF-36 scales from 6 to 12 weeks postpartum (p < 0.05). Compared with
women who had mild preeclampsia, those who experienced severe preeclampsia had a
poorer mental quality of life at 12 weeks postpartum (p < 0.05). Neonatal
intensive care unit admission and perinatal death were contributing factors to
this poorer mental quality of life. CONCLUSIONS: Obstetric caregivers should be
aware of poor health-related quality of life, particularly mental health quality
of life in women who have experienced severe preeclampsia (especially those
confronted with perinatal death or their child's admission to a neonatal
intensive care unit), and should consider referral for postpartum psychological
care.
PMID- 21884234
TI - Affirming motherhood: validation and invalidation in women's perinatal hospice
narratives.
AB - BACKGROUND: Perinatal hospice is an option for women who learn during pregnancy
that their fetuses are affected by terminal conditions and choose to continue
their affected pregnancies. These women face emotional pain and the impending
loss of their fetuses or infants. The aims of this study were to explore the
experiences of perinatal hospice mothers, to gather knowledge useful to health
professionals, and to guide future research. METHODS: Narrative analysis was
performed using the personal stories of 15 women who continued pregnancies
affected by lethal fetal anomalies. RESULTS: The participants identified
themselves as mothers and their fetuses or newborns as babies. Mothers valued
caring for and interacting with their babies. Health professionals who affirmed
their status as mothers, the value of their babies, and the significance of their
losses were perceived as supportive. Invalidating attitudes and behavior caused
significant distress among mothers. CONCLUSIONS: Optimal care of perinatal
hospice mothers supports the development of maternal identity and contact between
mothers and newborns when desired. Professionals who care for perinatal hospice
mothers can affirm their motherhood through their behavior and attitudes.
PMID- 21884235
TI - Traveling through time to normal birth.
PMID- 21884236
TI - Letter from Europe: kick-starting the uterus.
PMID- 21884237
TI - Pregnancy, childbirth and the newborn: the complete guide.
PMID- 21884239
TI - When is the best age to have a child?
PMID- 21884243
TI - No more pilots, a phase III trial of fibrates in primary biliary cirrhosis is
long overdue!
PMID- 21884244
TI - Nutritional considerations in end-stage liver disease.
PMID- 21884245
TI - Interleukin-28B genetic variations and response to interferon-based therapy:
Asian perspectives.
PMID- 21884246
TI - Autoimmune pancreatitis.
AB - The purpose of this review is to provide a concise view of the existing knowledge
of autoimmune pancreatitis (AIP) for practicing clinicians. AIP is a rare disease
whose recognition and understanding are evolving. It is a type of chronic
pancreatitis that often presents as obstructive jaundice, has a distinctive
histology, and is exquisitely sensitive to steroid therapy. This form of chronic
pancreatitis has a unique clinical, biochemical, and radiological profile. The
term "AIP" encompasses two subtypes: types 1 and 2. Type 1 AIP is the pancreatic
manifestation of a systemic fibro-inflammatory disease called immunoglobulin G4
associated systemic diseases. Type 2 AIP has been shown to be associated with
inflammatory bowel disease. Existing criteria are geared towards the diagnosis of
type 1 AIP. At present, pancreatic histology is a requirement for the definitive
diagnosis of type 2 AIP. AIP can mimic most other pancreatic diseases in its
presentation, but in clinical practice, it often has to be differentiated from
pancreatic cancer. There are established criteria and algorithms not only to
diagnose AIP, but also to differentiate it from pancreatic cancer. The utility of
these algorithms and the approach to management are discussed here.
PMID- 21884247
TI - Suppressive effects of entecavir on hepatitis B virus and hepatocellular
carcinoma.
AB - BACKGROUND AND AIM: We investigated the efficacy and effectiveness of entecavir
in hepatitis B virus (HBV)-related hepatocellular carcinoma (HCC) patients.
METHODS: We enrolled 231 nucleoside-naive chronic hepatitis B (CHB) patients
primarily treated with entecavir 0.5 mg/day for at least 6 months in our
institution. Of these, 71 patients had HCC at the start of entecavir treatment
(HCC group) and 160 did not (non-HCC group). We compared antiviral responses to
entecavir in the two groups, and evaluated the effects of entecavir on the
clinical outcomes of curatively-treated HCC patients. RESULTS: The HCC and non
HCC groups had similar cumulative rates of HBV-DNA negativity, alanine
aminotransferase normalization, and hepatitis e antigen loss in year 2 (100% vs
95.4%, 94.7% vs 97.3%, and 40.8% vs 41.8%, respectively; P > 0.05). Entecavir
treatment for 12 months decreased mean Model for End-Stage Liver Disease scores
in patients with cirrhosis and HCC (7.2 vs 5.6, P < 0.001). Of the 71 HCC
patients, 16 underwent curative therapies concurrently with entecavir;
hepatectomy in six and radiofrequency ablation in 10, and the 55 remaining
patients received transarterial chemoembolization or conservative treatment. In a
subgroup of 16 HCC patients receiving curative treatments, patients who became
serum HBV DNA negative by week 24 had better overall survival (P = 0.039), but
not recurrence-free survival (P = 0.961), than those who did not. CONCLUSIONS:
First-line entecavir monotherapy is comparably effective in CHB patients with and
without HCC, and improves hepatic function in HBV-related HCC patients. An early
virological response to entecavir is prognostic of improved survival following
curative therapy against HBV-related HCC.
PMID- 21884248
TI - Outcomes after curative treatment for cryptogenic cirrhosis-associated
hepatocellular carcinoma satisfying the Milan criteria.
AB - BACKGROUND AND AIM: The prognosis of cryptogenic cirrhosis-associated
hepatocellular carcinoma (CC-HCC) was reported to be poor because many of them
were discovered at the advanced stage. The aim of this study is to reveal the
clinical features of early CC-HCC. METHODS: Consecutive 36 curatively treated CC
HCC patients satisfying the Milan Criteria were compared with corresponding 211
HCV-associated HCC (HCV-HCC) patients. The clinical background, tumor recurrence
rate, overall survival rate, and prognostic values of the patients were assessed.
RESULTS: The size of CC-HCCs was larger than that of HCV-HCCs (P = 0.01). The
respective tumor recurrence rates at 1, 3, and 5 years were 11%, 32%, and 46% in
the CC-HCC, and 21%, 59%, and 81% in the HCV-HCC. The respective overall survival
rates at 1, 3, and 5 years were 94%, 85%, and 80% in the CC-HCC, and 98%, 81%,
and 61% in the HCV-HCC. CC-HCC patients had a lower tumor recurrence rate and a
higher survival rate compared to the HCV-HCC patients (P = 0.001 and P = 0.02,
respectively). Via multivariate analysis, significant factors for high recurrence
rate were number of HCCs (P = 0.02) and serum alpha fetoprotein levels (P = 0.03)
in CC-HCC, whereas multiple tumors (P < 0.001), large tumor size (P = 0.01), and
high alanine aminotransferase (P = 0.04) in HCV-HCC. The factor for survival was
albumin in both groups. CONCLUSION: The size of CC-HCC was larger than that of
HCV-HCC even in patients who received curative treatment; however, the risk for
recurrence and the mortality of the patients with CC-HCC was lower than those
with HCV-HCC.
PMID- 21884249
TI - Education and imaging. Gastrointestinal: gallbladder carcinoma and
cholelithiasis: a radiologic--pathologic correlation.
PMID- 21884250
TI - Education and imaging. Gastrointestinal: esophageal melanocytosis--the esophagus
that seemed "off-color".
PMID- 21884251
TI - Education and imaging. Gastrointestinal: fasciolopsis buski infestation diagnosed
by upper gastrointestinal endoscopy.
PMID- 21884252
TI - Education and imaging. Hepatobiliary and pancreatic: traumatic bile duct neuroma.
PMID- 21884253
TI - Education and imaging. Hepatobiliary and pancreatic: bile duct stricture after
cholecystectomy.
PMID- 21884255
TI - Iconography in Bradshaw rock art: breaking the circularity.
AB - BACKGROUND: Interpreting the symbols found in the rock art of an extinct culture
is hampered by the fact that such symbols are culturally determined. How does one
break the circularity inherent in the fact that the knowledge of both the symbols
and the culture comes from the same source? In this study, the circularity is
broken for the Bradshaw rock art of the Kimberley by seeking anchors from outside
the culture. METHODS: Bradshaw rock art in the Kimberley region of Australia and
Sandawe rock art in the Kolo region of Eastern Tanzania were surveyed in six
visits on foot, by vehicle, by helicopter and from published or shared images, as
well as from the published and online images of Khoisan rock art. RESULTS:
Uniquely shared images between Bradshaw and Sandawe art, such as the 'mushroom
head' symbol of psilocybin use, link the two cultures and indicate that they were
shamanistic. Therefore, many mysterious features in the art can be understood in
terms of trance visualisations. A number of other features uniquely link Bradshaw
and Sandawe cultures, such as a special affinity for small mammals. There are
also many references to baobabs in early Bradshaw art but not later. This can be
explained in the context of the Toba super-volcano, the likely human transport of
baobabs to the Kimberley and the extraordinary utility of the baobab. CONCLUSION:
Many more mysterious symbols in Bradshaw rock art might await interpretation
using the approaches adopted here.
PMID- 21884256
TI - Influence of corneal astigmatism, corneal curvature and meridional differences on
corneal hysteresis and corneal resistance factor.
AB - BACKGROUND: This study investigated the influence of corneal astigmatism, corneal
curvature and meridional differences on corneal hysteresis (CH) and the corneal
resistance factor (CRF) in a group of normal Chinese persons. METHODS: Ninety
five participants were recruited and data from the eye with higher corneal
astigmatism were analysed. The anterior corneal curvature was measured by corneal
topography. The Goldmann-correlated intraocular pressure (IOPg), corneal
compensated intraocular pressure (IOPcc), CH and CRF at different meridians
(default horizontal position, 10 degrees , 20 degrees and 30 degrees along the
superotemporal and inferonasal meridians) were obtained from an ocular response
analyser. The corneal powers at these specific meridians also were calculated.
RESULTS: At the default position, the IOPg and CRF had weak correlations with
corneal astigmatism, while the IOPcc and CH were not significantly correlated
with corneal astigmatism. Both the IOPg and IOPcc were measured significantly
higher at the default position. The CH and CRF were lower at the default position
but the difference in the CRF from obliquity could not reach statistical
significance. The CH was not significantly correlated with the corneal power at
all meridians. The CRF correlated with the corneal power only at 30 degrees
superotemporal. CONCLUSION: Corneal astigmatism and head tilt did not have much
effect on the measurement of CH and the CRF, both of which were lowest along the
horizontal meridian. Clinically, the difference was small. The influence of
corneal power on CH and the CRF was minimal.
PMID- 21884257
TI - The Man from Ironbark: a profile of Professor Jack Pettigrew FRS, flamboyant
sensory systems researcher and recipient of the H Barry Collin Research Medal.
PMID- 21884259
TI - Induction of Sca-1 in the duct cells of the mouse submandibular gland by
obstruction of the main excretory duct.
AB - The effect of ligation of the main excretory duct (MED) of the mouse
submandibular gland (SMG) on the expression of Sca-1, a stem cell antigen, was
examined by Western blotting and immunohistochemistry. By Western blotting, the
expression of Sca-1 with a molecular weight of 18 kDa was identified in the
normal gland. At 1 day post-ligation, the expression level of Sca-1 was strongly
increased in the experimental gland and weakly in the contralateral gland, and
such expression in both glands decreased at 6 days. By immunohistochemistry, Sca
1 was detected weakly in the apical membrane of excretory duct (ED) cells of the
SMG under the normal condition. By duct ligation, Sca-1 became expressed strongly
in most cells of the two major duct systems, i.e., the striated duct (SD) and
granular convoluted tubules (GCT), but was not detected in the acinar (Ac) cells.
By fluorescence-activated cell sorter (FACS) analysis, the number of side
population (SP) cells in this gland was found to be increased by ligation. These
results imply that Sca-1-positive cells may have a role in the duct cell
proliferation in the regeneration step elicited by MED ligation-induced injury.
PMID- 21884261
TI - Editorial Comment to Risk factors for pelvic lymphoceles post-radical
prostatectomy.
PMID- 21884266
TI - VIIth International Conference on Boar Semen Preservation, 14-17 August 2011,
Bonn, Germany.
PMID- 21884268
TI - Review on international trade with boar semen.
AB - For more than 40 years, AI (artificial insemination) has been carried out with
pigs. In some countries, it constitutes since the 1990 s the dominant procedure
with piglet production to fertilize the sow. This procedure of insemination with
fresh semen has become prevalent in all countries on a worldwide basis with an
important pig meat production, with the exception of China. Meanwhile, up to 90%
of the sows have been artificially inseminated. The trend is still upwards. As
the need of pig meat and thus the production continues to increase, one can
proceed on the assumption that the number of semen doses, which is necessary for
this procedure, will likewise increase correspondingly. Until now, the trade
beyond borders has only been marginal. An improvement in the sense of a longer
shelf life for semen doses is indispensable for the trade over longer distances.
PMID- 21884269
TI - The current value of frozen-thawed boar semen for commercial companies.
AB - Opportunities for use of frozen-thawed semen (FTS) must address genetic
advancement and fertility for developing practical models for use. Concerns about
slowed genetic gains, lowered fertility and additional costs may limit use of
FTS. However, FTS is presently used for international exchange among nucleus
farms to help maintain genetic diversity. Use of FTS beyond several days can
provide increased flexibility for on-farm use and allow additional time for
disease tests. There may be potential for use of FTS for short-term banking
during periods of low demand and while sires await breeding value tests.
Opportunities exist for FTS use in long-term banking of sire lines, creation of
semen pools for genetic progress evaluation and for use in emergencies. Advancing
FTS technology will require the following: (i) more efficient production of
doses; (ii) improved fertility with single sire or pooled matings; (iii)
education and training; and (iv) models for FTS use and economics for use under
various scenarios.
PMID- 21884270
TI - Capacitation and capacitation-like sperm surface changes induced by handling boar
semen.
AB - Since it has been well recognized that reproductive technologies, such as
cryopreservation and sex-sorting, have a detrimental impact on sperm quality.
These procedures cause sperm membrane destabilization which resembles that of
capacitation. The pathways of this complex biochemical event are slowly
unravelling, including the vital role of coating and decoating factors on the
sperm surface. Characterization of these factors is leading to the development of
novel surface manipulation techniques to stabilize the sperm membrane during
handling. The possible application of these for assisted pig reproduction is
discussed.
PMID- 21884271
TI - Colloid centrifugation of boar semen.
AB - Colloid centrifugation of boar semen has been reported sporadically for at least
the last two decades, beginning with density gradient centrifugation (DGC) and
progressing more recently to single layer centrifugation (SLC). Single layer
centrifugation through a species-specific colloid has been shown to be effective
in selecting the best spermatozoa (spermatozoa with good motility and normal
morphology) from boar sperm samples. The method is easier to use and less time
consuming than DGC and has been scaled-up to allow whole ejaculates from other
species, e.g. stallions, to be processed in a practical manner. The SLC technique
is described, and various scale-up versions are presented. The potential
applications for SLC in boar semen preservation are as follows: to improve sperm
quality in artificial insemination (AI) doses for 'problem' boars; to increase
the shelf-life of normal stored sperm samples, either by processing the fresh
semen before preparing AI doses or by processing the stored semen dose to extract
the best spermatozoa; to remove pathogens (viruses, bacteria), thus improving
biosecurity of semen doses and potentially reducing the use of antibiotics; to
improve cryosurvival by removing dead and dying spermatozoa prior to
cryopreservation; to select spermatozoa for in vitro fertilization. These
applications are discussed and practical examples are provided. Finally, a few
thoughts about the economic value of the technique to the boar semen industry are
presented.
PMID- 21884272
TI - Antioxidant mechanisms and their benefit on post-thaw boar sperm quality.
AB - While being an important component of normal cellular function, excess levels of
reactive oxygen species (ROS) cause cell damage and death. The ability to protect
sperm against oxidative damage is of particular importance in the artificial
reproduction industry because of the increased production of ROS by the sperm
cell during processing. This review discusses the formation of ROS and the use of
antioxidants in protecting boar sperm against oxidative damage.
PMID- 21884273
TI - Plenary contribution to International Conference on Boar Semen Preservation 2011.
Genetic selection for freezability and its controversy with selection for
performance.
AB - Little data are available in the literature regarding freezability of boar sperm
or its relationship with other traits. Existing data suggest the trait would
respond favourably to selection, and information is available from other species
suggesting components that might have changed. Genetic parameters are estimated
for boar sperm freezability including heritability and correlations with other
production traits. Sperm freezability is an ideal candidate for marker assisted
selection or selection for favourable alleles.
PMID- 21884274
TI - International Conference on Boar Semen Preservation (ICBSP): the first 25 years.
AB - The International Conference on Boar Semen Preservation (ICBSP) has been in
existence for 25 years. During that time, six conferences have been held in
Europe and North America. Each conference has sought to communicate research
advances in pig semen technology and artificial insemination (AI). Clearly, the
conference has been a catalyst for the advancement of various improvements in
semen preservation and AI. In addition, the conference has served to initiate
collaboration among scientists worldwide. A summary of problems that remain to be
investigated and solved in swine semen technology is provided.
PMID- 21884275
TI - Stress and dietary factors modify boar sperm for processing.
AB - This paper reviews stresses boar sperm undergo during processing and presents
preliminary results of dietary modification that minimize this damage. Processing
for artificial insemination (AI) stresses boar sperm by osmotic effects; altering
cell size, shape and membranes; intracellular ice formation; and production of
reactive oxygen species (ROS). Sperm response to ROS is concentration-dependent,
with low levels activating the ERK pathway to stimulate tyrosine phosphorylation
(Tyr-P) and capacitation, but high concentrations or inappropriately timed onset
of ROS pathways can harm sperm. Fresh boar sperm exposed to ROS increased
intracellular hydrogen peroxide (H(2) O(2) ) phospholipase and lipid
peroxidation, maintained viability but lost motility and underwent acrosome
reactions (AR). Direct incorporation of lipids +/- the antioxidant Vitamin E
improves the survival of liquid- and frozen-stored semen. Boars fed dietary
flaxseed for 8 weeks to increase n-3 fatty acids displayed improved sperm
morphology (p < 0.05), increased membrane fluidity (p < 0.05) and better
retention of motility and viability during 5-7 day storage (p < 0.05). Processes
reducing oxidative damage to stored sperm should be evaluated.
PMID- 21884276
TI - Assessment of storage effects in liquid preserved boar semen.
AB - Fertility of extended boar semen declines within the first 72 h of storage in
vitro. Standard semen assessment, such as motility and membrane integrity, allows
detection of lethal damage of spermatozoa. However, conventional sperm assessment
often lacks standardization and does not allow identification of sub-lethal
changes of sperm quality during the initial 72 h of storage. In the present brief
review, recent strategies for quality assessment of liquid preserved boar semen
are discussed and basic implications for experiments designed to detect storage
effects are given.
PMID- 21884277
TI - Do CASA systems satisfy consumers demands? A critical analysis.
AB - Boar studs are often offered new technologies including several CASA (computer
assisted semen analysis) systems. However, independent information to assist
their purchase decisions is not available. The systems accuracy and repeatability
variation because of different factors can be evaluated through duplicate testing
of semen samples and comparison of the results according to WHO standards for
humans. This primary analysis and a thorough economic cost benefit evaluation
will help to decide whether the purchase of a CASA system will be profitable for
a boar stud. Our experience of implementing several CASA systems in the
cooperative Dutch Artificial Insemination (AI) centres is used as a base for this
discussion.
PMID- 21884278
TI - New aspects of boar sperm encapsulation.
AB - The study takes into account the main steps and techniques for boar semen
encapsulation, to optimize the instrumental insemination interventions. The use
of cheap, biocompatible polymers as alginate can assure a regular, constant
release of spermatozoa in the sow reproductive system, avoiding the double/triple
intervention of insemination and reducing the employ of disposable materials. The
encapsulation/microencapsulation of semen can therefore be the starting point of
new, innovative systems of pig reproduction management.
PMID- 21884279
TI - Biological markers of boar fertility.
AB - The semen evaluation techniques used in most commercial artificial insemination
centers, which includes sperm motility and morphology measurements, provides a
very conservative estimate of the relative fertility of individual boars. As
well, differences in relative boar fertility are masked by the widespread use of
pooled semen for commercial artificial insemination (AI) in many countries.
Furthermore, the relatively high sperm numbers used in commercial AI practice
usually compensate for reduced fertility, as can be seen in some boars when lower
numbers of sperm are used for AI. The increased efficiency of pork production
should involve enhanced use of boars with strong reproductive efficiency and the
highest genetic merit for important production traits. Given that the current
measures of semen quality are not always indicative of fertility and reproductive
performance in boars, accurate and predictive genetic and protein markers are
still needed. Recently, significant efforts have been made to identify reliable
markers that allow for the identification and exclusion of sires with reduced
reproductive efficiency. This paper reviews the current status of proteomic and
genomic markers of fertility in boars in relation to other livestock species.
PMID- 21884280
TI - Field data analysis of boar semen quality.
AB - This contribution provides an overview of approaches to correlate sow fertility
data with boar semen quality characteristics. Large data sets of fertility data
and ejaculate data are more suitable to analyse effects of semen quality
characteristics on field fertility. Variation in fertility in sows is large. The
effect of semen factors is relatively small and therefore impossible to find in
smaller data sets. Large data sets allow for statistical corrections on both sow-
and boar-related parameters. Remaining sow fertility variation can then be
assigned to semen quality parameters, which is of huge interest to AI (artificial
insemination) companies. Previous studies of Varkens KI Nederland to find the
contribution to field fertility of (i) the number of sperm cells in an
insemination dose, (ii) the sperm motility and morphological defects and (iii)
the age of semen at the moment of insemination are discussed in context of the
possibility to apply such knowledge to select boars on the basis of their sperm
parameters for AI purposes.
PMID- 21884281
TI - The potential risk of infectious disease dissemination via artificial
insemination in swine.
AB - Artificial insemination (AI) is one of the most widely used assisted reproductive
technologies in swine. To maintain a healthy semen trade, it is crucial that
diligence be given to managing and minimizing the chance of extended semen
playing an epidemiological role in the transmission of infectious disease. In
swine, pathogens of primary importance, which may be transmitted through semen
include Aujeszky's disease, brucellosis, chlamydophilosis, porcine circovirus
type 2, classical swine fever, Japanese encephalitis, leptospirosis, parvovirus,
porcine reproductive and respiratory syndrome, rubulavirus, foot-and-mouth
disease and swine vesicular disease. This paper will summarise the current state
of knowledge pertaining to these pathogens in relation to swine AI.
PMID- 21884282
TI - Mechanisms of sperm storage in the female reproductive tract: an interspecies
comparison.
AB - Once semen has been collected for artificial insemination, it is diluted into
extenders designed to prevent its deterioration over the period prior to
insemination. If the semen is not frozen, the extenders provide protection for a
period of a few hours to a few days, depending on species. Despite the efforts of
biotechnologists to increase the duration of storage without compromising
fertility, there has been relatively little progress for many years. However,
comparative studies in diverse species have revealed that long-term sperm storage
(up to months and years) within the female reproductive tract is relatively
commonplace in reptiles, fishes, birds and amphibians. Even among mammals, some
species of bat have evolved mechanisms for storing spermatozoa for several months
in the uterus or oviduct so that they can mate in the autumn but postpone
fertilization until the spring. We currently know little about the mechanisms
that support such long-term sperm storage, mainly because evidence from such
species is either absent or fragmentary. Nevertheless, parallels between
mammalian and other systems, where spermatozoa are sequestered in sperm storage
tubules, suggest that the enclosure of spermatozoa within pockets of epithelial
cells may be sufficient to achieve long-term sperm storage. In addition, recent
evidence from sperm-storing bats has suggested an alternative, or additional,
hypothesis that the modulation of apoptosis within epithelial cells is important
in controlling sperm survival. Despite a lack of direct experimental evidence
from a wide variety of species, I propose that there is now enough evidence to
warrant investigation of these hypotheses.
PMID- 21884283
TI - Maternal communication with gametes and embryo: a personal opinion.
AB - Maternal communication with gametes and embryo remains to be an important
research subject in reproductive biology. This is mainly because of the central
role that events taking place during this period play in establishment of
pregnancy and creation of an offspring. The benefits of understanding how gametes
and embryo communicate with maternal tract are not limited to improving
conception rates or better fertility. It is apparent that gametes and embryo
interactions form the basis of the periconceptional environment. These events
play a major role in forming the epigenetic profile of an individual, influencing
its development and health in adulthood. In this paper, I will describe some
ideas and opinions on the new strategies and tools needed for further
understanding of maternal communication with gametes and embryo.
PMID- 21884286
TI - Oral nutritional support in patients with cancer of the gastrointestinal tract.
PMID- 21884284
TI - Approaches towards efficient use of boar semen in the pig industry.
AB - The current cervical artificial insemination (CAI) procedure, involving
deposition of excessive sperm numbers, is uneconomical for pig industry. The most
obvious alternative requires uterine deposition in combination with fixed-time
AI, which would reduce the number of sperm required per pregnant sow, thus
allowing the best use of valuable boars and, ultimately, the commercial
integration of frozen-thawed and sexed sperm. This review depicts possible best
ways to implement an efficient use of liquid-stored, frozen-thawed and sexed
sperm by the pig industry.
PMID- 21884287
TI - Sustained benefits of a community dietetics intervention designed to improve oral
nutritional supplement prescribing practices.
AB - BACKGROUND: Healthcare professionals working in the community do not always
prescribe oral nutritional supplements (ONS) according to best practice
guidelines for the management of malnutrition. The present study aimed to
determine the impact of a community dietetics intervention on ONS prescribing
practices and expenditure 1 year later. METHODS: The intervention involved
general practitioners (GPs), practice nurses, nurses in local nursing homes and
community nurses. It comprised an education programme together with the provision
of a new community dietetics service. Changes in health care professionals'
nutrition care practices were determined by examining community dietetics
records. ONS prescribing volume and expenditure on ONS were assessed using data
from the Primary Care Reimbursement Service of the Irish Health Service
Executive. RESULTS: Seven out of 10 principal GPs participated in the nutrition
education programme. One year later, screening for malnutrition risk was better,
dietary advice was provided more often, referral to the community dietetics
service improved and ONS were prescribed for a greater proportion of patients at
'high risk' of malnutrition than before (88% versus 37%; P < 0.001). There was a
trend towards fewer patients being prescribed ONS (18% reduction; P = 0.074) and
there was no significant change in expenditure on ONS by participating GPs (3%
reduction; P = 0.499), despite a 28% increase nationally by GPs on ONS.
CONCLUSIONS: The community dietetics intervention improved ONS prescribing
practices by GPs and nurses, in accordance with best practice guidelines, without
increasing expenditure on ONS during the year after intervention.
PMID- 21884288
TI - Dietetic practice in refeeding syndrome.
AB - BACKGROUND: The physiology and consequences of refeeding syndrome have long been
recognised, although its management continues to be debated, despite the
recommendations made by The National Institute for Health and Clinical Excellence
(NICE) in their guideline 'Nutrition Support in Adults' (2006). The present study
aims to assess current dietetic opinion and practice in this area, as well as
whether the NICE recommendations have been adopted. METHODS: An anonymous, self
completed Internet survey was designed investigating current practice and
opinions on the NICE (2006) guidance on this subject. A link to the questionnaire
was distributed with a covering letter via e-mail to the heads of department of
National Health Service Trusts in the London region, UK, requesting that it be
disseminated to all dietitians working with adults. After the closing date, all
responses were collated and analysed. RESULTS: The survey elicited a 30.8%
response rate. Some 89.8% of respondents have read the NICE guidance on Nutrition
Support in Adults (2006) and 66.9% have changed their practice regarding
refeeding syndrome management as a result. Sixty-two percent do not wait for
biochemistry to normalise before commencing nutrition. Ninety-two percent of
respondents completed the mini case studies indicating that current practice is
inconsistent among dietitians. Neither NICE criteria for recognising patients at
risk of refeeding, nor the recommended starting rates are universally followed.
Seventy-five percent continue to supplement electrolytes reactively. CONCLUSIONS:
Although limited by a small sample size, the findings of the present study
suggest that dietetic practice regarding refeeding syndrome management remains
inconsistent with the recommendations made by NICE, although some aspects have
been adopted.
PMID- 21884290
TI - Shedding light on the evolution of plasticity in natural populations.
AB - Plasticity allows for changes in phenotype in response to environmental cues,
often facilitating local adaptation to seasonal environments. Phenotypic
plasticity alone, however, may not always be sufficient to ensure adaptation to
new localities. In particular, changing cues associated with shifting seasonal
regimes may no longer induce appropriate phenotypic responses in new environments
(Nicotra et al. 2010). Plastic responses must thus evolve to avoid being
maladaptive. To date, the extent to which plastic responses can change and the
genetic mechanisms by which this can happen have remained elusive. In this issue
of Molecular Ecology, Blackman et al. (2011a) harness natural variation in
flowering time among populations of the wild sunflower, Helianthus annuus, to
demonstrate that plasticity has indeed evolved in this species. Remarkably, they
are able to detect changes in gene expression that are associated with both a
loss of plasticity and a reversal of the plastic response. These changes occur in
two separate, but integrated, regulatory pathways controlling the transition to
flowering, suggesting that complex regulatory networks that incorporate multiple
environmental and developmental cues may facilitate the evolution of plastic
responses. This study leverages knowledge from plant genetic models to provide a
surprising level of insight into the evolution of an adaptive trait in a non
model species. Through discoveries of the roles of gene duplication and network
modularity in the evolution of plastic responses, the study raises questions
about the degree to which species-specific network architectures may act as a
constraint to the potential of adaptation.
PMID- 21884291
TI - Evolutionary potential in the wild: more than meets the eye.
AB - The genus Aquilegia consists of 60-70 perennial plant species widely distributed
throughout the northern hemisphere. Its flowers have a delicate and ornamental
appearance that makes them a favourite of gardeners. In this genus, adaptive
radiations for both floral and vegetative traits have occurred. These adaptive
radiations, and the key phylogenetic placement of Aquilegia between Arabidopsis
and rice, make this genus a 'model system' for plant evolution (Kramer 2009). In
this issue, Castellanos et al. (2011) use a marker-based method to infer
heritability for floral and vegetative traits in two Aquilegia species. Layered
on top of this are estimates of the strength of natural selection. This novel
joint estimation of heritability and selection in the wild showed that vegetative
traits, compared to floral traits, have the highest evolutionarily potential.
Evolutionary potential is the most important quantity to measure in wild
populations. It combines inheritance and strength of selection and predicts the
potential for populations to adapt to changing environments. The combination of
molecular techniques with species in natural environments makes this work a model
for molecular ecological investigations.
PMID- 21884292
TI - Vertical and horizontal transmission drive bacterial invasion.
AB - A huge variety of Arthropod species is infected with endosymbiotic Wolbachia
bacteria that manipulate their host's reproduction to invade populations. In
addition to vertical transmission from mother to offspring through the egg
cytoplasm, it has been demonstrated through phylogenetic analyses and natural
transfer experiments that horizontal transmission of Wolbachia (i.e. contagion)
can occur between Arthropod hosts. More recently, factors influencing horizontal
transfer have also been explored. While it is clear that horizontal transmission
between species plays a major role in the evolutionary history of Wolbachia
infections among insects, its role in the spread of a new infection through a
host population, notably through within-species transfers, remained unknown. In
this issue of Molecular Ecology, Kraaijeveld et al. (2011) present the first
evidence that horizontal transmission played a key role in the early spread of
parthenogenesis-inducing Wolbachia through the parasitoid wasp Leptopilina
clavipes. To support their finding, the authors studied genetic variation in
three types of markers, including host nuclear DNA, mitochondrial DNA and
Wolbachia DNA. Specifically, they examined potential associations between their
diversity patterns. No diversity was detected in Wolbachia genes, indicating that
a single Wolbachia strain must have infected and spread through L. clavipes. In
addition, a correlation between substantial variation in mitochondrial and
nuclear genotypes suggested that horizontal transmission played an important role
in the current clonal genetic variation in this wasp. Such horizontal
transmission could be facilitated by a specific host ecology (e.g. parasitoid
wasps sharing the same host resource) and potentially impact co-evolution between
host and symbiont.
PMID- 21884293
TI - Infections and pregnancy: from a dream to a nightmare.
PMID- 21884294
TI - Intracellular bacteria and adverse pregnancy outcomes.
AB - This review considers the role of intracellular bacteria in adverse pregnancy
outcomes, such as miscarriage, stillbirths, and preterm labour. The cause of
miscarriage, stillbirth and preterm labour often remains unexplained.
Intracellular bacteria that grow either poorly or not at all on media used
routinely to detect human pathogens could be the aetiological agents of these
obstetric conditions. For example, Listeria monocytogenes and Coxiella burnetti
are intracellular bacteria that have a predilection for the fetomaternal unit and
may induce fatal disease in the mother and/or fetus. Both are important foodborne
or zoonotic pathogens in pregnancy. Preventive measures, diagnostic tools and
treatment will be reviewed. Moreover, we will also address the importance in
adverse pregnancy outcomes of other intracellular bacteria, including Brucella
abortus and various members of the order Chlamydiales. Indeed, there is growing
evidence that Chlamydia trachomatis, Chlamydia abortus and Chlamydia pneumoniae
infections may also result in adverse pregnancy outcomes in humans and/or
animals. Moreover, newly discovered Chlamydia-like organisms have recently
emerged as new pathogens of both animals and humans. For example, Waddlia
chondrophila, a Chlamydia-related bacterium isolated from aborted bovine fetuses,
has also been implicated in human miscarriages. Future research should help us to
better understand the pathophysiology of adverse pregnancy outcomes caused by
intracellular bacteria and to determine the precise mode of transmission of newly
identified bacteria, such as Waddlia and Parachlamydia. These emerging pathogens
may represent the tip of the iceberg of a large number of as yet unknown
intracellular pathogenic agents.
PMID- 21884295
TI - Full genomic analyses of human rotavirus G4P[4], G4P[6], G9P[19] and G10P[6]
strains from North-eastern India: evidence for interspecies transmission and
complex reassortment events.
AB - In hospitalized patients with acute gastroenteritis in Manipur, India, four
rotavirus strains were found to possess VP7 and/or VP4 genes with porcine or
bovine characteristics. Considering the animal-like nature of these strains, the
remaining eight gene segments were analysed to decipher their exact origin.
Analyses of full genome of these strains exhibited their origin from
porcine/bovine rotaviruses. This study suggests single or multiple events of
reassortment involving multiple gene segments of more than one host type among
the strains and emphasizes the significance of complete genetic characterization
of unusual strains in regions with high incidence and mortality rates.
PMID- 21884297
TI - Femoral vein as bypass graft for subclavian venous occlusion in a haemodialysis
patient.
PMID- 21884296
TI - European expert opinion on the management of invasive candidiasis in adults.
AB - This report discusses the present status of antifungal therapy and treatment
options for candidaemia, considered by experts in the field in Europe. A
conference of 26 experts from 13 European countries was held to discuss
strategies for the treatment and prevention of invasive candidiasis, with the aim
of providing a review on optimal management strategies. Published and unpublished
comparative trials on antifungal therapy were analysed and discussed. Commonly
asked questions about the management of candidaemia were selected, and possible
responses to these questions were discussed. Panellists were then asked to
respond to each question by using a touchpad answering system. After the initial
conference, the viewpoint document has been reviewed and edited to include new
insights and developments since the initial meeting. For many situations,
consensus on treatment could not be reached, and the responses indicate that
treatment is likely to be modified on a patient-to-patient basis, depending on
factors such as degree of illness, prior exposure to azole antifungals, and the
presence of potentially antifungal drug-resistant Candida species.
PMID- 21884298
TI - A young woman with fever, weight loss and a renal mass.
PMID- 21884299
TI - Molecular pathology of tumor-initiating cells: lessons from Philadelphia
chromosome-positive leukemia.
AB - Recent improvements in cell purification and transplantation techniques have
contributed to the identification of cell populations known as tumor-initiating
cells (TIC). This discovery has led to the 'cancer stem cell hierarchy' concept,
which holds that tumors are organized as a hierarchy of malignant tissues
sustained by such TIC. However, this concept remains controversial. In this
review, we examine recent advances in cancer stem cell research that have been
generated from studies of Philadelphia (Ph) chromosome-positive leukemia. The
abnormal Ph chromosome, which arises from a translocation creating the BCR-ABL1
fusion gene, is most commonly associated with chronic myelogenous leukemia (CML)
and precursor B cell acute lymphoblastic leukemia (B-ALL). Examination of the
pathophysiology of these diseases has provided interesting insights into not only
the hierarchy of leukemia stem cells but also their clonal evolution. Both shared
and unique regulatory mechanisms affecting normal and CML stem cell behavior have
been identified. On the other hand, genetic diversity in specific clones of Ph(+)
B-ALL that drive clonal evolution has recently come to light. Our expanding
knowledge of the biology of TIC contributes to the progress of cancer research,
and may open the door to new concepts in cancer therapy.
PMID- 21884300
TI - Variant alveolar lipoproteinosis: a syndrome with distinct clinical and
pathological features.
AB - Pulmonary alveolar proteinosis (PAP) is a rare condition in which pulmonary
macrophages fail to clear surfactant, resulting in the alveolar accumulation of
lipoproteinaceous debris. The histopathology of PAP is typified by diffuse
filling of terminal airways with eosinophilic, PAS/diastase (PAS/D)-positive
acellular material. We present five patients who showed histopathological changes
in the lungs consistent with mild PAP. However, these cases were notable for the
abundance of degenerating alveolar macrophages, weak PAS staining of
lipoproteinaceous material and paucity of lamellated bodies on ultrastructural
examination. Only one patient showed the CT finding of mosaiform 'crazy-paving'
and the opalescent bronchoalveolar lavage fluid characteristic of PAP. In one
case, therapeutic lung lavage based on a presumptive diagnosis of PAP exacerbated
respiratory distress. Three patients showed partial or near-complete resolution
of disease in response to high-dose corticosteroid therapy, a treatment approach
that is generally ineffective in PAP. We conclude that distinguishing 'variant
alveolar lipoproteinosis' from classical PAP is clinically important. Despite the
otherwise typical appearance of lipoproteinaceous alveolar material in lung
biopsies, the presence of degenerating foamy macrophages and atypical
histochemical, ultrastructural and radiographic features suggest a steroid
responsive form of proteinosis that is likely pathogenetically distinct and may
not be amenable to whole-lung lavage.
PMID- 21884301
TI - Renal thrombotic microangiopathy associated with chronic graft-versus-host
disease after allogeneic hematopoietic stem cell transplantation.
AB - Thrombotic microangiopathy (TMA) is a major complication after hematopoietic stem
cell transplantation (HSCT). In this study, we examined the clinical and
pathologic features of 2 patients and 5 autopsy cases with HSCT-associated renal
TMA to clarify the association between graft-versus-host disease (GVHD) and renal
TMA. The median interval between HSCT and renal biopsy or autopsy was 7 months
(range 3-42 months). Clinically, acute and chronic GVHD occurred in 7 and 4
patients, respectively. Clinical evidence for TMA was detected in 2 patients,
while chronic kidney disease developed in all patients. The main
histopathological findings were diffuse endothelial injury in glomeruli,
peritubular capillaries (PTCs), and small arteries. In addition, all cases showed
glomerulitis, renal tubulitis, and peritubular capillaritis with infiltration of
CD3+ T cells and TIA-1+ cytotoxic cells, suggesting that GVHD occurred during the
development of TMA. Diffuse and patchy C4d deposition was noted in glomerular
capillaries and PTCs, respectively, in 2 biopsy and 2 autopsy cases, suggesting
the involvement of antibody-mediated renal endothelial injury in more than 50% of
renal TMA cases. In conclusion, the kidney is a potential target of chronic GVHD
that may induce the development of HSCT-associated TMA. Importantly, some cases
are associated with chronic humoral GVHD.
PMID- 21884303
TI - Solitary fibrous tumor of the prostate: a report of two cases.
AB - We here report two cases of solitary fibrous tumor (SFT) arising in the prostate.
Two men, 66 and 69 years old, with urinary tract symptoms were diagnosed with SFT
on transrectal needle biopsy and transurethral resection of the prostate,
respectively. The tumors were removed by a low anterior resection including
tumor, prostate and rectum en bloc and cystoprostatectomy, respectively. Both
tumors were well-circumscribed but also showed some infiltration of the prostate
glands. They were composed of storiform bundles of bland spindle cells that
stained strongly for CD34 and vimentin but negative for muscle markers. Although
rare, SFT should be considered as differential diagnosis of spindle cell lesions
on prostate biopsies.
PMID- 21884302
TI - Macrophage polarization in the maculae of age-related macular degeneration: a
pilot study.
AB - Macrophages can be polarized to exhibit either pro-inflammatory M1 or pro
angiogenic M2 phenotypes, but have high phenotypic plasticity. This pilot study
investigated macrophage polarization in the macular retina and choroid of age
related macular degeneration (AMD) and non-AMD subjects, as well as in AMD
choroidal neovascular membranes (CNVM). All specimens were evaluated for routine
histopathology. Quantitative real-time polymerase chain reaction for
representative M1 (CXCL11) and M2 (CCL22) transcripts were performed on macular
choroidal trephines (MCT) of 19 AMD and nine non-AMD eye bank eyes, on the
microdissected macular retinal cells from the archived slides of five geographic
atrophic AMD, five exudative/neovascular AMD, and eight normal autopsied eyes,
and on microdissected inflammatory cells from two surgically removed CNVM that
did not respond to anti-vascular endothelial growth factor (VEGF) therapy. High
M2-chemokine transcript and a low ratio of M1 to M2 chemokine transcript were
found in aging non-AMD MCT. Advanced AMD maculae had a higher M1 to M2 chemokine
transcript ratio compared to normal autopsied eyes. Macrophages in the two CNVM
of patients unresponsive to anti-VEGF therapy were polarized toward either M1 or
M2 phenotypes. The number of M2 macrophages was increased compared to M1
macrophages in normal aging eyes. A pathological shift of macrophage polarization
may play a potential role in AMD pathogenesis.
PMID- 21884304
TI - Renal carcinoma with (6;11)(p21;q12) translocation: report of an adult case.
AB - An extremely rare adult example of renal carcinoma with t(6;11)(p21;q12 or q13)
is presented here. The tumor of a 45-year-old Japanese male, excised under the
diagnosis of renal cell carcinoma, was a well circumscribed 7 cm mass with light
brown sectioned surfaces. Histologically, it was composed of a major population
of large polygonal epithelioid cells in a nested alveolar growth and a
subpopulation of smaller cells clustering around hyaline basement membrane
material. The former cells possessed ample, clear to eosinophilic granular
cytoplasm with well-defined cell borders and the latter was frequently
accompanied by psammomatous calcification. These tumor cells exhibited
immunoreactivity for melanoma markers, transcription factor EB and cathepsin K,
but were not reactive for epithelial markers and transcription factor E3. While
pulmonary metastatic foci that were noted preoperatively progressed rapidly
following interferon-based therapy, subsequent sunitinib malate yielded a partial
response and stabilized the lung metastasis for 6 months after surgery. We could
trace 20 cases of 6p21 translocation renal carcinoma, among which only four were
in individuals older than 40 years. Description of a new case like this is
important since little is known about the prognosis and treatment of adult
patients with this condition.
PMID- 21884305
TI - Sarcoidosis with pulmonary hypertension exacerbated by Takayasu-like large vessel
vasculitis.
AB - A 72-year-old male visited the hospital with a complaint of dyspnea. Under the
diagnosis of pulmonary hypertension (PH) due to chronic thrombotic and/or embolic
disease, this patient received anti-coagulant therapy. Unfortunately, the
respiratory state deteriorated and died 34 days after admission. At autopsy,
noncaseating granulomas, which were diagnostic of sarcoidosis, were found in
bilateral enlarged hilar lymph nodes, lungs, heart, liver, spleen, testis and
epididymis. In pulmonary vasculature, the following three kinds of lesions were
observed; (i) sarcoid granulomatous vasculitis at the peripheral arteries and
veins, (ii) intimal fibrous lesions ubiquitously found in proximal and peripheral
arteries which were thought to be organized thromboemboli, (iii) Takayasu-like
large vessel vasculitis with a huge fresh mural thrombus narrowing the lumen of
most proximal portion of right pulmonary artery. Autopsy findings indicate that
chronic sustained PH state in this patient was attributable to thrombotic and/or
thromboembolic disease, and Takayasu-like vasculitis with thrombus played a role
in the final deterioration of respiratory state through exacerbation of PH. In
sarcoidosis patients, vasculitic lesions which can be formed in both small and
large pulmonary arteries must be raised as the differential diagnosis for the
initial cause of PH as well as the accelerating factor of PH.
PMID- 21884306
TI - Prostatic intraepithelial pagetoid histiocyte: a potential diagnostic pitfall.
PMID- 21884307
TI - Chromophobe renal cell carcinoma with neuroendocrine differentiation and
sarcomatoid change.
PMID- 21884308
TI - Intravascular large B-cell lymphoma colonizing in senile hemangioma: a case
report and proposal of possible diagnostic strategy for intravascular lymphoma.
PMID- 21884309
TI - Maturation of EEG power spectra in early adolescence: a longitudinal study.
AB - This study investigated the fine-grained development of the EEG power spectra in
early adolescence, and the extent to which it is reflected in changes in peak
frequency. It also sought to determine whether sex differences in the EEG power
spectra reflect differential patterns of maturation. A group of 56 adolescents
were tested at age 10 years and then at two further time-points approximately 18
months apart. The EEG was recorded during both eyes-closed and eyes-open
conditions and Fourier transformed to provide estimates of absolute and relative
spectral power at 0.5 Hz intervals from 0.5 to 40 Hz. The peak alpha frequency
for each individual at each time-point was also determined for relative spectral
power. Partial Least Squares (PLS) analysis was used to determine the combination
of electrodes and frequencies that showed developmental change, or differed
between the sexes. As a function of age, absolute delta and theta frequencies
power decreased, and relative alpha2 and beta frequencies increased, replicating
the standard findings of a decrease in lower, and increase in higher, frequencies
with age. A small but significant increase in peak alpha frequency with age was
detected. Moreover PLS analysis performed with individual alpha frequencies
aligned to 10 Hz suggested that the age-related increase seen in alpha2 relative
power was driven by changes in the peak frequency. Although males demonstrated
higher alpha power than females, there were no sex differences in peak frequency,
suggesting that there may be more to sex differences in EEG power than simply
different rates of maturation between the two sexes.
PMID- 21884310
TI - Indirect goal priming is more powerful than explicit instruction in children.
AB - This study examined the relative efficacy of explicit instruction and indirect
priming on young children's behavior in a task that required a series of choices
between a small immediate reward and a larger delayed reward. One hundread and
six 4-year-old children were randomly assigned to one of four conditions
involving one of two goals (maximize rewards or obtain immediate rewards) and one
of two types of instruction (indirect priming using stories or explicit verbal
instructions). Children were more likely to make goal-congruent choices as a
result of indirect priming, but there was no effect of explicit instruction,
suggesting that indirect approaches to changing young children's behavior may be
more effective than direct approaches under some circumstances. These results
have implications for understanding the dynamic interplay between bottom-up and
top-down influences on self-regulation early in development.
PMID- 21884311
TI - Rapid reacquisition of native phoneme contrasts after disuse: you do not always
lose what you do not use.
AB - Infants attune to their birth language during the second half of infancy.
However, internationally adopted children are often uniquely required to attune
to their birth language, and then reattune to their adoptive language. Children
who were adopted from India into America at ages 6-60 months (N = 8) and had
minimal further exposure to their birth languages were compared to age-matched
American non-adopted controls. Without training, neither group could discriminate
a phonemic contrast that occurs in their birth language but not in English.
However, after training on the contrast, the adopted group (N = 8) improved
significantly and discriminated the contrast more accurately than their non
adopted peers. While English had explicitly replaced the birth language of the
adopted sample, traces of early exposure conferred privileges on subsequent
learning. These findings are consistent with behavioral and neurophysiological
data from animals that have identified some of the mechanisms underlying such a
'retention without further use' phenomenon.
PMID- 21884312
TI - The dynamics of development on the Dimensional Change Card Sorting task.
AB - A widely used paradigm to study cognitive flexibility in preschoolers is the
Dimensional Change Card Sorting (DCCS) task. The developmental dynamics of DCCS
performance was studied in a cross-sectional design (N = 93, 3 to 5 years of age)
using a computerized version of the standard DCCS task. A model-based analysis of
the data showed that development on the DCCS task is best described as a
discontinuous change in performance on the post-switch phase of the task. In
addition to a perseveration group and a switch group, a transitional group that
showed shifts between perseverating and switching during the post-switch trials
could be distinguished. Computational models of performance and development on
the DCCS task cannot, in their current forms, explain these results. We discuss
how a catastrophe model of the developmental changes in task performance could be
used to generate specific hypotheses about the variables that control development
of DCCS performance.
PMID- 21884313
TI - Infants avoid 'labouring in vain' by attending more to learnable than unlearnable
linguistic patterns.
AB - Every environment contains infinite potential features and correlations among
features, or patterns. Detecting valid and learnable patterns in one environment
is beneficial for learners because doing so lends predictability to new
environments where the same or analogous patterns recur. However, some apparent
correlations among features reflect spurious patterns, and attempting to learn
the latter costs time and resources with no advantage to the learner. Thus, an
efficient learner in a complex environment needs to devote more attention to
input that reflects a real and learnable pattern than to input that reflects a
spurious or ultimately unlearnable pattern. However, in order to achieve such
efficiency in the absence of external feedback, learners need to have an implicit
metric of their own learning progress. Do human infants have such a metric? Data
from two experiments demonstrate that 17-month-olds attend longer to learnable
vs. unlearnable linguistic grammars, taking more trials to habituate and more
overall time to habituate for grammars in which a valid generalization over input
stimuli can be made. These data provide the first evidence that infants have an
implicit metric of their own learning progress and preferentially direct their
attention to learnable aspects of their environment.
PMID- 21884314
TI - Toddlers with Autism Spectrum Disorder are more successful at visual search than
typically developing toddlers.
AB - Plaisted, O'Riordan and colleagues (Plaisted, O'Riordan & Baron-Cohen, 1998;
O'Riordan, 2004) showed that school-age children and adults with Autism Spectrum
Disorder (ASD) are faster at finding targets in certain types of visual search
tasks than typical controls. Currently though, there is very little known about
the visual search skills of very young children (1-3-year-olds) - either
typically developing or with ASD. We used an eye-tracker to measure looking
behavior, providing fine-grained measures of visual search in 2.5-year-old
toddlers with and without ASD (this representing the age by which many children
may first receive a diagnosis of ASD). Importantly, our paradigm required no
verbal instructions or feedback, making the task appropriate for toddlers who are
pre- or nonverbal. We found that toddlers with ASD were more successful at
finding the target than typically developing, age-matched controls. Further, our
paradigm allowed us to estimate the number of items scrutinized per trial,
revealing that for large set size conjunctive search, toddlers with ASD
scrutinized as many as twice the number of items as typically developing
toddlers, in the same amount of time.
PMID- 21884316
TI - Infant ability to tell voices apart rests on language experience.
AB - A visual fixation study tested whether 7-month-olds can discriminate between
different talkers. The infants were first habituated to talkers producing
sentences in either a familiar or unfamiliar language, then heard test sentences
from previously unheard speakers, either in the language used for habituation, or
in another language. When the language at test mismatched that in habituation,
infants always noticed the change. When language remained constant and only
talker altered, however, infants detected the change only if the language was the
native tongue. Adult listeners with a different native tongue from the infants
did not reproduce the discriminability patterns shown by the infants, and infants
detected neither voice nor language changes in reversed speech; both these
results argue against explanation of the native-language voice discrimination in
terms of acoustic properties of the stimuli. The ability to identify talkers is,
like many other perceptual abilities, strongly influenced by early life
experience.
PMID- 21884315
TI - How does a child solve 7 + 8? Decoding brain activity patterns associated with
counting and retrieval strategies.
AB - Cognitive development and learning are characterized by diminished reliance on
effortful procedures and increased use of memory-based problem solving. Here we
identify the neural correlates of this strategy shift in 7-9-year-old children at
an important developmental period for arithmetic skill acquisition. Univariate
and multivariate approaches were used to contrast brain responses between two
groups of children who relied primarily on either retrieval or procedural
counting strategies. Children who used retrieval strategies showed greater
responses in the left ventrolateral prefrontal cortex; notably, this was the only
brain region which showed univariate differences in signal intensity between the
two groups. In contrast, multivariate analysis revealed distinct multivoxel
activity patterns in bilateral hippocampus, posterior parietal cortex and left
ventrolateral prefrontal cortex regions between the two groups. These results
demonstrate that retrieval and counting strategies during early learning are
characterized by distinct patterns of activity in a distributed network of brain
regions involved in arithmetic problem solving and controlled retrieval of
arithmetic facts. Our findings suggest that the reorganization and refinement of
neural activity patterns in multiple brain regions plays a dominant role in the
transition to memory-based arithmetic problem solving. Our findings further
demonstrate how multivariate approaches can provide novel insights into fine
scale developmental changes in the brain. More generally, our study illustrates
how brain imaging and developmental research can be integrated to investigate
fundamental aspects of neurocognitive development.
PMID- 21884317
TI - The developmental roots of fairness: infants' reactions to equal and unequal
distributions of resources.
AB - The problem of how to distribute available resources among members of a group is
a central aspect of social life. Adults react negatively to inequitable
distributions and several studies have reported negative reactions to inequity
also in non-human primates and dogs. We report two experiments on infants'
reactions to equal and unequal distributions. In two experiments, infants'
looking times and manual choices provide, for the first time, converging evidence
suggesting that infants aged 12 to 18 months (mean age 16 months) attend to the
outcomes of distributive actions to evaluate agents' actions and to reason about
agents' dispositions. The results provide support for recent theoretical
proposals on the developmental roots of social evaluation skills and a sense of
fairness.
PMID- 21884318
TI - Some types of parent number talk count more than others: relations between
parents' input and children's cardinal-number knowledge.
AB - Before they enter preschool, children vary greatly in their numerical and
mathematical knowledge, and this knowledge predicts their achievement throughout
elementary school (e.g. Duncan et al., 2007; Ginsburg & Russell, 1981).
Therefore, it is critical that we look to the home environment for parental
inputs that may lead to these early variations. Recent work has shown that the
amount of number talk that parents engage in with their children is robustly
related to a critical aspect of mathematical development - cardinal-number
knowledge (e.g. knowing that the word 'three' refers to sets of three entities;
Levine, Suriyakham, Rowe, Huttenlocher & Gunderson, 2010). The present study
characterizes the different types of number talk that parents produce and
investigates which types are most predictive of children's later cardinal-number
knowledge. We find that parents' number talk involving counting or labeling sets
of present, visible objects is related to children's later cardinal-number
knowledge, whereas other types of parent number talk are not. In addition, number
talk that refers to large sets of present objects (i.e. sets of size 4 to 10 that
fall outside children's ability to track individual objects) is more robustly
predictive of children's later cardinal-number knowledge than talk about smaller
sets. The relation between parents' number talk about large sets of present
objects and children's cardinal-number knowledge remains significant even when
controlling for factors such as parents' socioeconomic status and other measures
of parents' number and non-number talk.
PMID- 21884319
TI - The contribution of visual and vestibular information to spatial orientation by 6
to 14-month-old infants and adults.
AB - Although there is much research on infants' ability to orient in space, little is
known regarding the information they use to do so. This research uses a rotating
room to evaluate the relative contribution of visual and vestibular information
to location of a target following bodily rotation. Adults responded precisely on
the basis of visual flow information. Seven-month-olds responded mostly on the
basis of visual flow, whereas 9-month-olds responded mostly on the basis of
vestibular information, and 12-month-olds responded mostly on the basis of visual
information. Unlike adults, infants of all ages showed partial influence by both
modalities. Additionally, 7-month-olds were capable of using vestibular
information when there was no visual information for movement or stability, and 9
month-olds still relied on vestibular information when visual information was
enhanced. These results are discussed in the context of neuroscientific evidence
regarding visual-vestibular interaction, and in relation to possible changes in
reliance on visual and vestibular information following acquisition of
locomotion.
PMID- 21884321
TI - The association between infants' self-regulatory behavior and MAOA gene
polymorphism.
AB - Self-regulatory behavior in early childhood is an important characteristic that
has considerable implications for the development of adaptive and maladaptive
functioning. The present study investigated the relations between a functional
polymorphism in the upstream region of monoamine oxidase A gene (MAOA) and self
regulatory behavior in a sample of Chinese infants at 6 months of age. Self
regulation was assessed by observing infants' behavior of orienting visual
attention away from a threatening event in the laboratory situation. The results
indicated that regulatory behavior was associated with the functional MAOA gene
polymorphism in girls, but not boys. Girls with 4/4 genotypes displayed
significantly higher regulation than girls with 3/3 and 3/4 genotypes. The
present study provided evidence for gender differences on the role of MAOA gene
polymorphism in socioemotional functioning in the early years.
PMID- 21884320
TI - The effects of an afterschool physical activity program on working memory in
preadolescent children.
AB - The present study examined the effects of a 9-month randomized control physical
activity intervention aimed at improving cardiorespiratory fitness on changes in
working memory performance in preadolescent children relative to a waitlist
control group. Participants performed a modified Sternberg task, which
manipulated working memory demands based on encoding set sizes, while task
performance and the contingent negative variation (CNV) event-related brain
potential were measured. Analyses revealed that the physical activity
intervention led to increases in cardiorespiratory fitness and improved Sternberg
task performance. Further, the beneficial effects of the physical activity
intervention were greater for a task condition requiring greater working memory
demands. In addition, the intervention group exhibited larger initial CNV at the
frontal electrode site, relative to the waitlist group at post-test; an effect
not observed during the pre-test. These results indicate that increases in
cardiorespiratory fitness are associated with improvements in the cognitive
control of working memory in preadolescent children.
PMID- 21884322
TI - Age differences in visual working memory capacity: not based on encoding
limitations.
AB - Why does visual working memory performance increase with age in childhood? One
recent study (Cowan et al., 2010b) ruled out the possibility that the basic cause
is a tendency in young children to clutter working memory with less-relevant
items (within a concurrent array, colored items presented in one of two shapes).
The age differences in memory performance, however, theoretically could result
from inadequate encoding of the briefly presented array items by younger
children. We replicated the key part of the procedure in children 6-8 and 11-13
years old and college students (total N = 90), but with a much slower, sequential
presentation of the items to ensure adequate encoding. We also required verbal
responses during encoding to encourage or discourage labeling of item
information. Although verbal labeling affected performance, age differences
persisted across labeling conditions, further supporting the existence of a basic
growth in capacity.
PMID- 21884324
TI - Susceptibility to the flash-beep illusion is increased in children compared to
adults.
AB - Audio-visual integration was studied in children aged 8-17 (N = 30) and adults (N
= 22) using the 'flash-beep illusion' paradigm, where the presentation of two
beeps causes a single flash to be perceived as two flashes (fission illusion),
and a single beep causes two flashes to be perceived as one flash (fusion
illusion). Children reported significantly more fission illusions than adults,
indicating that auditory and visual information was integrated more often, and
less selectively, than in adults. Within either group, illusion reports did not
correlate with either age or motor coordination measures. The current results
show that the form of multisensory integration indexed by the illusion is slow to
mature in normally developing children.
PMID- 21884323
TI - Neural correlates of coherent and biological motion perception in autism.
AB - Recent evidence suggests those with autism may be generally impaired in visual
motion perception. To examine this, we investigated both coherent and biological
motion processing in adolescents with autism employing both psychophysical and
fMRI methods. Those with autism performed as well as matched controls during
coherent motion perception but had significantly higher thresholds for biological
motion perception. The autism group showed reduced posterior Superior Temporal
Sulcus (pSTS), parietal and frontal activity during a biological motion task
while showing similar levels of activity in MT+/V5 during both coherent and
biological motion trials. Activity in MT+/V5 was predictive of individual
coherent motion thresholds in both groups. Activity in dorsolateral prefrontal
cortex (DLPFC) and pSTS was predictive of biological motion thresholds in control
participants but not in those with autism. Notably, however, activity in DLPFC
was negatively related to autism symptom severity. These results suggest that
impairments in higher-order social or attentional networks may underlie visual
motion deficits observed in autism.
PMID- 21884325
TI - On-line statistical segmentation of a non-speech auditory stream in neonates as
demonstrated by event-related brain potentials.
AB - The ability to statistically segment a continuous auditory stream is one of the
most important preparations for initiating language learning. Such ability is
available to human infants at 8 months of age, as shown by a behavioral
measurement. However, behavioral study alone cannot determine how early this
ability is available. A recent study using measurements of event-related
potential (ERP) revealed that neonates are able to detect statistical boundaries
within auditory streams of speech syllables. Extending this line of research will
allow us to better understand the cognitive preparation for language acquisition
that is available to neonates. The aim of the present study was to examine the
domain-generality of such statistical segmentation. Neonates were presented with
nonlinguistic tone sequences composed of four tritone units, each consisting of
three semitones extracted from one octave, for two 5-minute sessions. Only the
first tone of each unit evoked a significant positivity in the frontal area
during the second session, but not in the first session. This result suggests
that the general ability to distinguish units in an auditory stream by
statistical information is activated at birth and is probably innately prepared
in humans.
PMID- 21884326
TI - Age-related differences in brain electrical activity during extended continuous
face recognition in younger children, older children and adults.
AB - To examine the development of recognition memory in primary-school children, 36
healthy younger children (8-9 years old) and 36 healthy older children (11-12
years old) participated in an ERP study with an extended continuous face
recognition task (Study 1). Each face of a series of 30 faces was shown randomly
six times interspersed with distracter faces. The children were required to make
old vs. new decisions. Older children responded faster than younger children, but
younger children exhibited a steeper decrease in latencies across the five
repetitions. Older children exhibited better accuracy for new faces, but there
were no age differences in recognition accuracy for repeated faces. For the N2,
N400 and late positive complex (LPC), we analyzed the old/new effects (repetition
1 vs. new presentation) and the extended repetition effects (repetitions 1
through 5). Compared to older children, younger children exhibited larger
frontocentral N2 and N400 old/new effects. For extended face repetitions,
negativity of the N2 and N400 decreased in a linear fashion in both age groups.
For the LPC, an ERP component thought to reflect recollection, no significant
old/new or extended repetition effects were found. Employing the same face
recognition paradigm in 20 adults (Study 2), we found a significant N400 old/new
effect at lateral frontal sites and a significant LPC repetition effect at
parietal sites, with LPC amplitudes increasing linearly with the number of
repetitions. This study clearly demonstrates differential developmental courses
for the N400 and LPC pertaining to recognition memory for faces. It is concluded
that face recognition in children is mediated by early and probably more
automatic than conscious recognition processes. In adults, the LPC extended
repetition effect indicates that adult face recognition memory is related to a
conscious and graded recollection process rather than to an automatic recognition
process.
PMID- 21884327
TI - Does adolescent risk taking imply weak executive function? A prospective study of
relations between working memory performance, impulsivity, and risk taking in
early adolescence.
AB - Studies of brain development suggest that the increase in risk taking observed
during adolescence may be due to insufficient prefrontal executive function
compared to a more rapidly developing subcortical motivation system. We examined
executive function as assessed by working memory ability in a community sample of
youth (n = 387, ages 10 to 12 at baseline) in three annual assessments to
determine its relation to two forms of impulsivity (sensation seeking and acting
without thinking) and a wide range of risk and externalizing behavior. Using
structural equation modeling, we tested a model in which differential activation
of the dorsal and ventral striatum produces imbalance in the function of these
brain regions. For youth high in sensation seeking, both regions were predicted
to develop with age. However, for youth high in the tendency to act without
thinking, the ventral striatum was expected to dominate. The model predicted that
working memory ability would exhibit (1) early weakness in youth high in acting
without thinking but (2) growing strength in those high in sensation seeking. In
addition, it predicted that (3) acting without thinking would be more strongly
related to risk and externalizing behavior than sensation seeking. Finally, it
predicted that (4) controlling for acting without thinking, sensation seeking
would predict later increases in risky and externalizing behavior. All four of
these predictions were confirmed. The results indicate that the rise in sensation
seeking that occurs during adolescence is not accompanied by a deficit in
executive function and therefore requires different intervention strategies from
those for youth whose impulsivity is characterized by early signs of acting
without thinking.
PMID- 21884328
TI - One-month-old human infants learn about the social world while they sleep.
AB - Although infants display preferences for social stimuli early in their lives, we
know relatively little about the mechanisms of infant learning about the social
world. In the current set of studies, 1-month-old infants underwent an adapted
eyeblink conditioning paradigm to examine learning to both 'social' and non
social cues. While infants were asleep, they were presented with either a
'social' stimulus (a female voice) or one of two non-social stimuli (tone or
backward voice) followed by an airpuff presented to the eyelid. Infants in the
experimental groups displayed increased learning across trials, regardless of
stimulus type. However, infants conditioned to the 'social' stimulus showed
increased learning compared to infants conditioned to either of the non-social
stimuli. These results suggest a mechanism by which learning about the social
world occurs early in life and the power of ecologically valid cues in
facilitating that learning.
PMID- 21884329
TI - Symbolic play connects to language through visual object recognition.
AB - Object substitutions in play (e.g. using a box as a car) are strongly linked to
language learning and their absence is a diagnostic marker of language delay.
Classic accounts posit a symbolic function that underlies both words and object
substitutions. Here we show that object substitutions depend on developmental
changes in visual object recognition: 18- to 30-month old children (n = 63)
substitute objects in play after they have developed the adult-like ability to
recognize common objects from sparse models of their geometric structure. These
developmental changes in object recognition are a better predictor of object
substitutions than language or age. A developmental pathway connecting visual
object recognition, object name learning, and symbolic play is proposed in which
object substitutions are like the canary in the coal mine: they are not causally
related to language delay, but their absence is an easily detected signal of a
problem in language acquisition.
PMID- 21884330
TI - Micro-analysis of infant looking in a naturalistic social setting: insights from
biologically based models of attention.
AB - A current theory of attention posits that several micro-indices of attentional
vigilance are dependent on activation of the locus coeruleus, a brainstem nucleus
that regulates cortical norepinephrine activity (Aston-Jones et al., 1999). This
theory may account for many findings in the infant literature, while highlighting
important new areas for research and theory on infant attention. We examined the
visual behaviors of n = 16 infants (6-7 months) while they attended to multiple
spatially distributed targets in a naturalistic environment. We coded four
measures of attentional vigilance, adapted from studies of norepinergic
modulation of animal attention: rate of fixations, duration of fixations, latency
to reorientation, and target 'hits'. These measures showed a high degree of
coherence in individual infants, in parallel with findings from animal studies.
Results also suggest that less vigilant infants showed greater habituation to the
trial structure and more attentiveness to less salient stimuli during periods of
high attentional competition. This pattern of results is predicted by the Aston
Jones model of attention, but could not be explained by the standard information
processing model.
PMID- 21884331
TI - Modeling a cascade of effects: the role of speed and executive functioning in
preterm/full-term differences in academic achievement.
AB - This study identified deficits in executive functioning in pre-adolescent
preterms and modeled their role, along with processing speed, in explaining
preterm/full-term differences in reading and mathematics. Preterms (< 1750 g)
showed deficits at 11 years on a battery of tasks tapping the three basic
executive functions identified by Miyake - updating/working memory, inhibition,
and shifting. Confirmatory factor analysis showed that these executive functions,
though correlated, were distinct from one another and from processing speed,
which later proved to account for much of the intercorrelation among executive
functions. In the best-fitting structural equation model, the negative effects of
prematurity on achievement were completely mediated by the three executive
functions and speed in a cascade of effects: prematurity -> slower processing
speed -> poorer executive functioning (working memory) -> lower achievement in
math and reading.
PMID- 21884332
TI - Developing cultural differences in face processing.
AB - Perception and eye movements are affected by culture. Adults from Eastern
societies (e.g. China) display a disposition to process information holistically,
whereas individuals from Western societies (e.g. Britain) process information
analytically. Recently, this pattern of cultural differences has been extended to
face processing. Adults from Eastern cultures fixate centrally towards the nose
when learning and recognizing faces, whereas adults from Western societies spread
fixations across the eye and mouth regions. Although light has been shed on how
adults can fixate different areas yet achieve comparable recognition accuracy,
the reason why such divergent strategies exist is less certain. Although some
argue that culture shapes strategies across development, little direct evidence
exists to support this claim. Additionally, it has long been claimed that face
recognition in early childhood is largely reliant upon external rather than
internal face features, yet recent studies have challenged this theory. To
address these issues, we tested children aged 7-12 years of age from the UK and
China with an old/new face recognition paradigm while simultaneously recording
their eye movements. Both populations displayed patterns of fixations that were
consistent with adults from their respective cultural groups, which
'strengthened' across development as qualified by a pattern classifier analysis.
Altogether, these observations suggest that cultural forces may indeed be
responsible for shaping eye movements from early childhood. Furthermore,
fixations made by both cultural groups almost exclusively landed on internal face
regions, suggesting that these features, and not external features, are
universally used to achieve face recognition in childhood.
PMID- 21884333
TI - Changing patterns of neuropsychological functioning in children living at high
altitude above and below 4000 m: a report from the Bolivian Children Living at
Altitude (BoCLA) study.
AB - The brain is highly sensitive to environmental hypoxia. Little is known, however,
about the neuropsychological effects of high altitude residence in the developing
brain. We recently described only minor changes in processing speed in native
Bolivian children and adolescents living at approximately 3700 m. However,
evidence for loss of cerebral autoregulation above this altitude (4000 m)
suggests a potential threshold of hypoxia severity over which neuropsychological
functioning may be compromised. We conducted physiological and neuropsychological
assessments in 62 Bolivian children and adolescents living at La Paz (~3700 m)
and El Alto (~4100 m) in order to address this issue. Groups were equivalent in
terms of age, gender, social class, schooling, parental education and genetic
admixture. Apart from percentage of hemoglobin saturated with oxygen in arterial
blood (%SpO(2)), participants did not differ in their basal cardiac and
cerebrovascular performance as explored by heart rate, mean arterial pressure,
end-tidal carbon dioxide, and cerebral blood flow velocity at the basilar,
anterior, middle and posterior cerebral arteries. A comprehensive
neuropsychological assessment was administered, including tests of executive
functions, attention, memory and psychomotor performance. Participants living at
extreme altitude showed lower levels of performance in all executive tests (Cohen
effect size = -0.91), whereas all other domains remained unaffected by altitude
of residence. These results are compatible with earlier physiological evidence of
a transitional zone for cerebral autoregulation at an altitude of 4000 m. We now
show that above this threshold, the developing brain is apparently increasingly
vulnerable to neuropsychological deficit.
PMID- 21884334
TI - The powers of noise-fitting: reply to Barth and Paladino.
AB - Barth and Paladino (2011) argue that changes in numerical representations are
better modeled by a power function whose exponent gradually rises to 1 than as a
shift from a logarithmic to a linear representation of numerical magnitude.
However, the fit of the power function to number line estimation data may simply
stem from fitting noise generated by averaging over changing proportions of
logarithmic and linear estimation patterns. To evaluate this possibility, we used
conventional model fitting techniques with individual as well as group average
data; simulations that varied the proportion of data generated by different
functions; comparisons of alternative models' prediction of new data; and
microgenetic analyses of rates of change in experiments on children's learning.
Both new data and individual participants' data were predicted less accurately by
power functions than by logarithmic and linear functions. In microgenetic
studies, changes in the best fitting power function's exponent occurred abruptly,
a finding inconsistent with Barth and Paladino's interpretation that development
of numerical representations reflects a gradual shift in the shape of the power
function. Overall, the data support the view that change in this area entails
transitions from logarithmic to linear representations of numerical magnitude.
PMID- 21884336
TI - Interactions between statistical and semantic information in infant language
development.
AB - Infants can use statistical regularities to form rudimentary word categories
(e.g. noun, verb), and to learn the meanings common to words from those
categories. Using an artificial language methodology, we probed the mechanisms by
which two types of statistical cues (distributional and phonological
regularities) affect word learning. Because linking distributional cues vs.
phonological information to semantics make different computational demands on
learners, we also tested whether their use is related to language proficiency. We
found that 22-month-old infants with smaller vocabularies generalized using
phonological cues; however, infants with larger vocabularies showed the opposite
pattern of results, generalizing based on distributional cues. These findings
suggest that both phonological and distributional cues marking word categories
promote early word learning. Moreover, while correlations between these cues are
important to forming word categories, we found infants' weighting of these cues
in subsequent word-learning tasks changes over the course of early language
development.
PMID- 21884337
TI - The development of a greeting signal in wild chimpanzees.
AB - Adult chimpanzees produce a unique vocal signal, the pant-grunt, when
encountering higher-ranking group members. The behaviour is typically directed to
a specific receiver and has thus been interpreted as a 'greeting' signal. The
alpha male obtains a large share of these calls, followed by the other adult
males of the group. In this study, we describe the development of pant-grunting
behaviour from the first grunt-like calls of newborn babies to the fully
developed pant-grunts in adults. Although babies produce grunts from very early
on, they are not directed to others until about 2 months of age. Subsequently,
socially directed grunting steadily increases in frequency to peak around 7
months of age, but then decreases again to reach a nadir in older infants and
juveniles, while the specificity in use increases. During adolescence, grunt
production increases again with grunts given most frequently to socially relevant
individuals. As young chimpanzees are closely affiliated to their mothers for the
first decade of their lives, we also compared the grunting patterns of mothers
and their offspring, which revealed some influences in pant-grunt production. In
conclusion, the acquisition of pant-grunting behaviour in chimpanzees is a long
lasting process with distinct developmental phases in which social influences by
the mother and other group members are likely to play a role.
PMID- 21884338
TI - Object individuation in 3-day-old chicks: use of property and spatiotemporal
information.
AB - Object individuation was investigated in newborn domestic chicks. Chicks'
spontaneous tendency to approach the larger group of familiar objects was
exploited in a series of five experiments. In the first experiment newborn chicks
were reared for 3 days with objects differing in either colour, shape or size. At
test, each chick was presented with two groups of events: two objects differing
in one property vs. two presentations of the same object. In both cases, all
objects involved in the same group of events were sequentially presented and
eventually concealed in a different spatial location, and the number of events
taking place at each location was equalized. Chicks spontaneously approached the
two different objects rather than the single object seen twice. Chicks did not
just prefer the more varied set as they did not choose it when the two elements
of each group of events were simultaneously presented (Experiment 2). Chicks
succeeded when two different objects simultaneously presented were confronted
with three identical ones simultaneously presented (Experiment 3), though they
failed with sequential presentation of two different objects vs. one object
presented three times if they had been familiarized with up to three identical
objects (Experiment 4). Chicks instead succeeded if they had been familiarized
with objects that were all different from one another (Experiment 5). These young
birds thus proved able to use property and spatiotemporal information for object
individuation.
PMID- 21884340
TI - Diagnostic criteria of acute liver failure: A report by the Intractable Hepato
Biliary Diseases Study Group of Japan.
AB - The diagnostic criteria of fulminant hepatitis in Japan are different from those
of acute liver failure in Europe and the United States, both in regard to the
histological features in the liver and the cutoff values of the prothrombin time.
Thus, the Intractable Hepato-Biliary Disease Study Group established novel
diagnostic criteria for "acute liver failure" in Japan based on the demographic
and clinical features of the patients. Patients showing prothrombin time values
of 40% or less of the standardized values or international normalized ratios of
1.5 or more caused by severe liver damage within 8 weeks of onset of the symptoms
are diagnosed as having "acute liver failure", where the liver function prior to
the current onset of liver damage is estimated to be normal. Acute liver failure
is classified into "acute liver failure without hepatic coma" and "acute liver
failure with hepatic coma," depending on the severity of the hepatic
encephalopathy; the latter is further classified into two types, the "acute type"
and the "subacute type", in which grade II or more severe hepatic coma develops
within 10 days and between 11 and 56 days, respectively, after the onset of
disease symptoms. Patients without histological findings of hepatitis, such as
those with liver damage caused by drug toxicity, circulatory disturbance or
metabolic disease, are also included in the disease entity of "acute liver
failure", while acute-on-chronic liver injuries, such as liver injury caused by
alcohol, are excluded. A nationwide survey of "acute liver failure" in Japan
based on the novel criteria is proposed.
PMID- 21884339
TI - Correlated longitudinal changes across linguistic, achievement, and psychomotor
domains in early childhood: evidence for a global dimension of development.
AB - An important question within developmental psychology concerns the extent to
which the maturational gains that children make across multiple diverse domains
of functioning can be attributed to global (domain-general) developmental
processes. The present study investigated this question by examining the extent
to which individual differences in change across children's development in five
different domains are correlated. Multivariate growth-curve models were fit to
longitudinal data on linguistic, mathematics, reading, gross motor, and fine
motor skills in 8950 children ranging in age from 44 to 86 months (3.7 years to
7.2 years). All five rates of change were positively intercorrelated. A common
factor accounted for 42% of the individual differences in change. These results
suggest that a global dimension underlies substantial proportions of cognitive
and psychomotor development.
PMID- 21884341
TI - Early detection of interstitial pneumonia by monitoring KL-6 in a chronic
hepatitis C patient undergoing pegylated interferon and ribavirin therapy.
AB - A 58-year-old woman with chronic hepatitis C developed interstitial pneumonia
(IP) while undergoing pegylated interferon (PEG IFN)-alpha-2a and ribavirin (RBV)
therapy. Serum levels of sialylated carbohydrate antigen KL-6 (KL-6), a known
marker of disease activity in fibrosing lung disorders, had been regularly
measured once a month for early detection of IP, and had begun rising noticeably
from 12 weeks to 540 U/mL at 33 weeks of treatment. On examination, remarkable
fine crackles were detected by dorsal auscultation and bilateral ground-glass
opacities and reticular shadows were depicted by computed tomography. The patient
successfully recovered from her early-stage pneumonia by immediate
discontinuation of therapy, which indicates that regular monitoring of serum KL-6
may be effective for avoidance of IP progression induced by PEG IFN and RBV
therapy.
PMID- 21884342
TI - Late-onset graft-versus-host disease after pediatric living-related liver
transplantation for Langerhans cell histiocytosis.
AB - GVHD is the most common and well-known cause of morbidity and mortality following
allogeneic BM transplantation. The GVHD following OLT is an uncommon complication
but has a high mortality and poses a major diagnostic and therapeutic challenge.
We herein discussed a 12-month-old girl with multi-system LCH, who developed end
stage liver disease despite intensive chemotherapy. She underwent ABO-compatible
liver transplantation at 28 months while in remission from LCH. The donor was her
26-yr-old father. Post-operative course was uneventful. The GVHD manifested with
skin rash and BM suppression on post-transplant day 94 and confirmed by both
microchimerism and skin biopsy. Prednisolone, basiliximab, and ATG were
administered immediately but the bone marrow suppression was not improved and the
patient died because of Candida sepsis at six-month post-transplant. GVHD after
OLT should be keep in mind in patients with rash and BM suppression after liver
transplantation. In LDLT, a patient who carries risk factors should investigated
for optimal HLA matching.
PMID- 21884343
TI - High urgency liver transplantation in ornithine transcarbamylase deficiency
presenting with acute liver failure.
AB - OTCD can present with ALF at any age. Under adequate therapy symptoms resolve
quickly. We report a three-yr-old girl with the manifestation of an OTCD as ALF.
Despite adequate pharmacotherapy and protein restriction, the patient
deteriorated and developed hepatic encephalopathy. A high urgency liver
transplantation was performed and the patient recovered completely. We conclude
that in patients with ALF urea cycle defects in general and OTCD in particular
should be considered as differential diagnosis. Patients should be managed in a
center that has the capacity for an emergency liver transplantation.
PMID- 21884344
TI - Proteasome inhibitor therapy for antibody-mediated rejection.
AB - AMR is being recognized with increasing efficiency, but continues to present a
significant threat to renal allograft survival. Traditional therapies for AMR
(IVIG, plasmapheresis, rituximab, and antilymphocyte preparations) in general
have provided inconsistent results and do not deplete the source of antibody
production, viz., the mature plasma cell. Recently, the first plasma cell
targeted therapy in humans has been developed using bortezomib (a first in class
PI) for AMR treatment in kidney transplant recipients. Initial experience with
bortezomib involved treatment of refractory AMR. Subsequently, the efficacy of
bortezomib in primary therapy for AMR was demonstrated. In a multicenter
collaborative effort, the initial results with bortezomib in AMR have been
confirmed and expanded to pediatric and adult heart transplant recipients. More
recently, results from a prospective, staged desensitization trial has shown that
bortezomib alone can substantially reduce anti-HLA antibody levels. These results
demonstrate the significant potential of proteasome inhibition in addressing
humoral barriers. However, the major advantage of proteasome inhibition lies in
the numerous potential strategies for achieving synergy.
PMID- 21884345
TI - Linear growth in pediatric renal transplant recipients receiving sirolimus.
AB - SRL is a potent macrolide immunosuppressive agent that can be used as maintenance
therapy for prevention of rejection and avoidance of CNI nephrotoxicity. However,
animal studies indicate that SRL may inhibit skeletal and muscle growth. We
analyzed linear growth in 25 children, age 1-15 yr old, maintained on SRL to
determine whether SRL is detrimental to linear growth. Height z-scores at
baseline were compared with those at 24 months. We also compared linear growth in
children receiving SRL to patients maintained on TAC. Height z-scores over 24
months did not significantly change in the SRL group as a whole. Z-scores
improved in 13 of 25 patients (52%). Children with improved z-scores were
significantly younger than patents who did not display improved growth: 6 +/- 5
yr vs. 11 +/- 4 yr (p < 0.05). Height z-scores in SRL and TAC-based patients were
no different initially and at 24 months, and a similar number of patients in each
group displayed improved height scores. Height z-scores improved in 52% of
patients on SRL and occurred predominantly in younger patients for the initial 24
months of treatment. Linear growth in SRL patients was also similar to the
results in TAC-based patients. Therefore, our data did not identify a significant
adverse effect of SRL on growth.
PMID- 21884346
TI - Prognostic significance of recurrent grade 1B rejection in the first year after
pediatric cardiac transplantation: a case for reinstatement of the 1B rejection
grade.
AB - The 2005 ISHLT rejection grading system merged grades 1A, 1B, and 2 into a single
grade (1R) assuming equivalent prognostic significance. We hypothesized that
recurrent 1B ACR is associated with adverse outcomes. Data on all heart
transplant recipients at our center from 1990 to 2007 were reviewed. Patients
were excluded if they had more than one grade >= 3A/2R biopsy in the first six wk
or any grade >= 3A/2R biopsies during the first year thereafter. Patients with >=
2 grade 1B biopsies from six wk to one yr were classified as "recurrent 1B."
Outcomes were freedom from late (greater than one yr) ACR (grade >= 3A/2R), CAD,
retransplantation/death, and a composite end-point. Sixty-two patients (53 non
recurrent 1B, nine recurrent 1B) met inclusion criteria. In univariate analyses,
recurrent 1B status was associated with decreased freedom from late ACR (p <
0.001), CAD (p = 0.004), and the composite outcome (p < 0.001). There was no
difference in freedom from retransplantation/death (p = 0.48). After controlling
for demographic differences between the groups, recurrent 1B status was
independently associated with late ACR (HR 5.90; p = 0.002) and the composite
outcome (HR 4.52; p = 0.002). These data suggest that further study of the impact
of removal of the 1B classification from the ISHLT grading scheme is warranted.
PMID- 21884347
TI - The role of interleukin-6, endothelins, and apoptotic genes in small bowel
transplantation, in a swine model of ischemia and reperfusion injury.
AB - IRI is closely related to sepsis in ITx setting. Complete understanding of the
mechanisms involved in IRI development may improve outcomes. Ortothopic ITx
without immunosuppression was performed in order to characterize IRI-associated
mucosal damage. Twenty pigs underwent ITx. Two groups were assigned to different
CI times: G1: 90 min and, G2: 180 min. Euro-Collins was used as preservation
solution. Jejunal fragments were collected at donor laparotomy, 30 min, and 3
days after reperfusion. IRI assessment involved: histopathologic analysis,
quantification of MPO-positive cells through immunohistochemical studies,
quantification of epithelial apoptotic cells using TUNEL staining, and
quantification of IL-6, ET-1, Bak, and Bcl-XL genes expression by RT-PCR.
Neutrophilic infiltration increased in a similar fashion in both groups, but
lasted longer in G2. Apoptosis detected by TUNEL staining increased and anti
apoptotic gene Bcl-XL expression decreased significantly in G1, 3 days after
surgery. Endothelin-1 and IL-6 genes expression increased 30 min after the
procedure and returned to baseline 3 days after surgery. In conclusion, IL-6 and
ET-1 are involved precociously in the development of intestinal IRI. Apoptosis
was more frequently detected in G1 grafts by TUNEL-staining and by RT-PCR.
PMID- 21884348
TI - Use of annual ABPM, and repeated carotid scan and echocardiography to monitor
cardiovascular health over nine yr in pediatric and young adult renal transplant
recipients.
AB - In adult hypertensive patients, increased cIMT and LVH are independent risk
factors for cardiovascular events. We have previously observed that in pediatric
RTRs with tight control of BP, cIMT did not progress over time. This
investigation is an extension of the aforementioned study aimed at re-examining
cIMT and also reporting serial echocardiography results. Twenty-two RTRs aged 9.4
+/- 3.3 yr at their baseline carotid scan underwent two additional vascular
ultrasounds during a follow-up of 9.1 +/- 0.9 yr. Carotid scan and
echocardiography examinations were carried out simultaneously with ABPM.
Antihypertensive therapy was determined according to the recipient's ABPM
results, which were performed at yearly intervals. Baseline cIMT was
significantly greater in RTRs than in healthy controls. There was no statistical
evidence of systematic changes in cIMT over time. At the last examination, 14 of
17 RTRs with treated hypertension had controlled hypertension (prevalence 82%;
95% CI, 56.5-96.2), and the overall prevalence of LVH was 4.5% (95% CI, -0.01 to
23.5). The lack of progression of cIMT over time and the low prevalence of LVH
might reflect the effect of long-standing BP control.
PMID- 21884349
TI - Preparing nurses for the 21st century: reflecting on nursing shortages and other
challenges in practice and education.
PMID- 21884351
TI - Robotically speaking: Experts consider the current and future state of robotic
surgery. Interview by Kevin D. Blanchet.
PMID- 21884352
TI - Reflex anuria.
PMID- 21884353
TI - 'Challenge' and 'threat' states in surgery: implications for surgical performance
and training.
PMID- 21884354
TI - Training in ureteroscopy: a critical appraisal of the literature.
AB - The aim of the present review was to study factors influencing training and the
maintenance of skills in performing ureteroscopy (URS). We searched on the
following keywords in the Medline, Embase and Cochrane databases: renal or
ureteric stone; ureteroscopy; endourology; educational; training; learning curve;
expertise; skill; residency; practice; simulator; and robotics. We have defined,
when possible, levels and grades of evidence, based on 2009 recommendations of
the Oxford Centre for Evidence-Based Medicine. We found that technological
advancement and surgeon experience is a predictive factor for success or
complications of URS. Experience may be related to special endourology training,
time passed after basic training and the number of procedures performed. Studies
suggest that a resident must perform a certain amount of cases to gain
proficiency with URS, but there is still a need for well designed studies for the
learning curve of URS to be accurately defined. Training models may be useful for
training in URS and stone disintegration. Stone centres that provide all the
endoscopic treatment options seem to provide the best conditions to ensure a
sufficient volume of patients required. Defining minimum requirements for
training in URS and for maintaining certification is a major challenge, as is
defining the learning curve in URS. Careful curriculum design in high-volume
stone centres may be the key to optimizing URS training.
PMID- 21884356
TI - The economic burden of prostate cancer.
AB - In the present review we discuss expenditure on prostate cancer diagnosis,
treatment and follow-up and evaluate the cost of prostate cancer and its
management in different countries. Prostate cancer costs were identified from
published data and internet sources. To provide up-to-date comparisons, costs
were inflated to 2010 levels and the most recent exchange rates were applied. A
high proportion of the costs are incurred in the first year after diagnosis; in
2006, this amounted to 106.7-179.0 million euros (?) in the European countries
where these data were available (UK, Germany, France, Italy, Spain and the
Netherlands). In the USA, the total estimated expenditure on prostate cancer was
9.862 billion US dollars ($) in 2006. The mean annual costs per patient in the
USA were $10,612 in the initial phase after diagnosis, $2134 for continuing care
and $33,691 in the last year of life. In Canada, hospital and drug expenditure on
prostate cancer totalled C$103.1 million in 1998. In Australia, annual costs for
prostate cancer care in 1993-1994 were 101.1 million Australian dollars.
Variations in costs between countries were attributed to differences in incidence
and management practices. Per patient costs depend on cancer stage at diagnosis,
survival and choice of treatment. Despite declining mortality rates, costs are
expected to rise owing to increased diagnosis, diagnosis at an earlier stage and
increased survival. Unless new strategies are devised to increase the efficiency
of healthcare provision, the economic burden of prostate cancer will continue to
rise.
PMID- 21884357
TI - What is the role of risk-adjusted funnel plots in the analysis of radical
cystectomy volume-outcome relationships?
AB - OBJECTIVE: * To explore whether risk-adjusted funnel plots are a useful adjunct
to analyse volume-outcome data and to further facilitate our understanding of
institutional performance data by combining funnel-plot methodology with an
incremental statistical modelling approach. PATIENTS AND METHODS: * Risk-adjusted
funnel plots were generated for mortality and re-intervention rates after
elective radical cystectomy using administrative data from NHS Hospital Trusts
between 2000/01 and 2006/07. Trusts were divided into volume tertiles based on
their average annual cystectomy rate. * A funnel plot was produced for each of
the following four incremental statistical models: model one (no adjustment),
model two (adjusted for patient case mix variables), model three (case mix and
'clustering' of patients) and model four (additional adjustment for institutional
structural and process-of-care variables). RESULTS: * In the final complex model
(model four), no Trusts had abnormally high mortality or re-intervention rates. *
Comparison of the funnel plots showed the importance of adjusting for certain
confounding factors, such as the surgeon, at the institutional level, before they
could be labelled as having truly outlying performance. CONCLUSION: * Risk
adjusted funnel plots have a useful role to play as a component of a
methodological framework for investigating the volume-outcome relationship at the
institutional level. They can act as a complementary method of validating data by
displaying disaggregated outcomes at provider level and account for unmeasured
confounders, so reducing the opportunity for spurious labelling of outliers.
PMID- 21884359
TI - The dorsal venous complex (DVC): dorsal venous or dorsal vasculature complex?
Santorini's plexus revisited.
PMID- 21884361
TI - Preservation potential of ancient plankton DNA in Pleistocene marine sediments.
AB - Recent studies have shown that ancient plankton DNA can be recovered from
Holocene lacustrine and marine sediments, including from species that do not
leave diagnostic microscopic fossils in the sediment record. Therefore, the
analysis of this so-called fossil plankton DNA is a promising approach for
refining paleoecological and paleoenvironmental information. However, further
studies are needed to reveal whether DNA of past plankton is preserved beyond the
Holocene. Here, we identified past eukaryotic plankton members based on 18S rRNA
gene profiling in eastern Mediterranean Holocene and Pleistocene sapropels S1 (~9
ka), S3 (~80 ka), S4 (~105 ka), and S5 (~125 ka). The majority of preserved ~400-
to 500-bp-long 18S rDNA fragments of microalgae that were studied in detail (i.e.
from haptophyte algae and dinoflagellates) were found in the youngest sapropel
S1, whereas their specific lipid biomarkers (long-chain alkenones and dinosterol)
were also abundant in sediments deposited between 80 and 124 ka BP. The late
Pleistocene sediments mainly contained eukaryotic DNA of marine fungi and from
terrestrial plants, which could have been introduced via the river Nile at the
time of deposition and preserved in pollen grains. A parallel analysis of
Branched and Isoprenoid Tetraethers (i.e. BIT index) showed that most of the
organic matter in the eastern Mediterranean sediment record was of marine (e.g.
pelagic) origin. Therefore, the predominance of terrestrial plant DNA over
plankton DNA in older sapropels suggests a preferential degradation of marine
plankton DNA.
PMID- 21884362
TI - Legacies of recent environmental change in the benthic communities of Lake Joyce,
a perennially ice-covered Antarctic lake.
AB - Many Antarctic lakes provide habitat for extensive microbial mats that respond on
various timescales to environmental change. Lake Joyce contains calcifying
microbialites and provides a natural laboratory to constrain how environmental
changes influence microbialite development. In Lake Joyce, depth-specific
distributions of calcitic microbialites, organic carbon, photosynthetic pigments
and photosynthetic potential cannot be explained by current growth conditions,
but are a legacy of a 7-m lake level rise between 1973 and 2009. In the well
illuminated margins of the lake, photosynthetically active benthic communities
colonised surfaces submerged for just a few years. However, observed increases in
accumulated organic material with depth from 5 to 20 m (2-40 mg ash-free dry
weight cm(-2)) and the presence of decimetre-scale calcite microbialites at 20-22
m depth, apparently related to in situ photosynthetic growth, are inconsistent
with the current distributions of irradiance, photosynthetic pigments and mat
photosynthetic potential (as revealed by pulse-amplitude-modulated fluorometry).
The microbialites appeared photosynthetically active in 1986 and 1997, but were
outside the depth zone where significant phototrophic growth was possible and
were weakly photosynthetically competent in 2009. These complex microbial
structures have persisted after growth has ceased, demonstrating how fluctuating
environmental conditions and the hysteresis between environmental change,
biological response and microbialite development can be important factors to
consider when interpreting modern, and by inference ancient, microbially mediated
structures.
PMID- 21884363
TI - Analysis of growth directions of columnar stromatolites from Walker Lake, western
Nevada.
AB - Samples of digitate, branching, columnar stromatolites were collected from the
steep sides and near horizontal top of four in situ boulders located on the
southwestern side of Walker Lake, Nevada, to test the widely held assumption that
stromatolite column formation represents a phototropic response. We would predict
that the columns on the steeply dipping sides of the boulder would bend upwards
toward the light during growth if phototropism was significant during
stromatolite morphogenesis. Angle of growth measurements on >300 stromatolites
demonstrate that the stromatolites grew nearly normal to their growth surface,
regardless of the inclination of their growth surface. No significant differences
in the distribution of growth angles between north-, south-, east-, or west
facing samples were observed, and stromatolite lamina thickness did not
systematically vary with position on the boulder. The lack of a strong
phototropic response does not rule out a biological origin for the Walker Lake
structures, but it does suggest that phototropic growth was not a dominant factor
controlling stromatolite morphogenesis in these stromatolites and that column
formation cannot be uniquely attributed as a phototropic response in
stromatolites. It is interesting to note that the morphology of the stromatolites
on the top of the boulder is identical to stromatolites on the steep sides.
Stromatolite morphogenetic models that predict branching typically require a
vertically directed sedimentary component, a feature that would have likely
affected the stromatolites on the tops of the boulders, but not the sides,
suggesting that other factors may be important in stromatolite morphogenesis.
PMID- 21884364
TI - Energy sources for chemolithotrophs in an arsenic- and iron-rich shallow-sea
hydrothermal system.
AB - The hydrothermally influenced sediments of Tutum Bay, Ambitle Island, Papua New
Guinea, are ideal for investigating the chemolithotrophic activities of micro
organisms involved in arsenic cycling because hydrothermal vents there expel
fluids with arsenite (As(III)) concentrations as high as 950 MUg L(-1) . These
hot (99 degrees C), slightly acidic (pH ~6), chemically reduced, shallow-sea
vent fluids mix with colder, oxidized seawater to create steep gradients in
temperature, pH, and concentrations of As, N, Fe, and S redox species. Near the
vents, iron oxyhydroxides precipitate with up to 6.2 wt% arsenate (As(V)). Here,
chemical analyses of sediment porewaters from 10 sites along a 300-m transect
were combined with standard Gibbs energies to evaluate the energy yields (
DeltaG(r)) from 19 potential chemolithotrophic metabolisms, including As(V)
reduction, As(III) oxidation, Fe(III) reduction, and Fe(II) oxidation reactions.
The 19 reactions yielded 2-94 kJ mol(-1) e(-) , with aerobic oxidation of
sulphide and arsenite the two most exergonic reactions. Although anaerobic As(V)
reduction and Fe(III) reduction were among the least exergonic reactions
investigated, they are still potential net metabolisms. Gibbs energies of the
arsenic redox reactions generally correlate linearly with pH, increasing with
increasing pH for As(III) oxidation and decreasing with increasing pH for As(V)
reduction. The calculated exergonic energy yields suggest that micro-organisms
could exploit diverse energy sources in Tutum Bay, and examples of micro
organisms known to use these chemolithotrophic metabolic strategies are
discussed. Energy modeling of redox reactions can help target sampling sites for
future microbial collection and cultivation studies.
PMID- 21884365
TI - Revisiting the dissimilatory sulfate reduction pathway.
AB - Sulfur isotopes in the geological record integrate a combination of biological
and diagenetic influences, but a key control on the ratio of sulfur isotopes in
sedimentary materials is the magnitude of isotope fractionation imparted during
dissimilatory sulfate reduction. This fractionation is controlled by the flux of
sulfur through the network of chemical reactions involved in sulfate reduction
and by the isotope effect associated with each of these chemical reactions.
Despite its importance, the network of reactions constituting sulfate reduction
is not fully understood, with two principle networks underpinning most isotope
models. In this study, we build on biochemical data and recently solved crystal
structures of enzymes to propose a revised network topology for the flow of
sulfur through the sulfate reduction metabolism. This network is highly branched
and under certain conditions produces results consistent with the observations
that motivated previous sulfate reduction models. Our revised network suggests
that there are two main paths to sulfide production: one that involves the
production of thionate intermediates, and one that does not. We suggest that a
key factor in determining sulfur isotope fractionation associated with sulfate
reduction is the ratio of the rate at which electrons are supplied to subunits of
Dsr vs. the rate of sulfite delivery to the active site of Dsr. This reaction
network may help geochemists to better understand the relationship between the
physiology of sulfate reduction and the isotopic record it produces.
PMID- 21884366
TI - The author's authentic voice.
PMID- 21884367
TI - Integrating genomics into undergraduate nursing education.
AB - PURPOSE: To prepare the next generation of nurses, faculty are now faced with the
challenge of incorporating genomics into curricula. Here we discuss how to meet
this challenge. ORGANIZING CONSTRUCT: Steps to initiate curricular changes to
include genomics are presented along with a discussion on creating a genomic
curriculum thread versus a standalone course. Ideas for use of print material and
technology on genomic topics are also presented. Information is based on review
of the literature and curriculum change efforts by the authors. CONCLUSIONS: In
recognition of advances in genomics, the nursing profession is increasing an
emphasis on the integration of genomics into professional practice and
educational standards. Incorporating genomics into nurses' practices begins with
changes in our undergraduate curricula. Information given in didactic courses
should be reinforced in clinical practica, and Internet-based tools such as
WebQuest, Second Life, and wikis offer attractive, up-to-date platforms to
deliver this now crucial content. CLINICAL RELEVANCE: To provide information that
may assist faculty to prepare the next generation of nurses to practice using
genomics.
PMID- 21884368
TI - Strategies to prepare faculty to integrate genomics into nursing education
programs.
AB - PURPOSE: Faculty knowledge of genomics, learner competencies, and program
requirements for nursing education are described to assist educators in
introducing genomic information into nursing undergraduate, graduate,
postgraduate, and continuing education programs regardless of geographic
location. Selected programs in the United States and the United Kingdom are
described to illustrate successful approaches used by nursing faculty to enhance
their genomic knowledge in order to increase application of genetic and genomic
content within nursing education curricula. ORGANIZING CONSTRUCT: Nursing
education guidelines and nursing competencies provide benchmarks for educators in
planning genetic and genomic curriculum content and expected learner outcomes.
METHODS: Elements within competencies from the United States and the United
Kingdom are reviewed to provide the framework for faculty knowledge. Strategies
to address development of faculty knowledge and expertise are suggested.
Continuing education faculty development programs and strategies to develop
doctorally prepared nurse scientists who will educate future students in the
profession are described. CONCLUSIONS: Multiple faculty who are prepared to
implement education on genetic and genomic topics are needed at all levels of
nursing education. Faculty networking and application of genomic principles to
nursing are key elements for sustaining nursing education to produce a nursing
workforce that can apply essential genomic knowledge. CLINICAL RELEVANCE: There
is an urgent need to offer genomics in accessible and effective education for
nursing practice to optimize health outcomes regardless of geographic location.
PMID- 21884369
TI - The meaning of breast cancer risk for African American women.
AB - PURPOSE: To describe African American women's experience of being at high risk
for breast cancer. DESIGN: A hermeneutic phenomenological approach was used to
guide in-depth interviews and analysis. Methods to ensure trustworthiness and
rigor were included. METHODS: Open interviews were conducted with 20 African
American women who were at high risk for breast cancer (family history, personal
history, genetic mutation). They were recruited from a cancer risk clinic and
community-based settings. Data were transcribed verbatim, and themes were labeled
among and between all interviews. FINDINGS: Five themes were identified: (a) life
changing experience; (b) relationships: fears, support, and concerns; (c) the
healthcare experience; (d) raising awareness; and (e) strong faith. CONCLUSIONS:
Young women at high risk for breast cancer have unique emotional and support
needs that are shaped by stage in life, relationships with significant others,
their faith, and interactions with the healthcare delivery system. CLINICAL
RELEVANCE: Breast cancer does occur in young women. This highlights the need for
timely and sensitive approaches to care when young women present with breast
health concerns or abnormal breast findings.
PMID- 21884370
TI - Effects of gender on burden among caregivers of Alzheimer's patients.
AB - PURPOSE: This study was conducted to determine the effects of gender on caregiver
burden among caregivers of persons with Alzheimer's disease. DESIGN: Comparative
descriptive study. METHODS: Factors affecting the burden of female and male
caregivers (age, total duration of caregiving, mean duration of daily caregiving,
education, income, employment status, age of the patients cared for, and Mini
Mental State Examination [MMSE] and Neuropsychiatric Inventory [NPI] scores) were
similar (p > .05). The sample consisted of 120 female and 72 male caregivers of
patients with Alzheimer's disease. Data were collected from patients by means of
the MMSE and demographic variables, and data from the Caregiver Burden Inventory
[CBI] and NPI were obtained from caregivers, as well as from face-to-face
interviews using a questionnaire. Descriptive statistics and t-tests were used to
describe and analyze data. FINDINGS: Female caregivers had significantly higher
scores for caregiver burden than their male counterparts (p= .002). Subscale
analysis on the CSI revealed that female caregivers had significantly higher
scores for caregiver burden than male caregivers on time dependence (p= .040),
developmental (p= .002), physical (p= .001), and social burdens (p= .045). No
difference was found with respect to emotional burden (p= .718). CONCLUSIONS:
Results of this study suggest that female caregivers are subjected to a higher
level of caregiver burden than male caregivers in Turkey. In subscales, female
caregivers experienced more burden than male caregivers in the time dependence,
developmental, physical, and social burdens. Emotional burden was similar in both
genders. CLINICAL RELEVANCE: Although caregiver burden has been a much debated
issue for many years, it is a relatively new topic in Turkey. In order to provide
appropriate care for the patient's and family's cultural values and needs, more
studies are needed to be conducted on family members giving care to Alzheimer's
patients. It is thought that the findings of the present study will facilitate
cross-cultural comparisons and culture-oriented care planning.
PMID- 21884371
TI - Delineation of self-care and associated concepts.
AB - PURPOSE: The purpose of this paper is to delineate five concepts that are often
used synonymously in the nursing and related literature: self-care, self
management, self-monitoring, symptom management, and self-efficacy for self-care.
METHOD: Concepts were delineated based on a review of literature, identification
of relationships, and examination of commonalities and differences. FINDINGS:
More commonalities than differences exist among self-care, self-management, and
self-monitoring. Symptom management extends beyond the self-care concepts to
include healthcare provider activities. Self-efficacy can mediate or moderate the
four other concepts. Relationships among the concepts are depicted in a model.
CONCLUSIONS: A clearer understanding of the overlap, differences, and
relationships among the five concepts can provide clarity, direction and
specificity to nurse researchers, policy makers, and clinicians in addressing
their goals for health delivery. CLINICAL RELEVANCE: Concept clarity enables
nurses to use evidence that targets specific interventions to individualize care
toward achieving the most relevant goals.
PMID- 21884372
TI - The effect of the odor of breast milk on the time needed for transition from
gavage to total oral feeding in preterm infants.
AB - PURPOSE: The aim of this study was to investigate the effect of the application
of the odor of breast milk in preterm infants during gavage feeding on the period
of transition to total oral feeding. DESIGN: This prospective experimental study
was performed on a total of 80 preterm infants: 40 infants in the study group and
40 in the control group. METHODS: This experimental study was performed in
eastern Turkey at the Neonatal Intensive Care and Premature Unit of a university
hospital between September 2007 and December 2008. The demographic data were
collected via a questionnaire, and an intervention and follow-up table was
prepared by the researcher based on relevant literature. The study was approved
by the local institution, and written informed consent was obtained from all
parents. FINDINGS: The findings of the study indicated that the preterm infants
who were stimulated by the odor of breast milk during gavage feeding transitioned
to oral feeding 3 days earlier than control subjects. Moreover, the mean
hospitalization time of these infants was 4 days shorter. CONCLUSIONS: The
results show that stimulation with breast milk odor is an effective method for
decreasing transition of preterm infants from gavage to oral feeding. CLINICAL
RELEVANCE: Nurses can train mothers to pump their breast milk, stimulate their
infants with the odor of their breast milk, and feed it to their infants in the
premature unit. This may lead to a quicker transition to oral feeding.
PMID- 21884373
TI - Advancing the biobehavioral research of fatigue with genetics and genomics.
AB - PURPOSE: To examine phenotypic considerations in the study of fatigue and to
explore significant issues affecting the extension of biobehavioral research of
fatigue by the inclusion of genetic and genomic markers. THEORETICAL
ORGANIZATION: Fatigue is a condition that has an adverse effect on quality of
life that has been a focus of nursing inquiry. Yet, the study of fatigue has been
stymied by the lack of phenotypic clarity. To expand the biobehavioral inquiry of
fatigue, phenotypic clarity is needed. In addition, examining genomic factors
associated with fatigue may help to elucidate the pathophysiology of fatigue and,
in the future, lead to targeted interventions that address the molecular basis of
fatigue. CONCLUSIONS: Given that nursing has been at the forefront of the study
of fatigue, nurse scientists should consider enhancing phenotypic clarity by the
development of a case-definition and use of a core measure of fatigue, one that
can be augmented by condition- or population-specific measures as needed.
Following the establishment of phenotypic clarity, the integration of genomics
into biobehavioral research offers an opportunity for further clarity of
phenotypes and for theoretical specification of the pathophysiology of conditions
such as fatigue. CLINICAL RELEVANCE: The development of targeted interventions
for fatigue depend on a more precise definition of fatigue and a better
understanding of the biologic processes that contribute to its development and
persistence.
PMID- 21884374
TI - Subjective burden and cultural motives for caregiving in informal caregivers of
older people.
AB - PURPOSE: The aims of this study were to investigate variables related to cultural
caregiving motives (obligation and reciprocity) and to analyze the relationship
between these cultural caregiving motives and subjective burden in informal
caregivers of disabled older people. DESIGN AND METHODS: A secondary analysis of
the last cross-sectional Spanish survey of informal caregivers of older people
(n= 1,284, probability sample) was performed. Measurements included
sociodemographic characteristics of caregivers (gender, age, relationship with
care recipient, primary caregiver status, and duration of caregiving), intensity
of care (hours per week, type of care, and number of activities of daily living
[ADL] assisted), cultural motives for caregiving (obligation and balanced
reciprocity), and caregiver subjective burden. Statistical analyses included
descriptive (means, percentages, and 95% confidence intervals), bivariate (chi
square test), and multivariate (binary logistic regression) analyses. FINDINGS:
Obligation and reciprocity were higher in spouses than in other relatives and in
nonrelatives and obligation increased with age as well as providing help with
ADL. Balanced reciprocity was high in men. Obligation was not related with
subjective burden, whereas balanced reciprocity was positively related.
CONCLUSIONS: Reciprocity had a protective effect on subjective burden. No
cultural differences have been found on this issue. Obligation may be a
multidimensional concept that encompasses personal beliefs and social demands.
CLINICAL RELEVANCE: Balanced reciprocity is useful for early prevention and early
intervention of subjective burden and must be included in nursing care plans for
caregivers. Cultural factors support the comprehension of the caregiving process.
PMID- 21884375
TI - Comparison of hospital admission medication lists with primary care physician and
outpatient pharmacy lists.
AB - PURPOSE: Medication reconciliation is a process to reduce errors and harm
associated with loss of medication information as the patient enters and moves
through the healthcare system. This study examines medication list accuracy upon
hospital admission. DESIGN: This prospective study enrolled 75 English-speaking
medical and surgical patients (18 years of age or older) who were taking
prescription medications. The study took place at a rural, tertiary teaching
hospital in the northeastern United States. Data collection occurred from
November 2006 to March 2009. METHODS: Nursing admission team medication lists
were reconciled with primary care physician (PCP) and outpatient pharmacy (OP)
lists. Outcome measures were accuracy of medication history generated by
admission nurses (ANs) compared with PCP and OP lists, and identification of
factors influencing probability of accurate medication list generation by ANs.
The Generalized Estimating Equations modeling approach was used to compare AN,
OP, and PCP medication list accuracy. Additionally, sex and age were analyzed as
covariates and included in the model. FINDINGS: Forty-five males and 30 females
(N= 75) with a mean age of 60 years (SD 15) participated. Fifty-seven subjects
(76%) used over-the-counter or herbal medications, but the AN recorded only 31
(41%) cases. Patients received outpatient care from 1 to 12 providers. Forty
patients (67%) obtained medications from one pharmacy, 22 (29%) from two, and 3
(4%) from three pharmacies. OP medication lists were completely accurate more
often than PCP but not AN lists (19/75 [25%] OP vs. 6/75 [8%] PCP vs. 14/75 [19%]
AN; 95% confidence interval [CI] of the difference [0.07, 0.50]). No difference
between AN and PCP list accuracy was found. Completely accurate AN lists were
more than twice as likely with male and younger patients (95% CI of the
difference [1.07, 6.22] and [0.94, 0.99], respectively). CONCLUSIONS: Like other
studies, this study showed admission medication reconciliation lists are often
inaccurate. Our results suggest that verification of admission medication lists
with outpatient provider lists may improve accuracy. Patients, with guidance from
outpatient care providers, should assume accountability for maintaining accurate
medication lists. A secure, universal, interactive electronic medical record may
be a future solution for organizing and sharing medication data between
providers. CLINICAL RELEVANCE: Medication reconciliation upon inpatient admission
remains a high-volume and high-acuity problem. We found that not only hospital
medication lists, but source lists, including those maintained by the patient,
the PCP, and the OP, are vastly inaccurate.
PMID- 21884377
TI - Successful techniques for using human patient simulation in nursing education.
AB - PURPOSE: The purpose of this paper was to explore techniques used successfully
for human simulation exercises in nursing education. DESIGN AND METHODS: An
integrated review of current literature was completed using the Cumulative Index
to Nursing and Allied Health Literature (CINAHL) with Full Text and PubMed
databases to identify studies that used simulation successfully in nursing
curriculum. FINDINGS AND CONCLUSIONS: Human patient simulation can be used
successfully as an instructional method in nursing education. While no single
process was proven to be superior, there are techniques which have been used
effectively. CLINICAL RELEVANCE: Nursing educators are challenged with
discovering ways to facilitate the education of their students. Human patient
simulation can be a valuable tool. More research is needed to fully develop this
promising educational avenue.
PMID- 21884376
TI - Quality of care and patient satisfaction in hospitals with high concentrations of
black patients.
AB - PURPOSE: To examine the influence of nursing-specifically nurse staffing and the
nurse work environment-on quality of care and patient satisfaction in hospitals
with varying concentrations of Black patients. DESIGN: Cross-sectional secondary
analysis of 2006-2007 nurse survey data collected across four states (Florida,
Pennsylvania, New Jersey, and California), the Hospital Consumer Assessment of
Healthcare Providers and Systems survey, and administrative data. Global analysis
of variance and linear regression models were used to examine the association
between the concentration of Black patients on quality measures (readiness for
discharge, patient or family complaints, health care-associated infections) and
patient satisfaction, before and after accounting for nursing and hospital
characteristics. RESULTS: Nurses working in hospitals with higher concentrations
of Blacks reported poorer confidence in patients' readiness for discharge and
more frequent complaints and infections. Patients treated in hospitals with
higher concentrations of Blacks were less satisfied with their care. In the fully
adjusted regression models for quality and patient satisfaction outcomes, the
effects associated with the concentration of Blacks were explained in part by
nursing and structural hospital characteristics. CONCLUSIONS: This study
demonstrates a relationship between nursing, structural hospital characteristics,
quality of care, and patient satisfaction in hospitals with high concentrations
of Black patients. CLINICAL RELEVANCE: Consideration of nursing factors, in
addition to other important hospital characteristics, is critical to
understanding and improving quality of care and patient satisfaction in minority
serving hospitals.
PMID- 21884378
TI - Development and psychometric validation of the general practice nurse
satisfaction scale.
AB - PURPOSE: To develop an instrument to assess consumer satisfaction with nursing in
general practice to provide feedback to nurses about consumers' perceptions of
their performance. DESIGN: Prospective psychometric instrument validation study.
METHODS: A literature review was conducted to generate items for an instrument to
measure consumer satisfaction with nursing in general practice. Face and content
validity were evaluated by an expert panel, which had extensive experience in
general practice nursing and research. Included in the questionnaire battery was
the 27-item General Practice Nurse Satisfaction (GPNS) scale, as well as
demographic and health status items. This survey was distributed to 739 consumers
following intervention administered by a practice nurse in 16 general practices
across metropolitan, rural, and regional Australia. Participants had the option
of completing the survey online or receiving a hard copy of the survey form at
the time of their visit. These data were collected between June and August 2009.
FINDINGS: Satisfaction data from 739 consumers were collected following their
consultation with a general practice nurse. From the initial 27-item GPNS scale,
a 21-item instrument was developed. Two factors, "confidence and credibility" and
"interpersonal and communication" were extracted using principal axis factoring
and varimax rotation. These two factors explained 71.9% of the variance.
Cronbach's alpha was 0.97. CONCLUSIONS: The GPNS scale has demonstrated
acceptable psychometric properties and can be used both in research and clinical
practice for evaluating consumer satisfaction with general practice nurses.
RELEVANCE TO CLINICAL PRACTICE: Assessing consumer satisfaction is important for
developing and evaluating nursing roles. The GPNS scale is a valid and reliable
tool that can be utilized to assess consumer satisfaction with general practice
nurses and can assist in performance management and improving the quality of
nursing services.
PMID- 21884381
TI - Patterns and correlates of same-sex sexual activity among U.S. teenagers and
young adults.
AB - CONTEXT: Little is known about the prevalence and correlates of same-sex sexual
activity among teenagers and young adults, particularly those who do not identify
themselves as gay, lesbian or bisexual. Effective interventions to prevent STDs
require accurate understanding of youths' sexual behavior. METHODS: Descriptive
and regression analyses of data from the 2002 National Survey of Family Growth
examined patterns and correlates of same-sex sexual activity among a sample of
2,688 never-married, noncohabiting men and women aged 15-21. Same-sex behavior
was assessed separately by gender, as well as by heterosexual experience and
sexual attraction and identity. RESULTS: Eleven percent of women and 4% of men
reported same-sex sexual experience. Youth who were attracted only to the
opposite sex had a decreased likelihood of reporting same-sex activity (rate
ratio, 0.1 for each gender), while women and men who identified themselves as
homosexual or bisexual had an elevated likelihood of such activity (5.1 and 5.9,
respectively). However, among women who were attracted exclusively to men, those
who had had heterosexual sex were more than four times as likely as those who had
not to have engaged in same-sex activity. Finally, among youth who reported any
same-sex attraction, women and men who said they were homosexual or bisexual had
an elevated likelihood of having engaged in same-sex behavior (4.7 and 5.6,
respectively). CONCLUSION: A significant proportion of "straight" youth engage in
same-sex activity, and so information on risks associated with such behavior
should be included in sex education programs and targeted to all youth.
PMID- 21884382
TI - The number of recent sex partners among bisexual men in the United States.
AB - CONTEXT: Little is known regarding bisexual men's number of recent sex partners,
a risk factor for HIV and other STDs. Furthermore, it is unclear if bisexual men
have more partners than heterosexual or homosexual men, and whether partner
number varies by measures of sexual behavior, identity and attraction. METHODS:
Sexual orientation-defined separately by sexual behavior during the past year,
identity and attraction-was assessed for 3,875 sexually active men aged 15-44 who
had participated in the 2002 National Survey of Family Growth. Chi-square and t
tests examined differences in background characteristics, behavioral risk factors
and number of past-year sex partners by sexual orientation according to each
definition. Multivariate ordinary least-squares regression was used to assess
predictors of the number of partners. RESULTS: When sexual identity and
attraction were controlled for, behaviorally bisexual men were predicted to have
had 3.1 more past-year partners than behaviorally heterosexual men and 2.6 more
than behaviorally homosexual men. After controlling for sexual identity and
behavior, bisexual-attracted men had had 0.7 fewer partners than homosexual
attracted men. In a model including background characteristics and behavioral
risk factors, behaviorally bisexual men were predicted to have had 2.5-2.6 more
partners than others. Neither bisexual identity nor bisexual attraction
independently predicted the number of recent partners. CONCLUSION: The way in
which bisexuality relates to men's number of recent sex partners depends on how
sexual orientation is measured. Interventions to reduce behaviorally bisexual
men's number of partners will likely lessen their risk for HIV and other STDs.
PMID- 21884383
TI - Bacterial STDs and perceived risk among sexual minority young adults.
AB - CONTEXT: Most sexual health interventions focus on heterosexual sexual risk
behavior. Health practitioners face a lack of information about the sexual health
of sexual minority young adults (aged 18-26). METHODS: Three indicators of sexual
minority status (identity, behavior and romantic attractions) were assessed in
10,986 young adults who participated in Wave 3 of the National Longitudinal Study
of Adolescent Health (2001-2002). Logistic regression analyses examined
associations between these indicators and individuals' perceived risk for STDs
and actual infection with STDs. Data from the 1,154 respondents who had current
or recent bacterial STDs were investigated further to determine whether they had
underestimated their risk. RESULTS: Outcomes varied by sexual minority status
indicator and by sex. Bisexual females had significantly higher odds of STDs than
heterosexual females (odds ratios, 1.4), and females attracted to both sexes had
significantly higher odds of STDs than females attracted only to males (1.8). In
contrast, none of the sexual minority status indicators predicted STDs for males.
Among respondents who had an STD, females who reported only same-sex sexual
relationships were more likely to believe they were at very low risk for STDs
than were females reporting only opposite-sex sexual relationships (17.2);
homosexual females had a higher likelihood of this outcome than heterosexual
females (19.7). CONCLUSIONS: Health practitioners need to assist sexual minority
young adults, particularly females, in under-standing their risk for STDs and in
taking safer-sex precautions.
PMID- 21884384
TI - Reproductive health characteristics of marijuana and cocaine users: results from
the 2002 National Survey of Family Growth.
AB - CONTEXT: Illicit drug use is associated with risky sexual behaviors in
adolescents and young adults. However, few studies have examined these
associations among drug users of all reproductive ages, using a control group of
nonusers. METHODS: Associations between marijuana and cocaine use, and outcomes
related to sexual behaviors and reproductive health, were assessed using data
from the 2002 National Survey of Family Growth. Overall, 4,928 men and 7,643
women aged 15-44 were interviewed. Chi-square tests, t tests and multivariable
logistic regression analyses were used; in supplementary analyses, men and women
were stratified by age-group (25 or younger, and older than 25), to capture the
understudied older adults who use drugs. RESULTS: Twenty-seven percent of men and
16% of women reported use of marijuana or cocaine in the last year. Drug users
were younger than nonusers at first vaginal sex (mean, 15.2-16.1 vs. 17.3-17.5
years) and were more likely to have engaged in risky sexual behaviors in the last
year, including having had sex with a nonmonogamous partner (odds ratios, 3.3-5.2
for men and 2.9-6.5 for women), while high on alcohol or drugs (10.1-18.0 and 8.1
24.2), or in exchange for money or drugs (2.7-2.8 and 2.3-9.2). They also were
more likely to have undergone STD testing or treatment. Drug use was associated
with risky sexual behaviors in both age-groups. CONCLUSION: Programs aimed at
reducing sexual risks among drug users should address the behaviors of men and
women of all reproductive ages.
PMID- 21884385
TI - Racial and ethnic disparities in contraceptive method choice in California.
AB - CONTEXT: Unintended pregnancy, an important public health issue,
disproportionately affects minority populations. Yet, the independent
associations of race, ethnicity and other characteristics with contraceptive
choice have not been well studied. METHODS: Racial and ethnic disparities in
contraceptive use among 3,277 women aged 18-44 and at risk for unintended
pregnancy were assessed using 2006-2008 data from of the California Women's
Health Survey. Sequential logistic regression analyses were used to examine the
independent and cumulative associations of racial, ethnic, demographic and
socioeconomic characteristics with method choice. RESULTS: Differences in
contraceptive use persisted in analyses controlling for demographic and
socioeconomic characteristics. Blacks and foreign-born Asians were less likely
than whites to use high-efficacy reversible methods-that is, hormonals or IUDs
(odds ratio, 0.5 for each). No differences by race or ethnicity were found
specifically for IUD use in the full model. Blacks and U.S.-born Hispanics were
more likely than whites to choose female sterilization (1.9 and 1.7,
respectively), while foreign-born Asians had reduced odds of such use (0.4).
Finally, blacks and foreign-born Asians were less likely than whites to rely on
male sterilization (0.3 and 0.1, respectively). CONCLUSIONS: Socioeconomic
factors did not explain the disparities in method choice among racial and ethnic
groups. Intervention programs that focus on improving contraceptive choice among
black and, particularly, Asian populations need to be developed, as such programs
have the potential to reduce the number of unintended pregnancies that occur
among these high-risk groups.
PMID- 21884386
TI - Race, ethnicity and differences in contraception among low-income women: methods
received by Family PACT Clients, California, 2001-2007.
AB - CONTEXT: The extent to which racial and ethnic differences in method choice are
associated with financial barriers is unclear. Understanding these associations
may provide insight into how to address racial and ethnic disparities in
unintended pregnancy. METHODS: Claims data from the California Family PACT
program, which provides free family planning services to low-income residents,
were used to determine the proportions of women receiving each type of
contraceptive method in 2001-2007. Bivariate and multivariate analyses were
performed to identify associations between women's race and ethnicity and the
primary contraceptive method they received in 2007. RESULTS: Compared with white
women, blacks and Latinas were less likely to receive oral contraceptives (odds
ratios, 0.4 and 0.6, respectively) and the contraceptive ring (0.7 and 0.5), and
more likely to receive the injectable (1.6 and 1.4) and the patch (1.6 and 2.3).
Black women were less likely than whites to receive the IUD (0.5), but more
likely to receive barrier methods and emergency contraceptive pills (2.6);
associations were similar, though weaker, for Latinas. Racial and ethnic
disparities in receipt of effective methods declined between 2001 and 2005,
largely because receipt of the patch (which was introduced in 2002) was higher
among minority than white women. CONCLUSION: Although Family PACT eliminates
financial barriers to method choice, the methods women received differed
substantially by race and ethnicity in this low-income population. The reduction
in racial and ethnic disparities following introduction of the patch suggests
that methods with novel characteristics may increase acceptability of
contraceptives among minority women.
PMID- 21884387
TI - Legal abortion worldwide in 2008: levels and recent trends.
AB - CONTEXT: Periodic assessments of abortion incidence are essential for monitoring
trends in unintended pregnancy and gaps in contraceptive services and use.
METHODS: Statistics and estimates of legal induced abortions in 2008 were
compiled for 64 of the 77 countries in which legal abortion is generally
available; the 64 are home to 98% of women aged 15-44 who live in the countries
eligible for inclusion. Data sources included reports or completed questionnaires
from national statistical offices and nationally representative surveys. The
completeness of official figures was assessed by in-country and regional experts.
Trends since 1996 and 2003 were examined. RESULTS: Of the 77 countries with
liberal abortion laws, 36 are in the developing world. In 2008, abortion rates in
the 25 countries with complete records-all of which were developed-ranged from
seven (Germany and Switzerland) to 30 (Estonia) per 1,000 women aged 15-44.
Abortion rates declined in about half of the 20 countries with consistently
reliable information on trends between 1996 and 2008; declines were generally
steeper than increases, although the pace of decline slowed after 2003. The
highest observed abortion rates were in developing countries with incomplete
estimates. For most developing countries that had liberal laws, the reported
abortion rates were incomplete and varied widely. CONCLUSIONS: High abortion
rates in some countries, and small increases in rates in others, indicate a great
need for more effective family planning services for these populations. Reliable
data collection systems, needed to ensure that trends can be effectively
monitored, are lacking in many countries.
PMID- 21884388
TI - Working with Dr. Tiller: staff recollections of Women's Health Care Services of
Wichita.
PMID- 21884396
TI - Youth gangs: reason for concern.
PMID- 21884398
TI - Walking a fine line: Forensic mental health practitioners' experience of working
with correctional officers.
AB - This paper explores mental health professionals' experiences working with
correctional staff--one aspect of an interdisciplinary phenomenological study of
ethical practice in forensic psychiatry. Professionals describe this relationship
as coexisting within the system, despite their often conflicting roles. In
correctional officers' overt concern for custody and control, practitioners can
perceive a "paramilitary mentality" with which they struggle to work. Conversely,
practitioners can experience conflict with security personnel for appearing "too
caring" or "too sympathetic" to offenders--being "con-lovers." The balance
practitioners establish between working with inmates and working alongside
facility security is one of walking a fine line.
PMID- 21884399
TI - Really not even a decision any more: Late adolescent narratives of implied sexual
consent.
AB - Sexual activity without clear consent, as one aspect of violence against women,
is a significant global issue, especially during adolescence when young people
are developing their values and beliefs about sexual activity and sexual norms.
This narrative inquiry was performed to investigate influences on the sexual
decision-making of late adolescents. Ten late adolescent females between the ages
of 18 and 22 comprised the final sample. The results included the main finding
that in the majority of these adolescents' sexual encounters, sexual consent was
implied by the situation instead of being clearly stated. Inability to
communicate with partners and the influence of alcohol were identified as
contributing factors to implied sexual consent. Additionally, there was a
pervasive normalization and acceptance of this type of nonconsensual sexual
activity, and no one labeled these actions as rape or assault. IMPLICATIONS:
Providers who work with adolescents need to assess sexual behaviors in greater
detail and recognize that complex social factors and individual characteristics
may contribute to an environment in which adolescents are at risk for
nonconsensual sexual activity. Sexual violence education programs need to include
information on negotiation and communication skills that will help adolescents
mediate complicated interpersonal situations.
PMID- 21884400
TI - Accidental deaths occurring in bed: review of cases and proposal of preventive
strategies.
AB - The bed is thought to be a safe place, but posses a number of risks that can
cause deaths. To identify the causes of accidental death in bed and propose
strategies for the prevention of further accidents, we surveyed and analyzed the
literature on accidental deaths in bed from Japan and abroad, as well as legal
cases related to accidental death in bed in Japan. A search of the medical
literature in Japan (1983-2007), abroad (1976-2007), and Japan's legal cases
(1989-2007) located 39 cases. The vast majority of accidental deaths in bed occur
among infants and elderly individuals with neurologic disorders. The main causes
of death within these two groups are head injuries caused by falls from a bed and
asphyxia caused by pressure to the neck when wedged against a bed rail. It is
necessary to focus on prevention of falls from bed and prevention of asphyxia
caused by bed rails in the case of infants and elderly individuals with
neurologic disorders. Infants should be placed to sleep in beds designed for
their ages and placed on their back. Elderly individuals need to sleep in beds
with properly fitting bed rails to reduce wedging.
PMID- 21884401
TI - An examination of SANE data: clinical considerations based on victim-assailant
relationship.
AB - The current study analyzes adult female sexual assault data, collected by sexual
assault nurse examiners (SANEs) in New Hampshire, United States, between 1997 and
2007. The purposes of this study were to (1) explore the relationship between
patient and assailant, (2) examine patients' physical findings according to
assailant type, (3) describe characteristics of both the patients and the
assaults, and (4) make care recommendations. Secondary analysis was conducted on
data gathered by SANEs from responses to a standardized questionnaire based on
the medical/forensic examination of each patient over an 11-year period. Of the
741 women in this study, 53% were sexually assaulted by a nonstranger, 18% were
assaulted by an intimate partner, and 11% were assaulted by a stranger. The
relationship between patient and assailant is an important variable that requires
SANEs to take a closer look at assessing for lethality, and assisting with safety
planning, intervention, and treatment for victims.
PMID- 21884402
TI - DNA testing of sexual assault evidence: the laboratory perspective.
AB - The availability of DNA testing has dramatically changed the way that crimes are
investigated. DNA results can link offenders to their crimes, exonerate
wrongfully accused individuals, identify mass fatality victims and more. In the
case of sexual assault, DNA evidence alone cannot prove that a sexual assault has
occurred. DNA analysis can only reveal whether a person's DNA is, or is not,
present. In this paper, the authors provide readers with an overview of the
advantages and limitations of DNA analysis, the importance of proper evidence
collection, the technologies available, and the amount of sample needed for
testing. Through proper evidence collection and quality laboratory services, the
full value of DNA will be realized.
PMID- 21884403
TI - Autopsy features of sudden death due to isolated eosinophilic coronary arteritis:
report of two cases.
AB - Isolated eosinophilic coronary arteritis (IECA) has been reported as a cause of
sudden unexpected death and has recently been recognized as a newly emerging
vasculitic disease. We identified eight case reports and two case series of
sudden death due to IECA in the medical literature and we present two new cases
of sudden death due to IECA. Our cases further support the proposition that IECA
may be a newly emerging distinct vasculitis, which can go undiagnosed and present
with sudden death. At autopsy IECA presents with isolated non-necrotizing
predominantly eosinophilic inflammation of the coronary arteries without
vasculitis in any other organ or blood vessel. The mean age of death of our two
cases and the previously reported cases of IECA is 47 years, comprising 13
females and 3 males with a range of 34-64 years. All cases died suddenly and
unexpectedly. Past medical history of recurrent chest pain was documented in 63%
of cases. The patho-etiology of IECA may involve an aberrant immune response or
hypersensitivity reaction. Elucidation of the pathology of IECA may be translated
into definitive diagnostic, interventional, and preventive modalities, which will
further reduce the person years of life lost to heart disease.
PMID- 21884405
TI - Alemtuzumab shows promise as off-label induction therapy.
PMID- 21884408
TI - Minor antigens on transfused RBCs crossprime CD8 T cells but do not induce full
effector function.
AB - HLA-matched bone marrow transplantation (BMT) is a cure for nonmalignant
hematological disorders; however, rejection rates are high and correlate with the
number of antecedent transfusions. Recently, using murine models, we reported
that minor antigens (mHAs) in transfused leukoreduced red blood cell (RBC) or
platelet units induce rejection of subsequent BMT. To study RBCs as an immunogen,
we utilized transgenic donors that express a model mHA selectively on RBCs (HOD
mouse). Transfusion of HOD blood did not induce BMT rejection of marrow that
shared mHAs with the HOD RBCs. Similarly, no endogenous anti-HOD CD8(+) T-cell
response was detected with antigen-specific tetramer reagents. Adoptively
transferred OT-I T cells rapidly expanded after HOD blood transfusion; however,
only a semi-effector phenotype was observed (tumor necrosis factor-alpha and
interferon-gamma secretion, but essentially no Granzyme B). After initial
expansion, OT-I T cells contracted rapidly to very low levels. A similar trend
was observed by in vivo CTL assay, with only transient lytic activity. Together,
these data indicate that RBCs may not be the component of RBC units that induces
BMT rejection, and suggest that contaminating platelets or leukocytes may be
responsible.
PMID- 21884409
TI - Emergence of Cryptococcus gattii--Pacific Northwest, 2004-2010.
PMID- 21884410
TI - [Expert consensus: Histopathological diagnostics of malignant melanoma in
accordance with the recent AJCC classification 2009: Review of the literature and
recommendations for general practice].
PMID- 21884413
TI - Typically atypical: histiocytoid Sweet syndrome, associated with malignancy.
AB - Sweet syndrome (acute febrile neutrophilic dermatosis) is characterized by a
dramatic onset of high fever, neutrophilia and typical skin lesions. About 20 %
of patients have an associated malignancy, most commonly hematologic diseases.
Chronic and paucisymptomatic manifestations of Sweet syndrome may be misdiagnosed
or misinterpreted as harmless, resulting in delayed diagnosis. "Atypical"
manifestations are especially suspicious for associated malignancies. This is
demonstrated by a 39-year old patient with chronic and afebrile disease who was
referred to our clinic only after symptoms had persisted for several months. By
that point, an underlying nodular lymphocyte predominant Hodgkin's lymphoma had
already reached an advanced stage. Skin biopsies revealed dermal infiltrates of
histiocytoid cells of myelogenous origin, supporting a diagnosis of histiocytoid
Sweet syndrome. Specific cutaneous infiltrates associated with myelogenous
leukemia were ruled out.
PMID- 21884419
TI - [A liver transplant patient with chronic erosive and scarring lesions on the
scalp].
PMID- 21884424
TI - Staying stagnant means falling behind.
PMID- 21884425
TI - Ectopic reservoir placement--no longer in the space of Retzius.
PMID- 21884427
TI - 2011 Sandra Leiblum presidential address.
PMID- 21884428
TI - Women's Initiative on Sexual Health (WISH) position statement.
PMID- 21884431
TI - Coincidence of GIST and pancreatic endocrine neoplasm in neurofibromatosis.
AB - Carcinoids of the ampulla of Vater are infrequent tumors of which a quarter of
cases have been detected in patients with type I neurofibromatosis. This
hereditary disease is also associated with gastrointestinal stromal tumors
(GIST). However, the coincidence of these three entities together have only been
formerly detected in five cases. A 53 year-old female patient, diagnosed with
type I neurofibromatosis, with a malignant carcinoid of ampulla of Vater and
multiple gastrointestinal stromal tumors in the duodenum and jejunum, was treated
with total pancreatectomy and the excision of her intestinal tumors. Five-years
on, a follow-up showed the patient to be well, and free from tumor recurrence.
The coexistence of an ampullary carcinoid tumor, GIST and neurofibramatosis is
very rare. Radical curative surgical resection is a good treatment option, but
the optimal management of this is not yet well established.
PMID- 21884432
TI - The role of poly adenosine diphosphate ribose polymerase inhibitors in breast and
ovarian cancer: current status and future directions.
AB - Poly adenosine diphosphate ribose polymerase (PARP) inhibitors have demonstrated
single agent activity in the treatment of patients with recurrent BRCA1-mutated
and BRCA2-mutated breast and ovarian cancers. They also appear to have a
potential role as maintenance therapy following chemotherapy in patients with
platinum sensitive recurrent sporadic and BRCA1/2 related high-grade serous
ovarian cancers. The concept of BRCAness raises the possibility that PARP
inhibitors may be active in selected patients with homologous recombination (HR)
DNA repair-deficient tumors, even if they do not harbor a BRCA1/2 germline
mutation. Further research will be required to identify the subset of patients
with sporadic cancers who may benefit from PARP inhibitor therapy. Precise
details on the mechanisms of action, relative potency and anti-cancer effects of
different PARP inhibitors remain to be clarified and are being investigated. PARP
inhibitors are known to inhibit the base excision repair (BER) pathway but in
addition, recent reports indicate that aberrant activation of the error-prone non
homologous end-joining (NHEJ) pathway occurs in HR-deficient cells and that cell
death provoked by PARP inhibition is dependent on NHEJ-induced genomic
instability. Characterization of the precise molecular mechanisms responsible for
PARP inhibitor activity should lead to the identification of predictive
biomarkers of response and help identify which patients should be treated with
PARP inhibitors. This is a very active field of research and the current status
and future directions are reviewed.
PMID- 21884433
TI - Second-line therapy for castrate-resistant prostate cancer: a literature review.
AB - Despite a survival benefit in the first-line treatment of castrate-resistant
prostate cancer (CRPC) with docetaxel, the prognosis remains limited. There are
increasing options available for patients with CRPC in the second-line setting,
but there is currently little consensus regarding the optimal treatment. There
have been numerous phase II and retrospective studies examining second-line
options in CRPC, including retreatment with docetaxel, mitoxantrone,
cyclophosphamide and carboplatin, which can be associated with meaningful
responses in a significant minority of patients. In 2010 three randomized trials
were published or presented which demonstrated a survival benefit in the second
line setting. These included cabazitaxel compared with mitoxantrone, sipuleucel-T
(immunotherapy) and abiraterone acetate versus placebo. Ongoing research in the
second-line setting of CRPC to optimize treatment options, with the objectives of
survival prolongation, improvement in quality of life and pain management, is
still needed.
PMID- 21884434
TI - Targeted therapies in the treatment of gastric cancer.
AB - Gastric cancer (GC) constitutes a major cause of cancer deaths worldwide. Recent
improvements in both surgical techniques and adjuvant and neoadjuvant
radiotherapy and chemotherapy approaches have increased the survival of patients
with loco-regional disease. However most patients with GC have advanced disease
either at diagnosis or at follow up. Despite recent advances in the treatment of
advanced disease, these patients still have poor outcomes. An emerging
understanding of the molecular pathways that characterize cell growth, cell
cycle, apoptosis, angiogenesis and invasion has provided novel targets in cancer
therapy. In this review we describe the current status of targeted therapies in
the treatment of GC. These therapeutic strategies include epidermal growth factor
receptor inhibitors, antiangiogenic agents, cell cycle inhibitors, apoptosis,
promoters and matrix metalloproteinases inhibitors.
PMID- 21884435
TI - The emerging era of personalized therapy in squamous cell carcinoma of the head
and neck.
AB - Over the past three decades there has been a move toward organ preservation
protocols in the management of locally advanced mucosal head and neck squamous
cell carcinomas (LAHNSCC) with combinations of radiotherapy (RT), chemotherapy
and, more recently, biological agents. Current standard chemoradiation strategies
have reached the upper limits of toxicity. In addition, the traditional one size
fits all approach of grouping patients according to traditional
clinicopathological features fails to take into account the vast underlying
biological heterogeneity of tumors and their host. A number of recent advances
such as highly conformal RT, molecular profiling and targeted agents, and
improvements in treatment response assessment have set the scene for a
fundamental paradigm shift toward greater tailoring of therapy with the aim of
improving outcomes and reducing the burden of survivorship. This review focuses
on the recognition of the prognostic value of tumor human papillomavirus (HPV)
status, the incorporation of biologically targeted therapies and the evolving
role of molecular imaging in predicting tumor response and prognosis in the
curative management of LAHNSCC.
PMID- 21884436
TI - Small cell lung cancer: patterns of care and their influence on survival - 25
years experience of a single Australian oncology unit.
AB - AIM: Evidence supporting improved outcomes for small cell lung cancer (SCLC) in
recent decades is limited. This study aimed to identify patterns of care and
survival over two time periods; 1 January 1987 to 31 December 1996 (cohort A) and
1 January 1997 to 31 December 2006 9 (cohort B). METHODS: Patients'
characteristics, management and outcome data were extracted from the Hospital
Cancer Registry and clinical records. Survival analysis was determined using the
Kaplan-Meier method and the log-rank test. Factors influencing survival outcome
were assessed using Cox proportional hazards regression. RESULTS: The total
number of patients was 392 (224 in cohort A, 168 in cohort B). Overall 38%
patients in cohort A and 24% in cohort B had limited stage (LS) disease at
diagnosis. Combined chemoradiotherapy for LS increased from 5% in cohort A to 65%
in cohort B. Overall 19% of patients in cohort A and 24% in cohort B received
symptomatic treatment alone (STA). Median survival for LS in cohort B was
significantly higher (19.5 months), than in cohort A (11.8 months) (P = 0.03). In
extensive stage (ES) disease, median survival was 6.2 months in cohort A and 4.3
months in cohort B (P = 0.7). Variables for poorer outcome were STA, male gender,
poor performance status, ES and whether the diagnosis was made in the earlier
time period in cohort A. CONCLUSION: Outcomes for LS SCLC have improved with
combined chemoradiotherapy, in keeping with worldwide data. The trends may also
reflect recent improvements in staging and standardization of treatment. The
outcome for ES-SCLC remains poor.
PMID- 21884437
TI - Treatment of recurrent hepatocellular carcinoma after liver transplantation.
AB - AIM: Liver transplantation (LT) is a curative treatment for localized
hepatocellular carcinoma (HCC), but the recurrence rate after LT is about 10-20%,
with a dismal prognosis. Little data exist as to the natural history, treatment
outcome and optimal treatment of recurrent HCC after LT. We reviewed various
treatment modalities given to patients with recurrent HCC after LT. METHODS:
Among 132 patients who underwent LT for localized HCC, we retrospectively
reviewed medical records of 39 of the 132 patients who developed recurrent HCC
after LT. We analyzed the clinical outcome of various treatment modalities and
treatment-related adverse events. RESULTS: A total of 39 (29%) of the original
132 patients had recurrent HCC, most recurrences (82%) having occurred within 1
year after LT and involved extrahepatic lesions. Only seven patients had
recurrent disease limited to the liver. The median overall survival from the
initial treatment of all relapsed patients was 6.9 months. There were various
initial treatment modalities, namely palliative systemic chemotherapy, trans
catheter arterial chemo-embolization/infusion (TACE/I), radiation therapy (RT),
surgical resection and no treatment. The median overall survival was 9.5 months
for first-line chemotherapy, including those who had prior local therapy, 6.3
months TACE/I and 6.9 months for RT. CONCLUSION: Various clinical approaches have
been used to treat patients with recurrent HCC after LT in a clinical setting.
More effective strategies and clinical guidelines for recurrent HCC following LT
must be established.
PMID- 21884438
TI - Clinical analysis of Chinese limb osteosarcoma patients treated by two
combinations of methotrexate, cisplatin, doxorubicin and ifosfamide.
AB - AIMS: The objective of this study was to investigate the efficacy and toxic side
effects of two combinations of methotrexate, cisplatin, doxorubicin and
ifosfamide on treating Chinese osteosarcoma patients. METHODS: A retrospective
analysis was conducted of 185 osteosarcoma patients treated with the four drugs
mentioned above. A total of 93 patients received the Italian therapeutic
treatment (IOR-OS/N-5: Instituto Ortopedic Rizzoli-Section of
Osteosacorma/Neoadjuvant chemotherapy-5) and the remaining 92 patients received
the therapeutic treatment optimized by our department. We compared the efficacy
and toxic side effects of these two therapies. RESULTS: The limb salvage rates, 3
year recurrence rates and 3-year metastasis rates of IOR-OS/N-5 and our optimized
treatment were 52.7 and 58.7%; 20.9 and 11.4% and 44.1 and 27.2%, respectively.
The 3-year survival rates and the 3-year disease-free survival rates of IOR-OS/N
5 and our optimized treatments were 62.4 and 78.4%; and 53.6 and 67.8%,
respectively. Overall survival and disease-free survival rates were significantly
different between these two treatments (P = 0.032 and 0.024, respectively). Liver
function with degree III and IV damage accounted for 35.2 and 16.6% of the total
adverse reactions in the IOR-OS/N-5 and our optimized treatment groups,
respectively. Degree III-IV neutropenia accounted for 22.6 and 40.0%,
respectively, in the IOR-OS/N-5 and optimized treatments. CONCLUSION: The
optimization of MTX, DDP, DOX and IFO based on Chinese patients' physiology
increased the tolerance and efficacy for the treatment of osteosarcoma.
PMID- 21884439
TI - Exploratory analysis of cardiac biomarkers in women with normal cardiac function
receiving trastuzumab for breast cancer.
AB - AIM: As there is no method to detect trastuzumab-related cardiotoxicity (TRC)
preclinically, patients are monitored with serial assessments of left ventricular
ejection fraction (LVEF) with instigation of cardiac therapy and possible
interruption of trastuzumab therapy if TRC develops. Serum cardiac biomarkers,
including troponins and natriuretic peptides, represent possible tools to detect
cardiotoxicity at a preclinical level. METHODS: We sought biochemical evidence of
cardiac damage or strain in a cohort of women already receiving trastuzumab by
performing a cross-sectional study of serum cardiac biomarkers. All patients had
a normal LVEF and no clinical evidence of cardiac failure. Serum troponin I and N
terminal pro-B type natriuretic peptide (NT pro-BNP) were assayed immediately
prior to trastuzumab infusion (t0; n = 36) and 24 hours later (t24; n = 31).
RESULTS: Troponin I was not elevated in any patient at t0 or t24. Overall 14/36
(39%) patients had at least one NT pro-BNP level above the upper limit of normal
(ULN) and both levels were above the ULN in 8/31 (26%) patients. There was no
significant change in NT pro-BNP from t0 to t24. CONCLUSION: NT pro-BNP levels
are elevated in a significant proportion of patients with normal LVEF receiving
trastuzumab. Troponin I levels are not raised in this group, perhaps reflecting
the mechanism of cardiotoxicity. The data provide biochemical evidence of
subclinical cardiac strain in women receiving trastuzumab. Results are
exploratory and have informed the design of a larger study examining the
predictive utility of serial serum NT pro-BNP levels for TRC in the adjuvant
setting.
PMID- 21884440
TI - Very high GFR in cancer patients undergoing chemotherapy: prevalence, carboplatin
dosing patterns and chemotherapy toxicity.
AB - AIM: Carboplatin dosing depends on accurate glomerular filtration rate (GFR)
estimation. There is a lack of clinical agreement about carboplatin dosing when
the GFR measurement is very high (>110 mL/min). METHODS: A retrospective audit of
pre-chemotherapy 99m technetium (Tc) diethylenetriamene pentaacetate (DTPA)
radionuclide GFR estimations and patients' chart review were performed from
January 2006 to May 2009. The primary objective was to determine the prevalence
of patients with a high GFR and the incidence of myelotoxicity in this group.
RESULTS: Overall 18 of 148 treated patients (14%) measured GFR >110mL/min. The
GFR values of six of the 18 patients were capped for dose calculation. In eight
patients a measured GFR corrected for body surface area was used and in four the
actual measured GFR was used for dose calculation. In total, 63 cycles of
chemotherapy were delivered. Grade III or IV myelotoxicity accounted for 37%
(15/41) of all myelotoxicities. Neutropenia accounted for almost 39% of all
myelotoxicities (16/41). Two patients (11%) were hospitalized due to febrile
neutropenia. Eight patients (40%) had dose reduction and four (20%) had treatment
delays due to myelotoxicity. The frequency of myelotoxicity was high irrespective
of the GFR used (corrected or uncorrected) in calculating the chemotherapy dose.
CONCLUSION: High values of GFR, by 99mTc DTPA radionuclide measurement, are a
common finding in pre-chemotherapy patients irrespective of age. Carboplatin
dosing patterns in this group of patients vary among treating oncologists and a
standardized approach is needed.
PMID- 21884441
TI - Efficacy and tolerability of weekly low-dose cisplatin concurrent with
radiotherapy in head and neck cancer patients.
AB - AIMS: In this retrospective analysis, we describe the efficacy and tolerability
of weekly cisplatin 40 mg/m(2) used in concurrent chemoradiation of head and neck
cancer at the Townsville Cancer Centre. METHODS: Review of medical records of
patients who received radical chemoradiotherapy for head and neck cancer at
Townsville Cancer Centre from 2003 to 2009. RESULTS: In all 102 patients were
analysed, 62 of whom had definitive chemoradiation and the remainder adjuvant
chemoradiotherapy. Median follow up was 20.1 months (range 5-86 months). Overall
68.6% of patients received 5 weeks or more of planned chemotherapy. Radiotherapy
interruptions occurred in four (6.4%) patients. The rate of grade 3-4 adverse
events was 51% including neutropenia (18.6%), mucositis (21.8%) and dysphagia
(12.9%) and 30.7% of patients needed hospital admission to manage toxicities. For
definitive and adjuvant groups, estimated 3-year survival was 64.5 and 71.5%,
respectively, and estimated 3-year disease-specific survival rates were 70.3 and
81.6%, respectively. The 3-year overall survival for patients who received five
or more cycles of chemotherapy was 75.2%, compared to 52.6% for those receiving
fewer than five cycles (P = 0.018). CONCLUSION: Despite this is being a small
retrospective study, survival figures and toxicity profiles of low dose weekly
cisplatin are comparable to historical controls using high-dose regimens, hence
justifying our approach. In addition, radiotherapy interruptions are minimized
and cisplatin is easy to administer in outpatient settings. Future three-arm
studies could include this regimen as the basis of treatment combined with
targeted therapies.
PMID- 21884442
TI - Gastroenteropancreatic neuroendocrine tumors: incidence and treatment outcome in
a single institution in Korea.
AB - AIM: We studied to identify the clinicopathological features, treatment outcome,
and prognostic factors for patients with gastrointestinal and
hepatopancreaticobiliary neuroendocrine tumor (NET). METHOD: Between February
2001 and May 2006, a total of 470 patients were diagnosed with NET arising from
the gastrointestinal tract, pancreas, and hepatobiliary system. The retrospective
patient cohort was obtained and analyzed. RESULTS: The male to female ratio was
1.5:1, and the median age was 55 years (range, 16-81). The most common primary
site was the rectum (55.8%). Overall 29 (6.2%) originated from the hepatobiliary
system. At initial presentation, 60 patients (12.8%) showed distant metastases.
Curative surgery or endoscopic resection was performed in 401 patients.
Histopathological distributions were as follows: well differentiated tumor
(82.1%), well differentiated carcinoma (10.2%) and poorly differentiated
carcinoma (7.7%). The frequency of the poorly differentiated type was somewhat
higher in the hepatobiliary system than in the pancreas and gastrointestinal
tract (44.8, 15.4 and 2.8%, respectively, P < 0.05). The estimated 5-year overall
survival rate for all patients was 89.6%. Multivariate analysis showed that
distant metastases (P = 0.018), origin from the hepatobiliary system (P < 0.001)
and poorly differentiated neuroendocrine carcinoma (P < 0.001) were independent
predictors for poor survival outcome. CONCLUSION: Patients with locoregional NET
had a favorable long-term survival after curative resection. Distant metastases,
hepatobiliary localization and a poor degree of tumor cell differentiation were
poor prognostic factors. Further investigational approaches for treatment of
advanced disease are needed.
PMID- 21884443
TI - Exploring consent to randomized placebo-controlled clinical trials in oncology.
AB - AIMS: Randomized placebo-controlled trials are critical for advancing knowledge
in oncology, yet little is known about optimal communication for informed
consent. This study aimed to identify patient preferences for and satisfaction
with three consultation styles (information-focused, emotion-focused and
collaborative) in simulated discussions of four clinical trial concepts
(randomization, placebo, tissue analysis and oncologists' recommendation).
METHODS: In total 75 Australian cancer patients and carers, or both, viewed
videotaped simulated consultations with a doctor and patient discussing a
randomized, placebo-controlled trial. Their satisfaction and preferences for both
consultation style and information were assessed. The patients' characteristics
and decision-making preferences were also evaluated as potential predictors for
consultation style preference. RESULTS: Participants preferred a collaborative
consultation style when discussing randomization (74.6%), placebo (71.4%) and
oncologist's recommendation (77%). However an emotion-focused consultation style
was preferred when discussing tissue analysis (81%). The participants represented
a highly information-seeking group, although most favoured collaborative or
passive roles in treatment decision-making. Limited variables were identified
that predict consultation style preference. CONCLUSION: While supporting the
value of a collaborative approach to clinical trial communications, the study
finding that tissue analysis requires a more emotion-focused approach highlights
a new focus for trial communication and confirms the need for doctors to remain
flexible in their consultation style.
PMID- 21884444
TI - Predictive factors for detecting malignancy in central and lateral cervical lymph
nodes in papillary carcinoma of the thyroid.
AB - AIM: To determine the factors for predicting malignant diagnosis and limitations
in ultrasonography guided fine-needle aspiration cytology of central and lateral
cervical lymph nodes in patients with primary differentiated thyroid papillary
carcinoma. METHODS: Biopsies of cervical lymph nodes were performed in 120
patients, 31 of whom had subsequent surgical diagnoses. Factors examined were
patient's age and gender of the patients, location (central and lateral
compartments), hypoechogenicity with loss of hilum, microcalcification, cystic
feature, minimum and maximum diameters and index value (minimum/maximum
diameter). RESULTS: The mean minimum diameter and index value of the lymph nodes
were 9.9 +/- 4.9 mm and 0.60 +/- 0.19, respectively. Microcalcifications and
cystic parts were specific findings with rates of 93.9% and 95.1%, respectively.
Microcalcification, cystic feature, minimum diameter and index value were poor
predictors of malignancy. The predictors were central location (P = 0.031) and
hypoechogenicity with loss of hilum in lateral neck (P = 0.019). Central nodes
led to a major problem for biopsy success due to postoperative changes and
anatomic position. Nondiagnostic (30%) nodes were therefore mostly central.
CONCLUSION: The involvement of the central neck should be a major indication of
lymph node biopsy and pathological diagnosis regardless of the imaging findings.
In the lateral compartment, hypoechogenicity with loss of hilum,
microcalcifications, cystic parts and an index value >= 0.51 are indications of a
lymph node biopsy to rule out malignancy.
PMID- 21884445
TI - Transient efficacy of cord blood transplantation in acute myeloid leukemia with
t(16;21)(p11;q22).
PMID- 21884446
TI - What constitutes a multidisciplinary team meeting?
PMID- 21884448
TI - Care Pathways as a means to implement evidence in daily practice.
PMID- 21884449
TI - Nurse-led clinics as an effective service for cardiac patients: results from a
systematic review.
AB - AIM: The article summarises results of a systematic review on the effectiveness
of nurse-led clinics for patients with coronary heart disease. The objective was
to present the best available evidence related to effective interventions in
nurse-led cardiac clinics including patient education, risk factor assessment and
continuity of care. METHODS: Following the principles of the Cochrane
Collaboration for systematic reviews on effectiveness, this is an update to a
previously published review. Thirty databases, relevant journals and hand
searching of reference lists were the basis for a comprehensive literature search
for the period September 2002 to March 2008. Assessment of methodological
quality, data extraction and synthesis was undertaken using a systematic review
management tool (JBI-SUMARI). When possible, data was pooled in a meta-analysis.
RESULTS: The systematic review is based on seven randomised controlled trials.
Inconsistencies of interventions executed in nurse-led clinics and various
effects on the outcomes make a comparison difficult. The major nurse-led
intervention applied in the clinics consisted of health education, counselling
behaviour change and promotion of a healthy lifestyle. There were no harmful
effects on patients with coronary heart disease identified when exposed to a
nurse-led clinic. A few risk factors were significantly reduced in the short term
by attending nurse-led clinics, but long-term changes were less apparent. The
success of modifying behaviour such as smoking cessation and diet adherence was
limited. However, nurse-led clinics may positively influence perceived quality of
life and general health status. CONCLUSION: The results indicated that care was
equivalent to non-nurse-managed clinics, and there was no greater risk of poorer
outcomes in the nurse-led clinics. The effectiveness of clinics might be
dependent on the intensity of the nursing support. Before establishing a nurse
led clinic appropriate qualification and responsibilities, as well as the
particular structure of the healthcare system and funding possibilities have to
be considered. The combination of counselling and regular assessment of risk
factors and health status delivered at nurse-led clinics is supported by the
available research, and given that outcomes were in general equivalent between
nurse-led and other clinics, further research should investigate the cost
effectiveness of the different models of care.
PMID- 21884450
TI - Anxiety in women with breast cancer undergoing treatment: a systematic review.
AB - BACKGROUND: Breast cancer is one of the most common cancers worldwide, and
anxiety is a psychological morbidity that is inevitable. Many researchers have
investigated the prevalence and detrimental effects of anxiety in breast cancer
treatment, but little is known about differences in anxiety level among women
receiving different breast cancer treatments. A systematic review of all
available literature was needed to attain better understanding of anxiety in
patients undergoing treatment for breast cancer. AIM: This review aimed to
determine the best available evidence on the level of anxiety among women with
breast cancer who were undergoing cancer treatment(s), and the factor(s)
contributing to anxiety in various treatment modalities. METHODS: The search
sought to gather data from published and unpublished studies conducted between
1990 and 2010. An initial search on CINAHL and Medline was done to identify
relevant search terms. A search strategy was then developed, using MeSH headings
and key words. The following databases were searched: CINAHL, PubMed,
ScienceDirect, PsycINFO, Cochrane Database of Systematic Review, Scopus, Wiley
InterScience and PsycARTICLES. All papers are quantitative papers (randomised
controlled trials and descriptive studies) that examined anxiety level in women
with breast cancer of stage 0 to stage IIIA, over and equal to 21 and below 65
years of age, who were undergoing/had undergone treatment restricted to
chemotherapy, radiotherapy and/or surgery, and these quantitative papers have
made correlations between women's anxiety levels and contributing factors. The
Hospital Anxiety and Depression Scale and State-Trait Anxiety Inventory were the
two tools most frequently used by these papers to quantify the anxiety level. Two
reviewers independently assessed the eligibility of the papers for inclusion.
Eighteen papers were selected based on relevance, and assessed for methodological
quality using MAStARI. Ten research papers that met our methodological standard
were included in the review. Both reviewers agreed on the papers to be included
and excluded. Due to the methodological heterogeneity of the included papers, a
meta-analysis was not possible. The studies were hence presented in narrative
summary. RESULTS: Anxiety seems to be ubiquitous, presenting itself in all
treatment types for breast cancer. Anxiety level in women who underwent
chemotherapy was highest before the first chemotherapy infusion, mediated by age
and trait anxiety. Radiotherapy regimes did not affect anxiety level in
radiotherapy-treated patients, and most research concluded that anxiety level was
higher among women who underwent mastectomy than those who underwent breast
conservation therapy. CONCLUSIONS: The prevalence and intensity of anxiety have
been shown to be pronounced among breast cancer women who were undergoing/had
undergone one or more of the three treatments. Chemotherapy, as compared to other
treatments, is shown to be associated with a higher anxiety level. With the
prevalence, intensity and correlated factors of anxiety identified through this
review, future research may investigate the interventions that could help
alleviate anxiety among these patients. Anxiety is prevalent in women with breast
cancer undergoing treatment, especially those undergoing chemotherapy. Healthcare
professionals should pay greater attention to identify signs of anxiety in
patients and design interventions to help alleviate it earlier.
PMID- 21884451
TI - A systematic review of the effectiveness of primary health education or
intervention programs in improving rural women's knowledge of heart disease risk
factors and changing lifestyle behaviours.
AB - BACKGROUND: Cardiovascular disease is the leading cause of death and disability
for women in Australia. Women living in rural areas are at greater risk of heart
disease, because of limited access and availability of healthcare in rural areas.
Lifestyle is a major determinant to the risk of heart disease. Risk factors such
as smoking, hypertension, diet, physical activity and alcohol intake can be
controlled or modified by lifestyle changes. As heart disease develops over many
years, women need to be following healthy lifestyle practices and reduce their
chance of a first or recurrent heart attack. AIM: To determine the effectiveness
of primary health education or intervention programs for cardiac risk reduction
in healthy women living in rural areas. INCLUSION CRITERIA: Types of
participants. Women aged 16-65 years, living in rural areas, who participated in
primary healthcare education programs. Types of interventions. Primary health
education or intervention programs aimed at improving rural women's knowledge of
their risk of heart disease, for example group work, videos, telephone,
workshops, educational material and counselling. Types of outcomes. Primary
outcomes included: * Knowledge level of heart disease risk factors. * Lifestyle
modification, for example dietary improvements such as reduced daily salt intake,
increased intake of fruit and vegetables and decreased intake of fat, increased
frequency of exercise, decreased levels of smoking, alcohol intake within
national guidelines. * Health assessment measures, for example blood pressure,
body weight, cholesterol levels. Types of studies. Any randomised controlled
trials, other experimental studies, as well as cohort, case-control and cross
sectional studies were considered for inclusion. Search strategy. A search for
published and unpublished studies in the English language was undertaken.
METHODOLOGICAL QUALITY: Each study was appraised independently by two reviewers
using the standard Joanna Briggs Institute instruments. DATA COLLECTION AND
ANALYSIS: Information was extracted from studies meeting quality criteria using
the standard Joanna Briggs Institute tools. Although similar outcomes are
explored in many of the studies, the variable outcome measures precluded the use
of meta-analysis. Data are therefore summarised in tables or by using narrative
analysis. RESULTS: Nine trials were included in the review. Three trials compared
the effects of interventions on physical activity, one on smoking and five on
multiple risk factors. Studies following interventions targeting physical
activity reported that women's physical activity can be increased and that these
increases can be sustained at 12 months. While there were decreases in blood
pressure at 6 months, studies with a 5-year follow up found no decreases for both
systolic and diastolic blood pressure. Overall results of studies into dietary
modification programs also did not sustain an effect over a longer period of
time. CONCLUSION: The results of this review suggest that in rural areas,
lifestyle interventions delivered by primary care providers in primary care
settings to patients at low risk appeared to be of marginal benefit. Resources
and time in primary care might be better spent on patients at higher risk of
cardiovascular disease, such as those with diabetes or existing heart disease.
PMID- 21884452
TI - Rural and remote Australian general practice nurses' sources of evidence for
knowledge translation: a cross-sectional survey.
AB - PURPOSE: This paper explores nurses' sources of knowledge for evidence-based
practice, comparing rural/remote, urban and regional participants. METHODS: A
cross-sectional survey of practice nurses in the state of Victoria was conducted
in 2008. The instrument used was the Developing Evidence-based Practice
Questionnaire - Au, distributed to a convenience sample of nurses with response
of 590 (33%). Survey data were stratified by geographical location for this
exploratory report. RESULTS: The sample was representative of practice nurses,
with half employed in either regional or rural and remote areas. Rural and remote
nurses were less qualified in nursing than urban nurses. However, rural, remote
and regional nurses were significantly less likely to apply experiential
knowledge as evidence and were more likely to source knowledge from clinical
nurse specialists or nurse practitioners, local audit reports or articles in
research and medical journals. Nurses in each work category reported in-service
training, conferences and information shared by colleagues as important in
developing their knowledge for practice. According to participants, evidence
based practice would be promoted by allocation of work time, education and
training in research skills, and improved access to resources. CONCLUSIONS: Rural
and remote practice nurses prioritised the development of their skills in
sourcing and appraising evidence for practice as a strategy to facilitate
knowledge translation at the point of care.
PMID- 21884453
TI - Evaluating the role and value of a national office to coordinate Liverpool Care
Pathway implementation in New Zealand.
AB - BACKGROUND: New Zealand is one of 20 countries implementing the Liverpool Care
Pathway for the Dying Patient (LCP) to improve quality care of the dying. The LCP
is an integrated care pathway that guides healthcare professionals to deliver
evidence-based, best practice care to dying patients and their families in the
last days and hours of life, irrespective of diagnosis or care setting. Currently
the LCP Central Team coordinates LCP implementation and dissemination for all
international collaborating countries except New Zealand, from its base in
Liverpool in the UK. With the support of the LCP Central Team, New Zealand is the
first country to establish a National Office to assume the responsibility for
promoting the sustainable implementation of the LCP within its own borders and
context of end-of-life care. AIMS: To evaluate the role and value of a New
Zealand National LCP Office (NZ LCP Office) from the perspective of key
stakeholders. METHODS: A mixed methods approach was applied, which intentionally
combined two different survey methods in sequence. In Phase 1, key stakeholders
(n = 28) were interviewed to explore their perspectives for the role and value of
the NZ LCP Office. Findings from Phase 1 informed an online questionnaire
distributed to a larger group of key stakeholders (n = 36, 62% response rate) in
Phase 2. RESULTS: When considering the role of the NZ LCP Office, key
stakeholders identified two core services as highly important, namely the
promotion of the sustainable implementation of LCP and the provision of a
national LCP information network. Other key initiatives identified by key
stakeholders as important included the NZ LCP Office continuing to work in
consultation and collaboration with the LCP Central Team and to be a voice for
end-of-life care issues in New Zealand. The value or benefit of the NZ LCP Office
was endorsed, in that service performance was rated as good or very good by at
least 90% of the respondents, plus 40% of participants perceived the NZ LCP
Office had contributed to positive changes in LCP document compliance, program
integrity and improvement in care of the dying to a moderate extent. CONCLUSION:
Having a National LCP Office in New Zealand to coordinate sustainable LCP
implementation and maintain the integrity of the LCP program within the context
of the country's own healthcare system was seen as crucial by key stakeholders.
PMID- 21884454
TI - Intra-articular hyaluronic acid preparations for use in the treatment of
osteoarthritis.
AB - Intra-articular hyaluronic acid preparations have been available for the
treatment of osteoarthritis of the knee for over 15 years. Their use has been
limited by cost, difficulties of administration and conflicting evidence of
efficacy. Difficulties in conducting adequate clinical trials have resulted in
the appearance of multiple meta-analyses whose findings are not congruent. The
appearance of a single injection agent and a better understanding of the
mechanisms by which this intervention is effective may help to solve this ongoing
problem in healthcare research.
PMID- 21884455
TI - Evidence-based medicine: UK doctors' attitudes and understanding.
AB - The aim of this paper is to understand UK doctors' attitudes towards evidence
based medicine (EBM) and their self-perceived understanding of specified EBM
terms. An online questionnaire was emailed to doctor's emails (identified from
the Internet) and the questionnaire URL was promoted on certain websites and
electronic newsletters. This article focuses on the EBM questions posed on this
research instrument. Respondents were generally positive towards the practice of
EBM; for example, 72.3% of UK doctors agreed that EBM improves patient outcomes.
Over 85% of respondents were either able to explain (or had some understanding)
all the specified EBM terms. Respondents who had graduated from medical school
most recently were more positive towards EBM and had a stronger self-perceived
understanding of the EBM terms. This research found that doctors were generally
in favour of EBM and their understanding of specialist terms was higher than
previous published research.
PMID- 21884467
TI - Serum TNF-related and weak inducer of apoptosis levels in septic shock patients.
AB - Capillary permeability is a tightly regulated feature of microcirculation in all
organ beds. In sepsis, this feature is fundamentally altered. We have previously
reported elevated levels of angiopoietin-2 in patients with septic shock, and
have investigated tumor necrosis factor (TNF)-related and weak inducer of
apoptosis (TWEAK), which mediates both angiogenesis and inflammation, in those
patients. Enzyme-linked immunoassay was used to measure serum TWEAK levels in 20
patients with septic shock, all of whom were treated by direct hemoperfusion with
a polymyxin B-immobilized fiber column (DHP-PMX), and in 20 non-septic controls.
The TWEAK levels were higher in patients with septic shock (192.8 +/- 230.5
pg/mL) than in controls (84.1 +/- 28.7 pg/mL, P = 0.043). Between 11 survivors
and 10 non-survivors, there was no significant difference in the serum TWEAK
levels before the DHP-PMX therapy. During DHP-PMX therapy, however, the serum
TWEAK levels were significantly increased in non-survivors (142.2 +/- 88.1 pg/mL
to 399.0 +/- 307.1 pg/mL, P = 0.022). There was a significant correlation between
the serum TWEAK levels and white blood cell counts (r = 0.393, P < 0.001),
platelet counts (r = 0.418, P < 0.001), or serum CRP levels (r = 0.259, P =
0.029), but there was no correlation between the serum TWEAK levels and blood
pressure. The serum TWEAK levels were also correlated with the ratio of
angiopoietin-2 to -1 (r = 0.464, P < 0.001). TWEAK may be a suitable marker of
disease severity and mortality in septic patients, and TWEAK levels may be
associated with vascular permeability via angiopoietin balance.
PMID- 21884468
TI - Angiopoietin balance in septic shock patients with acute lung injury: effect of
direct hemoperfusion with polymyxin B-immobilized fiber.
AB - Acute lung injury (ALI) in sepsis is characterized by an increase in
microvascular permeability, resulting in pulmonary edema. Several studies have
suggested that angiopoietin-1 and -2 play a contributory role in the pathogenesis
of ALI. Polymyxin B-immobilized fiber column hemoperfusion is effective for
sepsis-induced ALI. We investigated the angiopoietin levels before and after
direct hemoperfusion with polymyxin B-immobilized fiber column (PMX) therapy.
Enzyme-linked immunoassay was used to measure the serum angiopoietin-1 and -2
levels in 25 patients with septic shock treated with PMX. Eleven of the 25
patients were diagnosed with ALI. There was a significant positive correlation
between the angiopoietin-1 level and the PaO(2) /FiO(2) ratio, but there was a
significant inverse correlation between the angiopoietin-2 level and the PaO(2)
/FiO(2) ratio. The mean angiopoietin-1 level before PMX therapy in the ALI group
was significantly lower and the mean angiopoietin-2 level was significantly
higher than in the non-ALI group. The mean angiopoietin-1 level of the ALI
patients in response to PMX therapy was increased during PMX therapy, but that of
the non-ALI patients with newly occurring ALI showed a decreased angiopoietin-1
level. On the other hand, the mean angiopoietin-2 level of the responders was
decreased during PMX therapy, but that of patients with newly occurring ALI
showed an increased angiopoietin-2 level. This result suggested that each
angiopoietin-1 and -2 level may play a role in the pathogenesis of ALI and that
PMX therapy ameliorates the angiopoietin balance in patients with ALI in sepsis.
PMID- 21884469
TI - Effect of various anticoagulant agents on large-volume leukocytapheresis using
new Cellsorba CS-180S Filter.
AB - We conducted a study to evaluate the effect of various anticoagulant agents on
large-volume leukocytapheresis using the new Cellsorba CS-180S Filter filled with
a changed solution of sodium pyrosulfite and sodium carbonate. We conducted the
study on a total of 12 cases of rheumatoid arthritis. As the anticoagulant agents
we used sodium citrate, nafamostat mesilate and low molecular weight heparin. The
new Cellsorba CS-180S was safely used with the various blood anticoagulant
agents. Also, through adjustment of the sodium citrate percentage to the blood
flow volume, it is hypothesized that it is possible to increase the neutrophil
removal rate.
PMID- 21884470
TI - Relevance of the processed blood volume per granulocyte and monocyte apheresis
session to its clinical efficacy in patients with ulcerative colitis.
AB - Granulocyte/monocyte adsorption (GMA) has been introduced as an adjunct
intervention for active ulcerative colitis (UC) patients. The processed blood
volume (PV) per GMA session is an important factor for its efficacy because
depletion of elevated/activated myeloid leukocytes is its main action. Hitherto,
this aspect of GMA has been largely ignored. Thirty-three patients were enrolled
for remission induction therapy with five weekly GMA sessions at a standard PV of
1800 mL, regardless of patients' bodyweight (BW). The patients were divided into
three groups: high (H)BW (>= 65 kg, n = 11), 50 kg <= medium (M)BW < 65 kg (n =
12), and low (L)BW (<= 50 kg, n = 10). UC clinical activity index (CAI) was
according to Lichtiger, and the clinical efficacies were evaluated at both one
week post 3(rd) GMA (Week 4) and one week post 5(th) GMA (Week 6). The average BW
was 70.9 +/- 6.2 kg in HBW, 55.8 +/- 4.5 kg in MBW, and 46.8 +/- 1.2 kg in LBW,
indicating the mean PV/BW in the three groups being 25.6 +/- 2.12, 32.5 +/- 2.50,
and 38.7 +/- 1.0 (mL/kg, P < 0.05), respectively. The LBW group consisted of
female patients only. Significant improvements of CAI were seen before treatment
at either Week 4 or Week 6 in all groups. A significantly higher remission rate
was achieved in the LBW (80.0%) vs. MBW (33.3%) or HBW (27.3%) at Week 6 (P <
0.03). According to this GMA evaluation, the lower-limit of optimum PV/kg should
be higher than 38.7 mL/kg for its potential clinical efficacy to be significantly
greater than the routine GMA method. Additional BW-oriented GMA studies in larger
and gender controlled cohorts of patients should strengthen our findings.
PMID- 21884471
TI - Immunoregulatory effects of adsorptive granulocyte and monocyte apheresis in
patients with drug refractory Crohn's disease.
AB - In Japan, adsorptive granulocyte/monocyte apheresis (GMA) is an approved
treatment option in patients with active Crohn's disease (CD). However, there is
inadequate knowledge regarding the mechanism(s) of therapeutic effects of this
non-pharmacologic treatment strategy. Further, recently we have been interested
in the regulatory T-cell (Treg) profile which has an essential immunoregulatory
function. Thirteen CD patients were treated with a single GMA session. The mean
CD activity index (CDAI) and duration of CD were 218.5 and 9.8 years,
respectively. Eight healthy volunteers participated as a control group. From CD
patients, whole blood was taken immediately before and after the GMA session
directly from the GMA column inflow and outflow lines. Broad spectrum serum key
cytokines and chemokines were measured by suspension-array and ELISA. At
baseline, almost all assayed inflammatory cytokines were significantly elevated
in CD patients. Treg-associated cytokines including IL-10 (P < 0.02) and
transforming growth factor (TGF)-beta1 (P < 0.03), were higher in the GMA column
outflow vs. inflow. In contrast, the Th1/Th2 balance, defined as IFN-gamma/IL-10
was lower during hemofiltration (P = 0.05), potentially due to an elevated IL-10
(P < 0.02) because an elevation of pro-inflammatory IFN-gamma (Th1) was not
observed at the GMA column outflow. A single GMA session had a significant impact
on the Treg profile. Treg-related cytokines like IL-10 and TGF-beta1 in the blood
returning to the patients from the GMA column outflow were elevated, while pro
inflammatory cytokines like IFN-gamma were not. This action of GMA is potentially
very interesting in patients with immune disorders, like CD patients.
PMID- 21884472
TI - Generalized pustular psoriasis successfully treated with granulocyte and monocyte
adsorption apheresis.
AB - Generalized pustular psoriasis (GPP) is one of the neutrophilic dermatoses mainly
caused by activated neutrophils and monocytes. Granulocyte and monocyte
adsorption apheresis (GCAP) is a useful extracorporeal circulation therapy for
removal of activated granulocytes and monocytes. In this study, GCAP was used to
treat three patients with different types of GPP; the diagnoses indicated patient
1 had GPP, patient 2 had GPP developed from psoriasis vulgaris and patient 3 had
GPP based on psoriatic erythroderma. We performed GCAP on each of these patients
once a week, for a total of five times. We found that the patients' pustules and
edema disappeared and their erythema was reduced by GCAP therapy. Moreover, no
adverse effects were observed. Thus, we conclude GCAP could be effective for
treating various types of GPP.
PMID- 21884473
TI - Evaluation of the effect of ulinastatin on the production of macrophage colony
stimulating factor in vitro for potential combination therapy with leukocyte
adsorption.
AB - Macrophage colony-stimulating factor (M-CSF) induces normal intestinal
macrophages that have anti-inflammatory effects. Thus, M-CSF-rich conditions in
colonic tissues seem to contribute to the improvement of pathological conditions
in patients with inflammatory bowel diseases (IBD). However, it has not been
clarified whether current therapies for IBD, including granulocyte/monocyte
adsorptive apheresis using an Adacolumn, and ulinastatin, a serine protease
inhibitor, affect the production of M-CSF. To clarify the effects of these
therapies on M-CSF production, we investigated whether monocyte adsorption to
cellulose acetate (CA) beads (carriers for Adacolumn therapy) and ulinastatin
augmented M-CSF production in in vitro experiments. Peripheral blood was
incubated with and without CA beads, and then M-CSF production was measured.
Additionally, peripheral blood containing serial dilutions of ulinastatin was
incubated with CA beads followed by measurement of M-CSF production. Monocyte
adsorption to CA beads did not affect M-CSF production. A high concentration of
ulinastatin augmented M-CSF production without inhibiting monocyte adsorption to
CA beads, although a low concentration of ulinastatin conversely suppressed M-CSF
production. The present study found that a high concentration of ulinastatin,
which was administrated with CA beads, increased the production of M-CSF. Our
results suggest that a combination of ulinastatin and Adacolumn therapy may
provide more clinical efficacy for the treatment of IBD in terms of the
production of M-CSF.
PMID- 21884474
TI - In vitro evaluation of high mobility group box 1 protein removal with various
membranes for continuous hemofiltration.
AB - The high mobility group box 1 protein (HMGB1) is an alarmin that plays an
important role in sepsis and has been recognized as a promising target with a
wide therapeutic window; however, no drugs and devices are currently in practical
use. We hypothesized that hemofilters composed of porous membranes or cytokine
adsorbing membranes could remove HMGB1 from the blood. We performed experimental
hemofiltration in vitro using four types of hemofilters composed of different
membranes specifically designed for continuous hemofiltration. The test solution
was a 1000-mL substitution fluid containing 100 ug of HMGB1 and 35 g of bovine
serum albumin. Experimental hemofiltration was conducted for 360 min in a closed
loop circulation system. Among the four membranes, surface-treated
polyacrylonitrile (AN69ST) showed the highest capacity to adsorb HMGB1; it
adsorbed nearly 100 ug of HMGB1 in the initial 60 min and showed a markedly high
clearance rate (60.8 +/- 5.0 mL/min) at 15 min. The polymethylmethacrylate
membrane had half of the adsorption capacity of the AN69ST membrane. Although the
highest sieving coefficient for HMGB1 was obtained with the high cut-off
polyarylethersulfone membrane, which correlated with a constant filtrate
clearance rate, albumin loss was observed. However, no such removal of both HMGB1
and albumin was observed with the polysulfone membrane and tubing. We conclude
that continuous hemofiltration using the AN69ST membrane is a promising approach
for HMGB1-related sepsis.
PMID- 21884475
TI - Comparison of free light chain removal by four blood purification methods.
AB - Renal failure is a frequent complication in patients with multiple myeloma.
Immunoglobulin free light chains (FLCs) form casts in the distal tubules,
resulting in renal obstruction, and are also directly toxic to proximal renal
tubules. Removal of FLCs contributes to renal recovery. High cut-off (HCO)
membrane Theralite2100, protein leaking dialyzer PES210Dalpha, plasma separator
Evacure1A20 and beta(2) microglobulin adsorption column LixelleS-35 were compared
in their FLC removal rate. Dialysis using Theralite2100 or Evacure1A20,
diafiltration using PES210Dalpha and adsorption using LixelleS-35 were performed
in an in vitro circuit. The highest removal rate was obtained by Theralite2100
dialysis among the four blood purification methods. Albumin loss was also the
greatest in Theralite2100 dialysis. The removal content of FLCs per 1 g albumin
loss was better in PES210Dalpha diafiltration. The removal rate of FLCs by
Evacure EC1A-20 dialysis was the third highest. Adsorption of FLCs by the beta(2)
microglobulin adsorption column Lixelle S-35 was confirmed. In conclusion,
Theralite2100 dialysis was the best in removal of FLCs. PES210Dalpha
diafiltration can remove FLCs with smaller loss of albumin.
PMID- 21884476
TI - Complete early virological response was highly achieved by double filtration
plasmapheresis plus IFN-beta induction therapy for HCV-1b patients with relapse
or no response after previous IFN therapy.
AB - The efficacy of double filtration plasmapheresis (DFPP) plus interferon (IFN)
beta induction therapy was preliminarily investigated in re-treated patients with
chronic genotype 1b hepatitis C and high viral load (patients with relapse or non
response to previous IFN therapies). In eight patients with chronic hepatitis C,
DFPP was performed five times over 2 weeks during IFN-beta therapy, and 3 MU of
IFN-beta was administered twice a day for 2 weeks. Combination therapies with
ribavirin and pegylated IFN-alpha2b (PEG-IFN-alpha2b) or pegylated IFN-alpha2a
(PEG-IFN-alpha2a) were subsequently used. After 4 weeks, hepatitis C virus (HCV)
RNA tended to be more greatly decreased with DFPP combination therapy than with
previous IFN therapy (4.5 +/- 2.0 log(10) IU/mL vs. 2.9 +/- 1.2 log(10) IU/mL).
Rates of both rapid virological response and complete early virological response
were significantly higher with DFPP and IFN-beta induction therapy than with
previous IFN therapy. DFPP plus IFN-beta induction therapy produced a great
reduction of viral load during the early stage of treatment and achieved a high
early virological response, suggesting that this combination therapy may be
useful as a new treatment modality for chronic hepatitis C patients in difficult
to-treat states. This combination may contribute to sustained virological
response (SVR). The effects of DFPP on SVR and its significance remain to be
clarified.
PMID- 21884477
TI - Plasma diafiltration therapy in patients with postoperative liver failure.
AB - Plasma diafiltration (PDF) is a blood purification therapy in which simple plasma
exchange (PE) is performed using a selective membrane plasma separator while the
dialysate flows outside of the hollow fibers. A prospective, multicenter study
was undertaken to evaluate the changes in biochemical examination of blood and
the 28-day and 90-day survival rates of patients with postoperative liver failure
(PLF). Eleven patients with PLF were studied with the therapy performed 98 times.
The Model for End-Stage Liver Disease (MELD) score was categorized into three
grades: 20-29, 30-39, and 40 or higher. The survival rate was assessed by the
severity of MELD score. The 28-day survival rate was 45.5% and that at 90 days
was 27.3%. The levels of total bilirubin, BUN, and creatinine decreased
significantly after treatment. On the other hand, the levels of total protein
increased after treatment and those of albumin did not change significantly. PDF
may be the useful blood purification therapies for use in cases of PLF in terms
of medical economics and the removal of water-soluble and albumin-bound toxins.
PMID- 21884479
TI - Posterior reversible encephalopathy syndrome in systemic lupus erythematosus with
anti-phospholipid antibodies treated by plasma exchange: a case report.
PMID- 21884478
TI - A study of appropriate flow rate settings for cell-free and concentrated ascites
reinfusion therapy and change of cytokine concentrations in ascites.
AB - We examined appropriate flow rates for high collection rates of Total Protein
(TP) and Albumin (Alb) and a change of IL-6 concentrations in the cell-free and
concentrated ascites reinfusion therapy (CART) process. No significant changes
were observed in IL-6 concentration in the ascites storage test and the
circulatory stimulation test. However, it was confirmed that the quantity of IL-6
in the ascites decreased by means of filtration and concentration. In some cases,
it quickly reached high concentration rates at a higher flow rate (200 mL/min)
setting, but clogging or pressure increase has occurred in the hollow fiber
filter. Therefore, it was concluded that a low flow rate (50 mL/min) setting was
best and provides safer conditions for filtration and concentration in order to
collect TP and Alb effectively and reuse it as autologous protein, which is the
primary objective of CART.
PMID- 21884480
TI - A case of tuberculous lymphadenitis in a dialysis patient with a negative
QuantiFERON-TB Gold test.
PMID- 21884481
TI - Could iron deficiency also affect platelet-related hemostasis impairment in
hemodialysis patients?
PMID- 21884484
TI - Increasing EPO using the normobaric oxygen paradox: a 'not so simple' task.
PMID- 21884485
TI - Editorial: Advancing the advance care planning process.
PMID- 21884486
TI - Editorial: Information and dementia: complexity and the obvious.
PMID- 21884487
TI - Information provision services in dementia care.
AB - There are currently 25 million people with dementia worldwide, and this number is
rising. Dementia has been highlighted as a major priority for health care and
research because of the enormous economical and health burden associated with it.
Consultations with people with dementia and carers have highlighted that timely
well-targeted information services are a key priority for enabling them to 'live
well with dementia'. Despite this, the evidence base for the optimal design and
delivery of an information provision service is limited. Findings from randomised
controlled trials and other studies have indicated that information provision
does confer some benefit in carer outcomes and in the symptoms and well-being of
people with dementia. However, there is limited understanding of the optimal
design of such a service because of the variety of approaches that have been
evaluated, the wide range of settings and locations and the lack of a clear
conceptual framework. The existing evidence base supports the importance of an
optimised information provision service and strongly indicates the need for a
large-scale RCT to identify the most effective and cost-effective design for
people with dementia and their carers.
PMID- 21884488
TI - A model for using the VIPS framework for person-centred care for persons with
dementia in nursing homes: a qualitative evaluative study.
AB - BACKGROUND: The 'VIPS' framework sums up the elements in Kitwood's philosophy of
person-centred care (PCC) for persons with dementia as values, individualised
approach, the perspective of the person living with dementia and social
environment. There are six indicators for each element. Aim. To conduct an
initial evaluation of a model aimed at facilitating the application of the VIPS
framework. DESIGN: Qualitative evaluative study. METHODS: A model was trialled in
a 9-week pilot study in two nursing homes and evaluated in four focus groups
using qualitative content analysis. RESULTS: Five themes emerged: (1) Legitimacy
of the model was secured when central roles were held by nurses representing the
majority of the staff; (2) The model facilitated the staff's use of their
knowledge of PCC; (3) Support to the persons holding the internal facilitating
roles in the model was needed; (4) The authority of the leading registered nurse
in the ward was crucial to support the legitimacy of the model and (5) Form of
organisation seemed to be of importance in how the model was experienced.
CONCLUSION: The model worked best in wards organised with a leading registered
nurse who could support an auxiliary nurse holding the facilitating function.
PMID- 21884489
TI - Information in dementia care: sense making and a public health direction for the
UK?
AB - Providing information is a core focus of policy and practice in dementia care.
Information is a vehicle through which people can be enabled or disabled, so it
is essential that we ensure that information is available in a way that is
accessible and relevant for people with dementia and that it enables personal
aspiration and collective identity to be advanced in a way that is to the benefit
of those living with dementia. People with dementia need information to support
autonomy in making decisions and in acting on those decisions. Information must
be provided in a way that is appropriate to the individual and to achieve that
requires knowledge of the needs of that individual. However, maintaining autonomy
includes, but involves much more than, the provision of information - others need
to listen and hear their views and be prepared to act on them. As professionals,
we must be aware that the (dementia) information we provide may disrupt the
biographical narrative that people with dementia value. Thus, a person-centred
approach encourages the sharing of knowledge and information. The (mis)use of
information also impacts on the way that society disables, discriminates and
applies barriers against people with disability.
PMID- 21884490
TI - Progressive resistance strength training for improving physical function in older
adults.
PMID- 21884491
TI - Forty-five isn't old!
PMID- 21884492
TI - Perinatal depression.
PMID- 21884494
TI - Caffeine and breast pain: revisiting the connection.
PMID- 21884495
TI - Understanding the breast crawl: implications for nursing practice.
PMID- 21884496
TI - Elective cesarean delivery: trends, evidence and implications for women, newborns
and nurses.
PMID- 21884497
TI - Approaching menopause (but not there yet!): caring for women in midlife.
PMID- 21884498
TI - Pertussis: an ounce of prevention.
PMID- 21884499
TI - Food allergies in the hospital setting: prevention, treatment and patient
education.
PMID- 21884500
TI - Have the best of both worlds: professional growth opportunities for bedside
nurses.
PMID- 21884501
TI - The partnership for patients: improving care and reducing complications.
PMID- 21884502
TI - Coalition for quality maternal care: advocating for mothers and newborns.
PMID- 21884503
TI - Health information technology for the perinatal setting.
PMID- 21884504
TI - Earning my wings.
PMID- 21884505
TI - An assessment of three noncommercial DNA extraction methods from dried blood
spots for beta-thalassaemia mutation identification.
AB - INTRODUCTION: Dried blood spots (DBS) are currently the recommended sample
collection method for newborn screening programmes in America. Early diagnosis of
beta-thalassaemia screening is essential as it provides an added advantage
especially in sickle cell disease. Beta-thalassaemia frequency is high in many
poor countries, and the cost of using commercial DNA extraction kits can be
prohibitive. Our study assessed three methods that use minimal reagents and
materials to extract DNA from DBS for beta-thalassaemia identification. METHODS:
The methods assessed in this study were Tris-EDTA (TE) buffer-based method by
Bereczky et al. (American Journal of Tropical Medicine and Hygiene 72, 2005,
249), NaCL/NaOH/Sodium dodecyl sulphate (SDS) method by Huang et al. (Human
Genetics 84, 1990, 129) and NaOH method by Zhou et al. (Analytical Biochemistry
354, 2006, 159). Extracted DNA was amplified for three common beta-thalassaemia
mutations in Malaysia. RESULTS: Amplicons derived from TE buffer-based method
were very faint and almost nonexistent while the NaCl/NaOH/SDS method did not
produce any visible amplicons. The extraction using NaOH method produced visible
bands that were comparable to the standard method using extraction kit.
CONCLUSION: The NaOH method is a simple method that uses minimal equipment and
reagents that make it labour- and cost-effective. This method could be adopted by
poorer countries to extract DNA for beta-thalassaemia mutation characterization.
PMID- 21884506
TI - Scientific publishing in the electronic age.
PMID- 21884507
TI - Patients as a scientific resource: comments on receiving the Ahrens award.
PMID- 21884508
TI - The Clinical and Translational Science Awards (CTSAs) are transforming the way
academic medical institutions approach translational research: the University of
Michigan Experience.
PMID- 21884509
TI - Cytosolic phospholipase A(2)alpha protects against ischemia/reperfusion injury in
the heart.
AB - Studies with sPLA(2) Group X, and cPLA(2) alpha gene-targeted mice suggest that
absence of sPLA(2) Group X results in protection from ischemia/reperfusion (I/R)
injury in the heart, and absence of cPLA(2) alpha Group IV is protective in the
brain. Although latter studies might suggest a similar deleterious role for
cPLA(2) alpha in I/R injury in the heart, the pathophysiology of stroke is
intricately related to excitotoxicity and cannot necessarily be extrapolated to
the heart. We report here that unlike findings in the brain, cPLA(2) alpha((-/-))
mice have exaggerated injury following I/R in vivo. In contrast, there is no
difference in injury induced by simulated ischemia in cardiomyocytes isolated
from cPLA(2) alpha((-/-)) versus cPLA(2) alpha((+/+)) mice. This suggests that
cPLA(2) alpha does not have an important cardiomyocyte autonomous effect on
ischemic injury. Prostaglandin E(2) (PGE(2) ) levels are significantly reduced in
the hearts of the cPLA(2) alpha((-/-)) mice, and the enhanced injury is
ameliorated by treatment with the PGE analog, misoprostol. We demonstrate that
cPLA(2) alpha is cardioprotective in vivo, and this is likely via cPLA(2) alpha
mediated production of cardioprotective eicosanoids. These studies are the first
to identify a protective role for cPLA(2) in I/R injury in any organ and raise
concerns over long-term inhibition of cPLA(2).
PMID- 21884511
TI - High levels of zinc-protoporphyrin identify iron metabolic abnormalities in
pulmonary arterial hypertension.
AB - Iron homeostasis influences the development of pulmonary arterial hypertension
(PAH) associated with hypoxia or hematologic disorders. To investigate whether
severity of idiopathic PAH (IPAH) is impacted by alterations in iron metabolism,
we assessed iron metabolic markers, including levels of zinc-protoporphyrin (Zn
pp), transferrin receptor, and red blood cell numbers and morphology in IPAH,
associated PAH and sleep apnea-induced pulmonary hypertension patients in
comparison to healthy controls and asthmatics. Despite similarly normal measures
of iron metabolism, Zn-pp levels in IPAH and sleep apnea patients were elevated
approximately twofold, indicating deficient iron incorporation to form heme and
levels were closely related to measures of disease severity. Consistent with high
Zn-pp, PAH patients had increased red cell distribution width (RDW). In an
expanded cohort including patients with IPAH and familial disease, the RDW was
validated and related to clinical parameters of severity; including pulmonary
artery pressures and 6-minute walk distances. These results reveal an increased
prevalence of subclinical functional iron deficiency in primary forms of PAH that
is quantitatively related to disease severity. This suggests that altered iron
homeostasis influences disease progression and demonstrates the importance of
closely monitoring iron status in PAH patients.
PMID- 21884512
TI - Partnership-driven Resources to Improve and Enhance Research (PRIMER): a survey
of community-engaged researchers and creation of an online toolkit.
AB - OBJECTIVE: This project aimed to develop an open-access website providing
adaptable resources to facilitate best practices for multisite research from
initiation to closeout. methods: A web-based assessment was sent to the
leadership of the Clinical and Translational Science Award (CTSA) Community
Engagement Key Functions Committee (n= 38) and the CTSA-affiliated Primary Care
Practice-based Research Networks (PBRN, n= 55). Respondents rated the benefits
and barriers of multisite research, the utility of available resources, and
indicated their level of interest in unavailable resources. Then, existing
research resources were evaluated for relevance to multisite research,
adaptability to other projects, and source credibility. RESULTS: Fifty-five (59%)
of invited participants completed the survey. Top perceived benefits of multisite
research were the ability to conduct community-relevant research through academic
community partnerships (34%) and accelerating translation of research into
practice (31%). Top perceived barriers were lack of research infrastructure to
support PBRNs and community partners (31%) and inadequate funding to support
multisite collaborations (26%). Over 200 resources were evaluated, of which 120
unique resources were included in the website. CONCLUSION: The PRIMER Research
Toolkit (http://www.researchtoolkit.org) provides an array of peer-reviewed
resources to facilitate translational research for the conduct of multisite
studies within PBRNs and community-based organizations.
PMID- 21884510
TI - Fructose-fed rhesus monkeys: a nonhuman primate model of insulin resistance,
metabolic syndrome, and type 2 diabetes.
AB - The incidence of insulin resistance has increased dramatically over the past
several years, and we and others have proposed that this increase may at least in
part be attributable to increased dietary fructose consumption. However, a major
limitation to the study of diet-induced insulin resistance is the lack of
relevant animal models. Numerous studies, mostly in rodents, have demonstrated
that diets high in fructose induce insulin resistance; however, important
metabolic differences exist between rodents and primates. Thus, the results of
metabolic studies performed in primates are substantively more translatable to
human physiology, underscoring the importance of establishing nonhuman primate
models of common metabolic conditions. In this report, we demonstrate that a high
fructose diet in rhesus monkeys produces insulin resistance and many features of
the metabolic syndrome, including central obesity, dyslipidemia, and inflammation
within a short period of time; moreover, a subset of monkeys developed type 2
diabetes. Given the rapidity with which the metabolic changes occur, and the
ability to control for many factors that cannot be controlled for in humans,
fructose feeding in rhesus monkeys represents a practical and efficient model
system in which to investigate the pathogenesis, prevention, and treatment of
diet-induced insulin resistance and its related comorbidities.
PMID- 21884513
TI - Streamlining research by using existing tools.
AB - Over the past two decades, the health research enterprise has matured rapidly,
and many recognize an urgent need to translate pertinent research results into
practice, to help improve the quality, accessibility, and affordability of US
healthcare. Streamlining research operations would speed translation,
particularly for multisite collaborations. However, the culture of research
discourages reusing or adapting existing resources or study materials. Too often,
researchers start studies and multisite collaborations from scratch-reinventing
the wheel. Our team developed a compendium of resources to address inefficiencies
and researchers' unmet needs and compiled them in a research toolkit website
(http://www.ResearchToolkit.org). Through our work, we identified philosophical
and operational issues related to disseminating the tool kit to the research
community. We explore these issues here, with implications for the nation's
investment in biomedical research.
PMID- 21884514
TI - Prostaglandin E2 and IL-23 plus IL-1beta differentially regulate the Th1/Th17
immune response of human CD161(+) CD4(+) memory T cells.
AB - Prostaglandin E2 (PGE2), interleukin (IL)-23, and IL-1beta (beta) propagate
inflammatory bowel disease (IBD) by enhancing the development and function of IL
17 producing CD4(+) T helper (Th17) cells. CD4(+) T cells that express the C-type
lectin-like receptor CD161 have been proposed to be the physiologic pool of
circulating Th17 cells implicated in IBD. We sought to understand how PGE2, alone
and in combination with IL-23 and IL-1beta, modulate human peripheral CD161(+)
CD4(+) memory T cells. We found that CD161(+) cells comprise a significant
proportion of human peripheral CD4(+) memory T cells. PGE2 and IL-23 plus IL
1beta synergistically induced early IL-17A secretion from CD161(+) CD4(+) memory
T cells and the selective enrichment of IL-17A(+) CD161(+) CD4(+) memory T cells
in culture. Conversely, IL-23 plus IL-1beta partially opposed the PGE2-mediated
repression of early interferon gamma (IFN-gamma) secretion from CD161(+) cells,
as well as the PGE2-mediated depletion of IFN-gamma(+) CD161(+) cells. Our
results suggest that PGE2 and IL-23 plus IL-1beta induce the Th17 immune response
preferentially in CD161(+) CD4(+) memory T cells, while divergently regulating
their ability to express IFN-gamma. We hypothesize that Th17-mediated chronic
inflammation in IBD depends on the net response of CD161(+) CD4(+) memory T cells
to both PGE2 and IL-23 plus IL-1beta.
PMID- 21884515
TI - To join or not to join: an investigation of individual facilitators and
inhibitors of medical faculty participation in interdisciplinary research teams.
AB - Interdisciplinary research (IDR) teams are an important mechanism for
facilitating medical breakthroughs. This study investigates the role of
individual-level predictors of the choice to join a new IDR team at a major
medical institution. We collected survey data from a sample of 233 faculty
members who were given the opportunity to participate in IDR teams that had
recently formed around a wide range of medical topic areas. Our results suggest
that even under supportive organizational conditions, some medical experts were
more likely to participate than others. Specifically, basic and translational
researchers, associate professors, and faculty with distinctive topic area
expertise and with more experience collaborating across departmental boundaries
participated at a greater rate than their peers. Our findings have implications
for research, practice, and policy focused on overcoming the challenges of
drawing together diverse medical experts into IDR teams with the potential to
advance knowledge to prevent, cure, and treat complex medical conditions.
PMID- 21884516
TI - Translating gene transfer: a stalled effort.
AB - The journey of gene transfer from laboratory to clinic has been slow and fraught
with many challenges and barriers. Despite the development of the initial
technology in the early 1970s, a standard clinical treatment involving "gene
therapy" remains to be seen. Furthermore, much was written about the technology
in the early 1990s, but since then, not much has been written about the journey
of gene transfer. The translational path of gene transfer thus far, both pitfalls
and successes, can serve as a study not only in navigating ethical and safety
concerns, but also in the importance of scientist-public interactions. Here, we
examine the translational progress of gene transfer and what can be gleaned from
its history.
PMID- 21884517
TI - IL28B genotype does not correlate with HIV control in African Americans.
AB - BACKGROUND: HIV-1 natural viral suppressors (NVS) are individuals that control
HIV replication without antiretrovirals (also know as HIV elite controllers). We
have recently shown that these individuals have an elevated rate of hepatitis C
virus (HCV) clearance. Given the association of IL28B genotype, specifically the
rs12979860 single nucleotide polymorphism (SNP) based CC genotype, with HCV
clearance, we studied its association with HIV control in 172 African American
HIV subjects and 173 race-matched controls. FINDINGS: The frequency of the CC
genotype was 12.5% in the NVS, 14.7% in the LVL ("low viral load" cohort with 400
20,000 HIV-1 RNA copies/mL), 17.8% in the MHVL ("medium/high viral load" cohort
with >20,000 HIV-1 RNA copies/mL), and 11.6% in an HIV-negative cohort. There was
no statistical significance in the CC genotype distribution between these cohorts
(p= 0.48 between the NVS and non-NVS HIV positive controls, p= 0.85 between NVS
and HIV-negatives). We also did not observe any association between CC genotype
distribution and HIV RNA viral load, as a continuous measure. CONCLUSIONS: The
IL28B CC genotype does not account for the noted HIV control in our specific NVS
cohort. Further studies will be needed to determine if a common genetic factor
can primarily account for any joint clearance of HCV and control of HIV.
PMID- 21884518
TI - Beyond the medical model: interdisciplinary programs of community-engaged health
research.
AB - This report describes four diverse programs of community-engaged research, all of
which demonstrated positive health outcomes. Three of the programs were focused
on communities of people with diabetes, and one program targeted at-risk young
families raising infants and young children. Brief descriptions of each research
study and outcomes are presented as well as a discussion of the processes and
lessons that were learned from each model of successful interdisciplinary
community-university health research partnerships.
PMID- 21884519
TI - Homologous recombination in human embryonic stem cells: a tool for advancing cell
therapy and understanding and treating human disease.
AB - Human embryonic stem cells (hESCs) hold great promise for ushering in an era of
novel cell therapies to treat a wide range of rare and common diseases, yet they
also provide an unprecedented opportunity for basic research to yield clinical
benefit. HESCs can be used to better understand human development, to model human
diseases, to understand the contribution of specific mutations to the
pathogenesis of disease, and to develop human cell-based screening systems to
identify novel therapeutic agents and evaluate potential toxicity of therapeutic
agents under development. Such basic research will benefit greatly from efficient
methods to perform targeted gene modification, an area of hESC investigation that
is currently in its infancy. Moreover, the reality of hESC-based cellular
therapies will require improved methods for generating the specific cells of
interest, and reporter cell lines generated through targeted gene modifications
are expected to play an important role in developing optimal cell-specific
differentiation protocols. Herein, we review the current status of homologous
recombination in hESCs, a gene targeting technique that is sure to continue to
improve, and to play an important role in realizing the maximal human benefit
from hESCs.
PMID- 21884521
TI - Acta Ophthalmologica and EVER - 5 years later.
PMID- 21884523
TI - Autistic symptoms in childhood arrestees: longitudinal association with
delinquent behavior.
AB - BACKGROUND: To compare childhood arrestees with matched comparison groups on
levels of autistic symptoms and to assess the unique predictive value of autistic
symptoms for future delinquent behavior in childhood arrestees. METHODS:
Childhood first-time arrestees (n = 308, baseline age 10.7 +/- 1.5 years) were
followed up for 2 years. Autistic symptoms, externalizing disorders and
delinquent behavior were assessed yearly. Childhood arrestees were compared on
autistic symptoms with matched (age, gender) general population and clinical
autism spectrum disorder samples. The predictive value of autistic symptoms for
delinquent behavior was analyzed using generalized estimating equations. RESULTS:
At each assessment, levels of autistic symptoms in childhood arrestees were in
between levels found in the general population and autism spectrum disorder
samples. Autistic symptoms were positively associated with delinquent behavior in
childhood arrestees, even after adjustment for externalizing disorders: IRR
(incidence rate ratio) 1.23; 95% CI 1.11-1.36 and IRR 1.29; 95% CI 1.15-1.45 for
core autistic symptoms and total symptom score, respectively. CONCLUSIONS:
Autistic symptoms are more prevalent in childhood arrestees compared to the
general population and are uniquely associated with future delinquent behavior.
Attention should, therefore, be given to the possible presence of autism related
symptomatology in these children. Implications for diagnostic assessment and
intervention need further investigation.
PMID- 21884522
TI - Gene by environment interactions influencing reading disability and the
inattentive symptom dimension of attention deficit/hyperactivity disorder.
AB - BACKGROUND: Reading disability (RD) and attention deficit/hyperactivity disorder
(ADHD) are comorbid and genetically correlated, especially the inattentive
dimension of ADHD (ADHD-I). However, previous research indicates that RD and ADHD
enter into opposite gene by environment (G * E) interactions. METHODS: This study
used behavioral genetic methods to replicate these opposite G * E interactions in
a sample of same-sex monozygotic and dizygotic twin pairs from the Colorado
Learning Disabilities Research Center (CLDRC; DeFries et al., 1997) and to test a
genetic hypothesis for why these opposite interactions occur. RESULTS: We
replicated opposite G * E interactions for RD (bioecological) and ADHD-I
(diathesis-stress) with parental education in the same sample of participants.
The genetic hypothesis for this opposite pattern of interactions is that only
genes specific to each disorder enter into these opposite interactions, not the
shared genes underlying their comorbidity. To test this hypothesis, we used
single models with an exploratory three-way interaction, in which the G * E
interactions for each disorder were moderated by comorbidity. Neither three-way
interaction was significant. The heritability of RD did not vary as a function of
parental education and ADHD-I. Similarly, the heritability of ADHD-I did not vary
as a function of parental education and RD. CONCLUSIONS: We documented opposite G
* E interactions in RD and ADHD-I in the same overall twin sample, but the
explanation for this apparent paradox remains unclear. Examining specific genes
and more specific environmental factors may help resolve the paradox.
PMID- 21884524
TI - alpha7 Nicotinic receptor activation reduces beta-amyloid-induced apoptosis by
inhibiting caspase-independent death through phosphatidylinositol 3-kinase
signaling.
AB - The neurotoxicity of amyloid-beta (Abeta) involves caspase-dependent and
independent programmed cell death. The latter is mediated by the nuclear
translocation of the mitochondrial flavoprotein apoptosis inducing factor (AIF).
Nicotine has been shown to decrease Abeta neurotoxicity via inhibition of caspase
dependent apoptosis, but it is unknown if its neuroprotection is mediated through
caspase-independent pathways. In the present study, pre-treatment with nicotine
in rat cortical neuronal culture markedly reduced Abeta(1-42) induced neuronal
death. This effect was accompanied by a significant reduction of mitochondrial
AIF release and its subsequent nuclear translocation as well as significant
inhibition of cytochrome c release and caspase 3 activation. Pre-treatment with
selective alpha7nicotinic acetylcholine receptor(nAChR) antagonist
(methyllycaconitine), but not the alpha4 nAChR antagonist (dihydro-beta
erythroidine), could prevent the neuroprotective effect of nicotine on AIF
release/translocation, suggesting that nicotine inhibits the caspase-independent
death pathway in a alpha7 nAChR-dependent fashion. Furthermore, the
neuroprotective action of nicotine on AIF release/translocation was suppressed by
LY294002, a phosphatidylinositol 3-kinase (PI3K) inhibitor. Pre-treatment with
nicotine significantly restored Akt phosphorylation, an effector of PI3K, in
Abeta(1-42) -treated neurons. These findings indicate that the alpha7 nAChR
activation and PI3K/Akt transduction signaling contribute to the neuroprotective
effects of nicotine against Abeta-induced cell death by modulating caspase
independent death pathways.
PMID- 21884526
TI - Danger at your door: pathogen signals and programmed cell death in plants.
PMID- 21884525
TI - Ethanol causes the redistribution of L1 cell adhesion molecule in lipid rafts.
AB - Fetal alcohol spectrum disorder is estimated to affect 1% of live births. The
similarities between children with fetal alcohol syndrome and those with
mutations in the gene encoding L1 cell adhesion molecule (L1) implicates L1 as a
target of ethanol developmental neurotoxicity. Ethanol specifically inhibits the
neurite outgrowth promoting function of L1 at pharmacologic concentrations.
Emerging evidence shows that localized disruption of the lipid rafts reduces L1
mediated neurite outgrowth. We hypothesize that ethanol impairment of the
association of L1 with lipid rafts is a mechanism underlying ethanol's inhibition
of L1-mediated neurite outgrowth. In this study, we examine the effects of
ethanol on the association of L1 and lipid rafts. We show that, in vitro, L1 but
not N-cadherin shifts into lipid rafts following treatment with 25 mM ethanol.
The ethanol concentrations causing this effect are similar to those inhibiting L1
mediated neurite outgrowth. Increasing chain length of the alcohol demonstrates
the same cutoff as that previously shown for inhibition of L1-L1 binding. In
addition, in cerebellar granule neurons in which lipid rafts are disrupted with
methyl-beta-cyclodextrin, the rate of L1-mediated neurite outgrowth on L1-Fc is
reduced to background rate and that this background rate is not ethanol
sensitive. These data indicate that ethanol may inhibit L1-mediated neurite
outgrowth by retarding L1 trafficking through a lipid raft compartment.
PMID- 21884527
TI - Timescales and timetrees.
PMID- 21884528
TI - Placental immunology and maternal alloimmune responses.
AB - During pregnancy, women are tolerant of their semi-allogeneic fetus whilst not
being immunosuppressed and indeed readily form alloantibodies. This
'Immunological Paradox of Pregnancy' may be explained by an understanding of
placental anatomy and immunology. Trophoblast cells form the interface between
the fetus and maternal tissues and blood and escape allorecognition because they
lack classical human leucocyte antigen (HLA) class I and II molecules. Local
immunoregulation, or tolerance, in the decidua is mediated partly by HLA-G(+)
extravillous trophoblasts (EVT) that invade the tissue and prevent killing by
maternal natural killer cells, cytotoxic T cells and macrophages. Placental
hormones orchestrate the composition and regulatory function of maternal immune
cells. In contrast, syncytiotrophoblast cells at the surface of chorionic villi,
in contact with maternal blood, maintain a state of mild maternal systemic
immunity via activation of innate immunity and skewing towards humoral immunity.
This enables maintenance of a healthy immune system in pregnant women and robust
protective antibody responses to pathogens whilst enabling survival of the fetus.
However, this has the unfortunate consequence that pregnant women readily form
alloantibodies to incompatible alloantigens on fetal red cells, platelets and
leucocytes if fetomaternal haemorrhage (FMH) occurs. The antibodies are initially
low affinity but after re-immunization with further FMH become functionally
effective, high-titre IgG.
PMID- 21884530
TI - Distinct eosinophil cytokine expression patterns in skin diseases - the possible
existence of functionally different eosinophil subpopulations.
AB - BACKGROUND: The function of eosinophils has been attributed to host defense,
immunomodulation, and fibrosis. Although eosinophils are found among infiltrating
cells in a broad spectrum of skin diseases, their pathogenic role remains
uncertain. This study aimed to analyze the cytokine expression by eosinophils in
different skin diseases. METHODS: Skin specimens from different skin diseases
[allergic/reactive, infectious, autoimmune, and tumors/lymphomas (LY)] were
stained by antibodies directed to eosinophil cationic protein, cytokines [tumor
necrosis factor (TNF)-alpha, interleukin (IL)-5, IL-6, IL-10, IL-11, IL-13, IL
17, IL-25, IL-33, interferon-gamma, transforming growth factor (TGF)-beta, and
thymic stromal lymphopoietin], eotaxins (CCL11, CCL24, and CCL26),
metalloproteinase (MMP)-9 as well as extracellular matrix proteins (tenascin-C
and procollagen-3) and then analyzed by laser scanning microscopy. RESULTS: The
number of eosinophils varied considerably in and between disease groups and did
not correlate with the numbers of accompanying inflammatory cells. The expression
of IL-5, IL-6, IL-11, TGF-beta, CCL24, and MMP-9 by eosinophils significantly
differed between disease groups. Eosinophils in tumors/LY predominantly expressed
IL-6, TGF-beta, and CCL24, but not IL-11. On the other hand, in autoimmune
diseases, eosinophils largely contributed to MMP-9 production. IL-5-generating
eosinophils were particularly obvious in allergic and infectious diseases.
CONCLUSION: In skin diseases, eosinophil expresses a broad spectrum of cytokines.
The different cytokine expression patterns suggest distinct functional roles of
eosinophils in these diseases that might be related to host defense,
immunomodulation, fibrosis, and/or tumor development.
PMID- 21884529
TI - Lack of efficacy of long-term, low-dose azithromycin in chronic rhinosinusitis: a
randomized controlled trial.
AB - BACKGROUND: In persistent chronic rhinosinusitis (CRS), conventional treatment is
often insufficient. Long-term, low-dose administration of macrolides has been
suggested as a treatment option. The MACS (Macrolides in chronic rhinosinusitis)
study is a randomized placebo-controlled trial evaluating the efficacy of
azithromycin (AZM) in CRS. METHODS: We describe a group of patients with
recalcitrant CRS with and without nasal polyps unresponsive to optimal medical
and (in 92% also) surgical treatment. Patients were treated with AZM or placebo.
AZM was given for 3 days at 500 mg during the first week, followed by 500 mg per
week for the next 11 weeks. Patients were monitored until 3 months post-therapy.
The assessments included Sino-Nasal Outcome Test-22 (SNOT-22), a Patient Response
Rating Scale, Visual Analogue Scale (VAS), Short Form-36 (SF-36), rigid nasal
endoscopy, peak nasal inspiratory flow (PNIF), Sniffin' Sticks smell tests and
endoscopically guided middle meatus cultures. RESULTS: Sixty patients with a
median age of 49 years were included. Fifty per cent had asthma and 58% had
undergone revision sinus surgery. In the SNOT-22, Patient Response Rating Scale,
VAS scores and SF-36, no significant difference between the AZM and the placebo
groups was demonstrated. Nasal endoscopic findings, PNIF results, smell tests and
microbiology showed no relevant significant differences between the groups
either. CONCLUSION: At the investigated dose of AZM over 3 months, no significant
benefit was found over placebo. Possible reasons could be disease severity in the
investigated group, under-dosage of AZM and under-powering of the study.
Therefore, more research is urgently required.
PMID- 21884531
TI - Spontaneous resolution of diphtheria-tetanus vaccine hypersensitivity in a
pediatric population.
PMID- 21884532
TI - Thunderstorm-related asthma epidemic owing to Olea Europaea pollen sensitization.
PMID- 21884533
TI - C1 esterase inhibitor concentrate in 1085 Hereditary Angioedema attacks--final
results of the I.M.P.A.C.T.2 study.
AB - BACKGROUND: The placebo-controlled study International Multicentre Prospective
Angioedema C1-INH Trial 1 (I.M.P.A.C.T.1) demonstrated that 20 U/kg C1 esterase
inhibitor (C1-INH) concentrate (Berinert(r); CSL Behring, Marburg, Germany) is
effective in treating acute abdominal and facial Hereditary Angioedema (HAE)
attacks. METHODS: I.M.P.A.C.T.2 was an open-label extension study of
I.M.P.A.C.T.1 to evaluate the safety and efficacy of long-term treatment with 20
U/kg C1-INH for successive HAE attacks at any body location. Efficacy outcomes
included patient-reported time to onset of symptom relief (primary) and time to
complete resolution of all symptoms (secondary), analysed on a per-patient and
per-attack basis. Safety assessments included adverse events, vital signs, viral
safety and anti-C1-INH antibodies. RESULTS: During a median study duration of 24
months, 1085 attacks were treated in 57 patients (10-53 years of age). In the per
patient analysis, the median time to onset of symptom relief was 0.46 h and was
similar for all types of attacks (0.39-0.48 h); the median time to complete
resolution of symptoms was 15.5 h (shortest for laryngeal attacks: 5.8 h; 12.8
26.6 h for abdominal, peripheral and facial attacks). Demographic factors, type
of HAE, intensity of attacks, time to treatment, use of androgens and presence of
anti-C1-INH antibodies had no clinically relevant effect on the efficacy
outcomes. There were no treatment-related safety concerns. No inhibitory anti-C1
INH antibodies were detected in any patient. CONCLUSIONS: A single dose of 20
U/kg C1-INH concentrate is safe and provides reliable efficacy in the long-term
treatment of successive HAE attacks at any body location.
PMID- 21884534
TI - Hematopoietic progenitor cells are innate Th2 cytokine-producing cells.
AB - Typically, hematopoietic stem/progenitor cells (HSPCs) reside within the bone
marrow (BM) where they give rise to all hematopoietic populations. However, HSPCs
also constantly egress from the BM into the blood and circulate through the
peripheral tissues where upon encounter with inflammatory stimuli and epithelial
cell-derived cytokines they rapidly release very high levels of Th2
cytokines/chemokines and differentiate into Th2 effector cells. The novel concept
of the dual function of HSPCs as hematopoietic precursors and potent Th2 cytokine
producers has important clinical implications in various inflammatory conditions,
including allergic diseases.
PMID- 21884536
TI - The benefits of a critical stance: a reflection on past papers on the theories of
reasoned action and planned behaviour.
AB - In this paper, I reflect on past papers published in the British Journal of
Social Psychology (BJSP) that have played a role in the development of the theory
of reasoned action (TRA) and the theory of planned behaviour (TPB). I focus on
seven papers that fall into five categories: (1) those that critique the TRA/TPB
for taking insufficient account of social factors; (2) those that critique the
models on the grounds that many social behaviours are 'habitual'; (3) those that
critically examine the construct of perceived behavioural control; (4) those that
argue for the importance of affective factors, which appear to be overlooked in
the TRA/TPB; and (5) those that argue for the importance of studying the role of
moderating factors and interaction effects in the TRA/TPB. I conclude that BJSP's
traditional focus on criticism and theory development is one that benefits the
journal and the field.
PMID- 21884537
TI - Fifty-odd years of inter-group contact: from hypothesis to integrated theory.
AB - We review 50-odd years of research on Allport's (1954)'contact hypothesis', to
assess progress, problems, and prospects. We chart the progress that has been
made in understanding two distinct forms of contact: direct and indirect. We
highlight the progress made in understanding the effects of each type of contact,
as well as both moderating and mediating factors, and emphasize the multiple
impacts of direct contact, especially. We then consider some of the main
critiques of inter-group contact, focusing on empirical issues and whether
contact impedes social change, and provide a research agenda for the coming
years. We conclude that this body of work no longer merits the modest title of
'hypothesis', but fully deserves acknowledgement as an integrated and influential
theory.
PMID- 21884538
TI - BJSP and the changing face of the group in social psychology.
AB - I reflect on the contribution that BJSP has made to the conceptualization of the
group within social psychology by highlighting two cases studies from the social
identity tradition published in 1990. These illustrate BJSP's distinctive
strength and openness to theoretical innovation over the last decades.
PMID- 21884539
TI - Promoting a culture of innovation: BJSP and the emergence of new paradigms in
social psychology.
AB - In this paper, I start by describing the role played by British Journal of Social
Psychology (BJSP) in nurturing two important new paradigms in social psychology -
the social identity approach and discourse psychology. I then consider the forces
in contemporary academia, in general, and psychology, in particular, that
militate against innovation. I conclude by suggesting some ways in which
individual social psychologists and our journals, particularly BJSP, can
contribute to the development of an innovative and intellectually dynamic
discipline.
PMID- 21884540
TI - The winds of change: some challenges in reconfiguring social psychology for the
future.
AB - In this short article, I celebrate the plurality and eclecticism of the British
Journal of Social Psychology. I argue that this approach offers the best hope for
an uncertain future. The powerful narrative on which social psychology was once
based is fragmenting in part due to Research Assessment Exercise (RAE/REF)
pressures. Social psychological topics and research are migrating outside
institutional Psychology, and the BJSP needs to follow. Examples of recent social
research on affect and emotion are used to illustrate the new spreading and reach
of social psychological topics and issues.
PMID- 21884541
TI - How social is the social psychology of emotion?
AB - Two classic studies published 50 years ago showed how other people provide
information that shapes the activation and interpretation of emotions. The
present paper traces development of the social psychology of emotions from this
starting point. Subsequent research into group-based and social appraisal has
advanced understanding of the impact of social information on emotions and
suggested new ways of investigating associated phenomena. Although potential
integrations of interpersonal and group-oriented approaches offer promise for the
future, the continuing focus on emotions as cognitively mediated effects of
social factors should broaden to encompass dynamic relational processes.
PMID- 21884542
TI - Facing social identity change: interactive effects of current and projected
collective identification on expectations regarding future self-esteem and
psychological well-being.
AB - We hypothesized that prospective changes in social identity that involve
transitioning out of a currently valued group would be associated with negative
expectations regarding future states, but that this effect would be mitigated
among individuals who expect to belong to a future in-group of similar
importance. Consistent with predictions, strongly identified young adults in two
studies projected significantly lower self-esteem/psychological well-being in old
age than weakly identified young adults. Critically, however, this effect was
fully attenuated if they expected to identify with their future aged in-group
when they were old. Study 2 showed that the capacity for projected identification
to buffer projected well-being among strongly identified young adults was
contingent on their membership in the future in-group being highly salient.
Analyses of participants' written descriptions of old age (Study 1) and a valence
manipulation (Study 2) indicated that these effects were not attributable to the
anticipated valence of future selves/states, but rather to the value placed on
current and future group memberships.
PMID- 21884543
TI - Cues to deception in context: response latency/gaps in denials and blame
shifting.
AB - Over 40 years of work on lying in psychology and communication has investigated
numerous 'cues to deception'- the subtle signals people show when they are lying.
One of these cues to deception is 'response latency' or the gap that occurs
between questions and the lying response. The current investigation uses the
methodology of conversation analysis to re-consider the question of response
latency in the context of lying. Drawing on data from two naturalistic sources,
the television shows COPS and the Jeremy Kyle Show, this investigation analyses
response latencies in order to show the regular organization of gaps between
turns in both lies and non-lies. The current investigation demonstrates that in
blame shifting turns which are lies, any gaps between turns result from a display
of upcoming 'trouble', rather than being related to lying per se. The
investigation highlights the need to analyse lies in the contexts in which they
are told, taking prior and subsequent talk into account.
PMID- 21884544
TI - Dilemmas of citizenship: young people's conceptions of un/employment rights and
responsibilities.
AB - This paper draws on the concept of ideological dilemmas in order to explore how a
sample of young people constructed potentially contrary themes of liberal
citizenship in discussions of un/employment. The study took place in the context
of recent policy developments in the UK which have sought to place a renewed
emphasis upon notions of responsible citizenship in relation to both welfare and
education policy. A total of 58 participants were interviewed in 24 semi
structured group interviews. In response to direct questions on un/employment,
participants could resolve dilemmas concerning welfare rights and the
responsibility to contribute to society by emphasizing a criterion of
effortfulness, thereby adopting a primarily individualistic explanation of
unemployment. In other contexts however, this could be replaced by an emphasis on
social explanations of unemployment. In particular, participants could treat
immigration as a cause of unemployment. These findings are interpreted in terms
of people's capacity to construct rhetorical strategies based upon different
ideological themes in particular contexts. They are discussed in relation to
previous research on social policy discourse and recent debates regarding the
appropriateness of seeking to identify ideological themes in discourse.
PMID- 21884545
TI - Blaming, praising, and protecting our humanity: the implications of everyday
dehumanization for judgments of moral status.
AB - Being human implies a particular moral status: having moral value, agency, and
responsibility. However, people are not seen as equally human. Across two
studies, we examine the consequences that subtle variations in the perceived
humanness of actors or groups have for their perceived moral status. Drawing on
Haslam's two-dimensional model of humanness and focusing on three ways people may
be considered to have moral status - moral patiency (value), agency, or
responsibility - we demonstrate that subtly denying humanness to others has
implications for whether they are blamed, praised, or considered worthy of moral
concern and rehabilitation. Moreover, we show that distinct human characteristics
are linked to specific judgments of moral status. This work demonstrates that
everyday judgments of moral status are influenced by perceptions of humanness.
PMID- 21884546
TI - Is the world a just place? Countering the negative consequences of pervasive
discrimination by affirming the world as just.
AB - Two studies (a) explored the role of pervasiveness of discrimination (pervasive
vs. rare) in determining targets' responses to discrimination, and (b) examined
the extent to which threats to participants' worldview can account, in part, for
detrimental effects of pervasive discrimination. As predicted, across both
studies, pervasiveness of discrimination moderated the relationship between
attributions to prejudice for failure to obtain a job and psychological well
being (depressed affect and state self-esteem). When discrimination was presented
as pervasive, attributions to prejudice related to lower state self-esteem and
greater depressed affect. When discrimination was portrayed as rare, attributions
to prejudice were related to higher state self-esteem and unrelated to depressed
affect. Study 2 further showed that being able to affirm the world as just
countered the negative consequences of pervasive discrimination, whereas it did
not influence responses to discrimination that was perceived as rare.
PMID- 21884547
TI - Prime and prejudice: co-occurrence in the culture as a source of automatic
stereotype priming.
AB - It has been argued that stereotype priming (response times are faster for
stereotypical word pairs, such as black-poor, than for non-stereotypical word
pairs, such as black-balmy) is partially a function of biases in the belief
system inherent in the culture. In three priming experiments, we provide direct
evidence for this position, showing that stereotype priming effects associated
with race, gender, and age can be very well explained through objectively
measured associative co-occurrence of prime and target in the culture: (a) once
objective associative strength between word pairs is taken into account,
stereotype priming effects disappear; (b) the relationship between response time
and associative strength is identical for social primes and non-social primes.
The correlation between associative-value-controlled stereotype priming and self
report measures of racism, sexism, and ageism is near zero. The
racist/sexist/ageist in all of us appears to be (at least partially) a reflection
of the surrounding culture.
PMID- 21884548
TI - Do sexist organizational cultures create the Queen Bee?
AB - 'Queen Bees' are senior women in masculine organizational cultures who have
fulfilled their career aspirations by dissociating themselves from their gender
while simultaneously contributing to the gender stereotyping of other women. It
is often assumed that this phenomenon contributes to gender discrimination in
organizations, and is inherent to the personalities of successful career women.
We argue for a social identity explanation and examine organizational conditions
that foster the Queen Bee phenomenon. Participants were 94 women holding senior
positions in diverse companies in The Netherlands who participated in an on-line
survey. In line with predictions, indicators of the Queen Bee phenomenon
(increased gender stereotyping and masculine self-descriptions) were found mostly
among women who indicated they had started their career with low gender
identification and who had subsequently experienced a high degree of gender
discrimination on their way up. By contrast, the experience of gender
discrimination was unrelated to signs of the Queen Bee phenomenon among women who
indicated to be highly identified when they started their career. Results are
discussed in light of social identity theory, interpreting the Queen Bee
phenomenon as an individual mobility response of low gender identified women to
the gender discrimination they encounter in their work.
PMID- 21884550
TI - Tryptamine 5-hydroxylase-deficient Sekiguchi rice induces synthesis of 5
hydroxytryptophan and N-acetyltryptamine but decreases melatonin biosynthesis
during senescence process of detached leaves.
AB - Melatonin biosynthesis was examined in Sekiguchi mutant rice lacking functional
tryptamine 5-hydroxylase (T5H) activity, which is the terminal enzyme for
serotonin biosynthesis in rice. During senescence process, the leaves of
Sekiguchi mutant rice produced more tryptamine and N-acetyltryptamine compared
with the wild-type Asahi leaves. Even though T5H activity is absent, Sekiguchi
leaves produce low levels of serotonin derived from 5-hydroxytryptophan, which
was found to be synthesized during senescence process. Accordingly, both rice
cultivars exhibited similar levels of N-acetylserotonin until 6 days of
senescence induction; however, only Asahi leaves continued to accumulate N
acetylserotonin after 6 days. In contrast, a large amount of N-acetyltryptamine
was accumulated in Sekiguchi leaves, indicating that tryptamine was efficiently
utilized as substrate by the rice arylalkylamine N-acetyltransferase enzyme. An
increase in N-acetyltryptamine in Sekiguchi had an inhibitory effect on synthesis
of melatonin because little melatonin was produced in Sekiguchi leaves at 6 days
of senescence induction, even in the presence of equivalent levels of N
acetylserotonin in both cultivars. The exogenous treatment of 0.1 mmN
acetyltryptamine during senescence process completely blocked melatonin
synthesis.
PMID- 21884551
TI - Extrapineal melatonin: analysis of its subcellular distribution and daily
fluctuations.
AB - We studied the subcellular levels of melatonin in cerebral cortex and liver of
rats under several conditions. The results show that melatonin levels in the cell
membrane, cytosol, nucleus, and mitochondrion vary over a 24-hr cycle, although
these variations do not exhibit circadian rhythms. The cell membrane has the
highest concentration of melatonin followed by mitochondria, nucleus, and
cytosol. Pinealectomy significantly increased the content of melatonin in all
subcellular compartments, whereas luzindole treatment had little effect on
melatonin levels. Administration of 10 mg/kg bw melatonin to sham
pinealectomized, pinealectomized, or continuous light-exposed rats increased the
content of melatonin in all subcellular compartments. Melatonin in doses ranging
from 40 to 200 mg/kg bw increased in a dose-dependent manner the accumulation of
melatonin on cell membrane and cytosol, although the accumulations were 10 times
greater in the former than in the latter. Melatonin levels in the nucleus and
mitochondria reached saturation with a dose of 40 mg/kg bw; higher doses of
injected melatonin did not further cause additional accumulation of melatonin in
these organelles. The results suggest some control of extrapineal accumulation or
extrapineal production of melatonin and support the existence of regulatory
mechanisms in cellular organelles, which prevent the intracellular equilibration
of the indolamine. Seemingly, different concentrations of melatonin can be
maintained in different subcellular compartments. The data also seem to support a
requirement of high doses of melatonin to obtain therapeutic effects. Together,
these results add information that assists in explaining the physiology and
pharmacology of melatonin.
PMID- 21884552
TI - Donor heparinization is not a contraindication to liver transplantation even in
recipients with acute heparin-induced thrombocytopenia type II: a case report and
review of the literature.
AB - Heparin-induced thrombocytopenia (HIT) type II is caused by an immune-mediated
side effect of heparin anticoagulation resulting in a clotting disorder. In the
setting of urgent liver transplantation, the question arises whether a graft from
a heparinized donor can be safely transplantated in a recipient with even acute
heparin-induced thrombocytopenia type II. We report on a patient with end-stage
liver disease and acute HIT II waiting for liver transplantation. Despite the
risk of life-threatening complications, an organ procured from a heparinized
donor was accepted. Assuming heparin residuals within the graft, the donor organ
was flushed backtable with increased amounts of Wisconsin solution. The
subsequent transplantation and the postoperative course were uneventful; neither
thromboses nor graft dysfunction occurred. Even in acute episode of HIT II with
circulating antibodies, a patient may receive an organ from a heparin-treated
donor, if adequate precautions during organ preparation are observed.
PMID- 21884553
TI - Cholangiocarcinoma complicating recurrent primary sclerosing cholangitis after
liver transplantation.
AB - De novo cholangiocarcinoma associated with recurrent primary sclerosing
cholangitis in the transplanted liver is rare. This case report reviews the
literature and highlights the need to consider cholangiocarcinoma in transplanted
patients with PSC that clinically/biochemically deteriorate.
PMID- 21884554
TI - Recovery after chronic stress within spatial reference and working memory
domains: correspondence with hippocampal morphology.
AB - Chronic stress results in reversible spatial learning impairments in the Morris
water maze that correspond with hippocampal CA3 dendritic retraction in male
rats. Whether chronic stress impacts different types of memory domains, and
whether these can similarly recover, is unknown. This study assessed the effects
of chronic stress with and without a post-stress delay to evaluate learning and
memory deficits within two memory domains, reference and working memory, in the
radial arm water maze (RAWM). Three groups of 5-month-old male Sprague-Dawley
rats were either not stressed [control (CON)], or restrained (6 h/day for 21
days) and then tested on the RAWM either on the next day [stress immediate (STR
IMM)] or following a 21-day delay [stress delay (STR-DEL)]. Although the groups
learned the RAWM task similarly, groups differed in their 24-h retention trial
assessment. Specifically, the STR-IMM group made more errors within both the
spatial reference and working memory domains, and these deficits corresponded
with a reduction in apical branch points and length of hippocampal CA3 dendrites.
In contrast, the STR-DEL group showed significantly fewer errors in both the
reference and working memory domains than the STR-IMM group. Moreover, the STR
DEL group showed better RAWM performance in the reference memory domain than did
the CON group, and this corresponded with restored CA3 dendritic complexity,
revealing long-term enhancing actions of chronic stress. These results indicate
that chronic stress-induced spatial working and reference memory impairments, and
CA3 dendritic retraction, are reversible, with chronic stress having lasting
effects that can benefit spatial reference memory, but with these lasting
beneficial effects being independent of CA3 dendritic complexity.
PMID- 21884555
TI - Middle-aged, but not young, rats develop cognitive impairment and cortical
neurodegeneration following the four-vessel occlusion/internal carotid artery
model of chronic cerebral hypoperfusion.
AB - Permanent, stepwise occlusion of the vertebral arteries (VAs) and internal
carotid arteries (ICAs) following the sequence VA->ICA->ICA, with an interstage
interval (ISI, ->) of 7 days, has been investigated as a four-vessel occlusion (4
VO)/ICA model of chronic cerebral hypoperfusion. This model has the advantage of
not causing retinal damage. In young rats, however, 4-VO/ICA with an ISI of 7
days fails to cause behavioral sequelae. We hypothesized that such a long ISI
would allow the brain to efficiently compensate for cerebral hypoperfusion,
preventing the occurrence of cognitive impairment and neurodegeneration. The
present study evaluated whether brain neurodegeneration and learning/memory
deficits can be expressed by reducing the length of the ISI and whether aging
influences the outcome. Young, male Wistar rats were subjected to 4-VO/ICA with
different ISIs (5, 4, 3 or 2 days). An ISI of 4 days was used in middle-aged
rats. Ninety days after 4-VO/ICA, the rats were tested for learning/memory
impairment in a modified radial maze and then examined for neurodegeneration of
the hippocampus and cerebral cortex. Regardless of the ISI, young rats were not
cognitively impaired, although hippocampal damage was evident. Learning/memory
deficits and hippocampal and cortical neurodegeneration occurred in middle-aged
rats. The data indicate that 4-VO/ICA has no impact on the capacity of young rats
to learn the radial maze task, despite 51% hippocampal cell death. Such
resistance is lost in middle-aged animals, for which the most extensive
neurodegeneration observed in both the hippocampus and cerebral cortex may be
responsible.
PMID- 21884556
TI - Moral distress and ethical climate in a Swedish nursing context: perceptions and
instrument usability.
AB - AIMS AND OBJECTIVES: The aim was fivefold: to describe Swedish nurses'
perceptions of moral distress and determine whether there were differences in
perceptions depending on demographic characteristics and to describe the
usability of the Moral Distress Scale in a Swedish context. Further, the aim was
to describe Swedish nurses' perceptions of ethical climate and the relationship
between moral distress and ethical climate. BACKGROUND: Moral distress has been
studied for more than two decades and the Moral Distress Scale is the most widely
used instrument for measuring it. Moral distress has mainly been studied in
relation to nurses' characteristics, but increasing attention has been paid to
contextual aspects, such as ethical climate, that could be associated with moral
distress. DESIGN: Descriptive, with a quantitative approach. METHODS: The study
used two questionnaires: the Moral Distress Scale and the Hospital Ethical
Climate Survey. The study was carried out at two hospitals in Sweden and included
249 nurses. RESULTS: Both level and frequency of moral distress were low, however
level of moral distress was high in situations when the patient was not given
safe and proper care. Generally, the frequency of moral distress was lower than
the level. Of the situations on the Moral Distress Scale, 13 of the 32 were
considered irrelevant by 10-50% of the participants. The more positive the
ethical climate was perceived to be, the less frequently morally distressing
situations were reported. CONCLUSIONS: Since a positive ethical climate was
associated with less frequent occurrences of moral distress, it should be
investigated what contributes to a positive ethical climate. To be used in a
Swedish context, the Moral Distress Scale needs further revision. RELEVANCE TO
CLINICAL PRACTICE: Open dialogues at wards are encouraged regarding what
practices contribute to a positive ethical climate.
PMID- 21884557
TI - Managing blood glucose during and after exercise in Type 1 diabetes:
reproducibility of glucose response and a trial of a structured algorithm
adjusting insulin and carbohydrate intake.
AB - AIMS AND OBJECTIVES: To enable people with Type 1 diabetes to exercise safely by
investigating the reproducibility of the glucose response to an algorithm for
carbohydrate and insulin adjustment during and after exercise compared to their
self-management strategies. BACKGROUND: Difficulties in managing blood glucose
levels in Type 1 diabetes whilst exercising is known to deter people from
exercise. Currently there is a limited evidence base to aid health care
professionals enable people with diabetes to exercise safely. This study seeks to
address this gap. DESIGN: A quasi-experimental study was undertaken amongst
people with Type 1 diabetes. METHODS: Over 14 days, 14 participants undertook
four exercise sessions (40 minutes at 50%VO2max). Two sessions were undertaken in
week 1 self-managing their diabetes and two sessions in week 2 using an algorithm
for carbohydrate and insulin adjustment. RESULTS: The mean reduction of glucose
levels detected by Continuous Glucose Monitoring during exercise was 3.1 (SD
2.03) mmol/l. Time spent within the range of 4-9 mmol/l during exercise was not
significantly different between the self-managed and the algorithm weeks (-3-22.4
min). The mean reduction of blood glucose for each individual over all four
exercise sessions ranged between 0.8-5.95 mmol/l. The technical error between
days one and two was 2.4 mmol/l (CV=33.2%) and between days 3-4 the technical
error was 2.7 mmol/l (CV=33.7%). CONCLUSIONS: The results provide useful data
about the reproducibility of the blood glucose response to moderate intensity
exercise, despite the variability of individual responses 40 minutes of moderate
intensity exercise decreases Continuous Glucose Monitoring glucose by 3 mmol/l
with or without a 30% decrease of insulin before exercise. RELEVANCE TO CLINICAL
PRACTICE: This information provides valuable baseline information for people with
diabetes and health care professionals who wish to encourage physical activity
and undertake further research in this area.
PMID- 21884559
TI - Beliefs about health and illness in Swedish and African-born women with
gestational diabetes living in Sweden.
AB - AIMS: This paper is a report on a study exploring beliefs about health and
illness in women with gestational diabetes born in Sweden and Africa living in
Sweden. A further aim is to study the influence of beliefs on self-care and care
seeking. BACKGROUND: Extensive global migration leading to multicultural
societies implies challenges to health care. Health/illness beliefs are
culturally related and determine health-related behaviour, including self-care,
which is crucial in management of gestational diabetes. The risk of developing
gestational diabetes is increased in migrants, particularly of African origin,
when residing in Western countries. No previous studies, except one, have been
found comparing health/illness beliefs in women with gestational diabetes of
different origin. DESIGN: Exploratory descriptive study. METHODS: Semi-structured
interviews. Consecutive sample of women diagnosed with gestational diabetes, 13
born in Sweden and 10 born in Africa, from a diabetes clinic in Sweden.
Qualitative content analysis of data was applied. RESULTS: Beliefs were mainly
related to individual and social factors. Health was described as freedom from
disease and being healthy. Swedish women perceived heredity and hormonal changes
as causing gestational diabetes, avoided work-related stress, had a healthy
lifestyle, worried about the baby's health and development of type 2 diabetes,
sought information, used more medications and health care and were on sick-leave
more often because of pregnancy-related problems than African women, who did not
know the cause of gestational diabetes, had a passive self-care attitude and
followed prescriptions, often reported being told by staff that gestational
diabetes would disappear after delivery and stated more pregnancy-related
problems which they treated with rest or watchful waiting. CONCLUSIONS:
Health/illness beliefs differed and affected self-care and care seeking. Lower
risk awareness in African-born women was related to limited knowledge about the
body and gestational diabetes, which was further amplified by healthcare
professionals informing them about gestational diabetes being transient.
RELEVANCE TO CLINICAL PRACTICE: Individual beliefs and risk awareness must be
elicited, and adequate information must be given to prevent negative health
effects of gestational diabetes.
PMID- 21884558
TI - Patients' and nurses' perceptions of individualised care: an international
comparative study.
AB - AIM: The aim of this study was to compare patients' and nurses' perceptions of
individualised care in five European countries, the Czech Republic, Cyprus,
Finland, Greece and Hungary. BACKGROUND: Individualised nursing care has been
studied from both patients' and nurses' perspectives, but to date, there are no
studies comparing these perspectives internationally. METHODS: A cross-sectional
comparative survey design was used. Data were collected from nurses (n = 960;
response rate, 79%) and patients (n = 1315; response rate, 78%) in 71 surgical
units from 26 acute hospitals in 2009. Data were collected using two
Individualised Care Scales (ICS-Nurse and ICS-Patient) and analysed statistically
using descriptive and inferential statistics. RESULTS: Differences in patients'
and nurses' assessments of individualised nursing care were found between each
country. Nurses, compared with patients, assessed that they supported patient
individuality more often. The Mean(nurses) ranged from 3.61 (SD 0.90, Greece)
4.31 (SD 0.53, Hungary), and the Mean(patients) ranged from 3.05 (SD 1.09,
Greece)-3.79 (SD 1.00, Cyprus). To a large extent, the care provided was
individualised as defined by the Mean(nurses) 3.75 (SD 0.92, Greece)-4.36 (SD
0.49, Hungary) and the Mean(patients) 3.41 (SD 0.95, Greece)-4.18 (SD 0.79,
Cyprus). In Cyprus and Finland, patients' assessments of the individuality in
their care corresponded well with nurses' assessments. Clear between-country
differences in both patients' and nurses' assessments were found in both
subscales of the ICS. CONCLUSIONS: An in-depth analysis of the European between
country differences is required to define the causes of differences that may be
due to the differing content of education, the organisation of nursing work,
ideology and values assigned to individualised care and health care systems and
processes in each country. RELEVANCE TO CLINICAL PRACTICE: Obtaining both
patients' and nurses' assessments of individualised care may facilitate the
further development of individualised nursing care and be used to help to
harmonise European health care processes and nursing care.
PMID- 21884562
TI - The use of leptin as treatment for type 1 diabetes mellitus: counterpoint.
PMID- 21884563
TI - Forest species diversity reduces disease risk in a generalist plant pathogen
invasion.
AB - Empirical evidence suggests that biodiversity loss can increase disease
transmission, yet our understanding of the 'diversity-disease hypothesis' for
generalist pathogens in natural ecosystems is limited. We used a landscape
epidemiological approach to examine two scenarios regarding diversity effects on
the emerging plant pathogen Phytophthora ramorum across a broad, heterogeneous
ecoregion: (1) an amplification effect exists where disease risk is greater in
areas with higher plant diversity due to the pathogen's wide host range, or (2) a
dilution effect where risk is reduced with increasing diversity due to lower
competency of alternative hosts. We found evidence for pathogen dilution, whereby
disease risk was lower in sites with higher species diversity, after accounting
for potentially confounding effects of host density and landscape heterogeneity.
Our results suggest that although nearly all plants in the ecosystem are hosts,
alternative hosts may dilute disease transmission by competent hosts, thereby
buffering forest health from infectious disease.
PMID- 21884564
TI - Malignant pheochromocytoma presenting as incapacitating bony pain.
AB - Among adrenal incidentalomas, pheochromocytomas are rare. Malignant
pheochromocytoma is even less common, and it typically presents with classic
hormonal symptoms, such as palpitations, labile blood pressures, and headaches.
Bony metastasis usually occurs late in disease, but we report an unusual case of
incapacitating bony pain as the initial presentation of malignant
pheochromocytoma. Our patient is a 70-year-old woman with neurofibromatosis type
1 and a history of primary hyperparathyroidism, who tested negative for the ret
mutation. She came to medical attention with chest pain and palpitations and was
incidentally found to have an adrenal mass. Serum and urine testing was
consistent with pheochromocytoma. Her blood pressure was easily controlled as she
awaited elective adrenalectomy; however, she quickly developed severe, diffuse
bony pain. She represented with hypercalcemia, spontaneous fractures, and
incapacitating pain that required such high doses of pain medications that she
had to be intubated. Further imaging and bone marrow biopsy confirmed metastatic
neuroendocrine tumor. She received one round of chemotherapy with no change in
her bony pain, which was her primary complaint. Unfortunately, her treatment
options were limited by the heavy sedation required for comfort, and in the end,
it was her bony pain rather than hormonal symptoms that made her disease
untreatable.
PMID- 21884565
TI - Definition of clinical efficacy and safety outcomes for clinical trials in deep
venous thrombosis and pulmonary embolism in children.
PMID- 21884566
TI - Towards a recommendation for the standardization of the measurement of platelet
dependent thrombin generation.
PMID- 21884567
TI - Towards a standardization of the murine ferric chloride-induced carotid arterial
thrombosis model.
PMID- 21884568
TI - Characterization of the PLP-dependent aminotransferase NikK from Streptomyces
tendae and its putative role in nikkomycin biosynthesis.
AB - As inhibitors of chitin synthase, nikkomycins have attracted interest as
potential antibiotics. The biosynthetic pathway to these peptide nucleosides in
Streptomyces tendae is only partially known. In order to elucidate the last step
of the biosynthesis of the aminohexuronic building block, we have heterologously
expressed a predicted aminotransferase encoded by the gene nikK from S. tendae in
Escherichia coli. The purified protein, which is essential for nikkomycin
biosynthesis, has a pyridoxal-5'-phosphate cofactor bound as a Schiff base to
lysine 221. The enzyme possesses aminotransferase activity and uses several
standard amino acids as amino group donors with a preference for glutamate (Glu >
Phe > Trp > Ala > His > Met > Leu). Therefore, we propose that NikK catalyses the
introduction of the amino group into the ketohexuronic acid precursor of
nikkomycins. At neutral pH, the UV-visible absorbance spectrum of NikK has two
absorbance maxima at 357 and 425 nm indicative of the presence of the
deprotonated and protonated aldimine with an estimated pK(a) of 8.3. The rate of
donor substrate deamination is faster at higher pH, indicating that an alkaline
environment favours the deamination reaction.
PMID- 21884569
TI - Microenvironmental genomic alterations reveal signaling networks for head and
neck squamous cell carcinoma.
AB - BACKGROUND: Advanced stage head and neck squamous cell carcinoma (HNSCC) is an
aggressive cancer with low survival rates. Loss-of-heterozygosity/allelic
imbalance (LOH/AI) analysis has been widely used to identify genomic alterations
in solid tumors and the tumor microenvironment (stroma). We hypothesize that
these identified alterations can point to signaling networks functioning in HNSCC
epithelial-tumor and surrounding stroma (tumor microenvironment). RESULTS: Under
the assumption that genes in proximity to identified LOH/AI regions are
correlated with the tumorigenic phenotype, we mined publicly available biological
information to identify pathway segments (signaling proteins connected to each
other in a network) and identify the role of tumor microenvironment in HNSCC.
Across both neoplastic epithelial cells and the surrounding stromal cells,
genetic alterations in HNSCC were successfully identified, and 75 markers were
observed to have significantly different LOH/AI frequencies in these compartments
(p < 0.026). We applied a network identification approach to the genes in
proximity to these 75 markers in cancer epithelium and stroma in order to
identify biological networks that can describe functional associations amongst
these marker-associated genes. CONCLUSIONS: We verified the involvement of T-cell
receptor signaling pathways in HNSCC as well as associated oncogenes such as LCK
and PLCB1, and tumor suppressors such as STAT5A, PTPN6, PARK2. We identified
expression levels of genes within significant LOH/AI regions specific to stroma
networks that correlate with better outcome in radiation therapy. By integrating
various levels of high-throughput data, we were able to precisely focus on
specific proteins and genes that are germane to HNSCC.
PMID- 21884570
TI - Leveraging a clinical research information system to assist biospecimen data and
workflow management: a hybrid approach.
AB - BACKGROUND: Large multi-center clinical studies often involve the collection and
analysis of biological samples. It is necessary to ensure timely, complete and
accurate recording of analytical results and associated phenotypic and clinical
information. The TRIBE-AKI Consortium http://www.yale.edu/tribeaki supports a
network of multiple related studies and sample biorepository, thus allowing
researchers to take advantage of a larger specimen collection than they might
have at an individual institution. DESCRIPTION: We describe a biospecimen data
management system (BDMS) that supports TRIBE-AKI and is intended for multi-center
collaborative clinical studies that involve shipment of biospecimens between
sites. This system works in conjunction with a clinical research information
system (CRIS) that stores the clinical data associated with the biospecimens,
along with other patient-related parameters. Inter-operation between the two
systems is mediated by an interactively invoked suite of Web Services, as well as
by batch code. We discuss various challenges involved in integration.
CONCLUSIONS: Our experience indicates that an approach that emphasizes inter
operability is reasonably optimal in allowing each system to be utilized for the
tasks for which it is best suited.
PMID- 21884571
TI - Genomic analysis and temperature-dependent transcriptome profiles of the
rhizosphere originating strain Pseudomonas aeruginosa M18.
AB - BACKGROUND: Our previously published reports have described an effective
biocontrol agent named Pseudomonas sp. M18 as its 16S rDNA sequence and several
regulator genes share homologous sequences with those of P. aeruginosa, but there
are several unusual phenotypic features. This study aims to explore its strain
specific genomic features and gene expression patterns at different temperatures.
RESULTS: The complete M18 genome is composed of a single chromosome of 6,327,754
base pairs containing 5684 open reading frames. Seven genomic islands, including
two novel prophages and five specific non-phage islands were identified besides
the conserved P. aeruginosa core genome. Each prophage contains a putative
chitinase coding gene, and the prophage II contains a capB gene encoding a
putative cold stress protein. The non-phage genomic islands contain genes
responsible for pyoluteorin biosynthesis, environmental substance degradation and
type I and III restriction-modification systems. Compared with other P.
aeruginosa strains, the fewest number (3) of insertion sequences and the most
number (3) of clustered regularly interspaced short palindromic repeats in M18
genome may contribute to the relative genome stability. Although the M18 genome
is most closely related to that of P. aeruginosa strain LESB58, the strain M18 is
more susceptible to several antimicrobial agents and easier to be erased in a
mouse acute lung infection model than the strain LESB58. The whole M18
transcriptomic analysis indicated that 10.6% of the expressed genes are
temperature-dependent, with 22 genes up-regulated at 28 degrees C in three non
phage genomic islands and one prophage but none at 37 degrees C. CONCLUSIONS: The
P. aeruginosa strain M18 has evolved its specific genomic structures and
temperature dependent expression patterns to meet the requirement of its fitness
and competitiveness under selective pressures imposed on the strain in
rhizosphere niche.
PMID- 21884572
TI - Pegylated interferon-alfa-2a monotherapy in patients infected with HCV genotype 2
and importance of rapid virological response.
AB - BACKGROUND: Pegylated (PEG)-interferon (IFN)-alfa-2a plus ribavirin (RBV) therapy
for 24 weeks is now a standard treatment protocol for patients with hepatitis C
virus (HCV) genotype 2. As RBV cannot be used in certain situations, we examined
whether PEG-IFN-alfa-2a monotherapy for 24 weeks or less would be sufficient to
obtain a sustained virological response (SVR) in patients infected with HCV
genotype 2. METHODS: Forty-nine consecutive patients with HCV genotype 2 received
PEG-IFN-alfa-2a (180 MUg/week) subcutaneously without oral RBV for 8-64 weeks.
HCV RNA level was determined by COBAS AMPLICOR HCV Test, v2.0. RESULTS: HCV RNA
was equal to or less than 100 KIU/mL (defined as low viral load) in 15 of 49
patients, and the remaining 34 had HCV RNA above 100 KIU/mL (defined as high
viral load). All 15 patients with low viral load achieved rapid virological
response (RVR; HCV RNA negative at week 4), and also achieved SVR with an average
treatment duration of 17.1 weeks. The 34 patients with high viral load were
treated for 33.7 weeks on average, and 19 of them (55.9%) achieved RVR. The SVR
rates of these patients were significantly higher in those with RVR than without
RVR (16/19 vs. 6/15 p = 0.0074). CONCLUSION: PEG-IFN-alfa-2a monotherapy for 24
weeks or less might be sufficient to treat selected patients with HCV genotype 2,
especially those with low viral load and becoming negative for HCV RNA by week 4
of treatment.
PMID- 21884574
TI - Dynamic cerebral autoregulation after intracerebral hemorrhage: A case-control
study.
AB - BACKGROUND: Dynamic cerebral autoregulation after intracerebral hemorrhage (ICH)
remains poorly understood. We performed a case-control study to compare dynamic
autoregulation between ICH patients and healthy controls. METHODS: Twenty-one
patients (66 +/- 15 years) with early (< 72 hours) lobar or basal ganglia ICH
were prospectively studied and compared to twenty-three age-matched controls (65
+/- 9 years). Continuous measures of mean flow velocity (MFV) in the middle
cerebral artery and mean arterial blood pressure (MAP) were obtained over 5 min.
Cerebrovascular resistance index (CVRi) was calculated as the ratio of MAP to
MFV. Dynamic cerebral autoregulation was assessed using transfer function
analysis of spontaneous MAP and MFV oscillations in the low (0.03-0.15 Hz) and
high (0.15-0.5 Hz) frequency ranges. RESULTS: The ICH group demonstrated higher
CVRi compared to controls (ipsilateral: 1.91 +/- 1.01 mmHg.s.cm-1, p = 0.04;
contralateral: 2.01 +/- 1.24 mmHg.s.cm-1, p = 0.04; vs. control: 1.42 +/- 0.45
mmHg.s.cm-1). The ICH group had higher gains than controls in the low
(ipsilateral: 1.33 +/- 0.58%/mmHg, p = 0.0005; contralateral: 1.47 +/-
0.98%/mmHg, p = 0.004; vs. control: 0.82 +/- 0.30%/mmHg) and high (ipsilateral:
2.11 +/- 1.31%/mmHg, p < 0.0001; contralateral: 2.14 +/- 1.49%/mmHg, p < 0.0001;
vs. control: 0.66 +/- 0.26%/mmHg) frequency ranges. The ICH group also had higher
coherence in the contralateral hemisphere than the control (ICH contralateral:
0.53 +/- 0.38, p = 0.02; vs. control: 0.38 +/- 0.15) in the high frequency range.
CONCLUSIONS: Patients with ICH had higher gains in a wide range of frequency
ranges compared to controls. These findings suggest that dynamic cerebral
autoregulation may be less effective in the early days after ICH. Further study
is needed to determine the relationship between hematoma size and severity of
autoregulation impairment.
PMID- 21884575
TI - Fulfillment of the brazilian agenda of priorities in health research.
AB - This commentary describes how the Brazilian Ministry of Health's (MoH) research
support policy fulfilled the National Agenda of Priorities in Health Research
(NAPHR). In 2003, the MoH started a democratic process in order to establish a
priority agenda in health research involving investigators, health managers and
community leaders. The Agenda was launched in 2004 and is guiding budget
allocations in an attempt to reduce the gap between scientific knowledge and
health practice and activities, aiming to contribute to improving Brazilian
quality of life. Many strategies were developed, for instance: Cooperation
Agreements between the Ministry of Health and the Ministry of Science and
Technology; the decentralization of research support at state levels with the
participation of local Health Secretariats and Science and Technology
Institutions; Health Technology Assessment; innovation in neglected diseases;
research networks and multicenter studies in adult, women's and children's
health; cardiovascular risk in adolescents; clinical research and stem cell
therapy. The budget allocated by the Ministry of Health and partners was
expressive: US$419 million to support almost 3,600 projects. The three sub-agenda
with the higher proportion of resources were "industrial health complex",
"clinical research" and "communicable diseases", which are considered strategic
for innovation and national development. The Southeast region conducted 40.5% of
all projects and detained 59.7% of the resources, attributable to the
concentration of the most traditional health research institutes and universities
in the states of Sao Paulo and Rio de Janeiro. The second most granted region was
the Northeast, which reflects the result of a governmental policy to integrate
and modernize this densely populated area and the poorest region in the country.
Although Brazil began the design and implementation of the NAPHR in 2003, it has
done so in accordance with the 'good practice principles' recently published:
inclusive process, information gathering, careful planning and funding policy,
transparency and internal evaluation (an external independent evaluation is
underway). The effort in guiding the health research policy has achieved and
legitimated an unprecedented developmental spurt to support strategic health
research. We believe this experience is valuable and applicable to other
countries, but different settings and local political circumstances will
determine the best course of action to follow.
PMID- 21884573
TI - beta1 integrin mediates an alternative survival pathway in breast cancer cells
resistant to lapatinib.
AB - INTRODUCTION: The overexpression of human epidermal growth factor receptor (HER)
2 in 20% of human breast cancers and its association with aggressive growth has
led to widespread use of HER2-targeted therapies, such as trastuzumab (T) and
lapatinib (L). Despite the success of these drugs, their efficacy is limited in
patients whose tumors demonstrate de novo or acquired resistance to treatment.
The beta1 integrin resides on the membrane of the breast cancer cell, activating
several elements of breast tumor progression including proliferation and
survival. METHODS: We developed a panel of HER2-overexpressing cell lines
resistant to L, T, and the potent LT combination through long-term exposure and
validated these models in 3D culture. Parental and L/T/LT-resistant cells were
subject to HER2 and beta1 integrin inhibitors in 3D and monitored for 12 days,
followed by quantification of colony number. Parallel experiments were conducted
where cells were either stained for Ki-67 and Terminal deoxynucleotidyl
transferase dUTP nick end labeling (TUNEL) or harvested for protein and analyzed
by immunoblot. Results were subjected to statistical testing using analysis of
variance and linear contrasts, followed by adjustment with the Sidak method.
RESULTS: Using multiple cell lines including BT474 and HCC1954, we reveal that in
L and LT resistance, where phosphorylation of EGFR/HER1, HER2, and HER3 are
strongly inhibited, kinases downstream of beta1 integrin--including focal
adhesion kinase (FAK) and Src--are up-regulated. Blockade of beta1 by the
antibody AIIB2 abrogates this up-regulation and functionally achieves significant
growth inhibition of L and LT resistant cells in 3D, without dramatically
affecting the parental cells. SiRNA against beta1 as well as pharmacologic
inhibition of FAK achieve the same growth inhibitory effect. In contrast,
trastuzumab-resistant cells, which retain high levels of phosphorylated
EGFR/HER1, HER2, and HER3, are only modestly growth-inhibited by AIIB2.
CONCLUSIONS: Our data suggest that HER2 activity, which is suppressed in
resistance involving L but not T alone, dictates whether beta1 mediates an
alternative pathway driving resistance. Our findings justify clinical studies
investigating the inhibition of beta1 or its downstream signaling moieties as
strategies to overcome acquired L and LT resistance.
PMID- 21884576
TI - Identification of improved IL28B SNPs and haplotypes for prediction of drug
response in treatment of hepatitis C using massively parallel sequencing in a
cross-sectional European cohort.
AB - BACKGROUND: The hepatitis C virus (HCV) infects nearly 3% of the World's
population, causing severe liver disease in many. Standard of care therapy is
currently pegylated interferon alpha and ribavirin (PegIFN/R), which is effective
in less than half of those infected with the most common viral genotype. Two
IL28B single nucleotide polymorphisms (SNPs), rs8099917 and rs12979860, predict
response to (PegIFN/R) therapy in treatment of HCV infection. These SNPs were
identified in genome wide analyses using Illumina genotyping chips. In people of
European ancestry, there are 6 common (more than 1%) haplotypes for IL28B, one
tagged by the rs8099917 minor allele, four tagged by rs12979860. METHODS: We used
massively parallel sequencing of the IL28B and IL28A gene regions generated by
polymerase chain reaction (PCR) from pooled DNA samples from 100 responders and
99 non-responders to therapy, to identify common variants. Variants that had high
odds ratios and were validated were then genotyped in a cohort of 905 responders
and non-responders. Their predictive power was assessed, alone and in combination
with HLA-C. RESULTS: Only SNPs in the IL28B linkage disequilibrium block
predicted drug response. Eighteen SNPs were identified with evidence for
association with drug response, and with a high degree of confidence in the
sequence call. We found that two SNPs, rs4803221 (homozygote minor allele
positive predictive value (PPV) of 77%) and rs7248668 (PPV 78%), predicted
failure to respond better than the current best, rs8099917 (PPV 73%) and
rs12979860 (PPV 68%) in this cross-sectional cohort. The best SNPs tagged a
single common haplotype, haplotype 2. Genotypes predicted lack of response better
than alleles. However, combination of IL28B haplotype 2 carrier status with the
HLA-C C2C2 genotype, which has previously been reported to improve prediction in
combination with IL28B, provides the highest PPV (80%). The haplotypes present
alternative putative transcription factor binding and methylation sites.
CONCLUSIONS: Massively parallel sequencing allowed identification and comparison
of the best common SNPs for identifying treatment failure in therapy for HCV.
SNPs tagging a single haplotype have the highest PPV, especially in combination
with HLA-C. The functional basis for the association may be due to altered
regulation of the gene. These approaches have utility in improving diagnostic
testing and identifying causal haplotypes or SNPs.
PMID- 21884577
TI - Correlates of sunscreen use among high school students: a cross-sectional survey.
AB - BACKGROUND: Adolescents put themselves at risk of later skin cancer development
and accelerated photo-aging due to their high rates of ultraviolet radiation
exposure and low rates of skin protection. The purpose of the current study was
to determine which of the Integrative Model constructs are most closely
associated with sunscreen use among high school students. METHODS: The current
study of 242 high school students involved a survey based on the Integrative
Model including demographic and individual difference factors, skin protection
related beliefs and outcome evaluations, normative beliefs, self-efficacy,
sunscreen cues and availability, intentions, and sunscreen use. Our analyses
included multiple linear regressions and bootstrapping to test for mediation
effects. RESULTS: Sunscreen use was significantly associated with female gender,
greater skin sensitivity, higher perceived sunscreen benefits, higher skin
protection importance, more favorable sunscreen user prototype, stronger skin
protection norms, greater perceived skin protection behavioral control, and
higher sunscreen self-efficacy. Intentions to use sunscreen mediated the
relationships between most skin protection-related beliefs and sunscreen use.
CONCLUSIONS: The current study identified specific variables that can be targeted
in interventions designed to increase sunscreen use among adolescents.
PMID- 21884578
TI - Anti-depressive effectiveness of olanzapine, quetiapine, risperidone and
ziprasidone: a pragmatic, randomized trial.
AB - BACKGROUND: Efficacy studies indicate anti-depressive effects of at least some
second generation antipsychotics (SGAs). The Bergen Psychosis Project (BPP) is a
24-month, pragmatic, industry-independent, randomized, head-to-head comparison of
olanzapine, quetiapine, risperidone and ziprasidone in patients acutely admitted
with psychosis. The aim of the study is to investigate whether differential anti
depressive effectiveness exists among SGAs in a clinically relevant sample of
patients acutely admitted with psychosis. METHODS: Adult patients acutely
admitted to an emergency ward for psychosis were randomized to olanzapine,
quetiapine, risperidone or ziprasidone and followed for up to 2 years.
Participants were assessed repeatedly using the Positive and Negative Syndrome
Scale-Depression factor (PANSS-D) and the Calgary Depression Scale for
Schizophrenia (CDSS). RESULTS: A total of 226 patients were included. A
significant time-effect showing a steady decline in depressive symptoms in all
medication groups was demonstrated. There were no substantial differences among
the SGAs in reducing the PANSS-D score or the CDSS sum score. Separate analyses
of groups with CDSS sum scores > 6 or <=6, respectively, reflecting degree of
depressive morbidity, revealed essentially identical results to the primary
analyses. There was a high correlation between the PANSS-D and the CDSS sum score
(r = 0.77; p < 0.01). CONCLUSIONS: There was no substantial difference in anti
depressive effectiveness among olanzapine, quetiapine, risperidone or ziprasidone
in this clinically relevant sample of patients acutely admitted to hospital for
symptoms of psychosis. Based on our findings we can make no recommendations
concerning choice of any particular SGA for targeting symptoms of depression in a
patient acutely admitted with psychosis. TRIAL REGISTRATION: ClinicalTrials.gov
ID; URL: http://www.clinicaltrials.gov/: NCT00932529.
PMID- 21884579
TI - Rebranding exercise: closing the gap between values and behavior.
AB - BACKGROUND: Behavior can only be understood by identifying the goals to which it
is attached. Superordinate-level goals are linked to individuals' values, and may
offer insights into how to connect exercise with their core values and increase
participation in sustainable ways. METHODS: A random sample of healthy midlife
women (aged 40-60y) was selected to participate in a year-long mixed-method study
(n = 226). Superordinate goals were measured inductively and analyzed using
grounded theory analysis. Attainment Value and Exercise Participation were
quantitatively measured. An ANOVA and pairwise comparisons were conducted to
investigate the differences between superordinate exercise goals in attainment
value. This study fit a Linear Mixed Model to the data to investigate the fixed
effects of superordinate goals on exercise participation, controlling for BMI and
social support. RESULTS: Participants mainly exercised to achieve Healthy-Aging,
Quality-of-Life, Current-Health, and Appearance/Weight superordinate goals.
Despite equally valuing Healthy-Aging, Quality-of-Life, and Current-Health goals,
participants with Quality-of-Life goals reported participating in more exercise
than those with Current-Health (p < 0.01), and Healthy-Aging (p = 0.06) goals.
CONCLUSIONS: Superordinate exercise goals related to health and healthy aging are
associated with less exercise than those related to enhancing daily quality of
life, despite being equally valued. While important, pursuing distant benefits
from exercise such as health promotion, disease prevention, and longevity might
not be as compelling to busy individuals compared to their other daily priorities
and responsibilities. By shifting our paradigm from medicine to marketing, we can
glean insights into how we can better market and "sell" exercise. Because
immediate payoffs motivate behavior better than distant goals, a more effective
"hook" for promoting sustainable participation might be to rebrand exercise as a
primary way individuals can enhance the quality of their daily lives. These
findings have important implications for how we as a culture, especially those in
fitness-related businesses, health promotion, health care, and public health,
prescribe and market exercise on individual and population levels.
PMID- 21884580
TI - Inhibitory effects of the JAK inhibitor CP690,550 on human CD4(+) T lymphocyte
cytokine production.
AB - BACKGROUND: The new JAK3 inhibitor, CP690,550, has shown efficacy in the
treatment of rheumatoid arthritis. The present study was undertaken to assess the
effects of CP690,550 on cytokine production and cellular signaling in human
CD4(+) T cells. RESULTS: CD4(+) T cells produced IL-2, IL-4, IL-17, IL-22 and IFN
gamma in following stimulation with a CD3 antibody. At the optimal concentration,
CP690,550 almost completely inhibited the production of IL-4, IL-17, IL-22 and
IFN-gamma from these activated CD4(+) T cells, but only had marginal effects on
IL-2 production. Moreover CP690,550 inhibited anti-CD3-induced phosphorylation of
STAT1, STAT3, STAT4, STAT5, and STAT6, but not the TCR-associated phosphorylation
of ZAP-70. CONCLUSIONS: Therefore, CP690,550-mediated modification of the
JAK/STAT pathway may be a new immunosuppressive strategy in the treatment of
autoimmune diseases.
PMID- 21884581
TI - A fiber-modified adenoviral vector interacts with immunoevasion molecules of the
B7 family at the surface of murine leukemia cells derived from dormant tumors.
AB - Tumor cells can escape the immune system by overexpressing molecules of the B7
family, e.g. B7-H1 (PD-L1 or CD86), which suppresses the anti-tumor T-cell
responses through binding to the PD-1 receptor, and similarly for B7.1 (CD80),
through binding to CTLA-4. Moreover, direct interactions between B7-H1 and B7.1
molecules are also likely to participate in the immunoevasion mechanism. In this
study, we used a mouse model of tumor dormancy, DA1-3b leukemia cells. We
previously showed that a minor population of DA1-3b cells persists in equilibrium
with the immune system for long periods of time, and that the levels of surface
expression of B7-H1 and B7.1 molecules correlates with the dormancy time. We
found that leukemia cells DA1-3b/d365 cells, which derived from long-term dormant
tumors and overexpressed B7-H1 and B7.1 molecules, were highly permissive to
Ad5FB4, a human adenovirus serotype 5 (Ad5) vector pseudotyped with chimeric
human-bovine fibers. Both B7-H1 and B7.1 were required for Ad5FB4-cell binding
and entry, since (i) siRNA silencing of one or the other B7 gene transcript
resulted in a net decrease in the cell binding and Ad5FB4-mediated transduction
of DA1-3b/d365; and (ii) plasmid-directed expression of B7.1 and B7-H1 proteins
conferred to Ad5FB4-refractory human cells a full permissiveness to this vector.
Binding data and flow cytometry analysis suggested that B7.1 and B7-H1 molecules
played different roles in Ad5FB4-mediated transduction of DA1-3b/d365, with B7.1
involved in cell attachment of Ad5FB4, and B7-H1 in Ad5FB4 internalization. BRET
analysis showed that B7.1 and B7-H1 formed heterodimeric complexes at the cell
surface, and that Ad5FB4 penton, the viral capsomere carrying the fiber
projection, could negatively interfere with the formation of B7.1/B7-H1
heterodimers, or modify their conformation. As interactors of B7-H1/B7.1
molecules, Ad5FB4 particles and/or their penton capsomeres represent potential
therapeutic agents targeting cancer cells that had developed immunoevasion
mechanisms.
PMID- 21884582
TI - Application of Celluspots peptide arrays for the analysis of the binding
specificity of epigenetic reading domains to modified histone tails.
AB - BACKGROUND: Epigenetic reading domains are involved in the regulation of gene
expression and chromatin state by interacting with histones in a post
translational modification specific manner. A detailed knowledge of the target
modifications of reading domains, including enhancing and inhibiting secondary
modifications, will lead to a better understanding of the biological signaling
processes mediated by reading domains. RESULTS: We describe the application of
Celluspots peptide arrays which contain 384 histone peptides carrying 59 post
translational modifications in different combinations as an inexpensive, reliable
and fast method for initial screening for specific interactions of reading
domains with modified histone peptides. To validate the method, we tested the
binding specificities of seven known epigenetic reading domains on Celluspots
peptide arrays, viz. the HP1beta and MPP8 Chromo domains, JMJD2A and 53BP1 Tudor
domains, Dnmt3a PWWP domain, Rag2 PHD domain and BRD2 Bromo domain. In general,
the binding results agreed with literature data with respect to the primary
specificity of the reading domains, but in almost all cases we obtained
additional new information concerning the influence of secondary modifications
surrounding the target modification. CONCLUSIONS: We conclude that Celluspots
peptide arrays are powerful screening tools for studying the specificity of
putative reading domains binding to modified histone peptides.
PMID- 21884583
TI - Clustering with position-specific constraints on variance: applying redescending
M-estimators to label-free LC-MS data analysis.
AB - BACKGROUND: Clustering is a widely applicable pattern recognition method for
discovering groups of similar observations in data. While there are a large
variety of clustering algorithms, very few of these can enforce constraints on
the variation of attributes for data points included in a given cluster. In
particular, a clustering algorithm that can limit variation within a cluster
according to that cluster's position (centroid location) can produce effective
and optimal results in many important applications ranging from clustering of
silicon pixels or calorimeter cells in high-energy physics to label-free liquid
chromatography based mass spectrometry (LC-MS) data analysis in proteomics and
metabolomics. RESULTS: We present MEDEA (M-Estimator with DEterministic
Annealing), an M-estimator based, new unsupervised algorithm that is designed to
enforce position-specific constraints on variance during the clustering process.
The utility of MEDEA is demonstrated by applying it to the problem of "peak
matching"--identifying the common LC-MS peaks across multiple samples--in
proteomic biomarker discovery. Using real-life datasets, we show that MEDEA not
only outperforms current state-of-the-art model-based clustering methods, but
also results in an implementation that is significantly more efficient, and hence
applicable to much larger LC-MS data sets. CONCLUSIONS: MEDEA is an effective and
efficient solution to the problem of peak matching in label-free LC-MS data. The
program implementing the MEDEA algorithm, including datasets, clustering results,
and supplementary information is available from the author website at
http://www.hephy.at/user/fru/medea/.
PMID- 21884584
TI - The C242T polymorphism of the p22-phox gene (CYBA) is associated with higher left
ventricular mass in Brazilian hypertensive patients.
AB - BACKGROUND: Reactive oxygen species have been implicated in the
physiopathogenesis of hypertensive end-organ damage. This study investigated the
impact of the C242T polymorphism of the p22-phox gene (CYBA) on left ventricular
structure in Brazilian hypertensive subjects. METHODS: We cross-sectionally
evaluated 561 patients from 2 independent centers [Campinas (n = 441) and Vitoria
(n = 120)] by clinical history, physical examination, anthropometry, analysis of
metabolic and echocardiography parameters as well as p22-phox C242T polymorphism
genotyping. In addition, NADPH-oxidase activity was quantified in peripheral
mononuclear cells from a subgroup of Campinas sample. RESULTS: Genotype
frequencies in both samples were consistent with the Hardy- Weinberg equilibrium.
Subjects with the T allele presented higher left ventricular mass/height2.7 than
those carrying the CC genotype in Campinas (76.8 +/- 1.6 vs 70.9 +/- 1.4 g/m2.7;
p = 0.009), and in Vitoria (45.6 +/- 1.9 vs 39.9 +/- 1.4 g/m2.7; p = 0.023)
samples. These results were confirmed by stepwise regression analyses adjusted
for age, gender, blood pressure, metabolic variables and use of anti-hypertensive
medications. In addition, increased NADPH-oxidase activity was detected in
peripheral mononuclear cells from T allele carriers compared with CC genotype
carriers (p = 0.03). CONCLUSIONS: The T allele of the p22-phox C242T polymorphism
is associated with higher left ventricular mass/height 2.7 and increased NADPH
oxidase activity in Brazilian hypertensive patients. These data suggest that
genetic variation within NADPH-oxidase components may modulate left ventricular
remodeling in subjects with systemic hypertension.
PMID- 21884585
TI - NF-kappaB activation within macrophages leads to an anti-tumor phenotype in a
mammary tumor lung metastasis model.
AB - INTRODUCTION: Metastasis from primary tumor to the lungs is a major cause of the
mortality associated with breast cancer. Both immune and inflammatory responses
impact whether circulating mammary tumor cells successfully colonize the lungs
leading to established metastases. Nuclear factor -kappaB (NF-kappaB)
transcription factors regulate both immune and inflammatory responses mediated in
part by the activities of macrophages. Therefore, NF-kappaB activity specifically
within macrophages may be a critical determinant of whether circulating tumor
cells successfully colonize the lungs. METHODS: To investigate NF-kappaB
signaling within macrophages during metastasis, we developed novel inducible
transgenic models which target expression of the reverse tetracycline
transactivator (rtTA) to macrophages using the cfms promoter in combination with
inducible transgenics that express either an activator (cIKK2) or an inhibitor
(IkappaBalpha-DN). Doxycyline treatment led to activation or inhibition of NF
kappaB within macrophages. We used a tail vein metastasis model with mammary
tumor cell lines established from MMTV-Polyoma Middle T-Antigen-derived tumors to
investigate the effects of modulating NF-kappaB in macrophages during different
temporal windows of the metastatic process. RESULTS: We found that activation of
NF-kappaB in macrophages during seeding leads to a reduction in lung metastases.
The mechanism involved expression of inflammatory cytokines and reactive oxygen
species, leading to apoptosis of tumor cells and preventing seeding in the lung.
Activation of NF-kappaB within macrophages after the seeding phase has no
significant impact on establishment of metastases. CONCLUSIONS: Our results have
identified a brief, defined window in which activation of NF-kappaB has
significant anti-metastatic effects and inhibition of NF-kappaB results in a
worse outcome.
PMID- 21884586
TI - Over-expressing the C(3) photosynthesis cycle enzyme Sedoheptulose-1-7
Bisphosphatase improves photosynthetic carbon gain and yield under fully open air
CO(2) fumigation (FACE).
AB - BACKGROUND: Biochemical models predict that photosynthesis in C(3) plants is most
frequently limited by the slower of two processes, the maximum capacity of the
enzyme Rubisco to carboxylate RuBP (V(c,max)), or the regeneration of RuBP via
electron transport (J). At current atmospheric [CO(2)] levels Rubisco is not
saturated; consequently, elevating [CO(2)] increases the velocity of
carboxylation and inhibits the competing oxygenation reaction which is also
catalyzed by Rubisco. In the future, leaf photosynthesis (A) should be
increasingly limited by RuBP regeneration, as [CO(2)] is predicted to exceed 550
ppm by 2050. The C(3) cycle enzyme sedoheptulose-1,7 bisphosphatase (SBPase, EC
3.1.3.17) has been shown to exert strong metabolic control over RuBP regeneration
at light saturation. RESULTS: We tested the hypothesis that tobacco transformed
to overexpressing SBPase will exhibit greater stimulation of A than wild type
(WT) tobacco when grown under field conditions at elevated [CO(2)] (585 ppm)
under fully open air fumigation. Growth under elevated [CO(2)] stimulated
instantaneous A and the diurnal photosynthetic integral (A') more in
transformants than WT. There was evidence of photosynthetic acclimation to
elevated [CO(2)] via downregulation of V(c,max) in both WT and transformants.
Nevertheless, greater carbon assimilation and electron transport rates (J and
J(max)) for transformants led to greater yield increases than WT at elevated
[CO(2)] compared to ambient grown plants. CONCLUSION: These results provide proof
of concept that increasing content and activity of a single photosynthesis enzyme
can enhance carbon assimilation and yield of C(3) crops grown at [CO(2)] expected
by the middle of the 21st century.
PMID- 21884587
TI - Quantitative utilization of prior biological knowledge in the Bayesian network
modeling of gene expression data.
AB - BACKGROUND: Bayesian Network (BN) is a powerful approach to reconstructing
genetic regulatory networks from gene expression data. However, expression data
by itself suffers from high noise and lack of power. Incorporating prior
biological knowledge can improve the performance. As each type of prior knowledge
on its own may be incomplete or limited by quality issues, integrating multiple
sources of prior knowledge to utilize their consensus is desirable. RESULTS: We
introduce a new method to incorporate the quantitative information from multiple
sources of prior knowledge. It first uses the Naive Bayesian classifier to assess
the likelihood of functional linkage between gene pairs based on prior knowledge.
In this study we included cocitation in PubMed and schematic similarity in Gene
Ontology annotation. A candidate network edge reservoir is then created in which
the copy number of each edge is proportional to the estimated likelihood of
linkage between the two corresponding genes. In network simulation the Markov
Chain Monte Carlo sampling algorithm is adopted, and samples from this reservoir
at each iteration to generate new candidate networks. We evaluated the new
algorithm using both simulated and real gene expression data including that from
a yeast cell cycle and a mouse pancreas development/growth study. Incorporating
prior knowledge led to a ~2 fold increase in the number of known transcription
regulations recovered, without significant change in false positive rate. In
contrast, without the prior knowledge BN modeling is not always better than a
random selection, demonstrating the necessity in network modeling to supplement
the gene expression data with additional information. CONCLUSION: our new
development provides a statistical means to utilize the quantitative information
in prior biological knowledge in the BN modeling of gene expression data, which
significantly improves the performance.
PMID- 21884588
TI - Effects of a single intraperitoneal administration of cadmium on femoral bone
structure in male rats.
AB - BACKGROUND: Exposure to cadmium (Cd) is considered a risk factor for various bone
diseases in humans and experimental animals. This study investigated the acute
effects of Cd on femoral bone structure of adult male rats after a single
intraperitoneal administration. METHODS: Ten 4-month-old male Wistar rats were
injected intraperitoneally with a single dose of 2 mg CdCl2/kg body weight and
killed 36 h after the Cd had been injected. Ten 4-month-old males served as a
control group. Differences in body weight, femoral weight, femoral length and
histological structure of the femur were evaluated between the two groups of
rats. The unpaired Student's t-test was used for establishment of statistical
significance. RESULTS: A single intraperitoneal administration of Cd had no
significant effect on the body weight, femoral weight or femoral length. On the
other hand, histological changes were significant. Rats exposed to Cd had
significantly higher values of area, perimeter, maximum and minimum diameters of
the primary osteons' vascular canals and Haversian canals. In contrast, a
significant decrease in all variables of the secondary osteons was observed in
these rats. CONCLUSIONS: The results indicate that, as expected, a single
intraperitoneal administration of 2 mg CdCl2/kg body weight had no impact on
macroscopic structure of rat's femora; however, it affected the size of vascular
canals of primary osteons, Haversian canals, and secondary osteons.
PMID- 21884589
TI - The association between systemic glucocorticoid therapy and the risk of infection
in patients with rheumatoid arthritis: systematic review and meta-analyses.
AB - INTRODUCTION: Infection is a major cause of morbidity and mortality in patients
with rheumatoid arthritis (RA). The objective of this study was to perform a
systematic review and meta-analysis of the effect of glucocorticoid (GC) therapy
on the risk of infection in patients with RA. METHODS: A systematic review was
conducted by using MEDLINE, EMBASE, CINAHL, and the Cochrane Central Register of
Controlled Trials database to January 2010 to identify studies among populations
of patients with RA that reported a comparison of infection incidence between
patients treated with GC therapy and patients not exposed to GC therapy. RESULTS:
In total, 21 randomised controlled trials (RCTs) and 42 observational studies
were included. In the RCTs, GC therapy was not associated with a risk of
infection (relative risk (RR), 0.97 (95% CI, 0.69, 1.36)). Small numbers of
events in the RCTs meant that a clinically important increased or decreased risk
could not be ruled out. The observational studies generated a RR of 1.67 (1.49,
1.87), although significant heterogeneity was present. The increased risk (and
heterogeneity) persisted when analyses were stratified by varying definitions of
exposure, outcome, and adjustment for confounders. A positive dose-response
effect was seen. CONCLUSIONS: Whereas observational studies suggested an
increased risk of infection with GC therapy, RCTs suggested no increased risk.
Inconsistent reporting of safety outcomes in the RCTs, as well as marked
heterogeneity, probable residual confounding, and publication bias in the
observational studies, limits the opportunity for a definitive conclusion.
Clinicians should remain vigilant for infection in patients with RA treated with
GC therapy.
PMID- 21884590
TI - Cord blood calcium, phosphate, magnesium, and alkaline phosphatase gestational
age-specific reference intervals for preterm infants.
AB - BACKGROUND: The objective was to determine the influence of gestational age,
maternal, and neonatal variables on reference intervals for cord blood bone
minerals (calcium, phosphate, magnesium) and related laboratory tests (alkaline
phosphatase, and albumin-adjusted calcium), and to develop gestational age
specific reference intervals based on infants without influential pathological
conditions. METHODS: Cross-sectional study. 702 babies were identified as
candidates for this study in a regional referral neonatal unit. After exclusions
(for anomalies, asphyxia, maternal magnesium sulfate administration, and death),
relationships were examined between cord blood serum laboratory analytes
(calcium, phosphate, magnesium, alkaline phosphatase, and albumin-adjusted
calcium) with gestation age and also with maternal and neonatal variables using
multiple linear regression. Infants with influential pathological conditions were
omitted from the development of gestational age specific reference intervals for
the following categories: 23-27, 28-31, 32-34, 35-36 and > 36 weeks. RESULTS:
Among the 506 preterm and 54 terms infants included in the sample. Phosphate,
magnesium, and alkaline phosphatase in cord blood serum decreased with
gestational age, calcium increased with gestational age. Those who were triplets,
small for gestational age, and those whose mother had pregnancy-induced
hypertension were influential for most of the analytes. The reference ranges for
the preterm infants >= 36 weeks were: phosphate 1.5 to 2.6 mmol/L (4.5 to 8.0
mg/dL), calcium: 2.1 to 3.1 mmol/L (8.3 to 12.4 mg/dL); albumin-adjusted calcium:
2.3 to 3.2 mmol/L (9.1 to 12.9 mg/dL); magnesium 0.6 to 1.0 mmol/L (1.4 to 2.3
mg/dL), and alkaline phosphatase 60 to 301 units/L. CONCLUSIONS: These data
suggest that gestational age, as well as potentially pathogenic maternal and
neonatal variables should be considered in the development of reference intervals
for preterm infants.
PMID- 21884591
TI - Disjunctive shared information between ontology concepts: application to Gene
Ontology.
AB - BACKGROUND: The large-scale effort in developing, maintaining and making
biomedical ontologies available motivates the application of similarity measures
to compare ontology concepts or, by extension, the entities described therein. A
common approach, known as semantic similarity, compares ontology concepts through
the information content they share in the ontology. However, different
disjunctive ancestors in the ontology are frequently neglected, or not properly
explored, by semantic similarity measures. RESULTS: This paper proposes a novel
method, dubbed DiShIn, that effectively exploits the multiple inheritance
relationships present in many biomedical ontologies. DiShIn calculates the shared
information content of two ontology concepts, based on the information content of
the disjunctive common ancestors of the concepts being compared. DiShIn
identifies these disjunctive ancestors through the number of distinct paths from
the concepts to their common ancestors. CONCLUSIONS: DiShIn was applied to Gene
Ontology and its performance was evaluated against state-of-the-art measures
using CESSM, a publicly available evaluation platform of protein similarity
measures. By modifying the way traditional semantic similarity measures calculate
the shared information content, DiShIn was able to obtain a statistically
significant higher correlation between semantic and sequence similarity.
Moreover, the incorporation of DiShIn in existing applications that exploit
multiple inheritance would reduce their execution time.
PMID- 21884592
TI - CD23(+)/CD21(hi) B-cell translocation and ipsilateral lymph node collapse is
associated with asymmetric arthritic flare in TNF-Tg mice.
AB - INTRODUCTION: Rheumatoid arthritis (RA) is a chronic autoimmune disease with
episodic flares in affected joints. However, how arthritic flare occurs only in
select joints during a systemic autoimmune disease remains an enigma. To better
understand these observations, we developed longitudinal imaging outcomes of
synovitis and lymphatic flow in mouse models of RA, and identified that
asymmetric knee flare is associated with ipsilateral popliteal lymph node (PLN)
collapse and the translocation of CD23(+)/CD21(hi) B-cells (B-in) into the
paracortical sinus space of the node. In order to understand the relationship
between this B-in translocation and lymph drainage from flaring joints, we tested
the hypothesis that asymmetric tumor necrosis factor (TNF)-induced knee arthritis
is associated with ipsilateral PLN and iliac lymph node (ILN) collapse, B-in
translocation, and decreased afferent lymphatic flow. METHODS: TNF transgenic
(Tg) mice with asymmetric knee arthritis were identified by contrast-enhanced
(CE) magnetic resonance imaging (MRI), and PLN were phenotyped as "expanding" or
"collapsed" using LNcap threshold = 30 (Arbitrary Unit (AU)). Inflammatory
erosive arthritis was confirmed by histology. Afferent lymphatic flow to PLN and
ILN was quantified by near infrared imaging of injected indocyanine green (NIR
ICG). The B-in population in PLN and ILN was assessed by immunohistochemistry
(IHC) and flow cytometry. Linear regression analyses of ipsilateral knee synovial
volume and afferent lymphatic flow to PLN and ILN were performed. RESULTS:
Afferent lymph flow to collapsed nodes was significantly lower (P < 0.05) than
flow to expanding nodes by NIR-ICG imaging, and this occurred ipsilaterally.
While both collapsed and expanding PLN and ILN had a significant increase (P <
0.05) of B-in compared to wild type (WT) and pre-arthritic TNF-Tg nodes, B-in of
expanding lymph nodes (LN) resided in follicular areas while B-in of collapsed LN
were present within LYVE-1+ lymphatic vessels. A significant correlation (P <
0.002) was noted in afferent lymphatic flow between ipsilateral PLN and ILN
during knee synovitis. CONCLUSIONS: Asymmetric knee arthritis in TNF-Tg mice
occurs simultaneously with ipsilateral PLN and ILN collapse. This is likely due
to translocation of the expanded B-in population to the lumen of the lymphatic
vessels, resulting in a dramatic decrease in afferent lymphatic flow. PLN
collapse phenotype can serve as a new biomarker of knee flare.
PMID- 21884593
TI - Characterization of a caleosin expressed during olive (Olea europaea L.) pollen
ontogeny.
AB - BACKGROUND: The olive tree is an oil-storing species, with pollen being the
second most active site in storage lipid biosynthesis. Caleosins are proteins
involved in storage lipid mobilization during seed germination. Despite the
existence of different lipidic structures in the anther, there are no data
regarding the presence of caleosins in this organ to date. The purpose of the
present work was to characterize a caleosin expressed in the olive anther over
different key stages of pollen ontogeny, as a first approach to unravel its
biological function in reproduction. RESULTS: A 30 kDa caleosin was identified in
the anther tissues by Western blot analysis. Using fluorescence and transmission
electron microscopic immunolocalization methods, the protein was first localized
in the tapetal cells at the free microspore stage. Caleosins were released to the
anther locule and further deposited onto the sculptures of the pollen exine. As
anthers developed, tapetal cells showed the presence of structures constituted by
caleosin-containing lipid droplets closely packed and enclosed by ER-derived
cisternae and vesicles. After tapetal cells lost their integrity, the caleosin
containing remnants of the tapetum filled the cavities of the mature pollen
exine, forming the pollen coat. In developing microspores, this caleosin was
initially detected on the exine sculptures. During pollen maturation, caleosin
levels progressively increased in the vegetative cell, concurrently with the
number of oil bodies. The olive pollen caleosin was able to bind calcium in
vitro. Moreover, PEGylation experiments supported the structural conformation
model suggested for caleosins from seed oil bodies. CONCLUSIONS: In the olive
anther, a caleosin is expressed in both the tapetal and germ line cells, with its
synthesis independently regulated. The pollen oil body-associated caleosin is
synthesized by the vegetative cell, whereas the protein located on the pollen
exine and its coating has a sporophytic origin. The biological significance of
the caleosin in the reproductive process in species possessing lipid-storing
pollen might depend on its subcellular emplacement. The pollen inner caleosin may
be involved in OB biogenesis during pollen maturation. The protein located on the
outside might rather play a function in pollen-stigma interaction during pollen
hydration and germination.
PMID- 21884595
TI - Impaired spatial and contextual memory formation in galectin-1 deficient mice.
AB - Galectins are a 15 member family of carbohydrate-binding proteins that have been
implicated in cancer, immunity, inflammation and development. While galectins are
expressed in the central nervous system, little is known about their function in
the adult brain. Previously we have shown that galectin-1 (gal-1) is expressed in
the adult hippocampus, and, in particular, in putative neural stem cells in the
subgranular zone. To evaluate how gal-1 might contribute to hippocampal memory
function here we studied galectin-1 null mutant (gal-1-/-) mice. Compared to
their wildtype littermate controls, gal-1-/- mice exhibited impaired spatial
learning in the water maze and contextual fear learning. Interestingly, tone fear
conditioning was normal in gal-1-/- mice suggesting that loss of gal-1 might
especially impact hippocampal learning and memory. Furthermore, gal-1-/- mice
exhibited normal motor function, emotion and sensory processing in a battery of
other behavioral tests, suggesting that non-mnemonic performance deficits are
unlikely to account for the spatial and contextual learning deficits. Together,
these data reveal a role for galectin-carbohydrate signalling in hippocampal
memory function.
PMID- 21884596
TI - Solid-state dye-sensitized solar cells based on ZnO nanoparticle and nanorod
array hybrid photoanodes.
AB - The effect of ZnO photoanode morphology on the performance of solid-state dye
sensitized solar cells (DSSCs) is reported. Four different structures of dye
loaded ZnO layers have been fabricated in conjunction with poly(3
hexylthiophene). A significant improvement in device efficiency with ZnO nanorod
arrays as photoanodes has been achieved by filling the interstitial voids of the
nanorod arrays with ZnO nanoparticles. The overall power conversion efficiency
increases from 0.13% for a nanorod-only device to 0.34% for a device with
combined nanoparticles and nanorod arrays. The higher device efficiency in solid
state DSSCs with hybrid nanorod/nanoparticle photoanodes is originated from both
large surface area provided by nanoparticles for dye adsorption and efficient
charge transport provided by the nanorod arrays to reduce the recombinations of
photogenerated carriers.
PMID- 21884594
TI - Retrotransposon-centered analysis of piRNA targeting shows a shift from active to
passive retrotransposon transcription in developing mouse testes.
AB - BACKGROUND: Piwi-associated RNAs (piRNAs) bind transcripts from retrotransposable
elements (RTE) in mouse germline cells and seemingly act as guides for genomic
methylation, thereby repressing the activity of RTEs. It is currently unknown if
and how Piwi proteins distinguish RTE transcripts from other cellular RNAs.
During germline development, the main target of piRNAs switch between different
types of RTEs. Using the piRNA targeting of RTEs as an indicator of RTE activity,
and considering the entire population of genomic RTE loci along with their age
and location, this study aims at further elucidating the dynamics of RTE activity
during mouse germline development. RESULTS: Due to the inherent sequence
redundancy between RTE loci, assigning piRNA targeting to specific loci is
problematic. This limits the analysis, although certain features of piRNA
targeting of RTE loci are apparent. As expected, young RTEs display a much higher
level of piRNA targeting than old RTEs. Further, irrespective of age, RTE loci
near protein-coding coding genes are targeted to a greater extent than RTE loci
far from genes. During development, a shift in piRNA targeting is observed, with
a clear increase in the relative piRNA targeting of RTEs residing within
boundaries of protein-coding gene transcripts. CONCLUSIONS: Reanalyzing published
piRNA sequences and taking into account the features of individual RTE loci
provide novel insight into the activity of RTEs during development. The obtained
results are consistent with some degree of proportionality between what
transcripts become substrates for Piwi protein complexes and the level by which
the transcripts are present in the cell. A transition from active transcription
of RTEs to passive co-transcription of RTE sequences residing within protein
coding transcripts appears to take place in postnatal development. Hence, the
previously reported increase in piRNA targeting of SINEs in postnatal testis
development does not necessitate widespread active transcription of SINEs, but
may simply be explained by the prevalence of SINEs residing in introns.
PMID- 21884597
TI - Factors that influence the response of the LysR type transcriptional regulators
to aromatic compounds.
AB - BACKGROUND: The transcriptional regulators DntR, NagR and NtdR have a high
sequence identity and belong to the large family of LysR type transcriptional
regulators (LTTRs). These three regulators are all involved in regulation of
genes identified in pathways for degradation of aromatic compounds. They activate
the transcription of these genes in the presence of an inducer, but the inducer
specificity profiles are different. RESULTS: The results from this study show
that NtdR has the broadest inducer specificity, responding to several nitro
aromatic compounds. Mutational studies of residues that differ between DntR, NagR
and NtdR suggest that a number of specific residues are involved in the broader
inducer specificity of NtdR when compared to DntR and NagR. The inducer response
was also investigated as a function of the experimental conditions and a number
of parameters such as the growth media, plasmid arrangement of the LTTR-encoding
genes, promoter and gfp reporter gene, and the presence of a His6-tag were shown
to affect the inducer response in E.coli DH5alpha. Furthermore, the response upon
addition of both salicylate and 4-nitrobenzoate to the growth media was larger
than the sum of responses upon addition of each of the compounds, which suggests
the presence of a secondary binding site, as previously reported for other LTTRs.
CONCLUSIONS: Optimization of the growth conditions and gene arrangement resulted
in improved responses to nitro-aromatic inducers. The data also suggests the
presence of a previously unknown secondary binding site in DntR, analogous to
that of BenM.
PMID- 21884598
TI - Use of an amplatzer vascular plug in embolization of a pulmonary artery aneurysm
in a case of hughes-stovin syndrome: a case report.
AB - INTRODUCTION: Hughes-Stovin syndrome is a rare condition characterized by
peripheral deep venous thrombosis accompanied by single or multiple pulmonary
arterial aneurysms. The limited number of cases has precluded controlled studies
of the management of pulmonary artery aneurysms, which usually cause massive
hemoptysis leading to death. This is the first report of a new endovascular
treatment of a single large pulmonary arterial aneurysm. CASE PRESENTATION: An 18
year-old Caucasian man was referred to our department with recurrent severe
hemoptysis. His medical history included Hughes-Stovin syndrome diagnosed during
a recent hospital admission. The patient was initially treated with
corticosteroids. Because of his recurrent hemoptysis, we decided to embolize a
3.5 cm pulmonary arterial aneurysm using an Amplatzer Vascular Plug. The
procedure was not complicated, and the patient's post-intervention course was
uneventful. The patient has remained free from any complications of the
embolization 36 months after the procedure. CONCLUSION: Percutaneous embolization
of a single large pulmonary artery aneurysm with an Amplatzer Vascular Plug in a
patient with Hughes-Stovin syndrome is a less invasive procedure that represents
the best multidisciplinary approach in treating these patients.
PMID- 21884599
TI - Frequency of human immunodeficiency virus (HIV) testing in urban vs. rural areas
of the United States: results from a nationally-representative sample.
AB - BACKGROUND: Studies in the United States show that rural persons with HIV are
more likely than their urban counterparts to be diagnosed at a late stage of
infection, suggesting missed opportunities for HIV testing in rural areas. To
inform discussion of HIV testing policies in rural areas, we generated nationally
representative, population-based estimates of HIV testing frequencies in urban
vs. rural areas of the United States. METHODS: Secondary analysis of 2005 and
2009 Behavioral Risk Factor Surveillance System (BRFSS) data. Dependent variables
were self-reported lifetime and past-year HIV testing. Urban vs. rural residence
was determined using the metropolitan area framework and Urban Influence Codes
and was categorized as 1) metropolitan, center city (the most urban); 2)
metropolitan, other; 3) non-metropolitan, adjacent to metropolitan; 4) non
metropolitan, micropolitan; and 4) remote, non-metropolitan (the most rural).
RESULTS: The 2005 sample included 257,895 respondents. Lifetime HIV testing
frequencies ranged from 43.6% among persons residing in the most urban areas to
32.2% among persons in the most rural areas (P < 0.001). Past-year testing
frequencies ranged from 13.5% to 7.3% in these groups (P < 0.001). After
adjusting for demographics (age, sex, race/ethnicity, and region of residence)
and self-reported HIV risk factors, persons in the most remote rural areas were
substantially less likely than persons in the most urban areas to report HIV
testing in the past year (odds ratio 0.65, 95% CI 0.57-0.75). Testing rates in
urban and rural areas did not change substantively following the 2006 Centers for
Disease Control and Prevention recommendation for routine, population-based HIV
testing in healthcare settings. In metropolitan (urban) areas, 11.5% (95% CI 11.2
11.8) reported past-year HIV testing in 2005 vs. 11.4% (95% CI 11.1%-11.7%) in
2009 (P = 0.93). In non-metropolitan areas, 8.7% (95% CI 8.2%-9.2%) were tested
in 2005 vs. 7.7% (95% CI 7.2%-8.2%) in 2009 (P = 0.03). CONCLUSIONS: Rural
persons are less likely than urban to report prior HIV testing, which may
contribute to later HIV diagnosis in rural areas. There is need to consider
strategies to increase HIV testing in rural areas.
PMID- 21884600
TI - Successful one stage operation for a synchronous, duodenal carcinoma, colonic
carcinoma and renal oncocytoma in an adult patient.
AB - We report a rare case of synchronous duodenal carcinoma, colonic carcinoma and
renal oncocytoma successfully treated using a one-stage surgical approach.
Potential risk factors for multiple primary malignancies associated with duodenal
carcinoma are discussed. This case illustrates several practice points for
consideration: 1. Patients presenting with small intestinal carcinomas have a
higher than average chance of developing second primary tumors in other organs;
this should be taken into consideration during staging and follow-up. 2. For full
staging of patients presenting with small bowel tumors, upper and lower
gastrointestinal endoscopy and PET scanning should be considered. 3. A one-stage
surgical procedure can be used safely and successfully for multiple synchronous
primary tumors.
PMID- 21884601
TI - Integrated safety in tocilizumab clinical trials.
AB - INTRODUCTION: The efficacy and safety of tocilizumab in patients with rheumatoid
arthritis have been evaluated in a comprehensive phase 3 program. Patients from
these randomized trials could receive tocilizumab treatment in open-label
extension trials. Here, the long-term safety profile of tocilizumab, using pooled
data from all of these trials, is reported. METHODS: Cumulative safety data (as
of February 6, 2009) from five core phase 3 trials, two ongoing extension trials,
and one clinical pharmacology study were analyzed. Two patient populations were
evaluated: an all-control population (n = 4,199), which included all patients
randomly assigned in the placebo-controlled portions of the five core studies,
and an all-exposed population (n = 4,009), which included patients from any of
the eight studies who received at least one dose of tocilizumab. RESULTS: Total
exposure to tocilizumab was 8,580 patient years (PY), and total duration of
observation was 9,414 PY. Overall adverse event (AE) and serious AE (SAE) rates
were 278.2/100 PY and 14.4/100 PY, respectively. These events included serious
infections (4.7/100 PY), opportunistic infections (0.23/100 PY), gastrointestinal
perforations (0.28/100 PY), malignancy (1.1/100 PY), myocardial infarction
(0.25/100 PY), and stroke (0.19/100 PY). The rates of SAEs and serious infections
were stable over time; no increase with prolonged exposure was noted.
CONCLUSIONS: The longer-term safety profile of tocilizumab (mean treatment
duration, 2.4 years) is consistent with that observed in the phase 3 studies
(duration up to 1 year).
PMID- 21884602
TI - Combination of hydrogel nanoparticles and proteomics to reveal secreted proteins
associated with decidualization of human uterine stromal cells.
AB - BACKGROUND: Identification of secreted proteins of low abundance is often limited
by abundant and high molecular weight (MW) proteins. We have optimised a
procedure to overcome this limitation. RESULTS: Low MW proteins in the
conditioned media of cultured cells were first captured using dual-size
exclusion/affinity hydrogel nanoparticles and their identities were then revealed
by proteomics. CONCLUSIONS: This technique enables the analysis of secreted
proteins of cultured cells low MW and low abundance.
PMID- 21884604
TI - Intensive medical student involvement in short-term surgical trips provides safe
and effective patient care: a case review.
AB - BACKGROUND: The hierarchical nature of medical education has been thought
necessary for the safe care of patients. In this setting, medical students in
particular have limited opportunities for experiential learning. We report on a
student-faculty collaboration that has successfully operated an annual, short
term surgical intervention in Haiti for the last three years. Medical students
were responsible for logistics and were overseen by faculty members for patient
care. Substantial planning with local partners ensured that trip activities
supplemented existing surgical services. A case review was performed
hypothesizing that such trips could provide effective surgical care while also
providing a suitable educational experience. FINDINGS: Over three week-long
trips, 64 cases were performed without any reported complications, and no
immediate perioperative morbidity or mortality. A plurality of cases were complex
urological procedures that required surgical skills that were locally unavailable
(43%). Surgical productivity was twice that of comparable peer institutions in
the region. Student roles in patient care were greatly expanded in comparison to
those at U.S. academic medical centers and appropriate supervision was
maintained. DISCUSSION: This demonstration project suggests that a properly
designed surgical trip model can effectively balance the surgical needs of the
community with an opportunity to expose young trainees to a clinical and cross
cultural experience rarely provided at this early stage of medical education. Few
formalized programs currently exist although the experience above suggests the
rewarding potential for broad-based adoption.
PMID- 21884603
TI - The Sydney playground project: popping the bubblewrap--unleashing the power of
play: a cluster randomized controlled trial of a primary school playground-based
intervention aiming to increase children's physical activity and social skills.
AB - BACKGROUND: In the Westernised world, numerous children are overweight and have
problems with bullying and mental health. One of the underlying causes for all
three is postulated to be a decrease in outdoor free play. The aim of the Sydney
Playground Project is to demonstrate the effectiveness of two simple
interventions aimed to increase children's physical activity and social skills.
METHODS/DESIGN: This study protocol describes the design of a 3-year cluster
randomised controlled trial (CRCT), in which schools are the clusters. The study
consists of a 13-week intervention and 1 week each of pre-and post-testing. We
are recruiting 12 schools (6 control; 6 intervention), with 18 randomly chosen
participants aged 5 to 7 years in each school. The two intervention strategies
are: (1) Child-based intervention: Unstructured materials with no obvious play
value introduced to the playground; and (2) Adult-based intervention: Risk
reframing sessions held with parents and teachers with the aim of exploring the
benefits of allowing children to engage in activities with uncertain outcomes.
The primary outcome of the study, physical activity as measured by accelerometer
counts, is assessed at baseline and post-intervention. Additional assessments
include social skills and interactions, self-concept, after school time use and
anthropometric data. Qualitative data (i.e., transcriptions of audio recordings
from the risk reframing sessions and of interviews with selected teacher and
parent volunteers) are analysed to understand their perceptions of risk in play.
The control schools have recess as usual. In addition to outcome evaluation,
regular process evaluation sessions are held to monitor fidelity to the
treatment. DISCUSSION: These simple interventions, which could be adopted in
every primary school, have the potential of initiating a self-sustaining cycle of
prevention for childhood obesity, bullying and mental ill health. TRIAL
REGISTRATION: Australian and New Zealand Clinical Trials Registration Number
ACTRN12611000089932.
PMID- 21884605
TI - Q&A: The Alzheimer's Disease Neuroimaging Initiative.
PMID- 21884606
TI - The impact of different doses of vitamin A supplementation on male and female
mortality. A randomised trial from Guinea-Bissau.
AB - BACKGROUND: Vitamin A supplementation (VAS) given to children between 6 months
and 5 years of age is known to reduce mortality in low-income countries. We have
previously observed that girls benefit more from a lower dose of VAS than the one
recommended by WHO, the effect being strongest if diphtheria-tetanus-pertussis
vaccine (DTP) was the most recent vaccination. We aimed to test these
observations. METHODS: During national immunisations days in Guinea-Bissau, West
Africa, combining oral polio vaccination and VAS, we randomised 8626 children
between 6 months and 5 years of age to receive the dose of VAS recommended by WHO
or half this dose. Mortality rate ratios (MRRs) were assessed after 6 and 12
month. RESULTS: The overall mortality rate among participants was lower than
expected. There was no significant difference in mortality at 6 months and 12
months of follow up between the low dose VAS group and the recommended dose VAS
group. The MRRs were 1.23 (0.60-2.54) after 6 months and 1.17 (0.73-1.87) after
12 months. This tendency was similar in boys and girls. The low dose was not
associated with lower mortality in girls if the most recent vaccine was DTP (MRR
= 0.60 (0.14-2.50) after 6 months). CONCLUSION: Our sample size does not permit
firm conclusions since mortality was lower than expected. We could not confirm a
beneficial effect of a lower dose of VAS on mortality in girls. TRIAL
REGISTRATION: The study was registered under clinicaltrials.gov, number
NCT00168636.
PMID- 21884607
TI - Traditional-medical knowledge and perception of pangolins (Manis sps) among the
Awori people, Southwestern Nigeria.
AB - medicines is taken from the wild; hence demand by traditional medicine is a cause
of over-exploitation of wild animals. Indiscriminate use of endangered species
portends grievous implications for biodiversity conservation. This study
investigated the dynamics of the use of pangolin in trado-medicinal preparations
amongst the Awori people. METHODS: Forty traditional Yorubic-medical
practitioners (tymps) selected through stratified random-sampling technique were
interviewed using open-ended questionnaires. Various aspects of the utilisation
of pangolin in traditional medicinal practices were investigated. Data collected
were analysed using simple frequencies and percentages. RESULTS: An average of
1.6 pangolins were utilised per tymp per month. About 43% of respondents
contracted hunters for deliberate searches for the animals. More than 92%
believed that pangolins' abundance is steadily decreasing. Above 97% reported a
continuous decline in the size of pangolin. Pangolin was used in treating 47
conditions. Situations accommodated included those that can be treated by
orthodox medicine like rheumatism and venereal diseases as well as some that are
out of range for orthodox medicine including kleptomania and good luck charms.
Some substitute animals like gorilla are under a greater conservation threat than
pangolin. CONCLUSIONS: Utilisation of pangolin in traditional medicine has no
consideration for sustainability. Awareness should be created on people as
regards the implications of unsustainable depletion of medicinal resources.
Efforts should be intensified on ex-situ breeding of pangolin while subjecting
the scales and other parts to laboratory studies to determine the bioactive
constituents.
PMID- 21884608
TI - Interactions between HIV infection and chronic obstructive pulmonary disease:
Clinical and epidemiological aspects.
AB - INTRODUCTION: An association between HIV infection and chronic obstructive
pulmonary disease (COPD) has been observed in several studies. OBJECTIVE AND
METHODS: we conducted a review of the literature linking HIV infection to COPD,
focusing on clinical and epidemiological data published before and during
widespread highly active antiretroviral therapy (HAART). RESULTS: Interactions
between HIV infection and COPD appear to be influenced by multiple factors. In
particular, the bronchopulmonary tract can be damaged by HIV infection, the
immunodeficiency it induces, and the resulting increase in the risk of pulmonary
infections. In addition, the prevalence of smoking and intravenous drug use is
higher in HIV-infected populations, also increasing the risk of COPD. Before the
advent of HAART, respiratory tract infections probably played a major role. Since
the late 1990s and the widespread use of HAART, the frequency of opportunistic
infections has fallen but new complications have emerged as life expectancy has
increased. CONCLUSION: given the high prevalence of smoking among HIV-infected
patients, COPD may contribute significantly to morbidity and mortality in this
setting.
PMID- 21884609
TI - Self-assessment of intercultural communication skills: a survey of physicians and
medical students in Geneva, Switzerland.
AB - BACKGROUND: Physicians working with multicultural populations need to know how to
elicit the patient's understanding of the illness; determine the patient's
sociocultural context and identify any issues that might affect care; communicate
effectively across patient-provider social and cultural differences; and
collaborate effectively with an interpreter. Skills self-assessment can
contribute to identifying training needs and monitoring skills development in
these areas. METHODS: As part of a larger study exploring the knowledge,
attitudes and practices of Geneva physicians and medical students regarding the
care of immigrant patients, we asked respondents to self-rate their ability to
perform a range of common yet challenging intercultural communication tasks.
RESULTS: Overall, respondents rated themselves less competent at intercultural
tasks than at basic medical skills and less competent at specific intercultural
communication skills than at general intercultural skills. Qualified doctors (as
opposed to students), those with greater interest in caring for immigrants, and
those who rarely encountered difficulties with immigrants rated themselves
significantly more competent for all clinical tasks. Having a higher percentage
of immigrant patients and previous cultural competence training predicted greater
self-rated intercultural communication skills. CONCLUSION: Our self-assessment
results suggest that students and physicians should be provided with the
opportunity to practice intercultural skills with immigrant patients as part of
their cultural competence training. To strengthen the validity of self-assessment
measures, they should ideally be combined with more objective methods to assess
actual skills.
PMID- 21884611
TI - GO-based functional dissimilarity of gene sets.
AB - BACKGROUND: The Gene Ontology (GO) provides a controlled vocabulary for
describing the functions of genes and can be used to evaluate the functional
coherence of gene sets. Many functional coherence measures consider each pair of
gene functions in a set and produce an output based on all pairwise distances. A
single gene can encode multiple proteins that may differ in function. For each
functionality, other proteins that exhibit the same activity may also
participate. Therefore, an identification of the most common function for all of
the genes involved in a biological process is important in evaluating the
functional similarity of groups of genes and a quantification of functional
coherence can helps to clarify the role of a group of genes working together.
RESULTS: To implement this approach to functional assessment, we present GFD (GO
based Functional Dissimilarity), a novel dissimilarity measure for evaluating
groups of genes based on the most relevant functions of the whole set. The
measure assigns a numerical value to the gene set for each of the three GO sub
ontologies. CONCLUSIONS: Results show that GFD performs robustly when applied to
gene set of known functionality (extracted from KEGG). It performs particularly
well on randomly generated gene sets. An ROC analysis reveals that the
performance of GFD in evaluating the functional dissimilarity of gene sets is
very satisfactory. A comparative analysis against other functional measures, such
as GS2 and those presented by Resnik and Wang, also demonstrates the robustness
of GFD.
PMID- 21884610
TI - Changes in Holstein cow milk and serum proteins during intramammary infection
with three different strains of Staphylococcus aureus.
AB - BACKGROUND: Staphylococcus aureus is one of the most prevalent pathogens to cause
mastitis in dairy cattle. Intramammary infection of dairy cows with S. aureus is
often subclinical, due to the pathogen's ability to evade the innate defense
mechanisms, but this can lead to chronic infection. A sub-population of S.
aureus, known as small colony variant (SCV), displays atypical phenotypic
characteristics, causes persistent infections, and is more resistant to
antibiotics than parent strains. Therefore, it was hypothesized that the host
immune response will be different for SCV than its parental or typical strains of
S. aureus. In this study, the local and systemic immune protein responses to
intramammary infection with three strains of S. aureus, including a naturally
occurring bovine SCV strain (SCV Heba3231), were characterized. Serum and casein
depleted milk cytokine levels (interleukin-8, interferon-gamma, and transforming
growth factor-beta1), as well as serum haptoglobin concentrations were monitored
over time after intramammary infection with each of the three S. aureus strains.
Furthermore, comparative proteomics was used to evaluate milk proteome profiles
during acute and chronic phases of S. aureus intramammary infection. RESULTS:
Serum IL-8, IFN-gamma, and TGF-beta1 responses differed in dairy cows challenged
with different strains of S. aureus. Changes in overall serum haptoglobin
concentrations were observed for each S. aureus challenge group, but there were
no significant differences observed between groups. In casein-depleted milk,
strain-specific differences in the host IFN-gamma response were observed, but
inducible IL-8 and TGF-beta1 concentrations were not different between groups.
Proteomic analysis of the milk following intramammary infection revealed unique
host protein expression profiles that were dependent on the infecting strain as
well as phase of infection. Notably, the protein, component-3 of the proteose
peptone (CPP3), was differentially expressed between the S. aureus treatment
groups, implicating it as a potential antimicrobial peptide involved in host
defense against S. aureus intramammary infection. CONCLUSIONS: Intramammary
infection of dairy cattle with S. aureus causes an up-regulation of serum and
milk immune-related proteins, and these responses vary depending on the infecting
strain.
PMID- 21884612
TI - Metabolic and kinetic analyses of influenza production in perfusion HEK293 cell
culture.
AB - BACKGROUND: Cell culture-based production of influenza vaccine remains an
attractive alternative to egg-based production. Short response time and high
production yields are the key success factors for the broader adoption of cell
culture technology for industrial manufacturing of pandemic and seasonal
influenza vaccines. Recently, HEK293SF cells have been successfully used to
produce influenza viruses, achieving hemagglutinin (HA) and infectious viral
particle (IVP) titers in the highest ranges reported to date. In the same study,
it was suggested that beyond 4 * 10(6) cells/mL, viral production was limited by
a lack of nutrients or an accumulation of toxic products. RESULTS: To further
improve viral titers at high cell densities, perfusion culture mode was
evaluated. Productivities of both perfusion and batch culture modes were compared
at an infection cell density of 6 * 10(6) cells/mL. The metabolism, including
glycolysis, glutaminolysis and amino acids utilization as well as physiological
indicators such as viability and apoptosis were extensively documented for the
two modes of culture before and after viral infection to identify potential
metabolic limitations. A 3 L bioreactor with a perfusion rate of 0.5 vol/day
allowed us to reach maximal titers of 3.3 * 10(11) IVP/mL and 4.0 logHA units/mL,
corresponding to a total production of 1.0 * 10(15) IVP and 7.8 logHA units after
3 days post-infection. Overall, perfusion mode titers were higher by almost one
order of magnitude over the batch culture mode of production. This improvement
was associated with an activation of the cell metabolism as seen by a 1.5-fold
and 4-fold higher consumption rates of glucose and glutamine respectively. A
shift in the viral production kinetics was also observed leading to an
accumulation of more viable cells with a higher specific production and causing
an increase in the total volumetric production of infectious influenza particles.
CONCLUSIONS: These results confirm that the HEK293SF cell is an excellent
substrate for high yield production of influenza virus. Furthermore, there is
great potential in further improving the production yields through better control
of the cell culture environment and viral production kinetics. Once accomplished,
this cell line can be promoted as an industrial platform for cost-effective
manufacturing of the influenza seasonal vaccine as well as for periods of peak
demand during pandemics.
PMID- 21884613
TI - Spectrum of antihypertensive therapy in South Asians at a tertiary care hospital
in Pakistan.
AB - BACKGROUND: Despite available guidelines on hypertension (HTN), use of
antihypertensives is variable. This study was designed to ascertain frequency of
patients on monotherapy and > 1 antihypertensive therapy and also to ascertain
proportion of patients on diuretic therapy. METHODS: It was a crossectional study
conducted on 1191 adults(age > 18 yrs)hypertensive patients selected by
computerized International Classification of Diseases -9-coordination and
maintenance (ICD-9-CM) presenting to a tertiary care hospital in Pakistan. Data
on demographics, comorbids, type of antihypertensive drug, number of
antihypertensive drug and mean duration of antihypertensive drug was recorded
over 1.5 year period (2008-09). Blood pressure was recorded on admission. Primary
outcome was use of combination therapy and secondary outcome was use of diuretic
therapy. RESULTS: A total of 1191 participants were included. Mean age(SD) was
62.55(12.47) years, 45.3%(540) were males. Diabetes was the most common comorbid;
46.3%(551). Approximately 85% of patients had controlled hypertension. On
categorization of anti hypertensive use into 3 categories;41.2%(491) were on
monotherapy,32.2%(384) were on 2 drug therapy,26.5%(316) were on >=3 drug
therapy. Among those who were on monotherapy for HTN;34%(167) were on calcium
channel blockers,30.10%(148) were on beta blockers, 22.80%(112) were on
Angiotensin converting enzyme (ACE) inhibitors,12%(59) were on diuretics and
2.20%(11) were on Angiotensin receptor blockers(ARB). Use of combination
antihypertensive therapy was significantly high in patients with ischemic heart
disease(IHD)(p < 0.001). Use of diuretics was in 31% (369) patients. Use of
diuretics was significantly less in patients with comorbids of diabetes (p 0.02),
Chronic kidney disease(CKD)(p 0.003), IHD (p 0.001) respectively CONCLUSION: Most
patients presenting to our tertiary care center were on combination therapy.
Calcium channel blocker is the most common anti hypertensive drug used as
monotherapy and betablockers are used as the most common antihypertensive in
combination. Only a third of patients were on diuretic as an antihypertensive
therapy.
PMID- 21884614
TI - Co-occurrence of diabetes, myocardial infarction, stroke, and cancer: quantifying
age patterns in the Dutch population using health survey data.
AB - BACKGROUND: The high prevalence of chronic diseases in Western countries implies
that the presence of multiple chronic diseases within one person is common.
Especially at older ages, when the likelihood of having a chronic disease
increases, the co-occurrence of distinct diseases will be encountered more
frequently. The aim of this study was to estimate the age-specific prevalence of
multimorbidity in the general population. In particular, we investigate to what
extent specific pairs of diseases cluster within people and how this deviates
from what is to be expected under the assumption of the independent occurrence of
diseases (i.e., sheer coincidence). METHODS: We used data from a Dutch health
survey to estimate the prevalence of pairs of chronic diseases specified by age.
Diseases we focused on were diabetes, myocardial infarction, stroke, and cancer.
Multinomial P-splines were fitted to the data to model the relation between age
and disease status (single versus two diseases). To assess to what extent co
occurrence cannot be explained by independent occurrence, we estimated
observed/expected co-occurrence ratios using predictions of the fitted regression
models. RESULTS: Prevalence increased with age for all disease pairs. For all
disease pairs, prevalence at most ages was much higher than is to be expected on
the basis of coincidence. Observed/expected ratios of disease combinations
decreased with age. CONCLUSION: Common chronic diseases co-occur in one
individual more frequently than is due to chance. In monitoring the occurrence of
diseases among the population at large, such multimorbidity is insufficiently
taken into account.
PMID- 21884615
TI - Characterization of the Rac guanine nucleotide exchange factor P-Rex1 in
platelets.
AB - BACKGROUND: Blood platelets undergo a carefully regulated change in shape to
serve as the primary mediators of hemostasis and thrombosis. These processes
manifest through platelet spreading and aggregation and are dependent on platelet
actin cytoskeletal changes orchestrated by the Rho GTPase family member Rac1. To
elucidate how Rac1 is regulated in platelets, we captured Rac1-interacting
proteins from platelets and identified Rac1-associated proteins by mass
spectrometry. FINDINGS: Here, we demonstrate that Rac1 captures the Rac guanine
nucleotide exchange factor P-Rex1 from platelet lysates. Western blotting
experiments confirmed that P-Rex1 is expressed in platelets and associated with
Rac1. To investigate the functional role of platelet P-Rex1, platelets from P
Rex1-/--deficient mice were treated with platelet agonists or exposed to platelet
activating surfaces of fibrinogen, collagen and thrombin. Platelets from P-Rex1-/
mice responded to platelet agonists and activating surfaces similarly to wild
type platelets. CONCLUSIONS: These findings suggest that P-Rex1 is not required
for Rac1-mediated platelet activation and that the GEF activities of P-Rex1 may
be more specific to GPCR chemokine receptor mediated processes in immune cells
and tumor cells.
PMID- 21884616
TI - The counseling African Americans to Control Hypertension (CAATCH) Trial: baseline
demographic, clinical, psychosocial, and behavioral characteristics.
AB - BACKGROUND: Effectiveness of combined physician and patient-level interventions
for blood pressure (BP) control in low-income, hypertensive African Americans
with multiple co-morbid conditions remains largely untested in community-based
primary care practices. Demographic, clinical, psychosocial, and behavioral
characteristics of participants in the Counseling African American to Control
Hypertension (CAATCH) Trial are described. CAATCH evaluates the effectiveness of
a multi-level, multi-component, evidence-based intervention compared with usual
care (UC) in improving BP control among poorly controlled hypertensive African
Americans who receive primary care in Community Health Centers (CHCs). METHODS:
Participants included 1,039 hypertensive African Americans receiving care in 30
CHCs in the New York Metropolitan area. Baseline data on participant demographic,
clinical (e.g., BP, anti-hypertensive medications), psychosocial (e.g.,
depression, medication adherence, self-efficacy), and behavioral (e.g., exercise,
diet) characteristics were gathered through direct observation, chart review, and
interview. RESULTS: The sample was primarily female (71.6%), middle-aged (mean
age = 56.9 +/- 12.1 years), high school educated (62.4%), low-income (72.4%
reporting less than $20,000/year income), and received Medicaid (35.9%) or
Medicare (12.6%). Mean systolic and diastolic BP were 150.7 +/- 16.7 mm Hg and
91.0 +/- 10.6 mm Hg, respectively. Participants were prescribed an average of 2.5
+/- 1.9 antihypertensive medications; 54.8% were on a diuretic; 33.8% were on a
beta blocker; 41.9% were on calcium channel blockers; 64.8% were on angiotensin
converting enzyme (ACE) inhibitors/angiotensin receptor blockers (ARBs). One
quarter (25.6%) of the sample had resistant hypertension; one-half (55.7%)
reported medication non-adherence. Most (79.7%) reported one or more co-morbid
medical conditions. The majority of the patients had a Charlson Co-morbidity
score >= 2. Diabetes mellitus was common (35.8%), and moderate/severe depression
was present in 16% of participants. Participants were sedentary (835.3 +/-
1,644.2 Kcal burned per week), obese (59.7%), and had poor global physical
health, poor eating habits, high health literacy, and good overall mental health.
CONCLUSIONS: A majority of patients in the CAATCH trial exhibited adverse
lifestyle behaviors, and had significant medical and psychosocial barriers to
adequate BP control. Trial outcomes will shed light on the effectiveness of
evidence-based interventions for BP control when implemented in real-world
medical settings that serve high numbers of low-income hypertensive African
Americans with multiple co-morbidity and significant barriers to behavior change.
PMID- 21884618
TI - Systematic review of safety checklists for use by medical care teams in acute
hospital settings--limited evidence of effectiveness.
AB - BACKGROUND: Patient safety is a fundamental component of good quality health
care. Checklists have been proposed as a method of improving patient safety. This
systematic review, asked "In acute hospital settings, would the use of safety
checklists applied by medical care teams, compared to not using checklists,
improve patient safety?" METHODS: We searched the Cochrane Library, MEDLINE,
CINAHL, and EMBASE for randomised controlled trials published in English before
September 2009. Studies were selected and appraised by two reviewers
independently in consultation with colleagues, using inclusion, exclusion and
appraisal criteria established a priori. RESULTS: Nine cohort studies with
historical controls studies from four hospital care settings were included
intensive care unit, emergency department, surgery, and acute care. The studies
used a variety of designs of safety checklists, and implemented them in different
ways, however most incorporated an educational component to teach the staff how
to use the checklist. The studies assessed outcomes occurring a few weeks to a
maximum of 12 months post-implementation, and these outcomes were diverse.The
studies were generally of low to moderate quality and of low levels of evidence,
with all but one of the studies containing a high risk of bias.The results of
these studies suggest some improvements in patient safety arising from use of
safety checklists, but these were not consistent across all studies or for all
outcomes. Some studies showed no difference in outcomes between checklist use and
standard care without a checklist. Due to the variations in setting, checklist
design, educational training given, and outcomes measured, it was unfeasible to
accurately summarise any trends across all studies. CONCLUSIONS: The included
studies suggest some benefits of using safety checklists to improve protocol
adherence and patient safety, but due to the risk of bias in these studies, their
results should be interpreted with caution. More high quality and studies, are
needed to enable confident conclusions about the effectiveness of safety
checklists in acute hospital settings.
PMID- 21884617
TI - Association of COMT genotypes with S-COMT promoter methylation in growth
discordant monozygotic twins and healthy adults.
AB - BACKGROUND: Catechol-O-Methyltransferase (COMT) plays a key role in dopamine and
estrogen metabolism. Recently, COMT haplotypes rather than the single
polymorphism Val158Met have been reported to underlie differences in protein
expression by modulating mRNA secondary structure. So far, studies investigating
the epigenetic variability of the S-COMT (soluble COMT) promoter region mainly
focused on phenotypical aspects, and results have been controversial. METHODS: We
assessed S-COMT promoter methylation in saliva and blood derived DNA with regard
to early pre- and postnatal growth as well as to genotype for polymorphisms
rs6269, rs4633, and rs4680 (Val158Met) in 20 monozygotic twin pairs (mean age 4
years), who were discordant for intrauterine development due to severe feto-fetal
transfusion syndrome. Methylation levels of two previously reported partially
methylated cytosines were determined by the quantitative SIRPH (SNuPE- IP RP
HPLC) assay. RESULTS: Overall, we observed a high variability of S-COMT promoter
methylation, which did not correlate with individual differences in the pre- or
postnatal growth pattern. Within the twin pairs however we noted a distinct
similarity that could be linked to underlying COMT genotypes. This association
was subsequently confirmed in a cohort of 93 unrelated adult controls.
Interestingly, 158Val-alleles were found at both ends of the epigenotypical
range, which is in accordance with a recently proposed model of COMT haplotypes
corresponding to a continuum of phenotypical variability. CONCLUSION: The strong
heritable component of S-COMT promoter methylation found in our study needs to be
considered in future approaches that focus on interactions between COMT
epigenotype and phenotype.
PMID- 21884619
TI - The effect of housing on the mental health of older people: the impact of
lifetime housing history in Whitehall II.
AB - BACKGROUND: This study describes differences in trajectories of self-reported
mental health in an ageing cohort, according to their housing, while controlling
for confounders. METHODS: The General Health Questionnaire was measured on six
occasions as part of Whitehall II cohort study of office-based British civil
servants (1985-2009); 10,308 men and women aged 35-55 at baseline. RESULTS: Home
ownership was the predominant tenure at baseline and increased over the life
course, but the social gradient remained. In the bivariate analysis, by phase
nine, renters had higher (poorer mental health) GHQ scores (55.48) than owner
occupiers (51.98). Those who reported difficulty paying bills or problems with
housing had higher GHQ scores at baseline (financial difficulties 57.70 vs 54.34;
house problems 58.06 vs 53.99) and this relative difference increased by phase
nine (financial difficulties 59.64 vs 51.67; house problems 56.68 vs 51.22). In
multivariate models, the relative differences in GHQ scores by tenure increased
with age, but were no longer significant after adjusting for confounders. Whereas
GHQ scores for those with housing problems and financial difficulties were still
significantly higher as participants grew older. CONCLUSION: The social gradient
in the effect of home ownership on mental health, which is evident at baseline,
diminishes as people get older, whereas housing quality and financial problems
become relatively more important in explaining older people's health.
Inequalities in housing quality and ability to deal with household financial
problems will become increasingly important mental health issues as the
population ages.
PMID- 21884620
TI - Clinical bioinformatics: a new emerging science.
PMID- 21884621
TI - RNA interference against polo-like kinase-1 in advanced non-small cell lung
cancers.
AB - Worldwide, approximately one and a half million new cases of lung cancer are
diagnosed each year, and about 85% of lung cancer are non-small cell lung cancer
(NSCLC). As the molecular pathogenesis underlying NSCLC is understood, new
molecular targeting agents can be developed. However, current therapies are not
sufficient to cure or manage the patients with distant metastasis, and novel
strategies are necessary to be developed to cure the patients with advanced
NSCLC.RNA interference (RNAi) is a phenomenon of sequence-specific gene silencing
in mammalian cells and its discovery has lead to its wide application as a
powerful tool in post-genomic research. Recently, short interfering RNA (siRNA),
which induces RNAi, has been experimentally introduced as a cancer therapy and is
expected to be developed as a nucleic acid-based medicine. Recently, several
clinical trials of RNAi therapies against cancers are ongoing. In this article,
we discuss the most recent findings concerning the administration of siRNA
against polo-like kinase-1 (PLK-1) to liver metastatic NSCLC. PLK-1 regulates the
mitotic process in mammalian cells. These promising results demonstrate that PLK
1 is a suitable target for advanced NSCLC therapy.
PMID- 21884622
TI - Bioinformatic-driven search for metabolic biomarkers in disease.
AB - The search and validation of novel disease biomarkers requires the complementary
power of professional study planning and execution, modern profiling technologies
and related bioinformatics tools for data analysis and interpretation. Biomarkers
have considerable impact on the care of patients and are urgently needed for
advancing diagnostics, prognostics and treatment of disease. This survey article
highlights emerging bioinformatics methods for biomarker discovery in clinical
metabolomics, focusing on the problem of data preprocessing and consolidation,
the data-driven search, verification, prioritization and biological
interpretation of putative metabolic candidate biomarkers in disease. In
particular, data mining tools suitable for the application to omic data gathered
from most frequently-used type of experimental designs, such as case-control or
longitudinal biomarker cohort studies, are reviewed and case examples of selected
discovery steps are delineated in more detail. This review demonstrates that
clinical bioinformatics has evolved into an essential element of biomarker
discovery, translating new innovations and successes in profiling technologies
and bioinformatics to clinical application.
PMID- 21884623
TI - Virtual screening, identification and experimental testing of novel inhibitors of
PBEF1/Visfatin/NMPRTase for glioma therapy.
AB - BACKGROUND: Pre-B-cell colony enhancing factor 1 gene (PBEF1) encodes
nicotinamide phosphoribosyltransferase (NMPRTase), which catalyses the rate
limiting step in the salvage pathway of NAD+ metabolism in mammalian cells. PBEF1
transcript and protein levels have been shown to be elevated in glioblastoma and
a chemical inhibitor of NMPRTase has been shown to specifically inhibit cancer
cells. METHODS: Virtual screening using docking was used to screen a library of
more than 13,000 chemical compounds. A shortlisted set of compounds were tested
for their inhibition activity in vitro by an NMPRTase enzyme assay. Further, the
ability of the compounds to inhibit glioma cell proliferation was carried out.
RESULTS: Virtual screening resulted in short listing of 34 possible ligands, of
which six were tested experimentally, using the NMPRTase enzyme inhibition assay
and further with the glioma cell viability assays. Of these, two compounds were
found to be significantly efficacious in inhibiting the conversion of
nicotinamide to NAD+, and out of which, one compound, 3-amino-2-benzyl-7-nitro-4
(2-quinolyl-)-1,2-dihydroisoquinolin-1-one, was found to inhibit the growth of a
PBEF1 over expressing glioma derived cell line U87 as well. CONCLUSIONS: Thus, a
novel inhibitor has been identified through a structure based drug discovery
approach and is further supported by experimental evidence.
PMID- 21884624
TI - A base-calling algorithm for Tm-shifted melting curve SNP assay.
AB - BACKGROUND: Tm-shifted melting curve SNP assays are a class of homogeneous, low
cost genotyping assays. Alleles manifest themselves as signal peaks in the
neighbourhood of theoretical allele-specific melting temperatures. Base calling
for these assays has mostly relied on unsupervised algorithm or human visual
inspection to date. However, a practical clinical test needs to handle one or few
individual samples at a time. This could pose a challenge for unsupervised
algorithms which usually require a large number of samples to define alleles
representing signal clusters on the fly. METHODS: We presented a supervised base
calling algorithm and software for Tm-shifted melting curve SNP assays. The
algorithm comprises a peak detection procedure and an ordinal regression model.
The peak detection procedure is required for building models as well as handling
new samples. Ordinal regression is proposed because signal intensities of alleles
AA, AB, and BB usually follow an ordinal pattern with the heterozygous allele lie
between two distinct homozygous alleles. Coefficients of the ordinal regression
model are first trained and then used for base calling. RESULTS: A dataset of 12
SNPs of 44 unrelated persons was used for a demonstration purpose. The call rate
is 99.6%. Among the base calls, 99.1% are identical to those made by the
sequencing method. A small fraction of the melting curve signals (0.4%) is
declared as "no call" for further human inspection. A software was implemented
using the Java language, providing a graphical user interface for the
visualization and handling of multiple melting curve signals. CONCLUSIONS: Tm
shifted melting curve SNP assays, together with the proposed base calling
algorithm and software, provide a practical solution for genetic tests on a
clinical setting. The software is available in
http://www.bioinformatics.org/mcsnp/wiki/Main/HomePage.
PMID- 21884625
TI - The NFI-Regulome Database: A tool for annotation and analysis of control regions
of genes regulated by Nuclear Factor I transcription factors.
AB - BACKGROUND: Genome annotation plays an essential role in the interpretation and
use of genome sequence information. While great strides have been made in the
annotation of coding regions of genes, less success has been achieved in the
annotation of the regulatory regions of genes, including promoters,
enhancers/silencers, and other regulatory elements. One reason for this disparity
in annotated information is that coding regions can be assessed using high
throughput techniques such as EST sequencing, while annotation of regulatory
regions often requires a gene-by-gene approach. RESULTS: The NFI-Regulome
database http://nfiregulome.ccr.buffalo.edu was designed to promote easy
annotation of the regulatory regions of genes that contain binding sites for the
NFI (Nuclear Factor I) family of transcription factors, using data from the
published literature. Binding sites are annotated together with the sequence of
the gene, obtained from the UCSC Genome site, and the locations of all binding
sites for multiple genes can be displayed in a number of formats designed to
facilitate inter-gene comparisons. Classes of genes based on expression pattern,
disease involvement, or types of binding sites present can be readily compared in
order to assess common "architectural" structures in the regulatory regions.
CONCLUSIONS: The NFI-Regulome database allows rapid display of the relative
locations and number of transcription factor binding sites of individual or
defined sets of genes that contain binding sites for NFI transcription factors.
This database may in the future be expanded into a distributed database structure
including other families of transcription factors. Such databases may be useful
for identifying common regulatory structures in genes essential for organ
development, tissue-specific gene expression or those genes related to specific
diseases.
PMID- 21884626
TI - Moving towards high density clinical signature studies with a human proteome
catalogue developing multiplexing mass spectrometry assay panels.
AB - A perspective overview is given describing the current development of multiplex
mass spectrometry assay technology platforms utilized for high throughput
clinical sample analysis. The development of targeted therapies with novel
personalized medicine drugs will require new tools for monitoring efficacy and
outcome that will rely on both the quantification of disease progression related
biomarkers as well as the measurement of disease specific pathway/signaling
proteins.The bioinformatics developments play a key central role in the area of
clinical proteomics where targeted peptide expressions in health and disease are
investigated in small-, medium- and large-scaled clinical studies.An outline is
presented describing applications of the selected reaction monitoring (SRM) mass
spectrometry assay principle. This assay form enables the simultaneous
description of multiple protein biomarkers and is an area under a fast and
progressive development throughout the community. The Human Proteome
Organization, HUPO, recently launched the Human Proteome Project (HPP) that will
map the organization of proteins on specific chromosomes, on a chromosome-by
chromosome basis utilizing the SRM technology platform. Specific examples of an
SRM-multiplex quantitative assay platform dedicated to the cardiovascular disease
area, screening Apo A1, Apo A4, Apo B, Apo CI, Apo CII, Apo CIII, Apo D, Apo E,
Apo H, and CRP biomarkers used in daily diagnosis routines in clinical hospitals
globally, are presented. We also provide data on prostate cancer studies that
have identified a variety of PSA isoforms characterized by high-resolution
separation interfaced to mass spectrometry.
PMID- 21884627
TI - Roles of XB130, a novel adaptor protein, in cancer.
AB - Adaptor proteins, with multi-modular structures, can participate in the
regulation of various cellular functions. During molecular cloning process of
actin filament associated protein, we have discovered a novel adaptor protein,
referred to as XB130. The human xb130 gene is localized on chromosome 10q25.3,
and encodes an 818 amino acid protein. The N-terminal region of XB130 includes
several tyrosine phosphorylation sites and a proline-rich sequence that might
interact with Src homology 2 and 3 domain-containing proteins, respectively. Our
studies have indeed implicated XB130 as a likely substrate and regulator of
tyrosine kinase-mediated signaling. Down-regulation of endogenous XB130 with
small interfering RNA reduced c-Src activity, IL-8 production and phosphorylation
of Akt in human lung epithelial cells. Further, XB130 binds the p85alpha subunit
of phosphatidyl-inositol-3-kinase and subsequently mediates signaling through
RET/PTC in thyroid cancer cells. Knockdown of XB130 using small interfering RNA
inhibited G1-S phase progression, induced spontaneous apoptosis and enhanced
intrinsic and extrinsic apoptotic stimulus-induced cell death in human lung and
thyroid cancer cells. Growth of tumors in nude mice formed from XB130 short
hairpin RNA stably transfected human thyroid cancer cells were significantly
reduced, with decreased cell proliferation and increased apoptosis. Further,
XB130 has a high affinity to lamellipodial F-actin meshwork and is involved in
the motility and invasiveness of cancer cells. Gene expression profiling
identified 246 genes significantly changed in XB130 short hairpin RNA transfected
thyroid cancer cells. Among them, 57 genes are related to cell proliferation or
survival, including many transcription regulators. Pathway analysis showed that
the top ranked disease related to XB130 is Cancer, and the top molecular and
cellular functions are Cellular Growth and Proliferation, and Cell Cycle. These
observations suggest that the expression of XB130 may affect cell proliferation,
survival, motility and invasion in various cancer cells. A deeper understanding
of these mechanisms may lead to the discovery of XB130 as an important mediator
in tumor development and as a novel therapeutic target for cancer.
PMID- 21884628
TI - A filter-based feature selection approach for identifying potential biomarkers
for lung cancer.
AB - BACKGROUND: Lung cancer is the leading cause of death from cancer in the world
and its treatment is dependant on the type and stage of cancer detected in the
patient. Molecular biomarkers that can characterize the cancer phenotype are thus
a key tool in planning a therapeutic response. A common protocol for identifying
such biomarkers is to employ genomic microarray analysis to find genes that show
differential expression according to disease state or type. Data-mining
techniques such as feature selection are often used to isolate, from among a
large manifold of genes with differential expression, those specific genes whose
differential expression patterns are of optimal value in phenotypic
differentiation. One such technique, Biomarker Identifier (BMI), has been
developed to identify features with the ability to distinguish between two data
groups of interest, which is thus highly applicable for such studies. RESULTS:
Microarray data with validated genes was used to evaluate the utility of BMI in
identifying markers for lung cancer. This data set contains a set of 129 gene
expression profiles from large-airway epithelial cells (60 samples from smokers
with lung cancer and 69 from smokers without lung cancer) and 7 genes from this
data have been confirmed to be differentially expressed by quantitative PCR.
Using this data set, BMI was compared with various well-known feature selection
methods and was found to be more successful than other methods in finding useful
genes to classify cancerous samples. Also it is evident that genes selected by
BMI (given the same number of genes and classification algorithms) showed better
discriminative power than those from the original study. After pathway analysis
on the selected genes by BMI, we have been able to correlate the selected genes
with well-known cancer-related pathways. CONCLUSIONS: Our results show that BMI
can be used to analyze microarray data and to find useful genes for classifying
samples. Pathway analysis suggests that BMI is successful in identifying
biomarker-quality cancer-related genes from the data.
PMID- 21884630
TI - BioBanking - The Holy Grail of novel drug and diagnostic developments?
AB - The ever increasing social cost that society pays for illness and disease are
currently steadily increasing in many countries in the world today. These changes
in society becomes a major financial burden that activates politicians and health
care organizations in order to find new solutions. Biobanks are becoming the new
powerful modality within the field of modern Life Science, that is expected to be
important in the proactive awareness of patient health status. Biobanks are also
expected to promote the developments of targeted treatments with personalized
indicator assays, for effective use of Personalized Medicine treatments in the
near future.
PMID- 21884629
TI - Peripheral blood gene expression profiles in COPD subjects.
AB - To identify non-invasive gene expression markers for chronic obstructive
pulmonary disease (COPD), we performed genome-wide expression profiling of
peripheral blood samples from 12 subjects with significant airflow obstruction
and an equal number of non-obstructed controls. RNA was isolated from Peripheral
Blood Mononuclear Cells (PBMCs) and gene expression was assessed using Affymetrix
U133 Plus 2.0 arrays.Tests for gene expression changes that discriminate between
COPD cases (FEV1< 70% predicted, FEV1/FVC < 0.7) and controls (FEV1> 80%
predicted, FEV1/FVC > 0.7) were performed using Significance Analysis of
Microarrays (SAM) and Bayesian Analysis of Differential Gene Expression (BADGE).
Using either test at high stringency (SAM median FDR = 0 or BADGE p < 0.01) we
identified differential expression for 45 known genes. Correlation of gene
expression with lung function measurements (FEV1 & FEV1/FVC), using both Pearson
and Spearman correlation coefficients (p < 0.05), identified a set of 86 genes. A
total of 16 markers showed evidence of significant correlation (p < 0.05) with
quantitative traits and differential expression between cases and controls. We
further compared our peripheral gene expression markers with those we previously
identified from lung tissue of the same cohort. Two genes, RP9and NAPE-PLD, were
identified as decreased in COPD cases compared to controls in both lung tissue
and blood. These results contribute to our understanding of gene expression
changes in the peripheral blood of patients with COPD and may provide insight
into potential mechanisms involved in the disease.
PMID- 21884631
TI - Frontiers of oncology: biobanking resources for the 21st century.
PMID- 21884632
TI - Functional similarity analysis of human virus-encoded miRNAs.
AB - miRNAs are a class of small RNAs that regulate gene expression via RNA silencing
machinery. Some viruses also encode miRNAs, contributing to the complex virus
host interactions. A better understanding of viral miRNA functions would be
useful in designing new preventive strategies for treating diseases induced by
viruses. To meet the challenge for how viruses module host gene expression by
their encoded miRNAs, we measured the functional similarities among human viral
miRNAs by using a method we reported previously. Higher order functions regulated
by viral miRNAs were also identified by KEGG pathway analysis on their targets.
Our study demonstrated the biological processes involved in virus-host
interactions via viral miRNAs. Phylogenetic analysis suggested that viral miRNAs
have distinct evolution rates compared with their corresponding genome.
PMID- 21884633
TI - Quantitative analysis of histone exchange for transcriptionally active chromatin.
AB - BACKGROUND: Genome-wide studies use techniques, like chromatin
immunoprecipitation, to purify small chromatin sections so that protein-protein
and protein-DNA interactions can be analyzed for their roles in modulating gene
transcription. Histone post-translational modifications (PTMs) are key regulators
of gene transcription and are therefore prime targets for these types of studies.
Chromatin purification protocols vary in the amount of chemical cross-linking
used to preserve in vivo interactions. A balanced level of chemical cross-linking
is required to preserve the native chromatin state during purification, while
still allowing for solubility and interaction with affinity reagents. FINDINGS:
We previously used an isotopic labeling technique combining affinity purification
and mass spectrometry called transient isotopic differentiation of interactions
as random or targeted (transient I-DIRT) to identify the amounts of chemical
cross-linking required to prevent histone exchange during chromatin purification.
New bioinformatic analyses reported here reveal that histones containing
transcription activating PTMs exchange more rapidly relative to bulk histones and
therefore require a higher level of cross-linking to preserve the in vivo
chromatin structure. CONCLUSIONS: The bioinformatic approach described here is
widely applicable to other studies requiring the analysis and purification of
cognate histones and their modifications. Histones containing PTMs correlated to
active gene transcription exchange more readily than bulk histones; therefore, it
is necessary to use more rigorous in vivo chemical cross-linking to stabilize
these marks during chromatin purification.
PMID- 21884634
TI - Discovering and validating unknown phospho-sites from p38 and HuR protein kinases
in vitro by Phosphoproteomic and Bioinformatic tools.
AB - BACKGROUND: The mitogen activated protein kinase (MAPK) pathways are known to be
deregulated in many human malignancies. Phosphopeptide identification of protein
kinases and site determination are major challenges in biomedical mass
spectrometry (MS). P38 and HuR protein kinases have been reported extensively in
the general principles of signalling pathways modulated by phosphorylation,
mainly by molecular biology and western blotting techniques. Thus, although it
has been demonstrated they are phosphorylated in different stress/stimuli
conditions, the phosphopeptides and specific amino acids in which the phosphate
groups are located in those protein kinases have not been shown completely.
METHODS: We have combined different resins: (a) IMAC (Immobilized Metal Affinity
Capture), (b) TiO2 (Titanium dioxide) and (c) SIMAC (Sequential Elution from
IMAC) to isolate phosphopeptides from p38 and HuR protein kinases in
vitro.Different phosphopeptide MS strategies were carried out by the LTQ ion Trap
mass spectrometer (Thermo): (a) Multistage activation (MSA) and (b) Neutral loss
MS3 (DDNLMS3).In addition, Molecular Dynamics (MD) bioinformatic simulation has
been applied in order to simulate, over a period of time, the effects of the
presence of the extra phosphate group (and the associated negative charge) in the
overall structure and behaviour of the protein HuR.This study is supported by the
Declaration of Helsinki and subsequent ethical guidelines. RESULTS: The
combination of these techniques allowed for:(1) The identification of 6 unknown
phosphopeptides of these protein kinases. (2) Amino acid site assignments of the
phosphate groups from each identified phosphopeptide, including manual validation
by inspection of all the spectra. (3) The analyses of the phosphopeptides
discovered were carried out in four triplicate experiments to avoid false
positives getting high reproducibility in all the isolated phosphopeptides
recovered from both protein kinases. (4) Computer simulation using MD techniques
allowed us to get functional models of both structure and interactions of the
previously mentioned phosphorylated kinases and the differences between their
phosphorylated and un-phosphorylated forms. CONCLUSION: Many research studies are
necessary to unfold the whole signalling network (human proteome), which is so
important to advance in clinical research, especially in the cases of malignant
diseases.
PMID- 21884635
TI - Data mining of mental health issues of non-bone marrow donor siblings.
AB - BACKGROUND: Allogenic hematopoietic stem cell transplantation is a curative
treatment for patients with advanced hematologic malignancies. However, the long
term mental health issues of siblings who were not selected as donors (non-donor
siblings, NDS) in the transplantation have not been well assessed. Data mining is
useful in discovering new findings from a large, multidisciplinary data set and
the Scenario Map analysis is a novel approach which allows extracting keywords
linking different conditions/events from text data of interviews even when the
keywords appeared infrequently. The aim of this study is to assess mental health
issues on NDSs and to find helpful keywords for the clinical follow-up using a
Scenario Map analysis. FINDINGS: A 47-year-old woman whose younger sister had
undergone allogenic hematopoietic stem cell transplantation 20 years earlier was
interviewed as a NDS. The text data from the interview transcriptions was
analyzed using Scenario Mapping. Four clusters of words and six keywords were
identified. Upon review of the word clusters and keywords, both the subject and
researchers noticed that the subject has had mental health issues since the
disease onset to date with being a NDS. The issues have been alleviated by her
family. CONCLUSIONS: This single subject study suggested the advantages of data
mining in clinical follow-up for mental health issues of patients and/or their
families.
PMID- 21884637
TI - Automated generation of massive image knowledge collections using Microsoft Live
Labs Pivot to promote neuroimaging and translational research.
AB - BACKGROUND: Massive datasets comprising high-resolution images, generated in
neuro-imaging studies and in clinical imaging research, are increasingly
challenging our ability to analyze, share, and filter such images in clinical and
basic translational research. Pivot collection exploratory analysis provides each
user the ability to fully interact with the massive amounts of visual data to
fully facilitate sufficient sorting, flexibility and speed to fluidly access,
explore or analyze the massive image data sets of high-resolution images and
their associated meta information, such as neuro-imaging databases from the Allen
Brain Atlas. It is used in clustering, filtering, data sharing and classifying of
the visual data into various deep zoom levels and meta information categories to
detect the underlying hidden pattern within the data set that has been used.
METHOD: We deployed prototype Pivot collections using the Linux CentOS running on
the Apache web server. We also tested the prototype Pivot collections on other
operating systems like Windows (the most common variants) and UNIX, etc. It is
demonstrated that the approach yields very good results when compared with other
approaches used by some researchers for generation, creation, and clustering of
massive image collections such as the coronal and horizontal sections of the
mouse brain from the Allen Brain Atlas. RESULTS: Pivot visual analytics was used
to analyze a prototype of dataset Dab2 co-expressed genes from the Allen Brain
Atlas. The metadata along with high-resolution images were automatically
extracted using the Allen Brain Atlas API. It is then used to identify the hidden
information based on the various categories and conditions applied by using
options generated from automated collection. A metadata category like chromosome,
as well as data for individual cases like sex, age, and plan attributes of a
particular gene, is used to filter, sort and to determine if there exist other
genes with a similar characteristics to Dab2. And online access to the mouse
brain pivot collection can be viewed using the link http://edtech
dev.uthsc.edu/CTSI/teeDev1/unittest/PaPa/collection.html (user name: tviangte and
password: demome) CONCLUSIONS: Our proposed algorithm has automated the creation
of large image Pivot collections; this will enable investigators of clinical
research projects to easily and quickly analyse the image collections through a
perspective that is useful for making critical decisions about the image patterns
discovered.
PMID- 21884636
TI - FISH Oracle: a web server for flexible visualization of DNA copy number data in a
genomic context.
AB - BACKGROUND: The rapidly growing amount of array CGH data requires improved
visualization software supporting the process of identifying candidate cancer
genes. Optimally, such software should work across multiple microarray platforms,
should be able to cope with data from different sources and should be easy to
operate. RESULTS: We have developed a web-based software FISH Oracle to visualize
data from multiple array CGH experiments in a genomic context. Its fast
visualization engine and advanced web and database technology supports highly
interactive use. FISH Oracle comes with a convenient data import mechanism,
powerful search options for genomic elements (e.g. gene names or karyobands),
quick navigation and zooming into interesting regions, and mechanisms to export
the visualization into different high quality formats. These features make the
software especially suitable for the needs of life scientists. CONCLUSIONS: FISH
Oracle offers a fast and easy to use visualization tool for array CGH and SNP
array data. It allows for the identification of genomic regions representing
minimal common changes based on data from one or more experiments. FISH Oracle
will be instrumental to identify candidate onco and tumor suppressor genes based
on the frequency and genomic position of DNA copy number changes. The FISH Oracle
application and an installed demo web server are available at http://www.zbh.uni
hamburg.de/fishoracle.
PMID- 21884638
TI - Nothing to do and all day to do it in.
PMID- 21884639
TI - Building effective critical care teams.
AB - Critical care is formulated and delivered by a team. Accordingly, behavioral
scientific principles relevant to teams, namely psychological safety, transactive
memory and leadership, apply to critical care teams. Two experts in behavioral
sciences review the impact of psychological safety, transactive memory and
leadership on medical team outcomes. A clinician then applies those principles to
two routine critical care paradigms: daily rounds and resuscitations. Since
critical care is a team endeavor, methods to maximize teamwork should be learned
and mastered by critical care team members, and especially leaders.
PMID- 21884640
TI - Vitamin D and breast cancer: interpreting current evidence.
AB - Preclinical investigations and selected clinical observational studies support an
association between higher vitamin D intake and 25-hydroxyvitamin D levels with
lower breast cancer risk. However, the recently updated report from the Institute
of Medicine concluded that, for cancer and vitamin D, the evidence was
'inconsistent and insufficient to inform nutritional requirements'. Against this
background, reports examining vitamin D intake, 25-hydroxyvitamin D levels and
breast cancer incidence and outcome were reviewed. Current evidence supports the
pursuit of several research questions but not routine 25-hydroxyvitamin D
monitoring and vitamin D supplementation to reduce breast cancer incidence or
improve breast cancer outcome.
PMID- 21884641
TI - Choosing the right cell line for breast cancer research.
AB - Breast cancer is a complex and heterogeneous disease. Gene expression profiling
has contributed significantly to our understanding of this heterogeneity at a
molecular level, refining taxonomy based on simple measures such as histological
type, tumour grade, lymph node status and the presence of predictive markers like
oestrogen receptor and human epidermal growth factor receptor 2 (HER2) to a more
sophisticated classification comprising luminal A, luminal B, basal-like, HER2
positive and normal subgroups. In the laboratory, breast cancer is often modelled
using established cell lines. In the present review we discuss some of the issues
surrounding the use of breast cancer cell lines as experimental models, in light
of these revised clinical classifications, and put forward suggestions for
improving their use in translational breast cancer research.
PMID- 21884642
TI - Poly(ADP-ribose) polymerase inhibition: a new direction for BRCA and triple
negative breast cancer?
AB - Inhibitors of poly(ADP-ribose) polymerase (PARP)-mediated DNA repair have shown
promise in early clinical studies in the treatment of specific subgroups of
breast cancer. Notably, phase II trials indicate that olaparib, an oral PARP
inhibitor, has activity as a single agent in BRCA-related tumours, and that a
combination of iniparib, an intravenous PARP inhibitor, and chemotherapy offers a
survival advantage, compared with chemotherapy alone, in triple-negative breast
cancer. Phase III data on the latter indication are expected in 2011.
Intriguingly, iniparib does not increase toxicity when used as a chemo
potentiating agent, suggesting that it differs in its mechanism of action from
other agents in this class. Overall, PARP inhibitors represent a potentially
important new class of anti-cancer agents with two potential modes of action, as
single agents causing synthetic lethality and as chemo-potentiating agents.
PMID- 21884643
TI - The 'alternative' EMT switch.
AB - Epithelial to mesenchymal transition (EMT) is an essential process in embryonic
development and is aberrantly induced in many disease settings. Work carried out
by Chonghui Cheng's laboratory addressed the involvement of alternative RNA
splicing in EMT and its link to tumour progression. They describe a switch in
CD44 expression from variant isoform(s) to the standard isoform and showed, for
the first time, that this is required for normal epithelial cells to undergo EMT.
In addition, they link expression of the CD44 standard isoform with high-grade
breast cancer and to activation of the phosphoinositide 3-kinase/Akt pathway and
apoptosis resistance in a mouse model of recurrent disease.
PMID- 21884644
TI - Cannibalism, cell survival, and endocrine resistance in breast cancer.
AB - Breast cancer cells often respond to an endocrine therapy by altering expression
of specific estrogen-responsive genes and inducing autophagy, a cannibalistic
lysosomal pathway. Autophagy eliminates damaged or other organelles, allowing the
recovery of the energy stored in their macromolecules to attempt restoration of
metabolic homeostasis. Induction of autophagy can result from activation of the
unfolded protein response following metabolic stress, the final cell fate often
being determined by the extent and duration of autophagy. A study by Gonzalez
Malerva and colleagues builds upon this extensive knowledge, adding HSPB8 to the
list of altered genes associated with endocrine resistance in breast cancer and
describing the ability of HSPB8 to regulate autophagy and confer tamoxifen
resistance.
PMID- 21884645
TI - Clinical review: Update on hemodynamic monitoring--a consensus of 16.
AB - Hemodynamic monitoring plays a fundamental role in the management of acutely ill
patients. With increased concerns about the use of invasive techniques, notably
the pulmonary artery catheter, to measure cardiac output, recent years have seen
an influx of new, less-invasive means of measuring hemodynamic variables, leaving
the clinician somewhat bewildered as to which technique, if any, is best and
which he/she should use. In this consensus paper, we try to provide some
clarification, offering an objective review of the available monitoring systems,
including their specific advantages and limitations, and highlighting some key
principles underlying hemodynamic monitoring in critically ill patients.
PMID- 21884649
TI - Genetic risk factors for celiac disease.
PMID- 21884650
TI - Genetic risk factors for celiac disease.
PMID- 21884646
TI - Bench-to-bedside review: Ventilation-induced renal injury through systemic
mediator release--just theory or a causal relationship?
AB - We review the current literature on the molecular mechanisms involved in the
pathogenesis of acute kidney injury induced by plasma mediators released by
mechanical ventilation. A comprehensive literature search in the PubMed database
was performed and articles were identified that showed increased plasma levels of
mediators where the increase was solely attributable to mechanical ventilation. A
subsequent search revealed articles delineating the potential effects of each
mediator on the kidney or kidney cells. Limited research has focused specifically
on the relationship between mechanical ventilation and acute kidney injury. Only
a limited number of plasma mediators has been implicated in mechanical
ventilation-associated acute kidney injury. The number of mediators released
during mechanical ventilation is far greater and includes pro- and anti
inflammatory mediators, but also mediators involved in coagulation, fibrinolysis,
cell adhesion, apoptosis and cell growth. The potential effects of these
mediators is pleiotropic and include effects on inflammation, cell recruitment,
adhesion and infiltration, apoptosis and necrosis, vasoactivity, cell
proliferation, coagulation and fibrinolysis, transporter regulation, lipid
metabolism and cell signaling. Most research has focused on inflammatory and
chemotactic mediators. There is a great disparity of knowledge of potential
effects on the kidney between different mediators. From a theoretical point of
view, the systemic release of several mediators induced by mechanical ventilation
may play an important role in the pathophysiology of acute kidney injury.
However, evidence supporting a causal relationship is lacking for the studied
mediators.
PMID- 21884647
TI - Prevalence of ocular signs and subclinical vitamin A deficiency and its
determinants among rural pre-school children in India.
AB - OBJECTIVE: To assess the magnitude and determinants of vitamin A deficiency (VAD)
and coverage of vitamin A supplementation (VAS) among pre-school children.
DESIGN: A community-based cross-sectional study was carried out by adopting a
multistage, stratified, random sampling procedure. SETTING: Rural areas of eight
states in India. SUBJECTS: Pre-school children and their mothers were covered.
RESULTS: A total of 71,591 pre-school children were clinically examined for
ocular signs of VAD. Serum retinol concentrations in dried blood spots were
assessed in a sub-sample of 3954 children using HPLC. The prevalence of Bitot
spots was 0.8%. The total ocular signs were significantly higher (P < 0.001)
among boys (2.6%) compared with girls (1.9%) and in older children (3-4 years)
compared (P < 0.001) with younger (1-2 years), and were also high in children of
labourers, scheduled castes and illiterate mothers. The odds of having Bitot
spots was highest in children of scheduled caste (OR = 3.8; 95% CI 2.9, 5.0),
labourers (OR = 2.9; 95% CI 2.1, 3.9), illiterate mothers (OR = 2.7; 95% CI 2.2,
2.3) and households without a sanitary latrine (OR = 5.9; 95% CI 4.0, 8.7).
Subclinical VAD (serum retinol level <20 MUg/dl) was observed in 62% of children.
This was also relatively high among scheduled caste and scheduled tribe children.
The rate of coverage of VAS was 58%. CONCLUSIONS: The study revealed that VAD is
a major nutritional problem and coverage of VAS was poor. The important
determinants of VAD were illiteracy, low socio-economic status, occupation and
poor sanitation. Strengthening the existing VAS programme and focused attention
on dietary diversification are essential for prevention of VAD.
PMID- 21884651
TI - Does ultrasonic dental equipment affect cardiovascular implantable electronic
devices?
PMID- 21884652
TI - What should I look for when treating an alcoholic patient (current or recovered)
in my office?
PMID- 21884653
TI - Oral lesion on dorsum of tongue.
PMID- 21884654
TI - "Now we got lots to eat and they're telling us not to eat it": understanding
changes to south-east Labrador Inuit relationships to food.
AB - OBJECTIVES: Culture, history and social circumstances shape how people understand
their relationships to food, what foods are eaten, when, how much and how often.
This ultimately shapes overall health. This study aims to connect research about
food, culture and health by positioning south-eastern Labrador Inuit
understandings of food at the forefront of how we begin to address chronic
disease within southeastern Labrador Inuit communities. STUDY DESIGN: This study
collected stories about food from 3 generations of men and women who live in the
south-east Labrador Inuit community of St. Lewis, Newfoundland and Labrador.
METHODS: Qualitative interviews (n=24) and 1 focus group (n=8) were conducted
with 3 generations of men and women who were asked to share stories about how
they experience and understand their relationships to food. RESULTS: Local plants
and animals have historically been used for shelter, clothing and medicines, and
their procurement provided opportunities for physical activity, sharing with
others and passing along generational knowledge. The historical absence of
government services has meant that stable food supplies were unavailable; local
sources of food have, until the recent past, been essential for survival. The
significant change over a short period, from having to ensure that one has enough
to eat and avoiding nutritional deficiencies, to having both healthy and
unhealthy food choices constantly available, has required a different "way" of
understanding food. CONCLUSIONS: It is imperative that nutrition programs and
resources directed towards improving the health of south-east Labrador Inuit take
into account how cultural, historical and social circumstances have shaped south
east Labrador Inuit understandings of food.
PMID- 21884655
TI - Community-based health research led by the Vuntut Gwitchin First Nation.
AB - OBJECTIVES: This paper documents an exceptional research partnership developed
between the Vuntut Gwitchin Government (VGG) in Old Crow, Yukon, with a group of
scientists to examine northern food security and health as part of a larger,
multidisciplinary International Polar Year (IPY) research program. We focus on
the elements that enabled a successful community-researcher relationship. Study
design. The VGG led the development of the research and acted as Principal
Investigator on the IPY grant. The multidisciplinary collaboration spanned the
physical, biological and health sciences, including issues related to food
security. METHODS: The food security and health component of this research was
carried out using a series of complementary methods, including focus groups,
structured interviews, a household questionnaire, an interactive workshop,
community meetings, transcript analysis and a caribou flesh exposure assessment.
RESULTS: Results from the food security component are informing local and
regional adaptation planning. The legacy of the research collaboration includes a
number of results-based outputs for a range of stakeholders, a community-based
environmental monitoring program, long-term research relationships and improved
community capacity. CONCLUSIONS: The type of collaboration described here
provides a useful model for new types of participatory health research with
northern communities.
PMID- 21884656
TI - Identification of risk factors by systematic review and development of risk
adjusted models for surgical site infection.
AB - BACKGROUND: Surgical site infections (SSIs) are complications of surgery that
cause significant postoperative morbidity. SSI has been proposed as a potential
indicator of the quality of care in the context of clinical governance and
monitoring of the performance of NHS organisations against targets. OBJECTIVES:
We aimed to address a number of objectives. Firstly, identify risk factors for
SSI, criteria for stratifying surgical procedures and evidence about the
importance of postdischarge surveillance (PDS). Secondly, test the importance of
risk factors for SSI in surveillance databases and investigate interactions
between risk factors. Thirdly, investigate and validate different definitions of
SSI. Lastly, develop models for making risk-adjusted comparisons between
hospitals. DATA SOURCES: A single hospital surveillance database was used to
address objectives 2 and 3 and the UK Surgical Site Infection Surveillance
Service database to address objective 4. STUDY DESIGN: There were four elements
to the research: (1) systematic reviews of risk factors for SSI (two reviewers
assessed titles and abstracts of studies identified by the search strategy and
the quality of studies was assessed using the Newcastle Ottawa Scale); (2)
assessment of agreement between four SSI definitions; (3) validation of
definitions of SSI, quantifying their ability to predict clinical outcomes; and
(4) development of operation-specific risk models for SSI, with hospitals fitted
as random effects. RESULTS: Reviews of SSI risk factors other than established
SSI risk indices identified other risk; some were operation specific, but others
applied to multiple operations. The factor most commonly identified was duration
of preoperative hospital stay. The review of PDS for SSI confirmed the need for
PDS if SSIs are to be compared meaningfully over time within an institution.
There was wide variation in SSI rate (SSI%) using different definitions. Over
twice as many wounds were classified as infected by one definition only as were
classified as infected by both. Different SSI definitions also classified
different wounds as being infected. The two most established SSI definitions had
broadly similar ability to predict the chosen clinical outcomes. This finding is
paradoxical given the poor agreement between definitions. Elements of each
definition not common to both may be important in predicting clinical outcomes or
outcomes may depend on only a subset of elements which are common to both. Risk
factors fitted in multivariable models and their effects, including age and
gender, varied by surgical procedure. Operative duration was an important risk
factor for all operations, except for hip replacement. Wound class was included
least often because some wound classes were not applicable to all operations or
were combined because of small numbers. The American Association of
Anesthesiologists class was a consistent risk factor for most operations.
CONCLUSIONS: The research literature does not allow surgery-specific or generic
risk factors to be defined. SSI definitions varied between surveillance
programmes and potentially between hospitals. Different definitions do not have
good agreement, but the definitions have similar ability to predict outcomes
influenced by SSI. Associations between components of the National Nosocomial
Infections Surveillance risk index and odds of SSI varied for different surgical
procedures. There was no evidence for effect modification by hospital. Estimates
of SSI% should be disseminated within institutions to inform infection control.
Estimates of SSI% across institutions or countries should be interpreted
cautiously and should not be assumed to reflect quality of medical care. Future
research should focus on developing an SSI definition that has satisfactory
psychometric properties, that can be applied in everyday clinical settings,
includes PDS and is formulated to detect SSIs that are important to patients or
health services. FUNDING: The National Institute for Health Research Technology
Assessment programme.
PMID- 21884657
TI - [Pregnancy complications].
PMID- 21884658
TI - [Severe increase in alkaline phosphatase levels during pregnancy].
AB - In pregnancy the maternal serum alkaline phosphatase (AP) level increases
twofold. If AP values rise above this level, diseases should be considered. We
report a case of a 29 year-old pregnant woman with a ninefold increase in the
serum AP level due to an increase in the placental level. In the last trimester
she had a deep venous thrombosis and lung emboli, which is, however, not shown to
correlate to a rise in the AP level. She delivered uncomplicated at term. The aim
of this case report is to show the increase of the AP level in pregnancy, to show
that there are different isozymes of AP, and to show the importance of
investigating a serum AP level, which is increased more than twofold.
PMID- 21884659
TI - [Blood pressure lowering efficacy of beta-blockers added to monotherapy with a
thiazid or a calcium antagonist--a survey of a Cochrane review].
AB - A blood pressure fall of 6-8/4-6 mmHg is seen when a beta-blocker is added to a
thiazid or a calcium antagonist. Due to less protection against cardiovascular
complications, most likely explained by an insufficient reduction in the central
aortic blood pressure profile betablockers, especially atenolol, is no longer
first choice treatment for hypertension, unless ischaemic heart disease or heart
failure is present.
PMID- 21884660
TI - [5-aminosalicylic acid for induction of remission or clinical response in Crohn's
disease--a survey of a Cochrane review].
AB - A systematic review to evaluate the efficacy of 5-aminosalicylates for induction
of remission or clinical response in patients with mild to moderately active
Crohn's disease is described. The effect of either high (3 to 4.5 g/day) or low
dose (1 to 2 g/day) 5-aminosalicylic acid was similar to that of placebo.
Overall, sulfasalazine was not superior to placebo and was inferior to
glucocorticoids for the treatment of mild to moderately active Crohn's disease.
Neither published nor unpublished data support any use of 5-aminosalicylates for
the treatment of Crohn's disease.
PMID- 21884661
TI - [Restless legs syndrome and depression].
AB - Epidemiological studies report an odds ratio of 2-4 for major depression among
patients with restless legs syndrome (RLS) compared with healthy controls. Also a
high prevalence of RLS in populations of depressed patients is seen. Several
possible explanations are proposed, such as dopamine dysfunction, sleep
disturbances, shared diagnostic criteria and adverse effects of antidepressive
treatment. There is a low degree of evidence for a treatment strategy when
depression and RLS coexist. Furthermore, it is important to realize, that some
antidepressants can induce or worsen RLS.
PMID- 21884662
TI - [Asthma is an epidemic].
AB - Asthma is a frequent illness in young adults and is characterized by airway hyper
responsiveness (AHR) and airway inflammation. Asthma is a complex disease and AHR
as well as inflammation may be limited, although characteristic symptoms are
present. The clinical experience in asthma treatment is that not all asthmatics
have a satisfactory effect of the different drugs. Asthma has been found to
develop from one disease to a multifaceted disease with numerous different
possibilities. More research is needed in strategic asthma management in the
search for tailored treatment strategy.
PMID- 21884663
TI - [Ketamine is used again by both physicians and addicts].
AB - Ketamine is a unique anaesthetic because it has both hypnotic and analgesic
effects and also potential hallucinogenic side effects. Lack of cardiopulmonary
depression makes the drug a popular choice for anaesthesia in the prehospital
setting. In recent years ketamine has been found to have anti-hyperalgesic and
opioid saving effects, opening to new ways of managing post-operative and chronic
pain states. Recreational use of ketamine among night clubbers is increasing and
makes acute and chronic symptoms of ketamine abuse a new challenge in emergency
departments.
PMID- 21884664
TI - [Antibiotics can ameliorate circulatory complications of liver cirrhosis].
AB - Livercirrhosis can be complicated by a hyperdynamic circulatory syndrome. This is
due to translocation of bacteria and bacterial product (bacterial DNA and
endotoxins), which stimulate the splanchnic nitric oxide synthase and leads to
splanchnic vasodilatation and haemodynamic derangement. This review focuses on
how broad spectrum antibiotics can ameliorate the haemodynamic consequences of
bacterial translocation. It is possible that the use of broad spectrum
antibiotics in the future may be used to prevent other complications of liver
cirrhosis than spontaneous bacterial peritonitis and infections after
gastrointestinal bleeding.
PMID- 21884665
TI - [Presentation of atypical bullous pyoderma gangrenosum].
AB - Pyoderma gangrenosum (PG) is a rare, ulcerative skin disease. Atypical PG is
characterized by rapidly evolving painful vesicles and enlarging bullae. Minor
trauma or surgery is considered the cause in 25-50% of PG lesions. PG often
mimics necrotizing infection and may therefore be inadequately treated. We
present a patient with rapidly progressing bullous PG. The lesions were initially
treated surgically, which led to exacerbation of the ulcers. A decision of
surgery should be preceded by bacterial culture and microscopy and in case of
uncertain diagnosis also by histopathologic examination.
PMID- 21884666
TI - [Topical negative pressure and skin transplantation for the treatment of pyoderma
gangrenosum].
AB - Pyoderma gangrenosum (PG) is a rare idiopathic, autoimmune and inflammatory
disease characterized by the development of ulcerative skin lesions. A 58 year
old woman, diagnosed with biopsy-verified PG was admitted to the Wound Center at
Odense University Hospital, with rapid progression of painful, necrotic PG
lesions on both crura. The lesions were treated, in collaboration with the
dermatologists, with systemic steroids, topical negative pressure treatments and
surgical revision in addition to partial skin graft with good results. Following
the treatment the patient has been pain free and without recurrence for 11
months.
PMID- 21884667
TI - [Congenital methaemoglobinaemia--a rare cause of dyspnoea and cyanosis].
AB - Dyspnoea in young people often leads to the diagnosis of asthma. A young female
(with related parents) showed symptoms of cyanosis, dyspnoea and fatigue during
physical activity despite asthma medication. High levels of methaemoglobin were
measured. Genetic testing showed homozygote type 1b5r-deficiency. Cyanosis and
lacking effect of asthma treatment should lead to further diagnostic evaluation
with arterial blood gas analyses, including assessment of methaemoglobin.
Congenitally inherited methaemoglobinaemia is a rare disease, but its diagnosis
is important to ensure correct handling and treatment.
PMID- 21884668
TI - The impact of an implicit manipulation of self-esteem on body dissatisfaction.
AB - BACKGROUND AND OBJECTIVES: Given the theoretically postulated causal pathway from
low self-esteem on body dissatisfaction, the aim of the present study was to
experimentally test this linkage before and after a mirror exposure in body
dissatisfied females. METHOD: Thirty-six women with high body dissatisfaction
(HBD) and 39 women with low body dissatisfaction (LBD) received either a positive
or a negative implicit manipulation of self-esteem and participants' actual body
dissatisfaction and negative emotions were assessed (T1). Following that, they
underwent a one minute mirror exposure and actual body dissatisfaction and
emotions were assessed once more (T2). RESULTS: In the HBD group no effects of
the self-esteem manipulation were found prior to the mirror exposure. However,
the negative manipulation of self-esteem led to a significant increase of body
dissatisfaction over the course of the mirror exposure. The positive manipulation
of self-esteem did not decrease body dissatisfaction over the course of the
mirror exposure. No effects of self-esteem on body dissatisfaction were found in
the LBD group. LIMITATIONS: Formal eating disorder diagnosis in study
participants was not established. Therefore, the extension of the results to an
eating disordered population is recommended. CONCLUSIONS: The results yield
evidence of a close linkage between negative self-esteem and body dissatisfaction
in individuals high on body dissatisfaction. Consistent with cognitive theories,
this link is only apparent when shape and weight schemas are activated, e.g. by
the confrontation with one's own body.
PMID- 21884670
TI - Dermatological side effects of hepatitis C and its treatment: patient management
in the era of direct-acting antivirals.
AB - Dermatological adverse events (AEs) are an existing concern during hepatitis C
virus (HCV) infection and peginterferon/ribavirin treatment. HCV infection leads
to dermatological and muco-cutaneous manifestations including small-vessel
vasculitis as part of the mixed cryoglobulinemic syndrome.
Peginterferon/ribavirin treatment is associated with well-characterized
dermatological AEs tending towards a uniform entity of dermatitis. New direct
acting antivirals have led to significant improvements in sustained virologic
response rates, but several have led to an increase in dermatological AEs versus
peginterferon/ribavirin alone. In telaprevir trials, approximately half of
treated patients had rash. More than 90% of these events were Grade 1 or 2
(mild/moderate) and in the majority (92%) of cases, progression to a more severe
grade did not occur. In a small number of cases (6%), rash led to telaprevir
discontinuation, whereupon symptoms commonly resolved. Dermatological AEs with
telaprevir-based triple therapy were generally similar to those observed with
peginterferon/ribavirin (xerosis, pruritus, and eczema). A few cases were
classified as severe cutaneous adverse reaction (SCAR), also referred to as
serious skin reactions, a group of rare conditions that are potentially life
threatening. It is therefore important to distinguish between telaprevir-related
dermatitis and SCAR. The telaprevir prescribing information does not require
telaprevir discontinuation for Grade 1 or 2 (mild/moderate) rash, which can be
treated using emollients/moisturizers and topical corticosteroids. For Grade 3
rash, the prescribing information mandates immediate telaprevir discontinuation,
with ribavirin interruption (with or without peginterferon) within 7 days of
stopping telaprevir if there is no improvement, or sooner if it worsens. In case
of suspicion or confirmed diagnosis of SCAR, all study medication must be
discontinued.
PMID- 21884671
TI - Acoustic radiation force-based shear stiffness and non-invasive panels of tests
in Japanese patients with nonalcoholic fatty liver disease.
PMID- 21884672
TI - Transient elevation of serum bile salts after partial hepatectomy is due to
metabolic overload and not to cholestasis.
PMID- 21884674
TI - New observations on the "early repolarization syndrome".
PMID- 21884673
TI - Profile of L-type Ca(2+) current and Na(+)/Ca(2+) exchange current during cardiac
action potential in ventricular myocytes.
AB - OBJECTIVE: The L-type Ca(2+) current (I(Ca,L)) and the Na(+)/Ca(2+) exchange
current (I(NCX)) are major inward currents that shape the cardiac action
potential (AP). Previously, the profile of these currents during the AP was
determined from voltage-clamp experiments that used Ca(2+) buffer. In this study,
we aimed to obtain direct experimental measurement of these currents during
cardiac AP with Ca(2+) cycling. METHOD: A newly developed AP-clamp sequential
dissection method was used to record ionic currents in guinea pig ventricular
myocytes under a triad of conditions: using the cell's own AP as the voltage
command, using internal and external solutions that mimic the cell's ionic
composition, and, importantly, not using any exogenous Ca(2+) buffer. RESULTS:
The nifedipine-sensitive current (I(NIFE)), which is composed of I(Ca,L) and
I(NCX), revealed hitherto unreported features during the AP with Ca(2+) cycling
in the cell. We identified 2 peaks in the current profile followed by a long
residual current extending beyond the AP, coinciding with a residual
depolarization. The second peak and the residual current become apparent only
when Ca(2+) is not buffered. Pharmacological dissection of I(NIFE) by using
SEA0400 shows that I(Ca,L) is dominant during phases 1 and 2 whereas I(NCX)
contributes significantly to the inward current during phases 3 and 4 of the AP.
CONCLUSION: These data provide the first direct experimental visualization of
I(Ca,L) and I(NCX) during cardiac the AP and Ca(2+) cycle. The residual current
reported here can serve as a potential substrate for afterdepolarizations when
increased under pathologic conditions.
PMID- 21884675
TI - Atrial-selective inhibition of sodium-channel current by Wenxin Keli is effective
in suppressing atrial fibrillation.
AB - BACKGROUND: Wenxin Keli is a Chinese herb extract reported to be of benefit in
the treatment of cardiac arrhythmias, cardiac inflammation, and heart failure.
METHODS AND RESULTS: We evaluated the electrophysiologic effects of Wenxin Keli
in isolated canine arterially perfused right atrial preparations with a rim of
right ventricular tissue (n = 11). Transmembrane action potentials and a
pseudoelectrocardiogram were simultaneously recorded. Acetylcholine (1 MUM) was
used to induce atrial fibrillation (AF) and to test the anti-AF potential of
Wenxin Keli (5 g/L). Wenxin Keli produced preferential abbreviation of action
potential duration measured at 90% repolarization (APD(90)) in atria, but caused
atrial-selective prolongation of the effective refractory period, due to the
development of postrepolarization refractoriness. The maximum rate of rise of the
action potential upstroke was preferentially reduced in atria. The diastolic
threshold of excitation increased in both atria and ventricles, but much more in
atria. The duration of the "P wave" (index of atrial conduction time) was
prolonged to a much greater extent than the duration of the "QRS complex" (index
of ventricular conduction time). Wenxin Keli significantly reduced I(Na) and
shifted steady-state inactivation to more negative potentials in HEK293 cells
stably expressing SCN5A. Wenxin Keli prevented the induction of persistent AF in
100% atria (6/6) and, in another experimental series, was found to terminate
persistent acetylcholine-mediated AF in 100% of atria (3/3). CONCLUSION: Wenxin
Keli produces atrial-selective depression of I(Na)-dependent parameters in canine
isolated coronary-perfused preparations via a unique mechanism and is effective
in suppressing AF and preventing its induction, with minimal effects on the
ventricular electrophysiology.
PMID- 21884676
TI - Mouse mammary tumor virus in human breast cancer red herring or smoking gun?
PMID- 21884677
TI - Voltammetric behavior of complexation of salbutamol with calf thymus DNA and its
analytical application.
AB - The interaction of salbutamol (Sal), an animal growth promoter, with DNA was
investigated by differential pulse voltammetry (DPV), cyclic voltammetry (CV),
and fluorescence spectroscopy. An irreversible reduction was observed from the
cyclic voltammograms, and the reaction mechanism involved a one-electron change
irreversible oxidation. In the presence of DNA, the DPV peak current decreased
and the Sal peak shifted to higher potentials, indicating that Sal interacted
with DNA to form an intercalation Sal-DNA complex. In addition, reaction binding
parameters were extracted from the DPV data with the use of the multivariate
curve resolution-alternating least squares (MCR-ALS) method; the binding constant
and ratio were found to be (2.0+/-0.5)*10(5) M(-1) and 1:1, respectively.
Quantitative voltammetric analysis of Sal was performed in the concentration
range of 3.02*10(-6) to 1.23*10(-4) molL(-1), and it was found that the detection
limit was 5.11*10(-7) molL(-1) in the presence of 1.00*10(-6) molL(-1) DNA. The
method was applied for the determination of Sal in spiked urine and human serum
samples, and the calibration was successfully verified.
PMID- 21884678
TI - Transmembrane helices can induce domain formation in crowded model membranes.
AB - We studied compositionally heterogeneous multi-component model membranes
comprised of saturated lipids, unsaturated lipids, cholesterol, and alpha-helical
TM protein models using coarse-grained molecular dynamics simulations. Reducing
the mismatch between the length of the saturated and unsaturated lipid tails
reduced the driving force for segregation into liquid-ordered (l(o)) and liquid
disordered (l(d)) lipid domains. Cholesterol depletion had a similar effect, and
binary lipid mixtures without cholesterol did not undergo large-scale phase
separation under the simulation conditions. The phase-separating ternary
dipalmitoyl-phosphatidylcholine (DPPC)/dilinoleoyl-PC (DLiPC)/cholesterol bilayer
was found to segregate into l(o) and l(d) domains also in the presence of a high
concentration of TauMU helices. The l(d) domain was highly crowded with TM
helices (protein-to-lipid ratio ~1:5), slowing down lateral diffusion by a factor
of 5-10 as compared to the dilute case, with anomalous (sub)-diffusion on the MUs
time scale. The membrane with the less strongly unsaturated palmitoyl-linoleoyl
PC instead of DLiPC, which in the absence of TM alpha-helices less strongly
deviated from ideal mixing, could be brought closer to a miscibility critical
point by introducing a high concentration of TM helices. Finally, the 7-TM
protein bacteriorhodopsin was found to partition into the l(d) domains
irrespective of hydrophobic matching. These results show that it is possible to
directly study the lateral reorganization of lipids and proteins in
compositionally heterogeneous and crowded model biomembranes with coarse-grained
molecular dynamics simulations, a step toward simulations of realistic,
compositionally complex cellular membranes. This article is part of a Special
Issue entitled: Protein Folding in Membranes.
PMID- 21884680
TI - Protective effect of catechin in type I Gaucher disease cells by reducing
endoplasmic reticulum stress.
AB - Gaucher disease (GD) is the most common lysosomal storage disorder (LSD) and is
divided into three phenotypes, I, II, and III. Type I is the most prevalent form
and has its onset in adulthood. The degree of endoplasmic reticulum (ER) stress
is one of the factors that determine GD severity. It has recently been reported
that antioxidants reduce ER stress and apoptosis by scavenging the oxidants that
cause oxidative stress. For this report, we investigated the possibility that
catechin can act on type I GD patient cells to alleviate the pathogenic
conditions of GD. We treated GD cells with catechin and examined the expression
level of GRP78/BiP (an ER stress marker) by western blots and fluorescence
microscopy, the proliferation rate of GD cells, and scratch-induced wound healing
activity. Our results show that catechin reduces the expression level of
GRP78/BiP, leads to cell proliferation rates of GD cells similar levels to normal
cells, and improves wound healing activity. We conclude that catechin protects
against ER stress in GD cells and catechin-mediated reductions in ER stress may
be associated with enhanced cell survival.
PMID- 21884681
TI - Sanguinarine is an allosteric activator of AMP-activated protein kinase.
AB - We found that a natural product, Sanguinarine, directly interacts with AMPK and
enhances its enzymatic activity. Cell-based assays confirmed that cellular AMPK
and the downstream acetyl-CoA carboxylase (ACC) were phosphorylated after
Sanguinarine treatment. Sanguinarine was shown to exclusively activate AMPK
holoenzymes containing alpha1gamma1 complexes, and it activated both beta1- and
beta2-containing isotypes of AMPK. Furthermore, a docking study suggested that
Sanguinarine binds AMPK at the cleft between the beta and gamma domains whereas
the physiological activator, AMP, binds at the well-characterized gamma domain
pocket. In summary, we report that Sanguinarine is a novel, direct activator of
AMPK that binds by a unique allosteric mechanism different from that of the
natural AMPK ligand, AMP, and other known AMPK activators. These studies have
direct applications to the pharmacological study of AMPK activation and the
potential development of new therapeutics.
PMID- 21884679
TI - Manipulating the genetic code for membrane protein production: what have we
learnt so far?
AB - With synthetic gene services, molecular cloning is as easy as ordering a pizza.
However choosing the right RNA code for efficient protein production is less
straightforward, more akin to deciding on the pizza toppings. The possibility to
choose synonymous codons in the gene sequence has ignited a discussion that dates
back 50 years: Does synonymous codon use matter? Recent studies indicate that
replacement of particular codons for synonymous codons can improve expression in
homologous or heterologous hosts, however it is not always successful.
Furthermore it is increasingly apparent that membrane protein biogenesis can be
codon-sensitive. Single synonymous codon substitutions can influence mRNA
stability, mRNA structure, translational initiation, translational elongation and
even protein folding. Synonymous codon substitutions therefore need to be
carefully evaluated when membrane proteins are engineered for higher production
levels and further studies are needed to fully understand how to select the
codons that are optimal for higher production. This article is part of a Special
Issue entitled: Protein Folding in Membranes.
PMID- 21884682
TI - A coronary artery disease-associated gene product, JCAD/KIAA1462, is a novel
component of endothelial cell-cell junctions.
AB - Cell-cell junctions play crucial roles in the organization and function of
epithelial and endothelial cellular sheets. Here, we have identified the protein
product for KIAA1462 gene, whose single nucleotide polymorphisms (SNPs) have
recently reported to be associated with coronary artery disease, as a novel
component of cell-cell junctions. We propose the name of KIAA1462 protein
junctional protein associated with coronary artery disease (JCAD). JCAD is a ~145
kDa protein without any known domains but contains a proline-rich region.
Immunolocalization studies revealed that JCAD is specifically localized at cell
cell junctions in endothelial cells but not in epithelial cells. The accumulation
of JCAD at cell-cell junctions in cultured endothelial cells was impaired by RNAi
mediated suppression of VE-cadherin expression. In cell adhesion-deficient mouse
L fibroblasts, JCAD was recruited to cell-cell contacts when cadherin-mediated
cell-cell adhesion was induced. These results indicate that JCAD is a component
of VE-cadherin-based cell-cell junctions in endothelial cells. This study also
suggests the implication of endothelial cell-cell adhesion in coronary artery
disease.
PMID- 21884683
TI - RNA polymerase II degradation in response to rapamycin is not mediated through
ubiquitylation.
AB - In Saccharomyces cerevisiae, the immunosuppressor rapamycin engenders the
degradation of excessive RNA polymerase II leading to growth arrest but the
regulation of this process is not known yet. Here, we show that this mechanism is
dependent on the peptidyl prolyl cis/trans isomerase Rrd1. Strikingly this
degradation is independent of RNA polymerase II polyubiquitylation and does not
require the elongation factor Elc1. Our data reveal that there are at least two
alternative pathways to degrade RNA polymerase II that depend on different type
of stresses.
PMID- 21884685
TI - Interference of deferasirox with assays for serum iron and serum unsaturated iron
binding capacity during iron chelating therapy.
AB - BACKGROUND: Deferasirox (DFX) is an oral iron chelator that is used worldwide for
the treatment of iron overload. Although serum ferritin level is usually measured
as a marker of the efficacy of DFX, we sometimes experienced unexplainable
changes in other serum markers for iron. We hypothesized that photometric assays
for serum iron (sFe) and unsaturated iron binding capacity (UIBC) might be
affected by DFX. METHODS: Measurement of sFe and UIBC was performed using 4
different assay systems. The samples were prepared by adding 0-300 MUM DFX to
pooled human serum or 15 randomized human serum samples. In some experiments, DFX
iron complex (DFX-Fe) was prepared by mixing iron ammonium citrate solution and
DFX solution. RESULTS: Measurement of sFe was influenced by DFX-Fe, while iron
free DFX showed no effect on the value of sFe; DFX-Fe was measured as sFe,
undistinguishable from transferrin-bound iron. On the other hand, measurement of
serum UIBC was influenced by DFX itself; DFX might have been bound to iron in the
reagent used for the assay, leading to an increase in UIBC values. CONCLUSIONS:
DFX affected the sFe and UIBC assay systems. We must be careful in observing
these markers during iron chelation therapy with DFX.
PMID- 21884686
TI - Toll-like receptors and macrophage activation in atherosclerosis.
AB - Atherosclerosis is a multi-factorial inflammatory disease and is the primary
initiator of coronary artery and cerebrovascular disease. Initially believed to
be exclusively lipid-driven, recent evidence demonstrates that inflammation is a
significant driving force of the disease. Cellular components of innate immunity,
for example monocytes and macrophages, play a predominant role in
atherosclerosis. Toll-like receptors (TLRs) are the most characterised innate
immune receptors and recent evidence demonstrates an important role in
atherogenesis. Engagement of TLRs results in the transcription of pro
inflammatory cytokines, foam cell formation and activation of adaptive immunity.
Recently they have also been implicated in protection from vascular disease. In
this review, we detail the role of the innate immune system, specifically
macrophages and TLR signalling, in atherosclerosis and acute cardiovascular
complications, and thereby identify the potential of TLRs to act as therapeutic
targets.
PMID- 21884684
TI - Ethanol impairs muscarinic receptor-induced neuritogenesis in rat hippocampal
slices: Role of astrocytes and extracellular matrix proteins.
AB - In an in vitro co-culture system of astrocytes and neurons, stimulation of
cholinergic muscarinic receptors in astrocytes had been shown to cause
neuritogenesis in hippocampal neurons, and this effect was inhibited by ethanol.
The present study sought to confirm these earlier findings in a more complex
system, in vitro rat hippocampal slices in culture. Exposure of hippocampal
slices to the cholinergic agonist carbachol (1mM for 24h) induced neurite
outgrowth in hippocampal pyramidal neurons, which was mediated by activation of
muscarinic M3 receptors. Specifically, carbachol induced a >4-fold increase in
the length of the longest neurite, and a 4-fold increase in the length of minor
neurites and in the number of branches. Co-incubation of carbachol with ethanol
(50mM) resulted in significant inhibition of the effects induced by carbachol on
all parameters measured. Neurite outgrowth in CNS neurons is dependent on various
permissive factors that are produced and released by glial cells. In hippocampal
slices carbachol increased the levels of two extracellular matrix protein,
fibronectin and laminin-1, by 1.6-fold, as measured by Western blot. Co
incubation of carbachol with ethanol significantly inhibited these increases.
Carbachol-induced increases in levels of extracellular matrix proteins were
antagonized by a M3 muscarinic receptor antagonist. Furthermore, function
blocking fibronectin or laminin-1 antibodies antagonized the effect of carbachol
on neurite outgrowth. These results indicate that in hippocampal slices
stimulation of muscarinic M3 receptors induces neurite outgrowth, which is
mediated by fibronectin and laminin-1, two extracellular matrix proteins released
by astrocytes. By decreasing fibronectin and laminin levels ethanol prevents
carbachol-induced neuritogenesis. These findings highlight the importance of
glial-neuronal interactions as important targets in the developmental
neurotoxicity of alcohol.
PMID- 21884687
TI - Rapid allele-specific PCR method for CDA 79A>C (K27Q) genotyping: a useful
pharmacogenetic tool and world-wide polymorphism distribution.
AB - BACKGROUND: The CDA 79A>C (K27Q, rs2072671) functional SNP has recently shown a
crucial role in the pharmacogenetics of cytidine-based anticancer drugs widely
administered to different subsets of patients. Current gold standard in screening
for the CDA rs2072671 is the sequence-based genotyping method. Here we developed
a novel, rapid Allele-Specific PCR method for CDA rs2072671 genotyping. METHODS:
DNA was extracted from 324 healthy individuals from two different populations
(Italian and Han Chinese). CDA rs2072671 genotyping was performed by Allele
Specific PCR. Sequencing was performed to validate the test results. Results
obtained from population screening were compared to that already available in
HapMap and in the literature. RESULTS: Samples analyzed were successfully
genotyped and the results were confirmed by sequencing. Genotype distribution
does not differ significantly from that previously reported for each relative
ethnic group. Also, the world-wide distribution of the CDA rs2072671 SNP is
reported. A striking difference is present among the main ethnicities
(p=1.715*10(-77)), with CDA*27Q allele showing the lowest frequency in African
group (9.7%) and the highest in Caucasians (35.9%). CONCLUSION: This Allele
Specific PCR method is a useful tool in pharmacogenetics research and a valid and
reliable alternative for CDA rs2072671 screening where sequencing or Real-Time
PCR is not available.
PMID- 21884688
TI - Assessment of two thawing processes of cryopreserved human sperm in pellets.
AB - In this study, we evaluated the effects of the thawing methodology on sperm
function after cryopreservation in pellets. We compared the use of two thawing
procedures: method (1) maintaining pellet for 10 min in air at room temperature,
then another 10-min period in air at 37 degrees C followed by dilution in a
thawing medium; and method (2) immersing the pellets directly in thawing medium
at 37 degrees C for 20 min. This procedure leads to a higher rate of temperature
increase and a dilution of the glycerol present in the freezing medium. We
analyzed the effect of the thawing procedure on sperm motility, viability,
membrane lipid packing disorder, acrosome status, reactive oxygen species (ROS)
level and sperm chromatin condensation. This study revealed a positive effect of
the M2 thawing methodology on sperm parameters. The percentage of spermatozoa
with fast-linear movement is increased (M1: 17.26% vs. M2: 28.05%, p<0.01), with
higher viability (M1: 37.81% vs. M2: 40.15%, p<0.01) and less acrosome damage
(M1: 40.44% vs. M2: 35.45%, p=0.02). We also detected an increase in the
percentage of viable spermatozoa with low membrane lipid disorder (M1: 31.36% vs.
M2: 33.17%, p=0.03) and a reduction in chromatin condensation (44.62 vs. 46.62
arbitrary units, p=0.02). Further studies will be necessary to evaluate the
possible clinical applications.
PMID- 21884689
TI - Effects of cooling rate, annealing time and biological antifreeze concentration
on thermal hysteresis reading.
AB - Thermal hysteresis (TH) readings depend on the cooling rate, annealing time and
the concentration of the biological antifreeze (AF) (i.e., antifreeze protein or
antifreeze glycoprotein). Such time- and concentration-dependent TH readings are
not true (or absolute) values. The true TH should be independent of time and AF
concentration, and it should be a unique value for a given AF. Only the true TH
can be used to assess the activity of an AF. A mathematical model is proposed to
explain the time- and concentration-dependent behavior of AFs. The model assumes
a reversible Langmuir adsorption mechanism for the AF molecules and the Kelvin
effect to be applicable. A TH equation that correlates the TH reading with the
cooling rate, annealing time and AF concentration was derived. The time-dependent
behavior was attributed to the slow adsorption process of the AF. The theoretical
calculations were compared with previously published data on the effects of the
cooling rate, annealing time and AF concentration on TH readings. The calculated
results agree qualitatively with the literature data. The experimental
methodology required for obtaining the true TH of an AF is suggested.
PMID- 21884690
TI - The effects of preservation procedures on amniotic membrane's ability to serve as
a substrate for cultivation of endothelial cells.
AB - Amniotic membrane (AM) has been used as a scaffold for the ex vivo expansion of
different types of cells and a cell delivery matrix in regenerative medicine.
Since the preservation procedures can influence the AM properties for
experimental and clinical purposes, this study was established to investigate the
feasibility of using the AM after different preservation methods to serve as
substrates for endothelial cell expansion ex vivo. The effects of
cryopreservation and lyophilization were evaluated on mechanical and histological
characteristics of the AM, and the results were compared with the fresh AM. The
ECM components of the basement membrane were well conserved in all groups.
Although lyophilization resulted in more histological changes and lower level of
physical variables including thickness, F(max), elongation at break and suture
retention than the fresh and cryopreserved AM, endothelial cells grown on the
lyophilized AM were better attached to the basement membrane. Cytotoxicity assay
by MTT showed that the lyophilized AM is a compatible substrate for endothelial
cells cultivation. The findings of this study suggest that the lyophilized AM is
a suitable matrix for cultivation of endothelial cells due to this fact that
lyophilization led to exposure of basement membrane of the AM.
PMID- 21884691
TI - Heterologous expression, refolding and functional characterization of two
antifreeze proteins from Fragilariopsis cylindrus (Bacillariophyceae).
AB - Antifreeze proteins (AFPs) provide protection for organisms subjected to the
presence of ice crystals. The psychrophilic diatom Fragilariopsis cylindrus which
is frequently found in polar sea ice carries a multitude of AFP isoforms. In this
study we report the heterologous expression of two antifreeze protein isoforms
from F. cylindrus in Escherichia coli. Refolding from inclusion bodies produced
proteins functionally active with respect to crystal deformation,
recrystallization inhibition and thermal hysteresis. We observed a reduction of
activity in the presence of the pelB leader peptide in comparison with the GS
linked SUMO-tag. Activity was positively correlated to protein concentration and
buffer salinity. Thermal hysteresis and crystal deformation habit suggest the
affiliation of the proteins to the hyperactive group of AFPs. One isoform,
carrying a signal peptide for secretion, produced a thermal hysteresis up to 1.53
degrees C+/-0.53 degrees C and ice crystals of hexagonal bipyramidal shape. The
second isoform, which has a long preceding N-terminal sequence of unknown
function, produced thermal hysteresis of up to 2.34 degrees C+/-0.25 degrees C.
Ice crystals grew in form of a hexagonal column in presence of this protein. The
different sequences preceding the ice binding domain point to distinct
localizations of the proteins inside or outside the cell. We thus propose that
AFPs have different functions in vivo, also reflected in their specific TH
capability.
PMID- 21884692
TI - Genesis of muscle fiber-type diversity during mouse embryogenesis relies on Six1
and Six4 gene expression.
AB - Adult skeletal muscles in vertebrates are composed of different types of
myofibers endowed with distinct metabolic and contraction speed properties.
Genesis of this fiber-type heterogeneity during development remains poorly known,
at least in mammals. Six1 and Six4 homeoproteins of the Six/sine oculis family
are expressed throughout muscle development in mice, and Six1 protein is enriched
in the nuclei of adult fast-twitch myofibers. Furthermore, Six1/Six4 proteins are
known to control the early activation of fast-type muscle genes in myocytes
present in the mouse somitic myotome. Using double Six1:Six4 mutants (SixdKO) to
dissect in vivo the genesis of muscle fiber-type heterogeneity, we analyzed here
the phenotype of the dorsal/epaxial muscles remaining in SixdKO. We show by
electron microscopy analysis that the absence of these homeoproteins precludes
normal sarcomeric organization of the myofiber leading to a dystrophic aspect,
and by immunohistochemistry experiments a deficiency in synaptogenesis.
Affymetrix transcriptome analysis of the muscles remaining in E18.5 SixdKO
identifies a major role for these homeoproteins in the control of genes that are
specifically activated in the adult fast/glycolytic myofibers, particularly those
controlling Ca(2+) homeostasis. Absence of Six1 and Six4 leads to the development
of dorsal myofibers lacking expression of fast-type muscle genes, and mainly
expressing a slow-type muscle program. The absence of restriction of the slow
type program during the fetal period in SixdKO back muscles is associated with a
decreased HDAC4 protein level, and subcellular relocalization of the
transcription repressor Sox6. Six genes thus behave as essential global
regulators of muscle gene expression, as well as a central switch to drive the
skeletal muscle fast phenotype during fetal development.
PMID- 21884694
TI - CYFIP dependent actin remodeling controls specific aspects of Drosophila eye
morphogenesis.
AB - Cell rearrangements shape organs and organisms using molecular pathways and
cellular processes that are still poorly understood. Here we investigate the role
of the Actin cytoskeleton in the formation of the Drosophila compound eye, which
requires extensive remodeling and coordination between different cell types. We
show that CYFIP/Sra-1, a member of the WAVE/SCAR complex and regulator of Actin
remodeling, controls specific aspects of eye architecture: rhabdomere extension,
rhabdomere terminal web organization, adherens junctions, retina depth and
basement membrane integrity. We demonstrate that some phenotypes manifest
independently, due to defects in different cell types. Mutations in WAVE/SCAR and
in ARP2/3 complex subunits but not in WASP, another major regulator of Actin
nucleation, phenocopy CYFIP defects. Thus, the CYFIP-SCAR-ARP2/3 pathway
orchestrates specific tissue remodeling processes.
PMID- 21884693
TI - Myo/Nog cell regulation of bone morphogenetic protein signaling in the blastocyst
is essential for normal morphogenesis and striated muscle lineage specification.
AB - Cells that express MyoD mRNA, the G8 antigen and the bone morphogenetic protein
(BMP) inhibitor noggin (Nog) are present in the epiblast before gastrulation.
Ablation of "Myo/Nog" cells in the blastocyst results in an expansion of
canonical BMP signaling and prevents the expression of noggin and follistatin
before and after the onset of gastrulation. Once eliminated in the epiblast, they
are neither replaced nor compensated for as development progresses. Older embryos
lacking Myo/Nog cells exhibit severe axial malformations. Although Wnts and Sonic
hedgehog are expressed in ablated embryos, skeletal muscle progenitors expressing
Pax3 are missing in the somites. Pax3+ cells do emerge adjacent to Wnt3a+ cells
in vitro; however, few undergo skeletal myogenesis. Ablation of Myo/Nog cells
also results in ectopically placed cardiac progenitors and cardiomyocytes in the
somites. Reintroduction of Myo/Nog cells into the epiblast of ablated embryos
restores normal patterns of BMP signaling, morphogenesis and skeletal myogenesis,
and inhibits the expression of cardiac markers in the somites. This study
demonstrates that Myo/Nog cells are essential regulators of BMP signaling in the
early epiblast and are indispensable for normal morphogenesis and striated muscle
lineage specification.
PMID- 21884695
TI - Quantitative analysis of multiple methylated genes in plasma for the diagnosis
and prognosis of hepatocellular carcinoma.
AB - This study was aimed to evaluate the clinical value of plasma methylation
analysis of a panel of four genes (APC, GSTP1, RASSF1A, and SFRP1), which was
identified by our previous work, for the noninvasive diagnosis of hepatocellular
carcinoma (HCC). The methylation status of these four genes in 150 plasma samples
from 72 patients with HCC, 37 benign live diseases and 41 normal controls was
detected with methylation-sensitive restriction enzymes-based quantitative PCR
(MSRE-qPCR) method. The plasma methylation levels of APC, GSTP1, RASSF1A, and
SFRP1 were significantly higher in HCCs than those in normal or benign controls
(P<0.05). Although the area under the receiver-operation characteristic curve
(AUC-ROC) for individual gene was moderate (range, from 0.800 to 0.881), the
combination analysis of these four genes resulted in an increased AUC of 0.933
with 92.7% sensitivity, 81.9% specificity, 90.5% positive predictive value (PPV),
and 87.2% negative predictive value (NPV) in discriminating HCC from normal
control. The combination analysis also indicated an increased AUC of 0.877 when
compared with individual gene (from 0.666 to 0.850) in discriminating HCC from
benign control, and the consultant sensitivity, specificity, PPV, and NPV was
84.7%, 81.1%, 89.7%, and 73.2%, respectively. Patients with elevated plasma
methylation levels of APC or RASSF1A showed poorer overall survival than those
with low levels (P<0.05). Cox multivariate analysis demonstrated methylated
RASSF1A in plasma to be an independent prognostic factor for overall survival
(hazard ratio=3.262, 95% CI: 1.476-7.209, P=0.003). These data showed that
quantitative analysis of multiple methylated genes in plasma may be a promising
tool for noninvasive diagnosis of HCC; and methylated plasma RASSF1A appears to
be a prognostic marker of HCC.
PMID- 21884696
TI - Wnt signaling and colon tumorigenesis--a view from the periphery.
AB - In this brief overview we discuss the association between Wnt signaling and colon
cell biology and tumorigenesis. Our current understanding of the role of Apc in
the beta-catenin destruction complex is compared with potential roles for Apc in
cell adhesion and migration. The requirement for phosphorylation in the
proteasomal-mediated degradation of beta-catenin is contrasted with roles for
phospho-beta-catenin in the activation of transcription, cell adhesion and
migration. The synergy between Myb and beta-catenin regulation of transcription
in crypt stem cells during Wnt signaling is discussed. Finally, potential effects
of growth factor regulatory systems, Apc or truncated-Apc on crypt morphogenesis,
stem cell localization and crypt fission are considered.
PMID- 21884697
TI - A micro-advancer device for vitreal injection and retinal recording and
stimulation.
AB - A micro-advancer device that positions a narrow-gauge needle within the vitreous
humor of the rat eye is described. The device is compact, simple and inexpensive
to manufacture. It consists of an outer guard needle and an inner injection
needle that is advanced through the guard needle. With the rat held in a
stereotaxic holder and the globe fixed to a stabilizing ring, the outer 25-gauge
guard needle is advanced through the sclera using a standard micromanipulator.
The inner 31-gauge injection needle is then advanced through the guard needle
with a manually controlled leadscrew and carriage mechanism. The inner injection
needle is attached to a Hamilton syringe and can be positioned to within microns
of the retinal surface under visual observation through a microscope. The
injection needle is fixed to the device by a quick-release clamp on the carriage
and can be rapidly exchanged while the guard needle remains in place in the
vitreous. This permits different solutions to be injected sequentially into the
vitreous humor. Recording electrodes, stimulating electrodes, and optical fibers
can also be advanced through the guard needle and positioned accurately near the
retinal surface or within the retina.
PMID- 21884698
TI - Cortical and subcortical compensatory mechanisms after spinal cord injury in
monkeys.
AB - This is a review of our investigations into the neuronal mechanisms of functional
recovery after spinal cord injury (SCI) in a non-human primate model. In
primates, the lateral corticospinal tract (l-CST) makes monosynaptic connections
with spinal motoneurons. The existence of direct cortico-motoneuronal (CM)
connections has been thought to be the basis of dexterous digit movements, such
as precision gripping. However, recent studies have shown that after lesion of
the direct CM connections, by a l-CST lesion at the C4/C5 level, precision
gripping is initially impaired, but shows remarkable recovery with training
within several weeks. Plastic changes of the neural circuits underlying the
recovery occur at various levels of the central nervous system. In the
subcortical networks, intracellular recordings from the motoneurons in
anesthetized animals demonstrated that transmission through the disynaptic
pathways from the CST was enhanced, presumably mediated by the propriospinal
neurons in the mid-cervical segments. The gamma-band musculo-muscular coherence
(MMC), with a peak frequency around 30 Hz, appeared over a wide range of forelimb
muscles and was strengthened in parallel to the recovery of the precision grip.
Appearance of the gamma-band MMC also paralleled the change in the activation
pattern of forelimb muscles; muscles which were antagonists before the lesion
showed co-activation after recovery. Such gamma-band MMC is thought to originate
in the subcortical network, presumably in the brainstem or spinal cord. In the
cortical networks, a combination of positron emission tomography and reversible
inactivation techniques has shown that the bilateral primary motor cortex (M1)
and ventral premotor cortex (PMv) have different contributions to functional
recovery depending on the recovery stage; the bilateral M1 plays a major role in
early stage recovery (<1 month), whereas the contralateral M1 and bilateral PMv
are the prominent contributors to the later stages (3-4 months). Such changes in
cortical activity in M1 and PMv have been shown to accompany changes in the
expressions of plasticity-related genes, such as GAP-43. Changes in the dynamic
properties of neural circuits, both at the cortical and subcortical levels, are
time-dependent. Multidisciplinary studies to clarify how the changes in the
dynamic properties of individual components of the large-scaled networks are
coordinated during recovery will help to develop effective therapeutic strategies
to recovery from SCI.
PMID- 21884699
TI - High-mobility group box-1 impairs memory in mice through both toll-like receptor
4 and Receptor for Advanced Glycation End Products.
AB - High-mobility group box-1 (HMGB1) is a nuclear protein with cytokine-type
functions upon its extracellular release. HMGB1 activates inflammatory pathways
by stimulating multiple receptors, chiefly toll-like receptor 4 (TLR4) and
Receptor for Advanced Glycation End Products (RAGE). TLR4 and RAGE activation has
been implicated in memory impairments, although the endogenous ligand subserving
these effects is unknown. We examined whether HMGB1 induced memory deficits using
novel object recognition test, and which of the two receptor pathways was
involved in these effects. Non-spatial long-term memory was examined in wild
type, TLR4 knockout, and RAGE knockout mice. Recombinant HMGB1 (10MUg,
intracerebroventricularly, i.c.v.) disrupted memory encoding equipotently in wild
type, TLR4 knockout and RAGE knockout animals, but affected neither memory
consolidation, nor retrieval. Neither TLR4 knockout nor RAGE knockout mice per
se, exhibited memory deficits. Blockade of TLR4 in RAGE knockout mice using
Rhodobacter sphaeroides lipopolysaccharide (LPS-Rs; 20 MUg, i.c.v.) prevented the
detrimental effect of HMGB1 on memory. These data show that elevated brain levels
of HMGB1 induce memory abnormalities which may be mediated by either TLR4, or
RAGE. This mechanism may contribute to memory deficits under various neurological
and psychiatric conditions associated with the increased HMGB1 levels, such as
epilepsy, Alzheimer's disease and stroke.
PMID- 21884700
TI - Cystic retroperitoneal swelling occupying the whole abdomen. Diagnosis:
Schwannoma.
PMID- 21884701
TI - MMPs 2 and 9 are essential for coronary collateral growth and are prominently
regulated by p38 MAPK.
AB - Transient, repetitive ischemia (RI) stimulates coronary collateral growth (CCG)
in normal, healthy (SD) rats, which requires p38 MAPK activation. In contrast, RI
does not induce CCG in the metabolic syndrome (JCR) rats, which is associated
with lack of p38 MAPK activation. The functional consequences of p38 MAPK
activation in CCG remain unknown. Theoretically, effective collateral growth
would require extracellular matrix remodeling; however, direct assessment as well
as identification of proteases responsible for this degradation are lacking. In
this study, we investigated the role of p38 MAPK in the regulation of matrix
metalloproteinases 2 and 9 (MMPs 2 and 9) and their requirement for CCG in SD vs.
JCR rats. The rats underwent the RI protocol (8 LAD occlusions, 40s each, every
20min, in 8h cycles for 0, 3, 6, or 9days). MMP expression was measured in the
ischemic, collateral-dependent zone (CZ) and the normal zone (NZ) by Western
blot, and MMP activity by zymography. Expression and activation of MMP 2 and 9
were significantly increased (~3.5 fold) on day 3 of RI in the CZ of SD rats. In
vivo p38 MAPK inhibition completely blocked RI-induced MMP 2 and 9 expression and
activation. MMP activation correlated with increased degradation of components of
the basement membrane and the vascular elastic laminae: elastin (~3 fold),
laminin (~3 fold) and type IV collagen (~2 fold). This was blocked by MMP 2 and 9
inhibition, which also abolished RI-induced CCG. In contrast, in JCR rats, RI did
not induce expression or activation of MMP 2 or 9 and there was no associated
degradation of elastin, laminin or type IV collagen. In conclusion, MMP 2 and 9
activation is essential for CCG and is mediated, in part, by p38 MAPK.
Furthermore, compromised CCG in the metabolic syndrome may be partially due to
the lack of p38 MAPK-dependent activation of MMP 2 and 9 and resultant decreased
extracellular matrix degradation.
PMID- 21884702
TI - Syntaxin-1A inhibits KATP channels by interacting with specific conserved motifs
within sulfonylurea receptor 2A.
AB - We previously demonstrated that syntaxin (Syn)-1A is present in the sarcolemma of
rat cardiomyocytes and binds sulfonylurea receptor (SUR) 2A nucleotide binding
folds (NBFs) to inhibit ATP-sensitive potassium (K(ATP)) channel. Here, we
examined for the precise domains within the NBFs of SUR2A that may interact with
Syn-1A. Specifically, we tested truncated NBF protein segments encompassing the
conserved motifs Walker A (W(A)), signature/Linker (L), and Walker B (W(B)). In
vitro binding results indicate that the domains encompassing W(A) and L of NBF-1
and all three conserved motifs of NBF-2 bound Syn-1A. Electrophysiological
studies, employing inside-out patch-clamp recordings from SUR2A/Kir6.2 expressing
HEK cells and mouse cardiomyocytes, show that W(B) and L of NBF-1 and all three
NBF-2 truncated protein segments reduced Syn-1A inhibition of SUR2A/K(ATP)
channels. Remarkably, these same NBF-1 and -2 truncated proteins could
independently disrupt the intimate FRET interactions of full length SUR2A (
mCherry) and Syn-1A (-EGFP). These results taken together indicate that Syn-1A
possibly maintains inhibition of cardiac ventricular K(ATP) channels by binding
to large regions of NBF-1 and NBF-2 to stabilize the NBF-1-NBF-2 heterodimer
formation and prevent ATP-binding and ATP hydrolysis. Since K(ATP) channels are
closely coupled to metabolic states, we postulate that these very intimate Syn-1A
SUR2A interactions are critically important for myocardial protection during
stress, in which profound changes in metabolic factors (pH, ATP) could modulate
these Syn-1A-SUR2A interactions.
PMID- 21884703
TI - Atheroprotection via cannabinoid receptor-2 is mediated by circulating and
vascular cells in vivo.
AB - Low-dose oral tetrahydrocannabinol (THC) reduces progression of atherosclerosis
in mice. THC activates central cannabinoid-1 receptors (CB1) with subsequent
psychoactive effects as well as peripheral cannabinoid-2 receptors (CB2). In
order to dissect the underlying mechanisms, we performed experiments under
selective CB2 stimulation as well as after genetic disruption of the CB2
receptor. Atherosclerosis prone apolipoprotein E-deficient mice were crossed with
cannabinoid receptor-2 deficient mice to obtain ApoE -/- CB2 -/- double knockout
mice. After 8weeks of a high-cholesterol diet, immunohistochemical stainings of
the aortic root revealed that vascular leukocyte infiltration in atherosclerotic
plaques was accelerated in ApoE -/- CB2 -/- mice compared with ApoE -/- mice.
This was accompanied by increased release of reactive oxygen species as measured
using L012-enhanced chemiluminescence, and by decreased endothelial function as
assessed in isolated aortic rings in organ chamber experiments. ApoE -/- mice
treated with the selective CB2 agonist JWH 133 during a high-cholesterol diet
showed decreased atherosclerotic lesion formation, improved endothelial function
and reduced levels of reactive oxygen species. To assess whether CB2 expression
in circulating cells influences atherosclerosis, irradiated ApoE -/- mice were
repopulated with bone marrow-derived cells from ApoE -/- and ApoE -/- CB2 -/-
mice and were fed a high-cholesterol diet for 8weeks. CB2 deficiency in bone
marrow-derived cells increased leukocyte infiltration into the vessel wall, but
had no impact on plaque formation. Cell culture experiments revealed that CB2
activation diminishes ROS generation in vascular cells. Selective CB2 receptor
stimulation modulates atherogenesis via impact on both circulating
proinflammatory and vascular cells.
PMID- 21884705
TI - Archaeal translation initiation factor aIF2 can substitute for eukaryotic eIF2 in
ribosomal scanning during mammalian 48S complex formation.
AB - Heterotrimeric translation initiation factor (IF) a/eIF2 (archaeal/eukaryotic IF
2) is present in both Eukarya and Archaea. Despite strong structural similarity
between a/eIF2 orthologs from the two domains of life, their functional
relationship is obscure. Here, we show that aIF2 from Sulfolobus solfataricus can
substitute for its mammalian counterpart in the reconstitution of eukaryotic 48S
initiation complexes from purified components. aIF2 is able to correctly place
the initiator Met-tRNA(i) into the P-site of the 40S ribosomal subunit and
accompany the entire set of eukaryotic translation IFs in the process of cap
dependent scanning and AUG codon selection. However, it seems to be unable to
participate in the following step of ribosomal subunit joining. In accordance
with this, aIF2 inhibits rather than stimulates protein synthesis in mammalian
cell-free system. The ability of recombinant aIF2 protein to direct ribosomal
scanning suggests that some archaeal mRNAs may utilize this mechanism during
translation initiation.
PMID- 21884704
TI - A new structural form in the SAM/metal-dependent o-methyltransferase family: MycE
from the mycinamicin biosynthetic pathway.
AB - O-linked methylation of sugar substituents is a common modification in the
biosynthesis of many natural products and is catalyzed by multiple families of S
adenosyl-L-methionine (SAM or AdoMet)-dependent methyltransferases (MTs).
Mycinamicins, potent antibiotics from Micromonospora griseorubida, can be
methylated at two positions on a 6-deoxyallose substituent. The first methylation
is catalyzed by MycE, a SAM- and metal-dependent MT. Crystal structures were
determined for MycE bound to the product S-adenosyl-L-homocysteine (AdoHcy) and
magnesium, both with and without the natural substrate mycinamicin VI. This
represents the first structure of a natural product sugar MT in complex with its
natural substrate. MycE is a tetramer of a two-domain polypeptide, comprising a C
terminal catalytic MT domain and an N-terminal auxiliary domain, which is
important for quaternary assembly and for substrate binding. The symmetric MycE
tetramer has a novel MT organization in which each of the four active sites is
formed at the junction of three monomers within the tetramer. The active-site
structure supports a mechanism in which a conserved histidine acts as a general
base, and the metal ion helps to position the methyl acceptor and to stabilize a
hydroxylate intermediate. A conserved tyrosine is suggested to support activity
through interactions with the transferred methyl group from the SAM methyl donor.
The structure of the free enzyme reveals a dramatic order-disorder transition in
the active site relative to the S-adenosyl-L-homocysteine complexes, suggesting a
mechanism for product/substrate exchange through concerted movement of five loops
and the polypeptide C-terminus.
PMID- 21884706
TI - Analyses of the functional regions of DEAD-box RNA "helicases" with deletion and
chimera constructs tested in vivo and in vitro.
AB - The DEAD-box family of putative RNA helicases is composed of ubiquitous proteins
that are found in nearly all organisms and that are involved in virtually all
processes involving RNA. They are characterized by two tandemly linked, RecA-like
domains that contain 11 conserved motifs and highly variable amino- and carboxy
terminal flanking sequences. For this reason, they are often considered to be
modular multi-domain proteins. We tested this by making extensive BLASTs and
sequence alignments to elucidate the minimal functional unit in nature. We then
used this information to construct chimeras and deletions of six essential yeast
proteins that were assayed in vivo. We purified many of the different constructs
and characterized their biochemical properties in vitro. We found that sequence
elements can only be switched between closely related proteins and that the
carboxy-terminal sequences are important for high ATPase and strand displacement
activities and for high RNA binding affinity. The amino-terminal elements were
often toxic when overexpressed in vivo, and they may play regulatory roles. Both
the amino and the carboxyl regions have a high frequency of sequences that are
predicted to be intrinsically disordered, indicating that the flanking regions do
not form distinct modular domains but probably assume an ordered structure with
ligand binding. Finally, the minimal functional unit of the DEAD-box core starts
two amino acids before the isolated phenylalanine of the Q motif and extends to
about 35 residues beyond motif VI. These experiments provide evidence for how a
highly conserved structural domain can be adapted to different cellular needs.
PMID- 21884707
TI - Deformation and reperfusion damages and their accumulation in subcutaneous
tissues during loading and unloading: a theoretical modeling of deep tissue
injuries.
AB - Deep tissue injuries (DTI) involve damages in the subcutaneous tissues under
intact skin incurred by prolonged excessive epidermal loadings. This paper
presents a new theoretical model for the development of DTI, broadly based on the
experimental evidence in the literatures. The model covers the loading damages
implicitly inclusive of both the direct mechanical and ischemic injuries, and the
additional reperfusion damages and the competing healing processes during the
unloading phase. Given the damage accumulated at the end of the loading period,
the relative strength of the reperfusion and the healing capacity of the involved
tissues system, the model provides a description of the subsequent damage
evolution during unloading. The model is used to study parametrically the
scenario when reperfusion damage dominates over healing upon unloading and the
opposite scenario when the loading and subsequent reperfusion damages remain
small relative to the healing capacity of the tissues system. The theoretical
model provides an integrated understanding of how tissue damage may further build
up paradoxically even with unloading, how long it would take for the loading and
reperfusion damages in the tissues to become fully recovered, and how such
loading and reperfusion damages, if not given sufficient time for recovery, may
accumulate over multiple loading and unloading cycles, leading to clinical deep
tissues ulceration.
PMID- 21884708
TI - Synaptic glutamate spillover increases NMDA receptor reliability at the
cerebellar glomerulus.
AB - Glutamate spillover in the mossy fiber to granule cell cerebellar glomeruli has
been hypothesized to increase neurotransmission reliability. In this study, we
evaluate this hypothesis using an experimentally based quantitative model of
glutamate spillover on the N-methyl-d-aspartate receptors (NMDA-Rs) at the
cerebellar glomerulus. The transient and steady-state responses of NMDA-Rs were
examined over a physiological range of firing rates. Examined cases included
direct glutamate release activation, glutamate spillover activation, and a
combination of direct and spillover activation. Our results illustrate that the
effects of spillover alone are equivalent to direct release and, notably,
combined spillover and direct release effects on NMDA-Rs are not additive. Our
results show that spillover does in fact provide a high degree of reliability
given that the synaptic vesicle release rate must fall to approximately 15-25% of
what is considered the normal baseline level in order to substantially alter
neurotransmission across the examined range of frequencies. We suggest that the
high reliability provided by activation due to glutamate spillover could be used
to conserve energy by reducing the required overall glutamate load at higher
frequencies.
PMID- 21884709
TI - In vitro transcriptomic prediction of hepatotoxicity for early drug discovery.
AB - Liver toxicity (hepatotoxicity) is a critical issue in drug discovery and
development. Standard preclinical evaluation of drug hepatotoxicity is generally
performed using in vivo animal systems. However, only a small number of
preselected compounds can be examined in vivo due to high experimental costs. A
more efficient yet accurate screening technique that can identify potentially
hepatotoxic compounds in the early stages of drug development would thus be
valuable. Here, we develop and apply a novel genomic prediction technique for
screening hepatotoxic compounds based on in vitro human liver cell tests. Using a
training set of in vivo rodent experiments for drug hepatotoxicity evaluation, we
discovered common biomarkers of drug-induced liver toxicity among six
heterogeneous compounds. This gene set was further triaged to a subset of 32
genes that can be used as a multi-gene expression signature to predict
hepatotoxicity. This multi-gene predictor was independently validated and showed
consistently high prediction performance on five test sets of in vitro human
liver cell and in vivo animal toxicity experiments. The predictor also
demonstrated utility in evaluating different degrees of toxicity in response to
drug concentrations, which may be useful not only for discerning a compound's
general hepatotoxicity but also for determining its toxic concentration.
PMID- 21884710
TI - Behavioral sexual dimorphism in models of anxiety and depression due to changes
in HPA axis activity.
AB - Anxiety and depression are considered as stress-related disorders, which present
considerable sex differentiation. In animal models of anxiety and depression sex
differences have been described and linked to the sexually dimorphic hypothalamus
pituitary-adrenals (HPA) axis. The present study aimed to adjust corticosterone,
the main HPA axis stress hormone, in male and female adrenalectomized rats with
oral (25 MUg/ml) corticosterone replacement (ADXR). Subsequently we investigated
the behavioral performance of ADXR rats in the open field, light/dark and forced
swim test (FST). Male ADXR rats showed less anxiety-like behavior when compared
to sham-operated controls, despite adequate corticosterone replacement. They
further showed increased swimming and reduced climbing behavior in the FST, while
immobility duration did not differ from sham-operated males. On the contrary,
adrenalectomy and corticosterone replacement did not have significant effects on
the female behavioral response. Females were generally more active and presented
less anxiety-like behavior than males, while they exhibited higher depressive
like symptomatology in the FST. ADXR affected behavioral responses predominantly
in males, which in turn modified sex differences in the behavioral profile.
Females in proestrous and estrous did not differ from females in diestrous and
methestrous in any measured behavioral response. Present results suggest that the
male and not the female behavioral responses in models of anxiety and depression
were mainly affected by ADXR. These findings may play a significant role in
explaining the differential coping strategy of the two sexes in response to
stressful experiences. This article is part of a Special Issue entitled 'Anxiety
and Depression'.
PMID- 21884711
TI - On the role of brain 5-HT7 receptor in the mechanism of hypothermia: comparison
with hypothermia mediated via 5-HT1A and 5-HT3 receptor.
AB - Intracerebroventricular administration of selective agonist of serotonin 5-HT(7)
receptor LP44 (4-[2-(methylthio)phenyl]-N-(1,2,3,4-tetrahydro-1-naphthalenyl)-1
pyperasinehexanamide hydrochloride; 10.3, 20.5 or 41.0 nmol) produced
considerable hypothermic response in CBA/Lac mice. LP44-induced (20.5 nmol)
hypothermia was significantly attenuated by the selective 5-HT(7) receptor
antagonist SB 269970 (16.1 fmol, i.c.v.) pretreatment. At the same time,
intraperitoneal administration of LP44 in a wide range of doses 1.0, 2.0 or 10.0
mg/kg (2.0, 4.0, 20.0 MUmol/kg) did not cause considerable hypothermic response.
These findings indicate the implication of central, rather than peripheral 5
HT(7) receptors in the regulation of hypothermia. The comparison of LP44-induced
(20.5 nmol) hypothermic reaction in eight inbred mouse strains (DBA/2J, CBA/Lac,
C57BL/6, BALB/c, ICR, AKR/J, C3H and Asn) was performed and a significant effect
of genotype was found. In the same eight mouse strains, functional activity of 5
HT(1A) and 5-HT(3) receptors was studied. The comparison of hypothermic responses
produced by 5-HT(7) receptor agonist LP44 (20.5 nmol, i.c.v.) and 5-HT(1A)
receptor agonist 8-OH-DPAT 1.0 mg/kg, i.p. (3.0 MUmol/kg), 5-HT(3) receptor
agonist m-CPBG (40.0 nmol, i.c.v.) did not reveal considerable interstrain
correlations between 5-HT(7) and 5-HT(1A) or 5-HT(3) receptor-induced
hypothermia. The selective 5-HT(7) receptor antagonist SB 269970 (16.1 fmol,
i.c.v.) failed to attenuate the hypothermic effect of 8-OH-DPAT 1.0 mg/kg, i.p.
(3.0 MUmol/kg) and m-CPBG (40.0 nmol, i.c.v.) indicating that the brain 5-HT(7)
receptor is not involved in the hypothermic effects of 8-OH-DPAT or m-CPBG. The
obtained results suggest that the central 5-HT(7) receptor plays an essential
role in the mediation of thermoregulation independent of 5-HT(1A) and 5-HT(3)
receptors.
PMID- 21884712
TI - Attentional capture by emotional stimuli is preserved in patients with amygdala
lesions.
AB - The importance of cues signaling reward, threat or danger would suggest that they
receive processing privileges in the neural systems underlying perception and
attention. Previous research has documented enhanced processing of motivationally
salient cues, and has pointed to the amygdala as a candidate neural structure
underlying the enhancements. In the current study, we examined whether the
amygdala was necessary for this emotional modulation of attention to occur.
Patients with unilateral amygdala lesions and matched controls completed an
emotional attentional blink task in which emotional distractors impair the
perception of subsequent targets. Emotional images proved more distracting across
all participant groups, including those with right or left amygdala lesions.
These data argue against a central role for the amygdala in mediating all types
of attentional capture by emotional stimuli.
PMID- 21884713
TI - Sex differences in anxiety-like behavior and locomotor activity following
prenatal and postnatal methamphetamine exposure in adult rats.
AB - The aim of the present study was to investigate the impact of prenatal and
postnatal methamphetamine (MA) exposure on behavior and anxiety in adult male and
female rats. Mothers were daily exposed to injection of MA (5 mg/kg) or saline
(S): prior to impregnation and throughout gestation and lactation periods. On
postnatal day 1, pups were cross-fostered so that each mother raised 6 saline
exposed pups and 6 MA-exposed pups. Based on the prenatal and postnatal exposure
4 experimental groups (S/S, S/MA, MA/S, MA/MA) were tested in the Open field (OF)
and in the Elevated plus maze (EPM) in adulthood. Locomotion, exploration,
immobility and comforting behavior were evaluated in the OF, while anxiety was
assessed in the EPM. While prenatal MA exposure did not affect behavior and
anxiety in adulthood, postnatal MA exposure (i.e. MA administration to lactating
mothers) induced long-term changes. Specifically, adult female rats in diestrus
and adult males postnatally exposed to MA via breast milk (S/MA and MA/MA) had
decreased locomotion and exploratory behavior in the OF and showed increased
anxiety-like behavior in the EPM when compared to female rats in diestrus or
males postnatally exposed to saline (S/S and MA/S). In adult females in
proestrus, postnatal exposure to MA affected only exploratory behavior in the OF
when compared to rats in proestrus postnatally exposed to saline. Thus, the
present study shows that postnatal exposure to MA via breast milk impairs
behavior in unfamiliar environment and anxiety-like behavior of adult male and
female rats more than prenatal MA exposure.
PMID- 21884714
TI - High HPA-axis activation disrupts the link between liking and wanting with liking
and wanting related brain signaling.
AB - BACKGROUND: Eating behavior changes under stress, i.e. during high HPA-axis
activation. AIM: Assessment of effects of high versus low HPA-axis activation on
liking and wanting related brain signaling in relevant regions. METHODS: 15
female subjects (21.5+/-0.4 years, BMI=22.2+/-0.4) completed fMRI scans on 2
days, in a fasted as well as a satiated condition on each day. The days were
sorted by HPA-axis activation, resulting in two sufficiently separated HPA-axis
states which were statistically confirmed (p<.05). During scans, subjects rated
liking and wanting for food images; wanting indicated food choice for the
subsequent meal. Energy-intake, hunger and fullness were additionally recorded.
RESULTS: Hunger changed significantly over the meal (p<.001). Energy intake was
lower during the second meal (p<.001). Behavioral wanting was lower after
breakfast (p<.01), behavioral liking did not change. During low HPA-activation,
liking task related signaling (TRS) pre-meal in the anterior insula predicted
behavioral liking, wanting TRS in the anterior insula, nucleus accumbens and
thalamus predicted behavioral wanting. During high HPA-activation, these
relationships were not present pre-meal, but post-meal behavioral liking was
predicted in the nucleus accumbens and wanting in the caudate. CONCLUSION: High
HPA-axis activation disrupted and redirected the connection of behavioral
liking/wanting with the specifically associated brain signaling in relevant
regions.
PMID- 21884715
TI - Survivor's dilemma: Defend the group or flee?
AB - We consider a survival game of gregarious individuals, in which the aim of the
players is survival to reproductive age under predator attacks. The survivor's
dilemma (shortly: SVD) game consists in the following: a group member either
surely survives alone by fleeing, while its defensive mate may be killed; or
tries to save its mate's life, risking to get killed. The dilemma is that, in
every single attack, fleeing ensures maximal survival probability, but if its
mate survives by fighting both, and they remain together, its risk to be killed
at the next attack will be lower. We show that, if defense is successful enough,
then the one-attack game is a prisoner's dilemma (PD), where fleeing is the
strict ESS. We have additively decomposed the SVD game, according to the survival
of the group mate of the focal prey, into two games: the aim of the "collective
game" is survival of the group of prey. Counter-wise, the aim of the "hostile
game" is survival alone (focal prey survives and its mate is killed by the
predator). We obtain the following results: if the attack number is large enough,
the multi-attack SVD game is dominated by the "collective game" in the sense that
each individual can ensure its own maximal survival probability by maximizing the
group survival probability in each attack. In the hostile game, the only strict
ESS is the fleeing strategy. In the collective game there are two different
cases: either defense is a unique strict ESS, or the collective game is bistable,
i.e. fleeing and defense are local strict ESS's. If defense is the only strict
ESS in the collective game, and the attack number is large enough, defense
replaces fleeing strategy in the multi-attack SVD game. However, in the bistable
case, defense cannot invade into the fleeing population. It is shown that, if the
interaction between relatives is frequent enough, than defense can replace
fleeing strategy, in spite of the fact that in the well-mixed population the
collective game is bistable.
PMID- 21884716
TI - Organic anion transporting polypeptides in the hepatic uptake of PBDE congeners
in mice.
AB - BDE47, BDE99 and BDE153 are the predominant polybrominated diphenyl ether (PBDE)
congeners detected in humans and can induce drug metabolizing enzymes in the
liver. We have previously demonstrated that several human liver organic anion
transporting polypeptides (humans: OATPs; rodents: Oatps) can transport PBDE
congeners. Mice are commonly used to study the toxicity of chemicals like the
PBDE congeners. However, the mechanism of the hepatic PBDE uptake in mice is not
known. Therefore, the purpose of the current study was to test the hypothesis
that BDE47, BDE99, and BDE153 are substrates of mouse hepatic Oatps (Oatp1a1,
Oatp1a4, Oatp1b2, and Oatp2b1). We used Human Embryonic Kidney 293 (HEK293) cells
transiently expressing individual Oatps and quantified the uptake of BDE47,
BDE99, and BDE153. Oatp1a4, Oatp1b2, and Oatp2b1 transported all three PBDE
congeners, whereas Oatp1a1 did transport none. Kinetic studies demonstrated that
Oatp1a4 and Oatp1b2 transported BDE47 with the greatest affinity, followed by
BDE99 and BDE153. In contrast, Oatp2b1 transported all three PBDE congeners with
similar affinities. The importance of hepatic Oatps for the liver accumulation of
BDE47 was confirmed using Oatp1a4-, and Oatp1b2-null mice.
PMID- 21884717
TI - Puerarin activates endothelial nitric oxide synthase through estrogen receptor
dependent PI3-kinase and calcium-dependent AMP-activated protein kinase.
AB - The cardioprotective properties of puerarin, a natural product, have been
attributed to the endothelial nitric oxide synthase (eNOS)-mediated production of
nitric oxide (NO) in EA.hy926 endothelial cells. However, the mechanism by which
puerarin activates eNOS remains unclear. In this study, we sought to identify the
intracellular pathways underlying eNOS activation by puerarin. Puerarin induced
the activating phosphorylation of eNOS on Ser1177 and the production of NO in
EA.hy926 cells. Puerarin-induced eNOS phosphorylation required estrogen receptor
(ER)-mediated phosphatidylinositol 3-kinase (PI3K)/Akt signaling and was reversed
by AMP-activated protein kinase (AMPK) and calcium/calmodulin-dependent kinase II
(CaMKII) inhibition. Importantly, puerarin inhibited the adhesion of tumor
necrosis factor (TNF)-alpha-stimulated monocytes to endothelial cells and
suppressed the TNF-alpha induced expression of intercellular cell adhesion
molecule-1. Puerarin also inhibited the TNF-alpha-induced nuclear factor-kappaB
activation, which was attenuated by pretreatment with N(G)-nitro-L-arginine
methyl ester, a NOS inhibitor. These results indicate that puerarin stimulates
eNOS phosphorylation and NO production via activation of an estrogen receptor
mediated PI3K/Akt- and CaMKII/AMPK-dependent pathway. Puerarin may be useful for
the treatment or prevention of endothelial dysfunction associated with diabetes
and cardiovascular disease.
PMID- 21884718
TI - DNA base excision repair gene polymorphisms modulate human cognitive performance
and decline during normal life span.
AB - To test the hypothesis that single nucleotide polymorphisms (SNPs) in DNA repair
genes are associated with cognitive performance during normal aging, the
relationship between SNPs in selected exons in DNA base excision repair (BER)
genes and cognitive performance was examined in 712 healthy Norwegian individuals
aged 20-75 years. SNPs examined included PolB(Pro242Arg), hOGG1(Ser326Cys), MutYH
(Met22Val), MutYH(His324Gln), APE1(Gln51His), APE1(Glu148Asp), XRCC1(Lys298Asn),
XRCC1(Arg7Leu), NEIL1(Asp252Asn), and NEIL2(Arg257Leu). XRCC1(Arg7Leu) and
PolB(Pro242Arg) were characterized by single nucleotide variations (<=0.1%
homozygote SNPs). hOGG1(Ser326Cys) (Ser/Cys 40.8%/Cys/Cys 5.7%), MutYH(His324Gln)
(His/Gln37%/Gln/Gln 6.0%) and APE1(Glu148Asp) (Glu/Asp 51.3%/Asp/Asp 23.0%) were
characterized by higher SNP frequencies. MutYH(Met22Val), APE1(Gln51His) and
NEIL2(Arg257Leu) occurred at intermediate SNP frequencies of 11.5, 7.6 and 5.3%,
respectively. Interestingly, hOGG1(Ser326Cys) and APE1(Gln51His) had genotype by
age interactions with general cognitive function, reasoning, control and speed of
processing in cross-sectional analysis and a significant effect on longitudinal
decline. Dispersed association effects involving MutYH(His324Gln),
MutYH(Met22Val), PolB(Pro242Arg) and NEIL2(Arg257Leu) were also detected when
APOE or CHRNA4, were included in the statistical model, a result consistent with
proposed involvement of the latter markers in human cognitive decline and/or
function. In summary, the results support the notion that polymorphisms in BER
genes modulate cognitive performance in healthy elderly individuals.
PMID- 21884719
TI - Increased longevity of some C. elegans mitochondrial mutants explained by
activation of an alternative energy-producing pathway.
AB - The Caenorhabditis elegans misc-1 gene encodes a mitochondrial carrier with a
role in oxidative stress response. The knock-out mutant has no lifespan phenotype
and fails to upregulate the gei-7-mediated glyoxylate shunt, an extra
mitochondrial pathway of energy production. We show that gei-7 is required for
the longevity of the mitochondrial mutant clk-1. Our data suggest that only
mitochondrial mutants that upregulate gei-7 can achieve longevity.
PMID- 21884720
TI - Characterizing the subjective and psychomotor effects of carisoprodol in healthy
volunteers.
AB - Carisoprodol is a centrally acting drug used to relieve skeletal muscle spasms
and associated pain in acute musculoskeletal conditions. There is evidence from
different sources that this oral muscle relaxant is abused and that it is
associated with impairment leading to arrests for "driving under the influence"
as well as increased risk of automobile accidents. Its subjective and psychomotor
effects in healthy volunteers at therapeutic and supratherapeutic doses have not
been well-characterized, and form the basis of this report. Fifteen healthy
volunteers (8 males, 7 females) were administered 0, 350, and 700 mg of
carisoprodol in separate sessions and for 6h afterwards they completed a battery
of tests at fixed time intervals so as to assess the subjective and psychomotor
effects of the drug. The supratherapeutic dose, 700 mg, increased visual analog
scale ratings of terms that were more reflective of sedation (e.g., "sleepy,"
"heavy, sluggish feeling") than those of abuse liability, and produced impaired
performance on several psychomotor tests. The therapeutic dose, 350 mg, while
producing few and mild subjective effects, still produced psychomotor impairment.
The fact that the therapeutic dose of carisoprodol produced minimal subjective
effects while adversely affecting performance is of concern in that patients
prescribed this drug may feel relatively normal and engage in tasks (driving)
that could put themselves and others at risk.
PMID- 21884721
TI - Are cultural values and beliefs included in U.S. based HIV interventions?
AB - OBJECTIVE: To determine the extent to which current United States based human
immunodeficiency virus/acquired immune deficiency syndrome (HIV/AIDS) prevention
and risk reduction interventions address and include aspects of cultural beliefs
in definitions, curricula, measures and related theories that may contradict
current safer sex messages. METHOD: A comprehensive literature review was
conducted to determine which published human immunodeficiency virus/acquired
immune deficiency syndrome (HIV/AIDS) prevention and risk reduction interventions
incorporated aspects of cultural beliefs. RESULTS: This review of 166 human
immunodeficiency virus (HIV) prevention and risk reduction interventions,
published between 1988 and 2010, identified 34 interventions that varied in
cultural definitions and the integration of cultural concepts. CONCLUSION: human
immunodeficiency virus (HIV) interventions need to move beyond targeting specific
populations based upon race/ethnicity, gender, sexual, drug and/or risk behaviors
and incorporate cultural beliefs and experiences pertinent to an individual's
risk. Theory based interventions that incorporate cultural beliefs within a
contextual framework are needed if prevention and risk reduction messages are to
reach targeted at risk populations. Implications for the lack of uniformity of
cultural definitions, measures and related theories are discussed and
recommendations are made to ensure that cultural beliefs are acknowledged for
their potential conflict with safer sex skills and practices.
PMID- 21884722
TI - Predicting what will happen when we act. What counts for warrant?
AB - To what extent do the results of randomized controlled trials inform our
predictions about the effectiveness of potential policy interventions? This
crucial question is often overlooked in discussions about evidence-based policy.
The view I defend is that the arguments that lead from the claim that a program
works somewhere to a prediction about the effectiveness of this program as it
will be implemented here rests on many premises, most of which cannot be
justified by the results of randomized controlled trials. Randomized controlled
trials only provide indirect evidence for effectiveness, and we need much more
than just randomized- controlled-trial results to make reliable predictions.
PMID- 21884723
TI - "If there wasn't the technology then I would probably be out everyday": a
qualitative study of children's strategies to reduce their screen viewing.
AB - OBJECTIVE: To explore the views of British 10-11 year old children towards
reducing their screen-viewing and their screen-viewing reduction strategies.
METHOD: 10 focus groups were conducted with 55 10-11 year old children (30 girls,
25 boys) in Bristol (UK) in 2010. Data were transcribed and transcripts were
analysed using thematic analysis. RESULTS: Three main themes were developed:
Reaction to Reduction; Reduction: What, when and what else instead?; and
Strategies to reduce screen-viewing. Participants reacted largely positively to
reducing their screen-viewing although enjoyment, established routines and
favourite TV programmes presented barriers. A range of screen-viewing modes were
put forward as candidates for reduction and participants believed they would
replace screen-viewing with both physically active and non-screen sedentary
behaviours. Reduction strategies identified comprised the provision of
alternative activities, facilities and after school clubs, peer-led educational
interventions, behavioural strategies such as the use of rewards, charts and time
limits which involved children's parents and parent-child collaboration.
Unexpectedly, participants identified the focus group itself as a means of
encouraging self-reflection and initiating change. CONCLUSION: Children appeared
open to screen-viewing reduction and identified the strategies that they may
respond to best. This can inform the development of interventions designed to
reduce screen-viewing.
PMID- 21884724
TI - Working with cancer: health and disability disparities among employed cancer
survivors in the U.S.
AB - INTRODUCTION: Approximately 40% of Americans annually diagnosed with cancer are
working-age adults. Using a nationally representative database, we characterized
differences in health status and occupation of working cancer survivors and
persons without cancer. METHODS: Cross-sectional data pooled from the 1997-2009
US National Health Interview Survey for adults with self-reported physician
diagnosed cancer (n=22,952) and those without (n=358,495), were analyzed.
Multivariable logistic regression was used to compare the health and disability
status of employed cancer survivors across occupational sectors relative to
workers without a cancer history and unemployed cancer survivors. RESULTS:
Relative to workers with no cancer history, cancer survivors were more likely
(OR; 95%CI) to be white-collar workers and less likely to be service workers.
Working cancer survivors were significantly less likely than unemployed
survivors, but more likely than workers with no cancer history, to report poor
fair health (0.25; 0.24-0.26) and (2.06; 1.96-2.17) respectively, and >= 2
functional limitations (0.37; 0.35-0.38) and (1.72; 1.64-1.80) respectively.
Among employed cancer survivors, blue-collar workers reported worse health
outcomes, yet they reported fewer workdays missed than white-collar workers.
CONCLUSION: Blue-collar cancer survivors are working with high levels of poor
health and disability. These findings support the need for workplace
accommodations for cancer survivors in all occupational sectors, especially blue
collar workers.
PMID- 21884725
TI - Prolactin-induced activation of phagocyte NADPH oxidase in the teleost fish
gilthead seabream involves the phosphorylation of p47phox by protein kinase C.
AB - The pituitary hormone prolactin (PRL) is a multifunctional polypeptide which act
as a key component of the neuroendocrine-immune loop and as a local regulator of
the macrophage response. The involvement of PRL in regulating monocyte/macrophage
functions is suggested by the presence of PRL receptors in these cells. Recently,
we reported that physiological concentrations of native PRL were able to induce
the expression of the pro-inflammatory cytokines IL-1beta and TNFalpha, and the
production of reactive oxygen species (ROS) in head kidney leukocytes and
macrophages from the teleost fish gilthead seabream (Sparus aurata L.). In this
study, we show that the NADPH oxidase subunit p47phox becomes phosphorylated in
leukocytes stimulated with PRL, an effect that is blocked when neutralizing
polyclonal antibodies to PRL are added. Additionally, the pharmacological
inhibition of either protein kinase C (PKC) with calphostin C or the Jak/Stat
signaling pathway with AG490 impaired PKC activation, p47phox phosphorylation and
ROS production in seabream leukocytes activated with PRL. Taken together, our
results demonstrate for the first time the need for PKC in regulating the PRL
mediated phosphorylation of p47phox, the activation of NADPH oxidase and the
production of ROS by macrophages in vertebrates.
PMID- 21884726
TI - Serratia septicaemia in pregnancy: further evidence of altered immune response to
severe bacterial infection in pregnancy.
PMID- 21884727
TI - Automated sleep scoring in rats and mice using the naive Bayes classifier.
AB - We describe a new simple MATLAB-based method for automated scoring of rat and
mouse sleep using the naive Bayes classifier. This method is highly sensitive
resulting in overall auto-rater agreement of 93%, comparable to an inter-rater
agreement between two human scorers (92%), with high sensitivity and specificity
values for wake (94% and 96%), NREM sleep (94% and 97%) and REM sleep (89% and
97%) states. In addition to baseline sleep-wake conditions, the performance of
the naive Bayes classifier was assessed in sleep deprivation and drug infusion
experiments, as well as in aged and transgenic animals using multiple EEG
derivations. 24-h recordings from 30 different animals were used, with
approximately 5% of the data manually scored as training data for the
classification algorithm.
PMID- 21884728
TI - Detection by PCR of human papillomavirus in Colombia: Comparison of GP5+/6+ and
MY09/11 primer sets.
AB - The aims of this study were to determine the prevalence of HPV infection and
evaluate the concordance and performance of two primer sets for detecting single
and multiple viral infections. A total of 1810 Colombian women were enrolled in
the study, and molecular, cytological and epidemiological analyses were
performed. Both concordance and performance of two different PCR amplification
primer sets (GP5+/6+ and MY09/11) were assessed. The results showed that 60.2% of
females with positive HPV DNA were infected by more than one viral type. The OR
for multiple infections was 18.2 when using the MY09/11 primer set and 6.52 with
the GP5+/6+ primer set. The results also showed an association between GP5+/6+
positivity and the severity of the disease regarding the cytological findings. It
was also found that using a single primer set led to underestimating the
prevalence for HPV infection. The simultaneous use of these primer sets is an
important tool for the detection of HPV DNA, being equally relevant for
identifying multiple infections and low viral DNA copies. This study highlights
the importance of suitable assessment of HPV epidemiological profiles; screening
programs must also be strengthened to broaden the coverage of the most vulnerable
populations.
PMID- 21884729
TI - Assessing aphids potato virus Y-transmission efficiency: A new approach.
AB - In order to develop an alternative method to optimize the relative efficiency
factor (REF) assessment, the efficiency of transmission of Potato virus Y (PVY)
by seven aphid species was examined. In vitro micropropagated potato plantlets
were used to experiment on phenotypically and genetically homogeneous material.
Species-specific acquisition access period (AAP) on a PVY-infected plantlet was
assessed for each aphid species using electrical penetration graph (EPG)
technique. Aphid probing behaviour determined by EPG showed that Macrosiphum
euphorbiae and Myzus persicae exhibited the shortest AAPs (15 and 11min,
respectively) whereas Rhopalosiphum padi, Sitobion avenae, Brevicoryne brassicae
and Acyrthosiphon pisum exhibited the longest ones (more than 30min). The
transmission rate obtained for M. persicae (83.3%) was higher than the ones
reported in the literature. REFs assessment showed that A. pisum and B. brassicae
were poor efficient vectors while M. euphorbiae and S. avenae seemed to be
efficient ones even though their respective REF were significantly lower than
that of M. persicae. The species R. padi and A. fabae did not transmit PVY. The
hypothesis assessed for M. euphorbiae and S. avenae and consisting in the
compensation of a weak PVY-transmission efficiency by a higher number of vectors,
was not supported. The use of this new method for REF evaluation and the need to
consider aphid behaviour for such an assessment was discussed.
PMID- 21884730
TI - Behavioural and cellular effects of exogenous amyloid-beta peptides in rodents.
AB - A better understanding of Alzheimer's disease (AD) and the development of disease
modifying therapies are some of the biggest challenges of the 21st century. One
of the core features of AD are amyloid plaques composed of amyloid-beta (Abeta)
peptides. The first hypothesis proposed that cognitive deficits are linked to
plaque-development and transgenic mice have been generated to study this link,
thereby providing a good model to develop new therapeutic approaches. Since later
it was recognised that in AD patients the cognitive deficit is rather correlated
to soluble amyloid levels, consequently, a new hypothesis appeared associating
the earliest amyloid toxicity to these soluble species. The purpose of this
review is to give a summary of behavioural and cellular data obtained after
soluble Abeta peptide administration into rodents' brain, thereby showing that
this model is a valid tool to investigate AD pathology when no plaques are
present. Additionally, this method offers an excellent, efficient model to test
compounds which could act at such early stages of the disease.
PMID- 21884731
TI - A mixture of odorant molecules potentially indicating oestrus in mammals elicits
penile erections in male rats.
AB - A common set of odorous molecules may indicate female receptiveness across
species, as male rats display sexual arousal when exposed to the odour of
oestrous faeces from rats, vixens and mares. More than 900 different compounds
were identified by GC-MS analyses performed on faeces samples from di-oestrous
and oestrous females and from males of the three species. Five carboxylic acids
were found in lower concentrations in faeces from all oestrous females. We
subjected 12 sexually trained male rats to a 30 min exposure to different
dilutions of a mixture of these five molecules in the same proportions as found
in female oestrous faeces. The behavioural responses of the rats were compared to
those displayed when exposed to water (negative control) and faeces from oestrous
female rats (positive control). Frequency of penile erections were found to be
significantly dependent on mixture dilution, with two intermediate dilutions
eliciting frequencies of penile erections that did not differ from those obtained
during exposure to oestrous female rat faeces. Higher and lower dilutions did not
elicit more penile erections than observed with water. These results support our
hypothesis that a small set of odorous molecules may indicate sexual
receptiveness in mammalian females.
PMID- 21884732
TI - Cortisol awakening response: are sampling delays of 15 minutes acceptable?
AB - Cortisol awakening responses (CAR) are often blunted or even extinguished when
saliva samplings are delayed. The literature suggests that delays of 10 or 15 min
are tolerable. Delays of 15 min were analysed with 510 CARs with the first sample
taken within 3 min post-awakening followed by 4 samples taken 15, 30, 45, and 60
min later. Varying delays of up to 30 min were analysed with these and further
148 CARs where sampling began within 30 min post-awakening. Times of awakening
and of saliva collection were verified by polysomnography or actimetry and by
electronic devices respectively. Simulated sampling delays of 15 min revealed
highly significant deviations from correctly taken CARs. No deviations were found
for delays of up to 11.5 min suggesting that delays of up to 10 min are
acceptable but that delays between 10 and 15 min might become critical.
PMID- 21884733
TI - Improved activity and stability of Rhizopus oryzae lipase via immobilization for
citronellol ester synthesis in supercritical carbon dioxide.
AB - In present work, Rhizopus oryzae lipase immobilized on a film prepared using
blend of hydroxylpropyl methyl cellulose (HPMC) and polyvinyl alcohol (PVA) was
investigated for synthesis of citronellol esters with supercritical carbon
dioxide (Sc-CO(2)) as a reaction medium. The transesterification reaction was
optimized for various reaction parameters like effect of molar ratio, acyl donor,
time, temperature, enzyme concentration, effect of pressure and co-solvent to
achieve the maximum yield of desired product. The results obtained signify
remarkable increment (about eightfold) in the yield of citronellol acetate (91%)
as compared to that of free lipase (11%) in Sc-CO(2). The developed biocatalytic
methodology provides a substantial advantage of low biocatalyst loading (1.5%,
w/v), lower reaction temperature (45 degrees C) and lower pressure (8 MPa) as
compared to previous reports. The immobilization method has significantly
enhanced the operational stability of lipase for ester synthesis under Sc-CO(2)
conditions. The developed methodology was successfully applied for synthesis of
three different industrially important citronellol esters namely citronellol
acetate (91%), citronellol butyrate (98%), citronellol laurate (99%) with
excellent yields using vinyl esters as acyl donor under Sc-CO(2) conditions. In
addition, the immobilized biocatalyst was effectively recycled for three
consecutive recycles.
PMID- 21884734
TI - Inhibition effect of isopropanol on acetyl-CoA synthetase expression level of
acetoclastic methanogen, Methanosaeta concilii.
AB - Isopropanol is a widely found solvent in industrial wastewaters, which have
commonly been treated using anaerobic systems. In this study, inhibitory effect
of isopropanol on the key microbial group in anaerobic bioreactors, acetoclastic
methanogens, was investigated. Anaerobic sludges in serum bottles were repeatedly
fed with acetate and isopropanol; and quantitative real-time PCR was used for
determining effect of isopropanol on the expression level of a key enzyme in
acetoclastic methane production, acetyl-CoA synthetase of Methanosaeta concilii.
Active Methanosaeta spp. cells were also quantified using Fluorescent in situ
hybridization (FISH). Transcript abundance of acetyl-CoA synthetase was 1.23+/
0.62*10(6) mRNAs/mL in the uninhibited reactors with 222 mL cumulative methane
production. First exposure to isopropanol resulted in 71.2%, 84.7%, 89.2% and
94.6% decrease in mRNA level and 35.0%, 65.0%, 91.5% and 100.0% reduction in
methane production for isopropanol concentrations of 0.1 M, 0.5 M, 1.0 M and 2.0
M, respectively. Repeated exposures resulted in higher inhibitions; and at the
end of test, fluorescent intensities of active Methanosaeta cells were
significantly decreased due to isopropanol. The overall results indicated that
isopropanol has an inhibitory effect on acetoclastic methanogenesis; and the
inhibition can be detected by monitoring level of acetyl-CoA transcripts and rRNA
level.
PMID- 21884735
TI - Mechanisms governing the responses to anthracnose pathogen in Juglans spp.
AB - Juglans nigra and Juglans regia are two highly economically important species for
wood and fruit production that are susceptible to anthracnose caused by Gnomonia
leptostyla. The identification of genotypes resistant to anthracnose could
represent a valid alternative to agronomic and chemical management. In this
study, we analyzed 72 walnut genotypes that showed a variety of resistance
phenotypes in response to natural infection. According to the disease severity
rating and microsatellite fingerprinting analysis, these genotypes were divided
into three main groups: (40) J. nigra resistant, (1) J. nigra susceptible, and
(31) J. regia susceptible. Data on leaf emergence rates and analysis of in vivo
pathogenicity indicated that the incidence of anthracnose disease in the field
might be partially conditioned by two key factors: the age and/or availability of
susceptible leaves during the primary infection of fungus (avoidance by late
flushing) and partial host resistance. NBS profiling approach, based on PCR
amplification with an adapter primer for an adapter matching a restriction enzyme
site and a degenerate primer targeting the conserved motifs present in the NBS
domain of NBS-LRR genes, was applied. The results revealed the presence of a
candidate marker that correlated to a reduction in anthracnose incidence in 72
walnut genotypes.
PMID- 21884736
TI - High level expression of human enteropeptidase light chain in Pichia pastoris.
AB - Human enterokinase (enteropeptidase, rhEP), a serine protease expressed in the
proximal part of the small intestine, converts the inactive form of trypsinogen
to active trypsin by endoproteolytic cleavage. The high specificity of the target
site makes enterokinase an ideal tool for cleaving fusion proteins at defined
cleavage sites. The mature active enzyme is comprised of two disulfide-linked
polypeptide chains. The heavy chain anchors the enzyme in the intestinal brush
border membrane, whereas the light chain represents the catalytic enzyme subunit.
The synthetic gene encoding human enteropeptidase light chain with His-tag added
at the C-terminus to facilitate protein purification was cloned into Pichia
pastoris expression plasmids under the control of an inducible AOX1 or
constitutive promoters GAP and AAC. Cultivation media and conditions were
optimized as well as isolation and purification of the target protein. Up to 4
mg/L of rhEP was obtained in shake-flask experiments and the expression level of
about 60-70 mg/L was achieved when cultivating in lab-scale fermentors. The
constitutively expressing strains proved more efficient and less labor-demanding
than the inducible ones. The rhEP was immobilized on AV 100 sorbent (Iontosorb)
to allow repeated use of enterokinase, showing specific activity of 4U/mL of wet
matrix.
PMID- 21884738
TI - Pepino mosaic virus capsid protein interacts with a tomato heat shock protein
cognate 70.
AB - Plant viral capsid proteins (CP) can be involved in virus movement, replication
and symptom development as a result of their interaction with host factors. The
identification of such interactions may thus provide information about viral
pathogenesis. In this study, Pepino mosaic virus (PepMV) CP was used as bait to
screen a tomato (Solanum lycopersicum) cDNA library for potential interactors in
yeast. Of seven independent interacting clones, six were predicted to encode the
C-termini of the heat shock cognate 70 (Hsc70) proteins. Three full length tomato
Hsc70s (named Hsc70.1, .2, .3) were used to confirm the interaction in the yeast
two hybrid assay and bimolecular fluorescent complementation (BiFC) in planta.
The PepMV CP-Hsc70 interaction was confirmed only in the case of Hsc70.3 for both
assays. In BiFC, the interaction was visualized in the cytoplasm and nucleus of
agroinfiltrated Nicotiana benthamiana epidermal cells. During PepMV infection,
Hsc70.3 mRNA levels were induced and protein accumulation increased at 48 and 72
h post inoculation. In transmission electron microscopy using immunogold
labelling techniques, Hsc70 was detected to co-localize with virions in the
phloem of PepMV-infected tomato leaves. These observations, together with the co
purification of Hsc70 with PepMV virions further support the notion of a PepMV
CP/Hsc70 interaction during virus infection.
PMID- 21884737
TI - Conserved and variable structural elements in the 5' untranslated region of two
hypoviruses from the filamentous fungus Cryphonectria parasitica.
AB - Virulence-attenuating viruses (hypoviruses) of the filamentous fungus
Cryphonectria parasitica, the causative agent of chestnut blight, have become a
premier model for understanding the molecular biology of mycoviruses. However, a
major gap exists in current understanding of structure and function of the
untranslated regions (UTRs) of the hypovirus RNA genome, despite considerable
evidence that secondary and tertiary UTR structure plays a crucial role in the
control of translation and genome replication in other systems. In this study we
have used structure prediction software coupled with RNase digestion studies to
develop validated structural models for the 5' UTRs of the two best-characterized
members of the Hypoviridae, CHV1-EP713 and CHV1-Euro7. These two hypovirus
strains exhibit significant variation in virulence attenuation despite sharing
>90% sequence identity. Our models reveal highly structured regions in the 5' UTR
of both strains, with numerous stem-loops suggestive of internal ribosome entry
sites. However, considerable differences in the size and complexity of structural
elements exist between the two strains. These data will guide future, mutagenesis
based studies of the structural requirements for hypovirus genome replication and
translation.
PMID- 21884739
TI - Tumour necrosis factor-alpha increases extravasation of virus particles into
tumour tissue by activating the Rho A/Rho kinase pathway.
AB - Tumour Necrosis Factor alpha (TNF) is a pleiotropic pro-inflammatory cytokine
with known vascular permeabilising activity. It is employed during isolated limb
perfusion to enhance delivery of chemotherapeutic drugs into tumour tissue. The
use of conditionally-replicating lytic viruses, so called 'oncolytic
virotherapy', provides a new approach to cancer treatment that is currently
limited by the low efficiency of extravasation of viral particles into tumours.
We report here evidence that TNF significantly enhances the delivery of virus
particles through the endothelial layer to allow access to tumour cells both in
vitro and in vivo. Intravenous administration of TNF resulted in a 3- to 6-fold
increase in EL4 tumour uptake of Evans Blue/Albumin, adenovirus and long
circulating polymer coated adenovirus. Interestingly, endothelial
permeabilisation could be suppressed in vitro and in vivo by Y-27632, a Rho
kinase inhibitor, without inhibiting viral infection. These data indicate that
TNF can enhance the delivery of virus particles into tumours through a Rho A/Rho
kinase dependent mechanism and may be a valuable strategy for increasing the
delivery of oncolytic viruses and other therapeutic agents.
PMID- 21884740
TI - Biophysical properties of chitosan/siRNA polyplexes: profiling the polymer/siRNA
interactions and bioactivity.
AB - Chitosans are naturally occurring polymers widely used in life science to mediate
intracellular uptake of nucleic acids such as siRNA. Four chitosans of fungal
origin (Agaricus bisporus; molecular weights MW=44, 63, 93 and 143 kDa) were used
in this study and profiled for size, viscosity and hydrodynamic radius using gel
permeation chromatography (GPC). Polyplexes made of these chitosans and siRNA
were developed and optimized for transfection efficacy in vitro. The
characteristics of these polyplexes were low chitosan:siRNA ratios (4-8; N:P)
similar positive zeta potential (20-30 mV) and comparable particle sizes (about
150 nm). Endogenous luciferase reporter gene down-regulation in human epithelial
H1299 cells at nanomolar concentrations (37.5-150 nM) was significantly stronger
for the lower molecular weight chitosans. The impact of these low N:P polyplexes
on the cellular viability was minimal also at 150 nM. To help develop an
understanding of these differences, an energetic profile of the molecular
interactions and polyplex formation was established by isothermal titration
calorimetry (ITC). The four polyplexes exhibited strong binding enthalpies delta
H(bind)(-84 to -102 kcal/mol) resulting in nanomolar dissociation constants.
Intracellular trafficking studies using rhodamine labeled siRNA revealed that
polyplexes made from smaller MW chitosans exhibited faster cellular uptake
kinetics than their higher MW counterpart. Transmission electron microscopy and
small angle X-ray scattering studies (SAXS) revealed that the 44 kDa derived
polyplexes exhibited regular spherical structure, whereas the 143 kDa chitosan
polyplex was rather irregularly shaped. With regards to adverse effects these low
N:P chitosan/siRNA formulations represent an interesting alternative to so far
reported chitosan polyplexes that used vast N:P excess to achieve similar
bioactivity.
PMID- 21884742
TI - Structural and biological characterization of mastoparans in the venom of Vespa
species in Taiwan.
AB - Mastoparans, a family of small peptides, are isolated from the wasp venom. In
this study, six mastoparans were identified in the venom of six Vespa species in
Taiwan. The precursors of these mastoparans are composed of N-terminal signal
sequence, prosequence, mature mastoparan, and appendix glycine at C-terminus.
These mature mastoparans all have characteristic features of linear cationic
peptides rich in hydrophobic and basic amino acids without disulfide bond.
Therefore, these peptides could be predicted to adopt an amphipathic alpha
helical secondary structure. In fact, the CD (circular dichroism) spectra of
these peptides show a high content alpha-helical conformation in the presence of
8 mM SDS or 40% 2,2,2-trifluoroethanol (TFE). All mastoparans exhibit mast cell
degranulation activity, antimicrobial activity against both Gram-positive and
negative bacteria tested, various degree of hemolytic activity on chicken, human,
and sheep erythrocytes as well as membrane permeabilization on Escherichia coli
BL21. Our results also show that the hemolytic activity of mastoparans is
correlated to mean hydrophobicity and mean hydrophobic moment.
PMID- 21884741
TI - Parental feeding behaviours and motivations. A qualitative study in mothers of UK
pre-schoolers.
AB - Parental feeding behaviours are considered major influences on children's eating
behaviour. However, many questionnaire studies of feeding neglect subtle
distinctions between specific feeding strategies and practices in favour of
eliciting general feeding goals, and do not take account of the context provided
by parents' motivations. These factors may be critical to understanding child
outcomes and engaging parents in child obesity prevention. The present study
obtained interview and diary data on specific feeding behaviours and underlying
motivations from 22 mothers of predominantly healthy weight 3-5 y olds in the UK.
Parents described a wide range of efforts to promote or restrict intake that were
largely motivated by practical and health considerations and only rarely by
concern about weight. There was also evidence for instrumental feeding, rules
surrounding meal-time, child involvement, and parental flexibility in relation to
feeding. Almost all parents described responding to children's appetitive traits,
consistent with growing evidence for genetically influenced individual
differences in children's appetite. These findings suggest that in order to
engage parents of currently healthy weight children, obesity prevention advice
should aim to satisfy their primary motivations (practicality, health), and be
framed as helping parents to respond sensitively and appropriately to different
children's characteristics.
PMID- 21884743
TI - B-type natriuretic peptide and anthropometric measures in a Brazilian elderly
population with a high prevalence of Trypanosoma cruzi infection.
AB - B-type natriuretic peptide (BNP) is a diagnostic and prognostic tool in heart
failure and also in Chagas disease, which is caused by the protozoan Trypanosoma
cruzi and has cardiomyopathy as a main feature. BNP lipolytic actions and T.
cruzi infection in the adipose tissue have been recently described. We aim to
investigate the relationship between BNP and anthropometric measures and whether
it is influenced by T. cruzi infection. We measured BNP, body mass index (BMI),
waist circumference (WC), triceps skin-fold thickness (TSF) and performed
serological, biochemical and electrocardiographic exams in 1398 subjects (37.5%
infected with T. cruzi) in a community-dwelling elderly population in Bambui
city, Brazil. Linear multivariate regression analysis was performed to
investigate determinants of BNP levels. BNP levels were significantly (p<0.05)
higher in T. cruzi-infected subjects than in the non-infected group (median=121
and 64pg/mL, respectively). BMI, WC and TSF in infected subjects were
significantly lower than those in non-infected subjects (24.3 vs. 25.5kg/m2; 89.2
vs. 92.4cm; and 14.5 vs. 16.0mm, respectively). There was an inverse relationship
between BNP levels and BMI (b=-0.018), WC (b=-0.005) and TSF (b=-0.193) levels.
Infected and non-infected groups showed similar inverse relationships between BNP
and BMI (b=-0.021 and b=-0.015, respectively). In conclusion, there was an
inverse relationship between BNP levels and the anthropometric measures. Despite
the actions in the adipose tissue, T. cruzi infection did not modify the
associations between BNP and BMI, suggesting that body mass does not modify the
accuracy of BNP in Chagas disease.
PMID- 21884744
TI - Expression profiling reveals differential gene induction underlying specific and
non-specific memory for pheromones in mice.
AB - Memory for the mating male's pheromones in female mice is thought to require
synaptic changes in the accessory olfactory bulb (AOB). Induction of this memory
depends on release of glutamate in response to pheromonal exposure coincident
with release of norepinephrine (NE) in the AOB following mating. A similar memory
for pheromones can also be induced artificially by local infusion of the GABA(A)
receptor antagonist bicuculline into the AOB. The natural memory formed by
exposure to pheromones during mating is specific to the pheromones sensed by the
female during mating. In contrast, the artificial memory induced by bicuculline
is non-specific and results in the female mice recognizing all pheromones as if
they were from the mating male. Although protein synthesis has been shown to be
essential for development of pheromone memory, the gene expression cascades
critical for memory formation are not known. We investigated changes in gene
expression in the AOB using oligonucleotide microarrays during mating-induced
pheromone memory (MIPM) as well as bicuculline-induced pheromone memory (BIPM).
We found the set of genes induced during MIPM and BIPM are largely non
overlapping and Ingenuity Pathway Analysis revealed that the signaling pathways
in MIPM and BIPM also differ. The products of genes induced during MIPM are
associated with synaptic function, indicating the possibility of modification at
specific synapses, while those induced during BIPM appear to possess neuron-wide
functions, which would be consistent with global cellular changes. Thus, these
results begin to provide a mechanistic explanation for specific and non-specific
memories induced by pheromones and bicuculline infusion respectively.
PMID- 21884745
TI - Tumor-infiltrating lymphocytes and dendritic cells in human colorectal cancer:
their relationship to KRAS mutational status and disease recurrence.
AB - The prognosis of newly diagnosed colorectal cancer patients relies mostly on
tumor-node metastasis classification. However, analyses of tumor-infiltrating
lymphocytes and several molecular markers have also shown promising prognostic
value. Mutations in the proto-oncogene KRAS, which occur early in colorectal
carcinogenesis, have been demonstrated to be common in human colorectal cancer
(CRC); however, their prognostic significance remains controversial. We examined
the correlations between KRAS mutational status and tumor-infiltrating immune
cells with respect to CRC recurrence. Mutations in KRAS were identified in 45.5%
of the primary carcinomas in our cohort of patients: 65% in codon 12 and 35% in
codon 13. Although codon 13 KRAS mutations were associated with disease relapse,
they were present in both disease-free and relapsed patients. However, disease
free and relapsed patients differed markedly in their patterns of tumor
infiltrating immune cells. There was a trend toward decreased density of tumor
infiltrating lymphocytes (TILs) within the group of relapsed cases. In addition,
relapsed patients with codon 13 mutations had markedly lower levels of tumor
infiltrating mature DC-LAMP(+) dendritic cells (DCs) and higher frequency of
CD1a(+) cells compared with disease-free patients. Our data suggest that CRC
patients with low levels of TILs, a high CD1a(+)/DC-LAMP(+) tumor-infiltrating DC
ratio, and a KRAS mutation in codon 13 are at a high risk of disease recurrence.
PMID- 21884746
TI - Identification of a new protective antigen of Bordetella pertussis.
AB - Antigenic proteins whose expression is induced under iron starvation, an
environmental condition that bacterial pathogens have to face during
colonization, might be potential candidates for improved vaccine. By mean of
immune proteomics we identified novel antigens of Bordetella pertussis maximally
expressed under iron limitation. Among them, Bp1152 (named as IRP1-3) showed a
particularly strong reaction with human IgG purified from pooled sera of
pertussis-infected individuals. Computer analysis showed IRP1-3 as a dimeric
membrane protein potentially involved in iron uptake. Experimental data revealed
the surface-exposure of this protein and showed its increase under iron
starvation to be independent of bacterial virulence phase. Immunization of mice
with the recombinant IRP1-3 resulted in a strong antibody response. These
antibodies not only recognized the native protein on bacterial surface but also
promote effective bacterial phagocytosis by human PMN, a key protecting activity
against this pathogen. Accordingly, IRP1-3 proved protective against B. pertussis
infection in mouse model. Expression of IRP1-3 was found conserved among clinical
isolates of B. pertussis and positively regulated by iron starvation in these
strains. Taken together these results suggest that this protein might be an
interesting novel vaccine candidate.
PMID- 21884747
TI - Effectiveness of the pandemic H1N1 influenza vaccines against laboratory
confirmed H1N1 infections: population-based case-control study.
AB - BACKGROUND: Excellent immune responses following 1 or 2 doses of the monovalent
inactivated pandemic H1N1 vaccines have been documented, but the effectiveness of
these vaccines against laboratory-confirmed H1N1 infections in the general
population is not clear. We evaluated the effectiveness of the pandemic H1N1 and
seasonal trivalent influenza vaccines (TIV) used during the 2009 mass vaccination
campaign in Manitoba (Canada) in preventing laboratory-confirmed H1N1 infections.
METHODS: A population-based case-control study using data from Cadham Provincial
Laboratory (CPL) and the Manitoba Immunization Monitoring System (MIMS). All
Manitoba residents >=6 months of age who had a respiratory specimen tested at CPL
for H1N1 were included in the study. Cases were individuals who tested positive
for pandemic H1N1 influenza A by reverse transcriptase-PCR (N=1435). Controls
were individuals who tested negative for both influenza A and B (N=2309).
Information on receipt of TIV or H1N1 vaccine was obtained by record linkage with
MIMS, the population-based province-wide immunization registry. RESULTS: Overall,
the adjuvanted H1N1 vaccine was 86% (95%CI 75-93%) effective in preventing
laboratory-confirmed H1N1 infections when vaccination occurred >=14 days before
testing. Effectiveness seemed lower among older (>=50 years) individuals [51% (
51 to 84%)] and among those with immunocompromising conditions [67% (-13 to
90%)]. There was also evidence that the H1N1 vaccine might be less effective
among those who had received the 2009/10 TIV. DISCUSSION: The adjuvanted H1N1
vaccine used during Manitoba's H1N1 mass vaccination campaign was highly
effective against laboratory-confirmed pandemic H1N1 infection, especially among
children and younger adults.
PMID- 21884748
TI - Antiradical and antioxidant activities of new bio-antioxidants.
AB - Antioxidants could be promising agents for management of oxidative stress-related
diseases. New biologically active compounds, belonging to a rare class of natural
lignans with antiangiogenic, antitumoral and DNA intercalating properties, have
been recently synthesized. These compounds are benzo[kl]xanthene lignans (1,2)
and dihydrobenzofuran neolignans (3,4). The radical scavenging and chain-breaking
antioxidant activities of compounds 1-4 were studied by applying different
methods: radical scavenging activity by DPPH rapid test, chain-breaking
antioxidant activity and quantum chemical calculations. All studied compounds
were found to be active as DPPH scavengers but reaction time with DPPH and
compounds' concentrations influenced deeply the evaluation. The highest values of
radical scavenging activity (%RSAmax) and largest rate constants for reaction
with DPPH were obtained for compounds 2 and 3. Comparison of %RSAmax with that of
standard antioxidants DL-alpha-tocopherol (TOH), caffeic acid (CA) and butylated
hydroxyl toluene (BHT) give the following new order of %RSA max: TOH (61.1%) > CA
(58.6%) > 3 (36.3%) > 2 (28.1%) > 4 (6.7%) > 1 (3.6%) = BHT (3.6%). Chain
breaking antioxidant activities of individual compounds (0.1-1.0 mM) and of their
equimolar binary mixtures (0.1 mM) with TOH were determined from the kinetic
curves of lipid autoxidation at 80 degrees C. On the basis of a comparable
kinetic analysis with standard antioxidants a new order of the antioxidant
efficiency (i.e., protection factor, PF) of compounds 1-4 were obtained: 2 (7.2)
>= TOH (7.0) >= CA (6.7) > 1 (3.1) > 3 (2.2) > ferulic acid FA (1.5) > 4 (0.6);
and of the antioxidant reactivity (i.e. inhibition degree, ID): 2 (44.0) >> TOH
(18.7) >> CA (9.3) >> 1 (8.4) > 3 (2.8) > FA (1.0) > 4 (0.9). The important role
of the catecholic structure in these compounds, which is responsible for the high
chain-breaking antioxidant activity, is discussed and a reaction mechanism is
proposed. Higher oxidation stability of the lipid substrate was found in the
presence of equimolar binary mixtures 2 + TOH, 3 + TOH and 4 + TOH. However, an
actual synergism was only obtained for the binary mixtures with compounds 3 and
4. The geometries of compounds and all possible phenoxyl radicals were optimized
using density functional theory. For description of the scavenging activity bond
dissociation enthalpies (BDE), HOMO energies and spin densities were employed.
The best correlation between theoretical and experimental data was obtained for
compound 2, with the highest activity, and for compound 4 with the lowest
activity. The BDE is the most important theoretical descriptor, which correlates
with the experimentally obtained antioxidant activity of the studied
benzo[kl]xanthene lignans and dihydrobenzofuran neolignans.
PMID- 21884749
TI - Identifying three-way DNA junction-specific small-molecules.
AB - Three-way junction DNA (TWJ-DNA, also known as 3WJ-DNA) is an alternative
secondary DNA structure comprised of three duplex-DNAs that converge towards a
single point, termed the branch point. This point is characterized by unique
geometrical properties that make its specific targeting by synthetic small
molecules possible. Such a targeting has already been demonstrated in the solid
state but not thoroughly biophysically investigated in solution. Herein, a set of
simple biophysical assays has been developed to identify TWJ-specific small
molecule ligands; these assays, inspired by the considerable body of work that
has been reported to characterize the interactions between small-molecules and
other higher-order DNA (notably quadruplex-DNA), have been calibrated with a
known non-specific DNA binder (the porphyrin TMPyP4) and validated via the study
of a small series of triazacyclononane (TACN) derivatives (metal-free or not) and
the identification of a fairly-affinic and exquisitely TWJ-selective candidate (a
TACN-quinoline construct named TACN-Q).
PMID- 21884751
TI - Mindfulness-based cognitive therapy (MBCT), cognitive style, and the temporal
dynamics of frontal EEG alpha asymmetry in recurrently depressed patients.
AB - Mindfulness-based cognitive therapy (MBCT), a meditation-based maintenance
therapy, reduces the relapse risk in individuals suffering from major depressive
disorder (MDD). However, only a few studies investigated the psychophysiological
mechanisms underlying this protective effect. We examined effects of MBCT on
trait rumination and mindfulness, as indicators of global cognitive style, as
well as on residual depressive symptoms in a group of recurrently depressed
patients (n=78) in remission. Additionally, alpha asymmetry in resting-state
electroencephalogram (EEG) was assessed. Alpha asymmetry has been found to be
predictive of affective style and a pattern indicative of stronger relative right
hemispheric anterior cortical activity may represent a trait marker for the
vulnerability to develop MDD. In line with previous findings, residual depressive
symptoms and trait rumination decreased, whereas trait mindfulness increased
following MBCT, while no such changes took place in a wait-list control group.
Mean values of alpha asymmetry, on the other hand, remained unaffected by
training, and shifted systematically toward a pattern indicative of stronger
relative right-hemispheric anterior cortical activity in the whole sample. These
findings provide further support for the protective effect of MBCT. In the
examined patients who were at an extremely high risk for relapse, however, this
effect did not manifest itself on a neurophysiological level in terms of alpha
asymmetry, where a shift, putatively indicative of increased vulnerability, was
observed.
PMID- 21884750
TI - Cortical inhibition in alexithymic patients with borderline personality disorder.
AB - High levels of alexithymia, a personality trait closely associated with emotion
dysregulation, have been found in several psychiatric disorders including
borderline personality disorder (BPD). Both BPD and alexithymia have been related
to impaired cortical inhibition; however, this relationship has not been tested
directly. The aim of the present study was, therefore, to investigate whether
cortical inhibition is modulated by alexithymia in BPD. Fifteen BPD patients with
Toronto-Alexithymia Scale (TAS-20) scores >=61, 14 BPD patients with TAS-20 <61,
and 16 healthy controls were examined using different TMS paradigms. High
alexithymia patients showed a shortened cortical silent period (CSP) compared to
low-alexithymia patients and controls. Additionally, a significant inverse
correlation was found between the TAS-20, the left CSP and the left transcallosal
conduction time. These findings indicate that alexithymia is associated with
changes in GABAergic neurotransmission and facilitated transcallosal inhibition.
The results highlight the importance of considering alexithymia in BPD.
PMID- 21884752
TI - Striatal expression of Homer1a is affected by genotype but not dystonic phenotype
of tottering mice: a model of spontaneously occurring motor disturbances.
AB - Tottering (tg) mice carry a missense mutation in the gene coding for P/Q-type
voltage-dependent Ca(2+) channels (VDCCs). Aberrant functioning of P/Q-type VDCCs
results in molecular alterations in Ca(2+) currents and in glutamate and dopamine
systems. As a consequence, tottering mice exhibit mild ataxia, spontaneous
epilepsy, and paroxysmal dyskinesia. In this study, we evaluated whether the
tottering mice genotype (homozygous vs. heterozygous) and abnormal movement
phenotype (mice exhibiting paroxysmal dyskinesia vs. mice not exhibiting
dyskinesia) may affect the expression of Homer1a. Homer1a is a gene whose
expression is modulated by glutamate, dopamine and Ca(2+) concentrations. Over
expression of Homer1a has been described in epilepsy and motor dysfunctions.
Thereby, changes in Homer1a expression could take place in tottering mice.
Studying the expression profile of this gene may shed light on the molecular
events occurring in tottering mice. Moreover, tottering mice may represent a
valuable animal model for investigating Homer1a involvement in motor disorders.
Homer1a expression was decreased in all striatal subregions, with the exclusion
of the dorsolateral caudate-putamen, in heterozygous mice compared to wild-type
and homozygous mice. Gene expression was decreased in the core of the accumbens
in mice exhibiting paroxysmal dyskinesia compared to wild-type mice and to mice
not exhibiting dyskinesia. These results demonstrate that the tottering mouse
genotype may affect striatal expression of Homer1a, possibly as a result of
imbalance between Ca(2+) channels subtypes or Ca(2+)-related molecules in
heterozygous vs. homozygous mice.
PMID- 21884753
TI - Association between prior alcohol use disorders and decreased prefrontal gray
matter volumes in bipolar I disorder patients.
AB - Up to 50% of bipolar disorder (BD) patients present a lifetime diagnosis of
alcohol use disorders (AUD). BD patients with comorbid AUD, even when in
remission from the AUD, have a poorer outcome and functional impairment than
patients with BD alone. The neurobiological abnormalities that potentially
characterize this severe subgroup of BD patients are unknown. Our goal was to
investigate gray matter (GM) volume abnormalities in BD I patients with comorbid
AUD. Twenty-one BD-AUD patients, 21 BD-nonAUD BD patients, and 25 healthy
controls (HC), matched by age, gender, and handedness were studied. The BD-AUD
patients were in remission from AUD on average for 6.8 years. 3D SPGR MRIs (TR=25
ms, TE=5 ms, slice thickness=1.5 mm) were acquired from all subjects using a 1.5
T GE Signa Imaging System. We used an optimized voxel-based morphometry protocol
to compare GM volumes among the groups. BD-AUD patients presented smaller GM
volumes in the left medial frontal and the right anterior cingulate gyri compared
to BD-nonAUD patients. BDnon-AUD patients did not present GM volume differences
compared to HC. These findings provide evidence for an effect of comorbid AUD on
regional brain structure of BD I patients and warrant further research on
neurobiological aspects of this prevalent and severe comorbidity.
PMID- 21884754
TI - Impairment of odor recognition and odor-induced emotions in type 1 myotonic
dystrophy.
AB - This study aimed to test whether type 1 myotonic dystrophy (DM1) patients who
have a lower sensitivity to emotional facial expressions have an abnormal
olfactory threshold or recognition level. We measured DM1 patients' performances
in an olfactory acuity test and respiratory responses to odor stimuli, and
compared their results to those of healthy controls (HCs). We found that DM1
patients exhibited a significantly reduced odor detection sensitivity compared
with that in HCs. Three out of seven DM1 patients exhibited impaired odor
recognition, while other four patients had significantly lower odor recognition
compared with HCs. Even when patients were able to identify the type of odor, the
levels of pleasantness they reported experiencing in response to a pleasant odor
were significantly lower than those reported by HCs. These subjective data in DM1
patients were reflected in the respiratory responses (RRs). In the current study,
one patient showed impairments in both odor detection and odor recognition.
Abnormalities of the olfactory limbic areas might have been the cause of the
olfactory impairments observed in the DM1 patients.
PMID- 21884756
TI - Dopamine oxidation facilitates rotenone-dependent potentiation of N-methyl-D
aspartate currents in rat substantia nigra dopamine neurons.
AB - Rotenone is a mitochondrial poison that causes dopamine cell death and is used as
a model of Parkinson's disease in rodents. Recently, we showed that rotenone
augments currents evoked by N-methyl-D-aspartate (NMDA) by relieving voltage
dependent Mg(2+) block in rat substantia nigra compacta (SNC) dopamine neurons.
Because rotenone is well known to generate reactive oxygen species (ROS), we
conducted the present experiments to evaluate the role of ROS in mediating the
effect of rotenone on NMDA current augmentation. Using patch pipettes to record
whole-cell currents from SNC neurons in slices of rat brain, we found that the
ability of rotenone (100 nM) to increase NMDA (3-30 MUM) current was antagonized
by the antioxidant agent n-acetylcysteine (1 mM). In contrast, mercaptosuccinate
(1 mM), which blocks glutathione peroxidase and raises tissue levels of H(2)O(2),
mimicked rotenone by augmenting inward currents evoked by NMDA. Because oxidation
of dopamine can also generate ROS, we explored the role of dopamine on this
action of rotenone. We prepared dopamine-depleted midbrain slices from rats that
had been pretreated with reserpine (5 mg/kg ip) and alpha-methyl-para-tyrosine
(AMPT, 250 mg/kg ip). Dopamine depletion blocked the ability of rotenone (100 nM)
to increase inward current evoked by NMDA (30 MUM). Rotenone-dependent
augmentation of NMDA current was also blocked by the monoamine oxidase inhibitor
pargyline (100 MUM) in slices prepared from normal rats. In contrast, the
dopamine precursor levodopa potentiated the action of rotenone on NMDA current.
These results suggest that ROS and/or dopamine oxidation products mediate the
ability of rotenone to potentiate NMDA currents. Because excessive NMDA receptor
stimulation can produce excitotoxicity, our results suggest that oxidative
metabolism of dopamine might facilitate the neurotoxicity of rotenone.
PMID- 21884755
TI - The role of calcium and mitochondrial oxidant stress in the loss of substantia
nigra pars compacta dopaminergic neurons in Parkinson's disease.
AB - Parkinson's disease (PD) is the second most common neurodegenerative disease in
developed countries. The core motor symptoms are attributable to the degeneration
of dopaminergic (DA) neurons in the substantia nigra pars compacta (SNc). Why
these neurons succumb in PD is not clear. One potential clue has come from the
observation that the engagement of L-type Ca2+ channels during autonomous
pacemaking elevates the sensitivity of SNc DA neurons to mitochondrial toxins
used to create animal models of PD, suggesting that Ca2+ entry is a factor in
their selective vulnerability. Recent work has shown that this Ca2+ entry also
elevates mitochondrial oxidant stress and that this stress is exacerbated by
deletion of DJ-1, a gene associated with an early onset, recessive form of PD.
Epidemiological data also support a linkage between L-type Ca2+ channels and the
risk of developing PD. This review examines the hypothesis that the primary
factor driving neurodegenerative changes in PD is the metabolic stress created by
Ca2+ entry, particularly in the face of genetic or environmental factors that
compromise oxidative defenses or proteostatic competence.
PMID- 21884757
TI - Slow phasic changes in nucleus accumbens dopamine release during fixed ratio
acquisition: a microdialysis study.
AB - Nucleus accumbens dopamine (DA) is a critical component of the brain circuitry
regulating behavioral output during reinforcement-seeking behavior. Several
studies have investigated the characteristics of accumbens DA release during the
performance of well-learned operant behaviors, but relatively few have focused on
the initial acquisition of particular instrumental behaviors or operant
schedules. The present experiments focused on the initial acquisition of operant
performance on a reinforcement schedule by studying the transition from a fixed
ratio 1 (FR1) schedule to another operant schedule with a higher ratio
requirement (i.e. fixed ratio 5 [FR5]). Microdialysis sessions were conducted in
different groups of rats that were tested on either the FR1 schedule; the first,
second, or third day of FR5 training; or after weeks of FR5 training. Consistent
with previous studies, well-trained rats performing on the FR5 schedule after
weeks of training showed significant increases in extracellular DA in both core
and shell subregions of nucleus accumbens during the behavioral session. On the
first day of FR5 training, there was a substantial increase in DA release in
nucleus accumbens shell (i.e. approximately 300% of baseline). In contrast,
accumbens core DA release was greatest on the second day of FR5 training. In
parallel experiments, DA release in core and shell subregions did not
significantly increase during free consumption of the same high carbohydrate food
pellets that were used in the operant experiments, despite the very high levels
of food intake in experienced rats. However, in rats exposed to the high
carbohydrate food for the first time, there was a tendency for extracellular DA
to show a small increase. These results demonstrate that transient increases in
accumbens DA release occur during the initial acquisition of ratio performance,
and suggest that core and shell subregions show different temporal patterns
during acquisition of instrumental behavior.
PMID- 21884759
TI - Keeping an eye on imagery: the role of eye movements during motor imagery
training.
AB - We recently found that spontaneous eye movements occur during motor imagery of
hand movements, which are similar to those made during physical execution. In
physical execution, eye movements have been shown to play an important role
during training. In motor imagery practice, however, their effect remains
unclear. Therefore, in the present study, we examined the role of eye movements
during motor imagery practice with specific interest in the impact of task
complexity and effector specificity. Thirty-six young healthy participants were
tested before and after 4 days of visual motor imagery training on a Virtual
Radial Fitts' task with different indices of difficulty. Training was performed
with the nondominant hand only. Subjects were divided into a group that trained
while spontaneous eye movements were allowed, one that kept the eyes fixed during
training, and a control group. Electro-oculography and electromyography signals
were monitored to guarantee task compliance during imagery. The results indicated
that eye movements during imagery did not affect the temporal parameters of the
trained movement. They did, however, help to achieve maximal gains in movement
accuracy and efficiency. These positive effects on the spatial parameters were
most pronounced during conditions with high accuracy demands and were present for
both the trained and the untrained hand. These findings contribute to guidelines
for optimizing training protocols based on motor imagery.
PMID- 21884758
TI - Aging-related alterations in orexin/hypocretin modulation of septo-hippocampal
amino acid neurotransmission.
AB - GABAergic neurons of the medial septum of the basal forebrain make up a
substantial portion of the septo-hippocampal pathway fibers, and are known to
modulate hippocampal amino acid neurotransmission and support cognitive function.
Importantly, these neurons are also implicated in age-related cognitive decline.
Hypothalamic orexin/hypocretin neurons innervate and modulate the activity of
these basal forebrain neurons and also provide direct inputs to the hippocampus.
However, the precise role of orexin inputs in modulating hippocampal amino acid
neurotransmission--as well as how these interactions are altered in aging--has
not been defined. Here, orexin A (OxA) was administered to CA1 and the medial
septum of young (3-4 months) and aged (27-29 months) Fisher 344 Brown Norway
rats, and hippocampal GABA and glutamate efflux was analyzed by in vivo
microdialysis. Following CA1 infusion of OxA, extracellular GABA and glutamate
efflux was increased, but the magnitude of orexin-mediated efflux was not altered
as a function of age. However, medial septum infusion of OxA did not impact
hippocampal efflux in young rats, while aged rats exhibited a significant
enhancement in GABA and glutamate efflux compared to young counterparts.
Furthermore, immunohistochemical characterization of the medial septum revealed a
significant decrease in parvalbumin (PV)-positive cell bodies in aged animals,
and a significant reduction in orexin fiber innervation to the remaining
GABAergic cells within the septum, while orexin innervation to the hippocampus
was unaltered by the aging process. These findings indicate that: (1) OxA
directly modulates hippocampal amino acid neurotransmission in young animals, (2)
Aged animals show enhanced responsivity to exogenous OxA activation of the septo
hippocampal pathway, and (3) Aged animals undergo an intrinsic reduction in
medial septum PV-immunoreactivity and a decrease in orexin innervation to
remaining septal PV neurons. Alterations in orexin regulation of septo
hippocampal activity may contribute to age-related dysfunctions in arousal,
learning, and memory.
PMID- 21884760
TI - Exploring the role of the substantia nigra pars reticulata in eye movements.
AB - Experiments that demonstrated a role for the substantia nigra in eye movements
have played an important role in our understanding of the function of the basal
ganglia in behavior more broadly. In this review we explore more recent
experiments that extend the role of the substantia nigra pars reticulata from a
simple gate for eye movements to include a role in cognitive processes for eye
movements. We review recent evidence suggesting that basal ganglia nuclei beyond
the substantia nigra may also play a role in eye movements and the cognitive
events leading up to the production of eye movements. We close by pointing out
some unresolved questions in our understanding of the relationship of basal
ganglia nuclei and eye movements.
PMID- 21884761
TI - Endocannabinoid signaling in the amygdala: anatomy, synaptic signaling, behavior,
and adaptations to stress.
AB - The molecular constituents of endocannabinoid (eCB) signaling are abundantly
expressed within the mammalian amygdaloid complex, consistent with the robust
role of eCB signaling in the modulation of emotional behavior, learning, and
stress-response physiology. Here, we detail the anatomical distribution of eCB
signaling machinery in the amygdala and the role of this system in the modulation
of excitatory and inhibitory neuroplasticity in this region. We also summarize
recent findings demonstrating dynamic alternations in eCB signaling that occur in
response to stress exposure, as well as known behavioral consequences of eCB
mediated modulation of amygdala function. Finally, we discuss how integrating
anatomical and physiological data regarding eCB signaling in the amygdala could
help elucidate common functional motifs of this system in relation to broader
forebrain function.
PMID- 21884762
TI - Glutamate and nicotinic receptor interactions in working memory: importance for
the cognitive impairment of schizophrenia.
AB - This article reaches across disciplines to correlate results in molecular,
cellular, behavioral, and clinical research to develop a more complete picture of
how working memory (WM) functions. It identifies a new idea that deserves further
investigation. NMDA glutamate receptors (NMDAR) are critical for memory function.
NMDAR inhibition effectively reproduces principal manifestations of schizophrenia
(SP), such as WM impairment and GABAergic deficit (mainly reduction of glutamic
acid decarboxylase 67 (GAD67) and parvalbumin (PV) content). Nicotine and
selective alpha7 nicotinic acetylcholine receptor (nAChR) agonists reduce WM
impairments in patients with SP and reverse WM deficits in animals treated with
NMDAR antagonists. The mechanism of this effect is unknown. Importantly, WM
recovery occurs even before restoration of NMDAR blockade-induced molecular
alterations, including reduced GAD67 in interneurons. Our insight into the
cognitive-enhancing effect of alpha7 nAChR agonists, particularly in the animal
models of SP, combines reviews of recent findings on glutamate and nicotinic
receptor expression in the neuronal circuits involved in WM, the properties of
these receptors, their implication in WM regulation, generation of rhythmic
neuronal activity, resulting in a proposed hypothesis for further investigations.
We suggest that (1) cortical/hippocampal interneurons, particularly PV positive,
play a crucial role in WM and that impairment of these cells in SP could be
behind the WM deficit; (2) activation of alpha7 nAChRs could restore calcium
signaling and intrinsic properties of these interneurons, and associated with
these events, computational capacity, gamma rhythmic activity, and WM would also
be restored.
PMID- 21884763
TI - Gap junctions contribute to astrocytic resistance against zinc toxicity.
AB - Astrocytic gap junctions have been implicated in the regulation of cell
viability. High amounts of extracellular zinc, which is released during ischemia,
seizure, and brain trauma, can be cytotoxic to astrocytes. We tested whether gap
junction coupling between astrocytes plays an important role in modulating zinc
toxicity in hippocampal astrocytes. Zinc induces cell death in a dose-dependent
manner in primary cultured hippocampal astrocytes. Two gap junction inhibitors,
18beta-glycyrrhetinic acid and arachidonic acid, had no effect on zinc-induced
cell death in low-confluence culture, where physical separation prevents gap
junctions from forming. However, these inhibitors can potentiate zinc toxicity in
high-confluence astrocyte cultures. Zinc toxicity was substantially suppressed
upon connexin 43 overexpression, whereas knockdown caused a significant
enhancement of the toxicity in high-confluence cultures. These data suggest that
gap junctions in hippocampal astrocytes provide a protective role against zinc
toxicity.
PMID- 21884764
TI - "Anticipated" nucleosome positioning pattern in prokaryotes.
AB - Linguistic (word count) analysis of prokaryotic genome sequences, by Shannon N
gram extension, reveals that the dominant hidden motifs in A+T rich genomes are
T(A)(T)A and G(A)(T)C with uncertain number of repeating A and T. Since
prokaryotic sequences are largely protein-coding, the motifs would correspond to
amphipathic alpha-helices with alternating lysine and phenylalanine as
preferential polar and non-polar residues. The motifs are also known in
eukaryotes, as nucleosome positioning patterns. Their existence in prokaryotes as
well may serve for binding of histone-like proteins to DNA. In this case the
above patterns in prokaryotes may be considered as "anticipated" nucleosome
positioning patterns which, quite likely, existed in prokaryotic genomes before
the evolutionary separation between eukaryotes and prokaryotes.
PMID- 21884765
TI - Synthesis of azoxystrobin transformation products and selection of monoclonal
antibodies for immunoassay development.
AB - The use of agrochemicals for crop protection may result in the presence of toxic
residues in soils and aquatic environments, besides in foodstuffs. Most often
just the parent compound is included in the definition of pesticide residue, even
though chemicals resulting from biotransformation and degradation routes might
also be of toxicological relevance. Azoxystrobin is a broad-spectrum systemic
fungicide widely used worldwide to combat pathogenic fungi affecting plants. We
herein report the synthesis and detailed chemical characterization of several of
the most relevant metabolites and degradates of azoxystrobin. These compounds
were further employed as ligands for screening a collection of monoclonal
antibodies to azoxystrobin, which had been previously generated from haptens
functionalized at different positions of the target chemical. As a result, an
antibody was identified capable of binding, with subnanomolar affinity, not only
azoxystrobin but also its main transformation products, such as the so-called
acid and enol derivatives, as well as the azoxystrobin (Z)-isomer. The selected
binder was demonstrated as a useful immunoreagent for the development of
immunochemical assays as novel analytical tools for the qualitative determination
of azoxystrobin and its metabolites and degradates.
PMID- 21884766
TI - Rat hyperactivity by bisphenol A, but not by its derivatives, 3-hydroxybisphenol
A or bisphenol A 3,4-quinone.
AB - Detoxification in the central nervous system is largely unknown. The mechanism of
neurotoxicity of bisphenol A, a toxic environmental chemical remains obscure. We
examined the effects of bisphenol A, and its derivatives, 3-hydroxybisphenol A
and bisphenol A 3,4-quinone on rat behavior as possible metabolites of bisphenol
A. A single intracisternal administration of bisphenol A (20 MUg equivalent to 87
nmol) into 5-day-old male Wistar rats caused significant hyperactivity at 4-5
weeks of age. It was about 1.3 fold more active in the nocturnal phase than
control rats. However, neither 3-hydroxybisphenol A nor bisphenol A 3,4-quinone
at the same amount (87 nmol) increased the spontaneous motor activity. Gas
chromatographic-mass spectrometric (GC-MS) analyses of the treated brain revealed
that 7% of the parent chemical resided in the brain at 8 weeks of age, but its
derivatives were not found. This suggested a difference in metabolic turnover of
these compounds or a difference in their stabilities. We conclude that bisphenol
A per se caused hyperactivity in the rat, eliminating the possibility that
possible metabolic forms of bisphenol A, 3-hydroxybisphenol A and bisphenol A 3,4
quinone have the ability to elicit rat hyperactivity, probably because of longer
lasting residence of the parent compound in the brain.
PMID- 21884767
TI - Enhanced cellular delivery of idarubicin by surface modification of propyl starch
nanoparticles employing pteroic acid conjugated polyvinyl alcohol.
AB - Enhanced intracellular internalization of the anti-cancer active idarubicin (IDA)
was achieved through appropriate surface modification of IDA loaded propyl starch
nanoparticles. This was conducted by synthesizing pteroic acid modified polyvinyl
alcohol (ptPVA) and employing this stabilizer for formulating the said
nanoparticles. Pteroic acid attached at the nanoparticles improved the surface
protein adsorption of the nanoparticle, a condition which the nanoparticles would
largely experience in vitro and in vivo and hence improve their cellular
internalization. Spherical, homogenous IDA nanoparticles (214 +/- 5 nm) with
surface modified by ptPVA were formulated using the solvent emulsification
diffusion technique. The encapsulation efficiency and drug loading amounted
around 85%. In vitro release studies indicated a controlled release of IDA.
Safety and efficacy of the nanoparticles was confirmed by suitable cellular
cytotoxicity assays. Protein binding studies indicated a higher adsorption of the
model protein on nanoparticles formulated with ptPVA as compared to PVA. Cellular
uptake studies by confocal laser scanning microscopy revealed a higher cellular
uptake of ptPVA stabilized nanoparticles thus confirming the proposed hypothesis
of higher protein adsorption being responsible for higher cellular
internalization.
PMID- 21884768
TI - Lutein nanocrystals as antioxidant formulation for oral and dermal delivery.
AB - Lutein is a well known antioxidant and anti-free radical used in cosmetic,
nutraceutical industry with potential application in pharmaceutics as supportive
antioxidant in treatments. As lipophilic molecule it is poorly soluble in water
and has a low bioavailability. Lutein nanosuspension was prepared to enhance
dissolution velocity, saturation solubility (C(s)), which are major factors
determining oral bioavailability and penetration into the skin. High pressure
homogenization (HPH) was used to prepare lutein nanosuspension. Particle size was
determined by photon correlation spectroscopy (PCS) and laser diffractometry
(LD). The lowest PCS diameter obtained was about 429 nm, the LD diameter 90% of
1.2 MUm. The zeta potential was about -40 mV in water and -17 mV in the original
dispersion medium. The 3 month storage study at different temperatures (4 degrees
C, 25 degrees C, 40 degrees C) confirmed physical stability despite the low zeta
potential of -17 mV in original surfactant solution. A pronounced increase in
saturation solubility by 26.3 fold was obtained for lutein nanocrystals compared
to coarse powder. The lutein nanosuspension was converted into pellets and filled
into hard gelatin capsules for nutraceutical use, showed a superior in vitro
release (factor of 3-4). Lyophilized nanosuspension was prepared for subsequent
incorporation into creams and gels. The lyophilized nanosuspension was very well
re-dispersible (435 nm). Using cellulose nitrate membranes as in vitro model,
permeation through this barrier was 14* higher for lutein nanocrystals compared
to coarse powder. However, pig ear skin did not allow lutein to permeate but
supported localization of the lutein in the skin where it should act anti
oxidatively.
PMID- 21884769
TI - Process induced transformations during tablet manufacturing: phase transition
analysis of caffeine using DSC and low frequency micro-Raman spectroscopy.
AB - The phase transition of a model API, caffeine Form I, was studied during
tableting process monitored with an instrumented press. The formulation used had
a plastic flow behavior according to the Heckel model in the compression pressure
range of 70-170 MPa. The quantitative methods of analysis used were Differential
Scanning Calorimetry (DSC) and low frequency Micro Raman Spectroscopy (MRS) which
was used for the first time for the mapping of polymorphs in tablets. They
brought complementary contributions since MRS is a microscopic spectral analysis
with a spatial resolution of 5 MUm(3) and DSC takes into account a macroscopic
fraction (10mg) of the tablet. Phase transitions were present at the surfaces,
borders and center of the tablets. Whatever the pressure applied during the
compression process, the transition degree of caffeine Form I toward Form II was
almost constant. MRS provided higher transition degrees (50-60%) than DSC (20
35%). MRS revealed that caffeine Form I particles were partially transformed in
all parts of the tablets at a microscopic scale. Moreover, tablet surfaces showed
local higher transition degree compared to the other parts.
PMID- 21884770
TI - Self-nanoemulsifying drug delivery system of persimmon leaf extract: Optimization
and bioavailability studies.
AB - In current study, a self-nanoemulsifying drug delivery system (SNEDDS) of
persimmon (Diospyros kaki) leaf extract (PLE) was developed and characterized to
compare its in vitro dissolution and relative bioavailability with commercially
available tablets (Naoxinqing tablets). Pseudo-ternary phase diagrams were
constructed by phase diagram by micro plate dilution (PDMPD) method, of which the
evaluation method was improved to use Multiskan Ascent for identifying turbidity.
The formulation of PLE-loaded SNEDDS was optimized by an extreme vertices
experimental design. The optimized nanoemulsion formulation, loading with 44.48
mg/g PLE total flavonoids, consisted of Cremophor EL, Transcutol P, Labrafil M
1944 CS (56:34:10, w/w), and it remained stable after storing at 40 degrees C, 25
degrees C, 4 degrees C for at least 6 months. When diluted with water, the SNEDDS
droplet size was 34.85 nm and the zeta potential was -6.18 mV. Compared with the
commercial tablets, the AUC of both quercetin and kaempferol, which are
representative active flavonoids of PLE, was increased by 1.5-fold and 1.6-fold
respectively following oral administration of PLE-loaded SNEDDS in fasting beagle
dogs. These results indicate that SNEDDS is a promising drug delivery system for
increasing the oral bioavailability of PLE.
PMID- 21884771
TI - Formulation design for poorly water-soluble drugs based on biopharmaceutics
classification system: basic approaches and practical applications.
AB - The poor oral bioavailability arising from poor aqueous solubility should make
drug research and development more difficult. Various approaches have been
developed with a focus on enhancement of the solubility, dissolution rate, and
oral bioavailability of poorly water-soluble drugs. To complete development works
within a limited amount of time, the establishment of a suitable formulation
strategy should be a key consideration for the pharmaceutical development of
poorly water-soluble drugs. In this article, viable formulation options are
reviewed on the basis of the biopharmaceutics classification system of drug
substances. The article describes the basic approaches for poorly water-soluble
drugs, such as crystal modification, micronization, amorphization, self
emulsification, cyclodextrin complexation, and pH modification. Literature-based
examples of the formulation options for poorly water-soluble compounds and their
practical application to marketed products are also provided. Classification of
drug candidates based on their biopharmaceutical properties can provide an
indication of the difficulty of drug development works. A better understanding of
the physicochemical and biopharmaceutical properties of drug substances and the
limitations of each delivery option should lead to efficient formulation
development for poorly water-soluble drugs.
PMID- 21884772
TI - Evaluation of the physical and biological properties of hyaluronan and hyaluronan
fragments.
AB - Hyaluronan (HA) has been extensively used for various medical applications,
including osteoarthritis, tissue augmentation and ocular surgery. More recently,
it has been investigated for use in polymer therapeutics as a carrier for drugs
and biologically active proteins, thanks to its biodegradability,
biocompatibility and inherent biological properties. Such biological functions
are strongly dependent on HA's chain length, yet the molecular weight of HAs used
in polymer conjugates varies widely and is inconsistent with its intended
application. Therefore, this study aimed to determine the ideal chain length of
HA to be used in polymer conjugates for enhanced tissue repair. HA fragments
(M(w) 45,000-900,000g/mol) were prepared by acid hydrolysis of rooster comb HA
and their physicochemical and biological properties were characterized. Such HA
fragments had a highly extended, almost rod-like solution conformation and
demonstrated chain length- and concentration-dependent viscosity, while exposure
to HAase caused a rapid reduction in HA viscosity, which was most significant for
the native HA. Initial HA hydrolysis rate by HAase varied strongly with HA chain
length and was dependent on the formation of a stable enzyme-substrate complex.
When normal human dermal fibroblasts were exposed to the different HA fragments
for 72h, only native (900,000g/mol) HA reduced proliferation at 1000MUg/mL.
Conversely, only the smallest HA fragment (70,000g/mol) reduced the proliferation
of chronic wound fibroblasts, at 1000MUg/mL. The 70,000g/mol HA fragment also
promoted the greatest cell attachment. These observations demonstrate that low
molecular weight (70,000-120,000g/mol) HA fragments would be best suited for the
delivery of proteins and peptides with applications in chronic wound healing and
paves the way for the rationalized development of novel HA conjugates.
PMID- 21884773
TI - A comparative study on the tissue distributions of rhubarb anthraquinones in
normal and CCl4-injured rats orally administered rhubarb extract.
AB - AIM OF THE STUDY: The present study comparatively investigated the tissue
distributions of rhubarb anthraquinone derivatives (AQs) to examine whether they
undergo different uptakes in normal or CCl(4)-induced liver-damaged rats, to
explore possible reasons for the different toxicities of AQs in pathological
model rats and normal rats at the tissue distribution level. MATERIALS AND
METHODS: The total rhubarb extract (14.49 g kg(-1) of body weight per day based
on the quantity of crude material) was administrated orally to normal and model
rats for 12 weeks. The concentrations of free AQs in tissues were quantitated by
liquid chromatography-tandem mass spectrometry (LC-MS). After drug withdrawal for
4 weeks, tissue distributions were again determined. RESULTS: The five free AQs
aloe-emodin, rhein, emodin, chrysophanol and physcion--were detected in the
liver, kidney and spleen, while only rhein, aloe-emodin and emodin reached the
quantitative limit. The tissue distributions of rhein (p < 0.001), aloe-emodin (p
< 0.001) and emodin (p < 0.05) in normal rats were higher than those in model
rats with rhein>aloe-emodin>emodin in kidney and spleen tissues and aloe-emodin >
rhein > emodin in liver tissues. Free AQs were not detected in the tissues after
drug withdrawal for 4 weeks. CONCLUSIONS: These results suggest that the tissue
toxicity of AQs in normal animals is higher than that in pathological model
animals with little accumulative toxicity of rhubarb. The results are concordant
with the traditional Chinese theory of You Gu Wu Yun recorded first in Su Wen, a
classical Chinese medical treatise.
PMID- 21884774
TI - Effects of a Chinese traditional formula Kai Xin San (KXS) on chronic fatigue
syndrome mice induced by forced wheel running.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: In traditional medicine, Kai Xin San (KXS),
composed of ginseng (Panax ginseng), hoelen (Wolfiporia cocos), polygala
(Polygala tenuifolia) and Acorus gramineus, is famous for the treatment of
emotion-thought disease, such as settling fright, quieting the spirit and
nourishing the heart. AIM OF THE STUDY: The present study investigated the effect
of KXS on chronic fatigue syndrome (CFS) mice induced by forced wheel running.
MATERIALS AND METHODS: Seventy two healthy adult male Kunming mice were randomly
divided into six groups: home cage control group, CFS group, CFS group with
Modafinil treatment at 13 mg/kg/d doge, KXS treatment at 175 mg/kg/d, 350 mg/kg/d
and 700 mg/kg/d doge. CFS mice were induced by forced wheel running with higher
speed for 4 weeks and then taken an exhausted exercise. The biochemical
parameters including serum lactate dehydrogenase (LDH), serum urea nitrogen
(SUN), serum testosterone (T), liver glycogen (LG), muscle glycogen (MG) and
muscle lactic acid (MLA) were determined by using commercially available kits.
The splenocytes proliferation from mice was examined by MTT method. The levels of
interleukin-2 (IL-2) and interleukin-4 (IL-4) secreted by splenocytes were
determined by ELISA. RESULTS: CFS mice with KXS administration exhibited less
electric shock time when compared with CFS group without drug treatment. The
effect of KXS has after demonstrated reduction in SUN, LDH and MLA levels and an
increase in T, LG and MG levels. CFS mice with KXS could improve the
proliferation of splenocytes compared with CFS group without drug treatment. The
cultured splenocytes from CFS mice without KXS supplementation produced more
interleukin-2 (IL-2) but less interleukin-4 (IL-4) when compared with home cage
control mice. The cultured splenocytes of CFS mice with KXS supplementation
produced more interleukin-2 (IL-2) but less interleukin-4 (IL-4) when compared
with CFS group without drug treatment. CONCLUSIONS: The results of this
preliminary study provide evidence that KXS could ameliorate CFS by affecting the
physiological markers for fatigue. This study also supported the use of KXS
against CFS by improving the proliferation of splenocytes from CFS mice and
modulating the disturbance of cytokines induced by CFS.
PMID- 21884775
TI - Evaluation of the acute dermal exposure of the ethanolic and hexanic extracts
from leaves of Schinus molle var. areira L. in rats.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Schinus molle var. areira L. (Anacardiaceae) is
employed in herbal medicine for many conditions, including respiratory, urinary
and menstrual disorders, and as a digestive stimulant, diuretic, astringent and
antidepressant. It is also known for its topical use as wound healer, antiseptic,
for skin disorders and as repellent and insecticide. In the present work, the
acute dermal exposure to ethanolic and hexanic extracts from leaves of Schinus
molle var. areira was studied in rats. MATERIALS AND METHODS: A single dose of
2000 mg/kg of body weight of ethanolic and hexanic extracts from leaves was
applied on the shaved skin of male and female rats. After 24h of exposure, the
patch was removed and any sign of irritation was recorded. Behavioral and
functional parameters in a functional observational battery and motor activity in
an open field were assessed after the exposure to the extracts. Then, after 14
days of observation, animals were retested. Finally, histopathological studies
were conducted on several organs. RESULTS: Slight signs of erythema and edema
were observed in the skin site of exposure, but they disappeared after 48 h. The
exposure to the hexanic extract produced an increase in parameters of activity,
rearing and arousal assessed in the functional observational battery, which
reversed after 14 days. On the other hand, the ethanolic extract caused an
increase in locomotor activity, reflected in a higher number of rearings
performed in the open field in the evaluation carried out on Day 14. No
histopathological alterations were detected in the analyzed organs. CONCLUSIONS:
The results show that the acute dermal exposure of the ethanolic and hexanic
extracts from leaves of Schinus molle var. areira only causes a slight and
reversible skin irritation, and a mild stimulatory effect in rats. All these
indicate that the topical use of these extracts would be safe.
PMID- 21884776
TI - Acute and subchronic oral toxicity assessment of the herbal formula Kai-Xin-San.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Kai-Xin-San (KXS) is a famous traditional Chinese
medicine (TCM) formula. It has been used in the treatment of diseases including
neurasthenia, Alzheimer's disease and neurosis. AIM OF THE STUDY: To provide
information on the potential toxicity of KXS, we evaluated the acute and
subchronic toxicity in rodents. MATERIALS AND METHODS: In acute study, a single
administration of KXS was given orally to mice at doses ranging from 19.67 to
60.04 g/kg. In the sub-chronic oral toxicity study, KXS was administered to rats
at 0, 1, 3 and 9 g/kg for 13 weeks. Moreover, 30 days of post treatment
(withdrawal study) was conducted. Mortalities, clinical signs, body weight
changes, food and water consumption, haematological and biochemical parameters,
gross findings and organ weights were monitored during the study period. RESULTS:
In the sub-chronic study in rats, daily oral administration of KXS at the dose of
9 g/kg/day result in significant increase in WBC, lymphocyte, alkaline
phosphatase, blood sugar and significant decrease in bodyweight, serum Cre, CK
and CHO at the last week of treatment. Recovery except for the body weight was
observed after 30 days of post treatment. CONCLUSIONS: KXS is relatively safe for
oral medication. The LD(50) of KXS was over 32.59 g/kg for mice. The no-observed
adverse-effect-level (NOAEL) was considered to be 19.67 g/kg/day for rats.
PMID- 21884777
TI - Kaempferia parviflora, a plant used in traditional medicine to enhance sexual
performance contains large amounts of low affinity PDE5 inhibitors.
AB - AIM OF THE STUDY: A number of medicinal plants are used in traditional medicine
to treat erectile dysfunction. Since cyclic nucleotide PDEs inhibitors underlie
several current treatments for this condition, we sought to show whether these
plants might contain substantial amounts of PDE5 inhibitors. MATERIALS AND
METHODS: Forty one plant extracts and eight 7-methoxyflavones from Kaempferia
parviflora Wall. ex Baker were screened for PDE5 and PDE6 inhibitory activities
using the two-step radioactive assay. The PDE5 and PDE6 were prepared from mice
lung and chicken retinas, respectively. All plant extracts were tested at 50
MUg/ml whereas the pure compounds were tested at 10 MUM. RESULTS: From forty one
plant extracts tested, four showed the PDE5 inhibitory effect. The chemical
constituents isolated from rhizomes of Kaempferia parviflora were further
investigated on inhibitory activity against PDE5 and PDE6. The results showed
that 7-methoxyflavones from this plant showed inhibition toward both enzymes. The
most potent PDE5 inhibitor was 5,7-dimethoxyflavone (IC(50) = 10.64 +/- 2.09 MUM,
selectivity on PDE5 over PDE6 = 3.71). Structure activity relationship showed
that the methoxyl group at C-5 position of 7-methoxyflavones was necessary for
PDE5 inhibition. CONCLUSIONS: Kaempferia parviflora rhizome extract and its 7
methoxyflavone constituents had moderate inhibitory activity against PDE5. This
finding provides an explanation for enhancing sexual performance in the
traditional use of Kaempferia parviflora. Moreover, 5,7-dimethoxyflavones should
make a useful lead compound to further develop clinically efficacious PDE5
inhibitors.
PMID- 21884778
TI - ent-kaur-16-en-19-oic Acid, isolated from the roots of Aralia continentalis,
induces activation of Nrf2.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Excessive inflammation can lead to tissue damage
and dysfunction of vital organs. Hence, regulating inflammatory response is a
viable therapeutic approach. In Asian countries, various inflammatory diseases
have often effectively been treated with herbal remedies including the root
extract of Aralia continentalis Kitagawa (Araliaceae). Here, we investigated the
effect of kaurenoic acid (ent-kaur-16-en-19-oic acid: KA), a diterpenoid that is
extracted from Aralia continentalis Kitagawa root, on inflammation. MATERIALS,
METHODS, AND RESULTS: Western blot and RT-PCR analyses show that KA induced the
nuclear localization of Nrf2 as low as 1 nM in concentration and that KA
treatment induced the expression of Nrf2 dependent genes such as GCLC and HO-1.
On the other hand, KA did not affect the degradation of cytoplasmic IkappaB
alpha, the nuclear localization of RelA (p65), and NF-kappaB transcriptional
activity in RAW264.7 cells treated with endotoxin. Consistent with these data, KA
treatment failed to suppress gene expression of representative pro-inflammatory
mediators including COX-2, nitric oxide, IL-1beta, TNF-alpha, and IL-12,
indicating that KA did not have an important impact on NF-kappaB activation.
CONCLUSION: Together, these results show that KA was an effective activator of
Nrf2, and suggest that the beneficial effects of Aralia continentalis Kitagawa
root extract are, at least in part, mediated by activating Nrf2.
PMID- 21884779
TI - Terminalia macroptera, its current medicinal use and future perspectives.
AB - The tree Terminalia macroptera (Combretaceae) is widespread in Western Africa,
and in this area, different parts have been utilized in the treatment of various
diseases. In this article, we report on the medicinal use of T. macroptera in
three different districts in Mali (Siby, Dioila and Dogonland), based on
interviews with 78 healers in these districts. Roots, root bark, stem bark,
leaves and fruits have all been employed by healers, as has parasitic Loranthus
species growing on the tree. Major areas of use comprise treatment of wounds and
sores, infections, pain, cough, tuberculosis and hepatitis. Some of the medicinal
uses of T. macroptera appear to be rationally explained by its chemical
constituents.
PMID- 21884780
TI - Mitochondria, reactive oxygen species, and chronological aging: a message from
yeast.
AB - As a major intracellular source of reactive oxygen species (ROS), mitochondria
are involved in aging and lifespan regulation. Using the yeast chronological
aging model, researchers have identified conserved signaling pathways that affect
lifespan by modulating mitochondrial functions. Caloric restriction and a genetic
mimetic with reduced target of rapamycin signaling globally upregulate the
mitochondrial proteome and respiratory functions. Recent discoveries support the
notion that an altered mitochondrial proteome induces mitohormesis. Mitohormesis
involves a variety of ROS during several growth stages and extends lifespan in
yeast and other organisms. Here we recap recent advances in understanding of ROS
as signals that decelerate chronological aging in yeast. We also discuss
parallels between yeast and worm hypoxic signaling. In sum, this mini-review
covers mitochondrial regulation by nutrient-sensing pathways and the complex
underlying interactions of ROS, metabolic pathways, and chronological aging.
PMID- 21884781
TI - Changes in healthy elderly women's physical performance: a 3-year follow-up.
AB - BACKGROUND: Inflammatory states, hypovitaminosis D and secondary
hyperparathyroidism may have a role in the age-related loss of muscle mass, and
physical performance in healthy old people. The aim of this study is to
investigate changes in muscle mass, strength and physical performance in healthy,
active elderly females over a 3-year follow-up, correlating them with any
inflammatory states and PTH and 25-hydroxyvitamin D (25-OHD) levels. METHODS: One
hundred healthy females over 65 years of age routinely attending a twice-weekly
mild fitness program were eligible for the study. Clinical history, serum
parameters, body composition by DEXA, handgrip strength, knee extensor
isometric/isotonic strength and functional performance measured using the Short
Physical Performance Battery (SPPB) were evaluated at the baseline and after 3
years. RESULTS: After 3 years, the women had a significant decrease in weight (?:
0.8+/-3.1 kg; p<0.05) and height (?:-0.4+/-0,6 cm; p<0.001), while their BMI and
body composition parameters did not change. Only IL-6 (?: 0.6+/-2.0; p<0.01) and
PTH (?: 30.7+/-29.2 ng/L; p<0.001) increased significantly, while there were no
changes in 25-OHD levels. There was a significant decrease in all the SPPB
results and in muscle strength. ? PTH only correlated with the variation in 4
meter walking speed (r: 0.41; p<0.01). CONCLUSIONS: With advancing age, physical
performance declines even in healthy, active females despite a spare of muscle
mass. The increase in PTH seems to have a role in this decline, that could be
clarified by further investigations.
PMID- 21884782
TI - From wastewater to bioenergy and biochemicals via two-stage bioconversion
processes: a future paradigm.
AB - Recovery of bioenergy and biochemicals from wastewater has attracted growing and
widespread interests. In this respect, two-stage bioconversion process (TSBP)
offers an appealing avenue to achieve stepwise and directional substrate
conversion in separated stages. Such a biosystem not only enables enhanced
degradation of organics, but also favors a high product yield and quality.
Various TSBRs have been developed for the production of methane, hydrogen,
electricity, bioplastics, bioflocculants, biopesticides, biosurfactants and other
value-added products, demonstrating marked advantages over the conventional one
stage processes. It represents a promising, and likely the sole viable, paradigm
for future application. However, there are also many remaining challenges. This
paper provides an overview of the various TSBPs, introduces the recent advances,
and discusses the major challenges and the future perspectives for practical
application.
PMID- 21884783
TI - High plasma thiocyanate levels in smokers are a key determinant of thiol
oxidation induced by myeloperoxidase.
AB - Smokers have an elevated risk of atherosclerosis but the origins of this elevated
risk are incompletely defined, though evidence supports an accumulation of the
oxidant-generating enzyme myeloperoxidase (MPO) in the inflamed artery wall. We
hypothesized that smokers would have a high level of thiocyanate (SCN(-)), a
preferred substrate for MPO, which in turn would predispose to thiol oxidation,
an established independent risk factor for atherosclerosis. In this study it is
shown that on exposure to MPO/H(2)O(2), thiols on plasma proteins from nonsmokers
were increasingly oxidized with increasing added SCN(-) concentrations. Plasma
from smokers contained significantly higher endogenous levels of SCN(-) than that
from nonsmokers (131+/-31 vs 40+/-24 MUM, P<0.0001). When plasma from smokers and
nonsmokers was exposed to MPO/H(2)O(2)-stimulated oxidation, a strong positive
correlation (r=0.8139, P<0.0001) between the extent of thiol oxidation and the
plasma SCN(-) concentrations was observed. Computational calculations indicate a
changeover from HOCl to HOSCN as the major MPO-generated oxidant in plasma, with
increasing SCN(-) levels. These data indicate that plasma SCN(-) levels are a key
determinant of the extent of thiol oxidation on plasma proteins induced by MPO,
and implicate HOSCN as an important mediator of inflammation-induced oxidative
damage to proteins in smokers.
PMID- 21884784
TI - Poly(ADP-ribose) polymerase-1 is a key mediator of cisplatin-induced kidney
inflammation and injury.
AB - Cisplatin is a commonly used chemotherapeutic drug, the clinical use of which is
limited by the development of dose-dependent nephrotoxicity. Enhanced
inflammatory response, oxidative stress, and cell death have been implicated in
the development of cisplatin-induced nephropathy; however, the precise mechanisms
are elusive. Overactivation of the nuclear enzyme poly(ADP-ribose) polymerase-1
(PARP-1) by oxidative DNA damage under various pathological conditions promotes
cell death and up-regulation of key proinflammatory pathways. In this study,
using a well-established model of nephropathy, we have explored the role of PARP
1 in cisplatin-induced kidney injury. Genetic deletion or pharmacological
inhibition of PARP-1 markedly attenuated the cisplatin-induced histopathological
damage, impaired renal function (elevated serum BUN and creatinine levels), and
enhanced inflammatory response (leukocyte infiltration; TNF-alpha, IL-1beta,
F4/80, adhesion molecules ICAM-1/VCAM-1 expression) and consequent
oxidative/nitrative stress (4-HNE, 8-OHdG, and nitrotyrosine content; NOX2/NOX4
expression). PARP inhibition also facilitated the cisplatin-induced death of
cancer cells. Thus, PARP activation plays an important role in cisplatin-induced
kidney injury, and its pharmacological inhibition may represent a promising
approach to preventing the cisplatin-induced nephropathy. This is particularly
exciting because several PARP inhibitors alone or in combination with DNA
damaging anticancer agents show considerable promise in clinical trials for
treatment of various malignancies (e.g., triple-negative breast cancer).
PMID- 21884785
TI - School achievement in 14-year-old youths prenatally exposed to marijuana.
AB - The relation between prenatal marijuana exposure (PME) and school achievement was
evaluated in a sample of 524 14-year-olds. Women were recruited during pregnancy
and assessed, along with their offspring, at multiple phases from infancy to
early adulthood. The sample represents a low-income population. Half of the
adolescents are male and 55% are African American. School achievement was
assessed with the Wechsler Individual Achievement Test (WIAT) Screener
(Psychological Corporation, 1992). A significant negative relation was found
between PME and 14-year WIAT composite and reading scores. The deficit in school
achievement was mediated by the effects of PME on intelligence test performance
at age 6, attention problems and depression symptoms at age 10, and early
initiation of marijuana use. These findings suggest that the effects of PME on
adolescent achievement are mediated by the earlier negative effects of PME on
child characteristics. The negative impact of these characteristics on adolescent
achievement may presage later problems in early adulthood.
PMID- 21884787
TI - Study of drug concentration effects on in vitro lipolysis kinetics in medium
chain triglycerides by considering oil viscosity and surface tension.
AB - Simple oil formulations are widely used in oral drug delivery and the fate of
these systems is governed mainly by the dispersion and digestion process. The
current work aimed to study concentration effects of six poorly water-soluble
drugs on the in vitro lipolysis rate of medium-chain triglycerides. The results
were compared with drug effects on oil viscosity and surface tension. First the
different drugs were characterized by molecular modeling and their influence on
physical oil properties was assessed. Herein capillary viscosimetry was employed
as well as dynamic surface tensiometry. Subsequently, an apparent in vitro
lipolysis rate was determined in biorelevant medium using an automated pH stat
titrator linked to a thermo-controlled vessel. The different drugs exhibited
varying effects on oil viscosity and surface tension. However, all drugs
significantly lowered the apparent lipolysis rate of the oil. This effect was
very similar among the different compounds with exception of orlistat, which
practically blocked lipolysis because of a potent direct inhibition. The other
drugs affected lipolysis kinetics most likely by different mechanism(s). In light
of the obtained results, a drug effect on oil viscosity or surface tension
appeared to play a minor role in reducing the lipolysis rate. The lipolysis
kinetics was further not affected by the drug load, which was deemed advantageous
from a pharmaceutical viewpoint. Different dose strengths are therefore not
assumed to alter lipolysis kinetics, which is beneficial for limiting the
variability of in vivo drug release. Further studies of drug solubility kinetics
in the evolving digestion phases are, however, needed to finally assess potential
effects of dosage strength in simple oil formulations.
PMID- 21884786
TI - Developmental functions for the Caenorhabditis elegans Sp protein SPTF-3.
AB - Sp factors are important for animal development and the transcriptional
regulation of a wide variety of genes. How they influence the developmental
decisions of individual cells within the organism, however, is poorly understood.
To better understand the developmental functions for Sp transcription factors, we
have characterized the functions of Caenorhabditis elegans SPTF-3 using RNAi
knockdown and a non-null, hypomorphic mutant allele. We find that disruption of
sptf-3 confers a variety of developmental defects, including defects in
development of the egg-laying system, oocyte production, and embryonic
morphogenesis. sptf-3 mutants exhibit defects in vulval lineage polarity, a
phenotype previously only observed in mutants defective in Wnt signaling. We show
that the embryonic function of sptf-3 is dependent on germline activity, arguing
that the gene has an important maternal contribution to embryonic development. An
evaluation of reporter gene expression suggests that SPTF-3 exhibits specificity,
in that it can influence the expression of a given gene in some cells but not
others, and that SPTF-3 participates in the maintenance of gene expression states
in differentiated cells. We propose SPTF-3 provides a good model to study the in
vivo functions for Sp transcription factors during animal development.
PMID- 21884788
TI - Preparation and characterization of poly(3-hydroxybutyrate-co-3-hydroxyhexanoate)
(PHBHHX) based nanoparticles for targeted cancer therapy.
AB - Targeted drug delivery systems are one of the most promising alternatives for the
cancer therapy. Rapid developments on nanomedicine facilitated the creation of
novel nanotherapeutics by using different nanomaterials. Especially polymer based
nanoparticles are convenient for this purpose. In this study; a natural polymer
(poly(3-hydroxybutyrate-co-3-hydroxyhexanoate), PHBHHX) was used as a base matrix
for the production of a novel nanotherapeutic including antineoplastic agent,
Etoposide and attached folic acid as a ligand on the nanoparticles. Modified
solvent evaporation technique was used for the production of PHBHHX nanoparticles
and the average size of the obtained PHBHHX nanoparticles were observed in the
range of 180 nm and 1.5 MUm by the change in experimental conditions (i.e.,
homogenization rate, surfactant concentration and polymer/solvent ratio). By the
increase in homogenization rate and surfactant concentration, size of the
nanoparticles was decreased, while the size was increased by the increase in
polymer/solvent ratio. Drug loading ratio was also found to be highly affected by
polymer/drug ratio. Surface charge of the prepared nanoparticles was also
investigated by zeta potential measurements. In the cytotoxicity tests; Etoposide
loaded and folic acid attached PHBHHX nanoparticles were observed as more
effective on HeLa cells than Etoposide loaded PHBHHX nanoparticles without
attached folic acid. The cytotoxicity of folic acid conjugated PHBHHX
nanoparticles to cancer cells was found to be much higher than that of normal
fibroblast cells, demonstrating that the folate conjugated nanoparticles has the
ability to selectively target to cancer cells. In addition, apoptotic/necrotic
activities were evaluated for all formulations of the PHBHHX nanoparticles and
parallel results with cytotoxicity tests were obtained. These studies demonstrate
that the folic acid attached and Etoposide loaded PHBHHX nanoparticles seem as
promising for the targeted cancer therapy.
PMID- 21884789
TI - Investigations on the effect of different cooling rates on the stability of
amorphous indomethacin.
AB - Amorphous forms of indomethacin have previously been prepared using various
preparation techniques and it could be demonstrated that the way the material was
prepared influenced the physicochemical properties of the amorphous form of the
drug. The aim of this study was to use one preparation technique (transformation
via the melt) to prepare amorphous indomethacin and to investigate the influence
of the cooling rate (as a processing parameter) on the physical stability of the
resulting amorphous form. The amorphous materials obtained were analysed for
their structural characteristics using Raman spectroscopy in combination with
multivariate data analysis. The onset of crystallisation was determined as an
indicator of the physical stability of the materials using differential scanning
calorimetry (DSC) and polarising light microscopy. The Johnson-Mehl-Avrami (JMA)
model and Sestak-Berggren (SB) model were used in this study to describe the non
isothermal crystallisation behaviour. All differently cooled samples were
completely X-ray amorphous. Principal component analysis of the Raman spectra of
the various amorphous forms revealed that the samples clustered in the scores
plot according to the cooling rate, suggesting structural differences between the
differently cooled samples. The minimum cooling rate required to obtain amorphous
indomethacin was 1.2 K min(-1), as assessed from the time-temperature
transformation (TTT) diagram. The physical stability of the samples was found to
increase as a function of cooling rate in the order of 30 K min(-1) > 20 K min(
1) > 10 K min(-1) > 5 K min(-1) > 3 K min(-1) ~ 1.2 K min(-1) and was in
agreement with calculated descriptors for the glass forming ability (GFA),
including the reduced glass transition temperature (T(rg)) and the reduced
temperature (T(red)). The JMA model could not be applied to describe the
crystallisation process for the differently cooled melts of indomethacin in this
study. The kinetic exponent M from the autocatalytic SB model however, showed a
positive correlation with glass stability.
PMID- 21884790
TI - Hydroxysteroid dehydrogenases (HSDs) in bacteria: a bioinformatic perspective.
AB - Steroidal compounds including cholesterol, bile acids and steroid hormones play a
central role in various physiological processes such as cell signaling, growth,
reproduction, and energy homeostasis. Hydroxysteroid dehydrogenases (HSDs), which
belong to the superfamily of short-chain dehydrogenases/reductases (SDR) or aldo
keto reductases (AKR), are important enzymes involved in the steroid hormone
metabolism. HSDs function as an enzymatic switch that controls the access of
receptor-active steroids to nuclear hormone receptors and thereby mediate a fine
tuning of the steroid response. The aim of this study was the identification of
classified functional HSDs and the bioinformatic annotation of these proteins in
all complete sequenced bacterial genomes followed by a phylogenetic analysis. For
the bioinformatic annotation we constructed specific hidden Markov models in an
iterative approach to provide a reliable identification for the specific
catalytic groups of HSDs. Here, we show a detailed phylogenetic analysis of
3alpha-, 7alpha-, 12alpha-HSDs and two further functional related enzymes (3
ketosteroid-Delta(1)-dehydrogenase, 3-ketosteroid-Delta(4)(5alpha)-dehydrogenase)
from the superfamily of SDRs. For some bacteria that have been previously
reported to posses a specific HSD activity, we could annotate the corresponding
HSD protein. The dominating phyla that were identified to express HSDs were that
of Actinobacteria, Proteobacteria, and Firmicutes. Moreover, some evolutionarily
more ancient microorganisms (e.g., Cyanobacteria and Euryachaeota) were found as
well. A large number of HSD-expressing bacteria constitute the normal human
gastro-intestinal flora. Another group of bacteria were originally isolated from
natural habitats like seawater, soil, marine and permafrost sediments. These
bacteria include polycyclic aromatic hydrocarbons-degrading species such as
Pseudomonas, Burkholderia and Rhodococcus. In conclusion, HSDs are found in a
wide variety of microorganisms including bacteria and archaea, suggesting that
steroid metabolism is an evolutionarily conserved mechanism that might serve
different functions such as nutrient supply and signaling. Article from a special
issue on steroids and microorganisms.
PMID- 21884791
TI - Long term perturbation of endocrine parameters and cholesterol metabolism after
discontinued abuse of anabolic androgenic steroids.
AB - AIMS: To study the long-term impact of anabolic androgenic steroid (AAS) abuse on
the cholesterol profile, and the potential to suppress endocrine activity in men
working out at gym facilities. To study the relation between urinary biomarkers
for testosterone and nandrolone abuse and the UGT2B17 genotype and time profile.
EXPERIMENTAL DESIGN: Subjects (N = 56) were recruited through Anti-Doping Hot
Line. Serum levels of luteinizing hormone (LH), follicle-stimulating hormone
(FSH), plasma levels of low density lipoprotein (LDL), high density lipoprotein
(HDL) and urinary steroid profile were regularly measured for a period of up to
one year after cessation of intramuscular AAS abuse. RESULTS AND DISCUSSION: A
sustained suppression of LH, and FSH was observed for several months. The
nandrolone urinary biomarker 19-NA was detectable several months after the last
nandrolone intake and was correlated to the levels of LH and FSH. Testosterone
abuse on the other hand was detectable only for a few weeks, and some of the
testosterone abusers did not test positive due to a genetic deletion polymorphism
of the UGT2B17. Significantly increased levels of HDL and decreased levels of LDL
were observed for 6-months after cessation of AAS abuse. CONCLUSION: Some
individuals had a sustained suppression of LH and FSH for a period of 1 year
whereas the cholesterol profile was normalized within 6 month. The long term
consequences of these findings remain to be established.
PMID- 21884792
TI - The role of residue C410 on activation of the human vitamin D receptor by various
ligands.
AB - Nuclear receptors (NRs) are ligand-activated transcription factors that regulate
the expression of genes involved in biologically important processes. The human
vitamin D receptor (hVDR) is a member of the NR superfamily and is responsible
for maintaining calcium and phosphate homeostasis. This receptor is activated by
its natural ligand, 1alpha, 25-dihydroxyvitamin D(3) (1alpha, 25(OH)(2)D(3)), as
well as bile acids such as lithocholic acid (LCA). Disruption of molecular
interactions between the hVDR and its natural ligand result in adverse diseases,
such as rickets, making this receptor a good target for drug discovery. Previous
mutational analyses of the hVDR have mainly focused on residues lining the
receptor's ligand binding pocket (LBP) and techniques such as alanine scanning
mutagenesis and site-directed mutagenesis. In this work, a rationally designed
hVDR library using randomized codons at selected positions provides insight into
the role of residue C410, particularly on activation of the receptor by various
ligands. A variant, C410Y, was engineered to bind LCA with increased sensitivity
(EC(50) value of 3 MUM and a 34-fold activation) in mammalian cell culture
assays. Furthermore, this variant displayed activation with a novel small
molecule, cholecalciferol (chole) which does not activate the wild-type receptor,
with an EC(50) value of 4 MUM and a 25-fold activation. The presence of a bulky
residue at this position, such as a tyrosine or phenylalanine, may contribute
towards molecular interactions that allow for the enhanced activation with LCA
and novel activation with chole. Additional bulk at the same end of the pocket,
such as in the case of the variant H305F; C410Y enhances the receptor's
sensitivity for these ligands further, perhaps due to the filling of a cavity.
The effects of residue C410 on specificity and activation with the different
ligands studied were unforeseen, as this residue does not line the hVDR's LBP.
Further investigating of the structure-function relationships between the hVDR
and its ligands, including the mutational tolerance of residues within as well as
outside the LBP, is needed for a comprehensive understanding of the functionality
and interactions of the receptor with these ligands and for development of new
small molecules as potential therapeutic drugs.
PMID- 21884793
TI - Combined gene overexpression of neuropeptide Y and its receptor Y5 in the
hippocampus suppresses seizures.
AB - We recently demonstrated that recombinant adeno-associated viral vector-induced
hippocampal overexpression of neuropeptide Y receptor, Y2, exerts a seizure
suppressant effect in kindling and kainate-induced models of epilepsy in rats.
Interestingly, additional overexpression of neuropeptide Y in the hippocampus
strengthened the seizure-suppressant effect of transgene Y2 receptors. Here we
show for the first time that another neuropeptide Y receptor, Y5, can also be
overexpressed in the hippocampus. However, unlike Y2 receptor overexpression,
transgene Y5 receptors in the hippocampus had no effect on kainate-induced motor
seizures in rats. However, combined overexpression of Y5 receptors and
neuropeptide Y exerted prominent suppression of seizures. This seizure
suppressant effect of combination gene therapy with Y5 receptors and neuropeptide
Y was significantly stronger as compared to neuropeptide Y overexpression alone.
These results suggest that overexpression of Y5 receptors in combination with
neuropeptide Y could be an alternative approach for more effective suppression of
hippocampal seizures.
PMID- 21884794
TI - IGF-1 delivery to CNS attenuates motor neuron cell death but does not improve
motor function in type III SMA mice.
AB - The efficacy of administering a recombinant adeno-associated virus (AAV) vector
encoding human IGF-1 (AAV2/1-hIGF-1) into the deep cerebellar nucleus (DCN) of a
type III SMA mouse model was evaluated. High levels of IGF-1 transcripts and
protein were detected in the spinal cord at 2 months post-injection demonstrating
that axonal connections between the cerebellum and spinal cord were able to act
as conduits for the viral vector and protein to the spinal cord. Mice treated
with AAV2/1-hIGF-1 and analyzed 8 months later showed changes in endogenous Bax
and Bcl-xl levels in spinal cord motor neurons that were consistent with IGF-1
mediated anti-apoptotic effects on motor neurons. However, although AAV2/1-hIGF-1
treatment reduced the extent of motor neuron cell death, the majority of rescued
motor neurons were non-functional, as they lacked axons that innervated the
muscles. Furthermore, treated SMA mice exhibited abnormal muscle fibers, aberrant
neuromuscular junction structure, and impaired performance on motor function
tests. These data indicate that although CNS-directed expression of IGF-1 could
reduce motor neuron cell death, this did not translate to improvements in motor
function in an adult mouse model of type III SMA.
PMID- 21884795
TI - Long-term methylglyoxal treatment impairs smooth muscle contractility in organ
cultured rat mesenteric artery.
AB - Methylglyoxal (MGO), a metabolite of glucose accumulates in vascular tissues of
hypertensive rats. We recently showed that short-term (30min) treatment with MGO
inhibits noradrenaline (NA)-induced smooth muscle contraction in rat aorta and
mesenteric artery. In the present study, long-term effect of MGO was examined
using organ culture method. The contractility, morphology, and protein expression
of rat mesenteric artery after organ culture with MGO for 3 days were examined.
MGO (4 and 42MUM) inhibited NA (0.1nM to 3MUM) or KCl (72.7mM)-induced
contraction. The inhibitory effect was higher in endothelium-denuded than
endothelium-intact artery. An anti-oxidant drug, N-acetyl-l-cysteine (NAC; 1mM)
or an inhibitor of nicotinamide adenine dinucleotide phosphate (NADPH) oxidase
(NOX), gp91ds-tat (0.1MUM) prevented the inhibitory effect of MGO. MGO increased
superoxide production as detected by lucigenin assay. In the medial layer of the
arteries cultured with MGO, apoptotic morphological change was observed, and NAC
or gp91ds-tat prevented it. MGO significantly increased expression of a homolog
of gp91(phox), NOX1 but not gp91(phox) as determined by Western blotting. An NF
kappaB inhibitor, pyrrolidine dithiocarbamate prevented the MGO-induced NOX1
expression. MGO had no effect on protein expression of p22(phox), p67(phox),
p47(phox), as well as superoxide dismutase (SOD)-1, SOD-2 and SOD-3. Present
results indicate that long-term MGO treatment has an inhibitory effect on
contractility of isolated blood vessel, which is likely mediated via increased
NOX1-derived superoxide production and subsequent apoptosis.
PMID- 21884796
TI - Minocycline exerts uncoupling and inhibiting effects on mitochondrial respiration
through adenine nucleotide translocase inhibition.
AB - The present study was aimed to provide a better understanding of the mitochondria
targeted actions of minocycline (MC), a second-generation tetracycline which has
cytoprotective effects. Although the specific mechanisms underlying its activity
remained elusive, considerable amounts of data indicated mitochondria as the
primary pharmacological target of MC. Previous reports have shown that MC affects
the oxygen-uptake rate by isolated mitochondria in different respiratory states.
Here, we report on the effect of MC, in the range 50-200MUM, on mitochondrial
respiration. State 3 respiration titration with carboxyatractyloside revealed
that MC inhibits the adenine nucleotide translocase. Furthermore, we analyze MC
channel-forming capacity in the lipid membrane bilayer. Our results confirmed the
crucial role of Deltapsi and showed a dependence on Ca(2+) for MC to have an
effect on mitochondria. Our data also indicated that outer and inner
mitochondrial membranes contribute differently to this effect, involving the
presence of Deltapsi (the inner membrane) and VDAC (the outer membrane). Data
from three isosmotic media indicate that MC does not increase the permeability of
the inner membrane to protons or potassium. In addition, by using mitoplasts and
ruthenium red, we showed that Ca(2+) uptake is not involved in the MC effect,
suggesting involvement of VDAC in the MC interaction with the outer membrane. Our
data contribute to unravel the mechanisms behind the mitochondria-targeted
activity of the cytoprotective drug MC.
PMID- 21884798
TI - Simultaneous visualization of multiple neuronal properties with single-cell
resolution in the living rodent brain.
AB - To understand the fine-scale structures and functional properties of individual
neurons in vivo, we developed and validated a rapid genetic technique that
enables simultaneous investigation of multiple neuronal properties with single
cell resolution in the living rodent brain. Our technique PASME (promoter
assisted sparse-neuron multiple-gene labeling using in uteroelectroporation)
targets specific small subsets of sparse pyramidal neurons in layer 2/3, layer 5
of the cerebral cortex and in the hippocampus with multiple fluorescent reporter
proteins such as postsynaptic PSD-95-GFP and GFP-gephyrin. The technique is also
applicable for targeting independently individual neurons and their presynaptic
inputs derived from surrounding neurons. Targeting sparse layer 2/3 neurons, we
uncovered a novel subpopulation of layer 2/3 neurons in the mouse cerebral
cortex. This technique, broadly applicable for probing and manipulating neurons
with single-cell resolution in vivo, should provide a robust means to uncover the
basic mechanisms employed by the brain, especially when combined with in vivo two
photon laser-scanning microscopy and/or optogenetic technologies.
PMID- 21884797
TI - Ubiquitin-dependent endocytosis, trafficking and turnover of neuronal membrane
proteins.
AB - Extracellular signaling between cells is often transduced via receptors that
reside at the cell membrane. In neurons this receptor-mediated signaling can
promote a variety of cellular events such as differentiation, axon outgrowth and
guidance, and synaptic development and function. Endocytic membrane trafficking
of receptors ensures that the strength and duration of an extracellular signal is
properly regulated. The covalent modification of membrane proteins by ubiquitin
is a key biological mechanism controlling receptor internalization and endocytic
sorting to recycling and degradative pathways in many cell types. In this review
we highlight recent findings regarding the ubiquitin-dependent trafficking and
turnover of receptors in neurons and the implications for neuronal development
and function.
PMID- 21884799
TI - Tandem repeats in proteins: from sequence to structure.
AB - The bioinformatics analysis of proteins containing tandem repeats requires
special computer programs and databases, since the conventional approaches
predominantly developed for globular domains have limited success. Here, I survey
bioinformatics tools which have been developed recently for identification and
proteome-wide analysis of protein repeats. The last few years have also been
marked by an emergence of new 3D structures of these proteins. Appraisal of the
known structures and their classification uncovers a straightforward relationship
between their architecture and the length of the repetitive units. This
relationship and the repetitive character of structural folds suggest rules for
better prediction of the 3D structures of such proteins. Furthermore,
bioinformatics approaches combined with low resolution structural data, from
biophysical techniques, especially, the recently emerged cryo-electron
microscopy, lead to reliable prediction of the protein repeat structures and
their mode of binding with partners within molecular complexes. This hybrid
approach can actively be used for structural and functional annotations of
proteomes.
PMID- 21884800
TI - Multi-scale mineralogical characterization of the hypercalcified sponge
Petrobiona massiliana (Calcarea, Calcaronea).
AB - The massive basal skeleton of a few remnant living hypercalcified sponges
rediscovered since the 1960s are valuable representatives of ancient calcium
carbonate biomineralization mechanisms in basal Metazoa. A multi-scale
mineralogical characterization of the easily accessible Mediterranean living
hypercalcified sponge belonging to Calcarea, Petrobiona massiliana (Vacelet and
Levi, 1958), was conducted. Oriented observations in light and electron
microscopy of mature and growing areas of the Mg-calcite basal skeleton were
combined in order to describe all structural levels from the submicronic to the
macroscopic scale. The smallest units produced are ca. 50-100nm grains that are
in a mushy amorphous state before their crystallization. Selected area electron
diffraction (SAED) further demonstrated that submicronic grains are assembled
into crystallographically coherent clusters or fibers, the latter are even
laterally associated into single-crystal bundles. A model of crystallization
propagation through amorphous submicronic granular units is proposed to explain
the formation of coherent micron-scale structural units. Finally, XRD and EELS
analyses highlighted, respectively, inter-individual variation of skeletal Mg
contents and heterogeneous spatial distribution of Ca ions in skeletal fibers.
All mineralogical features presented here cannot be explained by classical
inorganic crystallization principles in super-saturated solutions, but rather
underlined a highly biologically regulated formation of the basal skeleton. This
study extending recent observations on corals, mollusk and echinoderms confirms
that occurrence of submicronic granular units and a possible transient amorphous
precursor phase in calcium carbonate skeletons is a common biomineralization
strategy already selected by basal metazoans.
PMID- 21884801
TI - A novel framework for longitudinal atlas construction with groupwise registration
of subject image sequences.
AB - Longitudinal atlas construction plays an important role in medical image
analysis. Given a set of longitudinal images from different subjects, the task of
longitudinal atlas construction is to build an atlas sequence which can represent
the trend of anatomical changes of the population. The major challenge for
longitudinal atlas construction is how to effectively incorporate both the
subject-specific information and population information to build the unbiased
atlases. In this paper, a novel groupwise longitudinal atlas construction
framework is proposed to address this challenge, and the main contributions of
the proposed framework lie in the following aspects: (1) The subject-specific
longitudinal information is captured by building the growth model for each
subject. (2) The longitudinal atlas sequence is constructed by performing
groupwise registration among all the subject image sequences, and only one
transformation is needed to transform each subject's image sequence to the atlas
space. The constructed longitudinal atlases are unbiased and no explicit template
is assumed. (3) The proposed method is general, where the number of longitudinal
images of each subject and the time points at which they are taken can be
different. The proposed method is extensively evaluated on two longitudinal
databases, namely the BLSA and ADNI databases, to construct the longitudinal
atlas sequence. It is also compared with a state-of-the-art longitudinal atlas
construction algorithm based on kernel regression on the temporal domain.
Experimental results demonstrate that the proposed method consistently achieves
higher registration accuracies and more consistent spatial-temporal
correspondences than the compared method on both databases.
PMID- 21884802
TI - Not quite PIB-positive, not quite PIB-negative: slight PIB elevations in elderly
normal control subjects are biologically relevant.
AB - Researchers employing Pittsburgh Compound B positron emission tomography (PIB
PET) imaging have consistently indentified old normal control (oNC) subjects with
elevated tracer uptake, suggesting the presence of beta-amyloid deposition in
these individuals. However, a consensus regarding the level at which PIB reveals
a biologically meaningful signal does not exist (ie. an appropriate cutoff value
for PIB positivity remains unclear). In this exploratory study, we sought to
investigate the range of PIB distribution volume ratio (DVR) values present in
our oNC cohort (N=75, age range=58-97). oNC subjects were classified based on
global PIB index values (average DVR across prefrontal, parietal, lateral
temporal and cingulate cortices) by employing two approaches: (1) an iterative
outlier approach that revealed a cutoff value of 1.16 (IO-cutoff) and (2) an
approach using data from a sample of young normal control subjects (N=11, age
range=20-30) that yielded a cutoff value of 1.08 (yNC-cutoff). oNC subjects
falling above the IO-cutoff had values similar to AD subjects ("PIB+", 15%).
Subjects falling between the 2 cutoffs were considered to have ambiguous PIB
status ("Ambig", 20%) and the remaining oNC were considered "PIB-" (65%).
Additional measures capturing focal DVR magnitude and extent of elevated DVR
values were consistent with the classification scheme using PIB index values, and
revealed evidence for elevated DVR values in a subset of PIB- oNC subjects.
Furthermore, there were a greater proportion of ambiguously elevated values
compared to low values, and these elevated values were present in regions known
to show amyloid deposition. The analyses presented in this study, in conjunction
with recently published pathological data, suggest a biological relevance of
slight PIB elevations in aging.
PMID- 21884803
TI - Search for patterns of functional specificity in the brain: a nonparametric
hierarchical Bayesian model for group fMRI data.
AB - Functional MRI studies have uncovered a number of brain areas that demonstrate
highly specific functional patterns. In the case of visual object recognition,
small, focal regions have been characterized with selectivity for visual
categories such as human faces. In this paper, we develop an algorithm that
automatically learns patterns of functional specificity from fMRI data in a group
of subjects. The method does not require spatial alignment of functional images
from different subjects. The algorithm is based on a generative model that
comprises two main layers. At the lower level, we express the functional brain
response to each stimulus as a binary activation variable. At the next level, we
define a prior over sets of activation variables in all subjects. We use a
Hierarchical Dirichlet Process as the prior in order to learn the patterns of
functional specificity shared across the group, which we call functional systems,
and estimate the number of these systems. Inference based on our model enables
automatic discovery and characterization of dominant and consistent functional
systems. We apply the method to data from a visual fMRI study comprised of 69
distinct stimulus images. The discovered system activation profiles correspond to
selectivity for a number of image categories such as faces, bodies, and scenes.
Among systems found by our method, we identify new areas that are deactivated by
face stimuli. In empirical comparisons with previously proposed exploratory
methods, our results appear superior in capturing the structure in the space of
visual categories of stimuli.
PMID- 21884804
TI - Control processes during selective long-term memory retrieval.
AB - In our daily life, we often need to selectively remember information related to
the same retrieval cue in a consecutive manner (e.g., ingredients from a recipe).
To investigate such selection processes during cued long-term memory (LTM)
retrieval, we used a paradigm in which the retrieval demands were systematically
varied from trial to trial and analyzed, by means of behavior and slow cortical
EEG potentials (SCPs), the retrieval processes in the current trial depending on
those of the previous trial. We varied whether the retrieval cue, the type of to
be-retrieved association (feature), or retrieval load was repeated or changed
from trial to trial. The behavioral data revealed a benefit of feature
repetition, probably due to trial-by-trial feature priming. SCPs further showed
an effect of cue change with a mid-frontal maximum, suggesting increased control
demands when the cue was repeated, as well as a parietal effect of retrieval-load
change, indicating increased activation of posterior neural resources when
focusing on a single association after all learned associations had been
activated previously, compared to staying with single associations across trials.
These effects suggest the existence of two distinct types of dynamic (trial-by
trial) control processes during LTM retrieval: (1) medial frontal processes that
monitor or regulate interference within a set of activated associations, and (2)
posterior processes regulating attention to LTM representations. The present
study demonstrates that processes mediating selective LTM retrieval can be
successfully studied by manipulating the history of processing demands in trial
sequences.
PMID- 21884805
TI - Convergence and divergence of thickness correlations with diffusion connections
across the human cerebral cortex.
AB - Cortical thickness correlation across individuals has been observed. So far, it
remains unclear to what extent such a correlation in thickness is a reflection of
underlying fiber connection. Here we explicitly compared the patterns of cortical
thickness correlation and diffusion-based fiber connection across the entire
cerebral cortex, in 95 normal adults. Interregional thickness correlations were
extracted by using computational neuroanatomy algorithms based on structural MRI,
and diffusion connections were detected by using diffusion probabilistic
tractography. Approximately 35-40% of thickness correlations showed convergent
diffusion connections across the cerebral cortex. Intriguingly, the observed
convergences between thickness correlation and diffusion connection are mostly
focused on the positive thickness correlations, while almost all of the negative
correlations (>90%) did not have a matched diffusion connection, suggesting
different mechanisms behind the positive and negative thickness correlations, the
latter not being mediated by a direct fiber pathway. Furthermore, graph theoretic
analysis reveals that the thickness correlation network has a more randomized
overall topology, whereas the nodal characteristics of cortical regions in these
two networks are statistically correlated. These findings indicate that thickness
correlations partly reflect underlying fiber connections but they contains
exclusive information, and therefore should not be simply taken as a proxy
measure for fiber connections.
PMID- 21884806
TI - Ancient drainages divide cryptic species in Australia's arid zone: morphological
and multi-gene evidence for four new species of Beaked Geckos (Rhynchoedura).
AB - Deserts and other arid zones remain among the least studied biomes on Earth.
Emerging genetic patterns of arid-distributed biota suggest a strong link between
diversification history and both the onset of aridification and more recent
cycles of severe aridification. A previous study based on 1 kb of mtDNA of the
monotypic gecko genus Rhynchoedura identified five allopatric clades across the
vast Australian arid zone. We supplemented this data with 2.2kb from three
nuclear loci and additional mtDNA sequences. Phylogenetic relationships estimated
from the mtDNA data with ML and Bayesian methods were largely concordant with
relationships estimated with the nDNA data only, and mtDNA and nDNA data
combined. These analyses, and coalescent-based species-tree inference methods
implemented with (*)BEAST, largely resolve the relationships among them. We also
carried out an examination of 19 morphological characters for 268 museum
specimens from across Australia, including all 197 animals for which we sequenced
mtDNA. The mtDNA clades differ subtly in a number of morphological features, and
we describe three of them as new species, raise a fourth from synonymy, and
redescribe it and the type species, Rhynchoedura ornata. We also describe a
morphologically distinctive new species from Queensland based on very few
specimens. The distribution of arid zone clades across what is now relatively
homogeneous sand deserts seems to be related to a topographic divide between the
western uplands and eastern lowlands, with species' distributions correlated with
dryland rivers and major drainage divides. The existence of five cryptic species
within the formerly monotypic Rhynchoedura points to ancient divergences within
the arid zone that likely were driven by wet phases as well as dry ones.
PMID- 21884807
TI - Feasibility of bone density evaluation using plain digital radiography.
AB - OBJECTIVE: For the radiographic evaluation of subchondral bone changes
(sclerosis) in osteoarthritis (OA), bone density (BD) is commonly subjectively
assessed. BD evaluation using plain digital radiography might be influenced by
acquisition and post-processing (PP) settings. Objective of this study was to
evaluate the effects of these settings on the measurement of BD using digital
radiographs. METHODS: A bone density standard (BDS) of hydroxyapatite (HA)
mimicked a BD range of 1.0-5.75 g/cm(2). Digital radiographs were acquired with
variation in acquisition settings, and with clinical and minimal PP. An aluminum
step wedge served as an internal reference to express the gray values of the BDS
in mm aluminum equivalents (mmAl). The relation (R(2)) between actual BD and BD
normalized to the reference wedge was evaluated with linear regression analyses
for radiographs with variations in PP and acquisition settings. Precision of BD
measurement of the BDS was evaluated for application in clinical practice.
RESULTS: The correlation between actual BD and BD normalized to the reference was
improved by changing PP from clinical (R(2)=0.96) to minimal (R(2)=0.98). Higher
tube voltage [kilovolt (kV)] improved the correlation further. Even for clinical
PP, average standard deviation (SD) was 0.97 mmAl, much smaller than the change
of 2.51 mmAl clinically observed in early OA, which implies the feasibility of BD
measurements on digital radiographs. CONCLUSION: Changing PP and acquisition
settings in clinical practice can have profound effect on outcome. If done with
care, accurate BD measurement is feasible using plain digital radiography.
PMID- 21884808
TI - Chondroitin sulfate effect on induced arthritis in rats.
AB - OBJECTIVE: Rodent models of osteoarthritis and rheumatoid arthritis are useful
tools to study these disease processes. Adjuvant arthritis (AAR) is a model of
polyarthritis widely used for preclinical testing of antiarthritis substances. We
report the effect of two different doses of highly purified chondroitin sulfate
(CS) pharmaceutical grade in the AAR animal model after oral administration.
DESIGN: AAR was induced by a single intradermal injection of heat-inactivated
Mycobacterium butyricum in incomplete Freund's adjuvant. The experiments included
healthy animals, untreated arthritic animals, arthritic animals having been
administered 300 or 900 mg/kg of CS daily, 14 days before AAR induction until the
end of the experiment (day 28), arthritic animals having been administered 300 or
900 mg/kg of CS daily, from day 1 until the end of the experiment. RESULTS: CS
was capable of significantly reducing the severity of arthritis along with
oxidative stress, a consequence of chronic inflammatory processes occurring in
AAR. The CS pre-treatment regimen was effective throughout the whole subacute
phase, while treatment from day 1 proved effective only in the chronic period.
The effects were confirmed by improved total antioxidant status and gamma
glutamyltransferase activity. CS administered under a pre-treatment regimen was
also able to reduce the production of pro-inflammatory cytokines, C-reactive
protein in plasma, phagocytic activity and the intracellular oxidative burst of
neutrophils. CONCLUSIONS: CS proved to be effective in slowing down AAR
development and in reducing disease markers, thus supporting its beneficial
activity as a drug in humans.
PMID- 21884809
TI - Contralateral cane use and knee joint load in people with medial knee
osteoarthritis: the effect of varying body weight support.
AB - OBJECTIVE: To evaluate the effect of varying body weight support (BWS) with
contralateral cane use on medial knee load, measured by external knee adduction
moment (KAM), in medial knee osteoarthritis (OA) participants. Influences of cane
use technique, pain and malalignment on the cane's load-reducing effects were
investigated. METHOD: Participants (n=23) underwent three-dimensional gait
analysis to measure KAM peaks (early and late stance) and impulse. Unaided
walking was firstly analyzed. Following cane use training, participants placed
pre-determined magnitudes of BWS through the cane (10%, 15% and 20% in random
order), with visual feedback provided via a force-instrumented cane and
projection screen. Contributions of cane use technique (peak BWS magnitude and
timing, cane impulse (BWS*time) anterior and lateral cane distance from limb) and
Western Ontario McMaster Universities OA Index (WOMAC) pain and malalignment to
KAM outcomes were evaluated using linear mixed models. RESULTS: Cane use reduced
all KAM variables, with a dose-response effect apparent. Cane BWS impulse was
important in reducing the early stance peak KAM (P<0.001), peak BWS for late
stance KAM (P<0.001) and both BWS measures for KAM impulse reductions (P<0.001).
Variables contributing to efficacy of load-reduction differed across outcomes.
Generally, greater reductions were achieved with longer lateral cane distances,
peak BWS timing similar to KAM peaks, and shorter anterior cane distances.
Greater pain and varus alignment improved load-reduction for some outcomes.
CONCLUSION: Contralateral cane use significantly reduced medial knee load, with a
dose-response effect. Medial knee OA patients should be encouraged to maintain
greater BWS across stance, with cane placement more lateral for optimum benefit.
PMID- 21884810
TI - Relationship between arthroscopic joint evaluation and the levels of Coll2-1,
Coll2-1NO(2), and myeloperoxidase in the blood and synovial fluid of horses
affected with osteochondrosis of the tarsocrural joint.
AB - OBJECTIVE: To evaluate the levels of plasmatic and synovial Coll2-1, Coll2-1NO(2)
and myeloperoxidase (MPO) in horses with osteochondral lesions of the tarsocrural
joint and to investigate how these levels relate to arthroscopic findings of
inflammation and degeneration. MATERIALS AND METHODS: Venous blood and synovial
fluid samples were collected from 63 horses presented for arthroscopic removal of
osteochondral fragments in the tarsocrural joint. Prior to removal of the
osteochondral fragment, an exploration of the joint was performed and an
inflammatory and degenerative score was determined. The blood and synovial levels
of Coll2-1, Coll2-1NO(2) and MPO were also measured. The effects of the
arthroscopic evaluation (inflammatory and degenerative classes) on the blood and
synovial markers were evaluated using a linear model (GLM procedure), and
correlations between biochemical markers in the blood and synovial fluid and the
arthroscopic evaluation (inflammatory and degenerative classes) were established
(Pearson's correlations). RESULTS: Significantly higher levels of Coll2-1 were
detected in synovial fluid of higher degenerative classes. There was a
significant correlation between the degenerative score and the synovial levels of
Coll2-1 (r=0.27). According to the logistic regression model, there was a
significant effect of the degenerative class on synovial levels of Coll2-1.
CONCLUSIONS: Coll2-1 correlates well with the degenerative state of tarsocrural
joints as evaluated by arthroscopy. This marker can therefore be classified as a
burden-of-disease marker in the assessment of joint disease in horses.
PMID- 21884811
TI - History of knee injuries and knee osteoarthritis: a meta-analysis of
observational studies.
AB - OBJECTIVES: Although knee injury has been implicated as a risk factor for the
development of knee osteoarthritis (OA), there is great disparity in the
magnitude of quantifiable risk. Our aim was to systematically review the
relationship between history of knee injuries and knee OA. METHODS: Six
electronic databases were searched between August and October 2010. Relative risk
estimates or odds ratio (OR) and 95% confidence intervals (95% CI) were extracted
or calculated from observational studies meeting the inclusion criteria.
Publication bias was determined using funnel plot and the Egger's test.
Heterogeneity was examined using Cochran Q test and I(2) statistic. Random
effects model was used to pool the heterogeneous results and OR was used to
present the results. Subgroup analyses were performed to examine potential causes
of heterogeneity. RESULTS: Twenty-four observational studies (20,997 subjects)
were included in the meta-analysis of which there were seven cohort, five cross
sectional and 12 case-control studies. The overall pooled OR was 4.20 (95% CI
3.11-5.66, I(2) = 81.0%). Association between history of knee injuries and knee
OA was significantly different for specified injuries such as ligament or tendon
injuries; meniscus damage or meniscectomy; and fracture of femur, knee or lower
part of the leg (OR = 5.95, 95% 4.57-7.75), compared to unspecified injuries (OR
= 3.12, 95% 2.17-4.50). CONCLUSION: History of knee injury is a major risk factor
for the development of knee OA irrespective of study design and definition of
knee injury. As one of the few modifiable/preventable risk factors, knee injury
should be part of the future prevention programme in reducing the risk of knee
OA.
PMID- 21884812
TI - Association of vitamin D status with knee pain and radiographic knee
osteoarthritis.
AB - OBJECTIVE: The objective of the present study was to explore the association of
serum vitamin D concentration and polymorphism in the vitamin D receptor (VDR),
with knee pain and radiographic knee osteoarthritis (OA) among men and women in a
large population-based UK cohort study. METHODS: Seven hundred and eighty-seven
participants in the Hertfordshire Cohort Study (399 men, 388 women; mean age
65.6+/-2.7 years) underwent a questionnaire on knee pain and radiographic knee
examination. This study examined the association of Fok1, Cdx2 and Apa1
polymorphism in the gene for the VDR and serum 25(OH)D concentration with knee
pain and radiographic knee OA by a generalized estimating equations population
averaged logistic regression analysis in the Hertfordshire Cohort Study. RESULTS:
There were no associations of Fok1, Cdx2 and Apa1 polymorphisms of the VDR with
knee OA except for Aa for Apa1 compared with AA [Odds ratio (OR) 0.59, 95%
confidence interval (CI) 0.36-0.95, P=0.031]. While, ff for Fok1 (OR 1.60, 95% CI
1.07-2.39, P=0.022) and AA for Cdx2 polymorphism (OR 2.21, 95% CI 1.07-4.56,
P=0.032) was significantly associated with higher prevalence of knee pain
compared with FF for Fok1 and GG for Cdx2, respectively. None of these are
statistically significant after adjusting for the three polymorphisms tested.
25(OH)D level was not significantly associated with radiographic knee OA, while,
low tertile of 25(OH)D level tended to be associated with knee pain compared with
high tertile of 25(OH)D level. CONCLUSION: The present cross-sectional study
using a large-scale population from the Hertfordshire Cohort study indicated that
vitamin D may be associated with pain rather than radiographic change, but the
evidence for an association between vitamin D genetic variation and pain in knee
OA is very weak in the present study. Further replication of our results will be
required to elucidate the association of vitamin D and knee OA.
PMID- 21884814
TI - Neuronal polarization and the cytoskeleton.
AB - Neuronal polarization, the formation of one long axon and several short
dendrites, is an obligatory process to integrate and propagate information within
the brain. Axon formation is the key event during neuronal polarization and is
based on tightly regulated rearrangements of the cytoskeleton. Here, we discuss
how the cytoskeleton drives neuronal polarization. First, we convey the role of
the actin cytoskeleton and microtubules during axon formation. Second, we discuss
different cytoskeletal binding and regulating proteins, which are essential to
specify the axon. Finally, we outline plus end tracking proteins (+TIPs) as
important regulators for neuronal polarization by mediating the interaction
between the actin cytoskeleton and microtubules and compare this function to
other polarity processes.
PMID- 21884815
TI - Bioenergetic components of reproductive effort in viviparous snakes: costs of
vitellogenesis exceed costs of pregnancy.
AB - Reproductive effort has been defined as the proportion of an organism's energy
budget that is allocated to reproduction over a biologically meaningful time
period. Historically, studies of reproductive bioenergetics considered energy
content of gametes, but not costs of gamete production. Although metabolic costs
of vitellogenesis (MCV) fundamentally reflect the primary bioenergetic cost of
reproductive allocation in female reptiles, the few investigations that have
considered costs of reproductive allocation have focused on metabolic costs of
pregnancy (MCP) in viviparous species. We define MCP as energetic costs incurred
by pregnant females, including all costs of maintaining gestation conditions
necessary for embryogenesis. MCP by our definition do not include fetal costs of
embryogenesis. We measured metabolic rates in five species of viviparous snakes
(Agkistrodon contortrix, Boa constrictor, Eryx colubrinus, Nerodia sipedon, and
Thamnophis sirtalis) during vitellogenesis and pregnancy in order to estimate MCV
and MCP. Across all species, MCV were responsible for 30% increases in maternal
metabolism. Phylogenetically-independent contrasts showed that MCV were
significantly greater in B. constrictor than in other species, likely because B.
constrictor yolk energy content was greater than that of other species. Estimates
of MCP were not significantly different from zero in any species. In viviparous
snakes, MCV appear to represent significant bioenergetic expenditures, while MCP
do not. We suggest that MCV, together with yolk energy content, represent the
most significant component of reptilian reproductive effort, and therefore
deserve greater attention than MCP in studies of reptilian reproductive
bioenergetics.
PMID- 21884816
TI - Pharmacogenetics: past, present and future.
AB - The subject area of pharmacogenetics, also known as pharmacogenomics, has a long
history. Research in this area has led to fundamental discoveries, which have
helped our understanding of the reasons why individuals differ in the way they
handle drugs, and ultimately in the way they respond to drugs, either in terms of
efficacy or toxicity. However, not much of this knowledge has been translated
into clinical practice, most drug-gene associations that have some evidence of
clinical validity have not progressed to clinical settings. Advances in genomics
since 2000, including the ready availability of data on the variability of the
human genome, have provided us with unprecedented opportunities to understand
variability in drug responses, and the opportunity to incorporate this into
patient care. This is only likely to occur with a systematic approach that
evaluates and overcomes the different translational gaps in taking a biomarker
from discovery to clinical practice. In this article, I explore the history of
pharmacogenetics, appraise the current state of research in this area, and finish
off with suggestions for progressing in the field in the future.
PMID- 21884813
TI - 14-3-3 Proteins: diverse functions in cell proliferation and cancer progression.
AB - The 14-3-3 proteins were the first phosphoserine/phosphothreonine-binding
proteins to be discovered, a finding that provided the foundation for their
prominent role in cell signaling. 14-3-3 family members interact with a wide
spectrum of proteins including transcription factors, biosynthetic enzymes,
cytoskeletal proteins, signaling molecules, apoptosis factors, and tumor
suppressors. The interaction with 14-3-3 can have a profound effect on a target
protein, altering its localization, stability, conformation, phosphorylation
state, activity, and/or molecular interactions. Thus, by modulating the function
of a diverse array of binding partners, 14-3-3 proteins have become key
regulatory components in many vital cellular processes - processes that are
crucial for normal growth and development and that often become dysregulated in
human cancer. This review will examine the recent advances that further elucidate
the role of 14-3-3 proteins in normal growth and cancer signaling with a
particular emphasis on the signaling pathways that impact cell proliferation,
cell migration, and epithelial-to-mesenchymal transition.
PMID- 21884817
TI - Detailed characterization of alterations of chromosomes 7, 9, and 10 in
glioblastomas as assessed by single-nucleotide polymorphism arrays.
AB - Glioblastomas are cytogenetically heterogeneous tumors that frequently display
alterations of chromosomes 7, 9p, and 10q. We used high-density (500K) single
nucleotide polymorphism arrays to investigate genome-wide copy number alterations
and loss of heterozygosity in 35 primary glioblastomas. We focused on the
identification and detailed characterization of alterations involving the most
frequently altered chromosomes (chromosomes 7, 9, and 10), the identification of
distinct prognostic subgroups of glioblastomas based on the cytogenetic patterns
of alteration for these chromosomes, and validation of their prognostic impact in
a larger series of tumors from public databases. Gains of chromosome 7 (97%),
with or without epidermal growth factor receptor (EGFR) amplification, and losses
of chromosomes 9p (83%) and 10 (91%) were the most frequent alterations. Such
alterations defined five different cytogenetic groups with a significant effect
on patient survival; notably, EGFR amplification (29%) was associated with a
better survival among older patients, as confirmed by multivariate analysis of a
larger series of glioblastomas from the literature. In addition, our results
provide further evidence about the relevance of other genes (eg, EGFR, CDKN2A/B,
MTAP) in the pathogenesis of glioblastomas. Altogether, our results confirm the
cytogenetic heterogeneity of glioblastomas and suggest that their stratification
based on combined assessment of cytogenetic alterations involving chromosomes 7,
9, and 10 may contribute to the prognostic evaluation of glioblastomas.
PMID- 21884818
TI - A novel DHPLC-based procedure for the analysis of COL1A1 and COL1A2 mutations in
osteogenesis imperfecta.
AB - Approximately 90% of patients with osteogenesis imperfecta (OI) exhibit dominant
COL1A1 or COL1A2 mutations; however, molecular analysis is difficult because
these genes span 51 and 52 exons, respectively. We devised a PCR-denaturing high
performance liquid chromatography (DHPLC) procedure to analyze the COL1A1 or
COL1A2 coding regions and validated it using 130 DNA samples from individuals
without OI, 25 DNA samples from two cells to investigate the procedure's
potential for preimplantation diagnosis, and DNA samples from 10 patients with
OI. Three novel intronic variants in vitro were expressed using a minigene assay
to assess their effects on splicing. The procedure is rapid, inexpensive, and
reproducible. Analysis of samples from individuals without OI revealed six novel
and some known polymorphisms useful for linkage diagnosis because of high
heterozygosity. Analysis of two-cell samples confirmed the known genotype in 24
of 25 experiments; DNA failed to amplify in only one case. No incidence of allele
dropout was recorded. DHPLC revealed six novel mutations, three of which were
intronic, in all patients with OI, and these results were confirmed by means of
COL1A1 and COL1A2 direct sequencing. Expression of intronic mutations
demonstrated that variant 804 + 2_804 + 3delTG in intron 11 disrupts normal
splicing, thereby leading to formation of two alternative products. Variants
c.3046-4_3046-5dupCT (COL1A1) and c.891 + 77A>T (COL1A2) did not affect splicing.
The described DHPLC protocol combined with the minigene assay may contribute to
molecular diagnosis in OI. Moreover, this protocol will aid in counseling about
prenatal and preimplantation diagnosis.
PMID- 21884819
TI - Paraffin embedding contributes to RNA aggregation, reduced RNA yield, and low RNA
quality.
AB - The RNA isolated from FFPE tissues is of poor quality and quantity. Other studies
have indicated that formaldehyde fixation or the duration of storage of tissue
blocks accounted for RNA damage. Herein we report a third source of harm to RNA:
embedding in warm paraffin. RNA bound to oligo(dT)-conjugated magnetic beads (an
mRNA model) and total cellular RNA pellets were passed through formalin, graded
ethanols, xylene, paraffin, and a formaldehyde demodification step. The mRNA
model yielded at least 1550 bp amplicons at RT-PCR at each step of processing
except paraffin, which yielded no more than 750 bp amplicons regardless of
paraffin formulation or transition solvent. Quantitative RT-PCR on paraffinized
RNA suggested a 1400-fold or more decrease in amplifiable RNA when compared with
control. Compared with earlier processing steps, formalin-fixed paraffinized
total cellular RNA produced only high-molecular-weight RNA and insoluble
aggregates. These species were reproduced by heating RNA in hydrocarbon solvent
at 60 degrees C for 1 hour. Quantitative RT-PCR on paraffinized RNA suggested an
at least 10- to 160-fold decrease in amplifiable RNA compared to controls. The
data implicate paraffin embedding as primarily responsible for the high-molecular
weight RNA aggregates, reduced yields of RNA, and poor quality of RNA isolated
from these chemical models of FFPE tissues.
PMID- 21884822
TI - Neighborhood hash graph kernel for protein-protein interaction extraction.
AB - Automated extraction of protein-protein interactions (PPIs) from biomedical
literatures is an important topic of biomedical text mining. In this paper, we
propose an approach based on neighborhood hash graph kernel for this task. In
contrast to the existing graph kernel-based approaches for PPI extraction, the
proposed approach not only has the capability to make use of full dependency
graphs to represent the sentence structure but also effectively control the
computational complexity. We evaluate the proposed approach on five publicly
available PPI corpora and perform detailed comparisons with other approaches. The
experimental result shows that our approach is comparable to the state-of-the-art
PPI extraction system and much faster than all-path graph kernel approach on all
five PPI corpora.
PMID- 21884821
TI - Computer-based genealogy reconstruction in founder populations.
AB - This paper describes a software tool that reconstructs entire genealogies from
data collected from different and heterogeneous sources, including municipal and
parish records archived over centuries. The tool exploits a record linkage
algorithm relying on a rule-based data matching approach. It applies a general
strategy for managing the ambiguities due to missing, imprecise or erroneous
input data. The process follows an iterative approach that combines automatic
pedigree reconstruction with software-empowered human data revision to improve
the quality and the accuracy of the results and to optimize the matching rules.
The paper discusses the results obtained by reconstructing the entire genealogy
of the population of the Val Borbera, a geographically isolated valley in
Northern Italy. The genealogy could be reconstructed from data going back as far
as the XVI century. The resulting pedigree includes 75,994 trios, 58.9% of which
belonging to a unique big family, reconstructed over 13 generations.
PMID- 21884820
TI - Detection of KIAA1549-BRAF fusion transcripts in formalin-fixed paraffin-embedded
pediatric low-grade gliomas.
AB - Alterations of BRAF are the most common known genetic aberrations in pediatric
gliomas. They frequently are found in pilocytic astrocytomas, where genomic
duplications involving BRAF and the poorly characterized gene KIAA1549 create
fusion proteins with constitutive B-Raf kinase activity. BRAF V600E point
mutations are less common and generally occur in nonpilocytic tumors. The
development of BRAF inhibitors as drugs has created an urgent need for robust
clinical assays to identify activating lesions in BRAF. KIAA1549-BRAF fusion
transcripts have been detected in frozen tissue, however, methods for FFPE tissue
have not been reported. We developed a panel of FFPE-compatible quantitative RT
PCR assays for the most common KIAA1549-BRAF fusion transcripts. Application of
these assays to a collection of 51 low-grade pediatric gliomas showed 97%
sensitivity and 91% specificity compared with fluorescence in situ hybridization
or array comparative genomic hybridization. In parallel, we assayed samples for
the presence of the BRAF V600E mutation by PCR pyrosequencing. The data further
support previous observations that these two alterations of the BRAF, KIAA1549
fusions and V600E point mutations, are associated primarily with pilocytic
astrocytomas and nonpilocytic gliomas, respectively. These results show that
fusion transcripts and mutations can be detected reliably in standard FFPE
specimens and may be useful for incorporation into future studies of pediatric
gliomas in basic science or clinical trials.
PMID- 21884823
TI - Study of the adjuvanticity of lysine lipopeptides; carbamate analogs elicit
strong Th1 and Th2 response to ovalbumin in mice.
AB - Bacterial lipoproteins and their synthetic analogs are strong immune modulators
of the early host responses. In view of the strong adjuvanticity of bacterial
lipopeptide mimics bearing lysine residues, a focused library of lipidated
dipeptides and tripeptides has been synthesized with a view to understand the
pattern of activity vis a vis the site and extent of lipidation. Compounds 4, 5
and 14 stimulate OVA specific IgG titer, neutralization of antibodies (IgG1 and
IgG2a), T lymphocyte sub-sets (CD4/CD8) and its production of soluble mediators
for Th1 (IFN-gamma)/Th2 (IL-4) cytokines and costimulatory molecules (CD80/CD86)
which are ideal traits of immune adjuvants. The results support lipidated lysine
dipeptides as potent enhancers of humoral and cell mediated immune responses and
thus might become promising immune-adjuvants for self adjuvanted vaccines.
PMID- 21884824
TI - Augmentation of humoral and cell mediated immune responses by Thujone.
AB - Thujone, a naturally occurring monoterpene, was found to enhance the total WBC
count, bone marrow cellularity, number of alpha-esterase positive cells, number
of plaque forming cells in spleen and circulating antibody titer in Balb/c mice
(1mg/kg body weight, intraperitoneally for 5 days). Thujone treatment enhanced
proliferation of splenocytes and thymocytes, both in the presence and absence of
specific mitogens. Administration of Thujone was found to stimulate the cell
mediated immunological response in normal and tumor bearing Balb/c mice. A
significant enhancement in natural killer (NK) cell mediated cytotoxicity,
antibody-dependent cellular cytotoxicity (ADCC) and antibody-dependent complement
mediated cytotoxicity (ACC) in both normal as well as tumor-bearing animals was
observed after the administration of Thujone. Production of cytokines such as IL
2 and IFN-gamma was significantly enhanced by the administration of Thujone. The
stimulatory effect of Thujone on cytotoxic T lymphocyte (CTL) generation was
determined by Winn's neutralization assay using CTL sensitive EL4 thymoma cells.
Thujone treatment showed a significant increase in CTL production in both the in
vivo and in vitro models, as indicated by a significant increase in the life span
of tumor bearing animals. All these results indicate that administration of
Thujone could enhance the immune response of mice. There was a significant
reduction in solid tumor development, mediated by the presence of alert immune
responses during Thujone administration.
PMID- 21884825
TI - Toll-like receptors and diseases.
PMID- 21884826
TI - Chemosensory control by commissural nucleus of the solitary tract in rats.
AB - The commissural nucleus of the solitary tract (commNTS) is a main area that
receives afferent signals involved in the cardiovascular and respiratory control
like those related to chemoreceptor activation, however, the importance of the
commNTS for the cardiorespiratory responses to chemoreceptor activation is still
controversial. In the present study, we investigated the cardiorespiratory
responses to hypoxia or hypercapnia in anesthetized and conscious rats treated
with injections of the GABA-A agonist muscimol into the caudal portion of the
commNTS. Male Holtzman rats (280-300 g) were used. In conscious rats that had a
stainless steel cannula previously implanted into the commNTS, the injection of
muscimol (2 mM) into the commNTS reduced the pressor response (16+/-2 mmHg, vs.
saline: 36+/-3 mmHg) and the increase in ventilation (250+/-17 ml/min/kg, vs.
saline: 641+/-28 ml/min/kg) produced by hypoxia (8-10% O(2)). In urethane
anesthetized rats, the injection of muscimol into the commNTS eliminated the
pressor response (5+/-2 mmHg, vs. saline: 26+/-5 mmHg) and the increase in
phrenic nerve discharge (PND) (20+/-6%, vs. saline: 149+/-15%) and reduced the
increase in splanchnic sympathetic nerve discharge (sSND) (93+/-15%, vs. saline:
283+/-19% of baseline) produced by hypoxia. However, muscimol injected into the
commNTS did not change hypercapnia (8-10% CO(2)) induced pressor response or the
increase in the sSND or PND in urethane anesthetized rats or the increase in
ventilation in conscious rats. The present results suggest that the
cardiorespiratory responses to hypoxia are strongly dependent on the caudal
portion of the commNTS, however, this area is not involved in the responses to
hypercapnia.
PMID- 21884827
TI - PLP-dependent enzymes as potential drug targets for protozoan diseases.
AB - The chemical properties of the B(6) vitamers are uniquely suited for wide use as
cofactors in essential reactions, such as decarboxylations and transaminations.
This review addresses current efforts to explore vitamin B(6) dependent enzymatic
reactions as drug targets. Several current targets are described that are found
amongst these enzymes. The focus is set on diseases caused by protozoan
parasites. Comparison across a range of these organisms allows insight into the
distribution of potential targets, many of which may be of interest in the
development of broad range anti-protozoan drugs. This article is part of a
Special Issue entitled: Pyridoxal Phosphate Enzymology.
PMID- 21884828
TI - Aggrecan, an unusual polyelectrolyte: review of solution behavior and
physiological implications.
AB - Aggrecan is a high-molecular-weight, bottlebrush-shaped, negatively charged
biopolymer that forms supermolecular complexes with hyaluronic acid. In the
extracellular matrix of cartilage, aggrecan-hyaluronic acid complexes are
interspersed in a collagen meshwork and provide the osmotic properties required
to resist deswelling under compressive load. In this review we compile aggrecan
solution behavior from different experimental techniques, and discuss them in the
context of concentration regimes that were identified in osmotic pressure
experiments. At low concentrations, aggrecan exhibits microgel-like behavior.
With increasing concentration, the bottlebrushes self-assemble into large
complexes. In the physiological concentration range (2=2 mm (P = .027) in EMS-transported patients. Fewer EMS
patients underwent cardiac catheterization (60.2% vs 88.2%, P < .001), and a
paradoxical relationship existed between catheterization rates and GRACE Risk
Score in the total cohort (low-risk: 93.4% vs high-risk: 59.3%, P < .001). The
composite of death/re-myocardial infarction/congestive heart failure/shock was
greater in EMS patients (unadjusted odds ratio 3.96, 95% CI 1.80-8.69, P = .001);
these differences were attenuated after GRACE Risk Score adjustment. CONCLUSION:
Regional strategies using risk-based triage, early medical therapy, and timely
triage to percutaneous coronary intervention centers represents an unrealized
opportunity to enhance ST-segment elevation myocardial infarction care.
PMID- 21884859
TI - Trends in Q-wave acute myocardial infarction case fatality from 1978 to 2007 and
analysis of the effectiveness of different treatments.
AB - BACKGROUND: We sought to analyze the trends in first Q-wave acute myocardial
infarction (AMI) case fatality from 1978 to 2007 in a population-based hospital
register, to determine the variables related to these changes, and to assess the
effectiveness of current AMI management. METHODS: Population-based hospital
registry included patients with first Q-wave AMI aged 25 to 74 years admitted
between 1978 and 2007. Sociodemographic and clinical characteristics, treatments,
and procedures used during hospital stay, and 28-day case fatality were recorded.
Logistic regression was used for multivariate analysis of six 5-year periods.
RESULTS: The 30-year study included 3,982 patients. Mean 28-day case fatality was
8.96%, with a decreasing trend from 16.6% in the first 5-year period to 4.7% in
the sixth (P for trend < .001). Study period was independently associated with
case fatality. Case-fatality reduction attributable to pharmacologic treatments
was 51% overall; in 24-hour survivors, pharmacologic treatments and broad use of
invasive procedures explained 39% and 38%, respectively, of the difference
between the observed case fatality in 2003-2007 and 1978-1982. CONCLUSION: A
dramatic decrease in 28-day case fatality occurred during this 30-year period and
was mainly related to the use of antiplatelet drugs, beta-blockers, thrombolysis,
and invasive procedures. These data support the current guidelines for the
management of acute coronary syndrome.
PMID- 21884860
TI - Temporal changes in emergency department triage of patients with acute myocardial
infarction and the effect on outcomes.
AB - BACKGROUND: All patients who present to an emergency department (ED) are triaged.
The ED triage score may determine when patients are seen by a physician. Half of
patients with acute myocardial infarction (AMI) were given a low priority score
in Ontario in 2000/2001. We assessed the appropriateness of ED triage and its
association with quality indicators and outcomes in a more recent AMI cohort and
compared this with previous findings. METHODS: We conducted a retrospective
cohort study of a population-based cohort of patients with AMI admitted to 96
hospitals in Ontario, Canada, in 2004/2005. Outcome measures included rate of low
priority ED triage (score of 3, 4, or 5), compared with an earlier cohort (fiscal
year 2000) at the same sites, and the adjusted effect of low-priority ED triage
on door-to-electrocardiogram, door-to-needle, and door-to-balloon time; hospital
length of stay (LOS); and mortality. RESULTS: Among 6,605 patients with AMI, low
priority triage was less frequent than in the earlier cohort, at 33.3% versus
50.3%. In patients with ST-segment elevation myocardial infarction (STEMI), it
was 25.9%, versus 43.8% previously. Between cohorts, the greatest improvement in
triage occurred in patients with chest pain, in those seen at higher AMI volume
EDs, and in ambulatory patients; patients seen at low AMI volume EDs, those with
diabetes, and the elderly showed the least improvement. Being assigned a low
priority triage score was associated with an adjusted increase in median door-to
electrocardiogram and door-to-needle time of 12.2 (P < .001) and 20.7 minutes (P
< .001), respectively, longer than in the earlier cohort (4.4 and 15.1 minutes).
It was associated with hospital LOS >75th percentile (odds ratio [OR] 1.25, P <
.001), and higher 90-day (OR 1.50, P = .02) and 1-year mortality (OR 1.37, P =
.05) in patients with STEMI. CONCLUSION: Emergency department triage of patients
with AMI improved substantially over 5 years. For the third of patients with AMI
who continue to receive a low priority score, including 25% of patients with
STEMI, the associated delays in diagnosis and therapy were greater than
previously and were associated with increased hospital LOS and mortality. Given
the impact of this initial, cursory assessment, hospital systems should consider
monitoring the quality of their ED triage.
PMID- 21884861
TI - Does subclinical atherosclerosis burden identify the increased risk of
cardiovascular disease mortality among United Kingdom Indian Asians? A population
study.
AB - BACKGROUND: Indian Asians living in the United Kingdom have a >50% higher risk of
cardiovascular disease (CVD) death compared with native European whites. The
mechanisms underlying their excess mortality are not clear, and there are no
validated tools capable of identifying this increased risk. The burden of
subclinical atherosclerosis detected in the carotid arteries is an established
prognosticator for major CVD events. We hypothesized that the increased
prevalence of CVD among Indian Asians would be reflected by their having a
greater burden of subclinical carotid artery atherosclerosis compared with
European whites. METHODS: We studied 2,288 healthy subjects and 148 patients with
known CVD from the London Life Sciences Prospective Population study who
underwent carotid ultrasonography for assessment of intima-media thickness (IMT),
plaque prevalence, and plaque echogenicity. RESULTS: The prevalence of CVD was
significantly higher among Indian Asians compared with European whites (odds
ratio 1.72, 95% CI 1.2-2.3). Intima-media thickness was slightly higher in
European whites compared with that of Indian Asians (0.66 vs 0.65 mm, P = .06),
reflecting their higher Framingham Risk Score. After adjustment for
cardiovascular risk factors, there were no significant differences in IMT, plaque
prevalence, or plaque echogenicity between the 2 ethnic groups regardless of CVD
status. CONCLUSION: The burden of carotid atherosclerosis does not identify the
markedly increased risk of CVD among United Kingdom Indian Asians. Other markers
and mechanisms of disease require investigation in this high-risk group.
PMID- 21884862
TI - Circulating levels of biomarkers of collagen synthesis and ventricular function
and dyssynchrony in adolescents and young adults after repair of tetralogy of
Fallot.
AB - BACKGROUND: Circulating carboxy-terminal propeptide of type I procollagen (PICP)
and amino-terminal propeptide of type III procollagen (PIIINP) are biomarkers of
collagen synthesis. We tested the hypothesis that circulating PICP and PIIINP are
altered and may correlate with ventricular volume load and function in patients
with repaired tetralogy of Fallot (TOF). METHODS AND RESULTS: Serum PICP and
plasma PIIINP levels were determined in 39 patients with repaired TOF aged 17.7
+/- 4.1 years and 25 healthy controls and correlated with right ventricular (RV)
and left ventricular (LV) volumes, functional indices, and mechanical
dyssynchrony as assessed by 3-dimensional and tissue Doppler echocardiography.
Compared with controls, patients had significantly higher circulating PICP (P =
.016) and PIIINP (P = .008) levels, worse RV function with intra-RV mechanical
delay (all P < .001), impaired LV systolic functional indices (all P < .05), and
greater LV systolic dyssynchrony index (SDI) (P < .001). For the whole cohort,
circulating PICP and PIIINP levels correlated with age (P = .001 and P < .001,
respectively), body mass index (P = .033 and P = .012, respectively), LV
eccentricity (P = .035 and P = .046, respectively), RV end-diastolic volume (P =
.029 and P = .047, respectively), and LV SDI (both P < .001). In addition, PICP
levels correlated negatively with RV and LV isovolumic acceleration and RV
ejection fraction. Multiple linear regression analysis identified LV SDI as a
significant independent correlate of circulating levels of PICP (beta = .31, P =
.045) and PIIINP (beta = .37, P = .004). CONCLUSION: Circulating levels of PICP
and PIIINP correlate positively with LV mechanical dyssynchrony in patients after
TOF repair, implicating a possible role of increased collagen synthesis in its
pathogenesis.
PMID- 21884863
TI - Susceptibility to acute thoracic aortic dissections in patients dying outside the
hospital: an autopsy study.
AB - BACKGROUND: The objectives of this study were to identify the incidence and
predictors of death from acute thoracic aortic dissections (AoDs) and to describe
their associated clinical findings. METHODS: We analyzed the clinical and
pathologic data from 141 consecutive autopsies of individuals with sudden death
due to AoDs in Harris County, TX, from 2003 to 2010, which represented 20%
(107/534) of all deaths attributed to AoDs during this period by the Texas
Department of Health. Multivariate Cox regression was used to identify predictors
of survival adjusting for differences in demographic and clinical
characteristics. RESULTS: During the study period, 141 of 145 fatal victims of
acute thoracic dissections underwent a full autopsy and were included in the
analysis. In 84% of cases, death was caused by pericardial tamponade from
ascending AoD. The frequency of deaths showed seasonal variation with peak
incidence in the winter months. Compared with patients presenting to hospitals
with AoD, individuals dying outside the hospital were more likely to be female,
African American, younger than 50 years and to have had prior aortic disease. One
third of subjects with AoD had seen a physician within 1 week of sudden death.
The most consistent pathologic abnormality was marked ventricular hypertrophy
(257 g/m(2) on average) out of proportion to expected values for age, gender, and
body size. Hispanic patients and patients with congenital disorders, such as
bicuspid aortic valve and Marfan syndrome, were significantly more likely to die
of AoD at a younger age (38% vs 13%, P < .002). CONCLUSIONS: Our findings
identify differences between patients hospitalized for AoD versus those who died
without being hospitalized. Previously unreported vulnerabilities to sudden death
from AoD in minority populations, specifically Hispanics, were also identified
that merit follow-up in prospective studies.
PMID- 21884864
TI - Diabetes, quality of care, and in-hospital outcomes in patients hospitalized with
heart failure.
AB - BACKGROUND: Diabetes mellitus is frequently comorbid with heart failure (HF). It
is unclear if comorbid diabetes is associated with quality of care and in
hospital mortality. METHODS: We analyzed 133,971 HF admissions from 431 hospitals
between January 2005 and January 2010 comparing patients with and without
diabetes. RESULTS: There were 54,352 (41%) patients hospitalized with HF with a
history or newly diagnosed diabetes. After adjustment, patients with diabetes
were as likely as patients without diabetes to appropriately receive the
composite of angiotensin-converting enzyme inhibitor/angiotensin receptor blocker
and beta-blockers (odds ratio [OR] 0.99, 95% CI 0.94-1.04), angiotensin
converting enzyme inhibitor/angiotensin receptor blocker (OR 0.98, 95% CI 0.92
1.05), evidence-based beta-blockers (OR 1.04, 95% CI 0.98-1.1), and
hydralazine/nitrates (OR 1.09, 95% CI 0.99-1.2). However, patients with diabetes
were less likely to receive smoking cessation counseling (OR 0.89, 95% CI 0.81
0.98) and blood pressure control (OR 0.81, 95% CI 0.78-0.84) and to attain the
all-or-none composite measure (OR 0.96, 95% CI 0.93-0.99). Patients with diabetes
were more likely to receive an aldosterone antagonist for reduced left
ventricular ejection fraction (OR 1.05, 95% CI 1.00-1.11), lipid-lowering agent
(OR 1.33, 95% CI 1.26-1.41), and influenza vaccination (OR 1.05, 95% CI 1.01
1.09). Diabetes was independently associated with longer hospital stay but not
within-hospital mortality. CONCLUSIONS: With few exceptions, the application of
evidence-based care and in-hospital outcomes were similar whether or not diabetes
was present in this large contemporary cohort of patients hospitalized with HF.
PMID- 21884865
TI - Prognostic value of renin and prorenin in heart failure patients with decreased
kidney function.
AB - BACKGROUND: The renin-angiotensin-aldosterone system (RAAS) plays a key role in
the progression of heart failure (HF) and concomitant kidney dysfunction. Despite
the use of RAAS blockade, sustained activation of RAAS has been suggested to link
with adverse outcome. We aimed to investigate the prognostic value of active
plasma renin concentration (APRC) and prorenin in patients with HF treated with
RAAS-blocking agents and its relationship with kidney function parameters.
METHODS: One hundred clinically stable patients with HF, treated with RAAS
blocking agents, were studied. Renal function parameters including effective
renal plasma flow and glomerular filtration rate were measured invasively. The
combined end point consisted of all-cause mortality, heart transplantation, and
admission to hospital for HF. RESULTS: Mean age was 58 +/- 12 years, and 76% were
men. Mean left ventricular ejection fraction was 28 +/- 9, and median APRC levels
were 24.3 ng/mL per hour. Active plasma renin concentration was most strongly
associated with mean arterial pressure (r = 0.60, P < .001). In multivariate
linear regression analysis, age, mean arterial pressure, angiotensin II
concentration, and use of aldosterone antagonists were significantly related with
APRC (adjusted R(2) = 0.53). Patients in the highest quartile of APRC had a worse
prognosis. In multivariate analysis, APRC remained associated with worse
prognosis: HR 2.87 (95% CI 1.14-7.20), P = .025. Prorenin did not show prognostic
value. The prognostic value of APRC was strongest in patients with decreased
kidney function. CONCLUSIONS: Our data indicate that APRC is a strong prognostic
factor in patients with HF in the presence of RAAS inhibition, especially in
patients with kidney dysfunction.
PMID- 21884866
TI - The effect of bivalirudin on costs and outcomes of treatment of ST-segment
elevation myocardial infarction.
AB - BACKGROUND: Bivalirudin is commonly used during percutaneous coronary
intervention (PCI) rather than unfractionated heparin. The higher cost of
bivalirudin may be offset if it reduces costly bleeding complications and/or
length of stay. We sought to assess the effect of using bivalirudin on the costs
of care among patients with ST-segment elevation myocardial infarction (STEMI)
undergoing PCI. METHODS: We analyzed data from 64,872 patients treated in 1 of
278 hospitals. The effect of overall hospital use of bivalirudin on clinical and
economic outcomes was assessed using multivariable regression, based on average
hospital use of treatments. RESULTS: The use of bivalirudin among patients with
STEMI treated with PCI varied widely across hospitals, with a median of 6.9%
(interquartile range 2.3%-18.6%). After controlling for patient and hospital
characteristics, use of bivalirudin rather than heparin and a glycoprotein
IIb/IIIa inhibitor reduced bleeding (odds ratio 0.47, P < .001), length of stay (
0.47 days, P < .03), and hospital costs (-14%, P < .04). CONCLUSIONS: Use of
bivalirudin among patients with STEMI treated with PCI appears to reduce bleeding
and overall costs.
PMID- 21884867
TI - Altered health status and quality of life in South Asians with coronary artery
disease.
AB - BACKGROUND: People of South Asian (SA) ancestry are susceptible to coronary
artery disease (CAD). Although studies suggest that SA with CAD has a worse
prognosis compared with Europeans, it is unknown whether corresponding
differences in functional status and quality-of-life (QOL) measures exist.
Accordingly, we compared symptoms, function, and QOL in SA and European Canadians
with CAD using the Seattle Angina Questionnaire (SAQ). METHODS: Using the Alberta
Provincial Project for Outcomes Assessment in Coronary Heart Disease, an outcomes
registry that captures patients undergoing cardiac catheterization in Alberta,
Canada, we identified 635 SA and 18,934 European patients with angiographic CAD
from January 1995 to December 2006 who reported health status outcomes using the
SAQ at 1 year after the index catheterization. To obtain comparable clinical
variables among SA and Europeans, we used a propensity score-matching technique.
RESULTS: One-year adjusted mean (SD) scores were significantly lower in SA
compared with European Canadians for most SAQ domains: exertional capacity (75
[23] vs 80 [23], P = .011), anginal stability (77 [28] vs 77 [27], P = .627),
anginal frequency (86 [23] vs 88 [20], P < .001), treatment satisfaction (86 [19]
vs 89 [16], P < .001), and SAQ QOL (71 [24] vs 76 [21], P < .001). These results
could not be accounted for by differences in baseline QOL scores or changes in
health status from baseline to 1 year. CONCLUSION: South Asian Canadians with
established CAD have significantly worse health status outcomes at 1 year after
angiography compared with European Canadians. Further studies are warranted to
improve functional outcomes in SA with CAD.
PMID- 21884868
TI - Effects of verbal suggestion on coronary arteries: results of a randomized
controlled experimental investigation during coronary angiography.
AB - BACKGROUND: Decrease of chest pain perception under placebo conditions has been
frequently observed. The aim of this study was to examine whether placebo-induced
chest pain improvement could be the result of changes in coronary blood flow. We,
therefore, performed an experiment to investigate whether a verbal suggestion
(VS) integrated in a cardiologic procedure has an impact on diameters of coronary
arteries. METHODS: A total of 30 chest pain patients with normal diagnostic
angiograms were assigned to a VS or a control group (CG). Saline solution was
administered intracoronarily to both groups. The VS group received a standardized
VS, implying coronary vasodilation. The CG remained without VS. Coronary end
points were the changes in percentage diameter stenosis, Minimal lumen diameter
and reference diameter of the index coronary segment before and 60 seconds after
the administration of saline. Furthermore, changes in hemodynamics, psychological
distress, and chest pain perception were recorded. RESULTS: The VS led to
coronary vasoconstriction in comparison with CG (change in mean percentage
diameter stenosis +/- SD 3.2% +/- 6.3% vs -1.7% +/- 6.8%, P = .062; change in
mean minimal lumen diameter +/- SD -0.18 +/- 0.32 mm vs 0.06 +/- 0.23 mm, P =
.029, no relevant change in the reference diameter). At the same time, the degree
of chest pain perception was significantly reduced in the VS group (-0.7 +/- 1.3)
compared with the CG (0.3 +/- 1.3), P = .024. CONCLUSION: The findings of this
study suggest that a VS results in a biological alteration within coronary
arteries. Contrary to expectation, the VS led to vasoconstriction, whereas chest
pain perception decreased.
PMID- 21884869
TI - Association of health insurance status with presentation and outcomes of coronary
artery disease among nonelderly adults undergoing percutaneous coronary
intervention.
AB - OBJECTIVE: The aim of this study was to determine if insurance status is
associated with adverse outcomes in patients with coronary artery disease.
METHODS: A cohort of 13,456 patients who underwent percutaneous coronary
intervention (PCI) between January 1, 2004, and December 31, 2007, at 4 New York
State teaching hospitals was retrospectively studied. The primary outcome of
interest was in-hospital mortality from any cause. RESULTS: Of the 13,456
patients studied, 11,927 (88.6%) were insured by private carriers, 1,036 (7.7%)
patients were covered by Medicaid, and 493 (3.7%) were uninsured. Uninsured and
Medicaid patients tended to be younger and more often nonwhite and Hispanic. They
had a higher prevalence of congestive heart failure and worse left ventricular
function. Compared with privately insured patients, uninsured and Medicaid
patients had increased all-cause mortality (1.2% and 0.9%, respectively, vs 0.3%;
P < .001). For all patients, lack of insurance (OR 3.02, 95% CI 1.10-8.28) and
Medicaid (OR 4.39, 95% CI 1.93-9.99) were independently associated with
mortality. Lack of insurance (OR 5.02, 95% CI 1.58-15.93) and Medicaid (OR 4.55,
95% CI 1.19-17.45) were also independently associated with increased mortality in
patients undergoing emergent PCI. CONCLUSION: Lack of insurance and Medicaid
insurance are both independently associated with an increased risk of in-hospital
mortality after PCI for coronary artery disease.
PMID- 21884870
TI - Impact of smoking on antiplatelet effect of clopidogrel and prasugrel after
loading dose and on maintenance therapy.
AB - BACKGROUND: Pharmacodynamic studies reported an amplified on-clopidogrel platelet
inhibition in smokers potentially caused by an increased metabolic drug
activation via induction of cytochrome P450 1A2. The aims of this analysis were
to evaluate the impact of smoking on the antiplatelet effect of clopidogrel and
prasugrel and to test the potential interaction of smoking with the treatment
effect of these drugs. METHODS: A variety of platelet function results was
analyzed from 2 large cohorts of patients undergoing coronary intervention after
loading with clopidogrel 600 mg (n = 2,533 and n = 1,996), a cohort of patients
undergoing dose adaptation from 75 to 150 mg according to response to clopidogrel
(n = 117) and a crossover trial comparing clopidogrel 150 mg with prasugrel 10 mg
(n = 87). Linear regression analyses were used to test the impact of smoking on
platelet function and to identify independent predictors of on-treatment platelet
reactivity. The potential interaction of smoking with the clinical effect of
clopidogrel versus prasugrel was analyzed in the TRITON-TIMI 38 cohort (n =
13,608). RESULTS: No significant association of smoking with platelet reactivity
on clopidogrel was seen in unadjusted and adjusted analyses. The variables most
consistently associated with on-clopidogrel platelet function were age, sex,
diabetes, and body mass index. There was no significant interaction of smoking
status at presentation with the clinical efficacy of prasugrel versus clopidogrel
(P for interaction = .39). CONCLUSIONS: Smoking does not impact on platelet
reactivity in patients after loading or on different maintenance doses of
clopidogrel. The clinical treatment effect of clopidogrel versus prasugrel is not
affected by smoking status at presentation.
PMID- 21884871
TI - Colchicine prevents early postoperative pericardial and pleural effusions.
AB - BACKGROUND: No preventive pharmacologic strategies have been proven efficacious
for the prevention of postoperative effusions after cardiac surgery. Colchicine
is safe and efficacious for the prevention of pericarditis. On this basis, we
realized a substudy of the COPPS trial to assess the efficacy and safety of
colchicine for the prevention of postoperative pericardial and pleural effusions.
METHODS: The COPPS is a multicenter, double-blind, randomized trial, where 360
consecutive patients (mean age 65.7 +/- 12.3 years, 66% men), 180 in each
treatment arm, were randomized on the third postoperative day to receive placebo
or colchicine for 1 month (1.0 mg twice daily for the first day, followed by a
maintenance dose of 0.5 mg twice daily in patients >=70 kg, and halved doses for
patients <70 kg). The incidence of postoperative effusions was evaluated in each
study group. RESULTS: Despite similar baseline features, colchicine significantly
reduced the incidence of postoperative pericardial (12.8% vs 22.8%, P = .019,
relative risk reduction 43.9%, no. of patients needed to treat 10) and pleural
effusions (12.2% vs 25.6%, P = .002, relative risk reduction 52.3%, no. of
patients needed to treat 8). The rate of side effects (only gastrointestinal
intolerance) and drug withdrawal was similar in the study groups with a trend
toward an increased rate of both events for colchicine. In multivariable
analysis, female gender (hazard ratio 1.76, 95% CI 1.03-3.03, P = .040) and
pleura incision (hazard ratio 2.58, 95% CI 1.53-4.53, P < .001) were risk factors
for postoperative effusions. CONCLUSIONS: Colchicine is safe and efficacious for
the primary prevention of postoperative effusions after cardiac surgery.
PMID- 21884872
TI - Funding source and author affiliation in TASER research are strongly associated
with a conclusion of device safety.
AB - BACKGROUND: Controversy exists regarding the safety of electrical stun guns
(TASERs). Much of the research on TASERs is funded by the maker of the device
and, therefore, could be biased. We sought to determine if funding source or
author affiliation is associated with TASER research conclusions. METHODS:
MEDLINE was searched for TASER or electrical stun gun to identify relevant
studies. All human and animal studies published up to September 01, 2010, were
included. Reviews, editorials, letters, and case reports were excluded from the
analysis. Two independent reviewers blinded to this study hypothesis evaluated
each article with regard to conclusions of TASER safety. RESULTS: Fifty studies
were reviewed: 32 (64%) were human studies and 18 (36%) were animal studies.
Twenty-three (46%) studies were funded by TASER International or written by an
author affiliated with the company. Of these, 22 (96%) concluded that TASERs are
unlikely harmful (26%) or not harmful (70%). In contrast, of the 22 studies not
affiliated with TASER, 15 (55%) concluded that TASERs are unlikely harmful (29%)
or not harmful (26%). A study with any affiliation with TASER International had
nearly 18 times higher odds to conclude that the TASER is likely safe as compared
with studies without such affiliation (odds ratio 17.6, 95% CI 2.1-150.1, P =
.001). CONCLUSIONS: Studies funded by TASER and/or written by an author
affiliated with the company are substantially more likely to conclude that TASERs
are safe. Research supported by TASER International may thus be significantly
biased in favor of TASER safety.
PMID- 21884874
TI - Clinical significance of late high-degree atrioventricular block in patients with
left ventricular dysfunction after an acute myocardial infarction--a Cardiac
Arrhythmias and Risk Stratification After Acute Myocardial Infarction (CARISMA)
substudy.
AB - BACKGROUND: High-degree atrioventricular block (HAVB) is a frequent complication
in the acute stages of a myocardial infarction associated with an increased rate
of mortality. However, the incidence and clinical significance of HAVB in late
convalescent phases of an AMI is largely unknown. The aim of this study was to
assess the incidence and prognostic value of late HAVB documented by continuous
electrocardiogram (ECG) monitoring in post-AMI patients with reduced left
ventricular function. METHODS: The study included 286 patients from the CARISMA
study with AMI and left ventricular ejection fraction of 40% or less. An
insertable loop recorder was implanted 5 to 21 days after AMI for incessant
arrhythmia surveillance. Furthermore, ECG documentation was supplemented by a 24
hour Holter monitoring conducted at week 6 post-AMI. The clinical significance of
HAVB occurring more than 21 days after AMI was examined with respect to
development of major heart failure events and major ventricular tachyarrhythmic
events. RESULTS: During a median follow-up of 1.9 years (interquartile range 0.9
2.0), late HAVB was documented in 30 patients. The risk of major heart failure
events (hazard ratio [HR] 4.08 [1.38-12.09], P = .01) and major ventricular
tachyarrhythmic events (HR = 5.41 [1.88-15.58], P = .002) were significantly
increased in patients who developed late HAVB. CONCLUSION: High-degree
atrioventricular block documented by continuous ECG monitoring occurring more
than 3 weeks after AMI is a frequent complication in post-AMI patients with left
ventricular dysfunction. Furthermore, HAVB is associated with ominous prognostic
implications of both potentially lethal arrhythmias and heart failure.
PMID- 21884873
TI - Vitamin D status is not related to development of atrial fibrillation in the
community.
AB - BACKGROUND: Atrial fibrillation (AF) is common and is an important cause of
cardiovascular morbidity and mortality. Vitamin D is an emerging risk factor in
cardiovascular disease, and vitamin D status is modifiable. Thus, we sought to
investigate whether vitamin D status predisposed to the development of AF in a
community-based sample. METHODS: We evaluated the relation between vitamin D
status and development of AF in 2,930 participants of the Framingham Heart Study,
Massachusetts, USA, without prevalent AF. The mean age was 65 +/- 11 years, and
56% were women. Vitamin D status was assessed by measuring 25-hydroxyvitamin D
(25[OH]D) concentrations. Multivariable Cox regression models were adjusted for
AF risk factors and season. RESULTS: During a mean follow-up of 9.9 years, 425
participants (15%) developed AF. In Cox proportional hazards models, 25(OH)D was
not associated with development of AF, with a multivariable-adjusted hazard ratio
of 0.99 per SD increment in 25(OH)D levels (95% CI 0.88-1.10, P = .81). Also, no
relation was found in models including 25(OH)D as a dichotomous variable (above
and below the cohort-specific 20th percentile; P = .59). CONCLUSION: In our
community-based sample, vitamin D status was not related to incident AF. Our data
suggest that vitamin D deficiency does not promote the development of AF in the
ambulatory setting.
PMID- 21884875
TI - Prognostic assessment of estimated glomerular filtration rate by the new Chronic
Kidney Disease Epidemiology Collaboration equation in comparison with the
Modification of Diet in Renal Disease Study equation.
AB - BACKGROUND: Systematic reporting of estimated glomerular filtration rate (eGFR)
using the Modification of Diet in Renal Disease (MDRD) Study equation is
recommended for detection of chronic kidney disease and prediction of
cardiovascular (CV) risk. The Chronic Kidney Disease Epidemiology Collaboration
(CKD-EPI) equation is a newly developed and validated formula for eGFR that is
more accurate at normal or near-normal eGFR. We aimed to assess the incremental
prognostic accuracy of eGFR(CKD-EPI) versus eGFR(MDRD) in subjects at increased
risk for CV disease. METHODS: We performed a post hoc analysis of the VALIANT
trial that enrolled 14,527 patients with acute myocardial infarction with signs
and symptoms of heart failure and/or left ventricular systolic dysfunction. The
eGFR(MDRD) and eGFR(CKD-EPI) were computed using age, gender, race, and baseline
creatinine level. Patients were categorized according to their eGFR using each
equation. To assess the incremental prognostic value of eGFR(CKD-EPI), the net
reclassification improvement was calculated for the composite end point of CV
death, recurrent myocardial infarction, heart failure, or stroke. RESULTS: Twenty
four percent of the subjects were reclassified into a different eGFR category
using eGFR(CKD-EPI). The composite end point occurred in 33% of the subjects in
this cohort. Based on eGFR(CKD-EPI), subjects reclassified into a higher eGFR
experienced fewer events than those reclassified into a lower eGFR (21% vs 43%).
In unadjusted analyses, the composite end point risk in subjects with eGFR
between 75 and 90 mL/min per 1.73 m(2) was comparable with the referent group
(eGFR between 90 and 105) using eGFR(MDRD) (hazard ratio 1.1, 95% CI 0.9-1.2) but
was significantly higher using eGFR(CKD-EPI) (hazard ratio 1.2, 95% CI 1.1-1.4).
The net reclassification improvement for eGFR(CKD-EPI) over eGFR(MDRD) was 8.7%.
CONCLUSION: The CKD-EPI equation provides more accurate risk stratification than
the MDRD Study equation in patients at high risk for CV disease, including
identification of increased risk at mildly decreased eGFR.
PMID- 21884876
TI - The CHADS2 score predicts ischemic stroke in the absence of atrial fibrillation
among subjects with coronary heart disease: data from the Heart and Soul Study.
AB - BACKGROUND: We sought to evaluate the prognostic performance of the CHADS(2)
score for prediction of ischemic stroke/transient ischemic attack (TIA) in
subjects with coronary heart disease (CHD) without atrial fibrillation (AF).
METHODS: In 916 nonanticoagulated outpatients with stable CHD and no AF by
baseline electrocardiogram, we calculated CHADS(2) scores (congestive heart
failure, hypertension, age >=75 years, diabetes [1 point each], and prior stroke
or TIA [2 points]). The primary outcome was time to ischemic stroke or TIA over a
mean follow-up of 6.4 +/- 2.3 years. RESULTS: Over 5,821 person-years of follow
up, 40 subjects had an ischemic stroke/TIA (rate 0.69/100 person-years, 95% CI
0.50-0.94). Compared with subjects with low (0-1) CHADS(2) scores, those with
intermediate (2-3) and high (4-6) CHADS(2) scores had an increased rate of
stroke/TIA, even after adjustment for age, tobacco, antiplatelet therapy,
statins, and angiotensin inhibitors (CHADS(2) score 2-3: HR 2.4, 95% CI 1.1-5.3,
P = .03; CHADS(2) score 4-6: HR 4.0, 95% CI 1.5-10.6, P = .006). Model
discrimination (c-statistic = 0.65) was comparable with CHADS(2) model fit in
published AF-only cohorts. CONCLUSIONS: The CHADS(2) score predicts ischemic
stroke/TIA in subjects with stable CHD and no baseline AF. The event rate in non
AF subjects with high CHADS(2) scores (5-6) was comparable with published rates
in AF patients with moderate CHADS(2) scores (1-2), a population known to derive
benefit from stroke prevention therapies. These findings should inform efforts to
determine whether stroke prevention therapies or screening for silent AF may
benefit subjects with stable CHD and high CHADS(2) scores.
PMID- 21884877
TI - Pulmonary arterial capacitance in children with idiopathic pulmonary arterial
hypertension and pulmonary arterial hypertension associated with congenital heart
disease: relation to pulmonary vascular resistance, exercise capacity, and
survival.
AB - BACKGROUND: Pediatric pulmonary arterial hypertension (PAH), whether idiopathic
PAH (iPAH) or PAH associated with congenital heart disease (aPAH), carries high
morbidity and mortality. Low pulmonary arterial capacitance (PAC), defined as
right ventricular stroke volume/pulmonary artery pulse pressure, is a risk factor
for mortality in adults with PAH. However, the relation of PAC to pulmonary
vascular resistance (PVR), exercise endurance, and survival is poorly defined in
children. METHODS: Catheterization and clinical data of children with PAH (mean
pulmonary artery pressure >25 mm Hg) were reviewed. Children with pulmonary
shunts, stents, collaterals, or pulmonary venous hypertension were excluded.
Primary outcomes were 6-minute walk distance and freedom from death/lung
transplant. RESULTS: Forty-seven patients were studied. Nineteen (43%) had iPAH,
and 28 (57%) had aPAH (7.1 +/- 6.2 vs 8.4 +/- 5.5 years, P = .45). Patients with
iPAH had higher PVR indexed for body surface area (PVRi), lower indexed PAC
(PACi), lower exercise tolerance, and lower freedom from death/lung transplant
than patients with aPAH. Both higher PVRi (P < .0001) and lower PACi (P = .02)
were associated with shorter 6-minute walk distance. A PACi <0.70 mL/mm Hg per
square meter or >1.25 mL/mm Hg per square meter and a PVRi >13 Wood units * m(2)
were associated with decreased freedom from death or lung transplant. The
relationships between PVRi and PACi and survival were independent of each other
and not confounded by etiologic group. CONCLUSIONS: Low PACi and high PVRi are
independently associated with low 6-minute walk distance and survival in children
with PAH. Therefore, both should be assessed for better prognostication and
management in this high-risk population.
PMID- 21884879
TI - Piercing the impact factor and promoting the EigenfactorTM.
PMID- 21884880
TI - Should we focus on hematocrit or hemoglobin in patients with eisenmenger
syndrome?
PMID- 21884881
TI - Initial downward deflection in lead aVR in cyclic antidepressant poisoning-S or Q
Wave?
PMID- 21884882
TI - Immortal person time bias in pharmacoepidemiological studies of antihypertensive
drugs.
PMID- 21884883
TI - Adherence to medications in revascularized patients.
PMID- 21884886
TI - Mechanisms of host cell invasion by Trypanosoma cruzi.
AB - One of the more accepted concepts in our understanding of the biology of early
Trypanosoma cruzi-host cell interactions is that the mammalian-infective
trypomastigote forms of the parasite must transit the host cell lysosomal
compartment in order to establish a productive intracellular infection. The
acidic environment of the lysosome provides the appropriate conditions for
parasite-mediated disruption of the parasitophorous vacuole and release of T.
cruzi into the host cell cytosol, where replication of intracellular amastigotes
occurs. Recent findings indicate a level of redundancy in the lysosome-targeting
process where T. cruzi trypomastigotes exploit different cellular pathways to
access host cell lysosomes in non-professional phagocytic cells. In addition, the
reversible nature of the host cell penetration process was recently demonstrated
when conditions for fusion of the nascent parasite vacuole with the host
endosomal-lysosomal system were not met. Thus, the concept of parasite retention
as a critical component of the T. cruzi invasion process was introduced. Although
it is clear that host cell recognition, attachment and signalling are required to
initiate invasion, integration of this knowledge with our understanding of the
different routes of parasite entry is largely lacking. In this chapter, we focus
on current knowledge of the cellular pathways exploited by T. cruzi
trypomastigotes to invade non-professional phagocytic cells and to gain access to
the host cell lysosome compartment.
PMID- 21884885
TI - Bioactive lipids in Trypanosoma cruzi infection.
AB - Chagas disease is caused by Trypanosoma cruzi, a protozoan parasite. Chagas
disease remains a serious health problem in large parts of Mexico and Central and
South America, where it is a major cause of morbidity and mortality. This disease
is being increasingly recognized in non-endemic regions due to immigration. Heart
disease develops in 10-30% of infected individuals. It is increasingly clear that
parasite- and host-derived bioactive lipids potently modulate disease
progression. Many of the changes that occur during acute and chronic Chagas
disease can be accounted for by the effects of arachidonic acid (AA)-derived
lipids such as leukotrienes, lipoxins, H(P)ETEs, prostaglandins (PGs) and
thromboxane. During the course of infection with T. cruzi, changes in circulating
levels of AA metabolites are observed. Antagonism of PG synthesis with
cyclooxygenase (COX) inhibitors has both beneficial and adverse effects.
Treatment with COX inhibitors during acute infection may result in increased
parasite load and mortality. However, treatment instituted during chronic
infection may be beneficial with no increase in mortality and substantial
improvement with cardiac function. Recently, T. cruzi infection of mice deficient
in AA biosynthetic enzymes for various pathways has yielded more insightful data
than pharmacological inhibition and has highlighted the potential deleterious
effects of inhibitors due to "off-target" actions. Using COX-1 null mice, it was
observed that parasite biosynthesis is dependent upon host metabolism, that the
majority of TXA(2) liberated during T. cruzi infection is derived from the
parasite and that this molecule may act as a quorum sensor to control parasite
growth/differentiation. Thus, eicosanoids present during acute infection may act
as immunomodulators aiding the transition to, and maintenance of, the chronic
stage of the disease. It is also likely that the same mediators that initially
function to ensure host survival may later contribute to cardiovascular damage.
Collectively, the eicosanoids represent a new series of targets for therapy in
Chagas disease with defined potential therapeutic windows in which to apply these
agents for greatest effect. A deeper understanding of the mechanism of action of
non-steroidal anti-inflammatory drugs may provide clues to the differences
between host responses in acute and chronic T. cruzi infection.
PMID- 21884887
TI - Gap junctions and chagas disease.
AB - Gap junction channels provide intercellular communication between cells. In the
heart, these channels coordinate impulse propagation along the conduction system
and through the contractile musculature, thereby providing synchronous and
optimal cardiac output. As in other arrhythmogenic cardiac diseases, chagasic
cardiomyopathy is associated with decreased expression of the gap junction
protein connexin43 (Cx43) and its gene. Our studies of cardiac myocytes infected
with Trypanosoma cruzi have revealed that synchronous contraction is greatly
impaired and gap junction immunoreactivity is lost in infected cells. Such
changes are not seen for molecules forming tight junctions, another component of
the intercalated disc in cardiac myocytes. Transcriptomic studies of hearts from
mouse models of Chagas disease and from acutely infected cardiac myocytes in
vitro indicate profound remodelling of gene expression patterns involving heart
rhythm determinant genes, suggesting underlying mechanisms of the functional
pathology. One curious feature of the altered expression of Cx43 and its gene
expression is that it is limited in both extent and location, suggesting that the
more global deterioration in cardiac function may result in part from spread of
damage signals from more seriously compromised cells to healthier ones.
PMID- 21884889
TI - Infection-associated vasculopathy in experimental chagas disease pathogenic roles
of endothelin and kinin pathways.
AB - Acting at the interface between microcirculation and immunity, Trypanosoma cruzi
induces modifications in peripheral tissues which translate into mutual benefits
to host/parasite balance. In this chapter, we will review evidence linking
infection-associated vasculopathy to the proinflammatory activity of a small
subset of T. cruzi molecules, namely GPI-linked mucins, cysteine proteases
(cruzipain), surface glycoproteins of the trans-sialidase family and/or parasite
derived eicosanoids (thromboxane A(2)). Initial insight into pathogenesis came
from research in animal models showing that myocardial fibrosis is worsened as
result of endothelin upregulation by infected cardiovascular cells. Paralleling
these studies, the kinin system emerged as a proteolytic mechanism that links
oedematogenic inflammation to immunity. Analyses of the dynamics of inflammation
revealed that tissue culture trypomastigotes elicit interstitial oedema in
peripheral sites of infection through synergistic activation of toll-like 2
receptors (TLR2) and G-protein-coupled bradykinin receptors, respectively,
engaged by tGPI (TLR2 ligand) and kinin peptides (bradykinin B2 receptors
(BK(2)R) ligands) proteolytically generated by cruzipain. Further downstream,
kinins stimulate lymph node dendritic cells via G-protein-coupled BK(2)R, thus
converting these specialized antigen-presenting cells into T(H)1 inducers.
Tightly regulated by angiotensin-converting enzyme, the intact kinins (BK(2)R
agonists) may be processed by carboxypeptidase M/N, generating [des-Arg]-kinins,
which activates BK(1)R, a subtype of GPCR that is upregulated by cardiovascular
cells during inflammation. Ongoing studies may clarify if discrepancies between
proinflammatory phenotypes of T. cruzi strains may be ascribed, at least in part,
to variable expression of TLR2 ligands and cruzipain isoforms.
PMID- 21884888
TI - The vasculature in chagas disease.
AB - The cardiovascular manifestations of Chagas disease are well known. However, the
contribution of the vasculature and specifically the microvasculature has
received little attention. This chapter reviews the evidence supporting the
notion that alterations in the microvasculature especially in the heart
contribute to the pathogenesis of chagasic cardiomyopathy. These data may also be
important in understanding the contributions of the microvasculature in the
aetiologies of other cardiomyopathies. The role of endothelin-1 and of
thromboxane A(2) vascular spasm and platelet aggregation is also discussed.
Further, these observations may provide target(s) for intervention.
PMID- 21884890
TI - Autoimmunity.
AB - The scarcity of Trypanosoma cruzi in inflammatory lesions of chronic Chagas
disease led early investigators to suggest that tissue damage had an autoimmune
nature. In spite of parasite persistence in chronic Chagas disease, several
reports indicate that inflammatory tissue damage may not be correlated to the
local presence of T. cruzi. A significant number of reports have described
autoantibodies and self-reactive T cells, often cross-reactive with T. cruzi
antigens, both in patients and in animal models. Evidence for a direct
pathogenetic role of autoimmunity was suggested by the development of lesions
after immunization with T. cruzi antigens or passive transfer of lymphocytes from
infected animals, and the amelioration of chronic myocarditis in animals made
tolerant to myocardial antigens. Autoimmune and T. cruzi-specific innate or
adaptative responses are not incompatible or mutually exclusive, and it is likely
that a combination of both is involved in the pathogenesis of chronic Chagas
disease cardiomyopathy. The association between persistent infection and
autoimmune diseases-such as multiple sclerosis or diabetes mellitus-suggests that
post-infectious autoimmunity may be a frequent finding. Here, we critically
review evidence for autoimmune phenomena and their possible pathogenetic role in
human Chagas disease and animal models, with a focus on chronic Chagas disease
cardiomyopathy.
PMID- 21884891
TI - ROS signalling of inflammatory cytokines during Trypanosoma cruzi infection.
AB - Inflammation is a host defence activated by exogenous (e.g. pathogen-derived,
pollutants) or endogenous (e.g. reactive oxygen species-ROS) danger signals.
Mostly, endogenous molecules (or their derivatives) have well-defined
intracellular function but become danger signal when released or exposed
following stress or injury. In this review, we discuss the potential role of ROS
in chronic evolution of inflammatory cardiovascular diseases, using our
experiences working on chagasic cardiomyopathy as a focus-point.
PMID- 21884892
TI - Inflammation and Chagas disease some mechanisms and relevance.
AB - Chagas cardiomyopathy is caused by infection with flagellated protozoan
Trypanosoma cruzi. In patients, there is a fine balance between control of the
replication and the intensity of the inflammatory response so that the host is
unable to eliminate the parasite resulting in the parasite persisting as a
lifelong infection in most individuals. However, the parasite persists in such a
way that it causes no or little disease. This chapter reviews our understanding
of many of the mediators of inflammation and cells which are involved in the
inflammatory response of mammals to T. cruzi infection. Particular emphasis is
given to the role of chemokines, endothelin and lipid mediators. Understanding
the full range of mediators and cells present and how they interact with each
other in Chagas disease may shed light on how we modulate disease pathogenesis
and define new approaches to treat or prevent the disease.
PMID- 21884893
TI - Neurodegeneration and neuroregeneration in Chagas disease.
AB - Autonomic dysfunction plays a significant role in the development of chronic
Chagas disease (CD). Destruction of cardiac parasympathetic ganglia can underlie
arrhythmia and heart failure, while lesions of enteric neurons in the intestinal
plexuses are a direct cause of aperistalsis and megasyndromes. Neuropathology is
generated by acute infection when the parasite, though not directly damaging to
neuronal cells, elicits immune reactions that can become cytotoxic, inducing
oxidative stress and neurodegeneration. Anti-neuronal autoimmunity may further
contribute to neuropathology. Much less clear is the mechanism of subsequent
neuronal regeneration in patients that survive acute infection. Morphological and
functional recovery of the peripheral neurons in these patients correlates with
the absence of CD clinical symptoms, while persistent neuronal deficiency is
observed for the symptomatic group. The discovery that Trypanosoma cruzi trans
sialidase can moonlight as a parasite-derived neurotrophic factor (PDNF) suggests
that the parasite might influence the balance between neuronal degeneration and
regeneration. PDNF functionally mimics mammalian neurotrophic factors in that it
binds and activates neurotrophin Trk tyrosine kinase receptors, a mechanism which
prevents neurodegeneration. PDNF binding to Trk receptors triggers PI3K/Akt/GSK
3beta and MAPK/Erk/CREB signalling cascades which in neurons translates into
resistance to oxidative and nutritional stress, and inhibition of apoptosis,
whereas in the cytoplasm of infected cells, PDNF represents a substrate-activator
of the host Akt kinase, enhancing host-cell survival until completion of the
intracellular cycle of the parasite. Such dual activity of PDNF provides
sustained activation of survival mechanisms which, while prolonging parasite
persistence in host tissues, can underlie distinct outcomes of CD.
PMID- 21884895
TI - Editorial comment.
PMID- 21884897
TI - Fertility concerns for the aging male.
AB - Because of many societal factors, the number of men over the age of 35 desiring
to conceive children has increased over the past 40 years. The purpose of this
review is to identify the mechanisms of aging on male fertility, to evaluate the
genetic risk for the offspring, and to provide counseling for the older male.
Most evidence suggests trends that increased paternal age has negative effects on
fertility and some genetic risk for offspring, but the age at which the risk
develops and the magnitude of risk are poorly defined.
PMID- 21884894
TI - Adipose tissue, diabetes and Chagas disease.
AB - Adipose tissue is the largest endocrine organ in the body and is composed
primarily of adipocytes (fat cells) but also contains fibroblasts, endothelial
cells, smooth muscle cells, macrophages and lymphocytes. Adipose tissue and the
adipocyte are important in the regulation of energy metabolism and of the immune
response. Adipocytes also synthesize adipokines such as adiponectin which is
important in the regulation of insulin sensitivity and inflammation. Infection of
mice with Trypanosoma cruzi results in an upregulation of inflammation in adipose
tissue that begins during the acute phase of infection and persists into the
chronic phase. The adipocyte is both a target of infection and a reservoir for
the parasite during the chronic phase from which recrudescence of the infection
may occur during periods of immunosuppression.
PMID- 21884898
TI - Editorial comment.
PMID- 21884900
TI - Editorial comment.
PMID- 21884902
TI - Editorial comment.
PMID- 21884904
TI - Editorial comment.
PMID- 21884906
TI - Editorial comment.
PMID- 21884908
TI - Editorial comment.
PMID- 21884909
TI - Editorial comment.
PMID- 21884912
TI - Editorial comment.
PMID- 21884913
TI - Editorial comment.
PMID- 21884914
TI - Editorial comment.
PMID- 21884917
TI - Editorial comment.
PMID- 21884918
TI - Editorial comment.
PMID- 21884920
TI - Editorial comment.
PMID- 21884923
TI - Re: Eggert-Kruse et al.: Are chlamydial lipopolysaccharide-directed antibodies in
seminal plasma or serum clinically significant during investigation of male
infertility? (Urology 2011;77:1101-1106).
PMID- 21884924
TI - Re: Miocinovic et al.: Avoiding androgen deprivation therapy in men with high
risk prostate cancer: the role of radical prostatectomy as initial treatment.
(Urology 2011;77:946-950).
PMID- 21884926
TI - A new FOXO pathway required for leukemogenesis.
AB - In cancer, the FOXO family of transcription factors functions as tumor
suppressors and is directly inactivated by oncogenic signaling through the
PI3K/AKT pathway. Now, Skykes et al. (2011) identify a paradoxical requirement
for FOXOs in the maintenance of leukemia-initiating cells.
PMID- 21884927
TI - Signaling through chromatin: setting the scene at kinetochores.
AB - Histone H3 lysine 4 trimethylation needed for transcription is mediated by the
Set1 methyltransferase and requires prior monoubiquitination of histone H2B. In
this issue, Latham et al. (2011) report that dimethylation of the yeast
kinetochore protein Dam1 by Set1 similarly requires H2B monoubiquitination. Thus,
H2B ubiquitination signals for methylation beyond chromatin.
PMID- 21884928
TI - When T cells run out of breath: the HIF-1alpha story.
AB - In this issue, Dang et al. (2011) report a role for the hypoxia-induced
transcription factor HIF-1alpha in regulating the differentiation of T cell
subsets that impact both inflammation and its resolution. Their findings
illustrate how metabolic signals can alter the balance between inflammation and
tolerance and present a potential therapeutic opportunity for the treatment of
diseases associated with chronic inflammation.
PMID- 21884929
TI - Basal synaptic transmission: astrocytes rule!
AB - In this issue, Panatier et al. (2011) show that astrocytes detect synaptic
activity induced by single action potentials and upregulate basal synaptic
transmission through calcium-dependent mechanisms and purinergic signaling. These
results demonstrate the relevance of astrocyte calcium in neurophysiology and
confirm that astrocytes are actively involved in synaptic function.
PMID- 21884930
TI - Niche crosstalk: intercellular signals at the hair follicle.
AB - A recent series of papers, including Festa et al. (2011) in this issue, has
revealed unexpected interdependent relationships among cell populations residing
in and around the hair follicle. These interactions between different lineages of
stem cells are crucial for hair follicle growth and cycling and point to a
complex crosstalk in stem cell niches.
PMID- 21884931
TI - Autophagy and aging.
AB - Genetic inhibition of autophagy induces degenerative changes in mammalian tissues
that resemble those associated with aging, and normal and pathological aging are
often associated with a reduced autophagic potential. Pharmacological or genetic
manipulations that increase life span in model organisms often stimulate
autophagy, and its inhibition compromises the longevity-promoting effects of
caloric restriction, Sirtuin 1 activation, inhibition of insulin/insulin growth
factor signaling, or the administration of rapamycin, resveratrol, or spermidine.
Here, we discuss the probable cause and effect relationship between perturbed
autophagy and aging, as well as possible molecular mechanisms that may mediate
the anti-aging effects of autophagy.
PMID- 21884932
TI - AKT/FOXO signaling enforces reversible differentiation blockade in myeloid
leukemias.
AB - AKT activation is associated with many malignancies, where AKT acts, in part, by
inhibiting FOXO tumor suppressors. We show a converse role for AKT/FOXOs in acute
myeloid leukemia (AML). Rather than decreased FOXO activity, we observed that
FOXOs are active in ~40% of AML patient samples regardless of genetic subtype. We
also observe this activity in human MLL-AF9 leukemia allele-induced AML in mice,
where either activation of Akt or compound deletion of FoxO1/3/4 reduced leukemic
cell growth, with the latter markedly diminishing leukemia-initiating cell (LIC)
function in vivo and improving animal survival. FOXO inhibition resulted in
myeloid maturation and subsequent AML cell death. FOXO activation inversely
correlated with JNK/c-JUN signaling, and leukemic cells resistant to FOXO
inhibition responded to JNK inhibition. These data reveal a molecular role for
AKT/FOXO and JNK/c-JUN in maintaining a differentiation blockade that can be
targeted to inhibit leukemias with a range of genetic lesions.
PMID- 21884933
TI - Chromatin signaling to kinetochores: transregulation of Dam1 methylation by
histone H2B ubiquitination.
AB - Histone H3K4 trimethylation by the Set1/MLL family of proteins provides a
hallmark for transcriptional activity from yeast to humans. In S. cerevisiae,
H3K4 methylation is mediated by the Set1-containing COMPASS complex and is
regulated in trans by prior ubiquitination of histone H2BK123. All of the events
that regulate H2BK123ub and H3K4me are thought to occur at gene promoters. Here
we report that this pathway is indispensable for methylation of the only other
known substrate of Set1, K233 in Dam1, at kinetochores. Deletion of RAD6, BRE1,
or Paf1 complex members abolishes Dam1 methylation, as does mutation of H2BK123.
Our results demonstrate that Set1-mediated methylation is regulated by a general
pathway regardless of substrate that is composed of transcriptional regulatory
factors functioning independently of transcription. Moreover, our data identify a
node of regulatory crosstalk in trans between a histone modification and
modification on a nonhistone protein, demonstrating that changing chromatin
states can signal functional changes in other essential cellular proteins and
machineries.
PMID- 21884934
TI - Control of embryonic stem cell lineage commitment by core promoter factor, TAF3.
AB - Deciphering the molecular basis of pluripotency is fundamental to our
understanding of development and embryonic stem cell function. Here, we report
that TAF3, a TBP-associated core promoter factor, is highly enriched in ES cells.
In this context, TAF3 is required for endoderm lineage differentiation and
prevents premature specification of neuroectoderm and mesoderm. In addition to
its role in the core promoter recognition complex TFIID, genome-wide binding
studies reveal that TAF3 localizes to a subset of chromosomal regions bound by
CTCF/cohesin that are selectively associated with genes upregulated by TAF3.
Notably, CTCF directly recruits TAF3 to promoter distal sites and TAF3-dependent
DNA looping is observed between the promoter distal sites and core promoters
occupied by TAF3/CTCF/cohesin. Together, our findings support a new role of TAF3
in mediating long-range chromatin regulatory interactions that safeguard the
finely-balanced transcriptional programs underlying pluripotency.
PMID- 21884935
TI - A mechanism for tunable autoinhibition in the structure of a human
Ca2+/calmodulin- dependent kinase II holoenzyme.
AB - Calcium/calmodulin-dependent kinase II (CaMKII) forms a highly conserved
dodecameric assembly that is sensitive to the frequency of calcium pulse trains.
Neither the structure of the dodecameric assembly nor how it regulates CaMKII are
known. We present the crystal structure of an autoinhibited full-length human
CaMKII holoenzyme, revealing an unexpected compact arrangement of kinase domains
docked against a central hub, with the calmodulin-binding sites completely
inaccessible. We show that this compact docking is important for the
autoinhibition of the kinase domains and for setting the calcium response of the
holoenzyme. Comparison of CaMKII isoforms, which differ in the length of the
linker between the kinase domain and the hub, demonstrates that these
interactions can be strengthened or weakened by changes in linker length. This
equilibrium between autoinhibited states provides a simple mechanism for tuning
the calcium response without changes in either the hub or the kinase domains.
PMID- 21884936
TI - Rescue of DeltaF508-CFTR trafficking via a GRASP-dependent unconventional
secretion pathway.
AB - The most prevalent disease-causing mutation of CFTR is the deletion of Phe508
(DeltaF508), which leads to defects in conventional Golgi-mediated exocytosis and
cell surface expression. We report that DeltaF508-CFTR surface expression can be
rescued in vitro and in vivo by directing it to an unconventional GRASP-dependent
secretion pathway. An integrated molecular and physiological analysis indicates
that mechanisms associated with ER stress induce cell surface trafficking of the
ER core-glycosylated wild-type and DeltaF508-CFTR via the GRASP-dependent
pathway. Phosphorylation of a specific site of GRASP and the PDZ-based
interaction between GRASP and CFTR are critical for this unconventional surface
trafficking. Remarkably, transgenic expression of GRASP in DeltaF508-CFTR mice
restores CFTR function and rescues mouse survival without apparent toxicity.
These findings provide insight into how unconventional protein secretion is
activated, and offer a potential therapeutic strategy for the treatment of cystic
fibrosis and perhaps diseases stemming from other misfolded proteins.
PMID- 21884937
TI - Adipocyte lineage cells contribute to the skin stem cell niche to drive hair
cycling.
AB - In mammalian skin, multiple types of resident cells are required to create a
functional tissue and support tissue homeostasis and regeneration. The cells that
compose the epithelial stem cell niche for skin homeostasis and regeneration are
not well defined. Here, we identify adipose precursor cells within the skin and
demonstrate that their dynamic regeneration parallels the activation of skin stem
cells. Functional analysis of adipocyte lineage cells in mice with defects in
adipogenesis and in transplantation experiments revealed that intradermal
adipocyte lineage cells are necessary and sufficient to drive follicular stem
cell activation. Furthermore, we implicate PDGF expression by immature adipocyte
cells in the regulation of follicular stem cell activity. These data highlight
adipogenic cells as skin niche cells that positively regulate skin stem cell
activity, and suggest that adipocyte lineage cells may alter epithelial stem cell
function clinically.
PMID- 21884939
TI - Photoconversion and nuclear trafficking cycles determine phytochrome A's response
profile to far-red light.
AB - Phytochrome A (phyA) is the only photoreceptor in plants, initiating responses in
far-red light and, as such, essential for survival in canopy shade. Although the
absorption and the ratio of active versus total phyA are maximal in red light,
far-red light is the most efficient trigger of phyA-dependent responses. Using a
joint experimental-theoretical approach, we unravel the mechanism underlying this
shift of the phyA action peak from red to far-red light and show that it relies
on specific molecular interactions rather than on intrinsic changes to phyA's
spectral properties. According to our model, the dissociation rate of the phyA
FHY1/FHL nuclear import complex is a principle determinant of the phyA action
peak. The findings suggest how higher plants acquired the ability to sense far
red light from an ancestral photoreceptor tuned to respond to red light.
PMID- 21884938
TI - Peptidoglycan remodeling and conversion of an inner membrane into an outer
membrane during sporulation.
AB - Two hallmarks of the Firmicute phylum, which includes the Bacilli and Clostridia
classes, are their ability to form endospores and their "Gram-positive" single
membraned, thick-cell-wall envelope structure. Acetonema longum is part of a
lesser-known family (the Veillonellaceae) of Clostridia that form endospores but
that are surprisingly "Gram negative," possessing both an inner and outer
membrane and a thin cell wall. Here, we present macromolecular resolution, 3D
electron cryotomographic images of vegetative, sporulating, and germinating A.
longum cells showing that during the sporulation process, the inner membrane of
the mother cell is inverted and transformed to become the outer membrane of the
germinating cell. Peptidoglycan persists throughout, leading to a revised,
"continuous" model of its role in the process. Coupled with genomic analyses,
these results point to sporulation as a mechanism by which the bacterial outer
membrane may have arisen and A. longum as a potential "missing link" between
single- and double-membraned bacteria.
PMID- 21884941
TI - SnapShot: Histone readers.
PMID- 21884942
TI - Radiation-induced heart morbidity after adjuvant radiotherapy of early breast
cancer - Is it still an issue?
PMID- 21884940
TI - Global proteomic assessment of the classical protein-tyrosine phosphatome and
"Redoxome".
AB - Protein-tyrosine phosphatases (PTPs), along with protein-tyrosine kinases, play
key roles in cellular signaling. All Class I PTPs contain an essential active
site cysteinyl residue, which executes a nucleophilic attack on substrate
phosphotyrosyl residues. The high reactivity of the catalytic cysteine also
predisposes PTPs to oxidation by reactive oxygen species, such as H(2)O(2).
Reversible PTP oxidation is emerging as an important cellular regulatory
mechanism and might contribute to diseases such as cancer. We exploited these
unique features of PTP enzymology to develop proteomic methods, broadly
applicable to cell and tissue samples, that enable the comprehensive
identification and quantification of expressed classical PTPs (PTPome) and the
oxidized subset of the PTPome (oxPTPome). We find that mouse and human cells and
tissues, including cancer cells, display distinctive PTPomes and oxPTPomes,
revealing additional levels of complexity in the regulation of protein-tyrosine
phosphorylation in normal and malignant cells.
PMID- 21884943
TI - Percutaneous edge-to-edge mitral valve repair: assessment of immediate post
procedural treatment effect using color 3-dimensional transesophageal
echocardiography and cardiac magnetic resonance imaging.
PMID- 21884944
TI - 10 years of intracoronary and intramyocardial bone marrow stem cell therapy of
the heart: from the methodological origin to clinical practice.
AB - Intracoronary and intramyocardial stem cell therapy aim at the repair of
compromised myocardium thereby--as a causal treatment--preventing ventricular
remodeling and improving overall performance. Since the first-in-human use of
bone marrow stem cells (BMCs) after acute myocardial infarction in 2001, a large
number of clinical studies have demonstrated their clinical benefit: BMC therapy
can be performed with usual cardiac catheterization techniques in the conscious
patient as well as also easily during cardiosurgical interventions. New York
Heart Association severity degree of patients as well as physical activity
improve in addition to ("on top" of) all other therapeutic regimens. Stem cell
therapy also represents an ultimate approach in advanced cardiac failure. For
acute myocardial infarction and chronic ischemia, long-term mortality after 1 and
5 years, respectively, is significantly reduced. A few studies also indicate
beneficial effects for chronic dilated cardiomyopathy. The clinical use of
autologous BMC therapy implies no ethical problems, when unmodified primary cells
are used. With the use of primary BMCs, there are no major stem cell-related side
effects, especially no cardiac arrhythmias and inflammation. Various mechanisms
of the stem cell action in the human heart are discussed, for example, cell
transdifferentiation, cell fusion, activation of intrinsic cardiac stem cells,
and cytokine-mediated effects. New techniques allow point-of-care cell
preparations, for example, within the cardiac intervention or operation theater,
thereby providing short preparation time, facilitated logistics of cell
transport, and reasonable cost effectiveness of the whole procedure. The 3 main
indications are acute infarction, chronic ischemic heart failure, and dilated
cardiomyopathy. Future studies are desirable to further elucidate the mechanisms
of stem cell action and to extend the current use of intracoronary and/or
intramyocardial stem cell therapy by larger and presumably multicenter and
randomized trials.
PMID- 21884945
TI - First experience with drug-eluting balloons in infrapopliteal arteries:
restenosis rate and clinical outcome.
AB - OBJECTIVES: The purpose of this study was to investigate the efficacy of drug
eluting balloons (DEBs) in the treatment of long infrapopliteal lesions with
regard to the short-term restenosis rate and midterm clinical result. BACKGROUND:
Restenosis rates of long-segment tibial artery disease are very high. Recently, a
restenosis rate of 69% at 3 months after standard balloon angioplasty was
demonstrated. METHODS: Infrapopliteal angioplasty was performed with a paclitaxel
eluting balloon (In.Pact Amphirion, Medtronic, Minneapolis, Minnesota). Clinical
and angiographic follow-up was performed at 3 months to detect binary restenosis,
and further clinical assessment was performed over a 12-month period thereafter.
RESULTS: In 104 patients, 109 limbs were treated for critical limb ischemia
(82.6%) or severe claudication (17.4%). Mean lesion length of the arteries
treated was 176 +/- 88 mm. Angiography studied in 84 treated arteries at 3 months
showed a restenosis in 27.4% (19.1% had restenosis of more than 50%, and 8.3%
were totally occluded) and usually occurred focally. Only in 9.5% of all
angiographically followed up arteries was the entire treated segment restenosed
or reoccluded. During a follow-up period of 378 +/- 65 days, 1 patient was lost
and 17 died. Of the 91 limbs remaining in the analysis, clinical improvement was
present in 83 (91.2%). Complete wound healing occurred in 74.2%, whereas major
amputation occurred in 4 patients, resulting in limb salvage of 95.6% for
patients with critical limb ischemia. CONCLUSIONS: The early restenosis rate of
long-segment infrapopliteal disease is significantly lower after treatment with
DEBs compared with historical data using uncoated balloons. Randomized trials are
required to show whether this difference will lead to improvement in clinical
outcomes.
PMID- 21884946
TI - The breakthrough balloon for critical limb ischemia?
PMID- 21884947
TI - Clinical and demographic predictors of outcomes in recent onset dilated
cardiomyopathy: results of the IMAC (Intervention in Myocarditis and Acute
Cardiomyopathy)-2 study.
AB - OBJECTIVES: We sought to determine clinical and demographic predictors of
recovery of left ventricular function for subjects with recent onset
cardiomyopathy (ROCM). BACKGROUND: Although ROCM is a frequent reason for
consultation and transplantation referral, its prognosis and natural history on
contemporary therapy are unknown. METHODS: In the multicenter IMAC (Intervention
in Myocarditis and Acute Cardiomyopathy)-2 study, subjects with a left
ventricular ejection fraction (LVEF) of <=0.40, fewer than 6 months of symptom
duration, and an evaluation consistent with idiopathic dilated cardiomyopathy or
myocarditis were enrolled. LVEF was reassessed at 6 months, and subjects were
followed up for 4 years. LVEF and event-free survival were compared by race, sex,
and clinical phenotype. RESULTS: The cohort of 373 persons was 38% female and 21%
black, with a mean age of 45 +/- 14 years. At entry, 91% were receiving
angiotensin-converting enzyme inhibitors or angiotensin receptor blockers and 82%
were receiving beta-blockers, which increased to 92% and 94% at 6 months. LVEF
was 0.24 +/- 0.08 at entry and 0.40 +/- 0.12 at 6 months (mean increase: 17 +/-
13 ejection fraction units). Transplant-free survival at 1, 2, and 4 years was
94%, 92%, and 88%, respectively; survival free of heart failure hospitalization
was 88%, 82%, and 78%, respectively. In analyses adjusted for sex, baseline LVEF,
and blood pressure, LVEF at 6 months was significantly lower in blacks than in
nonblacks (p = 0.02). Left ventricular end-diastolic diameter at presentation was
the strongest predictor of LVEF at 6 months (p < 0.0001). CONCLUSIONS: Outcomes
in ROCM are favorable but differ by race. Left ventricular end-diastolic diameter
by transthoracic echo at presentation was most predictive of subsequent
myocardial recovery. (Genetic Modulation of Left Ventricular Recovery in Recent
Onset Cardiomyopathy; NCT00575211).
PMID- 21884948
TI - Lipolytic effects of B-type natriuretic peptide 1-32 in adipose tissue of heart
failure patients compared with healthy controls.
AB - OBJECTIVES: Our goal was to examine the role of B-type natriuretic peptide (BNP)
in lipolysis regulation in heart failure (HF) patients. BACKGROUND: Enhanced
adipose tissue lipolysis can contribute to myocardial lipid overload, insulin
resistance, and cachexia in advanced HF. Natriuretic peptides were recently
recognized to stimulate lipolysis in healthy subjects. METHODS: Ten nondiabetic
HF patients (New York Heart Association functional class III, 50% nonischemic
etiology) and 13 healthy subjects (control subjects) of similar age, sex, and
body composition underwent a microdialysis study of subcutaneous abdominal
adipose tissue. Four microdialysis probes were simultaneously perfused with 0.1
MUM BNP(1-32,) 10 MUM BNP(1-32), 10 MUM norepinephrine (NE) or Ringer's solution.
Outgoing dialysate glycerol concentration (DGC) was measured as an index of
lipolysis. RESULTS: Spontaneous lipolysis was higher in HF patients compared with
control subjects (DGC: 189 +/- 37 MUmol/l vs. 152 +/- 35 MUmol/l, p < 0.01).
Response to NE was similar (p = 0.35) in HF patients and control subjects (DGC
increase of 1.7 +/- 0.2-fold vs. 1.7 +/- 0.4-fold). BNP(1-32) 10 MUM markedly
increased lipolysis in both HF patients and control subjects (DGC increase of 2.8
+/- 0.5-fold vs. 3.2 +/- 0.3-fold), whereas the response to 0.1 MUM BNP(1-32) was
more pronounced in HF patients (p = 0.02). In HF patients, spontaneous lipolysis
positively correlated with insulin resistance and the response to BNP(1-32)
negatively correlated with adiposity. CONCLUSIONS: BNP(1-32) exerts strong
lipolytic effects in humans. Despite marked elevation of plasma immunoreactive
BNP, the responsiveness of adipose tissue to BNP(1-32) is not attenuated in HF,
possibly reflecting a deficiency of endogenous bioactive BNP. Lipolytic effects
of BNP can contribute to excessive fatty acid mobilization in advanced HF.
PMID- 21884949
TI - Metabolic crosstalk in heart failure new roles for B-type natriuretic peptide.
PMID- 21884950
TI - Invasive acute hemodynamic response to guide left ventricular lead implantation
predicts chronic remodeling in patients undergoing cardiac resynchronization
therapy.
AB - OBJECTIVES: We evaluated the relationship between acute hemodynamic response
(AHR) and reverse remodeling (RR) in cardiac resynchronization therapy (CRT).
BACKGROUND: CRT reduces mortality and morbidity in heart failure patients;
however, up to 30% of patients do not derive symptomatic benefit. Higher
proportions do not remodel. Multicenter trials have shown echocardiographic
techniques are poor at improving response rates. We hypothesized the degree of
AHR at implant can predict which patients remodel. METHODS: Thirty-three patients
undergoing CRT (21 dilated and 12 ischemic cardiomyopathy) were studied. Left
ventricular (LV) volumes were assessed before and after CRT. The AHR (maximum
rate of left ventricular pressure [LV-dP/dt(max)]) was assessed at implant with a
pressure wire in the LV cavity. Largest percentage rise in LV-dP/dt(max) from
baseline (atrial antibradycardia pacing or right ventricular pacing with atrial
fibrillation) to dual-chamber pacing (DDD)-LV was used to determine optimal
coronary sinus LV lead position. Reverse remodeling was defined as reduction in
LV end systolic volume >=15% at 6 months. RESULTS: The LV-dP/dt(max) increased
significantly from baseline (801 +/- 194 mm Hg/s to 924 +/- 203 mm Hg/s, p <
0.001) with DDD-LV pacing for the optimal LV lead position. The LV end systolic
volume decreased from 186 +/- 68 ml to 157 +/- 68 ml (p < 0.001). Eighteen (56%)
patients exhibited RR. There was a significant relationship between percentage
rise in LV-dP/dt(max) and RR for DDD-LV pacing (p < 0.001). A similar
relationship for AHR and RR in dilated cardiomyopathy and ischemic cardiomyopathy
(p = 0.01 and p = 0.006) was seen. CONCLUSIONS: Acute hemodynamic response to LV
pacing is useful for predicting which patients are likely to remodel in response
to CRT both for dilated cardiomyopathy and ischemic cardiomyopathy. Using AHR has
the potential to guide LV lead positioning and improve response rates.
PMID- 21884951
TI - Guiding left ventricular lead positioning and refining ability to predict
response and nonresponse to cardiac resynchronization therapy using dP/dt max:
killing 3 birds with 1 high-fidelity wire?
PMID- 21884952
TI - Strain-encoded cardiac magnetic resonance during high-dose dobutamine stress
testing for the estimation of cardiac outcomes: comparison to clinical parameters
and conventional wall motion readings.
AB - OBJECTIVES: The purpose of this study was to determine the prognostic value of
strain-encoded magnetic resonance imaging (SENC) during high-dose dobutamine
stress cardiac magnetic resonance imaging (DS-MRI) compared with conventional
wall motion readings. BACKGROUND: Detection of inducible ischemia by DS-MRI on
the basis of assessing cine images is subjective and depends on the experience of
the readers, which may influence not only the diagnostic classification but also
the risk stratification of patients with ischemic heart disease. METHODS: In all,
320 consecutive patients with suspected or known coronary artery disease
underwent DS-MRI, using a standard protocol in a 1.5T MR scanner. Wall motion
abnormalities (WMA) and myocardial strain were assessed at baseline and during
stress, and outcome data including cardiac deaths, nonfatal myocardial
infarctions ("hard events"), and revascularization procedures performed >90 days
after the MR scans were collected. RESULTS: Thirty-five hard events occurred
during a 28 +/- 9 month follow-up period, including 10 cardiac deaths and 25
nonfatal myocardial infarctions, and 32 patients underwent coronary
revascularization. Using a series of Cox proportional-hazards models, both
resting and inducible WMA offered incremental information for the assessment of
hard cardiac events compared to clinical variables (chi-square = 13.0 for
clinical vs. chi-square = 26.1 by adding resting WMA, p < 0.001, vs. chi-square =
39.3 by adding inducible WMA, p < 0.001). Adding visual SENC or quantitative
strain rate reserve to this model further improved the prediction of outcome (chi
square = 50.7 vs. chi-square = 52.5, p < 0.001 for both). In a subset of patients
(n = 175) who underwent coronary angiography, SENC yielded significantly higher
sensitivity for coronary artery disease detection (96% vs. 84%, p < 0.02),
whereas specificity and accuracy were not significantly different (88% vs. 94%
and 93% vs. 88%, p = NS for both). CONCLUSIONS: Strain-encoded MRI aids the
accurate identification of patients at high risk for future cardiac events and
revascularization procedures, beyond the assessment of conventional atherogenic
risk factors and resting or inducible WMA on cine images. (Strain-Encoded Cardiac
Magnetic Resonance Imaging as an Adjunct for Dobutamine Stress Testing;
NCT00758654).
PMID- 21884953
TI - Straining for perfection.
PMID- 21884954
TI - Effects of beta-adrenergic antagonists in patients with chronic kidney disease: a
systematic review and meta-analysis.
AB - OBJECTIVES: The aim of this systematic review was to study the benefits and risks
of beta-adrenergic antagonists (beta-blockers) in patients with chronic kidney
disease (CKD). BACKGROUND: There is an excess burden of cardiovascular disease
and death in people with CKD. Despite their potential benefits, the effects of
beta-blockers in this population are uncertain. METHODS: CENTRAL (Cochrane
Central Register of Controlled Trials), Medline (Medical Literature Analysis and
Retrieval System Online), and Embase (Excerpta Medical Database) were searched
for randomized controlled trials with at least 3 months of follow-up in patients
with CKD stages 3 to 5 that reported mortality outcomes. Summary estimates of
effect were obtained using a random effects model. RESULTS: Eight trials met
criteria for review: 6 placebo-controlled trials involving 5,972 participants
with chronic systolic heart failure and 2 angiotensin-converting enzyme inhibitor
comparator trials involving 977 participants not known to have heart failure. In
CKD patients with heart failure, compared with placebo, beta-blocker treatment
reduced the risk of all-cause (risk ratio [RR]: 0.72, 95% confidence interval
[CI]: 0.64 to 0.80) and cardiovascular mortality (RR: 0.66, 95% CI: 0.49 to
0.89), but increased the risk of bradycardia (RR: 4.92, 95% CI: 3.20 to 7.55) and
hypotension (RR: 5.08, 95% CI: 3.48 to 7.41). Quantitative meta-analysis was not
performed for the non-heart failure studies due to substantial clinical diversity
or lack of informative data. CONCLUSIONS: Treatment with beta-blockers improved
all-cause mortality in patients with CKD and chronic systolic heart failure.
There is insufficient evidence to conclude whether people with CKD who are not
known to have heart failure derive benefit from beta-blockers.
PMID- 21884955
TI - Chronic kidney disease and cardiovascular therapeutics: time to close the
evidence gaps.
PMID- 21884956
TI - Decreasing sleep-time blood pressure determined by ambulatory monitoring reduces
cardiovascular risk.
AB - OBJECTIVES: We investigated whether reduced cardiovascular risk is more related
to the progressive decrease of asleep or awake blood pressure. BACKGROUND:
Independent studies have concluded that elevated sleep-time blood pressure is a
better predictor of cardiovascular risk than awake or 24-h blood pressure means.
However, the impact on cardiovascular risk of changes in these ambulatory blood
pressure characteristics has not been properly investigated. METHODS: We
prospectively studied 3,344 subjects (1,718 men and 1,626 women), 52.6 +/- 14.5
years of age, during a median follow-up of 5.6 years. Those with hypertension at
baseline were randomized to ingest all their prescribed hypertension medications
upon awakening or >=1 of them at bedtime. Blood pressure was measured for 48 h at
baseline and again annually or more frequently (quarterly) if treatment
adjustment was required. RESULTS: With data collected at baseline, when asleep
blood pressure was adjusted by awake mean, only the former was a significant
predictor of outcome in a Cox proportional hazards model also adjusted for sex,
age, and diabetes. Analyses of changes in ambulatory blood pressure during follow
up revealed a 17% reduction in cardiovascular risk for each 5-mm Hg decrease in
asleep systolic blood pressure mean (p < 0.001), independently of changes in any
other ambulatory blood pressure parameter. CONCLUSIONS: The sleep-time blood
pressure mean is the most significant prognostic marker of cardiovascular
morbidity and mortality. Most importantly, the progressive decrease in asleep
blood pressure, a novel therapeutic target that requires proper patient
evaluation by ambulatory monitoring, was the most significant predictor of event
free survival. (Prognostic Value of Ambulatory Blood Pressure Monitoring in the
Prediction of Cardiovascular Events and Effects of Chronotherapy in Relation to
Risk [the MAPEC Study]; NCT00295542).
PMID- 21884957
TI - Sleep-time blood pressure: a validated therapeutic target.
PMID- 21884958
TI - Cardiorespiratory response to exercise after renal sympathetic denervation in
patients with resistant hypertension.
AB - OBJECTIVES: This study sought to investigate the effects of interventional renal
sympathetic denervation (RD) on cardiorespiratory response to exercise.
BACKGROUND: RD reduces blood pressure at rest in patients with resistant
hypertension. METHODS: We enrolled 46 patients with therapy-resistant
hypertension as extended investigation of the Symplicity HTN-2 (Renal Denervation
With Uncontrolled Hypertension) trial. Thirty-seven patients underwent bilateral
RD and 9 patients were assigned to the control group. Cardiopulmonary exercise
tests were performed at baseline and 3-month follow-up. RESULTS: In the RD group,
compared with baseline examination, blood pressure at rest and at maximum
exercise after 3 months was significantly reduced by 31 +/- 13/9 +/- 13 mm Hg (p
< 0.0001) and by 21 +/- 20/5 +/- 14 mm Hg (p < 0.0001), respectively. Achieved
work rate increased by 5 +/- 13 W (p = 0.029) whereas peak oxygen uptake remained
unchanged. Blood pressure 2 min after exercise was significantly reduced by 29 +/
17/8 +/- 15 mm Hg (p < 0.001 for systolic blood pressure; p = 0.002 for
diastolic blood pressure). Heart rate at rest decreased after RD (4 +/- 11
beats/min; p = 0.028), whereas maximum heart rate and heart rate increase during
exercise were not different. Heart rate recovery improved significantly by 4 +/-
7 beats/min after renal denervation (p = 0.009). In the control group, there were
no significant changes in blood pressure, heart rate, maximum work rate, or
ventilatory parameters after 3 months. CONCLUSIONS: RD reduces blood pressure
during exercise without compromising chronotropic competence in patients with
resistant hypertension. Heart rate at rest decreased and heart rate recovery
improved after the procedure. (Renal Denervation With Uncontrolled Hypertension;
[Symplicity HTN-2]; NCT00888433).
PMID- 21884959
TI - Predictors of in-hospital mortality in children after long-term ventricular
assist device insertion.
AB - OBJECTIVES: This study aimed to determine the pre-implantation predictors for in
hospital mortality in children with ventricular assist device (VAD) support.
BACKGROUND: Candidate selection is of critical importance for improved outcomes
in patients supported with VAD. However, risk factors for post-VAD survival in
children are still not clearly understood. METHODS: From June 1996 to December
2009, 92 children underwent implantation of a long-term VAD at Germany Heart
Institute Berlin. Data on all these patients were retrospectively analyzed, and
pre-operative risk factors for in-hospital survival after VAD implantation were
identified by multivariate logistic regression. RESULTS: Of the 92 subjects, the
median age at implantation was 7 years (range 12 days to 18 years), and the
median support time was 35 days (range 1 to 591 days). The overall survival rate
to transplantation or recovery of ventricular function was 63%. Independent
predictors of in-hospital mortality in children included congenital etiology
(odds ratio [OR]: 11.2; 95% confidence interval [CI]: 2.6 to 47.5),
norepinephrine requirement (OR: 6.9; 95% CI: 1.4 to 31), C-reactive protein level
>6.3 mg/dl (OR: 4.9; 95% CI: 1.1 to 22.1), and central venous pressure >17 mm Hg
(OR: 4.6; 95% CI: 1.1 to 20). CONCLUSIONS: Congenital etiology, pre-operative
norepinephrine requirement, higher serum C-reactive protein, and central venous
pressure were associated with increased in-hospital mortality in children with
VAD support. Optimal candidate selection and timing of VAD insertion may be of
great importance for improved outcomes in children with advanced heart failure.
PMID- 21884960
TI - Dizziness and pre-syncope: an unusual clinical presentation of a spontaneous
coronary artery dissection as revealed by optical coherence tomography.
PMID- 21884961
TI - President's page: holding hands, sweaty palms, and silos.
PMID- 21884963
TI - Late gadolinium enhancement and higher risk of arrhythmias: fibrosis or increased
ventricular wall stress?
PMID- 21884964
TI - Dynamic left ventricular outflow tract obstruction and acute heart failure in
tako-tsubo cardiomyopathy.
PMID- 21884966
TI - Molecular mechanisms of polycystic kidney disease.
PMID- 21884967
TI - A pilot case-cohort study of liver and pancreatic cancers in poultry workers.
AB - PURPOSE: To test the hypothesis that exposure to poultry oncogenic viruses that
widely occurs occupationally in poultry workers and in the general population,
may be associated with increased risks of deaths from liver and pancreatic
cancers, and to identify new risk factors. METHODS: A pilot case-cohort study of
both cancers within a combined cohort of 30,411 highly exposed poultry workers
and 16,408 control subjects was conducted, and risk assessed by logistic
regression odds ratios (OR) and proportional hazards risk ratios. RESULTS: New
occupational findings were recorded respectively for pancreatic/liver cancers,
for slaughtering of poultry (OR = 8.9, 95% confidence interval [CI]: 2.7-29.3)/OR
= 9.1, 95% CI: 1.9-42.9); catching of live chickens (OR = 3.6, 95% CI: 1.2
10.9)/OR = 1.0, 95% CI: 0.1-8.5); killing other types of animals for food (OR =
4.8, 95% CI: 1.5-16.6)/OR = 2.0, 95% CI: 0.2-18.2), and ever worked on a pig
raising farm (OR = 3.0, 95% CI: 1.0-8.2) for pancreatic cancer only. New non
occupational findings for liver cancer were for receiving immunization with
yellow fever vaccine (OR = 8.7, 95% CI: 1.0-76.3); and vaccination with typhoid
vaccine (OR = 6.3, 95% CI: 1.1-37.4). The study also confirmed previously
reported risk factors for both diseases. CONCLUSIONS: This study provides
preliminary evidence that exposure to poultry oncogenic viruses may possibly be
associated with the occurrence of liver and pancreatic cancers. Case-control
studies nested within occupational cohorts of highly exposed subjects of
sufficient statistical power may provide an efficient and valid method of
investigating/confirming these findings.
PMID- 21884968
TI - A correction factor for estimating statewide agricultural injuries from ambulance
reports.
AB - PURPOSE: Agriculture ranks as one of the most hazardous industries in the nation.
Agricultural injury surveillance is critical to identifying and reducing major
injury hazards. Currently, there is no comprehensive system of identifying and
characterizing fatal and serious non-fatal agricultural injuries. Researchers
sought to calculate a multiplier for estimating the number of agricultural injury
cases based on the number of times the farm box indicator was checked on the
ambulance report. METHODS: Farm injuries from 2007 that used ambulance transport
were ascertained for 10 New York counties using two methods: (1) ambulance
reports including hand-entered free text; and (2) community surveillance. The
resulting multiplier that was developed from contrasting these two methods was
then applied to the statewide Emergency Medical Services database to estimate the
total number of agricultural injuries for New York state. RESULTS: There were
25,735 unique ambulance runs due to injuries in the 10 counties in 2007. Among
these, the farm box was checked a total of 90 times. Of these 90, 63 (70%) were
determined to be agricultural. Among injury runs where the farm box was not
checked, an additional 59 cases were identified from the free text. Among these
122 cases (63 + 59), four were duplicates. Twenty-four additional unique cases
were identified from the community surveillance for a total of 142. This yielded
a multiplier of 142/90 = 1.578 for estimating all agricultural injuries from the
farm box indicator. Sensitivity and specificity of the ambulance report method
were 53.4% and 99.9%, respectively. CONCLUSIONS: This method provides a cost
effective way to estimate the total number of agricultural injuries for the
state. However, it would not eliminate the more labor intensive methods that are
required to identify of the actual individual case records. Incorporating an
independent source of case ascertainment (community surveillance) increased the
multiplier by 17%.
PMID- 21884969
TI - The photomorphogenic protein, DE-ETIOLATED 1, is a critical transcriptional
corepressor in the central loop of the Arabidopsis circadian clock.
AB - In this issue of Molecular Cell, Lau et al. (2011) demonstrate that DET1, a
component of the COP10-DET1-DDB1 (CDD) complex, is a transcriptional corepressor
recruited to the promoters of core clock genes via interaction with two MYB
transcription factors, CCA1 and LHY.
PMID- 21884970
TI - A new twist on clock protein phosphorylation: a conformational change leads to
protein degradation.
AB - Progressive phosphorylation of circadian clock proteins is a hallmark of time
keeping. In this issue of Molecular Cell, Querfurth et al. (2011) demonstrate
that phosphorylation of Neurospora FRQ induces a conformational change, which can
account for its temporally gated degradation.
PMID- 21884971
TI - HDAC3 at the fulcrum of an epithelial-mesenchymal balance.
AB - In this issue of Molecular Cell, Wu et al. (2011) reveal an essential role for a
chromatin modifier, histone deacetylase 3 (HDAC3), in hypoxia-induced epithelial
mesenchymal transition (EMT); HIF-activated HDAC3 integrates with WDR5 to impose
chromatin modifications that culminate in EMT.
PMID- 21884972
TI - Translating a low-sugar diet into a longer life by maintaining thioredoxin
peroxidase activity of a peroxiredoxin.
AB - In this issue of Molecular Cell, Molin et al. (2011) reveal that caloric
restriction alleviates PKA-dependent inhibition of sulfiredoxin translation,
maintaining the thioredoxin peroxidase activity of a peroxiredoxin and increasing
the hydrogen peroxide resistance and replicative life span of Saccharomyces
cerevisiae.
PMID- 21884974
TI - Circadian conformational change of the Neurospora clock protein FREQUENCY
triggered by clustered hyperphosphorylation of a basic domain.
AB - In the course of a day, the Neurospora clock protein FREQUENCY (FRQ) is
progressively phosphorylated at up to 113 sites and eventually degraded.
Phosphorylation and degradation are crucial for circadian time keeping, but it is
not known how phosphorylation of a large number of sites correlates with
circadian degradation of FRQ. We show that two amphipathic motifs in FRQ interact
over a long distance, bringing the positively charged N-terminal portion in
spatial proximity to the negatively charged middle and C-terminal portion of FRQ.
The interaction is essential for the recruitment of casein kinase 1a (CK1a) into
a stable complex with FRQ. FRQ-bound CK1a progressively phosphorylates the
positively charged N-terminal domain of FRQ at up to 46 nonconsensus sites,
triggering a conformational change, presumably by electrostatic repulsion, that
commits the protein for degradation via the PEST1 signal in the negatively
charged central portion of FRQ.
PMID- 21884973
TI - Interaction of Arabidopsis DET1 with CCA1 and LHY in mediating transcriptional
repression in the plant circadian clock.
AB - The COP10-DET1-DDB1 (CDD) complex is an evolutionarily conserved protein complex
discovered for its role in the repression of photomorphogenesis in Arabidopsis.
It is important in many cellular and developmental processes in both plants and
animals, but its molecular mode of action remains poorly understood. Here, we
show that the CDD component DET1 possesses transcriptional repression activity
and physically interacts with two closely related MYB transcription factors, CCA1
and LHY, which are core components of the plant circadian clock. DET1 associates
with the promoter of CCA1/LHY target genes, such as TOC1, in a CCA1/LHY-dependent
manner and is required for their repression, suggesting a recruitment of DET1 by
the central oscillator components to regulate the clock. Our results reveal DET1
as a core transcriptional repression factor important for clock progression.
Overall, the CDD complex may function as a transcriptional corepressor in diverse
processes through direct interaction with distinct transcription factors.
PMID- 21884975
TI - Rapid phospho-turnover by receptor tyrosine kinases impacts downstream signaling
and drug binding.
AB - Epidermal growth factor receptors (ErbB1-4) are oncogenic receptor tyrosine
kinases (RTKs) that regulate diverse cellular processes. In this study, we
combine measurement and mathematical modeling to quantify phospho-turnover at
ErbB receptors in human cells and to determine the consequences for signaling and
drug binding. We find that phosphotyrosine residues on ErbB1 have half-lives of a
few seconds and therefore turn over 100-1000 times in the course of a typical
immediate-early response to ligand. Rapid phospho-turnover is also observed for
EGF-activated ErbB2 and ErbB3, unrelated RTKs, and multiple intracellular adaptor
proteins and signaling kinases. Thus, the complexes formed on the cytoplasmic
tail of active receptors and the downstream signaling kinases they control are
highly dynamic and antagonized by potent phosphatases. We develop a kinetic
scheme for binding of anti-ErbB1 drugs to receptors and show that rapid phospho
turnover significantly impacts their mechanisms of action.
PMID- 21884976
TI - Mechanisms underlying the dual-mode regulation of microtubule dynamics by
Kip3/kinesin-8.
AB - The kinesin-8 family of microtubule motors plays a critical role in microtubule
length control in cells. These motors have complex effects on microtubule
dynamics: they destabilize growing microtubules yet stabilize shrinking
microtubules. The budding yeast kinesin-8, Kip3, accumulates on plus ends of
growing but not shrinking microtubules. Here we identify an essential role of the
tail domain of Kip3 in mediating both its destabilizing and its stabilizing
activities. The Kip3 tail promotes Kip3's accumulation at the plus ends and
facilitates the destabilizing effect of Kip3. However, the Kip3 tail also
inhibits microtubule shrinkage and is required for promoting microtubule rescue
by Kip3. These effects of the tail domain are likely to be mediated by the
tubulin- and microtubule-binding activities that we describe. We propose a
concentration-dependent model for the coordination of the destabilizing and
stabilizing activities of Kip3 and discuss its relevance to cellular microtubule
organization.
PMID- 21884977
TI - A tethering mechanism controls the processivity and kinetochore-microtubule plus
end enrichment of the kinesin-8 Kif18A.
AB - Metaphase chromosome positioning depends on Kif18A, a kinesin-8 that accumulates
at and suppresses the dynamics of K-MT plus ends. By engineering Kif18A mutants
that suppress MT dynamics but fail to concentrate at K-MT plus ends, we identify
a mechanism that allows Kif18A to accumulate at K-MT plus ends to a level
required to suppress chromosome movements. Enrichment of Kif18A at K-MT plus ends
depends on its C-terminal tail domain, while the ability of Kif18A to suppress MT
growth is conferred by the N-terminal motor domain. The Kif18A tail contains a
second MT-binding domain that diffuses along the MT lattice, suggesting that it
tethers the motor to the MT track. Consistently, the tail enhances Kif18A
processivity and is crucial for it to accumulate at K-MT plus ends. The
heightened processivity of Kif18A, conferred by its tail domain, thus promotes
concentration of Kif18A at K-MT plus ends, where it suppresses their dynamics to
control chromosome movements.
PMID- 21884978
TI - Mechanistic analysis of local ori melting and helicase assembly by the
papillomavirus E1 protein.
AB - Preparation of DNA templates for replication requires opening of the duplex to
expose single-stranded (ss) DNA. The locally melted DNA is required for
replicative DNA helicases to initiate unwinding. How local melting is generated
in eukaryotic replicons is unknown, but initiator proteins from a handful of
eukaryotic viruses can perform this function. Here we dissect the local melting
process carried out by the papillomavirus E1 protein. We characterize the melting
process kinetically and identify mutations in the E1 helicase and in the ori that
arrest the local melting process. We show that a subset of these mutants have
specific defects for melting of the center of the ori containing the binding
sites for E1 and demonstrate that these mutants fail to untwist the ori DNA. This
understanding of how E1 generates local melting suggests possible mechanisms for
local melting in other replicons.
PMID- 21884979
TI - NBS1 recruits RAD18 via a RAD6-like domain and regulates Pol eta-dependent
translesion DNA synthesis.
AB - Translesion DNA synthesis, a process orchestrated by monoubiquitinated PCNA, is
critical for DNA damage tolerance. While the ubiquitin-conjugating enzyme RAD6
and ubiquitin ligase RAD18 are known to monoubiquitinate PCNA, how they are
regulated by DNA damage is not fully understood. We show that NBS1 (mutated in
Nijmegen breakage syndrome) binds to RAD18 after UV irradiation and mediates the
recruitment of RAD18 to sites of DNA damage. Disruption of NBS1 abolished RAD18
dependent PCNA ubiquitination and Poleta focus formation, leading to elevated UV
sensitivity and mutation. Unexpectedly, the RAD18-interacting domain of NBS1,
which was mapped to its C terminus, shares structural and functional similarity
with the RAD18-interacting domain of RAD6. These domains of NBS1 and RAD6 allow
the two proteins to interact with RAD18 homodimers simultaneously and are crucial
for Poleta-dependent UV tolerance. Thus, in addition to chromosomal break repair,
NBS1 plays a key role in translesion DNA synthesis.
PMID- 21884980
TI - Context-specific regulation of NF-kappaB target gene expression by EZH2 in breast
cancers.
AB - Both EZH2 and NF-kappaB contribute to aggressive breast cancer, yet whether the
two oncogenic factors have functional crosstalk in breast cancer is unknown.
Here, we uncover an unexpected role of EZH2 in conferring the constitutive
activation of NF-kappaB target gene expression in ER-negative basal-like breast
cancer cells. This function of EZH2 is independent of its histone
methyltransferase activity but requires the physical interaction with RelA/RelB
to promote the expression of NF-kappaB targets. Intriguingly, EZH2 acts
oppositely in ER-positive luminal-like breast cancer cells and represses NF
kappaB target gene expression by interacting with ER and directing repressive
histone methylation on their promoters. Thus, EZH2 functions as a double-facet
molecule in breast cancers, either as a transcriptional activator or repressor of
NF-kappaB targets, depending on the cellular context. These findings reveal an
additional mechanism by which EZH2 promotes breast cancer progression and
underscore the need for developing context-specific strategy for therapeutic
targeting of EZH2 in breast cancers.
PMID- 21884981
TI - Interplay between HDAC3 and WDR5 is essential for hypoxia-induced epithelial
mesenchymal transition.
AB - Epithelial-mesenchymal transition (EMT) is important for organ development,
metastasis, cancer stemness, and organ fibrosis. Molecular mechanisms to
coordinately regulate hypoxia-induced EMT remain elusive. Here, we show that HIF
1alpha-induced histone deacetylase 3 (hdac3) is essential for hypoxia-induced EMT
and metastatic phenotypes. Change of specific chromatin states is associated with
hypoxia-induced EMT. Under hypoxia, HDAC3 interacts with hypoxia-induced WDR5,
recruits the histone methyltransferase (HMT) complex to increase histone H3
lysine 4 (H3K4)-specific HMT activity, and activates mesenchymal gene expression.
HDAC3 also serves as an essential corepressor to repress epithelial gene
expression. Knockdown of WDR5 abolishes mesenchymal gene activation but not
epithelial gene repression during hypoxia. These results indicate that hypoxia
induces different chromatin modifiers to coordinately regulate EMT through
distinct mechanisms.
PMID- 21884982
TI - Life span extension and H(2)O(2) resistance elicited by caloric restriction
require the peroxiredoxin Tsa1 in Saccharomyces cerevisiae.
AB - Caloric restriction (CR) extends the life span of organisms ranging from yeast to
primates. Here, we show that the thiol-dependent peroxiredoxin Tsa1 and its
partner sulfiredoxin, Srx1, are required for CR to extend the replicative life
span of yeast cells. Tsa1 becomes hyperoxidized/inactive during aging, and CR
mitigates such oxidation by elevating the levels of Srx1, which is required to
reduce/reactivate hyperoxidized Tsa1. CR, by lowering cAMP-PKA activity, enhances
Gcn2-dependent SRX1 translation, resulting in increased resistance to H(2)O(2)
and life span extension. Moreover, an extra copy of the SRX1 gene is sufficient
to extend the life span of cells grown in high glucose concentrations by 20% in a
Tsa1-dependent and Sir2-independent manner. The data demonstrate that Tsa1 is
required to ensure yeast longevity and that CR extends yeast life span, in part,
by counteracting age-induced hyperoxidation of this peroxiredoxin.
PMID- 21884983
TI - A biotin switch-based proteomics approach identifies 14-3-3zeta as a target of
Sirt1 in the metabolic regulation of caspase-2.
AB - While lysine acetylation in the nucleus is well characterized, comparatively
little is known about its significance in cytoplasmic signaling. Here we show
that inhibition of the Sirt1 deacetylase, which is primarily cytoplasmic in
cancer cell lines, sensitizes these cells to caspase-2-dependent death. To
identify relevant Sirt1 substrates, we developed a proteomics strategy, enabling
the identification of a range of putative substrates, including 14-3-3zeta, a
known direct regulator of caspase-2. We show here that inhibition of Sirtuin
activity accelerates caspase activation and overrides caspase-2 suppression by
nutrient abundance. Furthermore, 14-3-3zeta is acetylated prior to caspase
activation, and supplementation of Xenopus egg extract with glucose-6-phosphate,
which promotes caspase-2/14-3-3zeta binding, enhances 14-3-3zeta-directed Sirtuin
activity. Conversely, inhibiting Sirtuin activity promotes14-3-3zeta dissociation
from caspase-2 in both egg extract and human cultured cells. These data reveal a
role for Sirt1 in modulating apoptotic sensitivity, in response to metabolic
changes, by antagonizing 14-3-3zeta acetylation.
PMID- 21884984
TI - Cross-regulation between an alternative splicing activator and a transcription
repressor controls neurogenesis.
AB - Neurogenesis requires the concerted action of numerous genes that are regulated
at multiple levels. However, how different layers of gene regulation are
coordinated to promote neurogenesis is not well understood. We show that the
neural-specific Ser/Arg repeat-related protein of 100 kDa (nSR100/SRRM4)
negatively regulates REST (NRSF), a transcriptional repressor of genes required
for neurogenesis. nSR100 directly promotes alternative splicing of REST
transcripts to produce a REST isoform (REST4) with greatly reduced repressive
activity, thereby activating expression of REST targets in neural cells.
Conversely, REST directly represses nSR100 in nonneural cells to prevent the
activation of neural-specific splicing events. Consistent with a critical role
for nSR100 in the inhibition of REST activity, blocking nSR100 expression in the
developing mouse brain impairs neurogenesis. Our results thus reveal a
fundamental role for direct regulatory interactions between a splicing activator
and transcription repressor in the control of the multilayered regulatory
programs required for neurogenesis.
PMID- 21884998
TI - Current and future strategies for antiangiogenic agents in non-small-cell lung
cancer.
PMID- 21884999
TI - Optimizing the dose and schedule of anti-vascular endothelial growth factor
antibodies in non-small-cell lung cancer.
AB - Lung cancer is the world's leading cause of cancer death. Most patients with non
small-cell lung cancer (NSCLC) present with advanced disease. Median survival is
approximately 8-10 months for patients who receive standard platinum-based
doublet therapy. In 2006 the FDA approved the anti-vascular endothelial growth
factor (VEGF) antibody bevacizumab for patients with advanced, non-squamous,
NSCLC based on the Eastern Cooperative Oncology Group E4599 trial. This trial
demonstrated a 2-month improvement in overall survival when bevacizumab was added
to carboplatin/paclitaxel. European investigators presented further data
supporting improvement in progression-free survival with the use of bevacizumab
and a cisplatin doublet in the Avastin in Lung Cancer (AVAiL) trial. Bevacizumab
at doses of 7.5 mg/kg and 15 mg/kg are both effective and safe for patients with
advanced NSCLC. Fatal hemorrhage has been reported for patients receiving the
antiangiogenesis antibody. According to a retrospective study, the only
significant clinical and radiographic variable associated with increased risk of
pulmonary hemorrhage is the presence of cavitation. Common side effects include
hypertension, proteinuria and minor mucosal bleeding. Bevacizumab monotherapy
given every 21 days can be safely continued for patients without evidence of
progression and for whom side effects of therapy are acceptable. Many questions
remain, such as the role of the anti-VEGF antibody in early-stage disease, the
safety of bevacizumab in patients with squamous histology NSCLC, and the benefit
of combination therapy in elderly patients.
PMID- 21885000
TI - Treatment of patients excluded from Eastern Cooperative Oncology Group 4599 and
AVAiL studies: focus on brain metastasis and squamous histology.
AB - Bevacizumab is the first molecularly targeted agent associated with improved
outcomes in combination with chemotherapy in previously untreated patients with
non-small-cell lung cancer (NSCLC). The addition of bevacizumab, a monoclonal
antibody against vascular endothelial growth factor (VEGF), to carboplatin and
paclitaxel resulted in a significant improvement in overall survival compared
with chemotherapy alone; however, bevacizumab is associated with increased risk
of severe complications, including hemoptysis, neutropenic fever, and
gastrointestinal perforation. Based on the initial observations that patients
with squamous cell carcinoma treated with bevacizumab are at high risk for severe
and fatal hemoptysis, these patients were not included in subsequent phase III
clinical trials involving this agent. Patients with known brain metastases from
lung cancer were excluded because of concern for intracranial bleeding.
Consequently, nearly half the patients with newly diagnosed metastatic NSCLC are
not treated with bevacizumab because of squamous histology or the presence of
brain metastasis. This review provides a brief overview of the very limited data
available regarding the safety and efficacy of bevacizumab and other VEGF
inhibitors in patients with squamous cell histology or brain metastasis and
current ongoing research efforts.
PMID- 21885001
TI - Emerging safety data for bevacizumab in advanced non-small-cell lung cancer.
AB - Bevacizumab, a monoclonal antibody to vascular endothelial growth factor, confers
improved clinical outcomes in a range of tumor types when administered in
combination with chemotherapy. In a pivotal phase III trial (E4599), bevacizumab
became the first agent to extend overall survival beyond 1 year when combined
with first-line chemotherapy for advanced, metastatic, or recurrent non-squamous
non-small-cell lung cancer (NSCLC). In a recent phase III trial (AVAiL; Avastin
in Lung Cancer), which investigated the safety and efficacy of 2 bevacizumab
doses in combination with cisplatin/gemcitabine, bevacizumab-based therapy
significantly delayed disease progression in patients with advanced, metastatic,
or recurrent non-squamous NSCLC. Based on these positive data, bevacizumab in
combination with chemotherapy has received US and European Union approval for the
first-line treatment of unresectable advanced, metastatic, or recurrent
predominantly non-squamous NSCLC. Bevacizumab-based therapy has a well
characterized safety profile. Clinically relevant bevacizumab-associated adverse
events reported in clinical trials to date include bleeding, hypertension,
proteinuria, thrombotic events, wound-healing complications, and gastrointestinal
perforations. Emerging safety data for bevacizumab in NSCLC from the recent AVAiL
trial indicate that, as in clinical trials in other indications, adverse events
were generally manageable using standard clinical techniques and rarely required
discontinuation of bevacizumab therapy. In the AVAiL trial, grade >= 3
hypertension, bleeding, and proteinuria rates were modestly higher in the
bevacizumab arms than in the placebo arm, although the overall incidence of grade
>= 3 adverse events was similar in the placebo and bevacizumab arms.
Recommendations for the monitoring and management of bevacizumab-associated
adverse events are discussed.
PMID- 21885002
TI - Ongoing trials with bevacizumab and other antiangiogenic agents in lung cancer.
AB - The recent approval of bevacizumab, sunitinib, and sorafenib in a number of
diseases has led to significant interest in expanding the role of antiangiogenic
therapies in cancer. Specifically, bevacizumab has only received approval for a
relatively selective population with advanced non-squamous non-small-cell lung
cancer (NSCLC) with good performance status and without coagulopathy, brain
metastases, or hemoptysis. This has significantly restricted the potential
benefit bevacizumab can bring to patients with lung cancer. In order to address
whether bevacizumab might be beneficial in other settings, a multitude of
clinical trials are ongoing. These include questions such as the safety of
bevacizumab in patients with hemoptysis, brain metastases, and squamous cell
histology. The use of bevacizumab is also being addressed in locally advanced and
early-stage lung cancer. The results of many of these trials will be available in
the next 2-3 years. Unfortunately, as in the case of many targeted therapies, we
lack a specific biomarker to predict response to these agents. In addition,
although antiangiogenic trials are well under way in NSCLC, this is not the case
for small-cell lung cancer, a highly angiogenic disease in which the pace of
research is substantially slower.
PMID- 21885003
TI - Can we customize chemotherapy? Individualizing cytotoxic regimens in advanced non
small-cell lung cancer.
AB - Metastatic non-small-cell lung cancer remains a fatal disease with a median
survival of < 1 year. A critical challenge is to develop predictive markers for
customizing platinum-based treatment. The first studies focused on the excision
repair cross-complementing 1 (ERCC1) gene in this difficult task. Several layers
of evidence indicate that ERCC1 mRNA expression could be a predictive marker for
cisplatin alone or in combination with certain drugs such as etoposide,
gemcitabine, and 5-fluorouracil but not in combination with antimicrotubule
drugs. Several retrospective studies demonstrated an impressive survival
advantage for gemcitabine plus cisplatin but not for other combinations in tumors
with low ERCC1 expression. A customized phase III ERCC1-based trial met the
primary endpoint of improvement in response but not in survival, leading us to
hypothesize that docetaxel might not be the most appropriate partner for
cisplatin in the presence of low ERCC1 levels or for gemcitabine in the presence
of high ERCC1 levels. A phase II study demonstrated the feasibility of combining
carboplatin, gemcitabine, docetaxel, and vinorelbine according to ERCC1 and
ribonucleotide reductase subunit M1 expression levels. These findings highlight
the importance of continual learning, and decision-making strategies for
customizing treatment should reflect the limitations of our knowledge.
PMID- 21885010
TI - [RICIBA (Computerized registry of bariatric surgery ), what do we know about
bariatric surgery in Spain?].
PMID- 21885011
TI - A web of imprinting in stem cells.
AB - Imprinted genes are the prototypical epigenetically regulated genes. On the basis
of findings in adult lung stem cells, Zacharek et al. (2011) suggest in this
issue of Cell Stem Cell that epigenetic silencing of imprinted genes is a common
requirement for maintaining self-renewal in adult stem cell populations.
PMID- 21885012
TI - Converting human skin cells to neurons: a new tool to study and treat brain
disorders?
AB - Recent publications in Cell Stem Cell (Son et al., 2011; Ambasudhan et al.,
2011), PNAS (Pfisterer et al., 2011), and Nature (Caiazzo et al., 2011; Pang et
al., 2011; Yoo et al., 2011) report that functional neurons can be directly
generated from human fibroblast cells without going through the pluripotent
state.
PMID- 21885013
TI - FoxO: a new addition to the ESC cartel.
AB - The forkhead box O (FoxO) family is involved in diverse cellular processes such
as tumor suppression, stress response, and metabolism. In a recent Nature Cell
Biology Letter, Zhang et al. (2011) uncover a novel role for FoxO proteins in
regulating the identity of human ESCs.
PMID- 21885014
TI - Committing to a hairy fate: epigenetic regulation of hair follicle stem cells.
AB - Chromatin modifications are important for embryonic stem cell (ESC) pluripotency,
but their functions in adult stem cells are less clear. In this issue of Cell
Stem Cell, Lien et al. (2011) delineate histone methylation patterns in hair
follicle stem cells and show that these marks differ from those of ESCs.
PMID- 21885015
TI - Leukemia stemness signatures step toward the clinic.
AB - A recent Nature Medicine study by Eppert et al. (2011) describes analyses of
functionally defined leukemia stem cell populations that provide new insights on
the biology of human tumor populations and the potential use of stem cell
associated gene signatures for prognosis.
PMID- 21885016
TI - Breaking the cell cycle of HSCs by p57 and friends.
AB - The cell cycle regulators involved in maintaining the quiescence, and thereby the
self-renewal capacity, of somatic stem cells have long been elusive. Two new Cell
Stem Cell articles in this issue (Matsumoto et al., 2011; Zou et al., 2011) now
show that the CDK inhibitor p57 is a crucial brake for cycling HSCs, and links
self-renewal activity to cell cycle quiescence.
PMID- 21885017
TI - TET family proteins and their role in stem cell differentiation and
transformation.
AB - One of the main regulators of gene expression during embryogenesis and stem cell
differentiation is DNA methylation. The recent identification of
hydroxymethylcytosine (5hmC) as a novel epigenetic mark sparked an intense effort
to characterize its specialized enzymatic machinery and to understand the
biological significance of 5hmC. The recent discovery of recurrent deletions and
somatic mutations in the TET gene family, which includes proteins that can
hydroxylate methylcytosine (5mC), in a large fraction of myeloid malignancies
further suggested a key role for dynamic DNA methylation changes in the
regulation of stem cell differentiation and transformation.
PMID- 21885018
TI - Genome-wide maps of histone modifications unwind in vivo chromatin states of the
hair follicle lineage.
AB - Using mouse skin, where bountiful reservoirs of synchronized hair follicle stem
cells (HF-SCs) fuel cycles of regeneration, we explore how adult SCs remodel
chromatin in response to activating cues. By profiling global mRNA and chromatin
changes in quiescent and activated HF-SCs and their committed, transit-amplifying
(TA) progeny, we show that polycomb-group (PcG)-mediated H3K27-trimethylation
features prominently in HF-lineage progression by mechanisms distinct from
embryonic-SCs. In HF-SCs, PcG represses nonskin lineages and HF differentiation.
In TA progeny, nonskin regulators remain PcG-repressed, HF-SC regulators acquire
H3K27me3-marks, and HF-lineage regulators lose them. Interestingly, genes poised
in embryonic stem cells, active in HF-SCs, and PcG-repressed in TA progeny encode
not only key transcription factors, but also signaling regulators. We document
their importance in balancing HF-SC quiescence, underscoring the power of
chromatin mapping in dissecting SC behavior. Our findings explain how HF-SCs
cycle through quiescent and activated states without losing stemness and define
roles for PcG-mediated repression in governing a fate switch irreversibly.
PMID- 21885019
TI - Regulation of human epidermal stem cell proliferation and senescence requires
polycomb- dependent and -independent functions of Cbx4.
AB - Human epidermal stem cells transit from a slow cycling to an actively
proliferating state to contribute to homeostasis. Both stem cell states differ in
their cell cycle profiles but must remain guarded from differentiation and
senescence. Here we show that Cbx4, a Polycomb Repressive Complex 1 (PRC1)
associated protein, maintains human epidermal stem cells as slow-cycling and
undifferentiated, while protecting them from senescence. Interestingly,
abrogating the polycomb activity of Cbx4 impairs its antisenescent function
without affecting stem cell differentiation, indicating that differentiation and
senescence are independent processes in human epidermis. Conversely, Cbx4
inhibits stem cell activation and differentiation through its SUMO ligase
activity. Global transcriptome and chromatin occupancy analyses indicate that
Cbx4 regulates modulators of epidermal homeostasis and represses factors such as
Ezh2, Dnmt1, and Bmi1 to prevent the active stem cell state. Our results suggest
that distinct Polycomb complexes balance epidermal stem cell dormancy and
activation, while continually preventing senescence and differentiation.
PMID- 21885020
TI - p57(Kip2) and p27(Kip1) cooperate to maintain hematopoietic stem cell quiescence
through interactions with Hsc70.
AB - Cell cycle regulators play critical roles in the balance between hematopoietic
stem cell (HSC) dormancy and proliferation. In this study, we report that cell
cycle entry proceeded normally in HSCs null for cyclin-dependent kinase (CDK)
inhibitor p57 due to compensatory upregulation of p27. HSCs null for both p57 and
p27, however, were more proliferative and had reduced capacity to engraft in
transplantation. We found that heat shock cognate protein 70 (Hsc70) interacts
with both p57 and p27 and that the subcellular localization of Hsc70 was critical
to maintain HSC cell cycle kinetics. Combined deficiency of p57 and p27 in HSCs
resulted in nuclear import of an Hsc70/cyclin D1 complex, concomitant with Rb
phosphorylation, and elicited severe defects in maintaining HSC quiescence. Taken
together, these data suggest that regulation of cytoplasmic localization of
Hsc70/cyclin D1 complex by p57 and p27 is a key intracellular mechanism in
controlling HSC dormancy.
PMID- 21885021
TI - p57 is required for quiescence and maintenance of adult hematopoietic stem cells.
AB - Quiescence is required for the maintenance of hematopoietic stem cells (HSCs).
Members of the Cip/Kip family of cyclin-dependent kinase (CDK) inhibitors (p21,
p27, p57) have been implicated in HSC quiescence, but loss of p21 or p27 in mice
affects HSC quiescence or functionality only under conditions of stress. Although
p57 is the most abundant family member in quiescent HSCs, its role has remained
uncharacterized. Here we show a severe defect in the self-renewal capacity of p57
deficient HSCs and a reduction of the proportion of the cells in G(0) phase.
Additional ablation of p21 in a p57-null background resulted in a further
decrease in the colony-forming activity of HSCs. Moreover, the HSC abnormalities
of p57-deficient mice were corrected by knocking in the p27 gene at the p57
locus. Our results therefore suggest that, among Cip/Kip family CDK inhibitors,
p57 plays a predominant role in the quiescence and maintenance of adult HSCs.
PMID- 21885023
TI - [Splenic marginal zone B-cell lymphoma with epidermotropic skin involvement].
AB - Marginal zone B-cell lymphoma (MZL) is subclassified into extranodal MZL of
mucosa-associated lymphoid tissue (including cutaneous lymphomas), splenic MZL,
and nodal MZL. We report the case of a 68-year-old man with erythematous
violaceous plaques and nodules. Skin biopsy showed an epidermotropic lymphocytic
infiltration and cytology and immunohistochemistry were consistent with MZL. The
workup revealed disease in the peripheral blood and bone marrow and massive
splenomegaly. Splenectomy confirmed the diagnosis of splenic MZL and led to
resolution of the skin lesions. Cutaneous recurrence was treated successfully
with chemotherapy and rituximab but caused fatal hepatitis due to hepatitis B
virus reactivation. Skin involvement by splenic MZL is uncommon; this form of the
disease can present epidermotropism, a very rare finding in primary cutaneous
MZL. Treatment consists of splenectomy, which may be associated with chemotherapy
and/or rituximab; this treatment may lead to reactivation of latent hepatitis B
infection and screening for hepatitis should therefore be performed prior to
starting therapy.
PMID- 21885022
TI - Lung stem cell self-renewal relies on BMI1-dependent control of expression at
imprinted loci.
AB - BMI1 is required for the self-renewal of stem cells in many tissues including the
lung epithelial stem cells, Bronchioalveolar Stem Cells (BASCs). Imprinted genes,
which exhibit expression from only the maternally or paternally inherited allele,
are known to regulate developmental processes, but what their role is in adult
cells remains a fundamental question. Many imprinted genes were derepressed in
Bmi1 knockout mice, and knockdown of Cdkn1c (p57) and other imprinted genes
partially rescued the self-renewal defect of Bmi1 mutant lung cells. Expression
of p57 and other imprinted genes was required for lung cell self-renewal in
culture and correlated with repair of lung epithelial cell injury in vivo. Our
data suggest that BMI1-dependent regulation of expressed alleles at imprinted
loci, distinct from imprinting per se, is required for control of lung stem
cells. We anticipate that the regulation and function of imprinted genes is
crucial for self-renewal in diverse adult tissue-specific stem cells.
PMID- 21885024
TI - [Unsuspected visceral leishmaniasis infiltrating a squamous cell carcinoma].
AB - Amastigotes of the genus Leishmania have been observed in biopsies of apparently
unrelated lesions in patients with AIDS and visceral leishmaniasis. We describe
the case of a 40-year-old man with human immunodeficiency virus infection and
severe immunodepression in whom the presence of the parasite was detected as an
incidental finding on histological study of a perianal squamous cell carcinoma.
This finding led to the diagnosis and subsequent treatment of previously
unsuspected visceral leishmaniasis. In a review of the literature we have found
no previous examples of this association.
PMID- 21885025
TI - [Localized primary cutaneous nodular amyloidosis in a patient with
paraproteinemia].
PMID- 21885026
TI - [Telangiectatic macules in a 90-year-old woman].
PMID- 21885027
TI - [Mycobacterium chelonae infection in a patient being treated with adalimumab].
PMID- 21885028
TI - Mutations causing familial biparental hydatidiform mole implicate c6orf221 as a
possible regulator of genomic imprinting in the human oocyte.
AB - Familial biparental hydatidiform mole (FBHM) is the only known pure maternal
effect recessive inherited disorder in humans. Affected women, although
developmentally normal themselves, suffer repeated pregnancy loss because of the
development of the conceptus into a complete hydatidiform mole in which
extraembryonic trophoblastic tissue develops but the embryo itself suffers early
demise. This developmental phenotype results from a genome-wide failure to
correctly specify or maintain a maternal epigenotype at imprinted loci. Most
cases of FBHM result from mutations of NLRP7, but genetic heterogeneity has been
demonstrated. Here, we report biallelic mutations of C6orf221 in three families
with FBHM. The previously described biological properties of their respective
gene families suggest that NLRP7 and C6orf221 may interact as components of an
oocyte complex that is directly or indirectly required for determination of
epigenetic status on the oocyte genome.
PMID- 21885029
TI - A general framework for detecting disease associations with rare variants in
sequencing studies.
AB - Biological and empirical evidence suggests that rare variants account for a large
proportion of the genetic contributions to complex human diseases. Recent
technological advances in high-throughput sequencing platforms have made it
possible for researchers to generate comprehensive information on rare variants
in large samples. We provide a general framework for association testing with
rare variants by combining mutation information across multiple variant sites
within a gene and relating the enriched genetic information to disease phenotypes
through appropriate regression models. Our framework covers all major study
designs (i.e., case-control, cross-sectional, cohort and family studies) and all
common phenotypes (e.g., binary, quantitative, and age at onset), and it allows
arbitrary covariates (e.g., environmental factors and ancestry variables). We
derive theoretically optimal procedures for combining rare mutations and
construct suitable test statistics for various biological scenarios. The allele
frequency threshold can be fixed or variable. The effects of the combined rare
mutations on the phenotype can be in the same direction or different directions.
The proposed methods are statistically more powerful and computationally more
efficient than existing ones. An application to a deep-resequencing study of drug
targets led to a discovery of rare variants associated with total cholesterol.
The relevant software is freely available.
PMID- 21885030
TI - High myopia caused by a mutation in LEPREL1, encoding prolyl 3-hydroxylase 2.
AB - Autosomal-recessive high-grade axial myopia was diagnosed in Bedouin Israeli
consanguineous kindred. Some affected individuals also had variable expressivity
of early-onset cataracts, peripheral vitreo-retinal degeneration, and secondary
sight loss due to severe retinal detachments. Through genome-wide linkage
analysis, the disease-associated gene was mapped to ~1.7 Mb on chromosome 3q28
(the maximum LOD score was 11.5 at theta = 0 for marker D3S1314). Sequencing of
the entire coding regions and intron-exon boundaries of the six genes within the
defined locus identified a single mutation (c.1523G>T) in exon 10 of LEPREL1,
encoding prolyl 3-hydroxylase 2 (P3H2), a 2-oxoglutarate-dependent dioxygenase
that hydroxylates collagens. The mutation affects a glycine that is conserved
within P3H isozymes. Analysis of wild-type and p.Gly508Val (c.1523G>T) mutant
recombinant P3H2 polypeptides expressed in insect cells showed that the mutation
led to complete inactivation of P3H2.
PMID- 21885031
TI - [Rheumatoid arthritis: a cardiovascular disease?].
AB - Mortality in rheumatoid arthritis (RA) is doubled when compared to the general
population. This excess in mortality can be explained in half of cases by
cardiovascular (CV) events. The risk of myocardial infarction is increased by
about 60% in RA. Mortality secondary to cerebrovascular stroke is increased by
50% even if the incidence of stroke is not increased. Indeed, the risk of fatal
CV events is increased in RA when compared to the general population. The
increased CV risk cannot be explained only by traditional CV risk factors, even
if smoking and changes in lipid profile may be implied. It is mainly related to
the chronic inflammatory condition that causes many metabolic disturbances. Other
parameters such as treatments used in RA also play a role. Thus, it is essential
for proper management of RA patients to be aware of this risk and to treat any
modifiable CV risk factors.
PMID- 21885032
TI - PERIOSTIN regulates MMP-2 expression via the alphavbeta3 integrin/ERK pathway in
human periodontal ligament cells.
AB - OBJECTIVE: During orthodontic tooth movement, activation of the vascular system
in the compressed periodontal ligament (PDL), which becomes hypoxic, is essential
for periodontal tissue remodelling. PERIOSTIN, an extracellular matrix protein,
is expressed in PDL and its concentration is increased on the compressive side
during orthodontic tooth movement. PERIOSTIN promotes angiogenesis through
upregulation of matrix metalloproteinase (MMP)-2, which has been shown to be
expressed via alphavbeta3 integrin/extracellular signal-related kinase (ERK)
signalling pathway and vascular endothelial growth factor (VEGF). Therefore, we
hypothesized that hypoxia-induced PERIOSTIN promotes MMP-2 expression via
alphavbeta3 integrin/ERK signalling and VEGF in PDL cells. METHODS: Human PDL
cells were cultured in condition medium containing desferrioxamine (DFO) to mimic
hypoxia. The total RNA, cell lysates or supernatant were collected, and MMP2 and
VEGF expression, PERIOSTIN expression and ERK phosphorylation, and MMP-2 activity
were analysed by real-time RT-PCR, western blot analysis, and zymography,
respectively. A recombinant human PERIOSTIN or PERIOSTIN siRNA was applied to the
cells, then the total RNA was extracted to measure MMP-2 and VEGF expression. The
cells were treated with alphavbeta3 integrin-blocking antibody or ERK inhibitor
followed by PERIOSTIN stimulation. MMP-2 expression was measured by real-time RT
PCR. RESULTS: PERIOSTIN was upregulated in a time-dependent manner in human PDL
cells treated with DFO, a chemical hypoxia mimic. MMP-2 and VEGF expression, and
MMP-2 activity were increased by DFO or PERIOSTIN treatment, and decreased by
PERIOSTIN silencing. PERIOSTIN treatment also induced ERK phosphorylation, and
PERIOSTIN-induced MMP-2 was reduced by alphavbeta3 integrin-blocking antibody or
ERK inhibitor. CONCLUSION: These data suggest that PERIOSTIN upregulates MMP-2
expression via the alphavbeta3 integrin/ERK signalling pathway and VEGF
expression in human PDL cells.
PMID- 21885033
TI - Salivary beta-galactosidase activity affects physiological oral malodour.
AB - OBJECTIVES: Previous reports have associated salivary beta-galactosidase activity
with non-periodontopathic oral malodour. In this study, we investigated the
localization of beta-galactosidase and elucidated the relationship between its
enzymatic activity and physiological oral malodour. STUDY DESIGN: Fifty-six
patients complaining of halitosis were separated into two groups: periodontally
healthy and periodontitis. Saliva samples from the subjects were separated by
centrifugation, and the level of beta-galactosidase activity was measured in the
supernatant, pellet lysate, and whole saliva using the chromogenic substrate o
nitrophenyl-beta-d-galactopyranoside. The correlation of salivary beta
galactosidase activity with breath odour and associated parameters was examined.
RESULTS: Similar levels of beta-galactosidase activity were detected in the
pellet lysate and whole saliva, but not in the saliva supernatant. Positive
correlations were observed between the beta-galactosidase activity in whole
saliva and oral malodour levels in the periodontally healthy group, but not in
the periodontitis group. In addition, the plaque index and tongue coating score
were positively correlated with beta-galactosidase activity in the periodontally
healthy group. Overall, stimulated salivary flow and salivary pH were negatively
correlated with enzyme activity. The amounts of total bacteria, Fusobacterium
nucleatum, and Streptococcus salivarius were positively associated with beta
galactosidase activity in the periodontally healthy group. Furthermore, the
amounts of total bacteria and S. salivarius were positively associated with the
amount of volatile sulphur compounds. CONCLUSIONS: Our results indicate that beta
galactosidase is located on the cell surface of oral bacteria derived from dental
plaque and tongue coating, and it plays an important role in producing the
malodour underlying physiological oral malodour.
PMID- 21885034
TI - Acceptance and values-based action in chronic pain: a three-year follow-up
analysis of treatment effectiveness and process.
AB - Recent developments in CBT emphasize the promotion of psychological flexibility
to improve daily functioning for people with a wide range of health conditions.
In particular, one of these approaches, Acceptance and Commitment Therapy (ACT),
has been studied for treatment of chronic pain. While trials have provided good
support for treatment effectiveness through follow-ups of as long as seven
months, the longer-term impact is not known. The present study of 108
participants with chronic pain examined outcomes three years after treatment
completion and included analyses of two key treatment processes, acceptance of
pain and values-based action. Overall, results indicated significant improvements
in emotional and physical functioning relative to the start of treatment, as well
as good maintenance of treatment gains relative to an earlier follow-up
assessment. Effect size statistics were generally medium or large. At the three
year follow-up, 64.8% of patients had reliably improved in at least one key
domain. Improvements in acceptance of pain and values-based action were
associated with improvements in outcome measures. A "treatment responder"
analysis, using variables collected at pre-treatment and shorter term follow-up,
failed to identify any salient predictors of response. This study adds to the
growing literature supporting the effectiveness of ACT for chronic pain and
yields evidence for both statistical and clinical significance of improvements
over a three-year period.
PMID- 21885036
TI - Visual acuity in adults with Asperger's syndrome: no evidence for "eagle-eyed"
vision.
AB - BACKGROUND: Autism spectrum conditions (ASC) are defined by criteria comprising
impairments in social interaction and communication. Altered visual perception is
one possible and often discussed cause of difficulties in social interaction and
social communication. Recently, Ashwin et al. suggested that enhanced ability in
local visual processing in ASC was due to superior visual acuity, but that study
has been the subject of methodological criticism, placing the findings in doubt.
METHODS: The present study investigated visual acuity thresholds in 24 adults
with Asperger's syndrome and compared their results with 25 control subjects with
the 2 Meter 2000 Series Revised ETDRS Chart. RESULTS: The distribution of visual
acuities within the two groups was highly similar, and none of the participants
had superior visual acuity. CONCLUSIONS: Superior visual acuity in individuals
with Asperger's syndrome could not be established, suggesting that differences in
visual perception in ASC are not explained by this factor. A continued search for
explanations of superior ability in local visual processing in persons with ASC
is therefore warranted.
PMID- 21885037
TI - RACK1 affects morphine reward via BDNF.
AB - Chronic morphine addiction may trigger functional changes in the mesolimbic
dopamine system, which is believed to be the neurobiological substrate of opiate
addiction. Brain derived neurotrophic factor (BDNF) has been implicated in
addiction-related pathology in animal studies. Our previous studies have shown
that RACK1 is involved in morphine reward in mice. The recent research indicates
nuclear RACK1 by localizing at the promoter IV region of the BDNF gene and the
subsequent chromatin modifications leads to the activation of the promoter and
transcription of BDNF. The present study was designed to investigate if shRACK1
(a short hairpin RNA of RACK1) could reverse the mice's behavioral responses to
morphine and BDNF expression in hippocampus and prefrontal cortex. No significant
changes were observed in vehicle-infused mice which received no morphine
treatment (CONC) and shRACK1-infused mice which received no morphine treatment
(CONR), whereas vehicle-infused mice preceded the morphine injection (MIC) showed
increased BDNF expression in hippocampus and prefrontal cortex, as compared to
vehicle-infused mice which received no morphine treatment (CONC).
Intracerebroventricular shRACK1 treatment reversed these, and in fact, ShRACK1
infused mice preceded the morphine injection (MIR) showed reduced BDNF expression
in hippocampus and prefrontal cortex, as compared to MIC. In the conditioned
place preference (CPP) test, inactivating RACK1 markedly reduces morphine-induced
conditioned place preference. Non-specific changes in CPP could not account for
these effects since general CPP of shRACK1- and vehicle-infused animals was not
different. Combined behavioral and molecular approaches have support the
possibility that the RACK1-BDNF system plays an important role in the response to
morphine-induced reward.
PMID- 21885038
TI - TASK-3 as a potential antidepressant target.
AB - Modulation of TASK-3 (Kcnk9) potassium channels affect neurotransmitter release
in thalamocortical centers and other sleep-related nuclei having the capacity to
regulate arousal cycles and REM sleep changes associated with mood disorders and
antidepressant action. Circumstantial evidence from this and previous studies
suggest the potential for TASK-3 to be a novel antidepressant therapeutic target;
TASK-3 knock-out mice display augmented circadian amplitude and exhibit sleep
architecture characterized by suppressed REM activity. Detailed analysis of
locomotor activity indicates that the amplitudes of activity bout duration and
bout number are augmented in TASK-3 mutants well beyond that seen in wildtypes,
findings substantiated by amplitude increases in body temperature and EEG
recordings of sleep stage bouts. Polysomnographic analysis of TASK-3 mutants
reveals increases in nocturnal active wake and suppressed REM sleep time while
increased slow wave sleep typifies the inactive phase, findings that have
implications for the cognitive impact of reduced TASK-3 activity. In direct
measures of their resistance to despair behavior, TASK-3 knock-outs displayed
significant decreases in immobility relative to wildtype controls in both tail
suspension and forced swim tests. Treatment of wildtype animals with the
antidepressant Fluoxetine markedly reduced REM sleep, while leaving active wake
and slow wave sleep relatively intact. Remarkably, these effects were absent in
TASK-3 mutants indicating that TASK-3 is either directly involved in the
mechanism of this drug's action, or participates in parallel pathways that
achieve the same effect. Together, these results support the TASK-3 channel to
act as a therapeutic target for antidepressant action.
PMID- 21885039
TI - Impact of environmental enrichment on neurogenesis in the dentate gyrus during
the early postnatal period.
AB - Accumulating evidence shows that environmental enrichment increases neurogenesis
in the adult hippocampal dentate gyrus. The goal of the current study was to
examine the effect of environmental enrichment on hippocampal neurogenesis during
early life stages. We used as an animal model the guinea pig, a precocious rodent
that is early independent from maternal care. Animals were assigned to either a
standard (control) or an enriched environment a few days after birth (P5-P6).
Between P14 and P17 animals received one daily bromodeoxyuridine (BrdU)
injection, to label dividing cells, and were sacrificed either on P18, to
evaluate cell proliferation or on P45, to evaluate cell survival and
differentiation. In 18-day old enriched animals, there was a larger number of
BrdU-positive cells compared to that found in controls. At P45, enriched animals
had more surviving cells and more cells with a neuronal phenotype than controls.
Unbiased stereology revealed that enriched animals had more granule cells (+37%
at P18 and +31% at P45). Results show that environmental enrichment in the early
postnatal period notably increases cell proliferation and survival, with a large
increase in the number of neurons forming the granule cell layer. The impact of
environmental enrichment in the early postnatal period emphasizes the relevance
of extrinsic factors in the modulation of neurogenesis during critical time
windows of hippocampal development.
PMID- 21885040
TI - Exploring and targeting saccades dissociated by saccadic adaptation.
AB - Saccadic adaptation maintains saccade accuracy and has been studied with
targeting saccades, i.e. saccades that bring the gaze to a target, with the
classical intra-saccadic step procedure in which the target systematically jumps
to a new position during saccade execution. Post-saccadic visual feedback about
the error between target position and the saccade landing position is crucial to
establish and maintain adaptation. However, recent research focusing on two
saccade sequences has shown that exploring saccades, i.e. saccades that explore
an object, resists this classical intra-saccadic step procedure but can be
adapted by systematically changing the main parameter used for their coding:
stimulus size. Here, we adapted an exploring saccade and a targeting saccade in
two separate experiments, using the appropriate adaptation procedure, and we
tested whether the adaptation induced on one saccade type transferred to the
other. We showed that whereas classical targeting saccade adaptation does not
transfer to exploring saccades, the reciprocal transfer (i.e., from exploring to
targeting saccades) occurred when targeting saccades aimed for a spatially
extended stimulus, but not when they aimed for an isolated target. These results
show that, in addition to position errors, size errors can drive adaptation, and
confirm that exploring vs. targeting a stimulus leads to two different motor
planning modes.
PMID- 21885041
TI - A 16 bp upstream sequence from the rat tyrosine hydroxylase promoter supports
long-term expression from a neurofilament promoter, in a helper virus-free HSV-1
vector system.
AB - Helper virus-free Herpes Simplex Virus vector-mediated gene transfer has
supported studies on neuronal physiology, and may support specific gene
therapies. Long-term, neuron-specific expression is required for many of these
applications. A neurofilament heavy gene (NFH) promoter does not support long
term expression. We previously developed a promoter that supports long-term
expression by fusing 6.3 kb of upstream sequences from the rat tyrosine
hydroxylase (TH) promoter to a NFH promoter, and this promoter has supported
physiological studies. The TH promoter fragment contains an enhancer, as it has
activity in both orientations and at a distance from the basal promoter.
Identifying this enhancer may support further improvements in long-term
expression. A previous deletion analysis identified two ~100 bp fragments that
each support long-term expression, and are contained within an ~320 bp fragment
located ~3 kb from the TH promoter transcription start site. As this analysis
used overlapping fragments, the two ~100 bp fragments contained 44 or 23 bp of
unique sequence. Here, we used mutagenesis to identify a short sequence that
supports long-term expression. We studied a 42 bp sequence, centered on the 23 bp
unique sequence. Analysis of the wt sequence, and five mutations containing
clustered changes that spanned the sequence, identified two adjacent mutations
that do not support long-term expression, which together defined a 16 bp maximum
essential sequence. This 16 bp sequence contains a putative E2F-1/DP-1
transcription factor binding site, and this transcription factor is expressed in
many brain areas.
PMID- 21885042
TI - Antibody-mediated targeted gene transfer of helper virus-free HSV-1 vectors to
rat neocortical neurons that contain either NMDA receptor 2B or 2A subunits.
AB - Because of the numerous types of neurons in the brain, and particularly the
forebrain, neuron type-specific expression will benefit many potential
applications of direct gene transfer. The two most promising approaches for
achieving neuron type-specific expression are targeted gene transfer to a
specific type of neuron and using a neuron type-specific promoter. We previously
developed antibody-mediated targeted gene transfer with Herpes Simplex Virus (HSV
1) vectors by modifying glycoprotein C (gC) to replace the heparin binding
domain, which mediates the initial binding of HSV-1 particles to many cell types,
with the Staphylococcus A protein ZZ domain, which binds immunoglobulin (Ig) G.
We showed that a chimeric gC-ZZ protein is incorporated into vector particles and
binds IgG. As a proof-of-principle for antibody-mediated targeted gene transfer,
we isolated complexes of these vector particles and an anti-NMDA NR1 subunit
antibody, and demonstrated targeted gene transfer to neocortical cells that
contain NR1 subunits. However, because most forebrain neurons contain NR1, we
obtained only a modest increase in the specificity of gene transfer, and this
targeting specificity is of limited utility for physiological experiments. Here,
we report efficient antibody-mediated targeted gene transfer to NMDA NR2B- or
NR2A-containing cells in rat postrhinal cortex, and a neuron-specific promoter
further restricted recombinant expression to neurons. Of note, because NR2A
containing neurons are relatively rare, these results show that antibody-mediated
targeted gene transfer with HSV-1 vectors containing neuron type-specific
promoters can restrict recombinant expression to specific types of forebrain
neurons of physiological significance.
PMID- 21885043
TI - The gene encoding cyclooxygenase-2 is regulated by IL-1beta and prostaglandins in
832/13 rat insulinoma cells.
AB - The pro-inflammatory cytokine IL-1beta leads to losses in functional beta-cell
mass in part by inducing the expression of genes that produce soluble mediators
of inflammation, such as cyclooxygenase-2 (COX2). In the current study, we sought
to understand what factors control the COX2 gene in response to IL-1beta and how
prostaglandins downstream of COX2 impact pro-inflammatory gene transcription in
pancreatic beta-cells. We analyzed COX2 gene expression in response to different
maneuvers impacting NF-kappaB proteins. Also, we report alterations in the
expression of COX2, EP-3 and EP-4 receptor genes by PGD(2) and PGE(2). Moreover,
we examined whether PGD(2) and PGE(2) regulated NF-kappaB and interferon-gamma
activated sequence (GAS) reporter gene activity. IL-1beta-mediated induction of
the COX2 gene requires the p65 and p50 subunits of NF-kappaB. In addition, PGD(2)
and PGE(2) coordinately alter COX2 and EP receptor gene expression patterns and
potentiate the cytokine-mediated transcriptional activity of promoters containing
NF-kappaB or GAS response elements.
PMID- 21885044
TI - [III Consensus Meeting of the Spanish Society of Liver Transplantation. Hepatitis
C, living-donor liver transplantation, quality of liver grafts and of liver
transplantation programs].
AB - The constant updating in the field of liver transplant led to the holding of the
III Consensus Meeting of the Spanish Liver Transplant Association. Three current
topics of great clinical interest were debated during this meeting; transplant in
patients with liver cirrhosis due to hepatitis C, live donor liver transplant and
the evaluation of the quality of liver grafts. A subject of great interest to
Liver Transplant Units was also discussed: the assessment of their quality.
PMID- 21885045
TI - [Indications and results of transanal endoscopic microsurgery in the treatment of
rectal tumours in a consecutive series of 52 patients].
AB - INTRODUCTION: The abdominal approach for the treatment of rectal tumours is
associated with considerable morbidity. Transanal endoscopic microsurgery (TEM)
is a technical alternative, and less invasive than radical surgery, and thus,
with a lower associated morbidity. Also, with the correct selection of patients,
TEM shows similar oncological results to radical surgery. The objective of this
study is to review our results with TEM and discuss its indications in the
treatment of rectal tumours. PATIENTS AND METHOD: An observational, retrospective
study with prospective collection of data conducted from June 2008 to January
2011. TEM indications were: benign rectal tumours non-resectable using
colonoscopy; early malignant rectal tumours (T(1)N(0)M(0)) with good prognostic
factors: neoplastic tumours in more advanced stages in selected patients (high
surgical risk, refused radical surgery or stoma and palliative care). RESULTS: A
resection was performed using TEM on 52 patients (35 benign and 17 malignant
tumours). The mean hospital stay was 4.9 days, with an associated morbidity of
15.3%. The R(0) resection in adenomas and carcinomas was 97.1% and 88.8%
respectively. During a follow-up of 15 (3-31) months, one recurrence of an
adenoma was observed which was re-operated on using TEM. CONCLUSIONS: TEM is a
safe and effective procedure for the treatment of benign and selected early
malignant rectal tumours, and is associated with a low morbidity. However, it is
a therapeutic strategy based on a multidisciplinary team, basically with careful
selection of patients, a validated technique and a strict follow-up protocol.
PMID- 21885046
TI - The role of ultrasound in the diagnosis and follow-up of early inflammatory
arthritis.
AB - The inflammatory arthritides are a group of chronic, often debilitating disorders
characterized by synovial inflammation and progressive joint destruction. The
primary diagnostic aim is to recognize the inflammatory arthritis at an early
stage, such that therapies may be implemented before irreversible joint
destruction has occurred. The radiologist now plays a pivotal role both in making
an accurate and early diagnosis of inflammatory arthritis as well as assessing
treatment response. This article reviews the current literature and presents our
approach to the sonographic assessment of early inflammatory arthritis.
PMID- 21885047
TI - Perineural trigeminal nerve abscess secondary to mucor sinusitis: serial
diffusion-weighted MRI and literature review.
PMID- 21885048
TI - Impact of histology on prognosis of patients with early-stage cervical cancer
treated with radical surgery.
AB - OBJECTIVE: To examine the effect of carcinoma cell type on tumor characteristics,
tumor spread, tumor recurrence, and survival of patients with early-stage
cervical cancer who had radical hysterectomy and pelvic lymphadenectomy. METHOD:
Data from 499 patients with stage IA to IIA cervical carcinoma who received
primary surgical treatment from 2003 to 2005 at Chiang Mai University were
retrospectively reviewed with regard to 3 histologic types; squamous cell
carcinoma (SCC), adenocarcinoma (AC), and adenosquamous carcinoma (AS). RESULTS:
Among the 499 patients, 71.1% had SCC, 23.4% had AC, and 5.4% had AS. There was
no significant difference in stage, tumor size, tumor characteristics, or rate of
loco-regional spread. A higher proportion of women with SCC needed adjuvant
radiation (P=0.001). Five-year recurrence-free survival (RFS) and overall
survival (OS) were comparable among the groups. Among patients with pelvic node
metastasis, 5-year RFS and OS were significantly lower in those with AC than in
those with SCC (RFS, 66.1% versus 86.4%, P=0.02; OS, 68.2% versus 88.2%, P=0.05).
CONCLUSION: There was no difference among SCC, AC, and AS in most tumor
characteristics, spread, recurrence, and survival in patients with early-stage
cervical cancer. Among patients with pelvic lymph node metastasis, AC was
associated with less favorable outcomes than SCC.
PMID- 21885049
TI - New estimates and trends regarding unsafe abortion mortality.
AB - BACKGROUND: The 1990-2008 estimates for the maternal mortality associated with
unsafe abortion require a re-examination. OBJECTIVE: To provide the latest
estimates of the mortality associated with unsafe abortion and to examine trends
within the framework of new maternal mortality estimates. SEARCH STRATEGY:
Extensive search of databases and websites for country- and region-specific data
on unsafe abortion. SELECTION CRITERIA: Reports, papers, and websites with data
on unsafe abortion incidence and mortality. DATA COLLECTION AND ANALYSIS: Earlier
published estimates for the unsafe-abortion-related mortality were recalculated
by country for 1990, 1997, 2000, and 2003 to harmonize with the new maternal
mortality estimates. The resulting estimates were aggregated to give subregional,
regional, and global figures, including those recently estimated for 2008. MAIN
RESULTS: In 2008, unsafe abortions accounted for an estimated 47000 maternal
deaths, down from 69000 in 1990. Globally, the unsafe-abortion mortality ratio
has declined from 50 in 1990 to 30 in 2008. The overall burden of unsafe abortion
mortality continues to be the highest in Africa. CONCLUSIONS: Important gains
have been made in reducing maternal deaths attributable to unsafe abortion.
However, 1 in 8 maternal deaths globally and 1 in 5 maternal deaths in Eastern
Africa continue to be attributable to unsafe abortion. Averting these preventable
deaths can contribute to achieving Millennium Development Goal number 5 of
improving maternal health.
PMID- 21885050
TI - Alcohol consumption and atherosclerotic burden in the proximal thoracic aorta.
AB - BACKGROUND: The relationship between alcohol consumption and ischemic stroke or
aortic atherosclerosis is unclear, but a protective effect of moderate
consumption on stroke risk has been suggested. We conducted a cross-sectional
analysis in a population-based sample to evaluate the possible association
between alcohol consumption and aortic atherosclerotic plaque (AAP), which is
associated with increased stroke risk. METHODS: As part of the NINDS-funded
Aortic Plaques and Risk of Ischemic Stroke (APRIS) study, 464 subjects over the
age of 55 were studied (mean age 69.1 +/- 9.0 with 251 males and 213 females),
including 255 patients with first ischemic stroke and 209 stroke-free controls.
Transesophageal echocardiogram was performed for the detection of AAP. Alcohol
consumption was measured in number of drinks per week during the previous year
using a standardized questionnaire, and categorized as: (1) none or minimal (<1
drink per month); (2) light to moderate (between 1 drink per month and 2 drinks
daily); and (3) heavy (>2 daily). Multivariate conditional logistic regression
analysis was used to calculate the odds ratios (ORs) and 95% confidence interval
(CI) for alcohol consumption and AAP after adjustment for the potential
confounding risk factors (age, sex, hypertension, diabetes, dyslipidemia, and
cigarette smoking). RESULTS: Overall, AAP was detected in 326 subjects (70.4%),
and 174 subjects (37.6%) had AAP >= 4 mm, which carry higher stroke risk. No or
minimal alcohol consumption was present in 241 subjects (53.2%), and 177 subjects
(39.0%) had light to moderate consumption. Prevalence of light to moderate
alcohol consumption was significantly lower in stroke patients than in controls
(35.5% vs. 60.3%, p < 0.001) and in subjects who had AAP compared with those
without it (41.6% vs. 58.8%, p = 0.008). After adjusting for significant
predictors of atherosclerosis, alcohol consumption of any degree was inversely
associated with AAP (OR 0.61; 95% CI 0.37-0.98, p = 0.042). The significance of
the association was borderline for AAP >= 4 mm (OR 0.64, 95% CI 0.41-1.00, p =
0.054). In the dose-response analysis, only light to moderate alcohol consumption
was significantly associated with a lower risk of having any AAP (adjusted OR
0.45; 95% CI 0.29-0.68, p < 0.001) or AAP >= 4 mm (adjusted OR 0.51; 95% CI 0.34
0.77, p = 0.001). CONCLUSIONS: Our data indicate that light to moderate alcohol
consumption is associated with lower atherosclerotic burden in the proximal
aortic arch. This observation may explain at least in part the lower risk of
ischemic stroke observed in moderate alcohol consumers.
PMID- 21885051
TI - Maternal smoking during pregnancy and risk factors for cardiovascular disease in
adulthood.
AB - OBJECTIVE: This study was aimed at assessing the effect of maternal smoking
during pregnancy on metabolic cardiovascular risk factors in early adulthood in a
Brazilian birth cohort, after controlling for possible confounding variables and
health behaviors in early adulthood. METHODS: In 1982, the maternity hospitals in
Pelotas, southern Brazil, were visited and all births were identified. Those
livebirths whose family lived in the urban area of the city were studied
prospectively. In 2004-2005, we attempted to follow the whole cohort, the
subjects were interviewed, examined and blood sample was collected. The following
outcomes were studied: blood pressure; HDL cholesterol; triglycerides; random
blood glucose and C-reactive protein. To explore the effect of maternal smoking,
we adjusted the coefficients for the following possible mediators: perinatal
factors (low birthweight and preterm births); adult behavioral factors (physical
activity, dietary pattern, intake of fat and fiber, and tobacco smoking) and
adult anthropometry (body mass index and waist circumference). RESULTS: In 2004
2005, we interviewed 4297 subjects, with a follow-up rate of 77.4%. The only
significant finding in the unadjusted analyses was lower HDL cholesterol among
females. After adjustment for lifestyle variables in early adulthood, birthweight
and waist circumference, the difference in HDL levels between offspring of
smokers and non-smokers reduced from -2.10mg/dL (95% confidence interval: -3.39;
0.80) to -1.03 mg/dL (-2.35; 0.30). CONCLUSION: Evidence that maternal smoking
during pregnancy programs offspring metabolic cardiovascular risk factors are
scarce, and reported associations are likely due to postnatal exposure to
lifestyle patterns.
PMID- 21885052
TI - Effect of pH additive and column temperature on kinetic performance of two
different sub-2 MUm stationary phases for ultrafast separation of charged
analytes.
AB - The performance characteristics of separation were studied for small
pharmaceuticals and larger charged molecules (peptides) in various mobile phase
conditions on two 5-cm long narrow bore columns packed with 1.7 MUm core-shell
and totally porous particles respectively. The effect of temperature and pH
additives (formic acid, trifluoroacetic acid, ammonium formate, ammonium acetate
and ammonia) on column efficiency was investigated through a kinetic study based
upon data obtained under gradient elution conditions. Sample peak capacities were
calculated and compared in all studied conditions for a sample of ten
representative peptides having masses ranging from 500 to 2000 Da. The elevation
of temperature was found to be significantly beneficial. The effect of flow-rate
on peak shape was also investigated. Ammonium acetate at neutral pH led to the
best results in terms of both efficiency and peak capacity. It was found that
column performance was strongly dependent on the type of stationary phase,
especially in acidic medium.
PMID- 21885053
TI - Polymer-hybridized liposomes anchored with alkyl grafted poly(asparagine).
AB - Polymer-hybridized liposomes (PHLs) of saturated lecithin were formed by
association of poly(asparagines) grafted with alkyl chains (PAsn-g-Cn). The
thermal, physical, and surface properties of the polymer-hybridized liposomes
were examined with varying polymer concentration, alkyl chain length (C(8),
C(12), C(18), C(22)), and degree of substitution (DS) in the polymer. The
inclusion of the polymer raised the membrane fluidity of liposomes. By the
incorporation of small amount of polymer, the membrane rigidity of liposomes
dropped sharply and then increased close to the original level as the polymer
concentrations increased in the cases of PAsn-g-C(18) and PAsn-g-C(22). Also, the
membrane rigidity and stability of PHLs increased with alkyl chain length at the
same polymer concentration. The surface charge of PHL associated with PAsn-g
C(22) was changed by DS of alkyl chains. The polymer bearing long alkyl chains
(C(12), C(18), C(22)) formed PHLs well at low polymer concentration and the
number of disk-shaped polymer-lipid mixed micelles increased with polymer
concentration. The anchored polymers induced shifts in gel-to-liquid crystal
transition temperature (Tc) of the vesicles and Tc varied with polymer
concentration, alkyl chain length, and DS of the polymer.
PMID- 21885054
TI - Solubilisation of different medium chain esters in zwitterionic surfactant
solutions--effects on phase behaviour and structure.
AB - We studied the effect of solubilisation of methyl esters with different chains of
medium length into the binary surfactant system tetradecyldimethylamine
oxide/water at constant surfactant concentration of 200 mM. As esters we employed
valeric, capronic, enanthic, and pelargonic methyl ester, thereby decreasing the
polarity. Always a phase sequence L(1)-L(alpha)-L(1) is observed with increasing
ester concentration, where the L(alpha)-phase increases in extent and goes to
much lower temperatures with increasing chain length of the ester. Viscosity
measurements show a maximum at intermediate concentrations of additive that is
independent of the type of ester. From SANS measurements detailed information
about the structural changes occurring during the rod-to-sphere transition in the
system of the shortest additive is deduced, which proceeds first through a
pronounced rod growth. Interestingly, for the different esters an almost constant
value of the volumic solubilisation capacity is observed, in agreement with the
relatively constant interfacial tension. For the different esters no effect on
the radius and the area requirement at the amphiphilic interface is observed at
the solubilisation boundary. The microemulsions present here are spherical
aggregates where the ester is partitioned between core and shell. From the SANS
and interfacial tension data the effective bending constants of the surfactant
monolayers were deduced and they show that the extension of the L(alpha)-phase is
directly related to a corresponding increase in the bending constants of the
surfactant/ester monolayers.
PMID- 21885055
TI - Perceptions of university instructors toward students who stutter: a quantitative
and qualitative approach.
AB - Many research studies have focused on perceptions of stuttering by various groups
of people. However, there is limited research on the perceptions of university
instructors toward stuttering and people who stutter. Therefore, this study
explored the perceptions of university instructors toward stuttering and students
who stutter, and their beliefs about classroom participation. Participants
included 328 university instructors across a variety of disciplines at two
Midwestern universities. Each participant completed a 12-item questionnaire
regarding perceptions related to stuttering, students who stutter, and classroom
participation. Of the 328 participants, 212 completed an open-ended question
about their experiences and concerns of working with students who stutter.
Results indicated that increased knowledge of stuttering is associated with
positive attitudes toward students who stutter. Moreover, the participants in
this study expressed a need for more information about stuttering and ways to
accommodate students who stutter in the classroom. LEARNING OUTCOMES: After
reading this article, the reader will be able to: (1) discuss the challenges that
university instructors face when working with students with disabilities; (2)
provide a rationale for the need to explore the perceptions of university
instructors toward students who stutter; (3) describe the major themes of
university instructors' knowledge of stuttering, and beliefs about classroom
participation for students who stutter; and (4) discuss the need for
disseminating more knowledge about stuttering to university instructors.
PMID- 21885056
TI - The effect of time on word learning: an examination of decay of the memory trace
and vocal rehearsal in children with and without specific language impairment.
AB - PURPOSE: The purpose of this study was to measure the effect of time to response
in a fast-mapping word learning task for children with specific language
impairment (SLI) and children with typically developing language skills (TD).
Manipulating time to response allows us to examine decay of the memory trace, the
use of vocal rehearsal, and their effects on word learning. METHOD: Participants
included 40 school-age children: half with SLI and half with TD. The children
were asked to expressively and receptively fast-map 24 novel labels for 24 novel
animated dinosaurs. They were asked to demonstrate learning either immediately
after presentation of the novel word or after a 10-second delay. Data were
collected on the use of vocal rehearsal and for recognition and production
accuracy. RESULTS: Although the SLI group was less accurate overall, there was no
evidence of decay of the memory trace. Both groups used vocal rehearsal at
comparable rates, which did not vary when learning was tested immediately or
after a delay. Use of vocal rehearsal resulted in better accuracy on the
recognition task, but only for the TD group. CONCLUSIONS: A delay in time to
response without interference was not an undue burden for either group. Despite
the fact that children with SLI used a vocal rehearsal strategy as often as
unimpaired peers, they did not benefit from the strategy in the same way as their
peers. Possible explanations for these findings and clinical implications will be
discussed. LEARNING OUTCOMES: Readers will learn about how time to response
affects word learning in children with specific language impairment and
unimpaired peers. They will see how this issue fits into a framework of
phonological working memory. They will also become acquainted with the effect of
vocal rehearsal on word learning.
PMID- 21885057
TI - Dog bite prevention: an assessment of child knowledge.
AB - OBJECTIVES: To determine what children know about preventing dog bites and to
identify parental desires for dog bite prevention education. STUDY DESIGN: This
cross-sectional study sampled 5- to 15-year-olds and their parents/guardians
presenting to a pediatric emergency department with nonurgent complaints or dog
bites. The parent/guardian-child pairs completed surveys and knowledge-based
simulated scenario tests developed on the basis of American Academy of Pediatrics
and Centers for Disease Control and Prevention dog bite prevention
recommendations. Regression analyses modeled knowledge test scores and
probability of passing; a passing score was >=11 of 14 questions. RESULTS: Of 300
parent/guardian-child pairs, 43% of children failed the knowledge test. Older
children had higher odds of passing the knowledge test than younger children, as
did children with white parents vs those with nonwhite parents. No associations
were found between knowledge scores and other sociodemographic or experiential
factors. More than 70% of children had never received dog bite prevention
education, although 88% of parents desired it. CONCLUSIONS: Dog bites are
preventable injures that disproportionately affect children. Dog bite prevention
knowledge in our sample was poor, particularly among younger children and
children with nonwhite parents. Formal dog bite prevention education is warranted
and welcomed by a majority of parents.
PMID- 21885058
TI - Health care utilization from prevalent medical conditions in normal-weight,
overweight, and obese children.
AB - OBJECTIVE: To explore the commonly recorded diagnoses in overweight and obese
children presenting to a clinical care setting compared with their normal-weight
peers. STUDY DESIGN: This was a cross-sectional study linking data from 3361
fifth grade students from the 2003 Children's Lifestyle and School Performance
Study with Nova Scotia administrative health data over 6 years. RESULTS:
Overweight and obese children were more likely to have had a diagnosis of
internalizing disorders, asthma, other respiratory disorders, obesity, otitis
media, and chronic adenoid/tonsil disorder. Conversely, normal-weight children
were more likely to have a diagnosis of conduct disorder or other mental
diseases. Except for internalizing disorders, overweight and obese children also
had significantly higher health care costs for these conditions. CONCLUSION:
Overweight and obese children had higher health care utilization across a range
of diagnoses, further confirming that health care utilization patterns of
overweight and obese children differ from those of their normal-weight peers.
Greater attention to the relationship between more common childhood conditions
and overweight and obesity is needed, given the greater prevalence in overweight
and obese children and the fact that some of the more established obesity-related
conditions occur less frequently, particularly in younger children.
PMID- 21885059
TI - Treatment of upper airway obstruction and feeding problems in Robin-like
phenotype.
PMID- 21885060
TI - Congenital cytomegalovirus infection: prevention is better than treatment.
PMID- 21885062
TI - Low rates of controller medication initiation and outpatient follow-up after
emergency department visits for asthma.
AB - OBJECTIVE: To determine what proportion of patients who are seen in an emergency
department (ED) for asthma receive inhaled corticosteroids or attend follow-up
appointments. STUDY DESIGN: This was a retrospective cohort study of 2007-2009
South Carolina Medicaid data. Enrollees aged 2-18 years who had an ED visit for
asthma were included. Patients admitted for asthma or with an inhaled
corticosteroid claim in the 2 months before the month of the ED visit were
excluded. Covariates were sex, race, age, rural residence, and asthma severity.
Outcome measures were a prescription for an inhaled corticosteroid filled within
the 2 months after the ED visit and attendance at a follow-up appointment within
the 2 months after the ED visit. RESULTS: A total of 3435 patients were included.
Out of the study cohort, 57% were male, 76% were of a minority race/ethnicity,
69% lived in an urban areas, 18% had inhaled corticosteroid use, and 12%
completed follow-up. Multivariate analyses demonstrated that patients with severe
asthma were more likely to receive an inhaled corticosteroid (OR, 2.9; 95% CI,
2.3-3.7) and attend a follow-up appointment (OR, 2.0; 95% CI, 1.5-2.6). Patients
aged 2-6 years and those aged >12 years were less likely to attend follow-up (OR,
0.71; 95% CI, 0.56-0.90 and OR, 0.62; 95% CI, 0.47-0.83, respectively) (all
models P < .0001). CONCLUSION: Children with asthma seen in the ED have low rates
of inhaled corticosteroid use and outpatient follow-up. This indicates a need for
further interventions to increase the use of inhaled corticosteroids in response
to ED visits.
PMID- 21885064
TI - Persistence of fetal hemoglobin expression in an older child with trisomy 13.
PMID- 21885063
TI - Replication of a genome-wide association study of birth weight in preterm
neonates.
AB - OBJECTIVE: To examine associations between rs9883204 in ADCY5 and rs900400 near
LEKR1 and CCNL1 with birth weight in a preterm population. Both markers were
associated with birth weight in a term population in a recent genome-wide
association study of Freathy et al. STUDY DESIGN: A meta-analysis of mother and
infant samples was performed for associations of rs900400 and rs9883204 with
birth weight in 393 families from the US, 265 families from Argentina, and 735
mother-infant pairs from Denmark. Z-scores adjusted for infant sex and
gestational age were generated for each population separately and regressed on
allele counts. Association evidence was combined across sites by inverse-variance
weighted meta-analysis. RESULTS: Each additional C allele of rs900400
(LEKR1/CCNL1) in infants was marginally associated with a 0.069 SD lower birth
weight (95% CI, -0.159 to 0.022; P = .068). This result was slightly more
pronounced after adjusting for smoking (P = .036). No significant associations
were identified with rs9883204 or in maternal samples. CONCLUSIONS: These results
indicate the potential importance of this marker on birth weight regardless of
gestational age.
PMID- 21885065
TI - Prolonged antibiotic therapy for "culture-negative" sepsis in preterm infants:
it's time to stop!
PMID- 21885066
TI - The feasibility and safety of laparoscopic splenectomy for massive splenomegaly:
a comparative study.
AB - BACKGROUND: Laparoscopic splenectomy used for massive splenomegaly is still
controversial. The purpose of our current study was to identify the feasibility
and safety of laparoscopic splenectomy for massive splenomegaly. METHODS: From
January 2006 to January 2010, laparoscopic splenectomy was performed on 33
patients with massive splenomegaly in our hospital, compared with 29 patients who
received open splenectomy (group 2) and 48 patients with normal-size spleens who
underwent laparoscopic splenectomy (group 3). Perioperative outcomes of these
patients were compared. RESULTS: Compared with patients in group 2, the patients
in group 1 required more operative time, less blood transfusion, and a shorter
postoperative hospital stay; furthermore, patients in group 1 had less estimated
blood loss and fewer complications. No statistically significant difference was
found in the patients' spleen volume and spleen weight. Group 1 had statistically
significant differences in operative time, estimated blood loss, postoperative
hospital stay, spleen volume, and spleen weight compared with patients in group
3. No significant differences were found in the conversion, blood transfusion, or
complication rate. CONCLUSIONS: Laparoscopic splenectomy is a technically
feasible and safe procedure for splenomegaly.
PMID- 21885067
TI - Avoiding sternotomy in repeat coronary artery bypass grafting: Feasibility,
safety, and mid-term outcome of the transabdominal off-pump technique using the
right gastroepiploic artery.
AB - OBJECTIVES: Repeat sternotomy is associated with a substantial risk of
cardiovascular injury. We evaluated the feasibility and clinical outcome of a
transabdominal approach without sternotomy and without cardiopulmonary bypass in
repeat coronary artery bypass grafting, using the right gastroepiploic artery to
graft vessels of the inferior wall of the heart. METHODS: From July 1999 to
October 2010, 22 patients presenting with only right coronary artery disease
underwent reoperation using the transabdominal approach and a skeletonized
gastroepiploic artery graft. In all patients but 1, a patent graft to the
anterior wall was present. The mean EuroSCORE was 6.4 +/- 2.5. RESULTS: All
patients had adequate surgical exposure, and no conversion to sternotomy or the
use of cardiopulmonary bypass was required. There was no in-hospital mortality.
Hospital morbidity included pneumothorax in 1 patient and atrial fibrillation in
2 patients. The median hospital stay was 5 days. Follow-up was complete, and the
median follow-up time was 6 years. There were 2 late deaths. Four patients
experienced recurrence of angina, of whom three required percutaneous coronary
intervention. The estimated freedom from major cardiovascular and cerebrovascular
events rate was 70.2% at 6 years. Fourteen patients underwent an exercise stress
test at a median interval of 2 years, with all showing no signs of myocardial
ischemia. CONCLUSIONS: Transabdominal off-pump coronary artery bypass grafting
using the right gastroepiploic artery is a safe and effective procedure with low
in-hospital mortality and morbidity and favorable mid-term outcome. In redo
operations, this technique excludes the risk of cardiovascular injury.
PMID- 21885068
TI - Gentamicin-collagen sponge reduces sternal wound complications after heart
surgery: a controlled, prospectively randomized, double-blind study.
AB - OBJECTIVE: Prophylactic retrosternal placement of a gentamicin-collagen sponge
has been the subject of several recent clinical studies and is a matter of
controversy. The present study is the first controlled, prospective, randomized,
double-blind, single-center study to investigate the efficacy of a retrosternal
gentamicin-collagen sponge in reducing sternal wound complications after heart
surgery. METHODS: From June 2009 to June 2010, 720 consecutive patients who
underwent median sternotomy were assigned to a control placebo group (collagen
sponge) or an intervention group (gentamicin-collagen sponge). All patients
received guideline-compliant perioperative antibiotic prophylaxis. The primary
end point was the occurrence of deep sternal wound infections within 30 days of
index surgery (follow-up period). Secondary end points were the occurrence of
superficial sternal wound infections requiring treatment, as well as further
clinical parameters, including revision, bleeding volume, and need for
transfusions during the follow-up period. RESULTS: A total of 720 of 994 patients
(72.4%) were enrolled (control group: n = 367 vs intervention group: n = 353).
Risk factors for sternal wound infection and demographic variables were
comparable in the 2 groups. The incidence of deep sternal wound infections was 13
of 367 (3.52%) in the control group versus 2 of 353 (0.56%) in the intervention
group (P = .014; adjusted odds ratio, 0.15; 95% confidence interval, 0.02-0.69).
The numbers needed to treat relation for all sternal wound infections and deep
sternal wound infections were 26 and 33, respectively. No statistically
significant differences were demonstrated concerning secondary end points, such
as postoperative bleeding and transfusion of red cell units, thrombocytes, and
fresh-frozen plasma. CONCLUSIONS: Routine prophylactic retrosternal use of a
gentamicin-collagen sponge in patients undergoing cardiac surgery significantly
reduces deep sternal wound infections.
PMID- 21885069
TI - A propensity score-matched comparison of deep versus mild hypothermia during
thoracoabdominal aortic surgery.
AB - OBJECTIVE: By using deep hypothermic circulatory arrest and non-deep hypothermic
circulatory arrest approaches, we examined the impact of distal ischemia time and
temperature on intra-abdominal reversible adverse outcomes and permanent adverse
outcomes during descending thoracic aortic and thoracoabdominal aortic aneurysm
operations. METHODS: A retrospective review of all patients who underwent
descending thoracic aortic and thoracoabdominal aortic aneurysm repair between
January 2002 and December 2008 was undertaken, including relevant preoperative,
intraoperative, and postoperative data, and followed by a propensity score
matched analysis. Of the total of 262 patients, 240 had data complete enough to
permit analysis, and 90 were suitable for the propensity-matched study.
Reversible adverse outcomes included renal failure, liver failure, and temporary
hemodialysis. Permanent adverse outcomes included paraplegia, permanent
hemodialysis, and 30-day mortality. RESULTS: Thirty-day mortality was 7.1%
(17/240). Overall, reversible adverse outcomes developed in 40.8% of patients and
permanent adverse outcomes developed in 10% of patients. The propensity score
analysis identified statistically significant decreased odds of developing
reversible adverse outcomes in patients undergoing deep hypothermic circulatory
arrest (odds ratio, 0.32; confidence interval, 0.12-0.85). Specifically,
significantly lower rates of acute renal failure (22% vs 46.4%, P = .03) and
liver failure (17.8% vs 34.3%, P = .04) were observed in the deep hypothermic
circulatory arrest group compared with the non-deep hypothermic circulatory
arrest group. In addition, there were decreased odds of reversible adverse
outcomes (odds ratio, 0.22; confidence interval, 0.06-0.79) developing in
patients with a stage II elephant trunk procedure. CONCLUSIONS: During descending
thoracic aortic and thoracoabdominal aortic aneurysm repairs, the use of deep
hypothermic circulatory arrest results in improved postoperative adverse outcome
rates compared with non-deep hypothermic circulatory arrest techniques. The
development of reversible adverse outcomes is strongly associated with the
development of permanent adverse outcomes.
PMID- 21885070
TI - Novel biodegradable polydioxanone stents in a rabbit airway model.
AB - OBJECTIVE: This study was undertaken to evaluate safety and biocompatibility of a
novel biodegradable polydioxanone stent in a rabbit tracheal model. Metallic and
silicone stents represent standard therapeutic approaches for hollow organ
stenosis, although complications have been reported repeatedly. Biodegradable
stents could reduce the risks associated with this procedure while still
achieving the purpose of maintaining lumen patency. METHODS: A commercially
available polydioxanone suture strand with a long safety record was used to
manufacture the self-expanding stents. The polydioxanone stents were then
implanted bronchoscopically and under fluoroscopic guidance into the tracheas of
white rabbits (N = 25). Periodic clinical examination was performed.
Histopathologic examination concluded the study for the 5 experimental groups at
3, 4, 5, 10, and 15 weeks after implantation. RESULTS: There were no unexpected
deaths and no stent displacements during the study. The animals remained in good
condition, without stent debris expectoration. Macroscopic examination revealed
that the tracheal lumen stayed open. Histologic examination showed that tracheal
damage score was highest 5 weeks after stenting, including in-stent necrosis of
the epithelium. Stent degradation was complete with no remnants after 10 weeks,
leaving the trachea completely healed at 15 weeks after implantation.
CONCLUSIONS: This animal airway model has demonstrated acceptable safety and
biocompatibility of this novel biodegradable polydioxanone stent. We suggest that
polydioxanone stenting be used for further clinical studies for cases in which
complete stent degradation after temporary airway treatment is desirable.
PMID- 21885071
TI - Impaired cardiac autophagy in patients developing postoperative atrial
fibrillation.
AB - OBJECTIVES: Postoperative atrial fibrillation (POAF) is a common complication
after on-pump heart surgery. Several histologic abnormalities, such as
interstitial fibrosis and vacuolization, have been described in atrial samples
from patients developing POAF. This ultrastructural remodeling has been
associated with the establishment of a proarrhythmic substrate. We studied
whether atrial autophagy is activated in patients who develop POAF. METHODS: A
total of 170 patients in sinus rhythm who had undergone elective coronary artery
bypass grafting were included. Systemic inflammatory markers were measured at
baseline and 72 hours after surgery. During the procedure, samples of the right
atrial appendages were obtained for evaluation of remodeling by light and
electron microscopy. Protein ubiquitination and autophagy-related LC3B processing
were assessed by Western blot. RESULTS: Of these patients, 22% developed POAF.
The level of high-sensitivity C-reactive protein, fibrosis, inflammation, myxoid
degeneration, and ubiquitin-aggregates in the atria did not differ between
patients with and without POAF. Electron microphotographs of those with POAF
showed a significant accumulation of autophagic vesicles and lipofuscin deposits.
Total protein ubiquitination was similar in the patients with and without POAF,
but LC3B processing was markedly reduced in those with POAF, suggesting a
selective impairment in autophagic flow. CONCLUSIONS: This study provides novel
evidence that ultrastructural atrial remodeling characterized by an impaired
cardiac autophagy is present in patients developing POAF after coronary artery
bypass surgery.
PMID- 21885072
TI - The influences of various anthropogenic sources of deterioration on meiobenthos
(Ostracoda) over the last 100 years in Suo-Nada in the Seto Inland Sea, southwest
Japan.
AB - This study focuses on the relationships of water and sediment quality with
meiobenthos (Ostracoda) over the past 100 years, using a sediment core obtained
from Suo-Nada in the Seto Inland Sea, Japan. We compared high-resolution
ostracode results with geochemical and sedimentological data obtained from the
study core as well as with rich environmental monitoring data that are available.
R-mode cluster analysis revealed two bioassociations (BC, KA). Until the 1960 s,
assemblages continued to show high diversity. They changed in approximately 1970,
when excessive nutrients and organic matter began to be supplied, and most
species decreased in number. All species of bioassociation BC were dominant again
by the mid-1990 s; however, those of bioassociation KA containing infaunal
species did not increase and have been absent or rare since the 1970s because
organic pollution of sediments has continued to date. This study provided robust
baseline for ostracode-based long-term environmental monitoring in East Asia.
PMID- 21885073
TI - Barriers of research utilization from the perspective of nurses in Eastern
Turkey.
AB - OBJECTIVES: This study was performed to determine the barriers to the
implementation of nursing research findings, as perceived by the nurses working
in the Eastern Anatolian Region. METHODS: The study was performed in the Eastern
Anatolian Region between April and July 2009. A cross-sectional design was used
in this study. Thirteen hospitals were randomly selected using a cluster sampling
technique, encompassing general hospitals in all cities of this region. A total
of 1094 nurses who agreed to participate in the study were included. The data was
collected with a questionnaire and "Barriers Scale". Average, percentage
distribution, and parametric statistical tests were used in data analyses.
CONCLUSION: According to the Barriers Scale, it was determined that the most
important impediment perceived by the nurses was "insufficient time on the job to
implement new ideas". The average point of overall scale was found to be 68.38 +/
14.66.
PMID- 21885074
TI - Leishmanicidal effects of piperine, its derivatives, and analogues on Leishmania
amazonensis.
AB - Leishmaniasis is a tropical disease caused by protozoan parasites of the genus
Leishmania which affects 12 million people worldwide. The discovery of drugs for
the treatment of leishmaniasis is a pressing concern in global health programs.
The aim of this study aim was to evaluate the leishmanicidal effect of piperine
and its derivatives/analogues on Leishmania amazonensis. Our results showed that
piperine and phenylamide are active against promastigotes and amastigotes in
infected macrophages. Both drugs induced mitochondrial swelling, loose
kinetoplast DNA, and led to loss of mitochondrial membrane potential. The
promastigote cell cycle was also affected with an increase in the G1 phase cells
and a decrease in the S-phase cells, respectively, after piperine and phenylamide
treatment. Lipid analysis of promastigotes showed that piperine reduced
triglyceride, diacylglycerol, and monoacylglycerol contents, whereas phenylamide
only reduced diacylglycerol levels. Both drugs were deemed non toxic to
macrophages at 50 MUM as assessed by XTT (sodium 2,3,-bis(2-methoxy-4-nitro-5
sulfophenyl)-5-[(phenylamino)-carbonyl]-2H-tetrazolium inner salt), Trypan blue
exclusion, and phagocytosis assays, whereas low toxicity was noted at
concentrations higher than 150 MUM. None of the drugs induced nitric oxide (NO)
production. By contrast, piperine reduced NO production in activated macrophages.
The isobologram analysis showed that piperine and phenylamide acted
synergistically on the parasites suggesting that they affect different target
mechanisms. These results indicate that piperine and its phenylamide analogue are
candidates for development of drugs for cutaneous leishmaniasis treatment.
PMID- 21885075
TI - Immunotherapy in human glioblastoma.
AB - Glioblastoma patients spontaneously develop anti-tumour immune responses.
However, the tumour itself develops several mechanisms that allow the tumor to
escape the immune system. Clinical trials using infusion of activated autologous
immune cells, or active immunotherapy with tumor antigens and dendritic cells
have successfully induced anti-tumour immunity and some radiological responses.
More recently, approaches targeting the mechanisms of tolerance have shown
promising data in melanoma, and are currently under investigations in gliomas.
However, large randomised trials are still needed to prove the usefulness of
cancer vaccines in brain tumors.
PMID- 21885076
TI - Metabolism of glioma and IDH1/IDH2 mutations.
AB - Many known oncogenic signaling pathways involved in gliomagenesis have strong
consequences on tumor cell metabolism, and promote the switch from oxidative
phosphorylation to aerobic glycolysis, for ATP generation. However, the interest
on metabolism has been recently renewed by the discovery of recurrent mutation of
IDH1 genes by systematic sequencing of a glioblastoma series. IDH1 encodes the
cytoplasmic NADP dependent isocitrate dehydrogenase1 that catalyzes the oxidative
decarboxylation of isocitrate into alpha-ketoglutarate. IDH1, more rarely IDH2,
is mutated in 40% of gliomas (roughly 70% of low-grade gliomas, 50% of grade III,
and 5 to 10% of primary glioblastomas). IDH1/IDH2 mutations are associated with
genomic profile, being present in nearly all the 1p19q codeleted gliomas, and
virtually absent in gliomas with EGFR amplification. It is a strong and
independent predictor of survival, whatever grade considered. IDH1/IDH2 mutation
results in a new enzymatic activity transforming alpha-ketoglutarate into 2
hydroxyglutarate (2-HG). The oncometabolite 2-HG accumulates in the cell and acts
as a competitive inhibitor of many alpha-ketoglutarate dependent cellular
reactions. The cellular consequences of this mutation offer potential targets for
the development of novel therapeutics.
PMID- 21885077
TI - Efficacy of single and double doses of albendazole and mebendazole alone and in
combination in the treatment of Trichuris trichiura in school-age children in
Uganda.
AB - A randomised clinical trial was conducted in Kabale District, southwestern
Uganda, to compare the efficacies of single and double doses of a combination of
400mg albendazole (ALB) and 500mg mebendazole (MBZ) with those of single and
double doses of each drug given alone in the treatment of Trichuris trichiura.
Infected pupils (n=611) were randomised to six treatment groups. Three groups
received either a single dose of ALB, MBZ or the combination (ALB+MBZ). The other
three groups received either a double dose of ALB (ALB/ALB), MBZ (MBZ/MBZ) or the
combination (ALB+MBZ/ALB+MBZ). All double doses were given 8h apart. Children
were followed-up weekly for 1 month. Cure rates were significantly higher using
double doses compared with single doses (irrespective of drug; z=-4.02, P<0.0005)
as well as using the drug combination compared with single drugs (irrespective of
doses; z=-7.64, P<0.0005). Cure rates measured at Day 7 were significantly higher
than on Days 14 and 21 after treatment (Day 14, z=9.90, P<0.0005; Day 21, z=7.36,
P<0.0005). Geometric mean (GM) intensities of positives were significantly lower
on Day 7 compared with all other subsequent days (P<0.00005), and on Day 28 GM
intensities reached pre-treatment levels (P=0.096). Whilst there was no
difference in egg excretion between single and double doses of the same drug or
drug combination (F((df)(1))=0.28, P=0.60), the combination treatment resulted in
lower egg excretion than use of single drugs (F((df)(2))=50.90, P<0.00005). All
the tested regimens of ALB and MBZ had low cure rates against T. trichiura in
Uganda, but both combination treatments showed satisfactory egg reduction rates 3
weeks after treatment.
PMID- 21885078
TI - Oscillations of a statistical scattering in the Rayleigh limit and the Rayleigh
law violation.
AB - Oscillations of the Rayleigh wave statistical scattering in the Rayleigh limit
are theoretically found. These oscillations are violation of the Rayleigh law of
scattering. They are caused by the diffraction of a new form - the extraordinary
scattering in the Rayleigh limit, which leads to the different frequency
dependencies of the scattering coefficient and thus violates the Rayleigh law of
scattering. The fundamental physical conception that a wave does not sense the
structure of an irregularity in a long-wavelength scattering, when the wavelength
is much greater than the character size of the irregularity, i.e. in the Rayleigh
limit, is violated as well.
PMID- 21885080
TI - QMRAspot: a tool for Quantitative Microbial Risk Assessment from surface water to
potable water.
AB - In the Netherlands, a health based target for microbially safe drinking water is
set at less than one infection per 10,000 persons per year. For the assessment of
the microbial safety of drinking water, Dutch drinking water suppliers must
conduct a Quantitative Microbial Risk Assessment (QMRA) at least every three
years for the so-called index pathogens enterovirus, Campylobacter,
Cryptosporidium and Giardia. In order to collect raw data in the proper format
and to automate the process of QMRA, an interactive user-friendly computational
tool, QMRAspot, was developed to analyze and conduct QMRA for drinking water
produced from surface water. This paper gives a description of the raw data
requirements for QMRA as well as a functional description of the tool. No
extensive prior knowledge about QMRA modeling is required by the user, because
QMRAspot provides guidance to the user on the quantity, type and format of raw
data and performs a complete analysis of the raw data to yield a risk outcome for
drinking water consumption that can be compared with other production locations,
a legislative standard or an acceptable health based target. The uniform approach
promotes proper collection and usage of raw data and, warrants quality of the
risk assessment as well as enhances efficiency, i.e., less time is required.
QMRAspot may facilitate QMRA for drinking water suppliers worldwide. The tool
aids policy makers and other involved parties in formulating mitigation
strategies, and prioritization and evaluation of effective preventive measures as
integral part of water safety plans.
PMID- 21885079
TI - Parainfluenza virus 5-based vaccine vectors expressing vaccinia virus (VACV)
antigens provide long-term protection in mice from lethal intranasal VACV
challenge.
AB - To test the potential for parainfluenza virus 5 (PIV5)-based vectors to provide
protection from vaccinia virus (VACV) infection, PIV5 was engineered to express
secreted VACV L1R and B5R proteins, two important antigens for neutralization of
intracellular mature (IMV) and extracellular enveloped (EEV) virions,
respectively. Protection of mice from lethal intranasal VACV challenge required
intranasal immunization with PIV5-L1R/B5R in a prime-boost protocol, and
correlated with low VACV-induced pathology in the respiratory tract and anti-VACV
neutralizing antibody. Mice immunized with PIV5-L1R/B5R showed some disease
symptoms following VACV challenge such as loss of weight and hunching, but these
symptoms were delayed and less severe than with unimmunized control mice. While
immunization with PIV5 expressing B5R alone conferred at least some protection,
the most effective immunization included the PIV5 vector expressing L1R alone or
in combination with PIV5-B5R. PIV5-L1R/B5R vectors elicited protection from VACV
challenge even when CD8+ cells were depleted, but not in the case of mice that
were defective in B cell production. Mice were protected from VACV challenge out
to at least 1.5 years after immunization with PIV5-L1R/B5R vectors, and showed
significant levels of anti-VACV neutralizing antibodies. These results
demonstrate the potential for PIV5-based vectors to provide long lasting
protection against complex human respiratory pathogens such as VACV, but also
highlight the need to understand mechanisms for the generation of strong immune
responses against poorly immunogenic viral proteins.
PMID- 21885081
TI - Electrochemical sulfide oxidation from domestic wastewater using mixed metal
coated titanium electrodes.
AB - Hydrogen sulfide generation is a major issue in sewer management. A novel method
based on electrochemical sulfide oxidation was recently shown to be highly
effective for sulfide removal from synthetic and real sewage. Here, we compare
the performance of five different mixed metal oxide (MMO) coated titanium
electrode materials for the electrochemical removal of sulfide from domestic
wastewater. All electrode materials performed similarly in terms of sulfide
removal, removing 78+/-5%, 77+/-1%, 85+/-4%, 84+/-1%, and 83+/-2% at a current
density of 10 mA/cm(2) using Ta/Ir, Ru/Ir, Pt/Ir, SnO(2) and PbO(2),
respectively. Elevated chloride concentrations, often observed in coastal areas,
did not entail any significant difference in performance. Independent of the
electrode material used, sulfide oxidation by in situ generated oxygen was the
predominant reaction mechanism. Passivation of the electrode surface by
deposition of elemental sulfur did not occur. However, scaling was observed in
the cathode compartment. This study shows that all the MMO coated titanium
electrode materials studied are suitable anodic materials for sulfide removal
from wastewater. Ta/Ir and Pt/Ir coated titanium electrodes seem the most
suitable electrodes since they possess the lowest overpotential for oxygen
evolution, are stable at low chloride concentration and are already used in full
scale applications.
PMID- 21885082
TI - Nitrate reduction in a simulated free-water surface wetland system.
AB - The feasibility of using a constructed wetland for treatment of nitrate
contaminated groundwater resulting from the land application of biosolids was
investigated for a site in the southeastern United States. Biosolids degradation
led to the release of ammonia, which upon oxidation resulted in nitrate
concentrations in the upper aquifer in the range of 65-400 mg N/L. A laboratory
scale system was constructed in support of a pilot-scale project to investigate
the effect of temperature, hydraulic retention time (HRT) and nitrate and carbon
loading on denitrification using soil and groundwater from the biosolids
application site. The maximum specific reduction rates (MSRR), measured in batch
assays conducted with an open to the atmosphere reactor at four initial nitrate
concentrations from 70 to 400 mg N/L, showed that the nitrate reduction rate was
not affected by the initial nitrate concentration. The MSRR values at 22 degrees
C for nitrate and nitrite were 1.2 +/- 0.2 and 0.7 +/- 0.1 mg N/mg VSS(COD)-day,
respectively. MSRR values were also measured at 5, 10, 15 and 22 degrees C and
the temperature coefficient for nitrate reduction was estimated at 1.13. Based on
the performance of laboratory-scale continuous-flow reactors and model
simulations, wetland performance can be maintained at high nitrogen removal
efficiency (>90%) with an HRT of 3 days or higher and at temperature values as
low as 5 degrees C, as long as there is sufficient biodegradable carbon
available to achieve complete denitrification. The results of this study show
that based on the climate in the southeastern United States, a constructed
wetland can be used for the treatment of nitrate-contaminated groundwater to low,
acceptable nitrate levels.
PMID- 21885083
TI - Speciation of trace inorganic contaminants in corrosion scales and deposits
formed in drinking water distribution systems.
AB - Sequential extractions utilizing the modified Tessier scheme (Krishnamurti et
al., 1995) and measurements of soluble and particulate metal released from
suspended solids were used in this study to determine the speciation and mobility
of inorganic contaminants (As, Cr, V, U, Cd, Ni, and Mn) found in corrosion
scales and particles mobilized during hydraulic flushing events. Arsenic,
chromium and vanadium are primarily associated with the mobilization-resistant
fraction that is resistant to all eluents used in this study and also bound in
highly stable crystalline iron oxides. Very low concentrations of these elements
were released in resuspension experiments. X-ray absorbance measurements
demonstrated that arsenic in the sample with the highest As concentration was
dominated by As(V) bound by iron oxides. Significant fractions of uranium and
cadmium were associated with carbonate solids. Nickel and manganese were
determined to be more mobile and significantly associated with organic fractions.
This may indicate that biofilms and natural organic matter in the drinking water
distributions systems play an important role in the accumulation and release of
these inorganic contaminants.
PMID- 21885084
TI - GIS-based source identification and apportionment of diffuse water pollution:
perfluorinated compound pollution in the Tokyo Bay basin.
AB - To efficiently reduce perfluorinated compound (PFC) pollution, it is important to
have an understanding of PFC sources and their contribution to the pollution. In
this study, source identification of diffuse water pollution by PFCs was
conducted using a GIS-based approach. Major components of the source
identification were collection of the monitoring data and preparation of the
corresponding geographic information that was extracted from a constructed GIS
database. The spatially distributed pollution factors were then explored by
multiple linear regression analysis, after which they were visually expressed
using GIS. Among the 35 PFC homologues measured in a survey of the Tokyo Bay
basin, 18 homologues were analyzed. Pollution by perfluorooctane sulfonate (PFOS)
was explained well by the percentage of arterial traffic area in the basin, and
the 84% variance of the measured PFOS concentration was explained by two
geographic variables, arterial traffic area and population. Source apportionment
between point and nonpoint sources was conducted based on the results of the
analysis. The contribution of PFOS from nonpoint sources was comparable to that
from point sources in several major rivers flowing into Tokyo Bay. Source
identification and apportionment using the GIS-based approach was shown to be
effective, especially for ubiquitous types of pollution, such as PFC pollution.
PMID- 21885085
TI - Polybrominated diphenyl ethers in sewage sludge from Shanghai, China: possible
ecological risk applied to agricultural land.
AB - Ideally, agricultural use is a treatment for the sewage sludge generated from
municipal wastewater. However, this treatment probably causes ecological risks
due to the occurrence of organic contaminants in sludge, which has attracted
rising concerns recently. To assess the possible ecological risk, in this study,
sewage sludge samples were collected from 28 wastewater treatment plants (WWTPs)
in Shanghai, China for exploring the level and profile of polybrominated diphenyl
ethers (PBDEs). The mean concentration of Sigma18PBDE (sum of all target analytes
except for BDE-209) was at the low end of global range. However, we found the
highest reported BDE-209 levels (34,900 ng g(-1) dw) in sewage sludge/biosoilds
to date. The annual mass loadings of penta-BDE, octa-BDE, and deca-BDE were 3.6,
0.6, and 763 kg through sludge, respectively. Following sludge application in
agricultural land, the concentrations of penta-BDE, octa-BDE, and deca-BDE in
soil were 0.19, 0.03, and 39.5 ng g(-1), respectively. Preliminary results
indicate that the ecological risk of soil in organisms exposed to PBDEs was
relatively low. Nevertheless, further studies are needed to explore the fate of
PBDEs in sewage sludge due to no restriction on the usage and production of PBDEs
products in China currently.
PMID- 21885086
TI - Atmospheric fate of non-volatile and ionizable compounds.
AB - A modified version of the Multimedia Activity Model for Ionics MAMI, including
two-layered atmosphere, air-water interface partitioning, intermittent rainfall
and variable cloud coverage was developed to simulate the atmospheric fate of ten
low volatility or ionizable organic chemicals. Probabilistic simulations
describing the uncertainty of substance and environmental input properties were
run to evaluate the impact of atmospheric parameters, ionization and air-water
(or air-ice) interface enrichment. The rate of degradation and the concentration
of OH radicals, the duration of dry and wet periods, and the parameters
describing air-water partitioning (K(AW) and temperature) and ionization (pK(a)
and pH) are the key parameters determining the potential for long range
transport. Wet deposition is an important removal process, but its efficiency is
limited, primarily by the duration of the dry period between precipitation
events. Given the underlying model assumptions, the presence of clouds
contributes to the higher persistence in the troposphere because of the capacity
of cloud water to accumulate and transport non-volatile (e.g. 2,4-D) and surface
active chemicals (e.g. PFOA). This limits the efficiency of wet deposition from
the troposphere enhancing long-range transport.
PMID- 21885087
TI - Cadmium- and calcium-mediated toxicity in rainbow trout (Oncorhynchus mykiss) in
vivo: interactions on fitness and mitochondrial endpoints.
AB - Rainbow trout were exposed to sublethal waterborne Cd (5 and 10 MUg L(-1)) and
dietary Ca (60 mg g(-1)), individually and in combination, for 30 d to elucidate
the interactive effects and evaluate the toxicological significance of
mitochondrial responses to these cations in vivo. Indices of fish condition and
mortality were measured and livers, centers of metabolic homeostasis, were
harvested to assess mitochondrial function and cation accumulation. All indices
of condition assessed (body weight, hepatosomatic index and condition factor)
were reduced in all the treatment groups. Mortality occurred in the Cd-exposed
groups with dietary Ca partly protecting against and enhancing it in the lower
and higher Cd exposure, respectively. State 3 mitochondrial respiration was
inhibited by 30%, 35% and 40% in livers of fish exposed to Ca, Cd and Cd+Ca,
respectively, suggesting reduced ATP turnover and/or impaired substrate
oxidation. While the phosphorylation efficiency was unaffected, state 4 and state
4+ (+ oligomycin) respirations were inhibited by all the exposures. Mitochondrial
coupling was reduced and transiently restored denoting partially effective
compensatory mechanisms to counteract Cd/Ca toxicity. The respiratory dysfunction
was associated with accumulation of both Cd and Ca in the mitochondria. Although
fish that survived acute effects of Cd and Ca exposure apparently made
adjustments to energy generation such that liver mitochondria functioned more
efficiently albeit at reduced capacity, reduced fitness was persistent possibly
due to increased demands for maintenance and defense against toxicity. Overall,
interactions between Cd and Ca on condition indices and mitochondrial responses
were competitive or cooperative depending on exposure concentrations and
duration.
PMID- 21885088
TI - Characterisation and fingerprinting of PCBs in flue gas and ash from waste
incineration and in technical mixtures.
AB - Congener patterns of mono- to deca-chlorinated biphenyls (PC1-10B) were evaluated
in (a) waste incineration flue gases collected in the post-combustion zone of a
laboratory-scale fluidized-bed reactor, (b) ashes from two different MSW
incineration plants, and (c) published data of eight Aroclor formulations. The
congener patterns of the flue gases, ashes, and Aroclor mixtures clearly differed
from each other, likely reflecting differences in formation pathways. The flue
gas congener patterns were largely dominated by the least chlorinated congeners,
whereas the ashes displayed more evenly distributed patterns. The most abundant
congeners indicated a preference for 3,3',4,4'-oriented substitution, which may
be related to de novo-type formation involving perylene. Principal component
analysis confirmed that congener patterns differed among the three matrices and
also distinguished flue gases collected at 200 degrees C from those collected at
300 degrees C and 450 degrees C. This distinction could be partly explained by
the degree of chlorination, although the substitution status of the ortho
position, and substitution in the 3,3',4,4'-positions also seemed to be
influential. Injecting biphenyl into the post-combustion zone of the reactor did
not alter the patterns, indicating that availability of the backbone structure is
not a limiting factor for PCB formation.
PMID- 21885089
TI - Evaluation of the fish short term reproduction assay for detecting endocrine
disrupters.
AB - In a fish testing strategy, positive results of the fish short term reproduction
assay (FSTR), often trigger a definitive test like the fish sexual development
test (FSDT) or the fish full life cycle test (FFLC), entailing ethical and
economic problems. This study analysed 137 studies encompassing 35 chemicals with
different modes of actions (MOAs). Variability is quantified for MOA endpoints
vitellogenin (VTG) and secondary sex characteristics (SSCs) as well as for apical
endpoints. Two MOA endpoints could indicate estrogenic, anti-estrogenic,
androgenic, anti-androgenic and steroidogenesis activities. Great variability,
however, has been observed for chemicals with anti-androgenic and steroidogenesis
activities, suggesting that TG229/230 may not be sensitive enough to detect these
types of chemicals and may produce false negatives. Changes in apical endpoints
like fecundity are not limited to endocrine disrupting chemicals (EDCs). Non-EDCs
could induce the similar effects on these apical endpoints. If elucidating MOA is
needed, targeted in vitro MOA tests are suggested. Positive in vitro MOA results
trigger a definitive test, which could be used for confirmation of the MOA in
vivo and for deriving a no observed effect concentration (NOEC). Based on
positive MOA results of TG229, a definitive test such as the FSDT or the FFLC is
still needed, because the current TG229 has limitation on the derivation of a
NOEC. An extended TG229 with more power to detect reproduction effects, as
recently proposed in the OECD test guideline program, would improve the
possibility to derive a NOEC and increase its usefulness in risk assessment.
PMID- 21885090
TI - Stream water hydrochemistry as an indicator of carbon flow paths in Finnish
peatland catchments during a spring snowmelt event.
AB - Extreme hydrological events are known to contribute significantly to total annual
carbon export, the largest of which in Arctic and boreal catchments is spring
snowmelt. Whilst previous work has quantified the export of carbon during
snowmelt, the source of the carbon remains unclear. Here we use cation
hydrochemistry to trace the primary flowpaths which govern the export of carbon
during the snowmelt period; specifically we aim to examine the importance of
snowpack meltwater to catchment carbon export. The study was carried out in two
forested peatland (drained and undrained) catchments in Eastern Finland. Both
catchments were characterised by base-poor stream water chemistry, with cation
concentrations generally decreasing in response to increasing discharge.
Streamflow during the snowmelt period was best described as a mixture of three
sources: pre-event water, snowpack meltwater and a third dilute component we
attribute to the upper snow layer which was chemically similar to recent
precipitation. Over the study period, pre-event water contributed 32% and 43% of
the total stream runoff in Valipuro (undrained) and Suopuro (drained),
respectively. The results also suggest a greater near-surface throughflow
component in Suopuro, the drained catchment, prior to snowmelt. CO(2) and DOC
concentrations correlated positively with cation concentrations in both
catchments indicating a common, peat/groundwater flowpath. CH(4) concentrations
were significantly higher in the drained catchment and appeared to be transported
in near-surface throughflow. Meltwater from the snowpack represented an important
source of stream water CO(2) in both catchments, contributing up to 49% of total
downstream CO(2) export during the study period. We conclude that the snowpack
represents a potentially important, and often overlooked, transient carbon store
in boreal snow-covered catchments.
PMID- 21885091
TI - Biogeochemical cycling of arsenic in coastal salinized aquifers: Evidence from
sulfur isotope study.
AB - Arsenic (As) contamination of groundwater, accompanied by critical salinization,
occurs in the southwestern coastal area of Taiwan. Statistical analyses and
geochemical calculations indicate that a possible source of aqueous arsenic is
the reductive dissolution of As-bearing iron oxyhydroxides. There are few reports
of the influence of sulfate-sulfide redox cycling on arsenic mobility in brackish
groundwater. We evaluated the contribution of sulfate reduction and sulfide re
oxidation on As enrichment using delta(34)S([SO(4)]) and delta(18)O([SO(4)])
sulfur isotopic analyses of groundwater. Fifty-three groundwater samples were
divided into groups of high-As content and salinized (Type A), low-As and non
salinized (Type B), and high-As and non-salinized (Type C) groundwaters, based on
hydro-geochemical analysis. The relatively high enrichment of (34)S([SO(4)]) and
(18)O([SO(4)]) present in Type A, caused by microbial-mediated reduction of
sulfate, and high (18)O enrichment factor (epsilon([SO(4)-H(2)O])), suggests that
sulfur disproportionation is an important process during the reductive
dissolution of As-containing iron oxyhydroxides. Limited co-precipitation of ion
sulfide increased the rate of As liberation under anaerobic conditions. In
contrast to this, Type B and Type C groundwater samples showed high
delta(18)O([SO(4)]) and low delta(34)S([SO(4)]) values under mildly reducing
conditions. Base on (18)O mass balance calculations, the oxide sources of sulfate
are from infiltrated atmospheric O(2), caused by additional recharge of dissolved
oxygen and sulfide re-oxidation. The anthropogenic influence of extensive pumping
also promotes atmospheric oxygen entry into aquifers, altering redox conditions,
and increasing the rate of As release into groundwater.
PMID- 21885092
TI - The Nile monitor (Varanus niloticus; Squamata: Varanidae) as a sentinel species
for lead and cadmium contamination in sub-Saharan wetlands.
AB - Wetland pollution is a matter of concern in sub-Saharan Africa. Though regularly
exploited, the Nile monitor (Varanus niloticus), a large amphibious lizard, is
not threatened. This work aims at assessing the value of this varanid as a
sentinel species in surveys of environmental contamination by metals. Lead and
cadmium quantifications were performed by graphite furnace-atomic absorption
spectrophotometry in bone, intestine, kidney, liver and muscle in 71 monitors
from three unevenly polluted sites in Mali and Niger, plus a reference site. The
effects of sex, size and fat reserves as well as factors related to the sampling
strategy (tissue sampled, sampling site) were studied with a mixed linear model.
Metal contamination is moderate at the four sites but clear differences
nevertheless occur. Lead levels are generally maximal in bone, with a gender
independent median value 320ng.g(-1). Median cadmium concentrations never exceed
70.2ng.g(-1) in females (kidney) and 57.5ng.g(-1) in males (intestine). Such
levels should have no detrimental effects on the monitors. Lead and cadmium
levels in muscles are generally below 200 and 20ng.g(-1), respectively, and
should provoke no health hazard to occasional consumers of monitor meat. Metal
organotropisms are consistent with those observed in other studies about
Squamates: for lead: bone>[kidney, intestine, liver]>muscle in males and [bone,
kidney]>[intestine, liver]>muscle in females; for cadmium: [liver, intestine,
kidney]>[bone, muscle] for both genders. Females are more contaminated,
especially in their kidneys. In this tissue, median values in ng.g(-1) are 129.7
and 344.0 for lead and 43.0 and 70.2 for cadmium, for males and females,
respectively. Nile monitors can reveal subtle differences in local pollution by
metals; moreover, the spatial resolution of the pollution indication that they
give seems to be very sharp. The practical relevance of this new tool is thus
validated.
PMID- 21885093
TI - The risk of occurrence of venous thrombosis: focus on protein Z.
AB - Protein Z (PZ) is a vitamin K-dependent factor identified in human plasma in 1984
characterized by an homology with other vitamin K-dependent factors. PZ acts as
the cofactor of the PZ dependent inhibitor (ZPI), in the inhibition of activated
factor X bound on phospholipid surface. In humans, PZ is characterized by an
unusual wide distribution in plasma partly explained by a genetic control.
Several PZ gene polymorphisms influencing plasma concentration have been
described. In mice, the disruption of PZ gene is asymptomatic, but in association
with homozygous FV Leiden produced a severe prothrombotic phenotype. This review
analyzes the results obtained from different studies so far published in order to
understand whether PZ deficiency could be considered as a risk factor for venous
thrombosis. The roles of PZ plasma level and PZ gene polymorphisms remain debated
with conflicting results. Many of these studies reported low PZ levels in
association with an increased risk of venous thrombosis. On the other side, some
studies did not observe an association between low levels of PZ and thrombotic
events. A relationship between PZ deficiency and pregnancy complications was also
described but not confirmed by all studies. These discrepancies can be explained
by the heterogeneity of populations chosen as control, by the PZ interindividual
variability and by the small size of the cohorts in mainly retrospective studies.
Large prospective studies remain to be done to investigate its possible role in
thrombosis.
PMID- 21885094
TI - Single institution experience comparing double-barreled wet colostomy to ileal
conduit for urinary and fecal diversion.
AB - OBJECTIVE: To compare outcomes and feasibility of double-barreled wet colostomy
and ileal conduit (IC) in patients undergoing total pelvic exenteration (TPE).
METHODS: Between 2004 and 2010, 54 patients underwent TPE for pelvic
malignancies. Of those patients, 53 had complete records available for analysis.
Two groups were identified based on the technique used for urinary diversion,
either by way of an IC or a double-barreled wet colostomy (DBWC). Demographics,
comorbidities, complications, length of stay, operative times, morbidity, and
mortality were compared between the 2 groups. RESULTS: Forty-three patients (81%)
underwent a DBWC and ten patients (19%) underwent an IC. The 2 groups were
similar in terms of age, gender, and comorbidities. Eighteen patients underwent
an R0 resection (39%) and twenty-eight (61%) patients had a non-R0 resection.
Seven patients (13%) had a complete response to therapy with no evidence of
malignancy. A majority of the patients (68%) undergoing TPE had colorectal
histology. Thirty-day morbidity directly related to complications of urinary or
fecal diversion was 78% in the DBWC group and 58% in the IC group. There was no
perioperative mortality in either group. CONCLUSION: DBWC is a safe and feasible
alternative to the traditional IC for urinary diversion. This technique is easy
to learn and is associated with similar operative times, length of stay,
morbidity, and mortality compared with IC.
PMID- 21885095
TI - The eliciting dose of peanut in double-blind, placebo-controlled food challenges
decreases with increasing age and specific IgE level in children and young
adults.
AB - BACKGROUND: Several risk factors for severe anaphylactic reactions to food in
daily life are known. However, to date, it is not possible to predict the
severity of allergic reactions to food in the individual patient with accuracy.
Some studies show that a history of severe reactions is associated with a lower
eliciting dose in double-blind, placebo-controlled food challenges (DBPCFCs).
Therefore, in this study, the eliciting dose was used as a measure of clinical
sensitivity. OBJECTIVES: To study whether risk factors for severe allergic
reactions to food in daily life such as age, degree of sensitization, and
coexistent atopic disease influence the eliciting dose in DBPCFCs in children
allergic to peanut. METHODS: Data from children who had clinical reactions to
peanut during DBPCFCs at the University Medical Center Groningen (2001-2009) were
analyzed. A Cox regression model was used to analyze the association of the
determinants with the eliciting dose. RESULTS: One hundred twenty-six positive
DBPCFCs with peanut were analyzed. Age older than 10 years, a specific IgE level
above the lowest tertile (>= 5.6 kU/L), and the absence of atopic dermatitis were
associated with reactions to lower doses: respective hazard ratios 1.89 (95% CI,
1.28-2.81; P = .001), 2.03 (95% CI, 1.37-3.00; P < .0001), and 0.45 (95% CI, 0.29
0.71; P = .001) present versus absent. No significant associations with the
eliciting dose were found for sex, the presence of asthma and rhinitis, and the
severity of food reactions by history. CONCLUSIONS: Using the eliciting dose as a
measure of clinical sensitivity, greater clinical sensitivity in DBPCFCs to
peanut was found to be associated with increasing age, higher specific IgE level,
and the absence of atopic dermatitis. This finding may explain why adolescents
experience severe allergic reactions in daily life to peanut more often than do
younger children.
PMID- 21885096
TI - Re-invigorating Japan's commitment to global health: challenges and
opportunities.
AB - Over the past 50 years, Japan has successfully developed and maintained an
increasingly equitable system of universal health coverage in addition to
achieving the world's highest life expectancy and one of the lowest infant
mortality rates. Against this backdrop, Japan is potentially in a position to
become a leading advocate for and supporter of global health. Nevertheless,
Japan's engagement with global health has not been outstanding relative to its
substantial potential, in part because of government fragmentation, a weak civil
society, and lack of transparency and assessment. Japan's development assistance
for health, from both governmental and non-governmental sectors, has remained low
and Japanese global health leadership has been weak. New challenges arising from
changes in governance and global and domestic health needs, including the recent
Great East Japan Earthquake, now provide Japan with an opportunity to review past
approaches to health policy and develop a new strategy for addressing global and
national health. The fragmented functioning of the government with regards to
global health policy needs to be reconfigured and should be accompanied by
further financial commitment to global health priorities, innovative non
governmental sector initiatives, increased research capacity, and investments in
good leadership development as witnessed at the G8 Hokkaido Toyako Summit. Should
this strategy development and commitment be achieved, Japan has the potential to
make substantial contributions to the health of the world as many countries move
toward universal coverage and as Japan itself faces the challenge of maintaining
its own health system.
PMID- 21885097
TI - Human security and universal health insurance.
PMID- 21885098
TI - Cost containment and quality of care in Japan: is there a trade-off?
AB - Japan's health indices such as life expectancy at birth are among the best in the
world. However, at 8.5% the proportion of gross domestic product spent on health
is 20th among Organisation for Economic Co-operation and Development countries in
2008 and half as much as that in the USA. Costs have been contained by the
nationally uniform fee schedule, in which the global revision rate is set first
and item-by-item revisions are then made. Although the structural and process
dimensions of quality seem to be poor, the characteristics of the health-care
system are primarily attributable to how physicians and hospitals have developed
in the country, and not to the cost-containment policy. However, outcomes such as
postsurgical mortality rates are as good as those reported for other developed
countries. Japan's basic policy has been a combination of tight control of the
conditions of payment, but a laissez-faire approach to how services are
delivered; this combination has led to a scarcity of professional governance and
accountability. In view of the structural problems facing the health-care system,
the balance should be shifted towards increased freedom of payment conditions by
simplification of reimbursement rules, but tightened control of service delivery
by strengthening of regional health planning, both of which should be supported
through public monitoring of providers' performance. Japan's experience of good
health and low cost suggests that the priority in health policy should initially
be improvement of access and prevention of impoverishment from health care, after
which efficiency and quality of services should then be pursued.
PMID- 21885099
TI - Population ageing and wellbeing: lessons from Japan's long-term care insurance
policy.
AB - Japan's population is ageing rapidly because of long life expectancy and a low
birth rate, while traditional supports for elderly people are eroding. In
response, the Japanese Government initiated mandatory public long-term care
insurance (LTCI) in 2000, to help older people to lead more independent lives and
to relieve the burdens of family carers. LTCI operates on social insurance
principles, with benefits provided irrespective of income or family situation; it
is unusually generous in terms of both coverage and benefits. Only services are
provided, not cash allowances, and recipients can choose their services and
providers. Analysis of national survey data before and after the programme
started shows increased use of formal care at lower cost to households, with
mixed results for the wellbeing of carers. Challenges to the success of the
system include dissatisfaction with home-based care, provision of necessary
support for family carers, and fiscal sustainability. Japan's strategy for long
term care could offer lessons for other nations.
PMID- 21885100
TI - Future of Japan's system of good health at low cost with equity: beyond universal
coverage.
AB - Japan's premier health accomplishment in the past 50 years has been the
achievement of good population health at low cost and increased equity between
different population groups. The development of Japan's policies for universal
coverage are similar to the policy debates that many countries are having in
their own contexts. The financial sustainability of Japan's universal coverage is
under threat from demographic, economic, and political factors. Furthermore, a
series of crises-both natural and nuclear-after the magnitude 9.0 Great East
Japan Earthquake on March 11, 2011, has shaken up the entire Japanese social
system that was developed and built after World War 2, and shown existing
structural problems in the Japanese health system. Here, we propose four major
reforms to assure the sustainability and equity of Japan's health accomplishments
in the past 50 years-implement a human-security value-based reform; redefine the
role of the central and local governments; improve the quality of health care;
and commit to global health. Now is the time for rebirth of Japan and its health
system.
PMID- 21885101
TI - 50 years of pursuing a healthy society in Japan.
PMID- 21885102
TI - Suicide in Japan.
PMID- 21885103
TI - Japan: universal health care at 50 years.
PMID- 21885104
TI - Keizo Takemi: a catalytic charisma.
PMID- 21885105
TI - What has made the population of Japan healthy?
AB - People in Japan have the longest life expectancy at birth in the world. Here, we
compile the best available evidence about population health in Japan to
investigate what has made the Japanese people healthy in the past 50 years. The
Japanese population achieved longevity in a fairly short time through a rapid
reduction in mortality rates for communicable diseases from the 1950s to the
early 1960s, followed by a large reduction in stroke mortality rates. Japan had
moderate mortality rates for non-communicable diseases, with the exception of
stroke, in the 1950s. The improvement in population health continued after the
mid-1960s through the implementation of primary and secondary preventive
community public health measures for adult mortality from non-communicable
diseases and an increased use of advanced medical technologies through the
universal insurance scheme. Reduction in health inequalities with improved
average population health was partly attributable to equal educational
opportunities and financial access to care. With the achievement of success
during the health transition since World War 2, Japan now needs to tackle major
health challenges that are emanating from a rapidly ageing population, causes
that are not amenable to health technologies, and the effects of increasing
social disparities to sustain the improvement in population health.
PMID- 21885106
TI - Kenji Shibuya: promoting global health in Japan.
PMID- 21885107
TI - Japanese universal health coverage: evolution, achievements, and challenges.
AB - Japan shows the advantages and limitations of pursuing universal health coverage
by establishment of employee-based and community-based social health insurance.
On the positive side, almost everyone came to be insured in 1961; the enforcement
of the same fee schedule for all plans and almost all providers has maintained
equity and contained costs; and the co-payment rate has become the same for all,
except for elderly people and children. This equity has been achieved by
provision of subsidies from general revenues to plans that enrol people with low
incomes, and enforcement of cross-subsidisation among the plans to finance the
costs of health care for elderly people. On the negative side, the fragmentation
of enrolment into 3500 plans has led to a more than a three-times difference in
the proportion of income paid as premiums, and the emerging issue of the
uninsured population. We advocate consolidation of all plans within prefectures
to maintain universal and equitable coverage in view of the ageing society and
changes in employment patterns. Countries planning to achieve universal coverage
by social health insurance based on employment and residential status should be
aware of the limitations of such plans.
PMID- 21885108
TI - Science and consensus for health policy making in Japan.
PMID- 21885109
TI - The value of the National Health and Nutrition Survey in Japan.
PMID- 21885110
TI - Development of a disaster cardiovascular prevention network.
PMID- 21885111
TI - Education for health professionals in Japan--time to change.
PMID- 21885112
TI - Why is Japanese life expectancy so high?
PMID- 21885113
TI - Nanoparticle-mediated gene transfer specific to retinal pigment epithelial cells.
AB - Previously, we demonstrated that CK30PEG10k-compacted DNA nanoparticles (NPs)
efficiently target photoreceptor cells and improve visual function in a retinitis
pigmentosa model. Here, we test the ability of these NPs in driving transgene
expression in the retinal pigment epithelium (RPE), using an RPE-specific
reporter vector (VMD2-eGFP). NPs, uncompacted plasmid, or saline were
subretinally delivered to adult BALB/c mice. NP-based expression was specific to
RPE cells and caused no deleterious effects on retinal structure and function.
eGFP expression levels in NP-injected eyes peaked at post-injection day 2 (PI-2),
stabilized at levels ~3-fold higher than in naked DNA-injected eyes, and remained
elevated at the latest time-point examined (PI-30). Unlike naked DNA, which only
transfected cells at the site of injection, NPs were able to transfect cells
throughout the RPE. Subretinal injections of rhodamine labeled NPs and naked DNA
showed comparable initial uptake into RPE cells. However, at PI-7 and -30 days
significantly more fluorescence was detected inside the RPE of NP-injected eyes
compared to naked DNA, suggesting NPs are stable inside the cell which could
possibly lead to higher and sustained expression. Overall, our results
demonstrate that NPs can efficiently deliver genes to the RPE and hold great
potential for the treatment of RPE-associated diseases.
PMID- 21885115
TI - Structural contributions of blocked or grafted poly(2-dimethylaminoethyl
methacrylate) on PEGylated polycaprolactone nanoparticles in siRNA delivery.
AB - The multiformity in polymer structure and conformation design provides a great
potential in improving the gene silencing efficiency of siRNA by polymer vectors.
In order to provide information on the polymer design for siRNA delivery, the
structural contributions of blocked or grafted poly(2-dimethylaminoethyl
methacrylate) on PEGylated polycaprolactone nanoparticles (NPs) in siRNA delivery
were studied. Herein, two kinds of self-assembly nanoparticles (NPs) formed by
amphiphilic cationic polymers, methoxy poly(ethylene glycol)-block
polycaprolactone-block-poly(2-dimethylaminoethyl methacrylate) (mPEG-PCL-b
PDMAEMA, PECbD) and methoxy poly(ethylene glycol)-block-(polycaprolactone-graft
poly(2-dimethylaminoethyl methacrylate)) (mPEG-PCL-g-PDMAEMA, PECgD), were used
to deliver siRNA for in vitro and in vivo studies. The physiochemical properties
including size and zeta potential of PECbD NPs/siRNA and PECgD NPs/siRNA
complexes were characterized. In vitro cytotoxicity, cellular uptake and siRNA
knockdown efficiency were evaluated in HeLa-Luc cells. The endosome escape and
intracellular distribution of PECbD NPs/siRNA and PECgD NPs/siRNA in HeLa-Luc
cells were also observed. In vivo polymer mediated siRNA delivery and the
complexes distribution in isolated organs were studied using mice and tumor
bearing mice. At the same total degree of polymerization (DP) of DMAEMA, PECgD
NPs/siRNA complexes possessed higher zeta potentials than PECbD NPs/siRNA
complexes (at the same N/P ratio), which may be the reason that PECgD NPs/siRNA
complexes can deliver more siRNA into the cytoplasm and lead to higher in vitro
luciferase and lamin A/C silencing efficiency than PECbD NPs/siRNA complexes. The
in vivo imaging measurement and histochemical analysis also confirmed that siRNA
could be delivered to lungs, livers, pancreas and HeLa-Luc tumors more
efficiently by PECgD NPs than PECbD NPs. Meanwhile, the PDMAEMA chains of PECgD
could be shortened which provides benefits for clearing. Therefore, PECgD NPs
have great potential to be used as efficient non-viral carriers for in vivo siRNA
delivery.
PMID- 21885114
TI - Characterization of the effects of x-ray irradiation on the hierarchical
structure and mechanical properties of human cortical bone.
AB - Bone comprises a complex structure of primarily collagen, hydroxyapatite and
water, where each hierarchical structural level contributes to its strength,
ductility and toughness. These properties, however, are degraded by irradiation,
arising from medical therapy or bone-allograft sterilization. We provide here a
mechanistic framework for how irradiation affects the nature and properties of
human cortical bone over a range of characteristic (nano to macro) length-scales,
following x-ray exposures up to 630 kGy. Macroscopically, bone strength,
ductility and fracture resistance are seen to be progressively degraded with
increasing irradiation levels. At the micron-scale, fracture properties,
evaluated using insitu scanning electron microscopy and synchrotron x-ray
computed micro-tomography, provide mechanistic information on how cracks interact
with the bone-matrix structure. At sub-micron scales, strength properties are
evaluated with insitu tensile tests in the synchrotron using small-/wide-angle x
ray scattering/diffraction, where strains are simultaneously measured in the
macroscopic tissue, collagen fibrils and mineral. Compared to healthy bone,
results show that the fibrillar strain is decreased by ~40% following 70 kGy
exposures, consistent with significant stiffening and degradation of the
collagen. We attribute the irradiation-induced deterioration in mechanical
properties to mechanisms at multiple length-scales, including changes in crack
paths at micron-scales, loss of plasticity from suppressed fibrillar sliding at
sub-micron scales, and the loss and damage of collagen at the nano-scales, the
latter being assessed using Raman and Fourier Transform Infrared spectroscopy and
a fluorometric assay.
PMID- 21885116
TI - The use of computational fluid dynamic models for the optimization of cell
seeding processes.
AB - The seeding of a porous scaffold with stem cells is a fundamental step in
engineering sizeable tissue constructs that are clinically viable. However, a key
problem often encountered is inhomogeneous seeding of the cells particularly when
the cells are delivered through the thickness of the scaffold. The objective of
this study was to establish the quantitative relationships between the cell
seeding efficiency and the initial vacuum pressure in a compact perfusion seeding
device that uses the effect of differential pressure induced by vacuum to seed
cells on a porous scaffold. A transient CFD solution of the fluid flow in the
device was used to optimize the initial vacuum pressure for efficient cell
seeding. Results indicate that the optimal initial vacuum pressure for homogenous
cell seeding is approximately -20 kPa for the seeding device. This study presents
a 3-D computational model that can be employed in designing and optimizing cell
seeding techniques and corresponding technology.
PMID- 21885117
TI - Combined chemical and topographical guidance cues for directing cytoarchitectural
polarization in primary neurons.
AB - Chemical and topographical cues can be used to guide dissociated neurons into
user-defined network geometries on artificial substrates, yet control of neuron
polarity (differentiation into axons and dendrites) remains an elusive goal. We
developed a dual guidance cue strategy for directing morphological maturity in
neurons in vitro using combined chemical and topographical guidance cues on glass
substrates. The surface chemistry provides chemical attraction and repulsion for
controlling neuron placement and outgrowth, while the topography provides
additional surface area for neuron attachment. Poly-l-lysine (PLL) was adsorbed
into etched trenches in glass substrates, and an acetone liftoff process was used
to produce bifunctional surfaces with a hydrophobic hexamethyldisilazane (HMDS)
background and trench patterns of PLL. We examined the cytoarchitectural
polarization of dissociated hippocampal pyramidal neurons on guidance cues
designed to promote rapid outgrowth of neurites onto continuous line features and
delayed neurite outgrowth onto interrupted line features. An optimum distance of
approximately 5 MUm between the cell body attachment node and the first
interrupted line guidance cue led to specific cytoarchitectural polarization of
>=60% of neurons by 3 days of culture in vitro.
PMID- 21885118
TI - Induction of immunotolerance via mPEG grafting to allogeneic leukocytes.
AB - The induction of anergy or tolerance to prevent allorecognition is of clinical
interest. To this end, the effects of methoxypoly(ethylene glycol) [mPEG]
grafting to allogeneic lymphocytes on proliferation and phenotype (Th17 and Treg)
was examined in vitro and in vivo. Control studies demonstrated that PEGylation
did not affect cells viability or proliferation (mitogen) potential. Conditioned
media (1 degrees MLR) collected at 72 h from resting PBMC demonstrated no
immunomodulatory effects whereas the control MLR demonstrated significant (p <
0.001) pro-proliferative potential and significantly increased in IL-2, TNF
alpha, and INF-gamma. However, 1 degrees media from either resting mPEG-PBMC or
the PEGylated MLR resulted in a significant inhibitory effect (p < 0.001) in the
2 degrees MLR and no increase in cytokines. PEGylation of donor murine
splenocytes resulted in significant in vivo immunosuppressive effects in H2
disparate mice. While unmodified allogeneic splenocytes resulted in a significant
in vivo decrease in Treg and increased Th17 lymphocytes, PEGylated allogeneic
splenocytes yielded significantly increased Tregs and baseline levels of Th17
lymphocytes. This effect was persistent to at least 30 days post challenge and
was not reversed by unmodified allogeneic cells. These studies demonstrate that
PEGylation of allogeneic lymphocytes induced an immunoquiescent state both in
vitro and in vivo.
PMID- 21885119
TI - The amelioration of cardiac dysfunction after myocardial infarction by the
injection of keratin biomaterials derived from human hair.
AB - Cardiac dysfunction following acute myocardial infarction is a major cause of
advanced cardiomyopathy. Conventional pharmacological therapies rely on prompt
reperfusion and prevention of repetitive maladaptive pathways. Keratin
biomaterials can be manufactured in an autologous fashion and are effective in
various models of tissue regeneration. However, its potential application in
cardiac regeneration has not been tested. Keratin biomaterials were derived from
human hair and its structure morphology, carryover of beneficial factors,
biocompatibility with cardiomyocytes, and in vivo degradation profile were
characterized. After delivery into infarcted rat hearts, the keratin scaffolds
were efficiently infiltrated by cardiomyocytes and endothelial cells. Injection
of keratin biomaterials promotes angiogenesis but does not exacerbate
inflammation in the post-MI hearts. Compared to control-injected animals, keratin
biomaterials-injected animals exhibited preservation of cardiac function and
attenuation of adverse ventricular remodeling over the 8 week following time
course. Tissue western blot analysis revealed up-regulation of beneficial factors
(BMP4, NGF, TGF-beta) in the keratin-injected hearts. The salient functional
benefits, the simplicity of manufacturing and the potentially autologous nature
of this biomaterial provide impetus for further translation to the clinic.
PMID- 21885120
TI - Selective antileukemia effect of stabilized nanohybrid vesicles based on
cholesteryl succinyl silane.
AB - A nanohybrid vesicle was developed from cholesteryl succinyl silane(CSS) in
combination of sol-gel process and self-assembly technique. The silicalike
surface adds CSS vesicles remarkably high stability against destabilization in
blood or leakage of drug cargos. It was found that CSS vesicles alone exhibited
selective antiproliferative effects on leukemia cells without destroying normal
blood cells. In addition, they are able to encapsulate not only hydrophilic guest
species inside the inner water compartment but also hydrophobic molecules in the
cholesteryl succinyl bilayer membrane. More importantly, CSS vesicles loaded with
doxorubicin enhanced the anticancer efficiency of cancer therapeutics greatly
while minimizing the use of inactive materials and lowering the exposure of
normal cells to toxic side effects. This makes CSS vesicle a promising carrier
for the treatment of cancer, especially for leukemia.
PMID- 21885121
TI - Selective adhesion and growth of vascular endothelial cells on bioactive peptide
nanofiber functionalized stainless steel surface.
AB - Metal-based scaffolds such as stents are the most preferred treatment methods for
coronary artery disease. However, impaired endothelialization on the luminal
surface of the stents is a major limitation occasionally leading to catastrophic
consequences in the long term. Coating the stent surface with relevant bioactive
molecules is considered to aid in recovery of endothelium around the wound site.
However, this strategy remains challenging due to restrictions in availability of
proper bioactive signals that will selectively promote growth of endothelium and
the lack of convenience for immobilization of such signaling molecules on the
metal surface. In this study, we developed self-assembled peptide nanofibers that
mimic the native endothelium extracellular matrix and that are securely
immobilized on stainless steel surface through mussel-inspired adhesion
mechanism. We synthesized Dopa-conjugated peptide amphiphile and REDV-conjugated
peptide amphiphile that are self-assembled at physiological pH. We report that
Dopa conjugation enabled nanofiber coating on stainless steel surface, which is
the most widely used backbone of the current stents. REDV functionalization
provided selective growth of endothelial cells on the stainless steel surface.
Our results revealed that adhesion, spreading, viability and proliferation rate
of vascular endothelial cells are remarkably enhanced on peptide nanofiber coated
stainless steel surface compared to uncoated surface. On the other hand, although
vascular smooth muscle cells exhibited comparable adhesion and spreading profile
on peptide nanofibers, their viability and proliferation significantly decreased.
Our design strategy for surface bio-functionalization created a favorable
microenvironment to promote endothelial cell growth on stainless steel surface,
thereby providing an efficient platform for bioactive stent development for long
term treatment of cardiovascular diseases.
PMID- 21885122
TI - Periodontal regeneration using a bilayered PLGA/calcium phosphate construct.
AB - The regeneration of tissues affected by periodontal disease is a complex process;
it encompasses the formation of bone, cementum and periodontal ligament. We
developed a semi-rigid PLGA (polylactide-co-glycolide acid)/CaP (calcium
phosphate) bilayered biomaterial construct to promote periodontal regeneration,
which has a continuous outer barrier membrane and an inner topographically
complex component. Our experimental model compared periodontal prophylaxis alone
with prophylaxis and biomaterial implantation in the treatment of class II
furcation defects in dogs. Clinical evaluation, micro-computed tomography,
histology and backscattered electron imaging were used for data analysis. Healing
occurred uneventfully and bone volumetric values, trabecular number and
trabecular thickness were all significantly greater in the treated group; while
trabecular separation was significantly greater in the control group. New
cementum, bone, and periodontal ligament with Sharpey fibre insertions were only
seen in the treated group. Although periodontal regeneration has been reported
elsewhere, the advantages of employing our bilayered PLGA + CaP construct are
twofold: 1)it did not collapse into the defect; and, 2) its inner side was able
to retain the blood clot throughout the buccal defect. The result was greater
periodontal regeneration than has previously been reported with traditional
flexible membranes.
PMID- 21885123
TI - SIE, SIES, GITMO updated clinical recommendations for the management of chronic
lymphocytic leukemia.
AB - By using GRADE system we updated the guidelines for management of CLL issued in
2006 from SIE, SIES and GITMO group. We recommended fludarabine,
cyclophosphamide, rituximab (FCR) in younger and selected older patients with a
good fitness status, no unfavourable genetics (deletion 17p and/or p53
mutations), and a less toxic treatment in nonfit and elderly patients. In
patients without unfavourable genetics, relapsed after 24 months the same initial
treatment including rituximab can be considered. In patients with unfavourable
genetics, refractory or relapsed within 24 months from a prior fludarabine-based
treatment, allogeneic SCT or experimental treatments should be given.
PMID- 21885124
TI - Association of KIR2DS4 gene with susceptibility to leukemia: Chinese-Polish
discrepancy.
PMID- 21885125
TI - Towards a scheme of toxic equivalency factors (TEFs) for the acute toxicity of
PAHs in sediment.
AB - Toxic equivalency factors/quotients (TEF/TEQs) express the toxicity of complex
mixtures. For PAHs, TEF values are available for assessing their carcinogenic
potential and are expressed as benzo[a]pyrene equivalents. This study develops a
similar approach for their acute toxicity in sediments. Acute toxicity (10 day
EC50) values were generated using the marine amphipod Corophium volutator
bioassay for twelve low molecular weight PAHs. The results ranged from 24 to >
1000 mg/Kg sediment dry weight for 4-methyldibenzothiophene and anthracene,
respectively. Phenanthrene was used as the reference compound (TEF=1) and so the
TEQ values derived are expressed as phenanthrene equivalents. In order to
illustrate the applicability of this approach to the development of marine
indicators we plotted TEQ values for acute toxicity to UK environmental
monitoring data. Further work is required to validate the TEF values produced and
to extend the TEQ approach to include a wider range of low molecular weight PAHs.
PMID- 21885126
TI - Retrospective analysis of real-world efficacy of angiotensin receptor blockers
versus other classes of antihypertensive agents in blood pressure management.
AB - BACKGROUND: Efficacy of blood pressure (BP) lowering may differ between clinical
trials and what is observed in clinical practice. These differences may
contribute to poor BP control rates among those at risk. OBJECTIVE: We conducted
an observational study to determine the BP-lowering efficacy of angiotensin
receptor blocker (ARB) versus non-ARB-based antihypertensive treatments in a
large Canadian primary care database. METHODS: We analyzed the South Western
Ontario database of 170,000 adults (aged >18 years) with hypertension persisting
with antihypertensive medication for >=9 months. Routine standard of care office
BP was measured using approved manual aneroid or automated devices. BP <140 mm Hg
and/or <90 mm Hg <=9 months after treatment initiation, persistence (presence of
initial antihypertensive prescription at the first, second, third, and fourth
year anniversary) with antihypertensive therapy, and the presence of a
cardiovascular (CV) event (ie, myocardial infarction) were studied. RESULTS:
After 9 months of monotherapy, 28% (978 of 3490) of patients on ARBs achieved
target BP versus 27% (839 of 3110) on angiotensin-converting enzyme inhibitors
(ACEIs) (P > 0.05), 26% (265 of 1020) on calcium channel blockers (CCBs) (P >
0.05), 21% (221 of 1050) on beta-blockers (P = 0.002), and 19% (276 of 1450) on
diuretics (P = 0.001). Attainment rates were significantly higher with irbesartan
(38%; 332 of 873) versus losartan (32%; 335 of 1047; P = 0.01), valsartan (19%;
186 of 977; P = 0.001), and candesartan (25%; 148 of 593; P = 0.001). BP goal
attainment rates were significantly higher when ARB was compared with non-ARB
based dual therapy (39%; 1007 of 2584 vs 31%; 1109 of 3576; P = 0.004);
irbesartan + hydrochlorothiazide (HCTZ) was significantly higher than losartan +
HCTZ (36%; 500 of 1390 vs 20%; 252 of 1261; P = 0.001). For patients receiving
dual or tri-therapy, 48% (667 of 1390) of patients receiving irbesartan reached
target BP versus 41% to 42% for losartan (517 of 1261), valsartan (194 of 462),
and candesartan (168 of 401) (P = 0.001 for each). After 4 years, persistence
rates were not statistically different among ARB, CCB, and diuretic
monotherapies, but appeared somewhat higher with ACEIs and beta-blockers (78%,
78%, 79%, 91%, and 84%, respectively). Persistence was not significantly
different between irbesartan and losartan monotherapy (76% for both; P > 0.05),
but was significantly higher with irbesartan + HCTZ versus losartan + HCTZ (96%
vs 73%, respectively; P = 0.001). Patients treated with ARBs reported fewer CV
events than those receiving ACEIs or CCBs (4.3% vs 7.0% and 11.0%, respectively;
P < 0.001). Within the ARB class, the lowest rate was with irbesartan (3.0% vs
4.6%-5.0% for other ARBs; P < 0.02). CONCLUSIONS: In this real-world setting,
hypertensive adults treated with ARBs versus beta-blockers or diuretics were more
likely to have evidence-based target BP recorded. In addition, patients using
ARBs versus ACEIs or CCBs had fewer reports of CV events.
PMID- 21885127
TI - The region of largest beta-zone parapapillary atrophy area predicts the location
of most rapid visual field progression.
AB - PURPOSE: To determine if visual field (VF) progression occurs most rapidly in the
region of largest beta-zone parapapillary atrophy (PPA). DESIGN: Retrospective
cohort. PARTICIPANTS: One hundred twenty-five patients from the New York Glaucoma
Progression Study with both beta-zone PPA and VF progression. METHODS: Treated
open-angle glaucoma patients with 8 or more Swedish Interactive Threshold
Algorithm Standard 24-2 VFs (Humphrey Field Analyzer II; Carl Zeiss Meditec,
Inc., Dublin, CA) in either eye were identified. Eyes with optic disc
photographs, beta-zone PPA, less than 6 diopters myopia, and VF progression were
studied. Visual field progression was defined using trend analysis as the
presence of at least 2 adjacent progressing points in the same hemifield using
standard pointwise linear regression (PLR) criteria. MAIN OUTCOME MEASURES: The
correlation between beta-zone PPA and location of most rapid future VF
progression. RESULTS: One hundred twenty-five eyes (125 patients; mean age, 71.9
+/- 12.3 years; 58% women; 75% European descent) with beta-zone PPA and VF
progression were enrolled. The mean follow-up was 6.8 +/- 1.7 years and the mean
number of VFs was 12.5 +/- 3.6. Ninety-three patients (74%) had more beta-zone
PPA inferiorly and 32 patients (26%) had more beta-zone PPA superiorly. The
fastest VF progression occurred in the superior hemifield in 77 patients (62%)
and in the inferior hemifield in 48 (38%) patients. Patients with superior VF
progression had a superior localized mean rate of progression of -1.57 +/- 1.7
dB/year, and patients with inferior VF progression had an inferior localized mean
rate of -0.94 +/- 1.4 dB/year (P = 0.012). The mean number of points reaching the
predefined PLR end points was 5.6+/-7.5 for the superior VF hemifield and 3.0+/
4.9 for the inferior hemifield (P = 0.006). The hemifield with more points
reaching PLR progression end points, with fastest average velocity of
progression, or both was spatially consistent with the location of largest beta
zone PPA in 89 (71%) patients (P = 0.0001, Fisher exact test; kappa = 0.35; 95%
confidence interval, 0.17-0.53). CONCLUSIONS: In treated glaucoma patients with
beta-zone PPA and VF progression, the location of largest beta-zone PPA typically
correlates spatially with the region of the most rapid future VF progression.
FINANCIAL DISCLOSURE(S): The author(s) have no proprietary or commercial interest
in any materials discussed in this article.
PMID- 21885128
TI - Meta-review of depressive subtyping models.
AB - BACKGROUND: Increasing dissatisfaction with the non-specificity of major
depression has led many to propose more specific depressive subtyping models. The
present meta-review seeks to map dominant depressive subtype models, and
highlight definitions and overlaps. METHODS: A database search in Medline and
EMBASE of proposed depressive subtypes, and limited to reviews published between
2000 and 2011, was undertaken. Of the more than four thousand reviews, 754 were
judged as potentially relevant and provided the base for the present selective
meta-review. RESULTS: Fifteen subtype models were identified. The subtypes could
be divided into five molar categories of (1) symptom-based subtypes, such as
melancholia, psychotic depression, atypical depression and anxious depression,
(2) aetiologically-based subtypes, exemplified by adjustment disorders, early
trauma depression, reproductive depression, perinatal depression, organic
depression and drug-induced depression, (3) time of onset-based subtypes, as
illustrated by early and late onset depression, as well as seasonal affective
disorder, (4) gender-based (e.g. female) depression, and (5) treatment resistant
depression. An overview considering definition, bio-psycho-social correlates and
the evidence base of treatment options for each subtype is provided. LIMITATIONS:
Despite the large data base, this meta-review is nevertheless narrative focused.
CONCLUSIONS: Subtyping depression is a promising attempt to overcome the non
specificity of many diagnostic constructs such as major depression, both in
relation to their intrinsic non-specificity and failure to provide treatment
specific information. If a subtyping model is to be advanced it would need,
however, to demonstrate differential impacts of causes and treatments.
PMID- 21885129
TI - Mycobacterium indicus pranii is a potent immunomodulator for a recombinant
vaccine against human chorionic gonadotropin.
AB - The objective of this work was to identify a human use-permissible adjuvant to
enhance significantly the antibody response to a recombinant anti-hCG vaccine.
Previous Phase II efficacy trials in sexually active women have demonstrated the
prevention of pregnancy at hCG bioneutralization titers of 50ng/ml or more.
Mycobacterium indicus pranii (MIP), a non-pathogenic Mycobacterium employed as an
autoclaved suspension in aqueous buffer, significantly increased antibody titers
in the FVB strain of mice. Three other genetic strains of mice: SJL, C3H, and
C57Bl/6 responded with antibody titers several-fold higher than 50 ng/ml, which
is the protective threshold in women, although there were differences in the peak
titers attained. In addition, the duration of the antibody response was
lengthened. The vaccine hCGbeta-LTB, given together with MIP, induces both a Th1
and Th2 response, which is reflected in the production of not only IgG1, but also
a high proportion of IgG2a and IgG2b antibodies.
PMID- 21885130
TI - Psychosis and smoking cessation: difficulties in quitting associated with sex and
substance abuse.
AB - No prospective studies of first psychotic episodes have explored sex differences
in smoking cessation. The aim of this study was to determine the influence of sex
and substance abuse on smoking cessation during an 8-year follow-up of patients
after a first psychotic episode. Logistic regression modeling was used to
identify factors associated with smoking cessation by sex. To examine for sex
variable interactions, the following two methods were used: 1) for other clinical
variables, mixed analyses were calculated; and 2) for use of other substances,
logistic regression models were performed only in the substance users. At
baseline, 79% of men and 84% of women were current smokers. Lower smoking
cessation after 8 years was associated with female sex (odds ratio, OR=0.30; 95%
confidence intervals, CIs=0.12-0.75) and treatment with typical antipsychotics
(OR=0.30, CIs=0.10-0.93). In a logistic regression model of alcohol users, those
who used alcohol continuously were less likely to stop smoking (adjusted OR=0.22,
CI=0.05-1.0). Among patients who continued using cannabis, female sex was
associated with significant lower smoking cessation (adjusted OR=0.03, CI=0.001
0.77). Sex may act as a moderator in smoking cessation after a first psychotic
episode. Smoking cessation interventions in these patients should consider sex
differences and comorbidity with alcohol and cannabis use.
PMID- 21885131
TI - Transfer of maternal haptoglobin to suckling piglets.
AB - The acute phase protein haptoglobin (Hp) exerts immune modulating functions in
the innate and adaptive immune system. In pigs, serum Hp concentrations are
linked to impaired growth performance. There is little information on Hp in
newborn piglets and the onset of endogenous Hp synthesis. In the first experiment
we analyzed Hp concentrations in colostrum from sows (n=5) and serum from their
off-spring (n=43) during the first 12h of life. The piglets were divided in a
colostrum group which was allowed to suckle and a colostrum-deprived group which
received a Hp-free milk replacer. We were able to show that serum Hp in newborn
piglets increased 3h after colostrum intake whereas serum Hp remained low in
colostrum-deprived littermates. The absorption of colostral Hp in the jejunum
could be shown via immunohistochemistry. In colostrum suckled piglets, endogenous
Hp synthesis in the liver increased 9h after birth, no increase in Hp mRNA was
observable during the first 12h of life in colostrum-deprived piglets. From our
results we concluded that maternal Hp is transferred to newborn pigs via
colostrum and the stimulus for the increase in Hp synthesis is mediated by
colostrum. In a second experiment we analyzed Hp in colostrum, milk and serum
from sows (n=43) and their off-spring (n=442) from birth until weaning.
Haptoglobin was high in colostrum (1.11 +/- 0.10mg/ml) and declined to lower but
stable milk levels (0.36 +/- 0.08 mg/ml) until weaning. Colostral Hp and daily
litter weight gain were negatively correlated (r=-0.5, p<0.01) whereas the
relationship between piglets serum Hp and daily weight gain was weaker (r=-0.22,
p<0.05). We therefore speculate that maternal Hp exerts systemic actions in
piglets.
PMID- 21885132
TI - Leukotriene synthesis inhibitor decreases vasopressin release in the early phase
of sepsis.
AB - The aim was to analyze the effect of leukotriene synthesis inhibitor administered
intraperitoneally in vasopressin release during sepsis. Male Wistar rats received
injections of MK-886 (1.0, 2.0 or 4.0 mg/kg) or vehicle (DMSO 5%) 1 h before
cecal ligation and puncture. There was some variation on the survival rate
depending on the dose used but the drug did not modify the hematocrit,
osmolality, serum sodium and nitrate, plasma protein, and neutrophil recruitment,
in any dose. Nevertheless, vasopressin (AVP) release decreased in a dose-response
manner in the early phase of sepsis. These results support the suggestion that
leukotrienes (LTs) are involved in AVP release during sepsis.
PMID- 21885133
TI - Antihypertensive drug-induced angioedema causing upper airway obstruction in
children.
AB - OBJECTIVE: Angioedema is a well-described complication arising from the use of
antihypertensive agents in the adult population. However, its occurrence and
potential for upper airway compromise in pediatrics has only been sporadically
reported in the literature. Our objective is to report and review the occurrence
of antihypertensive-induced angioedema in the pediatric population and the
potential for airway compromise. METHODS: Charts of 42 patients admitted to
Cincinnati Children's Hospital Medical Center with the discharge diagnosis of
angioedema (ICD-9 code 995.1) from January 2000 to January 2010 were reviewed. Of
the 42 charts, 3 cases had angioedema induced by antihypertensive drugs and all 3
resulted in upper airway obstruction. Summary and findings of the data collected
from the medical chart review included demographics, chief complaint(s), past
medical history, hospital course, antihypertensive drugs used, diagnostic
test(s), medical treatment, and time from onset of symptoms to resolution. In
addition, a PubMed literature search using the terms angioedema and
antihypertensive drugs was performed to review its occurrence in pediatrics. The
previous literature case reports were compared to our cases to further
characterize and emphasize the clinical features of this occurrence in children
and adolescents. RESULTS: Despite the well-known occurrence of antihypertensive
drug-induced angioedema causing airway obstruction in adults, only 4 case reports
have been previously published in children. At our institution, we describe 3
children who developed acute angioedema with upper airway obstruction after the
chronic use of antihypertensive medications [2 drugs in the ACE inhibitor class
(enalapril and lisinopril), and 1 drug in the calcium channel blocker class (CCB;
amlodipine)]. In all 3 cases, the symptoms resolved within 1 week after the
antihypertensive agent was discontinued. CONCLUSION: Upper airway obstruction can
occur at any age when taking antihypertensive drugs. Particular caution should be
applied to ACE inhibitors and CCBs in this regard. With the increasing use of
antihypertensive agents in the pediatric population, clinicians should be alert
to the possibility of angioedema with upper airway obstruction as a potential
lethal adverse effect.
PMID- 21885134
TI - ECG findings in comparison to cardiovascular MR imaging in viral myocarditis.
AB - OBJECTIVES: We sought (1) to assess prevalence and type of ECG abnormalities in
patients with biopsy proven myocarditis and signs of myocardial damage indicated
by LGE, and (2) to evaluate whether ECG abnormalities are related to the pattern
of myocardial damage. BACKGROUND: Prevalence and type of ECG abnormalities in
patients presenting biopsy proven myocarditis, as well as any relation between
ECG abnormalities and the in vivo pattern of myocardial damage are unknown.
METHODS: Eighty-four consecutive patients fulfilled the following criteria: (1)
newly diagnosed biopsy proven viral myocarditis, and (2) non-ischemic LGE, and
(3) standard 12-lead-ECG upon admission. RESULTS: Sixty-five patients with biopsy
proven myocarditis had abnormal ECGs upon admission (77%). In this group, ST
abnormalities were detected most frequently (69%), followed by bundle-branch
block in 26%, and Q-waves in 8%. Atrial fibrillation was present in 6%, and AV
Block in two patients. In patients with septal LGE ST-abnormalities were more
frequently located in anterolateral leads compared to patients with lateral LGE,
in whom ST-abnormalities were most frequently observed in inferolateral leads.
Bundle-branch-block occurred more often in patients with septal LGE (11/17). Four
of five patients with Q-waves had severe and almost transmural LGE in the lateral
wall. CONCLUSION: ECG abnormalities can be found in most patients with biopsy
proven viral myocarditis at initial presentation. However, similar to suspected
acute myocardial infarction, a normal ECG does not rule out myocarditis. ECG
findings are related to the amount and area of damage as indicated by LGE, which
confirms the important clinical role of ECG.
PMID- 21885135
TI - Toxoplasma gondii in Romanian household cats: evaluation of serological tests,
epidemiology and risk factors.
AB - Felines are the key species in the epidemiology of Toxoplasma gondii infection,
as they are the definitive host of the parasite and are the only species that can
shed resistant oocysts in the environment. Different assays are in use for the
detection of antibodies against T. gondii in cats. However, assay validation
studies are limited. For that reason it was our aim to first evaluate 6
serological tests (one commercial and 2 in-house ELISAs, ImmunoComb, IFAT and
MAT) for antibodies (IgG) against T. gondii in cats by Bayesian modeling. Factors
associated with seropositivity were evaluated by bivariable and multivariable
methods. The test evaluation indicated the commercial ELISA had the highest
Youden Index. The estimated sensitivity ranged between 95.7% and 97.1% and the
specificity between 97.3% and 97.6%. Using this commercial ELISA 111 out of 236
cats (47%) were positive for T. gondii antibodies. Two peaks in the percentage of
strong positive samples (S/P>=200) were observed, around 10-months-old and 8
years-old. In bivariable analysis the seroprevalence was significantly higher in
adult cats, cats with mixed diet, with outdoor access, in cats from a rural area
and in cats from centre and north-western Romania. Adult age (adults: OR 6.98;
95% CI: 2.02-24.14 and geriatrics (cats older than 10-years): OR 12.01; 95% CI:
1.60-90.15) and outdoor access (OR 6.38; 95% CI: 2.32-17.53) remained significant
risk factors in the multivariable logistic regression analysis. Our results
suggest that T. gondii infection is common in household cats in Romania, and
especially in those with outdoor access.
PMID- 21885136
TI - Relationship between somatic cell count status and subsequent clinical mastitis
in Dutch dairy cows.
AB - High composite somatic cell counts (CSCC) in dairy cows may develop into clinical
mastitis (CM), suggesting that prevention or intervention of high CSCC may
prevent CM later in lactation. The objective of this study was to quantify the
relationship between high CSCC in dairy cows and the first subsequent case of CM
in the same lactation. Farmer-diagnosed cases of CM and test day CSCC
measurements during 1 year of 13,917 cows in 196 randomly selected Dutch dairy
herds were available for analysis. Cows were followed in 1 lactation from the
first test day postpartum until CM, drying off, culling or end of study. Cox
proportional hazards models with time-varying CSCC levels were used to estimate
the effect of high CSCC (>=200,000cells/ml) on the time until the first case of
CM. A shared frailty effect was included to adjust for clustering of cows within
herds. The proportion of cows developing CM after a CSCC measurement was 11%.
Primiparae with a high CSCC had a 4-fold higher hazard for subsequent CM than
primiparae with a low CSCC; multiparae with a high CSCC had a 2-fold higher
hazard than multiparae with a low CSCC. Additionally, multiparae with a low CSCC
had a 2-fold higher hazard for CM occurrence than primiparae with a low CSCC.
Increasing the threshold for high CSCC showed that the risk for CM increased. If
the last CSCC before CM was low, CSCC information of 2 preceding test days was
more predictive than CSCC information from only the last test day. When the last
CSCC was high, CSCC information of 2 preceding test days did not have added
predictive value. This study identified that approximately 25% of first
subsequent CM cases after a CSCC measurement can potentially be prevented when
cows are prevented to get high CSCC or when high CSCC cows are removed from the
population. This corresponded with a decrease in the proportion of lactating cows
with CM after a CSCC measurement from 11% to 7%.
PMID- 21885137
TI - A nonparametric vs. latent class model of general practitioner utilization:
evidence from Canada.
AB - Predicting health care utilization is the foundation of many health economics
analyses, such as calculating risk-adjustment capitation payments or measuring
equity in health care utilization. The most common econometric models of
physician utilization are parametric count data models, since the most common
metric of physician utilization is the number of physician visits. This paper
makes two distinct contributions to the literature analyzing GP utilization: (i)
it is the first to use a nonparametric kernel conditional density estimator to
model GP utilization and compare the predicted utilization with that from a
latent class negative binomial model; and (ii) it uses panel data to control for
the potential endogeneity between self-reported health status and the number of
GP visits. The goodness-of-fit results show the kernel conditional density
estimator provides a better fit to the observed distribution of GP visits than
the latent class negative binomial model. There are some meaningful differences
in how the predicted conditional mean number of GP visits changes with a change
in an individual's characteristics, called the incremental effect (IE), between
the kernel conditional density estimator and the latent class negative binomial
model. The most notable differences are observed in the right tail of the
distribution where the IEs from the latent class negative binomial model are up
to 190 times the magnitude of the IEs from the kernel conditional density
estimator.
PMID- 21885138
TI - The impact of Medicaid insurance coverage on dental service use.
AB - The new comprehensive health reform, beginning in 2014, will require Medicaid to
expand all elements of coverage to individuals with incomes up to 133 percent of
the federal poverty line. With millions more individuals gaining eligibility for
adult Medicaid dental benefits, generating an unbiased estimate of the elasticity
of demand for dental services is critical. The causal relationship between access
to adult Medicaid dental benefits and usage of dental services for low-income
adults is estimated, using difference-in-differences estimation procedures to
exploit the state-level variation in adult Medicaid dental benefits. Results
suggest that adult Medicaid dental benefits increase the probability of a dental
visit within 12 months by 16.4-22 percent. A variety of robustness checks are
invoked to confirm the finding.
PMID- 21885139
TI - External beam radiotherapy combined with intraluminal brachytherapy in esophageal
carcinoma.
AB - PURPOSE: To assess the effectiveness of definitive radiation therapy in patients
with potentially curable esophageal cancer and to evaluate the side-effects of
this treatment. METHODS AND MATERIALS: Sixty-two patients with esophageal cancer,
who were treated with definitive, curatively intended radiotherapy consisting of
external radiotherapy (60 Gy in 30 fractions), preceded and followed by LDR or
HDR intraluminal brachy (12 Gy in 2 fractions) were retrospectively analyzed.
RESULTS: Recurrences were reported in 38 patients (61%), of which 25 (64%) failed
locally first. The overall survival rates at 1, 2 and 5 years were 57%, 34% and
11%, respectively. The median overall survival was 15 months. No prognostic
factors could be identified. Most frequently reported treatment related
toxicities were esophagitis, ulcerations, (11%) and strictures (16%). In 10
patients (16%) severe toxicities, were reported including grade III ulceration (2
cases), stricture (1 case), radiation pneumonitis (1 case), perforation (1 case),
esophageal-pleural-tracheal fistula (1 case), and acute esophageal bleeding (4
cases). A history of gastrectomy was significantly associated with the
development of severe toxicity. CONCLUSION: Curatively intended radiotherapy
alone can be offered to esophageal cancer patients, even when surgery and/or
chemotherapy are not feasible. However, we observed severe toxicity in a
substantial part of the patients. Given the relatively high rate of severe
complications and the uncertainties regarding dose escalation, the addition of
brachytherapy, with consequently high surface doses, should be limited to well
selected patients.
PMID- 21885140
TI - Trans-abdominal ultrasound (US) and magnetic resonance imaging (MRI) correlation
for conformal intracavitary brachytherapy in carcinoma of the uterine cervix.
AB - PURPOSE: Trans-abdominal ultrasonography (US) is capable of determining size,
shape, thickness, and diameter of uterus, cervix and disease at cervix or
parametria. To assess the potential value of US for image-guided cervical cancer
brachytherapy, we compared US-findings relevant for brachytherapy to the
corresponding findings obtained from MR imaging. MATERIALS AND METHODS: Twenty
patients with biopsy proven cervical cancer undergoing definitive radiotherapy
with/without concomitant Cisplatin chemotherapy and suitable for brachytherapy
were invited to participate in this study. US and MR were performed in a similar
reproducible patient positioning after intracavitary application. US mid-sagittal
and axial image at the level of external cervical os was acquired. Reference
points D1 to D9 and distances were identified with respect to central tandem and
flange, to delineate cervix, central disease, and external surface of the uterus.
RESULTS: Thirty-two applications using CT/MR compatible applicators were
evaluable. The D1 and D3 reference distances which represent anterior surface had
a strong correlation with R=0.92 and 0.94 (p<0.01). The D2 and D4 reference
distances in contrast, which represent the posterior surface had a moderate (D2)
and a strong (D4) correlation with R=0.63 and 0.82 (p<0.01). Of all, D2 reference
distance showed the least correlation of MR and US. The D5 reference distance
representing the fundal thickness from tandem tip had a correlation of 0.98. The
reference distances for D6, D7, D8, and D9 had a correlation of 0.94, 0.82, 0.96,
and 0.93, respectively. CONCLUSIONS: Our study evaluating the use of US, suggests
a reasonably strong correlation with MR in delineating uterus, cervix, and
central disease for 3D conformal intracavitary brachytherapy planning.
PMID- 21885141
TI - Expression of Wnt-1, TGF-beta and related cell-cell adhesion components following
radiotherapy in salivary glands of patients with manifested radiogenic
xerostomia.
AB - BACKGROUND: Radiation-induced xerostomia still represents a common symptom
following radiotherapy of head and neck malignancies, which significantly impairs
the patient's quality of life. In this cross-sectional study, human salivary
glands were investigated to assess the role of Wnt/beta-catenin and TGF-beta
pathways in the pathogenic process of radiogenic impairment of salivary function.
METHODS: Irradiated human salivary glands were investigated in patients with
manifested xerostomia. Alteration of Wnt-1 and cell-cell adhesion was evaluated
immunohistologically as well as changes in the expression of TGF-beta were
assessed in salivary gland tissue. RESULTS: We assessed two alteration patterns
in which Wnt-1 expression represents one change along with up-regulation of beta
catenin and E-cadherin in irradiated but viable acinar cells. Increased
expression of tenascin-C was observed in sites of epithelial-mesenchymal
interaction and loss of cell-cell adhesion was assessed in translocated
epithelial cells in the stroma. CONCLUSION: Increased transdifferentiation and
remodeling of acinar structures was associated with decrease of viable acinar
structures. The role of Wnt and TGF signaling may provide a potential therapeutic
approach to prevent radiation-induced damage to salivary glands during
radiotherapy for head and neck cancer.
PMID- 21885142
TI - Repeated cycles of peptide receptor radionuclide therapy (PRRT)--results and side
effects of the radioisotope 90Y-DOTA TATE, 177Lu-DOTA TATE or 90Y/177Lu-DOTA TATE
therapy in patients with disseminated NET.
AB - PURPOSE: PRRT is a known tool in the management of patients with disseminated and
inoperable NETs. The aim of study was to assess the effectiveness of the repeated
cycles of PRRT in patients with disseminated and inoperable NETs. MATERIAL AND
METHODS: Eighty nine patients were included in the PRRT. Among them 16 patients
(18%) were qualified for a repeated PRRT cycle due to progression of the disease.
In one of the patients qualified for the repeated cycle, PRRT was used as
neoadjuvant therapy. The results and side-effects of the repeated cycles of PRRT
were analyzed. RESULTS: Disease stabilization was observed in 10 patients 6
months after the repeated PRRT cycle and in 5 patients after 12 and 18 months.
Ten of the patients who had received repeated PRRT cycles died. In the case of
neoadjuvant therapy, further reduction of the tumor size was observed, enabling
qualification for surgery. Clinically significant reduction in the mean values of
morphological parameters was not observed. Only after 12 and 18 months the mean
values of creatinine levels were higher than the normal range (only in 2
patients). CONCLUSIONS: The repeated cycles of PRRT did not cause a clinically
significant increase of the toxicity of PRRT. The changes in kidney and blood
morphology parameters were transient. The repeated cycles of PRRT enabled
stabilization of the disease.
PMID- 21885143
TI - 18F-FDG-PET imaging in radiotherapy tumor volume delineation in treatment of head
and neck cancer.
AB - PURPOSE: To determine the impact of (18)F-fluorodeoxyglucose positron emission
tomography (PET) in radiotherapy target delineation and patient management for
head and neck squamous cell carcinoma (HNSCC) compared to computed tomography
(CT) alone. MATERIALS AND METHODS: Twenty-nine patients with HNSCC were included.
CT and PET/CT obtained for treatment planning purposes were reviewed respectively
by a neuroradiologist and a nuclear medicine specialist who were blinded to the
findings from each other. The attending radiation oncologist together with the
neuroradiologist initially defined all gross tumor volume of the primary (GTVp)
and the suspicious lymph nodes (GTVn) on CT. Subsequently, the same radiation
oncologist and the nuclear medicine specialist defined the GTVp and GTVn on (18)F
FDG-PET/CT. Upon disagreement between CT and (18)F-FDG-PET on the status of a
particular lymph node, an ultrasound-guided fine needle aspiration was performed.
Volumes based on CT and (18)F-FDG-PET were compared with a paired Student's t
test. RESULTS: For the primary disease, four patients had previous diagnostic
tonsillectomy and therefore, FDG uptake occurred in 25 patients. For these
patients, GTVp contoured on (18)F-FDG-PET (GTVp-PET) were smaller than the GTVp
contoured on CT (GTVp-CT) in 80% of the cases, leading to a statistically
significant volume difference (p=0.001). Of the 60 lymph nodes suspicious on PET,
55 were also detected on CT. No volume change was observed (p=0.08). Ten biopsies
were performed for lymph nodes that were discordant between modalities and all
were of benign histology. Distant metastases were found in two patients and one
had a newly diagnosed lung adenocarcinoma. CONCLUSIONS: GTVp-CT was significantly
larger when compared to GTVp-PET. No such change was observed for the lymph
nodes. (18)F-FDG-PET modified treatment management in three patients, including
two for which no curative radiotherapy was attempted. Larger multicenter studies
are needed to ascertain whether combined (18)F-FDG-PET/CT in target delineation
can influence the main clinical outcomes.
PMID- 21885144
TI - Monitoring tumor motion by real time 2D/3D registration during radiotherapy.
AB - BACKGROUND AND PURPOSE: In this paper, we investigate the possibility to use X
ray based real time 2D/3D registration for non-invasive tumor motion monitoring
during radiotherapy. MATERIALS AND METHODS: The 2D/3D registration scheme is
implemented using general purpose computation on graphics hardware (GPGPU)
programming techniques and several algorithmic refinements in the registration
process. Validation is conducted off-line using a phantom and five clinical
patient data sets. The registration is performed on a region of interest (ROI)
centered around the planned target volume (PTV). RESULTS: The phantom motion is
measured with an rms error of 2.56 mm. For the patient data sets, a sinusoidal
movement that clearly correlates to the breathing cycle is shown. Videos show a
good match between X-ray and digitally reconstructed radiographs (DRR)
displacement. Mean registration time is 0.5 s. CONCLUSIONS: We have demonstrated
that real-time organ motion monitoring using image based markerless registration
is feasible.
PMID- 21885145
TI - Serial assessment of FDG-PET FDG uptake and functional volume during radiotherapy
(RT) in patients with non-small cell lung cancer (NSCLC).
AB - OBJECTIVES: The objectives were (i) to confirm that diagnostic FDG-PET images
could be obtained during thoracic radiotherapy, (ii) to verify that significant
changes in FDG uptake or volume could be measured early enough to adapt the
radiotherapy plan and (iii) to determine an optimal time window during the
radiotherapy course to acquire a single FDG-PET examination that would be
representative of tumour response. METHODS: Ten non-small cell lung carcinoma
(NSCLC) patients with significant PET/CT-FDG tumour radioactivity uptake (versus
the background level), candidates for curative radiotherapy (RT, n=4; 60-70 Gy, 2
Gray per fraction, 5 fractions per week) or RT plus chemotherapy (CT-RT, n=6),
were prospectively evaluated. Using a Siemens Biograph, 5 or 6 PET/CT scans
(PET(n), n=0-5) were performed for each patient. Each acquisition included a 15
min thoracic PET with respiratory gating (RG) 60+/-5 min post-injection of the
FDG (3.5 MBq/kg), followed by a standard, 5-min non-gated (STD) thoracic PET.
PET(0) was performed before the first RT fraction. During RT, PET(1-5) were
performed every 7 fractions, i.e., at 14 Gy total dose increment. FDG uptake was
measured as the variation of SUV(max,PETn) versus SUV(max,PET0). Each lesions'
volume was measured by (i) visual delineation by an experienced nuclear
physician, (ii) 40% SUV(max) fixed threshold and (iii) a semi-automatic adaptive
threshold method. RESULTS: A total of 53 FDG-PET scans were acquired. Seventeen
lesions (6 tumours and 11 nodes) were visible on PET(0) in the 10 patients. The
lesions were located either in or near the mediastinum or in the apex, without
significant respiratory displacements at visual inspection of the gated images.
Healthy lung did not cause motion artefacts in the PET images. As measured on 89
lesions, both the absolute and relative SUV(max) values decreased as the RT dose
increased. A 50% SUV(max) decrease was obtained around a total dose of 45 Gy. Out
of the 89 lesions, 75 remained visually identifiable during the entire course of
treatment. The 40% fixed threshold and adaptive threshold methods failed to
delineate otherwise visible lesions in 16/33 (48%) and 3/33 (9%) lesions,
respectively. The failure rate increased with increasing RT doses. Restricting
the analysis to the manually-defined volumes in 89 visible lesions, the relative
volumes decreased with increased dose. CONCLUSIONS: FDG-PET images can be
analysed during thoracic RT, given either alone or with chemotherapy, without
disturbing radiation-induced artefacts. An average 50% decrease in SUV(max) was
observed around 40-45 Gy (i.e., during week 5 of RT). The three delineation
methods yielded consistent volume measurements before RT and during the first
week of RT, while manual delineation appeared to be more reliable later on during
RT.
PMID- 21885146
TI - Modeling germination of fungal spores at constant and fluctuating temperature
conditions.
AB - The germination of Penicillium expansum and Aspergillus niger spores was
monitored microscopically on malt extract agar at isothermal conditions ranging
from 0 to 33 degrees C and 5 to 41.5 degrees C, respectively. The obtained
germination data, expressed as percentage of germination (% P) versus time, were
fitted to the modified Gompertz equation for the estimation of the germination
kinetic parameters (lag time, lambda(g), and germination rate, MU(g)), which were
further modeled as a function of temperature via the use of Cardinal Models with
Inflection (CMI). The effect of temperature on these parameters was similar with
that previously reported for mycelium growth kinetics of the tested isolates. The
germination of spores was also studied at various dynamic time-temperature
conditions including single or sequential temperature shifts. The germination of
spores at fluctuating temperatures was predicted using the modified Gompertz
equation in conjunction with the CMI models for lambda(g) and MU(g) and based on
the assumptions that i) a temperature shift does not result in any additional
lambda(g) and, thus, the total lag can be calculated by adding relative parts of
the lag time, and ii) after a temperature shift the germination rate MU(g) adapts
instantaneously to the new temperature. The comparison between predicted and
observed data showed that the germination of spores is strongly affected by the
extent of the temperature shift, the percentage of germinated spores at the time
of the shift and the fungal species. Apart from the scientific interest in
understanding the dynamics of spores' germination, the models developed in this
study can be used as tools in effective quality management systems for fungi
control in foods.
PMID- 21885147
TI - Transforming growth factor beta1 T868C gene polymorphism is associated with
cerebral infarction in Japanese patients with type 2 diabetes.
AB - It is likely that the C allele of the polymorphism at position 29 of the
translated sequence of transforming growth factor (TGF)-beta1 gene, which codes a
pleiotropic cytokine expressed in a variety of cells, is a susceptibility allele
for cerebral infarction in Japanese type 2 diabetic patients.
PMID- 21885148
TI - Protein oxidation markers in women with and without gestational diabetes
mellitus: a possible relation with paraoxonase activity.
AB - AIMS: To clarify the levels of protein oxidation markers such as protein carbonyl
(PCO), protein hydroperoxides (P-OOH), advanced oxidation protein products (AOPP)
and nitrotyrosine (NT), as well as antioxidative enzymes such as paraoxonase (PON
1) in women with and without gestational diabetes mellitus (GDM). METHODS: The
study was conducted on 23 women with GDM and 22 women without GDM. The levels of
the P-OOH, AOPP, and PON-1 were determined by colorimetric methods; whereas NT
and PCO levels were measured by ELISA. RESULTS: The concentrations of protein
oxidation markers were significantly increased and PON1 activity was
significantly decreased in GDM group compared to those of normal pregnant women.
The control group showed a significant negative correlation between PON-1 and PCO
(r=-0.451, p=0.027); whereas in GDM group, there was a significant positive
correlation between P-OOH and HbA1c (r=0.89, p=0.001). There was no significant
correlation between AOPP, PON-1, P-OOH, PCO, and HbA1c in either group.
CONCLUSIONS: There is evidence of a possible association between protein
oxidation and decreased PON1 activity in GDM. The increase in protein oxidation
parameters in the GDM group leading to decreased PON1 activity might, we think,
create a predisposition for clinical complications in GDM group.
PMID- 21885149
TI - Pioneer factors: directing transcriptional regulators within the chromatin
environment.
AB - Chromatin is a well-known obstacle to transcription as it controls DNA
accessibility, which directly impacts the recruitment of the transcriptional
machinery. The recent burst of functional genomic studies provides new clues as
to how transcriptional competency is regulated in this context. In this review,
we discuss how these studies have shed light on a specialized subset of
transcription factors, defined as pioneer factors, which direct recruitment of
downstream transcription factors to establish lineage-specific transcriptional
programs. In particular, we present evidence of an interplay between pioneer
factors and the epigenome that could be central to this process. Finally, we
discuss how pioneer factors, whose expression and function are altered in tumors,
are also being considered for their prognostic value and should therefore be
regarded as potential therapeutic targets. Thus, pioneer factors emerge as key
players that connect the epigenome and transcription in health and disease.
PMID- 21885150
TI - First pilot trial of the STAR-Liege protocol for tight glycemic control in
critically ill patients.
AB - Tight glycemic control (TGC) has shown benefits in ICU patients, but been
difficult to achieve consistently due to inter- and intra- patient variability
that requires more adaptive, patient-specific solutions. STAR (Stochastic
TARgeted) is a flexible model-based TGC framework accounting for patient
variability with a stochastically derived maximum 5% risk of blood glucose (BG)
below 72 mg/dL. This research describes the first clinical pilot trial of the
STAR approach and the post-trial analysis of the models and methods that underpin
the protocol. The STAR framework works with clinically specified targets and
intervention guidelines. The clinically specified glycemic target was 125 mg/dL.
Each trial was 24 h with BG measured 1-2 hourly. Two-hourly measurement was used
when BG was between 110-135 mg/dL for 3 h. In the STAR approach, each
intervention leads to a predicted BG level and outcome range (5-95th percentile)
based on a stochastic model of metabolic patient variability. Carbohydrate intake
(all sources) was monitored, but not changed from clinical settings except to
prevent BG<100 mg/dL when no insulin was given. Insulin infusion rates were
limited (6 U/h maximum), with limited increases based on current infusion rate
(0.5-2.0 U/h), making this use of the STAR framework an insulin-only TGC
approach. Approval was granted by the Ethics Committee of the Medical Faculty of
the University of Liege (Liege, Belgium). Nine patient trials were undertaken
after obtaining informed consent. There were 205 measurements over all 9 trials.
Median [IQR] per-patient results were: BG: 138.5 [130.6-146.0]mg/dL; carbohydrate
administered: 2-11 g/h; median insulin:1.3 [0.9-2.4]U/h with a maximum of 6.0
[4.7-6.0]U/h. Median [IQR] time in the desired 110-140 mg/dL band was: 50.0 [31.2
54.2]%. Median model prediction errors ranged: 10-18%, with larger errors due to
small meals and other clinical events. The minimum BG was 63 mg/dL and no other
measurement was below 72 mg/dL, so only 1 measurement (0.5%) was below the 5%
guaranteed minimum risk level. Post-trial analysis showed that patients were more
variable than predicted by the stochastic model used for control, resulting in
some of the prediction errors seen. Analysis and (validated) virtual trial re
simulating the clinical trial using stochastic models relevant to the patient's
particular day of ICU stay were seen to be more accurate in capturing the
observed variability. This analysis indicated that equivalent control and safety
could be obtained with similar or lower glycemic variability in control using
more specific stochastic models. STAR effectively controlled all patients to
target. Observed patient variability in response to insulin and thus prediction
errors were higher than expected, likely due to the recent insult of cardiac
surgery or a major cardiac event, and their immediate recovery. STAR effectively
managed this variability with no hypoglycemia. Improved stochastic models will be
used to prospectively test these outcomes in further ongoing clinical pilot
trials in this and other units.
PMID- 21885151
TI - Predictive markers in the adjuvant therapy of non-small cell lung cancer.
AB - Adjuvant chemotherapy increases the 5-year survival rate of patients with
completely resected non-small cell lung cancer (NSCLC) by absolute 5%. Molecular
targeted therapies and predictive biomarkers to select those patients who benefit
hold promise to further improve the outcome. Several biomarkers including ERCC1,
BRCA1, EGFR, or gene signatures have been characterized in retrospective analyses
of adjuvant therapy trials. However, differences in trial design and laboratory
tests might have affected the outcome and might explain discordant results. With
regard to many biomarkers, laboratory tests for their assessment remain to be
standardized. After standardization of these tests and further validation
studies, biomarkers might allow individualizing adjuvant treatment in patients
with completely resected NSCLC in the future.
PMID- 21885152
TI - Using constant head step tests to determine hydraulic apertures in fractured
rock.
AB - The initial step in the analysis of contaminant transport in fractured rock
requires the consideration of groundwater velocity. Practical methods for
estimating the average linear groundwater velocity (v-) in fractured rock require
determination of hydraulic apertures which are commonly calculated by applying
the cubic law using transmissivity (T) values and the number of hydraulically
active fractures in the test interval. High-resolution, constant-head step
injection testing of cored boreholes in a 100 m thick fractured dolostone aquifer
was conducted using inflatable packers to isolate specific test intervals from
the rest of the borehole. The steps in each test interval were gradually
increased from very low to much higher injection rates. At smaller injection
rates, the flow rate vs. applied pressure graph projects through the origin and
indicates Darcian flow; non Darcian flow is evident at higher injection rates.
Non-Darcian flow results in significantly lower calculated T values, which
translates to smaller hydraulic aperture values. Further error in the calculated
hydraulic aperture stems from uncertainty in the number of hydraulically active
fractures in each test interval. This estimate can be inferred from borehole
image and core logs, however, all of the fractures identified are not necessarily
hydraulically active. This study proposes a method based on Reynolds number
calculations aimed at improving confidence in the selection of the number of
active fractures in each test interval.
PMID- 21885153
TI - Defining the anatomical localisation of subsets of the murine mononuclear
phagocyte system using integrin alpha X (Itgax, CD11c) and colony stimulating
factor 1 receptor (Csf1r, CD115) expression fails to discriminate dendritic cells
from macrophages.
AB - The murine mononuclear phagocyte (MNP) system comprises a diverse population of
cells, including monocytes, dendritic cells (DC) and macrophages. Derived from
the myeloid haematopoietic lineage, this group of cells express a variety of well
characterized surface markers. Expression of the integrin alpha X (Itgax, CD11c)
is commonly used to identify classical DC, and similarly expression of colony
stimulating factor 1 receptor (Csf1r, CD115) to identify macrophages. We have
characterized the expression of these markers using a variety of transgenic mouse
models. We confirmed previous observations of Itgax expression in anatomically
defined subsets of MNPs in secondary lymphoid organs, including all MNPs
identified within the germinal centres. The majority of MNPs in the intestinal
lamina propria and lung express Itgax. All mucosal Itgax expressing cells also
express Csf1r suggesting Csf1-dependent haematopoietic derivation. This double
positive population included germinal centre MNPs. These data reveal that Itgax
expression alone does not specifically define classical DC. These results suggest
more cautious interpretation of Itgax-dependent experimentation and direct
equation with uniquely DC-mediated activities, particularly in the functioning of
non-lymphoid MNPs within the intestinal lamina propria.
PMID- 21885154
TI - [Metronidazole: alternative treatment for ocular and cutaneous rosacea in the
pediatric population].
AB - OBJECTIVE: To assess the effectiveness and tolerance of systemic metronidazole in
the treatment of childhood ocular and cutaneous rosacea. METHOD: Single-center
multidisciplinary retrospective study. PATIENTS: Children aged between 1 and 15,
with ocular and/or cutaneous rosacea, treated in the pediatric ophthalmology and
dermatology department of Bordeaux, France, from January 1996 to September 2009.
RESULTS: Eleven patients out of 20 had ocular and cutaneous rosacea, three had
ocular symptoms only, and six had cutaneous symptoms only. In 11 patients (55%),
the ocular symptoms preceded the skin disease. Meibomian cyst and phlyctenular
conjunctivitis were the main symptoms. Keratitis was seen in four patients and
lower corneal ulcer in two cases. The papulopustular form was the most frequent
dermatologic form. All patients with ocular involvement received first-line
treatment of eyelid hygiene. No topical ophthalmic treatment such as
corticosteroid or cyclosporine 0.5% or 2% was used. Thirteen patients who showed
no improvement despite eyelid treatment, the association of ocular and cutaneous
rosacea, severe ocular involvement with keratitis, and severe recurrent cutaneous
rosacea were treated orally. Two patients, aged between 12 and 14 years, received
treatment with an anti-inflammatory dose of doxycycline for 2 to 3 months and
achieved complete remission. One 22-month-old patient received oral treatment
with erythromycin at a dose of 250 mg three times daily for 4 months. Ten
patients, aged 12 to 64 months, were treated with systemic Metronidazole.
Treatment lasting at least 3 months at a dose between 20 and 30 mg/kg per day was
necessary to obtain complete and lasting remission. An early cessation of
treatment, before 3 months, seems associated with partial remission of the
disease and early recurrence. In cases complicated by ocular keratitis and
corneal ulcer, prolonged treatment lasting 6 months led to clinical remission.
The short courses (3-6 months) were preferred to long-term administration to
prevent neurological toxicity. Maintenance therapy was based on eyelid hygiene.
No recurrences and no toxic effects were observed at a median of 48 +/- 6 months.
CONCLUSION: Childhood ocular rosacea is not rare, but is often misdiagnosed. It
often precedes skin symptoms but it can remain isolated. Metronidazole could be
alternative treatment for ocular and cutaneous rosacea in the pediatric
population.
PMID- 21885155
TI - Results of patch testing in 10 patients with peristomal dermatitis.
AB - BACKGROUND: Peristomal dermatitis is a common problem in patients with ostomies
that is a source of considerable morbidity. Irritant contact dermatitis is most
common, but allergic contact dermatitis can also occur. Because of the lack of
published reports on patch testing for this indication, we undertook a
retrospective study of patch testing results in patients with suspected
peristomal allergic contact dermatitis. OBJECTIVE: We sought to describe our
patch testing experience with patients referred with peristomal dermatitis.
METHODS: This was a retrospective review of medical records of patients with
ostomies and peristomal dermatitis who underwent patch testing in the Mayo Clinic
Departments of Dermatology in Jacksonville, FL; Rochester, MN; and Scottsdale,
AZ, during a 10-year period (2000-2010). RESULTS: Ten patients with peristomal
dermatitis were referred for patch testing (6 in Minnesota, 2 in Florida, and 2
in Arizona). Patients were patch tested to the materials used in their stoma
devices, to the standard series, and in some cases to supplemental series. All 10
had at least one allergic patch test reaction, most commonly to stoma paste (3 of
10 patients). LIMITATIONS: Retrospective nature of study via chart review is a
limitation. CONCLUSION: Patch testing is a useful tool for identification of
allergens in patients with peristomal dermatitis.
PMID- 21885156
TI - Development of a clinical prediction rule for 30-day cardiac events in emergency
department patients with chest pain and possible acute coronary syndrome.
AB - STUDY OBJECTIVE: Evaluation of emergency department (ED) patients with chest pain
who are at low risk for acute coronary syndrome is resource intensive and may
lead to false-positive test results and unnecessary downstream procedures. We
seek to identify patients at low short-term risk for a cardiac event for whom
additional ED investigations might be unnecessary. METHODS: We prospectively
enrolled patients older than 24 years and with a primary complaint of chest pain
from 3 academic EDs. Physicians completed standardized data collection forms
before diagnostic testing. The primary adjudicated outcome was acute myocardial
infarction, revascularization, or death of cardiac or unknown cause within 30
days. We used recursive partitioning to derive the rule and validated the model
with 5,000 bootstrap replications. RESULTS: Of 2,718 patients enrolled, 336 (12%)
experienced a cardiac event within 30 days (6% acute myocardial infarction, 10%
revascularization, 0.2% death). We developed a rule consisting of the absence of
5 predictors: ischemic ECG changes not known to be old, history of coronary
artery disease, pain typical for acute coronary syndrome, initial or 6-hour
troponin level greater than the 99th percentile, and age greater than 50 years.
Patients aged 40 years or younger required only a single troponin evaluation. The
rule was 100% sensitive (95% confidence interval 97.2% to 100.0%) and 20.9%
specific (95% confidence interval 16.9% to 24.9%) for a cardiac event within 30
days. CONCLUSION: This clinical prediction rule identifies ED chest pain patients
at very low risk for a cardiac event who may be suitable for discharge. A
prospective multicenter study is needed to validate the rule and determine its
effect on practice.
PMID- 21885157
TI - Epidemiologic surveillance of postoperative endophthalmitis in a specialized
ophthalmologic center in Sao Paulo, Brazil.
AB - This article describes a postoperative endophthalmitis (POE) surveillance system
in place in a specialized ophthalmologic center in Sao Paulo, Brazil. The study
involved a review of medical records from 2004-2009, during which a total of
31,999 intraocular surgeries were performed. Nineteen of these cases fulfilled
the criteria for POE, for an infection rate of 0.06%. The main etiologic agent
causing POE was Pseudomonas aeruginosa, identified in 42.1% of the cases (8/19).
PMID- 21885158
TI - Environmental factors associated with nosocomial legionellosis after anti-tumor
necrosis factor therapy: case study.
AB - In response to 2 reported cases of nosocomial legionellosis after anti-tumor
necrosis factor (TNF) treatment, the environmental controls and testing
facilities in a 221-bed acute care hospital were investigated. This investigation
led to the implementation of a series of specific preventive measures adapted
from protocols used to protect immunosuppressed patients. These 2 cases of
legionellosis might be related to 2 concurrent events: treatment of hospitalized
patients with anti-TNF drugs and secondary environmental changes related to major
construction work. Patients undergoing anti-TNF treatment may be at increased
risk for developing opportunistic infections during construction work,
renovations, or water supply perturbations and require specific preventive
measures.
PMID- 21885159
TI - Severe cutaneous aspergillosis in a premature neonate linked to nonsterile
disposable glove contamination?
AB - After having eliminated a dysfunction of the hospital's ventilation system and
any other possible environmental reservoir, the investigation of a fatal case of
primary cutaneous aspergillosis in a neonate with extremely low birth weight led
to the conclusion that nonsterile disposable gloves kept stored in their native
packages were the likely source of contamination.
PMID- 21885160
TI - Evaluation of the knowledge-sharing social network of hospital-based infection
preventionists in Kentucky.
AB - BACKGROUND: The role of the infection preventionist (IP) has become increasingly
complex, underscoring the need for rapid mechanisms of knowledge acquisition. One
mechanism for knowledge acquisition is knowledge-sharing through social networks.
In a state such as Kentucky with predominantly rural health care facilities, an
optimal knowledge-sharing network is critical; however, descriptions of these
networks are absent from the literature. The objective of this study was to
evaluate the knowledge-sharing networks of hospital-based IPs in Kentucky.
METHODS: A survey was sent to all hospital-based IPs in Kentucky in November
2010. Density and component analyses were used to evaluate network cohesion, and
centrality statistics and key player algorithms were used to identify IPs
important to the network. RESULTS: A total of 75 (58%) IPs completed the survey.
The network density was 1.8%. Three components were identified. The median
(range) centrality measures were as follows: in-degree, 2 (0-11); out-degree, 0.5
(0-5); betweenness, 0 (0-567); and eigenvector 0.02 (0-0.45). Three key players
were identified. CONCLUSIONS: Low network statistics indicate that the knowledge
sharing network of hospital-based IPs might not be adequate for efficient
knowledge-sharing. Interventions to increase the density of the network and
reduce the number of components are needed.
PMID- 21885161
TI - [Endoscopic image of a gastric duplication].
PMID- 21885162
TI - [Diagnostic performance of colonoscopy in lower gastrointestinal bleeding].
AB - Lower gastrointestinal bleeding is a common medical emergency that usually has a
favorable prognosis. However, these events generate high resource use. The
procedure of choice is colonoscopy with prior colonic preparation due to its high
diagnostic performance and safety and the possibility of endoscopic therapy.
Emergency colonoscopy has advantages over elective colonoscopy, showing higher
diagnostic yield and superior detection of stigmata of recent bleeding,
increasing the probability of endoscopic treatment. Predictive models of bleeding
severity and recurrence have been published, allowing resource use to be
rationalized, mainly by reducing hospital stay in low-risk patients.
Nevertheless, the optimal timing of emergency colonoscopy has not been
established and the impact of endoscopic treatment on prognosis is controversial.
PMID- 21885163
TI - [Relationship between social support and blood glucose control in type 2 diabetes
mellitus patients].
PMID- 21885164
TI - [Clinical importance of the clopidogrel - omeprazole interaction: a question to
solve].
PMID- 21885165
TI - Anti-cancer activity of a novel palladium(II) complex on human breast cancer
cells in vitro and in vivo.
AB - Anti-cancer effects of a newly-synthesized palladium(II) complex,
[Pd(sac)(terpy)](sac).4H(2)O (sac = saccharinate, and terpy = 2,2':6',2''
terpyridine), were tested against human breast cancer cell lines, MCF-7 and MDA
MB-231. The Pd complex had a strong anti-growth effect in a dose- and time
dependent manner in vitro. This effect was also confirmed by the experiment
performed on Balb/c mice in vivo. The IC(50) values were 0.09 MUM for MDA-MB-231
and 3.05 MUM for MCF-7. It was also very effective in disrupting the formation of
MDA-MB-231 tubules on matrigel, indicative of a putative anti-invasive activity.
It induced apoptosis via the cell death genes of DR4 and DR5. In conclusion, this
newly-synthesized Pd (II) complex represents a potentially active novel drug for
the breast cancer treatment.
PMID- 21885166
TI - Optically active 1,3,4,4-tetrasubstituted beta-lactams: synthesis and evaluation
as tumor cell growth inhibitors.
AB - The in vitro cytotoxicity assays of several enantiopure (3S,4S)- and (3R,4R)
1,3,4,4-tetrasubstituted beta-lactams derived from amino acids have shown that
the (3S,4S)-4-benzyl-1-p-methoxybenzyl-3-methyl-4-methoxycarbonyl derivative 2a,
obtained from Phe, displays significant activity, which is comparable to that of
the anticancer drug Doxorubicin against HT29 cell lines. Modifications at
positions 1 and 4 of the beta-lactam ring led to identify the Tyr(2,6-ClBz)
analogu 26d with similar activity data to those of 2a. The synthesis and SAR of
all these tetrasubstituted beta-lactams are reported here.
PMID- 21885167
TI - Novel indole and azaindole (pyrrolopyridine) cannabinoid (CB) receptor agonists:
design, synthesis, structure-activity relationships, physicochemical properties
and biological activity.
AB - The discovery, synthesis and structure-activity relationship (SAR) of a novel
series of cannabinoid 1 (CB(1)) and cannabinoid 2 (CB(2)) receptor ligands are
reported. Based on the aminoalkylindole class of cannabinoid receptor agonists, a
biphenyl moiety was introduced as novel lipophilic indole 3-acyl substituent in
11-16. Furthermore, the 3-carbonyl tether was replaced with a carboxamide linker
in 17-20 and the azaindole (pyrrolopyridine) nucleus was designed as indole
bioisostere with improved physicochemical properties in 21-25. Through these SAR
efforts, several high affinity CB(1)/CB(2) dual cannabinoid receptor ligands were
identified. Indole-3-carboxamide 17 displayed single-digit nanomolar affinity and
~80 fold selectivity for CB(1) over the CB(2) receptor. The azaindoles displayed
substantially improved physicochemical properties (lipophilicity; aqueous
solubility). Azaindole 21 elicited potent cannabinoid activity. Cannabinoid
receptor agonists 17 and 21 potently modulated excitatory synaptic transmission
in an acute rat brain slice model of cannabinoid receptor-modulated
neurotransmission.
PMID- 21885168
TI - [Lung opacities].
PMID- 21885169
TI - [Severe alcohol withdrawal syndrome (delirium tremens), at a crossroads between
somatic and psychiatric components of alcoholic disorder].
PMID- 21885170
TI - The value of involvement from the perspective of service users and carers engaged
in practitioner education: not just a cash nexus.
AB - This paper presents qualitative findings emergent from a participatory action
research (PAR) study focused on developing service user and carer involvement in
a university setting. The involvement of these experts by experience in
practitioner education for health and social care, and nursing in particular, is
now an international phenomenon. Adhering to the philosophy and practices of PAR,
the project and the writing of this paper have been collectively produced. Data
has been organised using simple thematic analysis into three broad themes
accounting for different ways in which participating service users and carers
obtain a sense of value from their involvement. We have titled these themes: a
more positive sense of self; social and relational benefits; altruism in
activism. Drawing on these participant narratives we develop an understanding of
the relationship between involvement and reward that does not simply reflect
value in payment.
PMID- 21885171
TI - Ivan Illich on medical nemesis.
PMID- 21885172
TI - Use of the masseter motor nerve in facial animation with free muscle transfer.
AB - Facial paralysis is either congenital or acquired, and of varying severity, which
leads to an asymmetrical or absent facial expression. It is an important
disability both from the aesthetic and functional points of view. Between 2003
and 2008, at the Department of Maxillofacial Surgery, University of Parma, Italy,
21 patients with facial paralysis had their faces reanimated with a gracilis
transplant reinnervated by the masseter motor nerve. All free-muscle transplants
survived the transfer, and no flap was lost. Facial symmetry at rest and while
smiling was excellent or good in most cases, and we found an appreciable
improvement in both speech and oral competence. We consider that the masseter
motor nerve is a powerful and reliable donor nerve, which allows us to obtain
movement of the commissure and upper lip similar to those of the normal site for
degree and direction. There may be a role for the masseter motor nerve in
innervation of patients with facial paralysis.
PMID- 21885173
TI - The effect of metal pollution on the population genetic structure of brown trout
(Salmo trutta L.) residing in the River Hayle, Cornwall, UK.
AB - The River Hayle in south-west England is impacted with metals and can be divided
into three regions depending on the copper and zinc concentrations: a low-metal
upper section; a highly-contaminated middle section and a moderately contaminated
lower section. Hayle river water is toxic to metal-naive brown trout, but brown
trout are found in the upper and lower regions. The study aimed to evaluate the
population genetic structure of River Hayle brown trout and to determine if the
highly-contaminated section acts as a chemical barrier to migration. Population
genetic analysis indicated that metals were not a barrier to gene flow within the
river, but there was a high level of differentiation observed between fish
sampled at two sites in the upper region, despite being separated by only 1 km.
The metal tolerance trait exhibited by this brown trout population may represent
an important component of the species genetic diversity in this region.
PMID- 21885174
TI - Paricalcitol versus ergocalciferol for secondary hyperparathyroidism in CKD
stages 3 and 4: a randomized controlled trial.
AB - BACKGROUND: The efficacy of 25-hydroxyvitamin D (25[OH]D) supplementation versus
vitamin D receptor activators for the treatment of secondary hyperparathyroidism
(SHPT) in patients with chronic kidney disease (CKD) stages 3 or 4 and vitamin D
deficiency is unclear. STUDY DESIGN: Randomized controlled trial. SETTING &
PARTICIPANTS: 80 patients with CKD stages 3 or 4, 25(OH)D level <30 ng/mL, and
SHPT in a single medical center. INTERVENTION: Ergocalciferol, 50,000 units,
titrated to achieve serum levels >=30 ng/mL versus paricalcitol, 1 or 2 MUg/d,
for 16 weeks. OUTCOMES: The occurrence of 2 consecutive parathyroid hormone (PTH)
levels decreased by at least 30% from baseline. All analyses were intention to
treat. RESULTS: Baseline characteristics in the 2 groups were similar. 21
patients (53%) on paricalcitol and 7 patients (18%) on ergocalciferol treatment
achieved the primary outcome measure (P = 0.002). After 16 weeks, PTH levels did
not decrease significantly in patients receiving ergocalciferol, but were
decreased significantly in those treated with paricalcitol (mean estimate of
between-group difference over 16 weeks of therapy, 43.9 pg/mL; 95% CI, 11.2-76.6;
P = 0.009). Serum 25(OH)D levels increased significantly after 16 weeks in only
the ergocalciferol group, but not the paricalcitol group (mean estimate of
between-group difference over 16 weeks of therapy, 7.08 ng/mL; 95% CI, 4.32-9.85;
P < 0.001). Episodes of hyperphosphatemia and hypercalcemia were not
significantly different between the 2 groups. LIMITATIONS: Lack of blinding and
use of surrogate end points. CONCLUSIONS: Paricalcitol is more effective than
ergocalciferol at decreasing PTH levels in patients with CKD stages 3 or 4 with
vitamin D deficiency and SHPT.
PMID- 21885175
TI - Place effects for areas defined by administrative boundaries: a life course
analysis of mortality and cause specific morbidity in Scania, Sweden.
AB - To understand the origin of disease risk in adulthood, factors in all stages of
life and on different contextual levels should be considered. Therefore, the aim
of this study was to investigate the relevance of a person's area of residence
over their life course with regard to four outcomes: all-cause mortality;
ischemic heart disease mortality and morbidity; cancer mortality and morbidity;
and respiratory diseases and related mortality. We applied a cross-classified
multilevel model for three age groups on a longitudinal data set spanning a 35
year period in Scania, Sweden. According to our analyses, the proportion of the
total variance at the district level for all the outcomes studied was below 2%
for the 65 to 84 age group, below 4.5% for those ages 50-64 years, and below 6.5%
for those 30-49 years old. Our results suggest that the parish of residence, at
four different time points during the individual life course, had little
influence on individual all-cause mortality, or on mortality or morbidity from
IHD, cancer, and respiratory diseases; i.e., knowing when and where an individual
resided during their life course gives little indication of future mortality and
morbidity. Such knowledge is essential in assisting decision makers determine the
relevant geographical level of intervention (in our case whether to direct
interventions toward the entire region of Scania or to specific parishes) needed.
Valuable information for planning public health interventions might be obtained
by considering measures of variance and clustering from specific contexts before
implementing strategic programs.
PMID- 21885176
TI - Attentional disengagement in adults with Williams syndrome.
AB - Williams syndrome (WS) is a neurodevelopmental disorder characterized by a
distinctive behavioral and cognitive profile, including widespread problems with
attention. However, the specific nature of their attentional difficulties, such
as inappropriate attentional allocation and/or poor attentional disengagement
abilities, has yet to be elucidated. Furthermore, it is unknown if there is an
underlying difficulty with the temporal dynamics of attention in WS or if their
attentional difficulties are task-dependent, because previous studies have
examined attention in established areas of deficit and atypicality (specifically,
visuospatial and face processing). In this study, we examined attentional
processing in 14 adults with WS (20-59 years) and 17 typically developing
controls (19-39 years) using an attentional blink (AB) paradigm. The AB is the
decreased ability to detect a second target when it is presented in close
proximity to an initial target. Overall, adults with WS had an AB that was
prolonged in duration, but no different in magnitude, compared with typically
developing control participants. AB performance was not explained by IQ, working
memory, or processing speed in either group. Thus, results suggest that the
attention problems in WS are primarily due to general attentional disengagement
difficulties rather than inappropriate attentional allocation.
PMID- 21885177
TI - Examining age-related movement representations for sequential (fine-motor) finger
movements.
AB - Theory suggests that imagined and executed movement planning relies on internal
models for action. Using a chronometry paradigm to compare the movement duration
of imagined and executed movements, we tested children aged 7-11 years and adults
on their ability to perform sequential finger movements. Underscoring this tactic
was our desire to gain a better understanding of the age-related ability to
create internal models for action requiring fine-motor movements. The task
required number recognition and ordering and was presented in three levels of
complexity. Results for movement duration indicated that 7-year-olds and adults
were different from the other groups with no statistical distinction between 9-
and 11-year-olds. Correlation analysis indicated a significant relationship
between imagined and executed actions. These results are the first to document
the increasing convergence between imagined and executed movements in the context
of fine-motor behavior; a finding that adds to our understanding of action
representation in children.
PMID- 21885178
TI - Influence of response prepotency strength, general working memory resources, and
specific working memory load on the ability to inhibit predominant responses: a
comparison of young and elderly participants.
AB - One conception of inhibitory functioning suggests that the ability to
successfully inhibit a predominant response depends mainly on the strength of
that response, the general functioning of working memory processes, and the
working memory demand of the task (Roberts, Hager, & Heron, 1994). The proposal
that inhibition and functional working memory capacity interact was assessed in
the present study using two motor inhibition tasks (Go/No-Go and response
incompatibility) in young and older participants. The strength of prepotency was
assessed with a short or long training phase for the response to be inhibited.
The influence of working memory resources was evaluated by administering the
tasks in full vs. divided attention conditions. The effect of working memory load
was manipulated by increasing the number of target and distracter items in each
task. Results showed no effect of prepotency strength, whereas dividing
attentional resources and increasing working memory load were associated with
greater inhibitory effects in both groups and for both tasks. This deleterious
effect was higher for older participants, except in the working memory load
condition of the Go/No-Go task. These results suggest an interactive link between
working memory and response inhibition by showing that taxing working memory
resources increases the difficulty of inhibiting prepotent responses in younger
and older subjects. The additional detrimental effect of these factors on healthy
elderly subjects was related to their decreased cognitive resources and to their
shorter span size.
PMID- 21885179
TI - [Case report: squamous cell carcinoma, radial forearm flap and Huriez syndrome.
Focus on a rare pathology].
AB - Huriez disease is a rare autosomal dominant pathology characterized by the triad
hypoplastic nail, hyperkeratosis and scleroatrophy of distal extremities. One of
its most principal complications is the development of an aggressive squamous
cell carcinoma. We present a case of a 62-year old patient who had an acute two
hands scleroatrophy associated with recurrent squamous cell carcinoma treated by
large excision and covered by trophic and thick radial forearm flap. This flap
allowed us to treat the wound and the sclerosis shrinkage with aim to give back
the functional benefit to the patient. It also gave the patient an oncological
treatment despite aggressive management in one step surgery. Furthermore, one
year later we did not observe cutaneous flap histological modification that could
have degenerated into cancer. A multidisciplinary approach with dermatologists,
geneticists and plastic surgeons is essential in addition with close medical
supervision because of high cancer risks.
PMID- 21885181
TI - Does azurin bind to the transactivation domain of p53? A Trp phosphorescence
study.
AB - The bacterial redox protein azurin has been shown to be able to enter into cancer
cells and induce apoptosis by stabilizing p53. Although the formation of a
complex between the two proteins has been demonstrated, little is known about
their binding features. We investigated the interaction between the transcription
activation domain of p53 (p53(1-63)) and Pseudomonas aeruginosa azurin using
fluorescence and phosphorescence spectroscopic techniques. Trp phosphorescence
lifetime measurements revealed conformational changes in azurin induced by the
interaction with p53(1-63). Acrylamide quenching of Trp phosphorescence also
indicated a significant increase in the overall flexibility of azurin upon
binding to p53(1-63). We show that azurin binds to the N-terminal region of p53
with a dissociation constant in the 5-10 MUM range. No change in the fluorescence
and phosphorescence emission of p53(1-63) was detected in the presence of azurin.
This result indicated that no Trp residue of p53(1-63) is located in the
interaction site with azurin and therefore suggested that the azurin binding site
does not overlap that of MDM2, the protein that plays a crucial role in the p53
regulation. The present results may assist in the design of novel cancer
treatments based on p53 stabilization by azurin.
PMID- 21885182
TI - LESS is more ... but needs even more.
PMID- 21885180
TI - [How beta-blockers are used in Spain? Analysis of limitations in their use in
internal medicine and cardiology: CARACTER-BETA study].
AB - INTRODUCTION AND OBJECTIVES: Beta-blocker treatment has a class I indication,
level of evidence A, in guidelines for the treatment of heart failure, ischemic
heart disease, and atrial fibrillation. However, beta-blocker use continues to be
less than optimal. In this study, beta blocker use in Spain is analyzed in
patients with heart failure, ischemic heart disease, and atrial fibrillation.
METHODS: Observational, epidemiologic, cross-sectional, multicenter study
including 1608 patients with heart failure, ischemic heart disease, and/or atrial
fibrillation, recruited in 150 healthcare centers by cardiologists and internal
medicine specialists. RESULTS: Cardiologists enrolled 78.6% patients and internal
medicine specialists 21.4%; 25.8% were recruited at hospital discharge and 74.2%
at outpatient centers. Men accounted for 77% of the sample, and age was 68 (12)
years. Of the total, 73% had ischemic heart disease, 42% heart failure, and 36%
atrial fibrillation (multiresponse variable). Beta blockers were given to 82.8%
of those consulting in cardiology compared to 71.6% of those treated in internal
medicine (P<.0001). By pathology, the prescription rate was 85.1% of patients
with ischemic heart disease, 77.0% of those with heart failure, and 72.4% of
those with atrial fibrillation. Cardiology prescribed significantly more beta
blockers for ischemic heart disease and heart failure than did internal medicine.
Multivariate analysis showed that beta blocker use increased when the patient had
ischemic heart disease, was treated by a cardiologist, and had dyslipidemia,
stroke, and/or left ventricular hypertrophy. beta blocker use decreased with age
and with a history of bronchospasm, asthma, bradycardia, chronic obstructive
pulmonary disease, and/or intermittent claudication. CONCLUSIONS: There is still
room for improvement in beta blocker prescription in Spain for patients with
ischemic heart disease, heart failure, and/or atrial fibrillation.
PMID- 21885183
TI - Can we apply nomograms derived in the United States to European patients? Yes, we
can!
PMID- 21885184
TI - Prostate cancer units: has the time come to discuss this thorny issue and promote
their establishment in Europe?
PMID- 21885185
TI - Mixed split cord malformation: are we missing something?
AB - BACKGROUND: The new classification for split cord malformation, based on the
unified theory of embryogenesis includes two types Type I and II defined on the
basis of the nature of the medium septum and the state of the dural tube.
According to this, these are the only two essential features needed for typing
and there is never an overlap between the two main forms. We have described two
cases that defy this theory. CASE REPORT: We present two patients with split cord
malformation who appear to have a combination of features specific to Type I and
Type II. Both patients had a partial bony spur within a single dural sac.
CONCLUSION: An overlap between the two forms of split cord malformation does
exist. The role of meninx primitiva in the formation of median bony septum is
debatable.
PMID- 21885186
TI - Corticospinal tract dysfunction in a patient with acute motor axonal neuropathy
(AMAN).
PMID- 21885187
TI - The behavior and long-term fate of metals in simulated landfill bioreactors under
aerobic and anaerobic conditions.
AB - The long-term behavior and fate of metals in leachate from four simulated
bioreactor landfills were explored using lysimeters under both aerobic and
anaerobic conditions for a maximum of 1650 days. Metal concentrations varied with
time and stage of landfill activity. The behavior of selected metals (Al, As, Cr,
Cu, Fe, Pb, and Zn) significantly differed between aerobic and anaerobic
conditions. Leachate from the aerobic lysimeters contained greater concentrations
of Al, Cu, and Pb compared to leachate derived from the anaerobic lysimeters
(average concentrations of Al, Cu and Pb in the aerobic/anaerobic lysimeters were
8.47/0.78 mg/L, 1.61/0.04 mg/L and 0.10/0.03 mg/L, respectively). In the
anaerobic lysimeters, As, Fe and Zn leached at greater concentrations (average
concentrations of As, Fe and Zn in the aerobic/anaerobic lysimeters were
0.40/1.14 mg/L, 13.5/136 mg/L and 15.3/168 mg/L, respectively). Though no
significant difference in overall Cr concentrations was observed in leachate
samples from aerobic and anaerobic lysimeters, during the alkali and methane
phases approximately 45% of Cr was presented as Cr(VI) under aerobic conditions,
whereas no Cr(VI) was detected under anaerobic conditions.
PMID- 21885188
TI - Evidence for shifts in the structure and abundance of the microbial community in
a long-term PCB-contaminated soil under bioremediation.
AB - Although the impact of bioremediation of PCB-contaminated sites on the indigenous
microbial community is a key question for soil restoration, it remains poorly
understood. Therefore, a small-scale bioremediation assay made of (a) a
biostimulation treatment with carvone, soya lecithin and xylose and (b) two
bioaugmentation treatments, one with a TSZ7 mixed culture and another with a
Rhodococcus sp. Z6 pure strain was set up. Changes in the structure of the global
soil microbial community and in the abundances of different taxonomic phyla were
monitored using ribosomal intergenic spacer analysis (RISA) and real-time PCR.
After an 18-month treatment, the structure of the bacterial community in the
bioremediated soils was significantly different from that of the native soil. The
shift observed in the bacterial community structure using RISA analysis was in
accordance with the monitored changes in the abundances of 11 targeted phyla and
classes. Actinobacteria, Bacteriodetes and alpha- and gamma-Proteobacteria were
more abundant under all three bioremediation treatments, with Actinobacteria
representing the dominant phylum. Altogether, our results indicate that
bioremediation of PCB-contaminated soil induces significant changes in the
structure and abundance of the total microbial community, which must be addressed
to implement bioremediation practices in order to restore soil functions.
PMID- 21885189
TI - Structural characterisation of Arquad(r) 2HT-75 organobentonites: surface charge
characteristics and environmental application.
AB - Organoclays are increasingly being used to remediate both contaminated soils and
waste water. The present study was attempted to elucidate the structural
evolution of bentonite based organoclays prepared from a commercially available,
low-cost alkyl ammonium surfactant Arquad((r)) 2HT-75. XRD, FTIR, SEM and zeta
potential measurement were used to characterise the organoclays. In particular,
the relationship between surface charge characteristics of the organoclays and
their ability to remediate organic contaminants such as phenol and p-nitrophenol
was investigated. The investigation revealed that the arrangement and
conformation of surfactant molecules in the bentonite became more regular,
ordered and solid-like as of Arquad((r)) 2HT-75 loading increased. This also led
to the formation of a positive zeta potential on the surface of organobentonites
prepared with 3.57:1 and 4.75:1 surfactant-clay (w/w) ratio. The zeta potential
values decreased with increasing pH of the suspension. The adsorption data of
phenol and p-nitrophenol were best fitted to Freundlich isotherm model. The
adsorption was controlled by multiple mechanisms of partitioning, physico
sorption and chemisorption. The outcomes of this study are useful for the
synthesis of low cost organobentonite adsorbents for the remediation of ionisable
organic contaminants such as phenol and p-nitrophenol from waste water.
PMID- 21885190
TI - Ecotoxicological impacts of clofibric acid and diclofenac in common carp
(Cyprinus carpio) fingerlings: hematological, biochemical, ionoregulatory and
enzymological responses.
AB - Investigation on the toxic effects of pharmaceutical drugs namely clofibric acid
(CA) and diclofenac (DCF) were studied in a common carp Cyprinus carpio at
different concentrations such as 1, 10 and 100 MUg L(-1) for a short-term period
of 96 h under static bioassay method. At all concentrations, red blood cell
(RBC), plasma sodium (Na(+)), potassium (K(+)), and glutamate oxaloacetate
transaminase (GOT) levels were decreased in fish treated with CA and DCF.
Contrastingly, white blood cell (WBC), plasma glucose, protein, lactate
dehydrogenase (LDH) and gill Na(+)/K(+)-ATPase level were increased. However, a
mixed trend was observed in hemoglobin (Hb), hematocrit (Hct), plasma chloride
(Cl(-)), mean cellular volume (MCV), mean cellular hemoglobin (MCH), mean
cellular hemoglobin concentration (MCHC) and glutamate pyruvate transaminase
(GPT) levels. There was a significant (P<0.01 and P<0.05) change in all
parameters measured in fish exposed to different concentrations of CA and DCF. In
summary, the alterations in hematological, biochemical, ionoregulatory and
enzymological parameters can be used as biomarkers in monitoring the toxicity of
CA and DCF in aquatic environment. However, more detailed studies on using of
specific biomarkers to monitor the human pharmaceuticals are needed.
PMID- 21885191
TI - Tantalum (oxy)nitrides: preparation, characterisation and enhancement of photo
Fenton-like degradation of atrazine under visible light.
AB - Tantalum (oxy)nitrides were prepared by the nitridation of Ta(2)O(5) and were
added to a photo-Fenton-like system to enhance Fe(3+) reduction and atrazine
degradation under visible light. The samples were characterized by XRD, XPS, DRS
and BET analyses. XPS analysis showed that the nitrogen content of the tantalum
(oxy)nitride samples increased noticeably with the nitridation temperature and
nitridation time but slightly with the flow rate of NH(3). XRD results showed
Ta(2)O(5) was first converted to TaON and then to Ta(3)N(5) when the nitridation
temperature increased. DRS analysis showed that the sample obtained at 800
degrees C displayed the strongest absorption of visible light. However, the
ability of the tantalum (oxy)nitrides to reduce Fe(3+) did not increase
continuously with the nitrogen content. Sample 7 (700 degrees C, [Formula: see
text] , 6h) showed the highest level of photocatalytic activity for Fe(3+)
reduction. This is because the photocatalytic activity of TaON for Fe(3+)
reduction is higher than that of Ta(3)N(5). And a slight synergetic effect was
observed between TaON and Ta(3)N(5). With the addition of sample 7, H(2)O(2)
decomposition and atrazine degradation were significantly accelerated in a photo
Fenton-like system under visible light. The regenerated tantalum (oxy)nitrides
catalyst displayed considerably stable performance for atrazine degradation.
PMID- 21885192
TI - Recycle of electrolytically dissolved struvite as an alternative to enhance
phosphate and nitrogen recovery from swine wastewater.
AB - Operational parameters such as electric voltage, NaCl, reaction time (RT) and
initial struvite amount were optimized for struvite dissolution with a designed
electrolysis reactor, and the effect of recycling the dissolved solution on the
performance of struvite crystallization was also assessed. The electrolytic
reactor was made of plexiglas having titanium plate coated with iridium oxide as
anode (surface area: 400 cm(2)) and stainless steel plates as cathodes. For
reutilization of dissolved struvite, four runs were conducted with different
recycle ratio of the solution. Optimum conditions for the electric voltage, NaCl,
RT and initial struvite amount were 7 V, 0.06%, 1.5h and 1.25 g/L, respectively.
At the above optimized conditions, 49.17 mg/L phosphate (PO(4)(3-)-P) was
dissolved and ammonium-nitrogen (NH(4)-N) got completely removed from the
solution. When 0.0, 0.5, 1.0 and 2.0 moles of the dissolved struvite with respect
to PO(4)(3-)-P in swine wastewater were recycled along with 0.5M magnesium
chloride (MgCl(2)), the PO(4)(3-)-P removal was 63, 69, 71 and 79%, and NH(4)-N
was 9, 31, 40 and 53%, respectively. Hence, the performance of struvite formation
process was proportionally increased. It is concluded that struvite can be re
dissolved by electrolysis and reused as a source of P and Mg.
PMID- 21885193
TI - The modern paradox of unregulated cooking activities and indoor air quality.
AB - Pollutant emission from domestic and commercial cooking activities is a
previously neglected area of concern with respect to human health worldwide. Its
health effects are relevant to people across the globe, not only those using low
quality food materials in lesser-developed countries but also to more affluent
people enjoying higher quality food in developed countries. Based on the
available database of pollutant emissions derived from fire-based cooking, its
environmental significance is explored in a number of ways, especially with
respect to the exposure to hazardous vapors and particulate pollutants.
Discussion is extended to describe the risk in relation to cooking methods,
cooking materials, fuels, etc. The observed pollutant levels are also evaluated
against the current regulations and guidelines established in national and
international legislation. The limitations and future prospects for the control
of cooking hazards are discussed.
PMID- 21885194
TI - Toluene and chlorobenzene dinitration over solid H3PO4/MoO3/SiO2 catalyst.
AB - A new catalyst, H(3)PO(4)/MoO(3)/SiO(2), was prepared by modification of
MoO(3)/SiO(2) using phosphoric acid. The characterization of the catalyst was
performed using Infrared and Raman Spectroscopy, potentiometric titration and
nitrogen adsorption-desorption methods. Molybdenum oxides were identified along
with phosphomolybdic acid and polymolybdates on the modified surface. The
suitability of the catalysts for toluene and chlorobenzene nitration in
continuous process was examined. Toluene is effectively nitrated to
dinitrotoluene (DNT) in one-stage process (96 wt.% of DNT in the product) and in
mild conditions i.e. at room temperature and only with ten-fold excess of nitric
acid. In chlorobenzene nitration only twelve-fold excess of nitric acid is needed
to obtain as high yield as 95 wt.%. Most importantly, the novel catalysts we have
developed, provide the opportunity for sulfuric acid- free nitration of aromatic
compounds.
PMID- 21885195
TI - Estimate of the optimum weight ratio in zero-valent iron/pumice granular mixtures
used in permeable reactive barriers for the remediation of nickel contaminated
groundwater.
AB - This paper presents the results of laboratory column tests aimed at defining the
optimum weight ratio of zero-valent iron (ZVI)/pumice granular mixtures to be
used in permeable reactive barriers (PRBs) for the removal of nickel from
contaminated groundwater. The tests were carried out feeding the columns with
aqueous solutions of nickel nitrate at concentrations of 5 and 50 mg/l using
three ZVI/pumice granular mixtures at various weight ratios (10/90, 30/70 and
50/50), for a total of six column tests; two additional tests were carried out
using ZVI alone. The most successful compromise between reactivity (higher ZVI
content) and long-term hydraulic performance (higher Pumice content) seems to be
given by the ZVI/pumice granular mixture with a 30/70 weight ratio.
PMID- 21885196
TI - Prevalence of Giardia duodenalis assemblages in weaned cattle on cow-calf
operations in the United States.
AB - To determine the prevalence of Giardia duodenalis in weaned beef calves on cow
calf operations in the United States, fecal specimens were collected from 819
calves (6-18 months of age) from 49 operations. After cleaning and concentration
procedures to maximize recovery of cysts from feces, DNA was extracted from each
of the 819 specimens. The presence of G. duodenalis was determined by nested PCR
of a fragment of the SSU rRNA gene. All positive PCR products were subjected to
sequence analysis. The overall sample level prevalence of Giardia was 33.5% with
prevalence ranging from 0 to 100% among operations. The highest within herd
prevalence of infected beef calves was found in one cow-calf operation from the
South region (100%), followed by a cow-calf operation from the West region (90%),
and three cow-calf operations from the Midwest region (87.5, 85, and 85%).
Giardia was not detected in samples from 7 operations including 5 cow-calf
operations from the South region, and 1 cow-calf operation each from the Midwest
and West regions. Molecular analysis of the Giardia-positive samples identified
assemblage E (or E-like) in 31.7% of all samples (260/819) and assemblage A in
1.2% (10/819). A mixed infection with assemblages A and E was observed in four
calves from an operation in Midwest region. The potentially zoonotic assemblage A
was detected in specimens from four operations in Midwest region. These findings
indicate that most G. duodenalis found in weaned beef calves was assemblage E
which represents no known zoonotic threat. However, the presence of assemblage A
in a small number of animals poses a potential risk of infection to humans.
PMID- 21885197
TI - Prevalence and characteristics of substance abuse treatment utilization by U.S.
adolescents: national data from 1987 to 2008.
AB - OBJECTIVE: Although many adolescents use and abuse illicit drugs, few of those
who could benefit from substance abuse treatment ever receive these services. The
present study examines the prevalence of utilization of substance abuse treatment
in national samples of adolescents over the past 22 years and identifies
characteristics associated with receipt of these services. METHOD: Monitoring the
Future data on lifetime utilization of substance abuse treatment was available
for 12th grade students who reported any lifetime illicit drug use from 1987 to
2008 (N=25,537). After describing the prevalence of treatment utilization over
this time period, logistic regression was used to examine potential predictors of
treatment utilization. RESULTS: The overall prevalence of treatment utilization
has remained relatively unchanged over the past 22 years. In multivariable
models, adolescents reporting a greater frequency of lifetime use of marijuana or
cocaine were more likely to receive substance abuse treatment. Additionally,
substance abuse treatment utilization was more likely in those who received other
mental health services. CONCLUSION: Despite increased evidence for the
effectiveness of substance abuse treatment, utilization of these services by
adolescents has remained low and relatively stable over the past 22 years.
Attempts to increase utilization of substance abuse treatment services would
likely benefit from building on existing connections with mental health
treatment.
PMID- 21885198
TI - Flexural-torsional buckling initiates idiopathic scoliosis.
AB - Initiation of the spinal deformity in idiopathic scoliosis (IS) has been
attributed to an abnormal pattern of spinal growth during development. However,
recent findings suggest that the earliest observable event in the pathogenesis of
IS is a change in the shape of intervertebral discs with alterations in the shape
of vertebrae being considered a secondary event. Starting from the previous
description of the spinal deformity in IS as 'buckling' of the spine a new
hypothesis describing the initial spinal deformity in IS as flexural-torsional
buckling, a three-dimensional type of failure of axially loaded columns, is
proposed. According to the new hypothesis the initiating event (the earliest
observable event) in IS is flexural-torsional buckling developing from the
flexible parts (intervertebral discs and ligaments) of the affected spinal motion
segments. Since flexural-torsional buckling occurs in columns with a cross
section of one axis of symmetry characterised by a much greater in-plane than out
of-plane bending stiffness the new hypothesis predicts that the initiating
condition (the condition promoting the initiation) of IS is 'flexibility
anisotropy' namely significantly higher bending stiffness in lateral bending than
bending stiffness in flexion-extension of a part of the spine. The parameter of
'flexibility anisotropy' as a factor for initiation of IS has never been
suggested or tested before. The present hypothesis has implications in the
research on the pathogenesis of IS as well as in the development of new methods
for its treatment.
PMID- 21885199
TI - Is rheumatoid arthritis a risk factor for oral bisphosphonate-induced
osteonecrosis of the jaws?
AB - Bisphosphonate-related osteonecrosis of the jaws is a relevant side-effect of
these drugs that has been generating a great concern through increasing reports,
worldwide, of this bone necrosis. Among several BRONJ hypothetical co-factors
that could play a role in BRONJ pathogenesis, rheumatoid arthritis (RA) has been
included as a relevant risk factor for BRONJ; however, until now the relationship
between these diseases has not been fully explained. Thus, the purpose of this
paper is to establish hypothetical factors that could link these two diseases,
considering mainly inflammatory components and the organism effects of medicines
used to treat RA, particularly steroids and methotrexate (MTX).
PMID- 21885200
TI - Is high frequency yawning: a behavioural event of ethanol withdrawal.
PMID- 21885201
TI - Secretion of bacterial chondroitinase ABC from bone marrow stromal cells by
glycosylation site mutation: a promising approach for axon regeneration.
AB - Growth-inhibitory chondroitin sulfate proteoglycans (CSPGs) contribute a lot to
failure of axon regeneration. Chondroitinase ABC (ChABC) digests
glycosaminoglycan chains attached in CSPGs and can thereby promote axonal
regeneration beyond a lesion site. However, CSPGs expression are up-regulated for
almost 7 weeks after spinal cord injury (SCI) in vivo, so single dose of
exogenous ChABC is insufficient for long distance of axon sprout and functional
recovery. It is considered an ideal strategy to transfect neurons and/or glia at
the injury site with a vector containing the gene encoding chondroitinase, so
they can secrete ChABC themselves. Mammalian cells in the current studies,
however, can not secret ChABC efficiently. It is well established that
glycosylation is a common obstacle for eukaryotic cells to secret bacterial
protein. ChABC is a protein heavily glycosylated structurally, and it was
reported that inhibiting the glycosylation of xylosyltransferase-1 with a DNA
enzyme could reduce GAG chains in the lesion of spinal cord. So presence of
glycosylation sites in the bacterial sequence is supposed the barrier that
preventing ChABC secretion from mammalian cells. We intend to mutate the key N
glycosylation sites of the bacterial ChABC sequence and transduce it into BMSCs
by lentivirus vector. The modified BMSCs are expected to promote axon
regeneration through multiple mechanisms, providing sustained ChABC and
neurotrophic factors, as well as filling in the cavities formed post-trauma. The
transduced BMSCs with gene mutated in key glycosylation sites in the present
hypothesis provide a promising strategy to promote axon regeneration.
PMID- 21885202
TI - Can rhabdomyolysis be a cause of sudden death in young athletes?
PMID- 21885203
TI - Early add-on immunoglobulin administration in Rasmussen encephalitis: the
hypothesis of neuroimmunomodulation.
AB - Rasmussen encephalitis (RE) is a chronic inflammatory disease leading to
unilateral hemispheric atrophy, associated with progressive neurological
dysfunction and intractable seizures. The best approach to RE is hemispherectomy.
However long-term immunotherapy seems to prevent or slow down hemispheric tissue
loss and the associated functional decline. We describe a girl with epilepsia
partialis continua (EPC) and progressive neurological dysfunction compatible with
RE. The brain MRI showed a lesion that was initially interpreted as focal
cortical dysplasia. Combined antiepileptic and immunomodulation were administered
for two years with initial beneficial effects. The follow-up MRI, 4 year later
showed. atrophic change in right parietal region. The association of
antiepileptic and immunomodulation therapies may inhibit pathogenetic mechanisms
responsible for neuronal loss in RE, slowing down the progression of the disease.
PMID- 21885204
TI - Injectable allogeneic bone mesenchymal stem cells: a potential minimally invasive
therapy for atrophic nonunion.
AB - How to enhance atrophic nonunion repairing is a common challenge encountered in
orthopaedic surgeons. With the increasing popularity of minimally invasive
techniques, one of the major thrusts in treatment approaches for atrophic
nonunions is to develop injectable systems that can shorten the surgical
operation time, reduce the morbidity and costs for patients. Bone mesenchymal
stem cells (BMSCs) may provide new strategies to treat atrophic nonunion because
of their prolonged self-renewal capacity and ability to differentiate into
osteogenic lineage under the proper conditions. However, providing an autologous
BMSCs in the clinical setting is often limited, because the patient's marrow is
damaged or the cell yield from healthy marrow is reduced. Due to the limitation
of autologous BMSCs in clinical application, we turn to consider allogeneic BMSCs
as seeding cells in atrophic nonunion repair. Allogeneic BMSCs could are isolated
from one or more donors would have the potential to be expanded and cryopreserved
for future use. Previous studies have indicated that BMSCs possess immune
privileged properties, which avoid or actively suppress the immunological
responses. Here we propose the hypothesis that the application of osteo-induced
allogeneic BMSCs in fibrin gels for delivery of the cells by means of an
injectable device would enhance repair of atrophic nonunion without the use of
immunosuppressive therapy. Furthermore, fibrin gel could be useful as BMSCs
carrier to deliver cells in vivo, there is no immunogenicity to be expected and
BMSCs were able to spread and proliferate into the fibrin. Therefore, if the
hypothesis is proved to be practical, it might represent a novel minimally
invasive therapeutic approach and enhance atrophic nonunion repairing.
PMID- 21885205
TI - Accomplishments of the thought disordered person: a case study in psychiatrist
patient interaction.
AB - BACKGROUND: The research and clinical literature portrays the thought disordered
person as incapable of meaningful social interaction. This model views thought
disorder exclusively as a brain dysfunction, evidenced by dysfunctions in speech.
AIMS: The study seeks to address this deficit model by investigating the
interactional accomplishments of thought disordered people in clinical
interviews. METHOD: An analysis of clinical interview data. RESULTS: We
investigate (1) what thought disordered people actually accomplish in
interaction, and (2) how thought disordered people and their psychiatrists
routinely communicate on matters consequential for treatment. CONCLUSIONS: This
paper introduces a new perspective on the interactional achievements of people
with thought disorder. The skills required by both parties during routine
clinical interviews have not previously been recognised or described.
PMID- 21885206
TI - Salting, drying and sensory quality of dry-cured hams subjected to different pre
salting treatments: skin trimming and pressing.
AB - The effects of skinning in a V-shape and pressing of hams on salting, drying and
sensory characteristics of dry-cured hams were assessed. Salt and water contents
and a(w) were determined in the central part of the ham during processing by
computed tomography. Overall salt and water contents were also chemically
analysed. Sensory analyses were performed on the final product. Partial skinning
or pressing increased both salt uptake and final weight loss, but did not reduce
the intra-batch variability in salt uptake. Moreover, trimmed hams exhibited a
higher salt content in the inner areas of the hams after resting. Trimmed dry
cured hams showed less metallic flavour, higher saltiness and more mature flavour
in the biceps femoris muscle, and lower pastiness and adhesiveness as well as
higher crumbliness and aged flavour in both the biceps femoris and the
semimembranosus muscles. Pressing treatment caused less metallic flavour only in
biceps femoris muscle and higher saltiness.
PMID- 21885207
TI - Local-regional recurrence with and without radiation therapy after neoadjuvant
chemotherapy and mastectomy for clinically staged T3N0 breast cancer.
AB - PURPOSE: The purpose of this study was to determine local-regional recurrence
(LRR) risk according to whether postmastectomy radiation therapy (PMRT) was used
to treat breast cancer patients with clinical T3N0 disease who received
neoadjuvant chemotherapy (NAC) and mastectomy. METHODS AND MATERIALS:
Clinicopathology data from 162 patients with clinical T3N0 breast cancer who
received NAC and underwent mastectomy were retrospectively reviewed. A total of
119 patients received PMRT, and 43 patients did not. The median number of
axillary lymph nodes (LNs) dissected was 15. Actuarial rates were calculated
using the Kaplan-Meier method and compared using the log-rank test. RESULTS: At a
median follow-up of 75 months, 15 of 162 patients developed LRR. For all
patients, the 5-year LRR rate was 9% (95% confidence interval [CI], 4%-14%). The
5-year LRR rate for those who received PMRT was 4% (95% CI, 1%-9%) vs. 24% (95%
CI, 10%-39%) for those who did not receive PMRT (p <0.001). A significantly
higher proportion of irradiated patients had pathology involved LNs and were <=40
years old. Among patients who had pathology involved LNs, the LRR rate was lower
in those who received PMRT (p <0.001). A similar trend was observed for those who
did not have pathology involved LN disease. Among nonirradiated patients, the
appearance of pathologic LN disease after NAC was the only clinicopathologic
factor examined that significantly correlated with the risk of LRR. CONCLUSIONS:
Breast cancer patients with clinical T3N0 disease treated with NAC and mastectomy
but without PMRT had a significant risk of LRR, even when there was no pathologic
evidence of LN involvement present after NAC. PMRT was effective in reducing the
LRR rate. We suggest PMRT should be considered for patients with clinical T3N0
disease.
PMID- 21885208
TI - Ligament contribution to patterns of articular fractures of the distal radius.
AB - PURPOSE: Intra-articular fractures of the distal radius are common injuries, but
the correlation between ligament attachments and fracture location is poorly
understood. The purpose of this study was to assess the location of intra
articular fractures compared to the known ligament attachments of the distal
radius. METHODS: The authors retrospectively reviewed computed tomography scans
of acute intra-articular distal radius fractures performed at 1 institution
between 2001 and 2008. Of 145 scans, 45 were deemed unsuitable due to poor
quality or presence of internal fixation in the distal radius, leaving 100
fractures for review. Fracture line locations of the distal radius were plotted
to a standardized distal radius template and statistically analyzed for their
relationship to known ligament attachments. RESULTS: Fracture lines were
significantly more likely to occur at the intervals between the ligament
attachments than at the ligament attachments. Common sites of fractures were the
center of the sigmoid notch, between the short and long radiolunate ligaments,
and the central and ulnar aspects of the scaphoid fossa dorsally. The sites of
the ligament attachments to the distal radius were relatively protected. The
likely site of the impaction of the carpus on the distal radius articular surface
can often be construed from viewing the computed tomography scans, and the
subsequent propagation of the fracture can be identified. CONCLUSIONS: Articular
fractures of the distal radius are statistically more likely to occur between the
ligament attachments. The ligamentous attachments of the distal radius to the
volar carpus in an intra-articular distal radius fracture are relatively well
preserved. TYPE OF STUDY/LEVEL OF EVIDENCE: Diagnostic III.
PMID- 21885209
TI - [Physical violence during pregnancy in Morocco].
AB - INTRODUCTION: Physical abuse are a serious social problem and an issue of
perinatal health. MATERIAL AND METHODS: This article presents the results of a
survey conducted at the CHU Ibn Rochd of Casablanca (Morocco), over a period of
one year, in order to determine their frequency, risk factors, maternal diseases
and obstetric complications. RESULTS: The results of our survey found that women
who reported physical abuse have a frequency of 12.3% (107 cases). The average
age of these women is 22.3 years; 65.6% of parturients are illiterate, 45% are
from a disadvantaged socioeconomic status, 47% originated from a rural county;
37% are unmarried; half of the abused are multiparous with an average of 3.2
living children; 23% of the pregnancies are unplanned. Lastly, 37.3% of pregnant
partners are unemployed and 67% have toxic habits. Obstetric complications are
fairly frequent and mental effects are not negligible with 3 attempted suicides
and attempted homicide. CONCLUSION: Early identification of abuse suffered by
pregnant women and taking measures to prevent them could reduce the occurrence of
these adverse effects.
PMID- 21885210
TI - Major depression and treatment response in adolescents with ADHD and substance
use disorder.
AB - BACKGROUND: Major depressive disorder (MDD) frequently co-occurs in adolescents
with substance use disorders (SUDs) and attention deficit hyperactivity disorder
(ADHD), but the impact of MDD on substance treatment and ADHD outcomes and
implications for clinical practice are unclear. METHODS: Adolescents (n=303; ages
13-18) meeting DSM-IV criteria for ADHD and SUD were randomized to osmotic
release methylphenidate (OROS-MPH) or placebo and 16 weeks of cognitive
behavioral therapy (CBT). Adolescents with (n=38) and without (n=265) MDD were
compared on baseline demographic and clinical characteristics as well as non
nicotine substance use and ADHD treatment outcomes. RESULTS: Adolescents with MDD
reported more non-nicotine substance use days at baseline and continued using
more throughout treatment compared to those without MDD (p<0.0001 based on
timeline followback; p<0.001 based on urine drug screens). There was no
difference between adolescents with and without MDD in retention or CBT sessions
attended. ADHD symptom severity (based on DSM-IV ADHD rating scale) followed a
slightly different course of improvement although with no difference between
groups in baseline or 16-week symptom severity or 16-week symptom reduction.
There was no difference in days of substance use or ADHD symptom outcomes over
time in adolescents with MDD or those without MDD treated with OROS-MPH or
placebo. Depressed adolescents were more often female, older, and not court
ordered. CONCLUSIONS: These preliminary findings suggest that compared to non
depressed adolescents with ADHD and SUD, those with co-occurring MDD have more
severe substance use at baseline and throughout treatment. Such youth may require
interventions targeting depression.
PMID- 21885211
TI - Assessing teen smoking patterns: the weekend phenomenon.
AB - BACKGROUND: Adolescent cigarette smokers may have more daily variability in their
smoking patterns than adults. A better understanding of teen smoking patterns can
inform the development of more effective adolescent smoking cessation
interventions. METHODS: Teen smokers seeking cessation treatment (N=366) reported
the number of cigarettes smoked on each day of a typical week. A paired t-test
was used to examine differences between weekday (Sunday-Thursday) and weekend
(Friday-Saturday) smoking. Main effects and interactions for race/ethnicity and
gender were assessed using a 2-way ANOVA for the following variables: typical
weekly smoking, average weekday smoking, average weekend smoking, and difference
between weekday and weekend smoking. Scheffe post hoc tests were used to analyze
any statistically significant differences. RESULTS: There was significantly more
weekend smoking compared to weekday smoking, p<0.001. The difference in weekday
versus weekend smoking levels was larger for females than for males, p<0.05.
Hispanics reported less typical weekly smoking, p<0.001, less weekday smoking,
p<0.001, and less weekend day smoking, p<0.01, compared to Caucasians and multi
racial teens. There was no difference in weekend day versus weekday smoking by
race/ethnic background. CONCLUSIONS: Using a more detailed assessment of smoking
quantity captures patterns of adolescent smoking that may lead to more effective
smoking cessation interventions.
PMID- 21885212
TI - Directly observed antiretroviral therapy eliminates adverse effects of active
drug use on adherence.
AB - BACKGROUND: The impact of adherence enhancing interventions on the relationship
between active drug use and adherence is largely unknown. METHODS: We conducted a
24-week randomized controlled trial of antiretroviral directly observed therapy
(DOT) vs. treatment as usual (TAU) among HIV-infected methadone patients. Our
outcome measure was pill count antiretroviral adherence, and our major
independent variables were treatment arm (DOT vs. TAU) and active drug use
(opiates, cocaine, or both opiates and cocaine). We defined any drug use as >= 1
positive urine toxicology result, and frequent drug use as >= 50% tested urines
positive. We used mixed-effects linear models to evaluate associations between
adherence and drug use, and included a treatment arm-by-drug use interaction term
to evaluate whether DOT moderates associations between drug use and adherence.
RESULTS: 39 participants were randomized to DOT and 38 to TAU. We observed
significant associations between adherence and active drug use, but these were
limited to TAU participants. Adherence was worse in TAU participants with any
opiate use than in TAU participants without (63% vs. 75%, p<0.01); and worse
among those with any polysubstance (both opiate and cocaine) use than without
(60% vs. 73%, p=0.01). We also observed significant decreases in adherence among
TAU participants with frequent opiate or frequent polysubstance use, compared to
no drug use. Among DOT participants, active drug use was not associated with
worse adherence. CONCLUSIONS: Active opiate or polysubstance use decreases
antiretroviral adherence, but the negative impact of drug use on adherence is
eliminated by antiretroviral DOT.
PMID- 21885213
TI - Respondent-driven sampling to recruit young adult non-medical users of
pharmaceutical opioids: problems and solutions.
AB - Respondent-driven sampling (RDS) has been promoted as a superior method in
recruiting hard-to-reach and hidden populations. Although its application has
expanded enormously, there remains a need for empirical data evaluating the
performance of RDS in different settings. This study describes the application of
RDS to recruit a community sample (N=396) of young adults (18-23 years old) into
a natural history study of non-medical pharmaceutical opioid use. Since
recruitment targeted non-dependent pharmaceutical opioid users, and applied other
eligibility restrictions, several modifications had to be made to make RDS work
with this narrowly defined target population. RDS recruitment was less efficient
than expected, and produced greater numbers of African American recruits than
anticipated. Although the sampling quota was met, sample analysis revealed a lack
of equilibrium in terms of ethnic composition and very strong in-group
recruitment tendencies among White and African American respondents. This study
contributes potentially helpful insights into the strengths and limitations of
using RDS which may benefit future studies.
PMID- 21885214
TI - The neurobiology of cognitive control in successful cocaine abstinence.
AB - INTRODUCTION: Extensive evidence demonstrates that current cocaine abusers show
hypoactivity in anterior cingulate and dorsolateral prefrontal cortex and respond
poorly relative to drug-naive controls on tests of executive function. Relatively
little is known about the cognitive sequelae of long-term abstinence in cocaine
addicts. METHODS: Here, we use a GO-NOGO task in which successful performance
necessitated withholding a prepotent response to assay cognitive control in short
and long-term abstinent cocaine users (1-5 weeks and 40-102 weeks,
respectively). RESULTS: We report significantly greater activity in prefrontal,
cingulate, cerebellar and inferior frontal gyrii in abstinent cocaine users for
both successful response inhibitions and errors of commission. Moreover, this
relative hyperactivity was present in both abstinent groups, which, in the
presence of comparable behavioral performance, suggests a functional
compensation. CONCLUSIONS: Differences between the short- and long-abstinence
groups in the patterns of functional recruitment suggest different cognitive
control demands at different stages in abstinence. Short-term abstinence showed
increased inhibition-related dorsolateral and inferior frontal activity
indicative of the need for increased inhibitory control while long-term
abstinence showed increased error-related ACC activity indicative of heightened
behavioral monitoring. The results suggest that the integrity of prefrontal
systems that underlie cognitive control functions may be an important
characteristic of successful long-term abstinence.
PMID- 21885216
TI - Isolation and characterization of influenza A virus (subtype H5N1) that caused
the first highly pathogenic avian influenza outbreak in chicken in Bhutan.
AB - We characterized Influenza A/H5N1 virus that caused the first outbreak of highly
pathogenic avian influenza (HPAI) in chickens in Bhutan in 2010. The virus was
highly virulent to chicken, killing them within two days of the experimental
inoculation with an intravenous pathogenicity index (IVPI) of 2.88. For genetic
and phylogenetic analyses, complete genome sequencing of 4 viral isolates was
carried out. The isolates revealed multiple basic amino acids at their
hemagglutinin (HA) cleavage site, similar to other "Qinghai-like" H5N1 isolates.
The receptor-binding site of HA molecule contained avian-like amino acids ((222)Q
and (224)G). The isolates also contained amino acid residue K at position 627 of
the PB2 protein, and other markers in NS 1 and PB1 proteins, highlighting the
risk to mammals. However, the isolates were sensitive to influenza drugs
presently available in the market. The sequence analysis indicated that the
Bhutan viruses shared 99.1-100% nucleotide homology in all the eight genes among
themselves and 2010 chicken isolate from Bangladesh (A/chicken/Bangladesh/1151
11/2010) indicating common progenitor virus. The phylogenetic analysis indicated
that the Bhutan isolates belonged to sub-clade 2.2.3 (EMA 3) and shared common
progenitor virus with the 2010 Bangladesh virus. Based on the evidence of
phylogeny and molecular markers, it could be concluded that the outbreaks in
Bhutan and Bangladesh in 2010 were due to independent introductions of the virus
probably through migratory birds.
PMID- 21885215
TI - Access to a running wheel decreases cocaine-primed and cue-induced reinstatement
in male and female rats.
AB - BACKGROUND: Relapse to drug use after a period of abstinence is a persistent
problem in the treatment of cocaine dependence. Physical activity decreases
cocaine self-administration in laboratory animals and is associated with a
positive prognosis in human substance-abusing populations. The purpose of this
study was to examine the effects of long-term access to a running wheel on drug
primed and cue-induced reinstatement of cocaine-seeking behavior in male and
female rats. methods: Long-Evans rats were obtained at weaning and assigned to
sedentary (no wheel) and exercising (access to wheel) groups for the duration of
the study. After 6 weeks, rats were implanted with intravenous catheters and
trained to self-administer cocaine for 14 days. After training, saline was
substituted for cocaine and responding was allowed to extinguish, after which
cocaine-primed reinstatement was examined in both groups. Following this test,
cocaine self-administration was re-established in both groups for a 5-day period.
Next, a second period of abstinence occurred in which both cocaine and the
cocaine-associated cues were withheld. After 5 days of abstinence, cue-induced
reinstatement was examined in both groups. RESULTS: Sedentary and exercising rats
exhibited similar levels of cocaine self-administration, but exercising rats
responded less than sedentary rats during extinction. In tests of cocaine-primed
and cue-induced reinstatement, exercising rats responded less than sedentary
rats, and this effect was apparent in both males and females. CONCLUSIONS: These
data indicate that long-term access to a running wheel decreases drug-primed and
cue-induced reinstatement, and that physical activity may be effective at
preventing relapse in substance-abusing populations.
PMID- 21885217
TI - Pathogenicity and immunogenicity of three Mycoplasma gallisepticum isolates in
house finches (Carpodacus mexicanus).
AB - Mycoplasma gallisepticum (MG) has become a common cause of conjunctivitis in free
living house finches (Carpodacus mexicanus) since its emergence in the early
1990s. To date, temporal and spatial genotypic variation in MG has been
documented, but phenotypic variation in pathogenicity and immunogenicity has not
been examined. House finches were inoculated with MG isolates Virginia (VA)1994,
California (CA)2006, or North Carolina (NC)2006, which were cultured from free
living house finches with conjunctivitis in 1994, 2006, and 2006, respectively.
Infection with NC2006 resulted in the most severe eye lesions, highest pathogen
loads, and highest levels of pathogen-specific lachrymal and serum antibodies.
Infection with CA2006 caused the least severe eye lesions, lowest pathogen load,
and lowest levels of antibodies. A small number of birds in each group developed
protracted, severe disease in spite of robust antibody responses, suggesting that
immunopathology may contribute to the lesions. Immunoblot analyses indicated that
isolates are antigenically similar; thus, there may be partial cross-protection
if a house finch encounters two or more strains of MG throughout the course of
its lifetime. This study provides evidence that MG strains or strain variants
circulating in house finch populations vary in their ability to cause disease,
induce antibody responses, and persist in the host.
PMID- 21885218
TI - Use of ovotransferrin as an antimicrobial in turkeys naturally infected with
Chlamydia psittaci, avian metapneumovirus and Ornithobacterium rhinotracheale.
AB - Respiratory pathogens are difficult to control in large-scale turkey production.
This report describes a clinical trial of antimicrobial ovoTF aerosol on a large
Belgian turkey farm. ovoTF was administered to reduce Chlamydia psittaci (C.
psittaci) infections and to study the impact of this action on the occurrence of
Ornithobacterium rhinotracheale (O. rhinotracheale) and avian metapneumovirus
(aMPV) infections. Two subsequent broods were included; (i) a control brood
receiving no ovoTF and (ii) an ovoTF brood receiving ovoTF aerosol (5mg/animal)
at the age of 2 weeks, continuing daily for 12 days. Twenty-four one-day-old toms
of the control and ovoTF brood were tagged and monitored for 15 weeks. The
control brood experienced two periods of respiratory disease, the first (2-3
weeks of age) due to C. psittaci and the second (8-17 weeks of age) in the
presence of C. psittaci, O. rhinotracheale and maybe aMPV. Extensive antibiotic
treatment was needed in 2, 8 and 9 week-old toms. In the ovoTF brood, toms stayed
healthy until the age of 9 weeks, whereafter respiratory disease occurred in the
presence of C. psittaci, O rhinotracheale and aMPV. OvoTF administration: (i)
reduced the amount of C. psittaci in the air as demonstrated by bioaerosol
monitoring, (ii) prevented respiratory disease during the first half of the brood
period, (iii) was associated with 46% reduction of mortality, and (iv) reduced
the antibiotic cost. Our results justify additional clinical trials to explore
the use of this innovative antimicrobial strategy for poultry.
PMID- 21885219
TI - Measurement and analysis of diastereomer ratios for forensic characterization of
brodifacoum.
AB - The highly toxic anticoagulant rodenticide brodifacoum is an organic compound
that has two diastereomeric forms. In this paper, we consider the hypothesis that
the relative population of the diastereomers is a characteristic of forensic
value for the association or source attribution of specimens of brodifacoum. In
general, the stereoisomer distribution in an organic compound depends on the
reagents, conditions, and methods used for synthesis and purification, and may
vary over time due to differential stabilities of the stereoisomers. The
stereoisomer distribution may thus serve as an identifier of the production
methods and history of samples and provide a basis for comparing recovered
specimens. We refer to this novel approach for signature detection as
stereoisomer distribution analysis or SDA. If the stereoisomers are
diastereomers, quantitative determination of the diastereomer ratio in a specimen
can be performed by a number of techniques, notably gas or liquid chromatography
or nuclear magnetic resonance (NMR) spectroscopy. This paper describes an NMR
spectroscopic analysis of ten commercial technical grade brodifacoum samples from
distinct batches originating from three different sources. The results reveal
detectable source-to-source and batch-to-batch variations in diastereomer ratios.
PMID- 21885220
TI - Quantitative assessment of evidential weight for a fingerprint comparison. Part
II: a generalisation to take account of the general pattern.
AB - The authors have proposed a quantitative method for assessing weight of evidence
in the case where a fingermark from a crime scene is compared with a set of
control prints from the ten fingers of a suspect. The approach is based on the
notion of calculating a Likelihood Ratio (LR) that addresses a pair of
propositions relating to the individual who left the crime mark. The current
method considers only information extracted from minutiae, such as location,
direction and type. It does not consider other information usually taken into
account by fingerprint examiners, such as the general pattern of the ridge flow
on the mark and the control prints. In this paper, we propose an improvement to
our model that allows a fingerprint examiner to take advantage of pattern
information when assessing the evidential weight to be assigned to a fingerprint
comparison. We present an extension of the formal analysis proposed earlier and
we illustrate our approach with an example.
PMID- 21885221
TI - The efficiency of Nose Obstruction Symptom Evaluation (NOSE) scale on patients
with nasal septal deviation.
AB - OBJECTIVE: The aim in this study was to evaluate the efficiency of Nasal
Obstruction Symptom Evaluation (NOSE) scale for septoplasty (without turbinate
reduction) in comparison with other examination methods. METHODS: Prospective
observational study was undertaken in otolaryngology department of university
hospital. NOSE scale for quality of life assessment, visual analog scale for
examination findings, acoustic rhinometry and coronal computed tomography were
performed before and after septoplasty. The efficiency of NOSE scale to assess
for septoplasty results and the correlation between NOSE scores and other
techniques was analyzed. RESULTS: Twenty-seven patients underwent septoplasty;
there was a very significant improvement in mean NOSE scores of patients (60.2
versus 11.28, p<0.01). There was no correlation between NOSE scores and acoustic
rhinometry. Correlation was found between NOSE scores and examination and
computed tomography findings (p<0.05). CONCLUSION: NOSE scale that is well
correlated with examination findings and computed tomography, is very useful tool
to evaluate the effectiveness of pure septoplasty.
PMID- 21885223
TI - Ultrastructual morphology of juvenile psammomatoid ossifying fibroma.
AB - We investigated the ultrastructural morphology of the hard tissue in a fibro
osseous lesion. Bone samples were obtained from a juvenile psammomatoid ossifying
fibroma and were observed under a scanning electron microscope. The lesion had a
spongy lamellar structure containing bony tissue. On histological examination,
resorbing preexisting lamellar bone associated with a large number of cathepsin K
positive osteoclasts was confirmed. Scanning electron microscopy revealed the
bony material to have a cribriform structure and to indicate resorption related
to osteoclasts throughout the tumor. These characteristic findings revealed the
presence of active bony reconstruction and destruction in this lesion. The spongy
calcified structure observed by scanning electron microscopy expressed the
characteristic ground glass appearance in computed tomography of this patient.
This remarkable activation of osteoclasts may deeply relate to characteristic
calcified structure in this lesion. Observation of hard tissue structure under a
scanning electron microscope may shed light on the pathology of fibro-osseous
lesions in the head and neck.
PMID- 21885222
TI - Clinical impact of iodine staining for diagnosis of carcinoma in situ in the
floor of mouth, and decision of adequate surgical margin.
AB - OBJECTIVE: The use of iodine staining has been recommended for the early
detection of squamous cell carcinoma (SCC) in the upper aerodigestive tract. The
purpose was to verify the effectiveness of iodine staining in detecting early
squamous cell carcinoma in the floor of mouth. METHODS: Between 1995 and 2005,
otolaryngological examinations including the floor of mouth were performed for
2278 esophageal cancer patients as a screening program of high-risk patient
group. Iodine staining was applied to a lightly reddish and/or white patch,
and/or uneven lesions in the floor of the mouth. Forceps biopsy was performed for
demarcated unstained or lightly stained lesions. Three patients with the tumors
in the floor of mouth, which were diagnosed as more over T2 level just by visual
examination, were excluded from this study. If SCC was found in the specimen,
mucosal resection was performed with a safety margin of 2mm from the unstained or
lightly stained lesion. The incidence, rate of carcinoma in situ, and prognosis
of cancer of the floor of mouth (CFOM) were assessed. RESULTS: Iodine staining
was performed for 72 of 2278 patients (3.2%) according to the presence of
suspicious reddish and/or whitish and/or uneven lesions. Of these, unstained or
lightly stained areas after iodine staining were recognized in 47 patients and
SCC was revealed in 28 of 47 patients. The diagnosis of other 19 patients
included inflammatory mucosa (n=11), low grade dysplasia (n=6), and
hyperkeratosis (n=2). Sensitivity and specificity of iodine staining for
detecting SCC were 100% and 59.6%, respectively. Pathological diagnosis of the 28
patients included squamous cell carcinoma in situ (n=12), microinvasive squamous
cell carcinoma (n=15) disease, and focal invasive squamous cell cancer (n=1).
Twenty-four of 28 patients were treated with mucosal resection without mandible
resection. The other 4 patients did not receive the treatment of CFOM due to
concomitant far advanced esophageal cancer. In 24 patients undergoing mucosal
resection, no patients developed local recurrence or metastasis to the cervical
lymph nodes during an average of 74.2 months of follow-up period (from 7 to 156
months). The 5-year cause-specific survival of these patients was 100%.
CONCLUSION: The use of iodine staining as a part of otolaryngological
examinations may be beneficial for the early detection of CFOM, including
carcinoma in situ and micro-invasive SCC. Moreover, it would be very useful to
determine an adequate surgical margin for locally mucosal resection.
PMID- 21885224
TI - Epstein-Barr virus-associated smooth muscle tumor of the tonsil.
AB - Smooth muscle tumors of the tonsil are rare. Recently, the occurrence of Epstein
Barr virus-associated smooth muscle tumor (EBV-SMT) has been increasingly
recognized in immunocompromised patients, mainly post-transplantation and AIDS
patients. The clinicopathologic features of EBV-SMT are different from
conventional smooth muscle tumors. To the best of our knowledge, EBV-SMT
involving the tonsil in an AIDS patient has not been reported. A 27-year-old man
presented with a 2.2cm right tonsillar mass six months after AIDS diagnosis. The
tumor was composed of a cellular proliferation of oval to spindle-shaped cells
with mitotic count up to 10 in 10 high-power fields. The diagnosis of EBV-SMT was
confirmed by in situ hybridization for EBV-encoded RNA (EBER) transcripts.
Synchronous lesions were also detected in the liver and peritoneum by an
abdominal computed tomographic scan. EBV-SMT should be included in the
differential diagnoses of a mesenchymal tumor in immunocompromised patients, and
in the differential diagnoses of a smooth muscle tumor occurring in uncommon
sites including the tonsil.
PMID- 21885225
TI - Surgical management of large juvenile nasopharyngeal angiofibroma invading the
infratemporal fossa with intracranial extradural parasellar involvement in an 8
year-old boy.
AB - We present a rare case of a large juvenile nasopharyngeal angiofibroma (JNA) in
an 8-year-old boy. Preoperative imaging revealed that the tumor had widely
extended to the sphenoid sinus, infratemporal fossa, and cavernous sinus.
Following embolization of the feeding vessels, the tumor was successfully removed
by a combination of an orbitozygomatic approach and Le Fort I osteotomy under
frontolateral craniotomy. An endoscope assisted in the surgery. At 15 months
follow-up, the patient was free of the disease with no facial palsy, scars, or
malocclusion. JNA is a benign tumor that typically affects adolescent males and
is rarely observed during prepuberty. Complete removal of JNA by surgery, the
initial therapy, is generally required. However, as in the present case, a large
JNA with wide extension requires extended surgery, and such a surgery is more
invasive for prepubertal patients. Using an appropriate combination of surgical
approaches, a large JNA developed during prepuberty can be safely removed with
reduced morbidity.
PMID- 21885226
TI - External jugular vein aneurysm: a rare cause of neck swelling. A report of three
patients.
AB - Venous aneurysms are a relatively rare pathology, far less common than arterial
aneurysms. Unrelated to either age or gender, they can affect any vein, including
cervical, thoracic, visceral, and lower limb veins. Aneurysmal dilatations in
cervical veins are rare due to low pressure in the vena cava system; they can
involve any vein but most frequently are observed on the internal and external
jugular veins. This report of three patients highlights some of the specific
diagnostic and therapeutic features of this pathology.
PMID- 21885228
TI - Characterization of seventy polymethoxylated flavonoids (PMFs) in the leaves of
Murraya paniculata by on-line high-performance liquid chromatography coupled to
photodiode array detection and electrospray tandem mass spectrometry.
AB - A sensitive HPLC-DAD-ESI-MS/MS method was established to screen and identify the
polymethoxylated flavonoids (PMFs) in the leaves of Murraya paniculata (L.) Jack.
16 PMF standards were first to be analyzed in positive mode by the CID-MS/MS. For
polymethoxylated flavones, the fragments of [M+H-n*15](+) produced by loss of one
or more methyl radicals from the protonated molecule, as well as [M+H-16](+),
[M+H-28](+), [M+H-29](+), [M+H-31](+), [M+H-33](+), [M+H-43](+), [M+H-44](+),
[M+H-46](+) and [M+H-61](+) fragment ions were detected, which could be taken as
their diagnostic characters. For polymethoxylated flavanones and chalcones, their
[M+H](+) ions usually underwent RDA cleavage fragmentation of the C-ring prior to
the similar loss of diagnostic fragment ions as polymethoxylated flavones, which
could be adopted as a shortcut to distinguish them from ordinary flavones
rapidly. For the PMF glycosides, the neutral loss of the similar fragments with
polymethoxylated flavones from their [aglycone+H](+) could be adopted as a simple
method to screen them out from complex mixture. Based on these characterizations
of PMFs and the results of EIC-MS/MS experiment, 70 PMFs including 45 flavones,
17 flavanones or chalcones and 8 PMFs glycosides were screened out from the
complex extract of the leaves of M. paniculata. Among them, 16 compounds were
unambiguously identified by comparison with reference substances. The results
indicated that the developed analysis method could be employed as a rapid,
effective technique for structural characterization of PMFs.
PMID- 21885227
TI - The genus Allochromatium (Chromatiales Chromatiaceae) revisited: a study on its
intragenic structure based on multilocus sequence analysis (MLSA) and DNA-DNA
hybridization (DDH).
AB - In this study, the taxonomic status of anoxygenic photosynthetic bacteria
belonging to the genus Allochromatium is revisited. The inter- and intraspecies
relationship of seven Allochromatium strains, including a set of well described
type strains, were examined by DNA-DNA hybridization (DDH) and multilocus
sequence analysis (MLSA) using segments of seven protein-coding genes. The re
sequencing of the 16S rRNA, the internal transcriber spacer (ITS), multi-gene
analysis and DDH comparison indicated that both type strains Allochromatium
vinosum DSM 180(T) and Allochromatium minutissimum DSM 1376(T) are closely
related to each other forming an independent cluster together with the strains A.
vinosum DSM 183 and DSM 1686. The internal comparison of members of this A.
vinosum phylogroup showed values of DDH relatedness above 80% and concatenated
sequence similarities (4744bp) above 98%. In contrast, the MLSA scheme has
identified A. vinosum strain BH-2 as a separate lineage. Strain BH-2 was first
classified as a member of the species A. vinosum based on DDH comparison.
However, this strain showed the lowest similarity values of the 16S rRNA gene and
concatenated sequences, as well as amino acid identity (AAI) when compared to
other Allochromatium strains, suggesting that strain BH-2 may represent a new
species.
PMID- 21885229
TI - Development of a LC-ESI-MS3 method for determination of nitrendipine in human
plasma.
AB - A novel and sensitive method utilizing high performance liquid chromatography
coupled with electrospray ionization source tandem mass spectrometry (LC-ESI
MS(3)) was developed for the first time in order to analyze nitrendipine in human
plasma samples. Human plasma samples were prepared by protein precipitation with
acetonitrile and well resolved on a 100 mm reversed-phase column in gradient
elution with 0.05% (v/v) formic acid in acetonitrile as the mobile phase.
Determination was performed in MS(3) scan mode for nitrendipine and in the
multiple reaction monitoring (MRM) mode for nimodipine (internal standard). This
method, having a lower limit of quantification (LLOQ) of 0.05 ng/mL when using a
100 MUL sample aliquot (5 pg/sample), is acceptable for calibration of the
linearity and repeatability and is of better sensitivity than the reported
methods (>0.25 ng/mL). The major advantages of the method are that small sample
volume (100 MUL) is required, simple sample processing technique, high
sensitivity and excellent selectivity is guaranteed by the MS(3) detection. The
proposed validated method has been successfully applied to a clinical study on
nitrendipine.
PMID- 21885230
TI - Clinical utility of QuantiFERON-TB GOLD In-Tube and tuberculin skin test in
patients with tuberculous pleural effusions.
AB - Interferon gamma release assays are used for diagnosing latent tuberculosis (TB);
however, their role in diagnosing tuberculous pleural effusion (TPE) is not
defined. The aim of this study was to evaluate the usefulness of the QuantiFERON
TB Gold In-Tube assay (QFT-IT) and compare this assay with the tuberculin skin
test (TST) for diagnosing TPE in settings where tuberculosis is endemic and
bacillus Calmette-Guerin vaccination is mandatory. The TST and QFT-IT test were
conducted prospectively with 101 patients presenting with clinically suspected
TPE. Of the 97 evaluable subjects, 54 had TPE. The sensitivity, specificity,
positive predictive value, and negative predictive value were, respectively,
76.9%, 61.1%, 74.1%, and 64.7% for QFT-IT; 72.5%, 71.7%, 77.1%, and 66.7% for
TST; and 83.7%, 45.7%, 68.3%, and 66.7% for QFT-IT plus TST. Thus, the QFT-IT
test may be more useful than the TST for diagnosing TPE. Although the combination
of QFT-IT and TST had higher sensitivity, it had poor specificity owing to the
high prevalence of latent TB in our setting.
PMID- 21885231
TI - Characterization of serum-free buffalo granulosa cell culture and analysis of
genes involved in terminal differentiation from FSH- to LH-responsive phenotype.
AB - In the present study, buffalo granulosa cells were cultured under serum-free
conditions and characterized to study the changes in gene expression associated
with the transition of granulosa cells from estrogen- to progesterone-secreting
phenotype. The cells were cultured in vitro under completely serum-free
conditions for 8 d. Gene expression and hormone analysis showed that on day 4
granulosa cells exhibit FSH responsiveness with preovulatory phenotype having
highest CYP19 gene expression and 17beta-estradiol production, whereas a
significant increase in transcript abundance of STAR, CYP11, and HSD3B genes
accompanied with an increase in progesterone production was observed on day 8.
Cells treated with LH on day 4 followed by gene expression analysis at 1, 2, 4,
6, 18, and 24 h showed significant increase in transcripts of LH-responsive
genes. In conclusion, culture condition used in the present study showed that
granulosa cells were FSH responsive and attained attributes of granulosa cells of
dominant follicles at day 4 with highest CYP19 and LHR gene expression beyond
which they acquired the ability to luteinize and thus were more LH responsive. In
addition, after LH treatment, analysis of early LH-responsive genes (EGR2, RUNX1,
and NR4A1) on day 4 showed that granulosa cells at this stage in culture exhibits
phenotype similar to that of preovulatory follicles before LH surge in vivo and
corresponds to the in vivo transition of well-orchestrated gene expression
profile after LH surge. The characterized culture conditions represent a suitable
in vitro model for analysis of genes involved in terminal differentiation of
granulosa cells from FSH- to LH-responsive phenotype during folliculogenesis in
buffalo.
PMID- 21885232
TI - [Adverse drug reactions of strontium ranelate(Protelos((r)) in France].
AB - OBJECTIVE: Study of side effects (SE) associated with strontium ranelate required
by the French Drug agency (Afssaps). METHOD: SE associated with strontium
ranelate and spontaneously reported until March 2009 to the manufacturer or to
the French Regional Pharmacovigilance Centers and the periodic safety reports
have been analyzed. Utilisation and sales data have been obtained from the
manufacturer. RESULTS: During the 3 years of the study, 844 SE have been reported
in France in patients treated with strontium ranelate. The 199 severe SE are
cardiovascular (52%), cutaneous (26%), hepatodigestive (6%), neurological (5%),
haematological (3%), osteomuscular (3%) and various (3%). Venous thromboembolic
events (VTEE) are the most frequent cardiovascular SE (93/104) with an incidence
of 1/31,052 months of treatment. At least one VTEE risk factor is present in 26
(28%) patients. DRESS syndrome which median delay of advent is 35 days is the
most frequent cutaneous SE (19/51 SE) with an incidence of 1/13,725 months of
treatment. The 14 severe hepatodigestive SE are hepatitis (n=5), pancreatitis
(n=2) and various others SE (n=7). The 10 severe neurological SE are
confusion/amnesia (n=5), convulsions (n=4) and parenthesis (n=1). The seven
severe haematological SE are pancytopenia (n=5), erythroblastopenia (n=1) and
thrombocytopenic purpura (n=1). Among the seven deaths, only three (two pulmonary
embolisms, one DRESS syndrome) are attributable to strontium ranelate. Apart from
the severe SE, 685 SE have also been reported because strontium ranelate was the
only drug with an imputability "suspect". DISCUSSION: Anti-fractural effect of
strontium ranelate is at least as equal as those of bisphosphonates. Its usual SE
are benign but two severe risks of strontium ranelate i.e. VTEE and DRESS
syndrome are confirmed. The profile of tolerability, different from the one of
bisphosphonates makes strontium ranelate as an alternative when bisphosphonates
are not recommended or contraindicated (renal insufficiency for example).
CONCLUSION: If DRESS syndrome is unpredictable, the one of VTEE could be reduced
by a strontium ranelate contraindication for patients with a history of VTEE and
by stopping the drug if a new VTEE risk situation happens.
PMID- 21885233
TI - [Necrobiotic xanthogranuloma revealing an IgA gammapathy].
PMID- 21885234
TI - Organising pneumonia and mesenteric plasmocytoma: a fortuitous association?
PMID- 21885235
TI - [Strontium ranelate(Protelos(r)): who really benefits of the doubt?].
PMID- 21885236
TI - [Premature delivery of an African woman in a febrile context].
PMID- 21885237
TI - [Measles and pregnancy].
AB - Because of insufficient vaccine coverage, there is an outbreak of measles since
2008 in France with an increasing incidence of cases, most of them among children
less than 1 year old or young adults. When measles occurs during pregnancy,
maternal and fetal morbidity is increased. Particularly pregnant women are
exposed to a higher risk of severe respiratory distress that might cause death.
Measles virus can be detected in the placenta. Placental infection appears to be
involved in some cases of fetal death. The virus is not responsible for
congenital defects but can induce histologic damages inside the placenta which
may lead to fetal death. Major perinatal risks are also miscarriage and
prematurity. When measles occurs in late pregnancy, congenital infection is
possible with variable expression and a risk of subacute sclerosing
panencephalitis. Non immune pregnant women or neonates exposed to measles should
receive an immunoglobulin prophylaxis within 6 days after contact in order to
reduce the risk of infection and severe morbidity. In case of declared measles
infection, symptomatic treatment can be proposed and tocolysis can be used if
preterm labor is associated. Daily fetal monitoring during the 14 days following
the beginning of the eruption can be offered when the fetus is viable.
Vaccination is recommended for the people born in France after 1980 with 2 doses
of vaccine against measles, rubeola and mumps. Measles vaccine, an attenuated
living vaccine, should not be administered during pregnancy but must be proposed
before pregnancy or during the post-partum period.
PMID- 21885238
TI - [Chronic renal failure: what has changed in the last two decades].
PMID- 21885239
TI - [How to manage mineral metabolism disorders in renal failure].
AB - Mineral metabolism abnormalities are frequently observed in patients with chronic
kidney disease (CKD). The bone and cardiovascular consequences should lead to the
implementation of some adapted strategies for the prevention and treatment on the
basis of the physiopathology of the disease and international recommendations.
Biological bone markers such as serum parathyroid hormone (PTH) and alkaline
phosphatase (ALP) are necessary to classify bone diseases without the need for
bone biopsy. Elevated levels of bone markers are detected in cases of secondary
hyperparathyroidism (SHPT), whereas decreased levels are observed in cases of
adynamic bone disease (ABD). Bone mineral density, however, is not useful for the
diagnosis. Vitamin D supplementation and reducing hyperphosphataemia by dietary
phosphate-intake restriction, phosphate binders, and dialysis, are the main steps
for the prevention of SHPT. Calcitriol analogs and calcimimetics should be used
in second line in cases of SHPT. For the treatment of ABD, excess use of calcium
salts and calcitriol analogs need to be avoided. Managing these therapies
adequately can help maintain the main biological values (i.e. serum PTH, calcium,
phosphorus, and ALP) within their recommended ranges.
PMID- 21885240
TI - Comorbidities and mortality associated with hospitalized heart failure in Canada.
AB - BACKGROUND: Heart failure (HF) is a leading morbid cause of hospitalization and
death. HF is often accompanied by comorbid conditions, increasing the health care
burden. This study describes hospital mortality and identifies comorbid
conditions associated with HF. METHODS: Acute care hospital separations in 2005
2006, with a diagnosis of HF I50, I500, I501, I509 (The International Statistical
Classification of Diseases and Related Health Problems, Tenth Revision, Canada
[ICD-10-CA]) were identified from all Canadian jurisdictions except Quebec.
RESULTS: A total of 2,457,527 hospital separations among 1,812,923 individuals,
identifying 8,212,869 diagnoses were reported. Among those, a total of 33,693
(1.9%) of all hospitalized individuals had a most responsible diagnosis of HF,
accounting for 42,399 hospital separations. Further, HF was coded 77,049 times as
a comorbid diagnosis, altogether occurring in 4.9% of all hospitalizations. The
most common primary diagnoses associated with comorbid HF were acute myocardial
infarction (AMI), chronic obstructive pulmonary disease (COPD), and pneumonia.
Seniors had a much higher hospitalization rate due to HF. Hospitalized
individuals with a primary diagnosis of HF had an almost 3-fold higher 30-day in
hospital mortality rate and nearly double the mean hospital stay than that for
all causes. On average, hospitalizations with a primary diagnosis of HF had 3.9
comorbidities, most commonly chronic ischemic heart disease (IHD), atrial
fibrillation and flutter, diabetes, renal failure, etc.; 1.7 times greater for HF
than for all causes. CONCLUSIONS: HF has a high in-hospital mortality rate
particularly among the elderly and is associated with many cardiac and noncardiac
conditions. HF necessitates long hospital stays, which increases the burden on
the health care system in Canada.
PMID- 21885241
TI - Delayed immune-mediated thrombocytopenia after re-exposure to abciximab therapy.
AB - Abciximab is a potent antiplatelet agent that is increasingly being used to
prevent ischemic complications of percutaneous coronary revascularization.
Thrombocytopenia, including acute profound thrombocytopenia, has been reported to
occur with this agent, but usually within 1 to 2 days of exposure. We report the
case of a woman aged 68 years who presented with profound delayed
thrombocytopenia 9 days after a second exposure to abciximab. She was treated
successfully with intravenous immunoglobulin with complete resolution of the
thrombocytopenia and no subsequent recurrences.
PMID- 21885242
TI - Palliative care for heart failure: more than is written.
PMID- 21885243
TI - A modified cement spacer technique for infected total hip arthroplasties with
significant bone loss.
AB - Complications related to femoral spacers are common during addressing infected
total hip arthroplasties by 2-stage revision. We evaluated 11 patients who had 2
stage revisions with massive bone loss after removal of the infected components
by a trochanteric osteotomy. All femoral cement spacers were assembled on
intramedullary nails. Femurs were protected by a plate, whereas acetabuli were
augmented by a cage or roof ring depending on the remaining bone stock. This
additional hardware was covered with antibiotic-impregnated cement. Infection was
eradicated in 10 (90.9%) of 11 patients within 3.5 months in average. None of the
patients had spacer fracture, periprosthetic fracture, or dislocation.
Augmentation by hardware covered with antibiotic-impregnated cement is effective
and may reduce complications until definitive treatment is performed.
PMID- 21885244
TI - Massive and submassive pulmonary embolism: experience with an algorithm for
catheter-directed mechanical thrombectomy.
AB - BACKGROUND: The role of catheter-directed mechanical thrombectomy (CDMT) for the
treatment of massive pulmonary embolism (MPE) and submassive pulmonary embolism
(SMPE) is not clearly defined. We report our experience with an algorithm for
CDMT as a primary treatment in patients with MPE and SMPE. METHODS: We
retrospectively reviewed our experience in treating MPE and SMPE in consecutive
patients over a 2-year period (2008-2010). Patients with computed tomography
angiography evidence of saddle, main branch, or >=2 lobar pulmonary emboli in the
setting of hypoxia, tachycardia, echocardiographic right heart strain, and/or
cardiogenic shock underwent AngioJet CDMT, with or without adjunctive
thrombolytic power-pulse spray. Outcomes, including angiographic success,
clinical improvement, complications, and survival to discharge, were evaluated.
RESULTS: Fifteen patients (8 men, 7 women; 14 SMPE, 1 SMPE) with a mean age of 59
years (range: 35-90 years) were treated for heart strain (100%), tachycardia
(67%), hypoxia (67%), and cardiogenic shock (7%). Ten patients (67%) also
received Alteplase power-pulse spray. Resolution of symptoms and improvement in
heart strain were achieved in all patients. There were no in-hospital
mortalities. Complications occurred in 3 patients (20%), including 2 patients
with acute tubular necrosis and 1 patient with an intraoperative cardiac arrest.
Average hospitalization was 9 days (range: 4-26 days). All patients were
discharged on full anticoagulation. None required supplemental oxygen at
discharge. CONCLUSION: CDMT as primary treatment of MPE and SMPE has a high rate
of technical and clinical success in a high-risk patient population. Experience
and strict patient selection criteria may improve therapeutic outcomes.
PMID- 21885245
TI - Bedside hand-carried ultrasound by internal medicine residents versus traditional
clinical assessment for the identification of systolic dysfunction in patients
admitted with decompensated heart failure.
AB - BACKGROUND: The rapid detection of left ventricular systolic dysfunction (LVSD)
is an important step in the clinical management of patients admitted with acute
decompensated heart failure, because it allows the initiation of treatment
specific to LVSD and avoidance of contraindicated therapies. The aim of this
study was to determine whether internal medicine residents with limited
ultrasound training could use hand-carried ultrasound (HCU) to identify LVSD.
METHODS: Fifty patients admitted with acute decompensated heart failure were
imaged from the parasternal window at the bedside with an HCU device by residents
blinded to all clinical data, who had undergone limited cardiac ultrasound
training (20 practice studies). Ejection fraction (EF) on HCU was graded as >40%
or <40%. HCU EF and a number of physical exam findings and electrocardiographic
and laboratory variables were compared for their ability to predict to formal
echocardiographic left ventricular EF. RESULTS: The average formal EF was 32 +/-
16% (range, 7%-70%), with 66% of patients having EFs < 40%. The residents'
ability to detect an EF < 40% with HCU was excellent (sensitivity, 94%;
specificity, 94%; negative predictive value, 88%; positive predictive value,
97%). Binary logistic regression demonstrated that HCU EF was the most powerful
predictor of EF < 40%, with minimal additional value from clinical, exam, lab,
and electrocardiographic variables. The time interval between clinical assessment
and availability of formal echocardiographic results was 22 +/- 17 hours.
CONCLUSIONS: Residents with limited training in cardiac ultrasound were able to
identify LVSD in patients with acute decompensated heart failure with superior
accuracy compared with clinical, physical exam, lab, and electrocardiographic
findings and an average of 22 hours before the results of formal echocardiography
were available.
PMID- 21885246
TI - Food quality, physical activity, and nutritional follow-up as determinant of
weight regain after Roux-en-Y gastric bypass.
AB - OBJECTIVE: Assess the lifestyle habits, including food patterns, of patients who
underwent Roux-en-Y gastric bypass (RYGB) and to identify predictive factors in
weight loss and regain. METHODS: Obese patients (100) who underwent RYGB from
1998 to 2008 were included. Dietary habits were assessed by using 24 h dietary
recall and the Food Frequency Questionnaire. Rates of weight regain and the
percentage of excess weight loss (EWL) were calculated. Patients were also asked
whether they attended nutritional follow-up visits after the operation and about
the type and regularity of physical activities. RESULTS: The mean age was 45.1 +/
9.9 y, and the majority of the patients were women (84%). Mean EWL was 59.1 +/-
20.3%. Weight regain was seen in 56% of the patients with 29% of the patients
having regained over 10.1% of the minimum weight reached after RYGB. Weight
regain increased significantly with time after surgery (up to 2 y: 14.7%; from 2
to 5 y: 69.7%; over 5 y: 84.8%). Poor diet quality characterized by excessive
intake of calories, snacks, sweets, and fatty foods was statistically higher
among those who regained weight. Sedentary lifestyle and lack of nutritional
counseling follow-up were also significantly associated with regaining weight.
CONCLUSION: Despite satisfactory results of EWL, the patients did not properly
maintain the lost weight, mainly after 5 y postsurgery. Major factors that
influenced this weight gain were poor diet quality, sedentary lifestyle, and lack
of nutritional counseling follow-up.
PMID- 21885247
TI - Sodium iodoacetate induced osteoarthrosis model in rabbit temporomandibular
joint: CT and histological study (part I).
AB - Studies to elucidate the pathophysiology of osteoarthrosis have been hampered by
the lack of a rapid, reproducible animal model that mimics the histopathology and
symptoms associated with the disease. The aim of this study is to evaluate the
radiological, histological and histomorphometrical findings of four different
concentrations of sodium iodoacetate (MIA) to create osteoarthrosis by using an
arthrocentesis technique on rabbit temporomandibular joint (TMJ). 12 New Zealand
white male rabbits received an injection of MIA (50 MUl dose of 1.5, 2, 2.5,
3mg/ml concentrations) to a single joint of each group by arthrocentesis.
Computed tomography (CT) images were obtained pre- and post-injections at 2, 4
and 6 weeks. Early osteoarthritic changes in the rabbit TMJ were found
histologically at 4 weeks and with a 3mg/ml concentration of MIA. The mean
subchondral bone volume depended on the concentration of MIA and was 62+/-2.6%,
63+/-4.1%, 42+/-3.6% and 38+/-3.8%, respectively. A minor abnormality was found
on CT in six joints at the 4-week follow up. MIA injection and arthrocentesis
offer a rapid and minimally invasive method of reproducing histologically
osteoarthrotic lesions in the rabbit TMJ.
PMID- 21885248
TI - Arthroscopic lysis and lavage in patients with temporomandibular anterior disc
displacement without reduction.
AB - The authors prospectively analysed 50 patients with chronic anterior disc
displacement without reduction, who underwent arthroscopic lysis and lavage of
the temporomandibular joint (TMJ). Patients with symptoms lasting less than 1
year were assigned to Group A (n=28) and patients with symptoms lasting more than
1 year to Group B (n=22). The most common problems were inflammatory changes of
synovial and retrodiscal tissue (Group A, 71%; Group B, 82%). Fibrous adhesions
were present in 14% of Group A patients and 45% of Group B patients. Degenerative
changes of the disc and articular surface were present in 4% of Group A patients
and 32% of Group B patients. Mouth opening increased 123% from baseline in Group
A, and 112% in Group B (P<0.05). Pain decreased significantly in both groups
(Group A, 2.5 points; Group B, 1.68 points; P<0.05). In conclusion, almost all
patients with chronic anterior disc displacement without reduction benefited from
arthroscopic lysis and lavage of the TMJ. Patients with a shorter duration of
symptoms problems benefited more than those with a longer duration. Arthroscopic
lysis and lavage of the TMJ is safe and beneficial in chronic anterior disc
displacement without reduction.
PMID- 21885249
TI - Customized titanium reconstruction of post-traumatic orbital wall defects: a
review of 22 cases.
AB - The authors present the clinical results of their method of customized
reconstruction of orbital wall defects using titanium mesh or sheet. High
resolution computed tomography (CT) data are imported and processed to create a
three-dimensional (3D) image which is used to reconstruct the orbital defect.
Mirror imaging of the air in the contralateral maxillary sinus is used to
overcome artefact defects in the floor. A stereolithographic model is
constructed, from which titanium mesh or sheet is shaped and sized to the
required contours for implantation. Twenty-two patients were treated using this
technique from 2003 to 2008. Postoperatively 10 patients reported early
resolution of their diplopia. Six patients noticed significant improvement of
their symptoms with mild residual diplopia in one direction only and at the
extremes of gaze at final review. One patient required ocular muscle surgery.
Enophthalmos resolved in eight of the nine cases. No patients developed
enophthalmos or diplopia as a postoperative complication. The use of titanium
mesh for orbital floor reconstruction has been shown to be safe and effective.
Customized titanium implants accurately reproduce orbital contours thus restoring
orbital volume. This reduces operative time and improves the functional and
aesthetic outcomes of post-traumatic orbital reconstruction.
PMID- 21885250
TI - High-sensitivity cardiac troponin T in essential hypertension.
AB - BACKGROUND: Myocyte injury might be involved in the progression of essential
hypertension (EHT) toward heart failure (HF). However, in the absence of high
sensitivity (hs) assay, cardiac troponin T (TnT) in EHT has not been measurable.
METHODS AND RESULTS: We studied 236 consecutive ambulatory patients (mean
age=65.5 years; 110 men) with treated EHT (mean systolic blood pressure=134.3
mmHg, mean serum N-terminal pro-B-type natriuretic peptide=86.6 pg/ml) for mean
65.6 months. Patients with a history of HF were excluded. Single and multiple
variable analyses were performed in search of clinical correlates of elevated hs
TnT (>=0.003 ng/ml). Serum concentration of hs-TnT was >=0.003 ng/ml (mean=0.008
ng/ml) in 184 patients. By single variable analysis, age, uric acid, log
transformed N-terminal pro-B-type natriuretic peptide, brachial-ankle pulse wave
velocity, Cornell electrocardiographic (ECG) voltage, and number of
antihypertensive medications were associated with log-transformed hs-TnT, while
hemoglobin and estimated glomerular filtration rate (eGFR) were inversely
correlated with log-transformed hs-TnT. By multivariate analysis, age, eGFR and
Cornell voltage were independent correlates of log-transformed hs-TnT, even after
adjustment for clinical backgrounds including known prognostic biomarkers of EHT.
CONCLUSIONS: hs-TnT was >=0.003 ng/ml in 78% of patients presenting with treated
EHT and independently correlated with age, renal function, and ECG voltage of
hypertrophy.
PMID- 21885251
TI - Is the restricted ketogenic diet a viable alternative to the standard of care for
managing malignant brain cancer?
AB - Malignant brain cancer persists as a major disease of morbidity and mortality.
The failure to recognize brain cancer as a disease of energy metabolism has
contributed in large part to the failure in management. As long as brain tumor
cells have access to glucose and glutamine, the disease will progress. The
current standard of care provides brain tumors with access to glucose and
glutamine. The high fat low carbohydrate ketogenic diet (KD) will target glucose
availability and possibly that of glutamine when administered in carefully
restricted amounts to reduce total caloric intake and circulating levels of
glucose. The restricted KD (RKD) targets major signaling pathways associated with
glucose and glutamine metabolism including the IGF-1/PI3K/Akt/Hif pathway. The
RKD is anti-angiogenic, anti-invasive, anti-inflammatory, and pro-apoptotic when
evaluated in mice with malignant brain cancer. The therapeutic efficacy of the
restricted KD can be enhanced when combined with drugs that also target glucose
and glutamine. Therapeutic efficacy of the RKD was also seen against malignant
gliomas in human case reports. Hence, the RKD can be an effective non-toxic
therapeutic option to the current standard of care for inhibiting the growth and
invasive properties of malignant brain cancer.
PMID- 21885252
TI - Versive seizures in occipital lobe epilepsy: lateralizing value and
pathophysiology.
AB - To clarify the value of versive seizures in lateralizing and localizing the
epileptogenic zone in patients with occipital lobe epilepsy, we studied 13
occipital lobe epilepsy patients with at least one versive seizure recorded
during preoperative noninvasive video-EEG monitoring, who underwent occipital
lobe resection, and were followed postoperatively for more than 2 years with
Engel's class I outcome. The videotaped versive seizures were analyzed to compare
the direction of version and the side of surgical resection in each patient.
Moreover, we examined other motor symptoms (partial somatomotor manifestations
such as tonic and/or clonic movements of face and/or limbs, automatisms, and
eyelid blinking) associated with version. Forty-nine versive seizures were
analyzed. The direction of version was always contralateral to the side of
resection except in one patient. Among accompanying motor symptoms, partial
somatomotor manifestations were observed in only five patients. In conclusion,
versive seizure is a reliable lateralizing sign indicating contralateral
epileptogenic zone in occipital lobe epilepsy. Since versive seizures were
accompanied by partial somatomotor manifestations in less than half of the
patients, it is suggested that the mechanism of version in occipital lobe
epilepsy is different from that in frontal lobe epilepsy.
PMID- 21885253
TI - What is the present-day EEG evidence for a preictal state?
AB - EEG-based seizure prediction has undergone phases of optimism when analyses based
on limited EEG samples suggested high sensitivity and specificity for several
algorithms extracting features from raw preictal EEG data. When using long-term
recordings, a more realistic view emerged which suggests that statistically
significant predictions might be possible from surface and intracranial EEG, but
no algorithm has yet demonstrated performance allowing for clinical application.
Here, progress in EEG recording techniques, EEG analysis, and requirements for
proper statistical validation of results are reported and discussed as they
pertain to clinical implementation.
PMID- 21885254
TI - Diffusion tensor imaging can localize the epileptogenic zone in nonlesional extra
temporal refractory epilepsies when [(18)F]FDG-PET is not contributive.
AB - Surgical outcome in patients with nonlesional refractory partial epilepsies could
be improved by a more precise definition of the epileptogenic zone (EZ). The
value of interictal FDG-PET hypometabolism, voxel-based-morphometry (VBM) and
diffusion tensor imaging (DTI) is still debated. We compared the sensitivity and
specificity of these noninvasive techniques in localizing the EZ with stereo
electroencephalography (SEEG) results. Twenty patients with nonlesional partial
epilepsy (13 temporal lobe epilepsy (TLE) and 7 extra-temporal (extra-TLE))
underwent structural MRI, DTI and FDG-PET. FDG-PET was analyzed visually (vPET)
blinded and unblinded and by statistical parametric mapping (SPM) (sPET).
Individual modifications of grey matter volume and mean diffusivity increase were
compared to a control group with SPM. The best sensitivity was provided by vPET
unblinded (75%) and the best specificity (60%) by DTI. The sensitivity of vPET
blinded (55%) was lower and those of sPET (40%) and VBM (35%) were still lower.
In TLE, vPET analyzed either blinded or unblinded, performed the best and
additional use of the other tools improved slightly the sensitivity. For extra
TLE, combining vPET and DTI results increased the number of pertinent
abnormalities detected especially for circumscribed changes in frontal lobe
epilepsy (FLE). Combining vPET and DTI was the more efficient strategy for extra
TLE, allowing the detection of pertinent abnormalities in FLE when FDG-PET alone
was not contributive. Combining sPET or VBM with vPET was less useful.
PMID- 21885255
TI - Transcranial direct current stimulation in refractory continuous spikes and waves
during slow sleep: a controlled study.
AB - Cathodal transcranial direct current stimulation (tDCS) decreases cortical
excitability. The purpose of the study was to investigate whether cathodal tDCS
could interrupt the continuous epileptiform activity. Five patients with focal,
refractory continuous spikes and waves during slow sleep were recruited. Cathodal
tDCS and sham stimulation were applied to the epileptic focus, before sleep (1
mA; 20 min). Cathodal tDCS did not reduce the spike-index in any of the patients.
PMID- 21885256
TI - Importance of genetic factors in the occurrence of epilepsy syndrome type: a twin
study.
AB - Although there is strong evidence that genetic factors contribute to risk for
epilepsy, their role in the determination of syndrome type is less clear. This
study was undertaken to address this question. Information related to epilepsy
was obtained from twins included in 455 monozygotic and 868 dizygotic pairs
ascertained from population-based twin registries in Denmark, Norway and the
United States. Syndrome type was determined based on medical record information
and detailed clinical interviews and classified using the International
Classification Systems for the Epilepsies and Epileptic Syndromes. Concordance
rates were significantly increased in monozygotic versus dizygotic pairs for all
major syndrome groups except localization-related cryptogenic epilepsy. Among
generalized epilepsies, genetic factors were found to play an important role in
the determination of childhood absence, juvenile absence, juvenile myoclonic, and
idiopathic generalized epilepsy; and to a lesser degree for epilepsies with grand
mal seizures on awakening. Among localization-related epilepsies, genetic factors
contributed to risk for localization-related idiopathic and symptomatic syndromes
overall, but did not appear to play an important role in determining risk for
frontal, occipital or temporal lobe epilepsy. These results suggest that, while
genetic factors contribute to risk for major syndrome types, determined when
possible, their contribution to risk for localization-related syndrome sub-types,
as defined by specific focality, may be modest.
PMID- 21885258
TI - Comparing the PANSS in Chinese and American inpatients: cross-cultural
psychiatric analyses of instrument translation and implementation.
AB - This article compares data from the Positive and Negative Syndrome Scale (PANSS)
collected from Chinese and American inpatients diagnosed with schizophrenia to
show how patterned differences in item ratings may reflect cultural attitudes of
the raters. The Chinese sample (N=553) was based on consecutive admissions to
four academic hospitals in Changsha, China. Only patients ill for 3 or more years
were included in the analysis to match the chronically ill sample represented in
the US CATIE sample. A total of 261 PANSS assessments were completed during a
month when CATIE subjects had been hospitalized for 15 days or more to optimize
equivalence of the US and Chinese samples. Controlling for age and gender, the
total PANSS and the three sub-scores were all significantly lower in the Chinese
than in the US CATIE sample by 5-8% (all p<.05). However, on 9 items, the Chinese
sample scored 10-30% higher than the US sample (all p<.05) and on 5 items they
scored over 20% higher (all p<.0001). These items rated increased hostility,
poorer attention, lack of judgment and insight, disturbance of volition, and
poorer impulse control. We ascribe these differences to cultural variations in
the ways individuals relate to others in their social environment within Chinese
and American societies.
PMID- 21885259
TI - Safety analysis of liposomal amphotericin B in adult patients: anaemia,
thrombocytopenia, nephrotoxicity, hepatotoxicity and hypokalaemia.
AB - Liposomal amphotericin B (L-AmB), which was developed to reduce side effects, has
been shown to have a better safety profile than both the deoxycholate and lipid
complex forms of amphotericin B; however, the frequency of major side effects is
still unclear. Thus, the aim of the present study was to assess retrospectively
the frequency of L-AmB-induced anaemia, thrombocytopenia, nephrotoxicity,
hepatotoxicity and hypokalaemia as well as the relationship between daily dose of
L-AmB and these side effects. A low red blood cell (RBC) count (post-/pre
treatment) and anaemia were observed in 7 and 10 of 21 adult patients,
respectively. Thrombocytopenia was observed in 11 of 19 adult patients. Doses of
L-AmB that are estimated to cause side effects of a low RBC count, anaemia and
thrombocytopenia with 50% probability are 4.0, 3.3 and 3.0mg/kg/day,
respectively. Nephrotoxicity was observed in 6 of 22 patients. Variations of
total bilirubin, gamma-glutamyl transpeptidase, aspartate aminotransferase and
alanine aminotransferase used as indices of hepatotoxicity were observed in 6, 7,
8 and 8 of 22 patients, respectively. Hypokalaemia was observed in 4 of 9
patients; however, nephrotoxicity, hepatotoxicity and hypokalaemia were not
caused in a dose-dependent manner. In conclusion, the present analyses showed
that L-AmB dose-dependently induced anaemia and thrombocytopenia in adult
patients. It is important to pay attention to causing anaemia and
thrombocytopenia when patients are receiving L-AmB at doses of >3.3mg/kg/day and
>3.0mg/kg/day, respectively.
PMID- 21885257
TI - Diffusion tensor imaging tractography of the optic radiation for epilepsy
surgical planning: a comparison of two methods.
AB - The optic radiation is a key white matter structure at risk during epilepsy
surgery involving the temporal, parietal or occipital lobes. It shows
considerable anatomical variability, cannot be delineated on clinical MRI
sequences and damage may cause a disabling visual field deficit. Diffusion tensor
imaging tractography allows non-invasive mapping of this pathway. Numerous
methods have been published but direct comparison is difficult as patient,
acquisition and analysis parameters differ. Two methods for delineating the optic
radiation were applied to 6 healthy controls and 4 patients with epileptogenic
lesions near the optic radiation. By comparing methods with the same datasets,
many of the parameters could be controlled. The first method was previously
developed to accurately identify Meyer's loop for planning anterior temporal lobe
resection. The second aimed to address limitations of this method by using a more
automated technique to reduce operator time and to depict the entire optic
radiation. Whilst the core of the tract was common to both methods, there was
significant variability between the methods. Method 1 gave a more consistent
depiction of Meyer's loop with fewer spurious tracts. Method 2 gave a better
depiction of the entire optic radiation, particularly in more posterior portions,
but did not identify Meyer's loop in one patient. These results show that whilst
tractography is a promising technique, there is significant variability depending
on the method chosen even when the majority of parameters are fixed. Different
methods may need to be chosen for surgical planning depending on the individual
clinical situation.
PMID- 21885261
TI - Enhanced transdermal delivery of indomethacin-loaded PLGA nanoparticles by
iontophoresis.
AB - Nanoparticles effectively deliver therapeutic agent by penetrating into the skin.
Indomethacin (IM) and coumarin-6 were loaded in PLGA nanoparticles with an
average diameter of 100 nm. IM and coumarin-6 were chosen as a model drug and as
a fluorescent marker, respectively. The surfaces of the nanoparticles were
negatively charged. Permeability of IM-loaded PLGA nanoparticles through rat skin
was studied. Higher amount of IM was delivered through skin when IM was loaded in
nanoparticles than IM was free molecules. Also, iontophoresis was applied to
enhance the permeability of nanoparticles. When iontophoresis with 3 V/cm was
applied, permeability of IM was much higher than that obtained by simple
diffusion of nanoparticles through skin. The combination of charged nanoparticle
system with iontophoresis is useful for effective transdermal delivery of
therapeutic agents.
PMID- 21885260
TI - Antibacterial effects of theaflavin and synergy with epicatechin against clinical
isolates of Acinetobacter baumannii and Stenotrophomonas maltophilia.
AB - Stenotrophomonas maltophilia and Acinetobacter baumannii are recognised as
important nosocomial pathogens; however, due to their intrinsic resistance to
multiple antibiotics, treatment options are limited. Polyphenols from black tea
have been shown to possess antibacterial action. In this study, the antibacterial
effects of various concentrations of theaflavin as well as combinations of
theaflavin and epicatechin were determined using the disk diffusion assay. The
results showed strong antibacterial activity of theaflavin against eight clinical
isolates of S. maltophilia and A. baumannii. Significant synergy (P<=0.05) was
also observed between theaflavin and epicatechin against all isolates. Although
the mechanisms for this activity and synergy are not well understood, the
clinical potential is clear and further research is recommended to determine the
modes of action.
PMID- 21885262
TI - Studies of the binding mechanism between aptamers and thrombin by circular
dichroism, surface plasmon resonance and isothermal titration calorimetry.
AB - Thrombin, a multifunctional serine protease, has both procoagulant and
anticoagulant functions in human blood. Thrombin has two electropositive
exosites. One is the fibrinogen-binding site and the other is the heparin-binding
site. Over the past decade, two thrombin-binding aptamers (15-mer and 29-mer)
were reported by SELEX technique. Recently, many studies examined the
interactions between the 15-mer aptamer and thrombin extensively, but the data on
the difference of these two aptamers binding to thrombin are still lacking and
worth investigating for fundamental understanding. In the present study, we
combined conformational data from circular dichroism (CD), kinetics and
thermodynamics information from surface plasmon resonance (SPR) and isothermal
titration calorimetry (ITC) to compare the binding mechanism between the two
aptamers with thrombin. Special attentions were paid to the formation of G
quadruplex and the effects of ions on the aptamer conformation on the binding and
the kinetics discrimination between specific and nonspecific interactions of the
binding. The results indicated reasonably that the 15-mer aptamer bound to
fibrinogen-binding site of thrombin using a G-quadruplex structure and was
dominated by electrostatic interactions, while the 29-mer aptamer bound to
heparin-binding site thrombin using a duplex structure and was driven mainly by
hydrophobic effects.
PMID- 21885263
TI - [Neonatal atrial tachycardia: suggestive clinical sign of Costello syndrome].
AB - Costello syndrome is a rare association of symptoms caused by de novo germline
mutations of the HRAS oncogene interfering in the RAS/mitogen-activated protein
kinase (MAPK) signal transduction pathway. Mutations in this pathway are also
responsible for Noonan syndrome and the related cardiofaciocutaneous syndrome
(CFC) as well as LEOPARD syndrome. The 4 syndromes share phenotypic resemblances
concerning patients' morphology but also regarding associated cardiac disease,
namely hypertrophic cardiomyopathy, pulmonary stenosis, and atrial septal defect.
The electrocardiogram often shows an upper deviation of the QRS axis. Arrhythmias
are rare but, if present, are particularly typical of CS. We describe herein two
newborn infants with Costello syndrome revealed by atrial tachycardia associated
with characteristic morphological and cardiac features of syndromes related to
mutations in the RAS/MAPK pathway.
PMID- 21885264
TI - [Neonatal intoxication with pyrimethamine: risk due to the absence of pediatric
formulation?].
AB - Curative treatment of congenital toxoplasmosis is based on the association of
pyrimethamine and sulfonamide. There is currently no pediatric galenic
formulation. We report the case of a newborn child affected by asymptomatic
congenital toxoplasmosis who received an overdose of pyrimethamine. The patient
received a dose of pyrimethamine 4 times, equal to 100 times the recommended
dose, due to an error in the prescription. He had partial seizures 48 h after the
last medicinal absorption. We noted a lack of appetite and vomiting, with a
favorable progression in 5 days. Blood analysis showed isolated, spontaneously
regressive moderate cholestasis. We propose a pharmacological clarification on
the treatment of congenital toxoplasmosis.
PMID- 21885265
TI - Glucose supplement reverses the fasting-induced suppression of cellular immunity
in Mongolian gerbils (Meriones unguiculatus).
AB - Glucose plays an important role in immunity. Three day fasting will decrease
cellular immunity and blood glucose levels in Mongolian gerbils (Meriones
unguiculatus). In the present study, we tested the hypothesis that glucose
supplement can reverse the fasting-induced suppression in cellular immunity in
gerbils. Twenty-eight male gerbils were selected and randomly divided into fed
and fasting groups. Half of the gerbils in each group were then provided with
either 10% glucose water or pure water. After 66 h, each gerbil was injected with
phytohaemagglutinin (PHA) solution to challenge cellular immunity. Results showed
that glucose supplement restored blood glucose levels in fasted gerbils to those
of the fed controls. It also recovered cellular immunity, body fat mass and serum
leptin levels in fasted gerbils to the values of the fed controls. Blood glucose
levels were positively correlated with body fat mass, leptin levels and cellular
immune responses. Thymus and spleen masses, and white blood cells in fasted
gerbils were not affected by glucose supplement. In general, our data demonstrate
that glucose supplement could reverse fasting-induced suppression of cellular
immunity in Mongolian gerbils.
PMID- 21885266
TI - Lipopolysaccharides induce changes in the visceral pigmentation of Eupemphix
nattereri (Anura: Leiuperidae).
AB - Amphibians have an extracutaneous pigmentary system composed of melanin
containing cells in various tissues and organs. The functional role of these
pigment cells in visceral organs has not yet been determined, although several
hypotheses have been proposed. Our aim was to describe the visceral pigmentation
in the frog Eupemphix nattereri under conditions of endotoxemia induced
experimentally with lipopolysaccharides (LPS) from Escherichia coli and to
analyze the pigmentation on the organs' surface. We used 60 adult males of E.
nattereri and analyzed the visceral pigmentation 2 (LPS 2h), 6 (LPS 6h), 12 (LPS
12h), 24 (LPS 24h) or 48 h (LPS 48 h) after the LPS inoculation. We observed
pigmentation on the surface of several abdominal organs. The highest degree of
pigmentation was found only on the testes of the animals in the LPS 2h, LPS 6h
and LPS 12h groups. The pigmentation decreased in the animals of the LPS 24h and
LPS 48 h groups. The LPS administration produced no changes in the pigmentation
of the cardio-respiratory and digestive systems. Thus, the cells appear to have
responded to LPS intoxication, producing a rapid increase of pigmentation on the
surface of the testes and a subsequent decrease in the pigmentation. These
changes are most likely related to the bactericidal role of melanin, which
neutralizes the effects of LPS.
PMID- 21885267
TI - Cloning, expression of a peroxiredoxin gene from Acinetobacter sp. SM04 and
characterization of its recombinant protein for zearalenone detoxification.
AB - Zearalenone (ZEN) is a Fusarium mycotoxin, which has been associated with
hyperestrogenism and other reproductive disorders in farm animals. ZEN
contaminated grains as well as its by-products had engendered numerous economic
losses to farm animals' production, so the detoxification of ZEN-contaminated
grains and its by-products would be necessary and beneficial. In this study, a
peroxiredoxin (Prx) gene from Acinetobacter sp. SM04 was cloned, and over
expressed in Escherichia coli BL21 (DE3). The Prx gene of Acinetobacter sp. SM04
encodes a protein of 187 amino acids residues and NCBI BLAST program analysis of
deduced amino acids shows high identity with 2-Cys Prx family. Interestingly,
recombinant Prx show efficient ability to degrade ZEN using H(2)O(2). Results of
MCF-7 cell proliferation assay also found ZEN were oxidized into little
estrogenic metabolites by purified recombinant Prx plus H(2)O(2). Further, model
experiments on decontamination of ZEN-contaminated corn using recombinant Prx
were performed, and results found nearly 90% of ZEN was degraded when crushed ZEN
contaminated corn samples (nearly 1,000 MUg ZEN per kg grain) were treated with
purified recombinant Prx plus 0.09% (m/m) H(2)O(2) for 6h at 30 degrees C. In
addition, the optimum pH and temperature of purified recombinant Prx for ZEN
degradation were 9.0 and 70 degrees C respectively.
PMID- 21885268
TI - cDNA cloning, characterization and expression analysis of a novel antimicrobial
peptide gene penaeidin-3 (Fi-Pen3) from the haemocytes of Indian white shrimp
Fenneropenaeus indicus.
AB - A new member of antimicrobial peptide genes of the penaeidin family, penaeidin 3,
was cloned from the haemocytes of Indian white shrimp Fenneropeneaus indicus (F.
indicus), by reverse transcription PCR (RT-PCR) and rapid amplification of cDNA
end (RACE-PCR) methods. The complete nucleotide sequence of cDNA clone of Indian
white shrimp F. indicus Penaeidin 3 (Fi-Pen3) was 243bp long and has an open
reading frame which encodes 80 amino acid peptide. The homology analysis of Fi
Pen3 sequence with other Penaeidins 3 shows higher similarity with Penaeus
monodon (92%). The theoretical 3D structure generated through ab initio modelling
indicated the presence of two-disulphide bridges in the alpha-helix. The signal
peptide sequence of Fi-Pen3 is almost entirely homologous to that of other
Penaeidin 3 of crustaceans, while differing relatively in the N-terminal domain
of the mature peptide. The mature peptide has a predicted molecular weight of
84.9kDa, and a theoretical pI of 9.38. Phylogenetic analysis of Fi-Pen3 shows
high resemblance with other Pen-3 from P. monodon, Litopenaeus stylirostris,
Litopenaeus vannamei and Litopenaeus setiferus. Fi-Pen3 found to be expressed in
haemocytes, heart, hepatopancreas, muscles, gills, intestine, and eyestalk with
higher expression in haemocytes. Microbial challenge resulted in mRNA up
regulation, up to 6h post injection of Vibrio parahemolyticus. The Fi-Pen3 mRNA
expression of F. indicus in the premolt stage (D(01) and D(02)) was significantly
up-regulated than the postmolt (A and B) and intermolt stages (C). The findings
of the present paper underline the involvement of Fi-Pen3 in innate immune system
of F. indicus.
PMID- 21885269
TI - Heart arachidonic acid is uniquely sensitive to dietary arachidonic acid and
docosahexaenoic acid content in domestic piglets.
AB - This study determined the sensitivity of heart and brain arachidonic acid (ARA)
and docosahexaenoic acid (DHA) to the dietary ARA level in a dose-response design
with constant, high DHA in neonatal piglets. On day 3 of age, pigs were assigned
to 1 of 6 dietary formulas varying in ARA/DHA as follows (% fatty acid, FA/FA):
(A1) 0.1/1.0; (A2) 0.53/1.0; (A3-D3) 0.69/1.0; (A4) 1.1/1.0; (D2) 0.67/0.62; and
(D1) 0.66/0.33. At necropsy (day 28) higher levels of dietary ARA were associated
with increased heart and liver ARA, while brain ARA remained unaffected. Dietary
ARA had no effect on tissue DHA accretion. Heart was particularly sensitive, with
pigs in the intermediate groups having different ARA (A2, 18.6+/-0.7%; A3, 19.4+/
1.0%) and a 0.17% increase in dietary ARA resulted in a 0.84% increase in heart
ARA. Further investigations are warranted to determine the clinical significance
of heart ARA status in developing neonates.
PMID- 21885271
TI - Street-level policing in the Downtown Eastside of Vancouver, Canada, during the
2010 Winter Olympics.
AB - BACKGROUND: Police presence within street-based drug scenes has the potential to
disrupt injection drug users' (IDUs) access to health services and prompt
increased injection-related risk behaviour. We examined street-level policing in
the Downtown Eastside (DTES) of Vancouver during the Olympic Winter games, to
assess the potential impact on access to harm reduction services and injection
related risk behaviour. METHODS: We analysed data from observational activities
documenting police and drug user behaviour, unstructured interviews with drug
users in street settings (n=15), expert interviews with legal and health
professionals (n=6), as well as utilisation statistics from a local supervised
injection facility (SIF). RESULTS: Although police presence was elevated within
the DTES during the Olympics, there was little evidence to suggest that police
activities influenced IDUs' access to health services or injection-related risk
behaviour. SIF attendance during the Olympics was consistent with regular monthly
patterns. CONCLUSION: Police presence during the Olympics did not reduce access
to health services amongst local IDUs or prompt increased injection-related risk
behaviour. Increased cooperation between local law enforcement and public health
bodies likely offset the potential for negative health consequences resulting
from police activity.
PMID- 21885270
TI - Orientation and function of the nuclear-centrosomal axis during cell migration.
AB - A hallmark of polarity in most migrating cells is the orientation of the nuclear
centrosomal (NC) axis relative to the front-back cellular axis. Here, we review
'effector functions' associated with the NC axis during cell migration. We
highlight recent research that has demonstrated that the orientation of the NC
axis depends upon the coordinated, but separate positioning of the nucleus and
the centrosome. We stress the importance of environmental factors such as cell
cell contacts and substrate topology for NC axis orientation. Finally, we
summarize tests of the significance of this axis for cell migration and disease.
PMID- 21885272
TI - Thermal decomposition of electronic wastes: mobile phone case and other parts.
AB - Pyrolysis and combustion runs at 850 degrees C in a horizontal laboratory furnace
were carried out on different parts of a mobile phone (printed circuit board,
mobile case and a mixture of both materials). The analyses of the carbon oxides,
light hydrocarbons, polycyclic aromatic hydrocarbons (PAHs), polychlorodibenzo-p
dioxin, polychlorodibenzofurans (PCDD/Fs), and dioxin-like PCBs are shown.
Regarding semivolatile compounds, phenol, styrene, and its derivatives had the
highest yields. In nearly all the runs the same PAHs were identified, naphthalene
being the most common component obtained. Combustion of the printed circuit board
produced the highest emission factor of PCDD/Fs, possibly due to the high copper
content.
PMID- 21885273
TI - Design, synthesis and anticancer activity of novel dihydrobenzofuro[4,5
b][1,8]naphthyridin-6-one derivatives.
AB - On the basis of the chemical structures of psorospermin with a xanthone template
and acronycine derivatives with an acridone template, rac-1 and rac-2 constructed
on an 1,2-dihydrobenzofuro[4,5-b][1,8]naphthyridin-6(11H)-one scaffold were
designed and synthesized as potential anticancer agents. Their anticancer
activities were evaluated against five human cancer cell lines. Rac-2 showed
similar anticancer activity to doxorubicin and rac-1 exhibited even higher
anticancer activity against LNCaP (IC(50)=0.14 MUM), DU145 (IC(50)=0.15 MUM), PC3
(IC(50)=0.30 MUM) and MCF-7 (IC(50)=0.26 MUM) cancer lines than doxorubicin and
rac-2. Also, rac-1 revealed very potent anticancer activity (IC(50)=0.15 MUM)
against MCF-7/ADR cell (doxorubicin-resistant breast cancer cell) lines and
induced G2/M phase arrest of the cell cycle in MCF-7/ADR cells.
PMID- 21885274
TI - Synthesis and antigastric ulcer activity of novel 5-isoproyl-3,8-dimethylazulene
derivatives.
AB - 5-Isoproyl-3,8-dimethylazulene derivatives were synthesized and evaluated for
antigastric ulcer activity in vivo. Some of them possess the best activity
against gastric ulcer with ulcer index values lower than the drug reference
(omeprazole). The structure-activity relationship (SAR) shows that the lipophilic
flat structure contributes to quite potent antigastric ulcer activity.
PMID- 21885275
TI - Discovery of PF-184563, a potent and selective V1a antagonist for the treatment
of dysmenorrhoea. The influence of compound flexibility on microsomal stability.
AB - The V1a receptor has emerged as an attractive target for a range of indications
including Raynaud's disease and dysmenorrhoea. As part of an effort to discover a
new class of orally active V1a antagonist, we optimised a highly lipophilic,
metabolically unstable lead into a range of potent, selective and metabolically
stable V1a antagonists. In this communication, we demonstrate the series
dependent effect of limiting the number of rotatable bonds in order to decrease
Cytochrome P450-mediated metabolism. This effort culminated in the discovery of
PF-184563, a novel, selective V1a antagonist with excellent in vitro and in vivo
properties.
PMID- 21885276
TI - Design, synthesis and structure-activity relationship of novel [3.3.1] bicyclic
sulfonamide-pyrazoles as potent gamma-secretase inhibitors.
AB - The structure-activity relationship (SAR) of a novel, potent and metabolically
stable series of sulfonamide-pyrazoles that attenuate beta-amyloid peptide
synthesis via gamma-secretase inhibition is detailed herein. Sulfonamide
pyrazoles that are efficacious in reducing the cortical Abetax-40 levels in FVB
mice via a single PO dose, as well as sulfonamide-pyrazoles that exhibit
selectivity for inhibition of APP versus Notch processing by gamma-secretase, are
highlighted.
PMID- 21885277
TI - Discovery of a highly potent series of TLR7 agonists.
AB - The discovery of a series of highly potent and novel TLR7 agonist interferon
inducers is described. Structure-activity relationships are presented, along with
pharmacokinetic studies of a lead molecule from this series of N9-pyridylmethyl-8
oxo-3-deazapurine analogues. A rationale for the very high potency observed is
offered. An investigation of the clearance mechanism of this class of compounds
in rat was carried out, resulting in aldehyde oxidase mediated oxidation being
identified as a key component of the high clearance observed. A possible solution
to this problem is discussed.
PMID- 21885278
TI - Synthesis of beta-functionalized temoporfin derivatives for an application in
photodynamic therapy.
AB - The synthesis of novel beta-functionalized derivatives of the clinically used
photosensitizer Temoporfin has been achieved by nucleophilic addition reactions
to a corresponding diketo chlorin. The beta-substituted dihydroxychlorin products
exhibit a strong absorption in the red spectral region, a high singlet oxygen
quantum yield, and were found to be highly effective in in vitro assays against
HT-29 tumor cells.
PMID- 21885279
TI - Sulfonamides as selective oestrogen receptor beta agonists.
AB - A series of p-hydroxybenzenesulphonamides ERbeta receptor agonists were
discovered and several compounds identified had excellent selectivity over the
related ERalpha receptor. One of these, compound 11, had an interesting binding
conformation determined by X-ray and represents an excellent starting point in
the quest for further selective ERbeta agonists.
PMID- 21885280
TI - Synthesis and evaluation of 1-(4-[18F]fluoroethyl)-7-(4'-methyl)curcumin with
improved brain permeability for beta-amyloid plaque imaging.
AB - Alzheimer's disease is characterized by the accumulation of beta-amyloid (Abeta)
plaques and neurofibrillary tangles (NFTs) in the brain. We previously developed
[(18)F]fluoropropylcurcumin ([(18)F]FP-curcumin), which demonstrated excellent
binding affinity (K(i)=0.07 nM) for Abeta(1-40) aggregates and good
pharmacokinetics in normal mouse brains. However, its initial brain uptake was
poor (0.52% ID/g at 2 min post-injection). Therefore, in the present study,
fluorine-substituted 4,4'-bissubstituted or pegylated curcumin derivatives were
synthesized and evaluated. Their binding affinities for Abeta(1-42) aggregates
were measured and 1-(4-fluoroethyl)-7-(4'-methyl)curcumin (1) had the highest
binding affinity (K(i)=2.12 nM). Fluorescence staining of Tg APP/PS-1 mouse brain
sections demonstrated high and specific labeling of Abeta plaques by 1 in the
cortex region, which was confirmed with thioflavin-S staining of the same spots
in the adjacent brain sections. Radioligand [(18)F]1 was found to have an
appropriate partition coefficient (logP(o/w)=2.40), and its tissue distribution
in normal mice demonstrated improved brain permeability (1.44% ID/g at 2 min post
injection) compared to that of [(18)F]FP-curcumin by a factor of 2.8 and fast
wash-out from mouse brains (0.45% ID/g at 30 min post-injection). These results
suggest that [(18)F]1 may hold promise as a PET radioligand for Abeta plaque
imaging.
PMID- 21885281
TI - Intraoperative assessment of surgical margins during breast conserving surgery of
ductal carcinoma in situ by use of radiofrequency spectroscopy.
AB - Assessment of margins when excising ductal carcinoma in situ (DCIS) of the breast
is difficult. Frozen section is unreliable and specimen intraoperative
radiography only provides information regarding the extent of the visualized
lesion or the microcalcifications. A multi-center, single arm study was conducted
to evaluate the benefit of a novel device (MarginProbe) in intraoperative margin
assessment during breast conserving surgery (BCS) of DCIS, and the associated
reduction of re-excisions. We present results for 22 patients, from those
enrolled in our institution. The device was used as an adjunctive tool to current
practice. Based on permanent histology reporting, the rate of successful
procedures was 86%. The improvement in intraoperative assessment with device use
was associated with a reduction in re-excision rates, from 38.8 to 18%.
PMID- 21885282
TI - Kif18A uses a microtubule binding site in the tail for plus-end localization and
spindle length regulation.
AB - The mitotic spindle is a macromolecular structure utilized to properly align and
segregate sister chromatids to two daughter cells. During mitosis, the spindle
maintains a constant length, even though the spindle microtubules (MTs) are
constantly undergoing polymerization and depolymerization [1]. Members of the
kinesin-8 family are important for the regulation of spindle length and for
chromosome positioning [2-9]. Kinesin-8 proteins are length-specific, plus-end
directed motors that are proposed to be either MT depolymerases [3, 4, 8, 10, 11]
or MT capping proteins [12]. How Kif18A uses its destabilization activity to
control spindle morphology is not known. We found that Kif18A controls spindle
length independently of its role in chromosome positioning. The ability of Kif18A
to control spindle length is mediated by an ATP-independent MT binding site at
the C-terminal end of the Kif18A tail that has a strong affinity for MTs in vitro
and in cells. We used computational modeling to ask how modulating the motility
or binding properties of Kif18A would affect its activity. Our modeling predicts
that both fast motility and a low off rate from the MT end are important for
Kif18A function. In addition, our studies provide new insight into how
depolymerizing and capping enzymes can lead to MT destabilization.
PMID- 21885284
TI - Interhemispheric connections shape subjective experience of bistable motion.
AB - The right and left visual hemifields are represented in different cerebral
hemispheres and are bound together by connections through the corpus callosum.
Much has been learned on the functions of these connections from split-brain
patients [1-4], but little is known about their contribution to conscious visual
perception in healthy humans. We used diffusion tensor imaging and functional
magnetic resonance imaging to investigate which callosal connections contribute
to the subjective experience of a visual motion stimulus that requires
interhemispheric integration. The "motion quartet" is an ambiguous version of
apparent motion that leads to perceptions of either horizontal or vertical motion
[5]. Interestingly, observers are more likely to perceive vertical than
horizontal motion when the stimulus is presented centrally in the visual field
[6]. This asymmetry has been attributed to the fact that, with central fixation,
perception of horizontal motion requires integration across hemispheres whereas
perception of vertical motion requires only intrahemispheric processing [7]. We
are able to show that the microstructure of individually tracked callosal
segments connecting motion-sensitive areas of the human MT/V5 complex (hMT/V5+;
[8]) can predict the conscious perception of observers. Neither connections
between primary visual cortex (V1) nor other surrounding callosal regions exhibit
a similar relationship.
PMID- 21885283
TI - Distinct roles for F-BAR proteins Cdc15p and Bzz1p in actin polymerization at
sites of endocytosis in fission yeast.
AB - BACKGROUND: Genetic analyses of budding and fission yeast identified >50 proteins
that assemble at sites of clathrin-mediated endocytosis in structures called
actin patches. These proteins include clathrin, clathrin-interacting proteins,
actin binding proteins, and peripheral membrane proteins such as F-BAR proteins.
Many questions remain regarding the interactions of these proteins, particularly
the participation of F-BAR proteins in the assembly of actin filaments. RESULTS:
Our microscopic and genetic interaction experiments on fission yeast show that F
BAR proteins Cdc15p and Bzz1p accumulate in two distinct zones on invaginating
membrane tubules and interact with Myo1p and Wsp1p, nucleation-promoting factors
for Arp2/3 complex. The two F-BAR proteins peak prior to movement of the actin
patch and their accumulation in actin patches depends on the nucleation-promoting
factors. At their peak local concentrations, we estimated the stoichiometries of
the proteins in actin patches to be one Bzz1p per two Wsp1p and one Cdc15p per
Myo1p. Purified Bzz1p has two SH3 domains that interact with Wsp1p and stimulate
actin polymerization by Arp2/3 complex. Cells lacking either Cdc15p or Bzz1p
assemble 3- to 5-fold less actin in patches (in spite of normal levels of Wsp1p,
Myo1p, and Arp2/3 complex), and patches move shorter distances from the plasma
membrane. CONCLUSION: We propose that during clathrin-mediated endocytosis, F-BAR
proteins interact with nucleation-promoting factors to stimulate Arp2/3 complex
in two different zones along the invaginating tubule. We further propose that
polymerization of actin filaments in these two zones contributes to membrane
scission.
PMID- 21885286
TI - A descriptive study of patient satisfaction and the structural factors of
Norwegian intensive care nursing.
AB - PURPOSE: The aim of this study was to describe patient satisfaction with nursing
care in three different Norwegian Coronary Intensive Care Units and compare the
results with other structural factors such as nursing competence, skill-mix,
clinical experience, nurse to patient ratio and number of beds. METHODS: A
descriptive and comparative design was employed and 150 patients at three
Coronary Intensive Care Units were included. Patient satisfaction data was
collected using the Intensive Nursing Care Quality Instrument (59 items). The
data collected was comprised of two parts: a questionnaire and information on the
structural factors of the organisational structure. The data was analysed using
descriptive statistics. RESULTS: Patients expressed overall satisfaction with the
nursing care. No clear association was found between patient satisfaction and
nursing competence, skill-mix, clinical experience, nurse to patient ratio and
number of beds. When comparing results between units, significant differences
were seen for 17 out of 46 questions. CONCLUSIONS: The results provide insight
into how critical care staffing and skill-mix affect patient satisfaction and
guide future nursing research in this subject area.
PMID- 21885285
TI - Molecular organization of Drosophila neuroendocrine cells by Dimmed.
AB - BACKGROUND: In Drosophila, the basic-helix-loop-helix protein DIMM coordinates
the molecular and cellular properties of all major neuroendocrine cells,
irrespective of the secretory peptides they produce. When expressed by
nonneuroendocrine neurons, DIMM confers the major properties of the regulated
secretory pathway and converts such cells away from fast neurotransmission and
toward a neuroendocrine state. RESULTS: We first identified 134 transcripts
upregulated by DIMM in embryos and then evaluated them systematically using
diverse assays (including embryo in situ hybridization, in vivo chromatin
immunoprecipitation, and cell-based transactivation assays). We conclude that of
eleven strong candidates, six are strongly and directly controlled by DIMM in
vivo. The six targets include several large dense-core vesicle (LDCV) proteins,
but also proteins in non-LDCV compartments such as the RNA-associated protein
Maelstrom. In addition, a functional in vivo assay, combining transgenic RNA
interference with MS-based peptidomics, revealed that three DIMM targets are
especially critical for its action. These include two well-established LDCV
proteins, the amidation enzyme PHM and the ascorbate-regenerating electron
transporter cytochrome b(561-1). The third key DIMM target, CAT-4 (CG13248), has
not previously been associated with peptide neurosecretion-it encodes a putative
cationic amino acid transporter, closely related to the Slimfast arginine
transporter. Finally, we compared transcripts upregulated by DIMM with those
normally enriched in DIMM neurons of the adult brain and found an intersection of
18 DIMM-regulated genes, which included all six direct DIMM targets. CONCLUSIONS:
The results provide a rigorous molecular framework with which to describe the
fundamental regulatory organization of diverse neuroendocrine cells.
PMID- 21885287
TI - Biochemical characterization of a novel type-II VEGFR2 kinase inhibitor:
comparison of binding to non-phosphorylated and phosphorylated VEGFR2.
AB - A pyrrolo[3,2-d]pyrimidine-based type-II vascular endothelial growth factor
receptor 2 (VEGFR2) kinase inhibitor, compound 20d, displayed time-dependent
inhibition of the non-phosphorylated catalytic domain of VEGFR2. In contrast, 20d
did not show time-dependent inhibition of the phosphorylated enzyme. Dissociation
of 20d from non-phosphorylated VEGFR2 was slow and the half-life of the complex
was longer than 4h. In contrast, dissociation of 20d from the phosphorylated
enzyme was very fast (half-life <5min). A fluorescent tracer based displacement
assay and surface plasmon resonance (SPR) analysis confirmed the slow
dissociation of 20d from only non-phosphorylated VEGFR2. Thus, activity based and
binding kinetic analyses both supported slow dissociation of 20d from only non
phosphorylated VEGFR2. Additionally SPR analysis revealed that association rates
were rapid and nearly identical for these two phosphorylation forms of VEGFR2.
From these results, the preferential effect of 20d on non-phosphorylated VEGFR2
is dominated by its slow dissociation from the enzyme and this characteristically
long residence time may increase its potency in vivo. The present findings may
assist in the design of novel type-II kinase inhibitors.
PMID- 21885288
TI - Design and synthesis of new prostaglandin D2 receptor antagonists.
AB - To identify new cost-effective prostaglandin D2 (DP) receptor antagonists, a
series of novel 3-benzoylaminophenylacetic acids were synthesized and
biologically evaluated. Among those tested, some representative compounds were
found to be orally available. Receptor selectivity and rat PK profiles were also
evaluated. The structure-activity relationship (SAR) study is presented.
PMID- 21885289
TI - Antifungal thiopeptide cyclothiazomycin B1 exhibits growth inhibition
accompanying morphological changes via binding to fungal cell wall chitin.
AB - Cyclothiazomycin B1 (CTB1) is an antifungal cyclic thiopeptide isolated from the
culture broth of Streptomyces sp. HA 125-40. CTB1 inhibited the growth of several
filamentous fungi including plant pathogens along with swelling of hyphae and
spores. The antifungal activity of CTB1 was weakened by hyperosmotic conditions,
and hyphae treated with CTB1 burst under hypoosmotic conditions, indicating
increased cell wall fragility. CTB1-sensitive fungal species contain high levels
of cell wall chitin and/or chitosan. Unlike nikkomycin Z, a competitive inhibitor
of chitin synthase (CHS), CTB1 did not inhibit CHS activity. Although CTB1
inhibited CHS biosynthesis, the same result was also obtained with a non-specific
proteins inhibitor, cycloheximide, which did not reduce cell wall rigidity. These
results indicate that the primary target of CTB1 is not CHS, and we concluded
that CTB1 antifungal activity was independent of this sole inhibition. We found
that CTB1 bound to chitin but did not bind to beta-glucan and chitosan. The
results of the present study suggest that CTB1 induces cell wall fragility by
binding to chitin, which forms the fungal cell wall. The antifungal activity of
CTB1 could be explained by this chitin-binding ability.
PMID- 21885290
TI - Natural daucane sesquiterpenes with antiproliferative and proapoptotic activity
against human tumor cells.
AB - Plants of the genera Ferula and Ferulago are known for their complex content in
bioactive secondary metabolites such as coumarins, phenylpropanoids, and
sesquiterpenes. We used the ground parts of Ferula communis subsp. communis,
Ferula glauca subsp. glauca and Ferulago campestris as natural sources for the
isolation of four coumarins (CU-1 to CU-4), two phenylpropanoids (PE-1 and PE-2),
one polyacetylene (PA-1) and 16 daucane esters (DE-1 to DE-16). The cytotoxic
activity of the isolated compounds was evaluated against a panel of seven human
tumor cell lines. Fourteen of the daucane derivatives showed antiproliferative
activity at least against one of the human tumor cell lines tested, four
compounds (DE-5, DE-8, DE-11, and DE-16) were active against all the tested cell
lines. Among them DE-11 was the most cytotoxic compound against HeLa (4.4 +/- 0.7
MUM), A549 (2.8 +/- 1.4 MUM), HL-60 (2.6 +/- 0.4 MUM), K562 (26.5 +/- 6.0 MUM) RS
4;11 (1.7 +/- 0.3 MUM) and SEM (2.4 +/- 0.1 MUM) cell lines, while DE-8 was the
most active against Jurkat (3.3 +/- 0.8 MUM). Preliminary structure-activity
relationship suggests that the most active compounds in the daucane series
present the trans fusion of the penta- and hepta-atomic cycles, and lipophylic
ester groups linked to position 6. Isomeric derivatives such as DE-8 and DE-9 or
DE-3, DE-4, and DE-5 exhibited significant differences in their IC(50) supporting
that the beta orientation for the ester group in the position 2 enhances the
cytotoxic activity. Furthermore, the pro-apoptotic effect of the most active
compounds evaluated in Jurkat cell line showed that these compounds are able to
induce apoptosis in a time and concentration-dependent manner. Our findings
suggest the potential role of daucane derivatives as models for the development
of proapoptotic compounds.
PMID- 21885292
TI - Endoscopically-assisted subcondylar and vertical ramus osteotomies for the
treatment of symmetrical mandibular prognathism.
AB - The author describe the endoscopically-assisted intraoral vertical ramus (IVRO)
and subcondylar (ISCO) osteotomies for the treatment of symmetric mandibular
prognathism. The use of the saw and the bone chisel under the continuous control
of the endoscope provides a safer approach since the osteotomy is controlled
during the whole process. The introduction of the endoscope to provide complete
visualization of the osteotomy site may overcome the traditional limitations of
direct visualization in IVRO and ISCO.
PMID- 21885291
TI - Structure of the adenosine A(2A) receptor in complex with ZM241385 and the
xanthines XAC and caffeine.
AB - Methylxanthines, including caffeine and theophylline, are among the most widely
consumed stimulant drugs in the world. These effects are mediated primarily via
blockade of adenosine receptors. Xanthine analogs with improved properties have
been developed as potential treatments for diseases such as Parkinson's disease.
Here we report the structures of a thermostabilized adenosine A(2A) receptor in
complex with the xanthines xanthine amine congener and caffeine, as well as the
A(2A) selective inverse agonist ZM241385. The receptor is crystallized in the
inactive state conformation as defined by the presence of a salt bridge known as
the ionic lock. The complete third intracellular loop, responsible for G protein
coupling, is visible consisting of extended helices 5 and 6. The structures
provide new insight into the features that define the ligand binding pocket of
the adenosine receptor for ligands of diverse chemotypes as well as the
cytoplasmic regions that interact with signal transduction proteins.
PMID- 21885293
TI - Nimodipine promotes regeneration of peripheral facial nerve function after
traumatic injury following maxillofacial surgery: an off label pilot-study.
AB - BACKGROUND: Animal tests, retro- and prospective clinical trials in neurosurgical
departments have shown a beneficial effect of nimodipine on the preservation and
recovery of facial and acoustic nerve function following vestibular schwannoma
surgery. Encouraged by these positive results a pilot-study of nimodipine
treatment in patients with a peripheral facial nerve (FN) paresis following
maxillofacial surgery was performed. The rate and time of FN recovery were
analysed and compared with the results in the literature. METHODS: Thirteen
patients (n = 13) suffering from a moderate (1/13) up to a severe (12/13)
peripheral FN paresis after maxillofacial surgery were treated with orally
administered nimodipine. The anatomical main course of the FN was preserved in
all patients with a 2nd to 3rd degree of Sunderland-injury (Sunderland, 1951).
After no evidence of a spontaneous regeneration had shown, oral medication with
nimodipine was started as an "off-label" use. RESULTS: An improvement of the FN
function correlated to the start of the vasoactive medication and as a
consequence a recovery of the FN function up to House-Brackmann (HB) grade I
degrees -II degrees was observed in all the patients within a period of 2 months
after the beginning of treatment (p = 0.00027). CONCLUSIONS: The clinical
observations in these patients suggest a positive effect of nimodipine on the
acceleration of peripheral FN regeneration after surgically caused trauma. The
results of this pilot-study are very promising. A prospective study with a larger
number of patients is planned to approve the beneficial effect of nimodipine on
the peripheral FN in maxillofacial or otorhinolaryngological surgery.
PMID- 21885294
TI - Interleukin-17F affects cartilage matrix turnover by increasing the expression of
collagenases and stromelysin-1 and by decreasing the expression of their
inhibitors and extracellular matrix components in chondrocytes.
AB - Interleukin (IL)-17, a proinflammatory cytokine, is produced primarily by
activated Th17 cells. IL-17 consists of six ligands that signal through five
receptors (IL-17Rs); IL-17A and IL-17F share the highest homology in the family.
Matrix metalloproteinases (MMPs) degrade the extracellular matrix during
cartilage remodeling whereas tissue inhibitor of metalloproteinases (TIMPs)
inhibit the action of MMPs. In the present study, we examined the effect of IL
17F on the degradation and synthesis of the extracellular matrix in cartilage
using human articular chondrocytes. We examined the effect of IL-17F on the
expression of IL-17Rs, MMPs, TIMPs, type II collagen, aggrecan, link protein, and
cyclooxygenases (COXs), as well as on prostaglandin E2 (PGE2) production. We also
examined the indirect effect of PGE2 on the above IL-17F-induced/reduced
components using NS-398, a specific inhibitor of COX-2. Cells were cultured with
or without IL-17F in the presence or absence of either an IL-17R antibody or NS
398 for up to 28 days. Expression of IL-17Rs, MMPs, TIMPs, type II collagen,
aggrecan, link protein, and COXs at mRNA and protein levels was determined using
real-time polymerase chain reaction and enzyme-linked immunosorbent assay
(ELISA), respectively. PGE2 production was determined by ELISA. The expression of
all types of IL-17Rs was detected in chondrocytes. However, IL-17RE expression
was extremely low, compared with other IL-17Rs. The expression of MMP-1, MMP-3,
MMP-13, and COX-2 as well as PGE2 production were increased by addition of IL
17F, whereas the expression of IL-17RD, TIMP-2, TIMP-4, type II collagen,
aggrecan, link protein, and COX-1 was decreased. The expression of IL-17RA, IL
17RB, IL-17RC, MMP-2, MMP-14, TIMP-1, and TIMP-3 was unaffected by addition of IL
17F. The IL-17R antibody blocked the stimulating/reducing effect of IL-17F on the
expression of MMP-1, MMP-3, MMP-13, TIMP-2, TIMP-4, type II collagen, aggrecan,
and link protein. NS-398 blocked the reducing effect of IL-17F on aggrecan
expression, whereas it did not completely block the stimulating/reducing effects
of IL-17F on the expression of MMP-1, MMP-3, MMP-13, TIMP-2, TIMP-4, type II
collagen, and link protein. Our results suggest that IL-17F stimulates cartilage
degradation by increasing the expression of collagenases (MMP-1 and -13) and
stromelysin-1 (MMP-3) and by decreasing expression of their inhibitors (TIMP-2
and -4), type II collagen, aggrecan, and link protein in chondrocytes.
Furthermore, our results suggest that the expression of aggrecan, link protein,
and TIMP-4 decrease through the autocrine action of PGE2 in chondrocytes.
PMID- 21885296
TI - Implicit and explicit emotional behavior and mindfulness.
AB - The objective of this study was to examine whether the "step back and watch"
attitude of mindfulness manifests in less emotional behavior. We hypothesized
that the "acceptance" facet of mindfulness, but not the "presence" facet, is
negatively associated with the magnitude of emotional behavior in four tests,
i.e., (1) rating of words, (2) rating of aversive and neutral pictures, and (3)
evaluative conditioning (EC). Additionally, we hypothesized that (4) the
acceptance facet is associated with increased reaction time (RT) in an emotional
Stroop test, and that the presence facet is associated with decreased RT and
lower error rate. The sample consisted of N=247 non-clinical adults and was
tested in a cross-sectional study. The results provide partial evidence that the
acceptance facet of mindfulness may be associated with less aversive reactions
towards aversive stimuli. Future studies should substantiate these findings but
also determine their clinical relevance.
PMID- 21885295
TI - Venovenous extracorporeal membrane oxygenation for acute lung failure in adults.
AB - BACKGROUND: Acute lung failure (ALF) is an increasing problem that can be treated
with venovenous extracorporeal membrane oxygenation (vv-ECMO). This report
summarizes prospectively collected data of an institutional experience with vv
ECMO. METHODS: From January 2007 to December 2010, 176 patients (mean age, 48 +/-
16; range, 14-78 years) with ALF refractory to conventional therapy were
supported with vv-ECMO. The general indication for vv-ECMO was a partial oxygen
pressure/fraction of inspired oxygen (Fio(2)) < 80 mm Hg under a Fio(2) of 1.0, a
positive end-expiratory pressure of 18 cm H(2)O, and refractory respiratory
acidosis (pH < 7.25), despite optimization of conservative therapy. RESULTS: All
patients underwent peripheral cannulation. In 59 cases, vv-ECMO was placed in
another facility with ECMO transport by helicopter or ambulance. The mean vv-ECMO
support interval was 12 +/- 9.0 days (range, 1-67 days). During ECMO, 12 patients
(7%) could be extubated and stepwise mobilized. Cannula-related complications
during long-term support occurred in 14%, which was mostly minor bleeding.
Overall survival was 56%: 58 patients (33%) died during mechanical support, and
20 (11%) died after weaning from the system. The best outcome was noted in trauma
patients. Risk factors were mainly advanced age and multiorgan failure.
CONCLUSION: Modern vv-ECMO is an excellent treatment in patients with severe ALF
and should be more liberally used.
PMID- 21885297
TI - High-resolution 3-Tesla magnetic resonance neurography of musculocutaneous
neuropathy.
PMID- 21885298
TI - Serial structural and functional assessments of rotator cuff repairs: do they
differ at 6 and 19 months postoperatively?
AB - BACKGROUND: Some studies have shown that rotator cuff tendon (RCT) retears occur
early after surgery and ultimate failure strength is reached at between 3 and 6
months. However, few clinical studies have been reported to support their theory.
The purpose of this study was to determine whether the RCT integrity after repair
showed any difference at 6 months and at 19 months postoperatively. METHODS:
Thirty-one patients who underwent complete repair for full-thickness RCT tears
that were medium-sized tears or larger and had 2 postoperative follow-up magnetic
resonance imaging (MRI) scans were included in this study. Retear, fatty
degeneration, and muscle atrophy were evaluated during the first and second MRI
sessions. Clinical assessment was performed by use of the American Shoulder and
Elbow Surgeons score, Constant score, and pain visual analog scale preoperatively
and at the time of the first and second MRI scans. RESULTS: The mean time for the
two consecutive MRI scans was 5.9 months (range, 3.1-8.3 months) and 19.7 months
(range, 10.1-24.3 months). On the first MRI scans, 24 patients had no retear, 5
had partial retears, and 2 had full-thickness retears. In terms of rotator cuff
retears, the 2 sets of MRI scans showed exactly the same statuses. The only
statistically significant improvement was a reduction in tendinosis on the second
MRI scans. In addition, significant improvements in clinical status were observed
between the 2 periods. CONCLUSION: Our study shows that the structural status of
RCTs after arthroscopic repair can be assessed at 6 months after surgery.
Furthermore, although functional status improved with time after 6 months, the
structural status of repaired cuffs remained unchanged.
PMID- 21885299
TI - Rotator cuff tears treated with a modified deltoid flap repair technique.
AB - BACKGROUND: Few options exist in the treatment of irreparable rotator cuff tears,
especially in younger patients. The purpose of this study was to present our
experience with deltoid flap repairs combined with acromion elevation osteotomy
increasing the space for the flap. METHODS: We retrospectively reviewed the
outcome of 57 patients with a mean age of 60 years who had undergone the modified
anterolateral deltoid flap technique for the treatment of large and massive
rotator cuff tears. Follow-up was performed after a mean of 6 years. RESULTS: At
follow-up, 84% of patients considered the condition of their shoulder as better
or much better compared with before surgery. The mean Constant score was 88%
(range, 45-122). Before surgery, all shoulders were significantly painful. At
latest follow-up, 91% of the patients had no or mild pain. Elevation of the arm
above 90 degrees was possible in 38 patients before surgery and in 53 patients
at latest follow-up. Tears involving 3 tendons were associated with inferior
results. Retears of the deltoid flap occurred in 8 patients (14%); 1 of them was
successfully treated with a reverse total shoulder replacement, and 6 had a
repair of the flap, with only 1 satisfactory outcome. CONCLUSIONS: The modified
deltoid flap yielded reliable pain relief and a high rate of patient
satisfaction, as well as satisfactory function in the majority of the patients.
Especially in younger patients, this technique might be considered a viable
alternative for the treatment of irreparable rotator cuff tears.
PMID- 21885300
TI - Varicose veins at the spinoglenoidal notch: an unusual cause of suprascapular
nerve compression.
PMID- 21885302
TI - Complex fracture-dislocations of the proximal ulna and radius in adults: a
comprehensive classification.
AB - BACKGROUND: Complex fracture-dislocations of the proximal ulna and radius include
multiple anatomic lesions, the management of which is known to be demanding.
Although several classifications have been proposed, none appear to be
exhaustive, and most of them have neither therapeutic nor prognostic value. The
purpose of this study was to design a comprehensive classification that may
provide a guide for the operative management of these injuries. MATERIALS AND
METHODS: The classification is aimed at identifying definite anatomic lesions,
called the "main lesions," the presence of which can affect the prognosis and
require peculiar treatments. The main lesions include (1) ulnar fracture
(including its location with respect to the insertion of collateral ligaments and
coronoid fracture), (2) radiohumeral dislocation, (3) proximal radioulnar
dislocation, (4) radial fracture, (5) distal radioulnar joint and interosseous
membrane lesion, and (6) humeral-ulnar dislocation. Intraobserver and
interobserver reliability was assessed in 25 complex fracture-dislocations.
Standard radiographs and computed tomography scans were analyzed by 3 independent
observers. RESULTS: The main lesions were labeled by an alphanumeric system.
Numbers 1 through 6 identified the type of ulnar fracture, and letters A through
E indicated the dislocated joint or presence of a radial fracture. The direction
of dislocation and the type of radial fracture were identified by Roman numerals,
from I to III, placed after the letter. A kappa value of 0.873 or greater
resulted from intraobserver and interobserver evaluation. CONCLUSION: We created
a comprehensive classification of complex fracture-dislocations of the elbow. The
classification appeared to be reproducible and may represent a useful tool for
the management of such difficult injuries.
PMID- 21885301
TI - The biomechanical role of scaffolds in augmented rotator cuff tendon repairs.
AB - BACKGROUND: Scaffolds continue to be developed and used for rotator cuff repair
augmentation; however, the appropriate scaffold material properties and/or
surgical application techniques for achieving optimal biomechanical performance
remains unknown. The objectives of the study were to simulate a previously
validated spring-network model for clinically relevant scenarios to predict: (1)
the manner in which changes to components of the repair influence the
biomechanical performance of the repair and (2) the percent load carried by the
scaffold augmentation component. MATERIALS AND METHODS: The models were
parametrically varied to simulate clinically relevant scenarios, namely, changes
in tendon quality, altered surgical technique(s), and different scaffold designs.
The biomechanical performance of the repair constructs and the percent load
carried by the scaffold component were evaluated for each of the simulated
scenarios. RESULTS: The model predicts that the biomechanical performance of a
rotator cuff repair can be modestly increased by augmenting the repair with a
scaffold that has tendon-like properties. However, engineering a scaffold with
supraphysiologic stiffness may not translate into yet stiffer or stronger
repairs. Importantly, the mechanical properties of a repair construct appear to
be most influenced by the properties of the tendon-to-bone repair. The model
suggests that in the clinical setting of a weak tendon-to-bone repair, scaffold
augmentation may significantly off-load the repair and largely mitigate the poor
construct properties. CONCLUSIONS: The model suggests that future efforts in the
field of rotator cuff repair augmentation may be directed toward strategies that
strengthen the tendon-to-bone repair and/or toward engineering scaffolds with
tendon-like mechanical properties.
PMID- 21885303
TI - An anatomic study of coronoid cartilage thickness with special reference to
fractures.
AB - BACKGROUND: Current coronoid fracture classification systems are based on
fragment size and configuration using plain radiographs and/or computed
tomography (CT). During surgery, coronoid fracture fragments appear much larger
than anticipated because cartilage is radiolucent and therefore not accounted for
with preoperative imaging. The purpose of this imaging study was to quantify the
articular cartilage thickness of the coronoid, with reference to coronoid
fractures. MATERIALS AND METHODS: Twenty-four cadaveric ulnae were dissected,
imaged with CT, and analyzed by use of image analysis software. Thirteen
identifiable landmarks were chosen on the coronoid, olecranon, and proximal
radioulnar joint to measure articular cartilage thickness. Intraobserver
reliability and interobserver reliability were determined. RESULTS: Cartilage
thickness was highest at the coronoid tip, with a mean of 3.0 mm (range, 1.7-4.6
mm). Cartilage thickness at the tip correlated inversely with age (P < .01) and
correlated strongly with overall ulnar height and ulnar length (P < .05). All
measurements had excellent intraobserver and interobserver reliability.
CONCLUSION: The thickness of cartilage on the coronoid tip is not
inconsequential. The results of this study indicate that a 2-mm coronoid tip
fracture on CT scan may actually appear to be a mean of 5 mm thick when viewed at
the time of surgery. Clinically, this is important because it may alter the
classification, the decision to treat, or the type of fixation used. Importantly,
biomechanical cadaveric studies assessing coronoid injuries have incorporated
cartilage thickness into coronoid size measurements when creating simulated
fractures; therefore, it is critical that the conclusions of such biomechanical
studies be scrutinized with regard to their clinical recommendations. Surgeons
should be aware of these discrepancies.
PMID- 21885304
TI - Metformin in equine metabolic syndrome: an enigma or a dead duck?
PMID- 21885305
TI - Introductory comment: Childhood tight filum syndrome.
PMID- 21885306
TI - Second order gradient ascent pulse engineering.
AB - We report some improvements to the gradient ascent pulse engineering (GRAPE)
algorithm for optimal control of spin ensembles and other quantum systems. These
include more accurate gradients, convergence acceleration using the Broyden
Fletcher-Goldfarb-Shanno (BFGS) quasi-Newton algorithm as well as faster control
derivative calculation algorithms. In all test systems, the wall clock time and
the convergence rates show a considerable improvement over the approximate
gradient ascent.
PMID- 21885307
TI - Triple resonance three-dimensional protein NMR: before it became a black box.
AB - Three-dimensional triple resonance experiments have become an integral part of
virtually every solution NMR study of proteins. The approach relies on uniform
isotopic enrichment of proteins with (13)C and (15)N, and establishes the scalar
connectivity pathway between nuclei through the large (1)J(NH), (1)J(CH)(,
1)J(CC), and (1)J(CN) couplings. The magnetization transfer process takes place
through multiple, efficient one-bond magnetization transfer steps, rather than a
single step through the smaller and variable (3)J(HH) couplings. The relatively
large size and good uniformity of the one-bond couplings allowed the design of
efficient magnetization transfer schemes that are effectively uniform across a
given protein, nearly independent of conformation. Although conceptually
straightforward, practical implementation of three-dimensional triple resonance
experiments on proteins originally posed serious challenges. This account
provides a personal perspective on some of the historical background to this
work, the problems encountered as well as their solutions, and their evolution
into today's standard arsenal of experiments.
PMID- 21885308
TI - Reduction of magnetic field fluctuations in powered magnets for NMR using
inductive measurements and sampled-data feedback control.
AB - Resistive and hybrid (resistive/superconducting) magnets provide substantially
higher magnetic fields than those available in low-temperature superconducting
magnets, but their relatively low spatial homogeneity and temporal field
fluctuations are unacceptable for high resolution NMR. While several techniques
for reducing temporal fluctuations have demonstrated varying degrees of success,
this paper restricts attention to methods that utilize inductive measurements and
feedback control to actively cancel the temporal fluctuations. In comparison to
earlier studies using analog proportional control, this paper shows that shaping
the controller frequency response results in significantly higher reductions in
temporal fluctuations. Measurements of temporal fluctuation spectra and the
frequency response of the instrumentation that cancels the temporal fluctuations
guide the controller design. In particular, we describe a sampled-data phase-lead
lag controller that utilizes the internal model principle to selectively
attenuate magnetic field fluctuations caused by the power supply ripple. We
present a quantitative comparison of the attenuation in temporal fluctuations
afforded by the new design and a proportional control design. Metrics for
comparison include measurements of the temporal fluctuations using Faraday
induction and observations of the effect that the fluctuations have on nuclear
resonance measurements.
PMID- 21885309
TI - NMR studies of protein structure and dynamics - a look backwards and forwards.
AB - NMR spectroscopy has evolved to become one of the most powerful tools for the
study of protein structure and dynamics. Advances over the past decade have
greatly extended the methodology to studies of molecules of ever increasing
complexity. Herein I provide a short perspective relating the circumstances that
led to some of the contributions from my laboratory in this area and highlight
how these original experiments, summarized in a Journal of Magnetic Resonance
article in 2005 (JMR, 173 193-207), have influenced the current focus of my
research.
PMID- 21885310
TI - Evaluation of medetomidine, ketamine and buprenorphine for neutering feral cats.
AB - A combination of medetomidine (M, 100 MUg/kg), ketamine (K, 10 mg/kg) and
buprenorphine (B, 10 MUg/kg), administered by intramuscular injection, was
evaluated for spaying and castration (neutering) of feral cats (n = 101). Eleven
animals (11%) required supplemental anesthesia (isoflurane by mask) to maintain
an adequate plane of surgical anesthesia. Atipamezole (A, 125 MUg/kg) was
administered subcutaneously at the completion of surgery. All cats recovered from
surgery and were released the following day. A hemoglobin saturation (SpO(2))
value of < 95% was recorded at least once during anesthesia in all cats. This MKB
combination can be used in a feral cat sterilization clinic, but isoflurane
supplementation may be necessary. Further research is indicated to determine the
clinical significance of the low SpO(2) values associated with this anesthetic
regimen.
PMID- 21885311
TI - Detection of protective antibody titers against feline panleukopenia virus,
feline herpesvirus-1, and feline calicivirus in shelter cats using a point-of
care ELISA.
AB - Serum antibody titers are a useful measurement of protection against infection
(feline panleukopenia virus [FPV]) or clinical disease (feline herpesvirus-1
[FHV] and feline calicivirus [FCV]), and their determination has been recommended
as part of disease outbreak management in animal shelters. The objective of this
study was to determine the sensitivity, specificity, and inter-observer and inter
assay agreement of two semi-quantitative point-of-care assays for the detection
of protective antibody titers (PAT) against FPV, FHV and FCV in shelter cats. Low
sensitivity for FPV antibodies (28%) rendered a canine point-of-care assay
inappropriate for use in cats. The feline point-of-care assay also had low
sensitivity (49%) and low negative predictive value (74%) for FPV PAT detection,
but was highly accurate in the assessment of FHV and FCV PAT. Improvements in
accuracy and repeatability of FPV PAT determination could make this tool a
valuable component of a disease outbreak response in animal shelters.
PMID- 21885312
TI - Biliothorax in a Siamese cat.
AB - A 2-year-old male neutered cat presented for further investigation of
biliothorax. The cat was initially treated for pyothorax, including bilateral
chest drains for lavage of the pleural space. Five days later, the pleural
effusion turned clear-yellow and had a bilirubin concentration of 427 MUmol/l
compared to the serum bilirubin concentration of 15 MUmol/l. Exploratory surgery
revealed a 2mm tear in the diaphragm, with a corresponding 2mm defect in the
diaphragmatic surface of the gall bladder, creating a fistula between the gall
bladder and the pleural cavity. The defects were repaired routinely and the cat
made a full recovery. It was suspected that the tears had been created at the
time of the thoracostomy tube placement. Biliothorax has not been described
before in a cat, and appears to be a rare complication following thoracostomy
tube placement.
PMID- 21885313
TI - [Reliability of the Nursing Outcome "Knowledge: Health Resources" when used in
primary and hospital care].
AB - AIMS: To study the reliability (inter-observer agreement and internal
consistency) of the Spanish version of the Nursing Outcome "Knowledge: Health
Resources" and determine the differences in reliability when used by nurses in
two settings: community care and hospital care. METHOD: Reliability study. 12
experienced nurses were paired (community and hospital) to use this nursing
outcome questionnaire to assess health service users. Data were obtained by
direct interview with 60 patients. Statistical analysis was performed to obtain;
weighted kappa index, Intraclass Correlation Coefficient (ICC), and internal
consistency by Cronbach's alpha. RESULTS: The inter-observer agreement on the
"Knowledge: Health Resources" outcome in Spanish was measured by weighted Kappa
0.557 (95% CI=0.381-0.733) and Intraclass Correlation Coefficient of 0.561 (95%
CI=0.361-0.712). The indicators showed values ranging from kappa=0.268 I to
kappa=0.507. The internal consistency was high (Cronbach's alpha=0.854). There
were no statistically significant differences in reliability when used in
different settings: community care and hospital. CONCLUSIONS: The Spanish version
of this nursing outcome has a moderate reliability. A cultural adaptation of this
outcome should be made.
PMID- 21885315
TI - [Impact of the use of CPAP on the quality of life of patients with obstructive
sleep apnea].
PMID- 21885314
TI - [Randomised controlled clinical trial to determine the effects of the use of
birth balls during labour].
AB - OBJECTIVE: To determine the effects of using birth balls (BB) during the first
and second stage of labour, perineal integrity, perception of pain intensity, and
safety. METHOD: Randomised controlled trial. PARTICIPANTS: 18 to 35 years,
nulliparous, low-risk, at term. INTERVENTION: Performing movements sitting on a
BB during obstetric labour. MAIN OUTCOMES: Duration of first and second labour
stages; perineal integrity; pain perception during the postpartum period and pre
post intervention, type of delivery, cause of dystocia, Apgar, neonatal ICU
admission. ANALYSIS: Comparison of groups: Student-t for continuous variables and
chi-squared test for categorical ones. Significance if P <=.05. RESULTS: A total
of 58 patients (34 experimental and 24 controls) were included. Times of first
and second stage, and perineal integrity were similar between groups. At 4 cm.
the experimental group referred less pain than the control group, 6.9 points vs
8.2 (P=.039). Difference in the perception of pain in the immediate postpartum
period was 1.48 points higher in the control group (P=.003). The measurement of
pain in the experimental group before the use of the BB was of 7.45 points, and
after the intervention of 6.07 points (P<.001). In There were no differences
between groups as regards safety-related variables. CONCLUSION: The use of a
Birth Ball decreases obstetric labour pain perception and is safe.
PMID- 21885316
TI - CD4+ cell counts and HIV-RNA levels do not predict outcomes of community-acquired
pneumonia in hospitalized HIV-infected patients.
AB - BACKGROUND: Outcomes of community-acquired pneumonia (CAP) in relation to CD4+
cell counts have not been established. We examined the correlation of CD4+ cell
count and HIV-RNA level with the clinical outcomes of CAP in hospitalized HIV
infected patients. METHODS: This was a retrospective study of 127 adult
hospitalized patients with HIV infection enrolled with the CAP Organization
(CAPO), examining the time to clinical stability (TCS), length of hospital stay
(LOS), and all-cause mortality. RESULTS: Mortality data were available for 117
HIV-infected patients with CAP. Death within 28 days was reported in 28 patients.
The risk of mortality at 28 days was not significant when adjusted for CD4+ cell
count (p=0.123), HIV-RNA <400-1000 copies/ml (p=0.093), HIV-RNA >= 1000-10,000
copies/ml (p=0.543), and HIV-RNA >= 10,000-100,000 copies/ml (p=0.383). The
propensity-adjusted Cox proportional hazards regression models did not show any
statistically significant differences in LOS or TCS for CD4+ cell counts (p=0.590
and p=0.420, respectively) or HIV-RNA levels (p=0.470 and p=0.080, respectively).
Multivariable Cox proportional hazards models did not reveal any statistically
significant relationships between CD4+ cell counts or HIV-RNA levels with LOS or
TCS. CONCLUSIONS: Our study shows that clinical outcomes of HIV-infected patients
with CAP are not predicted by CD4+ cell counts or HIV-RNA levels after adjusting
for confounders. The management of CAP in patients with HIV infection should not
be based on CD4+ cell counts or HIV-RNA levels of the HIV infection.
PMID- 21885317
TI - [Induction chemotherapy for head and neck epidermoid carcinomas].
AB - The standard treatment for head and neck inoperable squamous cell carcinoma is an
association of radiotherapy and platinum. However, only one patient out of three
remains alive five years after diagnosis. The interest in induction chemotherapy
was renewed by the introduction of taxanes combined with cisplatinum and 5-fluoro
uracile. The triple association taxane-cisplatinum-5-fluoro-uracile yielded
improved survival when compared to cisplatinum-5-fluoro-uracile. Wider use of
taxane-cisplatinum-5-fluoro-uracile is limited by its toxicity and the lack of
randomized comparison with a concomitant chemoradiotherapy scheme including
optimal doses of platinum. Until the results of new phase III trials are
published, the choice between induction chemotherapy followed by concomitant
chemoradiotherapy or concomitant chemoradiotherapy alone has to be made on an
individualized basis, taking into account the patient's medical condition, the
ability of the medical team to deal with intensive treatment regimens, and the
clinical/pathological characteristics of the tumour.
PMID- 21885318
TI - [Targeted therapies and radiation therapy in non-small cell lung cancer].
AB - Lung cancer is the leading cause of cancer-related death. Between 80-85% of lung
cancers are non-small cell lung carcinomas. One third of the patients are
diagnosed with locally advanced stage. In this condition, concomitant radio
chemotherapy is the standard treatment for patients with good performance status.
Despite important improvements in the last years, non-small cell lung carcinoma
prognosis remains poor, with high rates of both local recurrences and metastases.
The heterogeneity of molecular characteristics of non-small cell lung carcinoma
cells and a better knowledge of potential targets offer promising developments
for new pharmacologic agents. Hereafter we will review the currently most studied
pathways and the most promising ones for the treatment of locally advanced
unresectable non-small cell lung carcinoma. Two of the most attractive pathways
where new agents have been developed and assessed in combination with thoracic
radiotherapy or radiochemotherapy are the EGFR pathway (either with the use of
monoclonal antibodies or tyrosine kinase inhibitors) and the angiogenesis
inhibition. The development of targeted agents could lead to individualized
therapeutic combinations taking into account the intrinsic characteristics of
tumor cells. Pharmacological modulation of tumour cells radiosensitivity by
targeted therapies is only starting, but yet offers promising perspectives.
PMID- 21885319
TI - [Chemotherapy and rectal cancer].
AB - Chemotherapy does not increase the survival time of patients treated for rectal
cancer. Chemotherapy given concomitantly to radiotherapy and combined before or
after radiation significantly reduces the risk of local recurrence. The
sterilization of the tumour (complete pathological response) by chemotherapy is a
favourable prognostic factor. New trials on optimisation of pathological complete
response rates are based on using drugs effective on metastatic colorectal
cancer, given prior to chemoradiotherapy and followed by a resection at least 8
weeks after the end of the radiotherapy. The level of evidence for postoperative
chemotherapy is low due to lack of specific study. The indication of
postoperative chemotherapy depends on the disease extent after preoperative
treatment.
PMID- 21885320
TI - [Radiation-related heart toxicity].
AB - The radiotherapy of thoracic cancers exposes the heart to late radiation-induced
complications. The physiopathological and clinical consequences of heart
irradiation have been mostly studied in patients with Hodgkin lymphoma and breast
cancer. The main cause of cardiac morbidity is radiation-induced coronaropathy
with a relative risk estimated between 2 and 3 in earlier studies. Preexisting
factors of cardiovascular risk, including chemotherapy, potentalize the
cardiotoxicity of radiotherapy. Conformational radiotherapy, adapting the
ballistics and the energy to the delineated volumes while carefully evaluating
the dose-volume distribution in the organs at risk, allowed a drastic reduction
in cardiac mortality. This toxicity no longer seems to be significant if the
cardiac volume has received less than 30 Gy. Nevertheless, the prolonged life
expectancy of cancer patients and the expanding use of new cardiotoxic anticancer
drugs underline the persistent need to further reduce the dose delivered to the
heart. Indeed, 1 Gy added to the mean heart dose would increase the cardiotoxic
risk by 4% (IC 95%: 2-6%, P=0.0002). A strengthened collaboration between the
radiation oncologist and the cardiologist aims at detecting and treating long
term complications after thoracic radiotherapy.
PMID- 21885321
TI - [Intensity modulated radiotherapy for intrathoracic cancers: a dangerous liaison?
Our experience in the treatment of Hodgkin lymphoma mediastinal masses].
AB - IMRT is a seducing treatment option in patients with Hodgkin lymphoma mediastinal
masses due to the complex form of the tumour masses and their proximity to organs
at risk such as the heart and the coronary arteries. This treatment delivery
technique remains risky owing to respiratory movements and heart beats. The
concomitant use of IMRT and respiratory gating is enticing, but a number of
theoretical and practical hurdles remain to be resolved before it can be used in
clinical daily practice.
PMID- 21885322
TI - Cardiac 123I-meta-iodobenzylguanidine scintigraphy in patients with amyotrophic
lateral sclerosis and parkinsonism-dementia complex of the Kii peninsula, Japan.
PMID- 21885323
TI - Selective internal migration. Does it explain Glasgow's worsening mortality
record?
AB - The mortality difference between Glasgow and the rest of Scotland has been
increasing and mortality rates are higher than Glasgow's excess deprivation would
suggest. One plausible explanation for this excess is selective migration. A
sample of 137,073 individuals aged 15 to 64 in 1991 from the Scottish
Longitudinal Study was used to test this explanation. Three geographic areas were
compared: Glasgow; Aberdeen, Dundee and Edinburgh cities combined and the rest of
Scotland. The impact of selective migration was assessed by calculating age and
sex standardised mortality rates for 2001/03 by residence in 2001 and by
residence in 1991. Glasgow experienced the greatest loss of population (-7.1%)
between 1991 and 2001 but this was not strongly related to deprivation. It had
the highest mortality at baseline and the difference between it and the other
areas increased over the ten years. This pattern was not significantly affected
by calculating death rates according to area of residence at 1991 or in 2001. Our
results suggest that the increasing difference in mortality rates between Glasgow
and the rest of Scotland over this period was probably not caused by selective
migration.
PMID- 21885324
TI - Income disparities in perceived neighborhood built and social environment
attributes.
AB - The present study explored whether perceived neighborhood environmental
attributes associated with physical activity differ by neighborhood income.
Adults aged 20-65 years (n=2199; 48% female; mean age=45 years; 26% ethnic
minority) were recruited from 32 neighborhoods from the Seattle, WA and
Baltimore, MD regions that varied in objectively measured walkability and
neighborhood income. Perceived built and social environment variables were
assessed with the Neighborhood Environment Walkability Scale. There were
neighborhood income disparities on 10 of 15 variables. Residents from high-income
neighborhoods reported more favorable esthetics, pedestrian/biking facilities,
safety from traffic, safety from crime, and access to recreation facilities than
residents of low-income areas (all p's <0.001). Low-income neighborhoods may lack
amenities and safety attributes that can facilitate high levels of physical
activity for both transportation and recreation purposes.
PMID- 21885325
TI - Non-contact meibography: keep it simple but effective.
AB - PURPOSE: Meibography is reported to be important in Meibomian Gland Dysfunction
(MGD) evaluation. Our purpose was to investigate the usefulness of a standard
infra-red video security camera in meibography. METHODS: Meibographs were taken
of the right lower lid of 17 subjects (female 10; age = 44.3 years +/-13.3 SD),
randomly selected from the patient pool of Horst Riede GmbH, Weinheim, Germany.
Meibomian glands (MG) were photographed by an near adapted infra-red video
security camera and extend of MG loss (MGL) was measured by digital image
analyzes. Lipid-layer and non-invasive break-up time (NIBUT) was measured by
tearscope, dry eye symptoms were evaluated by the Ocular Surface Disease Index
(OSDI). Correlations between MGL scores and ocular signs, tearfilm and symptoms
were analyzed by Pearsons, differences between gender by U-test. The ability of
MGL to predict dry eye symptoms was evaluated by area under the receiver
operative characteristic curve (AUC). RESULTS: MGL scores were significantly
correlated to lipid-layer pattern (r = -0.68, p = 0.001) NIBUT (-0.46, 0.032)
OSDI (0.89, 0.001) and age (0.61, 0.005). MGL was significantly larger in female
(p = 0.001). AUC of MGL was 95.8% (p = 0.001; sensitivity = 88.9%; specificity =
87.5%; threshold = 32.3%). CONCLUSIONS: MGL is a predictive test of dry eye
symptoms. The analyzed significant correlation between MGL and tearfilm and dry
eye symptoms indicates the usefulness of the non-contact IR meibograph (PNCM).
PMID- 21885326
TI - Correlation of central and peripheral corneal thickness in healthy corneas.
AB - PURPOSE: To study the thickness profile of the normal cornea in order to
establish any correlation between central and peripheral points. METHODS: Sixty
seven eyes of 40 patients were subjected to central corneal thickness measurement
(CCT) with an ultrasound pachymeter (UP) and corneal thickness mapping with the
Oculus Pentacam. The corneal apex thickness (CAT), pupil centre thickness
(recorded as CCT and corresponded to CCT of UP) and thickness at the thinnest
location (CTL) were obtained and compared with each other. Corneal thickness data
at 3 mm and 7 mm temporally, nasally, superiorly and inferiorly from the corneal
apex were obtained. The mean corneal thickness values along the 2, 4, 6, 8 and 10
mm diameter concentric circles, with the CTL as the centre, were also obtained.
The above data at different points were statistically correlated. RESULTS: There
was no significant difference between CCT readings measured by UP and Pentacam
(P=0.721). There was high positive correlation between the CAT values and the
thickness at 3 mm (R>=0.845, P<0.001) and at 7 mm points (R>=0.654, P<0.001). A
gradual increase in thickness was noted from the centre to the periphery with a
high positive correlation between the CTL values and the mean thickness at the
circles of 2, 4, 6, 8 and 10 mm (R>=0.635, P<0.001). CONCLUSION: The results
suggest that central corneal thickness can serve as a good guide for predicting
peripheral thickness. For surgical procedures specifically undertaken at mid
peripheral and peripheral zones, the actual measurements at the site of surgery
may confer some advantage.
PMID- 21885327
TI - A rare case of penetrating ocular injury secondary to a boa constrictor bite.
AB - Penetrating injuries from non-venomous snakebites are, thankfully, rare. They
are, however, sight-threatening and the recent trend for using non-venomous
snakes as props in the entertainment industry is increasing exposure of this
hazard to people with no animal handling training. We report a case of a
penetrating corneal injury in a 35 year old woman following a bite from a snake
she had been given to hold for a photograph opportunity. The injury was repaired
surgically and she had a good visual outcome, largely due to very good fortune in
the site and nature of the injury. This case highlights the danger of exposing
untrained handlers to snakes in the entertainment industry.
PMID- 21885328
TI - Co-morbidity and treatment outcomes of elderly pharyngeal cancer patients: a
matched control study.
AB - Treatment choice in elderly pharyngeal cancer patient is disputed. This study was
aimed to asses association of co-morbidity, complications and survival in
different treatment modalities of pharyngeal cancer patients. Retrospective
analysis of pharyngeal cancer patients, diagnosed between 1997 and 2007 in a
tertiary referral hospital was performed. Patients 75years and older (n=42), were
matched with two control patients 64years and younger (n=84). Co-morbidity (ACE
27), treatment related complications and survival data were assessed and
analyzed. Frequency of co-morbidity was similar in both age groups, although
discarding alcohol abuse resulted in higher incidence of co-morbidity in the
elderly group. Complication rate was not significantly different. In a
multivariate analysis only stage found to be a significant predictor of
complications. Survival estimates adjusted to sex, age and birth cohort revealed
co-morbidity to be a significant predictor for survival in elderly and young
patients. No evidence has been found to treat elderly pharyngeal cancer patients
differently than younger ones. Treatment related complications are not predicted
by co-morbidities in young and elderly patients; however survival is predicted by
comorbidity. Therefore thorough pre-treatment evaluation and care necessary in
the elderly population.
PMID- 21885329
TI - Parvovirus B19-associated purpuric-petechial eruption.
AB - BACKGROUND: Papular-purpuric gloves-and-socks syndrome, characterized by focal
acral purpuric eruptions with a symmetrical distribution, is a rare but
representative purpuric dermatosis closely associated with parvovirus B19 (PVB19)
infection. However, several atypical presentations such as involvement of other
sites and generalized involvement have been recently reported in PVB19 infected
patients. Such multifaceted features can cause considerable confusion when making
a diagnosis of purpuric eruption associated with PVB19. OBJECTIVES: Describe two
febrile patients with atypical presentation of papular-purpuric eruptions due to
PVB19 infection and discuss the distinctive features of purpuric-petechial
eruptions associated with PVB19 infection. STUDY DESIGN: Case reports and viral
diagnosis by serologic tests and real-time PCR for PVB19 DNA in the serum.
RESULTS: One presented with "asymmetrical gloves without socks" distribution of
papular purpuric eruptions accompanied by asymmetrical intertriginous
involvement, the other with generalized distribution characterized by prominent
intertriginous and truncal involvement. Both cases were followed by erythema
infectiosum. Paired serum antibody analysis and real-time PCR indicated the link
between the development of papular purpuric eruption and the viremic phase of
primary PVB19 infection. CONCLUSIONS: PVB19 infection should be considered in any
patient presenting with a petechial or purpuric eruption of unclear origin, and
not solely for PPGSS type presentations. Therefore, we propose a simple name
"PVB19-associated purpuric-petechial eruption" to describe polymorphous purpuric
petechial eruptions due to PVB19 infection, coinciding with the viremic phase of
primary infection and infectivity, characterized by a self-limiting course with a
benign prognosis and common histological findings.
PMID- 21885330
TI - Molecular characterization and tissue distribution of ferritin M in kelp grouper,
Epinephelus bruneus.
AB - This study, reports the identification and analysis of ferritin M chain, from
kelp grouper, Epinephelus bruneus (EbFerM); it comprises 1004 base pair (bp),
including 528bp open reading frame (ORF) which encodes 176 amino acid (aa)
residues; the calculated molecular weight is 20kDa. The 5'-untranslated region
(UTR) possesses 476bp proceeded by a putative Iron Regulatory Element (IRE). Pair
wise alignments showed that EbFerM shared 94% identity with that of Larimichthys
crocea and Sciaenops. It is expressed in abundance in liver, spleen, and kidney
when challenged with Vibrio anguillarum, lipopolysaccharide (LPS), or poly I:C.
PMID- 21885331
TI - Narcolepsy and traumatic brain injury: cause or consequence?
PMID- 21885332
TI - What's next after the exciting discovery and reassuring replications of genome
wide association studies of restless legs syndrome?
PMID- 21885333
TI - Health effects of disinfection by-products in chlorinated swimming pools.
AB - Increased attendance at swimming pools is correlated with higher input of organic
and minerals pollutants introduced by swimmers in the swimming pool water. In
most swimming pools, microbiological control is performed by disinfection with
the addition of chlorine. Chlorine is now well-known to lead to the formation of
many disinfection by-products (DBPs) including trihalomethanes and chloramines.
The hypothesis of a link between the presence of eye and skin irritation
syndromes in swimmers and contact with swimming pool water treated with chlorine
was initially proposed by Mood (1953). During recent decades many epidemiological
studies have described the importance of DBPs generated with natural or imported
organic matter present in water. Many of these DBPs are suspected to be toxic or
even carcinogenic. Trihalomethanes and haloacetic acid families are the most
studied but others DBPs, like chloral hydrate, haloacetonitriles, N
nitrosodimethylamine and the bromate ion, are emerging compounds of interest.
Epidemiological data about the risk of cancer are still controversial. However,
numerous publications highlight a toxic risk especially the risk of allergy and
respiratory symptoms for babies and elite swimmers. The few publications
dedicated to risk assessment do not suggest increased risk, other than for elite
swimmers. These publications are likely to underestimate the risk associated with
DBPs because of the lack of data in the literature precludes the calculation of
risk associated with certain compounds or certain pathways. Thus for regulations,
the need to take into account the risks associated with disinfection by-products
is now important without forgetting the need of the control of microbiological
hazards in swimming pools.
PMID- 21885334
TI - Drug residues and endocrine disruptors in drinking water: risk for humans?
AB - The presence of pharmaceuticals and endocrine disruptors in the environment
raises many questions about risk to the environment and human health.
Environmental exposure has been largely studied, providing to date a realistic
picture of the degree of contamination of the environment by pharmaceuticals and
hormones. Conversely, little information is available regarding human exposure.
NSAIDS, carbamazepine, iodinated contrast media, beta-blockers, antibiotics have
been detected in drinking water, mostly in the range of ng/L. it is questioned if
such concentrations may affect human health. Currently, no consensus among the
scientific community exists on what risk, if any, pharmaceuticals and endocrine
disruptors pose to human health. Future European research will focus, on one
hand, on genotoxic and cytotoxic anti-cancer drugs and, on the other hand, on the
induction of genetic resistance by antibiotics. This review does not aim to give
a comprehensive overview of human health risk of drug residues and endocrine
disruptors in drinking water but rather highlight important topics of discussion.
PMID- 21885335
TI - Emerging pollutants in wastewater: a review of the literature.
AB - For 20 years, many articles report the presence of new compounds, called
"emerging compounds", in wastewater and aquatic environments. The US EPA (United
States - Environmental Protection Agency) defines emerging pollutants as new
chemicals without regulatory status and which impact on environment and human
health are poorly understood. The objective of this work was to identify data on
emerging pollutants concentrations in wastewater, in influent and effluent from
wastewater treatment plants (WWTPs) and to determine the performance of sewage
disposal. We collected 44 publications in our database. We sought especially for
data on phthalates, Bisphenol A and pharmaceuticals (including drugs for human
health and disinfectants). We gathered concentration data and chose 50
pharmaceutical molecules, six phthalates and Bisphenol A. The concentrations
measured in the influent ranged from 0.007 to 56.63 MUg per liter and the removal
rates ranges from 0% (contrast media) to 97% (psychostimulant). Caffeine is the
molecule whose concentration in influent was highest among the molecules
investigated (in means 56.63 MUg per liter) with a removal rate around 97%,
leading to a concentration in the effluent that did not exceed 1.77 MUg per
liter. The concentrations of ofloxacin were the lowest and varied between 0.007
and 2.275 MUg per liter in the influent treatment plant and 0.007 and 0.816 MUg
per liter in the effluent. Among phthalates, DEHP is the most widely used, and
quantified by the authors in wastewater, and the rate of removal of phthalates is
greater than 90% for most of the studied compounds. The removal rate for
antibiotics is about 50% and 71% for Bisphenol A. Analgesics, anti inflammatories
and beta-blockers are the most resistant to treatment (30-40% of removal rate).
Some pharmaceutical molecules for which we have not collected many data and which
concentrations seem high as Tetracycline, Codeine and contrast products deserve
further research.
PMID- 21885336
TI - Impact of Warden's procedure on the sinus rhythm: our experience.
AB - OBJECTIVES: Our experience with the use of Warden's procedure for the repair of
sinus venosus ASD with anomalous right upper pulmonary venous connection. METHOD:
Fifty-eight patients had Warden's procedure from September 2008 to May 2011. The
demographic data, preoperative and postoperative ECG, aortic cross-clamp time,
cardiopulmonary bypass time, Holter monitoring, complications length of ICU and
hospital stay were analysed. RESULT: The male to female ratio was 1:1. The median
age was 10.9 years (range 2-48 years). Preoperatively all patients were in sinus
rhythm. Twenty-six patients had associated left superior vena cava and two
patients had also Tucker's procedure at the same time. The mean follow up was 1.2
years (range 1 months-2.8 years). Postoperative Holter monitoring showed sinus
rhythm in all the patients. The mean CPB time was 83.6 min (range 54-163 min),
mean aortic cross-clamp time was 48.0 min (range 22-112 min), mean ICU stay was
1.26 days (range 1-4 days) and length of hospital was 8.3 days (range 5-13 days)
Postoperative echocardiography showed less than 1 mm Hg gradient across the SVC
RA appendage anastomotic site in all the patients. No mortality was recorded.
CONCLUSION: This is the largest reported series of Warden's procedure in the
literature till date. It is known from other studies that sinus node dysfunction
and conversion to junctional nodal rhythm were quite a concern with the
conventional techniques and Warden's procedure specifically avoids these
problems.
PMID- 21885337
TI - Stroke, aortic vegetations and disseminated adenocarcinoma--a case of marantic
endocarditis.
AB - Herein we describe a case of marantic endocarditis (non-bacterial thrombotic
endocarditis) that presented with coronary, cerebral and peripheral emboli,
fevers and malaise, and negative blood cultures. The 'kissing lesions' on all
three leaflets of the aortic valve were bulky and friable. After aortic valve
surgery, an acute abdomen prompted laparoscopy which demonstrated disseminated
adenocarcinoma. We discuss the clinical presentation of this rare condition and
the importance of considering marantic endocarditis secondary to malignancy as a
differential diagnosis for culture negative endocarditis.
PMID- 21885338
TI - Guidelines for the diagnosis and management of arrhythmogenic right ventricular
cardiomyopathy.
AB - BACKGROUND: Arrhythmogenic right ventricular cardiomyopathy (ARVC) is an uncommon
inherited myocardial disorder characterised by fibro-fatty inflammation affecting
the right and left ventricles. It most commonly presents with palpitations or
syncope but sudden death may occur, especially in young males. METHODS: Diagnosis
is not possible with a single test and may be difficult. Task Force criteria
agreed in 1994 comprise major and minor criteria spanning structural
abnormalities, ECG appearances, arrhythmias, family history of premature death
and myocardial histology. Modified criteria were introduced in 2010 to improve
sensitivity. RESULTS: Arrhythmogenic right ventricular cardiomyopathy is a
desmosomal disease. Mutations have been detected in five desmosomal genes, most
frequently in plakophilin-2 (PKP2) and multiple mutations are also reported.
Antiarrhythmic drugs such as sotalol and amiodarone may improve symptoms but are
unproven to increase survival. An implantable defibrillator is appropriate in
individuals surviving cardiac arrest or sustained ventricular tachycardia, but
there is not yet consensus about prophylactic treatment of Task Force positive
but asymptomatic individuals. CONCLUSIONS: Arrhythmogenic right ventricular
cardiomyopathy is more common than previously believed. Preliminary evidence
supports improved sensitivity without loss of specificity using the revised Task
Force criteria. The genetics of the disease are complex but should ultimately
advance diagnosis and management.
PMID- 21885339
TI - Cardiac genetic investigation of young sudden unexplained death and resuscitated
out of hospital cardiac arrest.
AB - Nearly 30% of young sudden deaths have negative autopsies and these sudden
unexplained deaths (SUDs) are presumed to be due to heritable cardiac arrhythmias
attributed to cardiac ion channel disorders. Comprehensive cardiac and genetic
testing of families of SUD is helpful in the detection of inherited cardiac
genetic conditions. It frequently provides a clue to the cause of death in SUD
victims and allows early diagnosis and opportunities to prevent SUD in other
family members. Out of Hospital Cardiac Arrest (OHCA) victims and their families
also require similar assessment, although the role of genetic testing in this
group should be reserved to patients where a clinical diagnosis is established. A
team approach with multidisciplinary specialised clinics and increased access to
genetic analysis is very helpful in achieving these goals.
PMID- 21885340
TI - Guidelines for the diagnosis and management of familial dilated cardiomyopathy.
AB - Dilated cardiomyopathy (DCM) is a myocardial disorder that is a major cause of
heart failure and death. Recent data indicate that genetic factors are important
in the pathogenesis of DCM and may account for at least one-third of cases of
"idiopathic" DCM. Apart from a positive family history, there are no specific
clinical manifestations that reliably distinguish familial from non-familial DCM,
and phenotypic features may vary between families and within members of a single
family. Clinical screening with ECG and echocardiography of all first-degree
relatives of index cases with "idiopathic" DCM is strongly recommended to
identify familial disease and to determine the number of affected individuals
within families. Molecular genetics studies have shown that familial DCM is a
genetically-heterogeneous disorder with nearly 40 chromosomal loci and disease
genes identified to date. Mutations in the known disease genes occur relatively
infrequently however. Although commercial genetic testing for selected disease
genes is available, the cost and low yield have limited its widespread use. The
development of next-generation sequencing technologies promises to expedite the
discovery of new DCM disease genes and help to take genetic testing from the
research laboratory into routine clinical practice. Affected individuals should
receive standard pharmacological therapy according to the severity of symptoms
and signs of heart failure. Asymptomatic family members should undergo periodic
echocardiographic screening to detect early signs of disease. The optimal
management of asymptomatic individuals with suspected early disease is not yet
established.
PMID- 21885341
TI - Breakthroughs in the genetics of orofacial clefting.
AB - Nonsyndromic orofacial clefts have a multifactorial etiology, involving both
genetic and environmental factors. Although linkage and candidate gene studies
have attempted to elucidate the underlying genetic architecture, only the
interferon regulatory factor 6 (IRF6) gene has been identified as causative. The
recent introduction of high-throughput genotyping technologies has enabled
researchers to perform genome-wide association studies (GWAS). Four GWAS of
nonsyndromic cleft lip with or without cleft palate have been conducted, and
these have identified five new chromosomal loci. One locus, located in an
intergenic region of chromosome 8q24, has been implicated in all GWAS and
constitutes a major susceptibility locus. This review describes the latest
genetic findings for nonsyndromic orofacial clefts and discusses their biological
and functional implications.
PMID- 21885342
TI - Epigenetic mechanisms in systemic lupus erythematosus and other autoimmune
diseases.
AB - The pathogenic origin of autoimmune diseases can be traced to both genetic
susceptibility and epigenetic modifications arising from exposure to the
environment. Epigenetic modifications influence gene expression and alter
cellular functions without modifying the genomic sequence. CpG-DNA methylation,
histone tail modifications and microRNAs (miRNAs) are the main epigenetic
mechanisms of gene regulation. Understanding the molecular mechanisms that are
involved in the pathophysiology of autoimmune diseases is essential for the
introduction of effective, target-directed and tolerated therapies. In this
review, we summarize recent findings that signify the importance of epigenetic
modifications in autoimmune disorders while focusing on systemic lupus
erythematosus. We also discuss future directions in basic research, autoimmune
diagnostics and applied therapy.
PMID- 21885343
TI - An eye on RNAi in nematode parasites.
AB - RNA interference (RNAi) has revolutionised approaches to gene function
determination. From a parasitology perspective, gene function studies have the
added dimension of providing validation data, increasingly deemed essential to
the initial phases of drug target selection, pre-screen development. Notionally
advantageous to those working on nematode parasites is the fact that
Caenorhabditis elegans research spawned RNAi discovery and continues to seed our
understanding of its fundamentals. Unfortunately, RNAi data for nematode
parasites illustrate variable and inconsistent susceptibilities which undermine
confidence and exploitation. Now well-ensconced in an era of nematode parasite
genomics, we can begin to unscramble this variation.
PMID- 21885344
TI - Effect of body mass index on IVF treatment outcome: an updated systematic review
and meta-analysis.
AB - There is conflicting evidence regarding the effect of raised body mass index
(BMI) on the outcome of assisted reproductive technology. In particular, there is
insufficient evidence to describe the effect of BMI on live birth rates. We
carried out a systematic review and meta-analysis of studies to evaluate the
effect of raised BMI on treatment outcome following IVF/ICSI treatment. Subgroup
analysis on overweight and obese patients was performed. Literature searches were
conducted on MEDLINE, EMBASE and the Web of Science from 1966 to 2010. Thirty
three studies including 47,967 treatment cycles were included. Results indicated
that women who were overweight or obese (BMI >= 25) had significantly lower
clinical pregnancy (RR=0.90, P<0.0001) and live birth rates (RR=0.84, P=0.0002)
and significantly higher miscarriage rate (RR=1.31, P < 0.0001) compared to women
with a BMI < 25 following treatment. A subgroup analysis of overweight women (BMI
>= 25-29.9) revealed lower clinical pregnancy (RR=0.91, P=0.0003) and live birth
rates (RR=0.91, P=0.01) and higher miscarriage rate (RR=1.24, P < 0.00001)
compared to women with normal weight (BMI < 25). In conclusion, raised BMI is
associated with adverse pregnancy outcome in women undergoing IVF/ICSI treatment,
including lower live birth rates. This effect is present in overweight as well as
obese women.
PMID- 21885345
TI - Thrombotic microangiopathy.
AB - Thrombotic microangiopathy (TMA) is a histopathological feature of various
diseases including thrombotic thrombocytopenic purpura (TTP) and hemolytic uremic
syndrome (HUS). There are many secondary causes of TMA, many of them could mimic
TTP or HUS. This article presents a short overview on TMA. In conclusion TMA is
the result of various etiology reasons and pathologic reactions with various
clinical entities. It is important to focus on a thorough history including
family history when deciding on a diagnosis. Analysis of ADAMTS 13 and ADAMTS 13
antibodies may help to decide continued therapy.
PMID- 21885346
TI - Rare and common LRRK2 exonic variants in Parkinson's disease.
PMID- 21885349
TI - Mesial temporal seizures may enhance complex reaction time test performance.
AB - OBJECTIVE: Probing of cognitive functions during seizures is an underdeveloped
area of clinical epileptology. Transient cognitive impairment occurs sometime
after electrographic onset in certain localization-related epilepsies, but
precisely when and what alterations ensue are unknown. Answers to these questions
were sought in the context of assessment of feasibility of automated seizure
warning. METHODS: Subjects undergoing evaluation for invasive epilepsy surgery
were administered a complex reaction time test triggered automatically by the
detection of seizures. Performance during seizures was compared during randomly
chosen interictal periods, using the Kolmogorov-Smirnov test. RESULTS:
Statistically significant improvement in the performance of certain test metrics
was observed in 3 of 14 subjects during seizures of mesial temporal lobe origin.
CONCLUSION: Based on these data, it is plausible that activation of central
noradrenergic and dopaminergic networks by ictal activity originating from mesial
temporal structures may, under particular conditions, optimize certain complex
reaction time responses. If reproduced, these findings would a force a revision
of the binary tenet that seizures either degrade or spare cognitive functions and
open unsuspected research vistas.
PMID- 21885350
TI - To evacuate or shelter in place: implications of universal hurricane evacuation
policies on nursing home residents.
AB - OBJECTIVE: To examine the differential morbidity/mortality associated with
evacuation versus sheltering in place for nursing home (NH) residents exposed to
the 4 most recent Gulf hurricanes. METHODS: Observational study using Medicare
claims and NH data sources. We compared the differential mortality/morbidity for
long-stay residents exposed to 4 recent hurricanes (Katrina, Rita, Gustav, and
Ike) relative to those residing at the same NHs over the same time periods during
the prior 2 nonhurricane years as a control. Using an instrumental variable
analysis, we then evaluated the independent effect of evacuation on outcomes at
90 days. RESULTS: Among 36,389 NH residents exposed to a storm, the 30- and 90
day mortality/hospitalization rates increased compared with nonhurricane control
years. There were a cumulative total of 277 extra deaths and 872 extra
hospitalizations at 30 days. At 90 days, 579 extra deaths and 544 extra
hospitalizations were observed. Using the instrumental variable analysis,
evacuation increased the probability of death at 90 days from 2.7% to 5.3% and
hospitalization by 1.8% to 8.3%, independent of other factors. CONCLUSION: Among
residents exposed to hurricanes, evacuation significantly exacerbated subsequent
morbidity/mortality.
PMID- 21885351
TI - Interactions of visual and cognitive stress.
AB - BACKGROUND: The objective of this research is to assess the ocular and muscular
response to long-duration reading under different visual and cognitive difficulty
levels. METHODS: Thirty-five subjects, with 20/20 vision and without history of
ocular pathology or cognitive deficits, participated in the study. Subjects read
under different visual and cognitive difficulty levels for 6 (30-minute)
conditions. Upper and lower orbicularis oculi, frontalis, and trapezius muscle
activities were recorded using surface electromyography (EMG). Aperture size,
pupil diameter, and pulse rate of the subjects were recorded with a video camera,
pulse meter, and ISCAN eye tracker (ISCAN Inc.), respectively. RESULTS: The
results show that the texts read with a refractive error caused increased
orbicularis oculi EMG power and reduced aperture size (P < 0.001). There was no
statistically significant difference between the conditions for pulse rate, pupil
diameter, or EMG activity of the frontalis and trapezius muscles with either
visual or cognitive stress presented in this experiment. CONCLUSION: Visual
stress experienced due to reading under an induced refractive error is
potentially mediated by a local mechanism, different from the mechanism
underlying reading under low contrast or high cognitive demand.
PMID- 21885352
TI - Outlook for development of high-throughput cryopreservation for small-bodied
biomedical model fishes.
AB - With the development of genomic research technologies, comparative genome studies
among vertebrate species are becoming commonplace for human biomedical research.
Fish offer unlimited versatility for biomedical research. Extensive studies are
done using these fish models, yielding tens of thousands of specific strains and
lines, and the number is increasing every day. Thus, high-throughput sperm
cryopreservation is urgently needed to preserve these genetic resources. Although
high-throughput processing has been widely applied for sperm cryopreservation in
livestock for decades, application in biomedical model fishes is still in the
concept-development stage because of the limited sample volumes and the
biological characteristics of fish sperm. High-throughput processing in livestock
was developed based on advances made in the laboratory and was scaled up for
increased processing speed, capability for mass production, and uniformity and
quality assurance. Cryopreserved germplasm combined with high-throughput
processing constitutes an independent industry encompassing animal breeding,
preservation of genetic diversity, and medical research. Currently, there is no
specifically engineered system available for high-throughput of cryopreserved
germplasm for aquatic species. This review is to discuss the concepts and needs
for high-throughput technology for model fishes, propose approaches for technical
development, and overview future directions of this approach.
PMID- 21885353
TI - A size barrier limits protein diffusion at the cell surface to generate lipid
rich myelin-membrane sheets.
AB - The insulating layers of myelin membrane wrapped around axons by oligodendrocytes
are essential for the rapid conduction of nerve impulses in the central nervous
system. To fulfill this function as an electrical insulator, myelin requires a
unique lipid and protein composition. Here we show that oligodendrocytes employ a
barrier that functions as a physical filter to generate the lipid-rich myelin
membrane sheets. Myelin basic protein (MBP) forms this molecular sieve and
restricts the diffusion of proteins with large cytoplasmic domains into myelin.
The barrier is generated from MBP molecules that line the entire sheet and is,
thus, intimately intertwined with the biogenesis of the polarized cell surface.
This system might have evolved in oligodendrocytes in order to generate an
anisotropic membrane organization that facilitates the assembly of highly
insulating lipid-rich membranes.
PMID- 21885347
TI - Association of LRRK2 exonic variants with susceptibility to Parkinson's disease:
a case-control study.
AB - BACKGROUND: Background The leucine-rich repeat kinase 2 gene (LRRK2) harbours
highly penetrant mutations that are linked to familial parkinsonism. However, the
extent of its polymorphic variability in relation to risk of Parkinson's disease
(PD) has not been assessed systematically. We therefore assessed the frequency of
LRRK2 exonic variants in individuals with and without PD, to investigate the role
of the variants in PD susceptibility. METHODS: LRRK2 was genotyped in patients
with PD and controls from three series (white, Asian, and Arab-Berber) from sites
participating in the Genetic Epidemiology of Parkinson's Disease Consortium.
Genotyping was done for exonic variants of LRRK2 that were identified through
searches of literature and the personal communications of consortium members.
Associations with PD were assessed by use of logistic regression models. For
variants that had a minor allele frequency of 0.5% or greater, single variant
associations were assessed, whereas for rarer variants information was collapsed
across variants. FINDINGS: 121 exonic LRRK2 variants were assessed in 15 540
individuals: 6995 white patients with PD and 5595 controls, 1376 Asian patients
and 962 controls, and 240 Arab-Berber patients and 372 controls. After exclusion
of carriers of known pathogenic mutations, new independent risk associations were
identified for polymorphic variants in white individuals (M1646T, odds ratio
1.43, 95% CI 1.15-1.78; p=0.0012) and Asian individuals (A419V, 2.27, 1.35-3.83;
p=0.0011). A protective haplotype (N551K-R1398H-K1423K) was noted at a frequency
greater than 5% in the white and Asian series, with a similar finding in the Arab
Berber series (combined odds ratio 0.82, 0.72-0.94; p=0.0043). Of the two
previously reported Asian risk variants, G2385R was associated with disease
(1.73, 1.20-2.49; p=0.0026), but no association was noted for R1628P (0.62, 0.36
1.07; p=0.087). In the Arab-Berber series, Y2189C showed potential evidence of
risk association with PD (4.48, 1.33-15.09; p=0.012). INTERPRETATION: The results
for LRRK2 show that several rare and common genetic variants in the same gene can
have independent effects on disease risk. LRRK2, and the pathway in which it
functions, is important in the cause and pathogenesis of PD in a greater
proportion of patients with this disease than previously believed. These results
will help discriminate those patients who will benefit most from therapies
targeted at LRRK2 pathogenic activity. FUNDING: Michael J Fox Foundation and
National Institutes of Health.
PMID- 21885354
TI - The response of mammalian cells to UV-light reveals Rad54-dependent and
independent pathways of homologous recombination.
AB - Ultraviolet (UV) radiation-induced DNA lesions can be efficiently repaired by
nucleotide excision repair (NER). However, NER is less effective during
replication of UV-damaged chromosomes. In contrast, translesion DNA synthesis
(TLS) and homologous recombination (HR) are capable of dealing with lesions in
replicating DNA. The core HR protein in mammalian cells is the strand exchange
protein RAD51, which is aided by numerous proteins, including RAD54. We used
RAD54 as a cellular marker for HR to study the response of mammalian embryonic
stem (ES) cells to UV irradiation. In contrast to yeast, ES cells lacking RAD54
are not UV sensitive. Here we show that the requirement for mammalian RAD54 is
masked by active NER. By genetically inactivating NER and HR through disruption
of the Xpa and Rad54 genes, respectively, we demonstrate the contribution of HR
to chromosomal integrity upon UV irradiation. We demonstrate using chromosome
fiber analysis at the individual replication fork level, that HR activity is
important for the restart of DNA replication after induction of DNA damage by UV
light in NER-deficient cells. Furthermore, our data reveal RAD54-dependent and
independent contributions of HR to the cellular sensitivity to UV-light, and they
uncover that RAD54 can compensate for the loss of TLS polymerase eta with regard
to UV-light sensitivity. In conclusion, we show that HR is important for the
progression of UV-stalled replication forks in ES cells, and that protection of
the fork is an interplay between HR and TLS.
PMID- 21885355
TI - Increased hepatitis C viral load and reactivation of liver disease in HCV RNA
positive patients with onco-haematological disease undergoing chemotherapy.
AB - AIMS: To evaluate changes in Hepatitis C Virus (HCV) RNA both in plasma and
Peripheral Blood Mononuclear Cells (PBMC) in onco-haematological patients.
PATIENTS AND METHODS: 8 consecutive anti-HCV/HCV RNA-positive patients with onco
haematological diseases (5 with B-cell Non-Hodgkin Lymphoma and 3 with chronic
lymphocytic leukaemia) were observed during chemotherapy and after its
discontinuation. All were naive to chemotherapy. HCV RNA was sought by Real Time
Polymerase Chain Reaction in Light Cycler 1.5 in plasma and PBMC samples
collected before, during and after chemotherapy. RESULTS: An increase in HCV RNA
of at least 1.5 log IU/mL in plasma and 1.1 log IU/ml in PBMC was observed in all
7 patients undergoing Rituximab-based chemotherapy; these patients showed a
hepatic flare after discontinuation, life-threatening in one with cirrhosis. Also
the 8th patient had cirrhosis, but was treated with Rituximab-sparing
chemotherapy and did not show any increase in HCV RNA or a hepatic flare.
CONCLUSION: Rituximab-based chemotherapy favours an increase in HCV RNA in onco
haematological patients; this is followed by a hepatic flare, possibly immune
mediated and life threatening in cirrhotic patients.
PMID- 21885356
TI - Shift in chicken intestinal gene association networks after infection with
Salmonella.
AB - A primary infection of Salmonella enteritidis causes a spatial-temporal dependent
change in the gene expression patterns in the intestine of chickens (Gallus
gallus). This is the result of a dynamic intestinal response to adapt to the
altered environment and to optimize its 'health' and functionality under the new
circumstances. By inferring gene association networks (GANs), the complexities of
and changes in biological networks can be uncovered. Within such GANs highly
interacting (hub) genes can be identified, which are supposed to be high-level
regulators connected to multiple processes. By exploring the intestinal
expression of genes differing between control and Salmonella infected chicken in
a time-dependent manner differences in GANs were found. In control chickens more
developmental processes were observed, whereas in infected chickens relatively
more processes were associated to 'defense/pathogen response'. Moreover the
conserved protein domains of the identified hub genes in controls were nuclear
associated, whereas hub genes in infected chickens were involved in 'cellular
communication'. The shift in topology and functionality of the intestinal GANs in
control and Salmonella infected animals and the identification of GAN-specific
hubs is a first step to understand the complexity of biological networks and
processes regulating intestinal health and functionality under normal and
disturbed conditions.
PMID- 21885357
TI - Responses of threespine stickleback (Gasterosteus aculeatus, L) transcriptome to
hypoxia.
AB - Hypoxia is a naturally occurring phenomenon in aquatic systems. Its occurrence is
potentiated by eutrophication caused by human actions and it may be made even
more severe as a result of increasing temperatures due to climate change.
Threespine stickleback (Gasterosteus aculeatus) has previously been used by
ecologists and evolutionary biologists, but has great potential also for
physiological studies. We subjected threespine sticklebacks to hypoxia (air
saturation 24-28%) or normoxia for 3 and 48 h. To study changes in the
transcriptome, microarray determinations were carried out for the 48 h treatments
and complementary real-time quantitative PCR was run on selected transcripts at
both time points. The microarray results suggest downregulation of genes encoding
proteins with functions typically inhibited by hypoxia, i.e., cell proliferation,
DNA replication and repair, and protein degradation, and upregulation of
transcripts with products having oxygenase and oxidase activities including two 2
oxoglutarate-deoxygenases. These transcripts encode for JmjC domain containing
proteins JMJD6 and JMJD2C. JMJD6 transcription has not earlier been characterized
to change in hypoxia. Cyp1A2 mRNA was also increased in the microarray and the
upregulation could be confirmed on protein level by measuring ethoxyresorufin-O
deethlyase (EROD)-activity.
PMID- 21885358
TI - Histological evolution of chronic wounds under negative pressure therapy.
AB - BACKGROUND: Negative pressure wound therapy (NPT) has achieved widespread success
in the treatment of difficult wounds. However, its effects are but partially
explored, and investigations mostly concentrated at the wound-dressing interface;
a detailed histological description of the evolution of wounds under NPT is still
lacking. MATERIALS AND METHODS: Subsequent punch biopsies of NPT-treated chronic
wounds of human patients were analysed. Phenomena occurring in wounds were
quantified by analysis of proliferating cells nuclear antigen (PCNA)
(proliferating nuclei), CD31 (blood vessels), CD68p (macrophages) and CD45
(lymphocytes) stained slides. RESULTS: Three layers were identified in day-0
wounds. Over time, under NPT, the layers behaved differently: the most
superficial (1.5 mm) developed granulation tissue, constant in thickness, with
high proliferation index, increased in blood vessels density and developed acute
inflammation. Instead, the two deeper layers decreased in proliferation rate,
maintained vessels density unchanged, were cleared of chronic inflammation and
oedema and underwent progression towards stable tissue. DISCUSSION: Indeed, while
most research has focused on induction of superficial granulation tissue by NPT,
deeper layers appear to be also affected, with relieving of chronic inflammation
and tissue stabilisation. This may be an important and under-appreciated effect,
playing a role in the known positive outcomes of NPT, such as better graft-taking
rates.
PMID- 21885359
TI - Clinical outcome in a series of 173 cases of foreign body granuloma: improved
outcomes with a novel surgical technique.
AB - BACKGROUND: Soft-tissue filler injections have become popular, and injections of
even illegal materials are widespread. Complications such as foreign body
granuloma often occur in such cases, and appropriate treatment is mandatory but
no optimal treatment has been established. METHODS: We treated 173 patients who
underwent surgical excision of foreign body granulomas via direct approach to the
lesion (n = 121) or injection therapies (n = 52) at Kangbuk Samsung Hospital over
a period of 7 years from April 2004 to February 2011. A retrospective chart
review found that 104 patients had a history of treatment failure at other
hospitals. Among these 104 patients, 83 had a history of prior injection therapy
and 21 patients had a history of prior surgical therapy. Patient satisfaction was
evaluated at our hospital on a scale of 1-5 using an in-house questionnaire.
Comparisons between patients receiving injection therapy and patients receiving
surgical therapy were made using the Mann-Whitney test. RESULTS: Patients who
underwent surgical therapy via direct approach to the lesion reported
statistically higher satisfaction scores 4(3-4) than those who underwent
injection therapy 3(2-3) (p < 0.001). CONCLUSIONS: Our novel surgical technique
results in better outcomes and patient satisfaction in cases of severe foreign
body granuloma.
PMID- 21885360
TI - Craniofacial repercussions in maxillary lateral incisors agenesis.
AB - OBJECTIVES: Assessment of the influence of maxillary lateral incisor agenesis
(MLIA) on the facial morphogenesis. METHODS: Evaluation of the skeletal
dimensions of the upper maxilla and its incisor region. Analysis was performed
among three groups: individuals with MLIA, its relatives and the normal
population. Among these a comparison between adults and growing individuals was
performed. RESULTS: MLIA may interfere with the maxillary length and the
anterosuperior facial height, negatively conditioning on its potential growth.
MLIA was not correlated with changes of the palatine plane inclination, maxillary
height nor with adjustment of the anterior nasal spine dimensions related to the
Frankfurt plan or to the posterior nasal spine-Frankfurt plan during the observed
development stage; the backward angle of the incisors in children with bilateral
agenesis of lateral incisors appear to have been corrected in adulthood.
CONCLUSIONS: MLIA is associated with an upper maxilla shortening, and appear to
interfere significantly with the anterior facial height, reducing its potential
size.
PMID- 21885362
TI - Automatable full demineralization DNA extraction procedure from degraded skeletal
remains.
AB - During the 7 year period from 2002 to 2009 a high volume, silica-binding DNA
extraction protocol for bone, based on modified QIAGEN's Blood Maxi Kit protocol
was highly successful permitting the DNA matching of >14,500 missing persons from
former Yugoslavia. This method, however, requires large amount of bone material
and large volumes of reagents. The logical evolution was to develop a more
efficient extraction protocol for bone samples that uses significantly less
starting material while increasing the success in obtaining DNA results from
smaller, more challenging samples. In this study we compared the performance of
ICMP's original protocol against an automatable full demineralization approach.
In order to provide reliable results and to simulate a wide variety of cases, we
analyzed 40 bone samples in a comparative study based on DNA concentrations and
quality of resulting STR profiles. The new protocol results in the dissolution of
the entire bone powder sample, thus eliminating the possibility that DNA is left
behind, locked in remaining solid bone matrix. For the majority of samples
tested, the DNA concentrations obtained from half a gram of fully digested bone
material were equivalent to or greater than the ones obtained from 2g of
partially demineralized bone powder. Furthermore, the full demineralization
process significantly increases the proportion of full profiles reflecting the
correlation with better DNA quality. This method has been adapted for the QIAcube
robotic platform. The performance of this automated full demineralization
protocol is similar to the manual version and increases overall lab throughput.
It also simplifies the process by eliminating quality control procedures that are
advisable in manual procedures, and overall reduces the chance of human error.
Finally we described a simple and efficient post-extraction clean-up method that
can be applied to DNA extracts obtained from different protocols. This protocol
has also been adjusted for the QIAcube platform.
PMID- 21885363
TI - Acute appendicitis and ectopic pregnancy? Which came first?
PMID- 21885364
TI - Soave procedure.
PMID- 21885365
TI - Surgical management of sporadic medullary thyroid cancer.
AB - Inherited and sporadic medullary thyroid cancer (MTC) is a rare carcinoma.
Sporadic MTCs represent 70% of cases. Diagnosis is currently made with the
routine use of serum calcitonin (CT) measurements to screen patients with nodular
thyroid disease. Surgery is the only curative treatment of MTC and since cervical
lymph nodes metastases are frequent and can occur at an early stage, a
standardized lymph node dissection should be associated to total thyroidectomy.
However, the extent of lymphadenectomy remains debated. Prognosis of MTC is
related to both the stage of the disease and the extent of initial surgery. When
tumor remnants persist after surgery, there are very few therapeutic
alternatives, and these are generally of limited curative value.
PMID- 21885366
TI - Warm reception? Halogenated BPA flame retardants and PPARgamma activation.
PMID- 21885367
TI - Climate change & infectious disease: is the future here?
PMID- 21885369
TI - Environmental factors develop different patterns of immune disease.
PMID- 21885371
TI - Thirdhand smoke in review: research needs and recommendations.
PMID- 21885372
TI - Testing the dose addition hypothesis: the impact of pyrethroid insecticide
mixtures on neurons.
PMID- 21885373
TI - Scorecard rates emission reductions of hybrid vehicles.
PMID- 21885374
TI - Dietary intervention and DEHP reduction.
PMID- 21885375
TI - Fields and forests in flames: vegetation smoke & human health.
PMID- 21885376
TI - In vitro detection of estrogen activity in plastic products using a sensitive
bioassay: failure to acknowledge limitations.
PMID- 21885377
TI - Climate change impacts indoor environment.
PMID- 21885381
TI - Ambient air pollution and infant health: home monitors make cardiorespiratory
connections.
PMID- 21885380
TI - Prenatal exposure to BPA and sexually selected traits in male mice.
PMID- 21885382
TI - Transportation noise and blood pressure in a population-based sample of adults.
AB - BACKGROUND: There is some evidence for an association between traffic noise and
ischemic heart disease; however, associations with blood pressure have been
inconsistent, and little is known about health effects of railway noise.
OBJECTIVES: We aimed to investigate the effects of railway and traffic noise
exposure on blood pressure; a secondary aim was to address potentially
susceptible subpopulations. METHODS: We performed adjusted linear regression
analyses using data from 6,450 participants of the second survey of the Swiss
Study on Air Pollution and Lung Disease in Adults (SAPALDIA 2) to estimate the
associations of daytime and nighttime railway and traffic noise (A-weighted
decibels) with systolic blood pressure (SBP) and diastolic blood pressure (DBP;
millimeters of mercury). Noise data were provided by the Federal Office for the
Environment. Stratified analyses by self-reported hypertension, cardiovascular
disease (CVD), and diabetes were performed. RESULTS: Mean noise exposure during
the day and night was 51 dB(A) and 39 dB(A) for traffic noise, respectively, and
19 dB(A) and 17 dB(A) for railway noise. Adjusted regression models yielded
significant effect estimates for a 10 dB(A) increase in railway noise during the
night [SBP beta = 0.84; 95% confidence interval (CI): 0.22, 1.46; DBP beta =
0.44; 95% CI: 0.06, 0.81] and day (SBP beta = 0.60; 95% CI: 0.07, 1.13).
Additional adjustment for nitrogen dioxide left effect estimates almost
unchanged. Stronger associations were estimated for participants with chronic
disease. Significant associations with traffic noise were seen only among
participants with diabetes. CONCLUSION: We found evidence of an adverse effect of
railway noise on blood pressure in this cohort population. Traffic noise was
associated with higher blood pressure only in diabetics, possibly due to low
exposure levels. The study results imply more severe health effects by
transportation noise in vulnerable populations, such as adults with hypertension,
diabetes, or CVD.
PMID- 21885383
TI - The impact of heat islands on mortality in Paris during the August 2003 heat
wave.
AB - BACKGROUND: Heat waves have a drastic impact on urban populations, which could
increase with climate change. OBJECTIVES: We evaluated new indicators of elderly
people's exposure to heat in Paris, from a public health prevention perspective,
using satellite thermal images. METHODS: We used a time series of 61 images from
the satellites of the National Oceanic and Atmospheric Administration's (NOAA)
Advanced Very High Resolution Radiometer (AVHRR) taken from 1 to 13 August 2003
to produce thermal indicators of minimum, maximum, and mean surface temperatures
and diurnal temperature amplitude, with different lags between the meteorological
data and the health impact. Health data came from a case-control study involving
241 people >= 65 years of age who died in the city of Paris or the nearby
suburban area of Val-de-Marne during the August 2003 heat wave, and 241 controls
who were matched to cases on age, sex, and residential zone. For each person, we
integrated the thermal indicators in a conditional logistic regression model,
adjusted for age and other potential confounders. We computed odds ratios (ORs)
comparing the 90th and 50th percentiles of the temperature differences between
cases and controls for various indicators. RESULTS: Mortality risk was
significantly associated with exposure for two indicators: minimum temperatures
averaged for 1-13 August [for a 0.41 degrees C increase, OR = 2.17; 95%
confidence interval (CI): 1.14, 4.16] and minimum temperature averaged on the day
of death and the 6 preceding days (for a 0.51 degrees C increase: OR = 2.24; 95%
CI: 1.03, 4.87). CONCLUSIONS: Our results support the influence of night
temperatures on the health impact of heat waves in urban areas. Urban heat
exposure indicators based on satellite imagery have the potential to identify
areas with higher risk of death, which could inform intervention decisions by key
stakeholders.
PMID- 21885385
TI - Pulmonary infections in HIV-infected patients: an update in the 21st century.
AB - From the first descriptions of HIV/AIDS, the lung has been the site most
frequently affected by the disease. Most patients develop a pulmonary
complication during the history of HIV infection, mainly of infectious aetiology.
Important changes in the epidemiology of HIV-related pulmonary infections have
occurred. Overall, prescription of Pneumocystis jirovecii prophylaxis and the
introduction of highly active antiretroviral therapy (HAART) are the main causes.
Currently, the most frequent diagnosis in developed countries is bacterial
pneumonia, especially pneumococcal pneumonia, the second most frequent cause is
Pneumocystis pneumonia and the third is tuberculosis. However, in Africa,
tuberculosis could be the most common pulmonary complication of HIV. Pulmonary
infections remain one of the most important causes of morbidity and mortality in
these patients, and the first cause of hospital admission in the HAART era.
Achieving an aetiological diagnosis of pulmonary infection in these patients is
important due to its prognostic consequences.
PMID- 21885384
TI - Associations of early childhood manganese and lead coexposure with
neurodevelopment.
AB - BACKGROUND: Most toxicologic studies focus on a single agent, although this does
not reflect real-world scenarios in which humans are exposed to multiple
chemicals. OBJECTIVES: We prospectively studied manganese-lead interactions in
early childhood to examine whether manganese-lead coexposure is associated with
neurodevelopmental deficiencies that are more severe than expected based on
effects of exposure to each metal alone. METHODS: Four hundred fifty-five
children were enrolled at birth in an longitudinal cohort study in Mexico City,
provided blood samples, and were followed until 36 months of age. We measured
lead and manganese at 12 and 24 months and assessed neurodevelopment at 6-month
intervals from 12 to 36 months of age using Bayley Scales of Infant Development
II. RESULTS: Mean (+/- SD) blood concentrations at 12 and 24 months were,
respectively, 24.7 +/- 5.9 MUg/L and 21.5 +/- 7.4 MUg/L for manganese and 5.1 +/-
2.6 MUg/dL and 5.0 +/- 2.9 MUg/dL for lead. Mixed-effects models, including
Bayley scores at five time points, showed a significant interaction over time:
highest manganese quintile * continuous lead; mental development score, beta =
1.27 [95% confidence interval (CI): -2.18, -0.37]; psychomotor development score,
beta = -0.92 (95% CI: -1.76, -0.09). Slopes for the estimated 12-month lead
effect on 18-month mental development and 24- through 36-month psychomotor
development scores were steeper for children with high manganese than for
children with midrange manganese levels. CONCLUSIONS: We observed evidence of
synergism between lead and manganese, whereby lead toxicity was increased among
children with high manganese coexposure. Findings highlight the importance of
understanding health effects of mixed exposures, particularly during potentially
sensitive developmental stages such as early childhood.
PMID- 21885386
TI - Titrated oxygen requirement and prognostication in idiopathic pulmonary fibrosis.
AB - The supplemental oxygen flow rate is a common bedside measure of gas exchange
impairment. We aimed to determine whether a titrated oxygen requirement (TOR)
predicted mortality in idiopathic pulmonary fibrosis (IPF). We examined 104
adults with IPF enrolled in a prospective cohort study and a validation cohort of
151 adults with a variety of interstitial lung diseases (ILDs). The TOR was
defined as the lowest oxygen flow rate required to maintain an oxyhaemoglobin
saturation of 96% while standing. Cox proportional hazards models and time
dependent receiver operating characteristic curves were used to examine survival
time. A higher TOR was associated with a greater mortality rate independent of
forced vital capacity and 6-min walk test results in IPF (adjusted hazard ratio
(per 1 L.min(-1)) 1.16, 95% CI 1.06-1.27). The TOR was at least as accurate as
pulmonary function and 6-min walk testing at predicting 1-yr mortality. Findings
were similar in other ILDs. The TOR is a simple, inexpensive bedside measurement
that aids prognostication in IPF.
PMID- 21885387
TI - Chronic infection and inflammation affect exercise capacity in cystic fibrosis.
AB - Pulmonary function and nutritional status are important determinants of exercise
capacity in patients with cystic fibrosis (CF). Studies investigating the effects
of determinants, such as genotype or infection and inflammation, are scarce and
have never been analysed in a multivariate longitudinal model. A prospective
longitudinal cohort study was performed to evaluate whether genotype, chronic
inflammation and infection were associated with changes in exercise capacity.
Furthermore, we investigated whether exercise capacity can predict clinical
outcome. 504 exercise tests of 149 adolescents with CF were evaluated. Maximal
oxygen uptake corrected for body mass % predicted declined 20% during
adolescence, and was associated with immunoglobulin (Ig)G levels and chronic
Pseudomonas aeruginosa infection. A lower exercise capacity was associated with a
higher mortality, steeper decline in pulmonary function and greater increase in
IgG levels. Since a decline in exercise capacity during adolescence was
negatively associated with IgG levels and chronic P. aeruginosa infection, these
data emphasise the importance of prevention and treatment of chronic inflammation
and infections in patients with CF. Furthermore, a lower exercise capacity was
associated with a higher mortality rate, steeper decline in pulmonary function
and higher increase in IgG levels with increasing age in adolescents with CF.
This stresses the value of regular exercise testing for assessing prognosis in
adolescents with CF.
PMID- 21885388
TI - Assessing the Liverpool Respiratory Symptom Questionnaire in children with cystic
fibrosis.
AB - Monitoring respiratory status in cystic fibrosis (CF) is challenging,
particularly in young children. We aimed to test whether the Liverpool
Respiratory Symptom Questionnaire (LRSQ) could distinguish well, pre-school and
older children with and without CF, whether it could distinguish well and unwell
children with CF and, finally, whether LRSQ scores in older children with CF
correlated with established measures of disease severity. 20 stable pre-school
children with CF had significantly higher total LRSQ scores than 51 pre-school
controls, and higher scores in two out of eight domains. Similarly, 21 stable 6-
to 12-yr-old children with CF had higher total scores than 97 6- to 12-yr-old
controls, and higher scores in seven out of eight domains. In older children with
CF, LRSQ scores correlated negatively with Shwachman score and forced expiratory
volume in 1 s (r = -0.58, p < 0.001, n = 31; and r = -0.46, p < 0.010, n = 34,
respectively). Within the CF group, patients who cultured Pseudomonas aeruginosa,
who used more "back-up" antibiotics or whose school attendance was lower also had
higher LRSQ scores. The LRSQ differentiates well children from those with CF in
both pre-school and the 6- to 12-yr-old age group, even at a point of stability.
It also differentiates stable from unwell children with CF, and scores correlate
with other measures of respiratory disease, highlighting its potential as a
clinical monitoring tool in paediatric CF.
PMID- 21885389
TI - Nasal continuous positive airway pressure: influence on digital volume pulse in
obstructive sleep apnoea patients.
AB - Obstructive sleep apnoea (OSA) is linked to increased cardiovascular risk. This
risk can be reduced by nasal continuous positive airway pressure (nCPAP)
treatment. As OSA is associated with an increase of several vasoconstrictive
factors, we investigated whether nCPAP influences the digital volume pulse wave.
We performed digital photoplethysmography during sleep at night in 94 consecutive
patients who underwent polysomnography and 29 patients treated with nCPAP.
Digital volume pulse waves were obtained independently of an investigator and
were quantified using an algorithm for continuous automated analysis. In patients
with OSA and an apnoea/hypopnoea index (AHI) of >10 events . h(-1), a significant
vasoconstriction was observed during the night (p<0.0001 by Friedman's test). A
significant positive correlation existed between vasoconstriction and AHI
(Spearman correlation, r = 0.27; p<0.01; n = 94) and the arousal index (Spearman
correlation, r = 0.21; p < 0.05; n = 94). After 6 months of nCPAP treatment, the
AHI was significantly reduced from 27 +/- 3 events . h(-1) to 4 +/- 2 events . h(
1) (each n = 29; p<0.001) and vasoconstriction during the night was significantly
reduced from 10 +/- 3% to 3 +/- 1% (p<0.01). We show changes in the reflective
index during the night consistent with vasoconstriction in patients with OSA,
which are significantly reduced after 6 months of nCPAP treatment.
PMID- 21885390
TI - Intermittent recruitment with high-frequency oscillation/tracheal gas
insufflation in acute respiratory distress syndrome.
AB - In acute respiratory distress syndrome (ARDS), recruitment sessions of high
frequency oscillation (HFO) and tracheal gas insufflation (TGI) with short
lasting recruitment manoeuvres (RMs) may improve oxygenation and enable reduction
of subsequent conventional mechanical ventilation (CMV) pressures. We determined
the effect of adding HFO-TGI sessions to lung-protective CMV on early/severe ARDS
outcome. We conducted a prospective clinical trial, subdivided into a first
single-centre period and a second two-centre period. We enrolled 125 (first
period, n = 54) patients with arterial oxygen tension (P(a,O(2)))/inspiratory
oxygen fraction (F(I,O(2))) of <150 mmHg for >12 consecutive hours at an end
expiratory pressure of >= 8 cmH(2)O. Patients were randomly assigned to an HFO
TGI group (receiving HFO-TGI sessions with RMs, interspersed with lung-protective
CMV; n = 61) or CMV group (receiving lung-protective CMV and RMs; n = 64). The
primary outcome was survival to hospital discharge. Pre-enrolment ventilation
duration was variable. During days 1-10 post-randomisation, P(a,O(2))/F(I,O(2))),
oxygenation index, plateau pressure and respiratory compliance were improved in
the HFO-TGI group versus the CMV group (p < 0.001 for group * time). Within days
1-60, the HFO-TGI group had more ventilator-free days versus the CMV group
(median (interquartile range) 31.0 (0.0-42.0) versus 0.0 (0.0-23.0) days; p <
0.001), and more days without respiratory, circulatory, renal, coagulation and
liver failure (p <= 0.003). Survival to hospital discharge was higher in the HFO
TGI group versus the CMV group (38 (62.3%) out of 61 versus 23 (35.9%) out of 64
subjects; p = 0.004). Intermittent recruitment with HFO-TGI and RMs may improve
survival in early/severe ARDS.
PMID- 21885391
TI - Respiratory health and endotoxin: associations and modification by CD14/-260
genotype.
AB - Exposure to endotoxin has been associated with increased respiratory symptoms and
decrements in lung function in occupational settings but little is known about
the health effects of domestic exposure in adults. Here, we describe the
association of respiratory disease, immunoglobulin (Ig)E sensitisation, bronchial
reactivity and lung function with mattress endotoxin levels in adults, and
determine whether these associations are modified by polymorphisms in CD14.
Endotoxin levels in mattress dust from a population-based sample of 972 adults
were measured. Associations were examined using generalised linear mixed models,
adjusting for individual and household confounders. Effect modification of these
associations by CD14/-260 (rs2569190) was assessed. Mattress endotoxin levels
varied from 0.1 to 402.6 EU . mg(-1). Although there was no overall association
of lung function with endotoxin exposure, there was evidence that the association
of forced expiratory volume in 1 s and forced vital capacity with endotoxin was
modified by CD14/-260 genotype (p-value for interaction 0.005 and 0.013,
respectively). There was no evidence that symptoms, IgE sensitisation or
bronchial reactivity were associated with mattress endotoxin levels. In this
large epidemiological study of adults, there was no evidence that mattress
endotoxin level was associated with respiratory symptoms or IgE sensitisation but
the association of lung function with endotoxin levels may be modified by CD14
genotype.
PMID- 21885392
TI - The prognostic impact of follow-up assessments in patients with idiopathic
pulmonary arterial hypertension.
AB - Current guidelines for the treatment of patients with idiopathic pulmonary
arterial hypertension (IPAH) recommend basing therapeutic decision-making on
haemodynamic, functional and biochemical variables. Most of these parameters have
been evaluated as risk predictors at the time of diagnosis. The aim of the
present study was to assess the prognostic impact of changes in these parameters
after initiation of targeted therapy. A cohort of 109 patients with IPAH who had
undergone haemodynamic, functional and biochemical assessments at baseline and 3
12 months after initiation of pulmonary arterial hypertension (PAH)-targeted
therapy, were followed for a median 38 months in order to determine predictors of
mortality at baseline and during the course of their disease. Within the
observation period, 53 (48.6%) patients died and four (3.7%) underwent lung
transplantation. Kaplan-Meier estimates for transplantation-free survival were
92%, 67%, and 51% at 1, 3, and 5 yrs, respectively. Among baseline variables, 6
min walk distance, right atrial pressure, cardiac index, mixed-venous oxygen
saturation (S(v,O(2))) and N-terminal-pro brain natriuretic peptide (NT-proBNP)
were independent predictors of survival. During follow-up, changes in World
Health Organization functional class, cardiac index, S(v,O(2)) and NT-proBNP
proved significant predictors of outcome. When assigned to prognostic groups,
improvements as well as deteriorations in these parameters after initiation of
PAH-targeted therapy had a strong impact on survival. Measurements obtained at
follow-up had a higher predictive value than variables obtained at baseline.
Changes in established predictors of outcome during the course of the disease
provide important prognostic information in patients with IPAH.
PMID- 21885393
TI - Physiological changes during low- and high-intensity noninvasive ventilation.
AB - In a physiological randomised cross-over study performed in stable hypercapnic
chronic obstructive disease patients, we assessed the short-term effects of two
settings of noninvasive ventilation. One setting was aimed at maximally reducing
arterial carbon dioxide tension (P(a,CO(2))) (high-intensity (Hi) noninvasive
positive pressure ventilation (NPPV)): mean +/- SD 27.6 +/- 2.1 cmH(2)O of
inspiratory positive airway pressure, 4 +/- 0 cmH(2)O of expiratory positive
airway pressure and respiratory rate of 22 breaths . min(-1). The other was
performed according to the usual parameters used in earlier studies (low
intensity (Li)-NPPV): 17.7 +/- 1.6 cmH(2)O of inspiratory positive airway
pressure, 4 +/- 0 cmH(2)O of expiratory positive airway pressure and respiratory
rate of 12 breaths . min(-1). Both modes of ventilation significantly improved
gas exchange compared with spontaneous breathing (SB), but to a greater extent
using Hi-NPPV (P(a,CO(2)) 59.3 +/- 7.5, 55.2 +/- 6.9 and 49.4 +/- 7.8 mmHg for
SB, Li-NPPV and Hi-NPPV, respectively). Similarly, Hi-NPPV induced a greater
reduction in the pressure-time product of the diaphragm per minute from 323 +/-
149 cmH(2)O . s . min(-1) during SB to 132 +/- 139 cmH(2)O . s . min(-1) during
Li-NPPV and 40 +/- 69 cmH(2)O . s . min(-1) during Hi-NPPV, while in nine out of
15 patients, it completely abolished SB activity. Hi-NPPV also induced a marked
reduction in cardiac output (CO) measured noninvasively with a Finometer PRO
(Finapres Medical Systems BV, Amsterdam, the Netherlands) compared with Li-NPPV.
We conclude that while Hi-NPPV is more effective than Li-NPPV in improving gas
exchange and in reducing inspiratory effort, it induces a marked reduction in CO,
which needs to be considered when Hi-NPPV is applied to patients with pre
existing cardiac disease.
PMID- 21885394
TI - Pulmonary vascular resistances during exercise in normal subjects: a systematic
review.
AB - The physiological range of pulmonary vascular resistance (PVR) and total
pulmonary resistance (TPR), and the impact of exercise, age and posture have been
a matter of debate for many years. We performed a systematic literature review
including all right heart catheterisation data where individual PVR and TPR of
healthy subjects both at rest and exercise were available. Data were stratified
according to age, exercise level and posture. Supine resting PVR in subjects aged
<24 yrs, 24-50 yrs, 51-69 yrs and >=70 yrs was 61+/-23, 69+/-28, 86+/-15 and 90+/
39 dyn.s.cm(-5), respectively. Corresponding TPR was 165+/-50, 164+/-46, 226+/-64
and 223+/-45 dyn.s.cm(-5), respectively. During moderate exercise in subjects
aged <=50 yrs, an 85% increase in cardiac output was associated with a 25%
decrease in TPR (p<0.0001) and a 12% decrease in PVR (p<0.01). At 51-69 yrs of
age there was no significant decrease in TPR and PVR. In individuals aged >=70
yrs TPR even increased by 17% (p=0.01), while PVR did not change significantly.
At higher exercise levels, TPR decreased in all age groups. In the upright
position, based on a limited number of data, resting TPR and PVR were higher than
in the supine position and decreased more prominently during exercise, suggesting
the release of resting pulmonary vasoconstriction. These data may form a basis to
define normal PVR at rest and exercise.
PMID- 21885396
TI - Overweight is not a comorbidity factor during childhood asthma: the GrowthOb
study.
AB - While being overweight is a risk factor for subsequent asthma in children, the
importance of body mass index (BMI) as a comorbidity factor remains debated. The
aim of this study was to assess the relationships between being overweight and
the characteristics of childhood asthma. The BMI, BMI z-scores and International
Obesity Task Force (IOTF) grades were evaluated in asthmatic children according
to atopic status, symptoms during the past 3 months, exercise breathlessness,
treatment and lung function in 6-15-yr-old children with confirmed asthma. 491
asthmatic children (mean +/- SD age 10.8 +/- 2.6 yrs; 179 females) were
prospectively enrolled. There were 78 (15.5%) overweight (IOTF grade 1) and eight
(1.6%) obese (grade 2) children. The children's BMI z-scores did not differ
according to atopy, exacerbation, symptom-free days or treatment. The BMI z-score
correlated positively with forced vital capacity and forced expiratory volume in
1 s in females, which could be related to earlier puberty in overweight females
(growth spurt with increased volumes). Compared with normal weight children,
overweight and obese children had reduced lung volume ratios (functional residual
capacity/total lung capacity (TLC) and residual volume/TLC), no evidence of
airflow limitation and similar symptoms. In conclusion, the observed functional
relationships with BMI are not specific to asthma and being overweight is not
associated with significant clinical impacts on asthma during childhood.
PMID- 21885397
TI - Expression of muscarinic receptors by human macrophages.
AB - Macrophages increase in number and are highly activated in chronic obstructive
pulmonary disease (COPD). Muscarinic receptor antagonists inhibit acetylcholine
stimulated release of neutrophilic chemoattractants, suggesting that
acetylcholine may regulate macrophage responses. Therefore, expression and
function of components of the non-neuronal cholinergic system in monocyte
macrophage cells was investigated. RNA was isolated from monocytes, monocyte
derived macrophages (MDMs), lung and alveolar macrophages from nonsmokers,
smokers and COPD patients, and expression of the high-affinity choline
transporter, choline acetyltransferase, vesicular acetylcholine transporter and
muscarinic receptors (M(1)-M(5)) ascertained using real-time PCR. M(2) and M(3)
receptor expression was confirmed using immunocytochemistry. Release of
interleukin (IL)-8, IL-6 and leukotriene (LT)B(4) were measured by ELISA or EIA.
All monocyte-macrophage cells expressed mRNA for components of the non-neuronal
cholinergic system. Lung macrophages expressed significantly more M(1) mRNA
compared with monocytes, and both lung macrophages and alveolar macrophages
expressed the highest levels of M(3) mRNA. Expression of M(2) and M(3) protein
was confirmed in MDMs and lung macrophages. Carbachol stimulated release of
LTB(4) from lung macrophages (buffer 222.3 +/- 75.1 versus carbachol 1,118 +/-
622.4 pg . mL(-1); n = 15, p<0.05) but not IL-6 or IL-8. LTB(4) release was
attenuated by the M(3) antagonist, 1,1-dimethyl-4-diphenylacetoxypiperidinium
iodide (4-DAMP; half maximal effective concentration 5.2 +/- 2.2 nM; n = 9).
Stimulation of macrophage M(3) receptors promotes release of LTB(4), suggesting
that anti-muscarinic agents may be anti-inflammatory.
PMID- 21885398
TI - Sensitive cardiac troponin I predicts poor outcomes in pulmonary arterial
hypertension.
AB - Circulating cardiac troponins are markers of myocardial injury. We sought to
determine whether cardiac troponin I (cTnI), measured by a sensitive assay, is
associated with disease severity and prognosis in pulmonary arterial hypertension
(PAH). cTnI was measured in 68 patients with PAH diagnostic category 1 in a
research-based sensitive immunoanalyser with a lower limit of detection of 0.008
ng . mL(-1). The associations between cTnI and PAH severity and clinical outcomes
were assessed using Chi-squared and Wilcoxon rank sum tests, Kaplan-Meier
analysis and Cox regression models. cTnI was detected in 25% of patients.
Patients with detectable cTnI had more advanced functional class symptoms, a
shorter 6-min walk distance, more pericardial effusions, larger right atrial
area, and higher B-type natriuretic peptide and C-reactive protein levels. 36
month transplant-free survival was 44% in patients with detectable cTnI versus
85% in those with undetectable cTnI. cTnI was associated with a 4.7-fold
increased risk of death related to right ventricular failure or transplant
(hazard ratio 4.74, 95% CI 1.89-11.89; p<0.001), even when adjusted individually
for known parameters of PAH severity. Elevated plasma cTnI, even at subclinically
detectable levels, is associated with more severe disease and worse outcomes in
patients with PAH.
PMID- 21885395
TI - Clinical presentation and outcome of venous thromboembolism in COPD.
AB - Chronic obstructive pulmonary disease (COPD) is a moderate risk factor for venous
thromboembolism (VTE), but neither the clinical presentation nor the outcome of
VTE in COPD patients is well known. The clinical presentation of VTE, namely
pulmonary embolism (PE) or deep venous thrombosis (DVT), and the outcome at 3
months (death, recurrent VTE or bleeding) were compared between 2,984 COPD
patients and 25,936 non-COPD patients included in the RIETE (Registro
Informatizado de la Enfermedad TromboEmbolica) registry. This ongoing
international, multi-centre registry includes patients with proven symptomatic PE
or DVT. PE was the more frequent VTE presentation in COPD patients (n = 1,761,
59%). PE presentation was more significantly associated with COPD patients than
non-COPD patients (OR 1.64, 95% CI 1.49-1.80). During the 3-month follow-up,
mortality (10.8% versus 7.6%), minor bleeding (4.5% versus 2.3%) or first VTE
recurrences as PE (1.5% versus 1.1%) were significantly higher in COPD patients
than in non-COPD patients. PE was the most common cause of death. COPD patients
presented more frequently with PE than DVT. It may explain the worse prognosis of
COPD patients, with a higher risk of death, bleeding or VTE recurrences as PE
compared with non-COPD patients. Further therapeutic options are needed.
PMID- 21885399
TI - ASPIRE registry: assessing the Spectrum of Pulmonary hypertension Identified at a
REferral centre.
AB - Pulmonary hypertension (PH) is a heterogeneous condition. To date, no registry
data exists reflecting the spectrum of disease across the five diagnostic groups
encountered in a specialist referral centre. Data was retrieved for consecutive,
treatment-naive cases diagnosed between 2001 and 2010 using a catheter-based
approach. 1,344 patients were enrolled, with a mean follow-up of 2.9 yrs. The 3
yr survival was 68% for pulmonary arterial hypertension (PAH), 73% for PH
associated with left heart disease, 44% for PH associated with lung disease (PH
lung), 71% for chronic thromboembolic PH (CTEPH) and 59% for miscellaneous PH.
Compared with PAH, survival was inferior in PH-lung and superior in CTEPH
(p<0.05). Multivariate analysis demonstrated that diagnostic group independently
predicted survival. Within PAH, Eisenmenger's survival was superior to idiopathic
PAH, which was superior to PAH associated with systemic sclerosis (p<0.005).
Within PH-lung, 3-yr survival in sleep disorders/alveolar hypoventilation (90%)
was superior to PH-lung with chronic obstructive pulmonary disease (41%) and
interstitial lung disease (16%) (p<0.05). In CTEPH, long-term survival was best
in patients with surgically accessible disease undergoing pulmonary
endarterectomy. In this large registry of consecutive, treatment-naive patients
identified at a specialist PH centre, outcomes and characteristics differed
between and within PH groups. The current system of classification of PH has
prognostic value even when adjusted for age and disease severity, emphasising the
importance of systematic evaluation and precise classification.
PMID- 21885400
TI - Neutrophil chemotaxis in granulomatosis with polyangiitis (Wegener's) and
idiopathic pulmonary fibrosis.
AB - The presence of antineutrophil cytoplasmic antibodies in granulomatosis with
polyangiitis (Wegener's) (GPA) implicates the neutrophil as a key effector cell.
Previous studies have reported elevated neutrophil counts in the lung, although
the determinants of neutrophil chemotaxis in the GPA lung are unknown.
Bronchoalveolar lavage fluid (BALF) cell counts, myeloperoxidase (MPO) and
chemokines were measured in 27 patients with GPA, 20 disease controls with
idiopathic pulmonary fibrosis (IPF) and six healthy controls. CXC chemokine
ligand (CXCL)8, interleukin (IL)-1beta, epithelial neutrophil-activating protein
78, granulocyte colony-stimulating factor (G-CSF) and granulocyte-macrophage
colony-stimulating factor were measured by ELISA. The neutrophil chemotactic
potential of BALF was investigated using the under-agarose method, and specific
antibodies were used to examine the role of CXCL8 and IL-1beta. GPA BALF had an
increased neutrophil percentage, and elevated MPO, CXCL8 and G-CSF concentrations
compared with healthy controls. Chemotaxis of control neutrophils towards BALF
from patients with active (p=0.006) and remission (p=0.077) GPA, and IPF
(p=0.001) patients was increased compared with normal controls. BALF-induced
chemotaxis correlated with BALF IL-1beta (r=0.761, p=0.001) and CXCL8 (r=0.640,
p=0.012) in GPA, and was inhibited by anti-CXCL8 (85%; p<0.001) and anti-IL-1beta
(69%; p<0.001). Our study confirms a neutrophilia and pro-inflammatory alveolar
milieu that persists in clinical remission. CXCL8 and IL-1beta appear to play
important roles in the neutrophil chemotactic response to BALF.
PMID- 21885402
TI - Imaging cardiac arrhythmias.
PMID- 21885403
TI - Understanding the enemy.
AB - In this issue of Science Translational Medicine, Tanas and colleagues describe a
disease-defining genetic alteration for the vascular cancer epithelioid
hemangioendothelioma (EHE). The resulting EHE-associated fusion gene encodes an
aberrantly expressed putative transcription factor. This molecular information is
the latest in a series of genetic discoveries that aid in cancer diagnosis and
may pave the way to targeted therapeutic agents.
PMID- 21885404
TI - Identification of a disease-defining gene fusion in epithelioid
hemangioendothelioma.
AB - Integrating transcriptomic sequencing with conventional cytogenetics, we
identified WWTR1 (WW domain-containing transcription regulator 1) (3q25) and
CAMTA1 (calmodulin-binding transcription activator 1) (1p36) as the two genes
involved in the t(1;3)(p36;q25) chromosomal translocation that is characteristic
of epithelioid hemangioendothelioma (EHE), a vascular sarcoma. This WWTR1/CAMTA1
gene fusion is under the transcriptional control of the WWTR1 promoter and
encodes a putative chimeric transcription factor that joins the amino terminus of
WWTR1, a protein that is highly expressed in endothelial cells, in-frame to the
carboxyl terminus of CAMTA1, a protein that is normally expressed only in brain.
Thus, CAMTA1 expression is activated inappropriately through a promoter-switch
mechanism. The gene fusion is present in virtually all EHEs tested but is absent
from all other vascular neoplasms, demonstrating it to be a disease-defining
genetic alteration. A sensitive and specific break-apart fluorescence in situ
hybridization assay was also developed to detect the translocation and will
assist in the evaluation of this diagnostically challenging neoplasm. The
chimeric WWTR1/CAMTA1 transcription factor may represent a therapeutic target for
EHE and offers the opportunity to shed light on the functions of two poorly
characterized proteins.
PMID- 21885408
TI - Towards the development of EU/EEA Standards for Tuberculosis Care (ESTC).
PMID- 21885406
TI - Noninvasive electroanatomic mapping of human ventricular arrhythmias with
electrocardiographic imaging.
AB - The rapid heartbeat of ventricular tachycardia (VT) can lead to sudden cardiac
death and is a major health issue worldwide. Efforts to identify patients at
risk, determine mechanisms of VT, and effectively prevent and treat VT through a
mechanism-based approach would all be facilitated by continuous, noninvasive
imaging of the arrhythmia over the entire heart. Here, we present noninvasive
real-time images of human ventricular arrhythmias using electrocardiographic
imaging (ECGI). Our results reveal diverse activation patterns, mechanisms, and
sites of initiation of human VT. The spatial resolution of ECGI is superior to
that of the routinely used 12-lead electrocardiogram, which provides only global
information, and ECGI has distinct advantages over the currently used method of
mapping with invasive catheter-applied electrodes. The spatial resolution of this
method and its ability to image electrical activation sequences over the entire
ventricular surfaces in a single heartbeat allowed us to determine VT initiation
sites and continuation pathways, as well as VT relationships to ventricular
substrates, including anatomical scars and abnormal electrophysiological
substrate. Thus, ECGI can map the VT activation sequence and identify the
location and depth of VT origin in individual patients, allowing personalized
treatment of patients with ventricular arrhythmias.
PMID- 21885409
TI - Sleep HERMES: a European training project for respiratory sleep medicine.
PMID- 21885405
TI - A computational model to predict the effects of class I anti-arrhythmic drugs on
ventricular rhythms.
AB - A long-sought, and thus far elusive, goal has been to develop drugs to manage
diseases of excitability. One such disease that affects millions each year is
cardiac arrhythmia, which occurs when electrical impulses in the heart become
disordered, sometimes causing sudden death. Pharmacological management of cardiac
arrhythmia has failed because it is not possible to predict how drugs that target
cardiac ion channels, and have intrinsically complex dynamic interactions with
ion channels, will alter the emergent electrical behavior generated in the heart.
Here, we applied a computational model, which was informed and validated by
experimental data, that defined key measurable parameters necessary to simulate
the interaction kinetics of the anti-arrhythmic drugs flecainide and lidocaine
with cardiac sodium channels. We then used the model to predict the effects of
these drugs on normal human ventricular cellular and tissue electrical activity
in the setting of a common arrhythmia trigger, spontaneous ventricular ectopy.
The model forecasts the clinically relevant concentrations at which flecainide
and lidocaine exacerbate, rather than ameliorate, arrhythmia. Experiments in
rabbit hearts and simulations in human ventricles based on magnetic resonance
images validated the model predictions. This computational framework initiates
the first steps toward development of a virtual drug-screening system that models
drug-channel interactions and predicts the effects of drugs on emergent
electrical activity in the heart.
PMID- 21885410
TI - The European Respiratory Society: future directions in medical education.
PMID- 21885411
TI - Immune system dysregulation in chronic lung disease.
PMID- 21885412
TI - Directing the future of lung health: the European Respiratory Roadmap.
PMID- 21885413
TI - It is time for patients to undergo bronchoscopy without discomfort.
PMID- 21885414
TI - Whistleblowers.
PMID- 21885415
TI - Living with pulmonary hypertension: quality not just quantity.
PMID- 21885416
TI - Rehabilitation in COPD patients: evergreen in pneumology and beyond.
PMID- 21885417
TI - Mechanisms of dyspnoea relief following radiation treatment in a patient with
severe COPD.
PMID- 21885419
TI - A rare pulmonary zygomycosis manifested as chronic mediastinitis and bronchial
perforation.
PMID- 21885418
TI - Linezolid safety, tolerability and efficacy to treat multidrug- and extensively
drug-resistant tuberculosis.
PMID- 21885420
TI - Pulmonary endarterectomy in sickle cell haemoglobin C disease.
PMID- 21885421
TI - Cell count and lymphocyte immunophenotyping of bronchoalveolar lavage fluid in
healthy Brazilian children.
PMID- 21885422
TI - Clinical relevance of mixed respiratory viral infections in adults with influenza
A H1N1.
PMID- 21885423
TI - Does RAGE protect smokers from COPD?
PMID- 21885424
TI - Can dog allergen alone, if combined with indoor pollution, be responsible for
asthma in children?
PMID- 21885427
TI - Interferon-gamma release assays for diagnosis of active pleural tuberculosis: a
developing world perspective.
PMID- 21885429
TI - Adipose-selective overexpression of ABHD5/CGI-58 does not increase lipolysis or
protect against diet-induced obesity.
AB - Adipose triglyceride lipase (ATGL) catalyzes the first step of triacylglycerol
hydrolysis in adipocytes. Abhydrolase domain 5 (ABHD5) increases ATGL activity by
an unknown mechanism. Prior studies have suggested that the expression of ABHD5
is limiting for lipolysis in adipocytes, as addition of recombinant ABHD5
increases in vitro TAG hydrolase activity of adipocyte lysates. To test this
hypothesis in vivo, we generated transgenic mice that express 6-fold higher ABHD5
in adipose tissue relative to wild-type (WT) mice. In vivo lipolysis increased to
a similar extent in ABHD5 transgenic and WT mice following an overnight fast or
injection of either a beta-adrenergic receptor agonist or lipopolysaccharide.
Similarly, basal and beta-adrenergic-stimulated lipolysis was comparable in
adipocytes isolated from ABHD5 transgenic and WT mice. Although ABHD5 expression
was elevated in thioglycolate-elicited macrophages from ABHD5 transgenic mice,
Toll-like receptor 4 (TLR4) signaling was comparable in macrophages isolated from
ABHD5 transgenic and WT mice. Overexpression of ABHD5 did not prevent the
development of obesity in mice fed a high-fat diet, as shown by comparison of
body weight, body fat percentage, and adipocyte hypertrophy of ABHD5 transgenic
to WT mice. The expression of ABHD5 in mouse adipose tissue is not limiting for
either basal or stimulated lipolysis.
PMID- 21885430
TI - Perilipin 5, a lipid droplet-associated protein, provides physical and metabolic
linkage to mitochondria.
AB - Maintaining cellular lipid homeostasis is crucial to oxidative tissues, and it
becomes compromised in obesity. Lipid droplets (LD) play a central role in lipid
homeostasis by mediating fatty acid (FA) storage in the form of triglyceride,
thereby lowering intracellular levels of lipids that mediate cellular
lipotoxicity. LDs and mitochondria have interconnected functions, and anecdotal
evidence suggests they physically interact. However, the mechanisms of
interaction have not been identified. Perilipins are LD-scaffolding proteins and
potential candidates to play a role in their interaction with mitochondria. We
examined the contribution of LD perilipin composition to the physical and
metabolic interactions between LD and mitochondria using multiple techniques:
confocal imaging, electron microscopy (EM), and lipid storage and utilization
measurements. Using neonatal cardiomyocytes, reconstituted cell culture models,
and rodent heart tissues, we found that perilipin 5 (Plin5) recruits mitochondria
to the LD surface through a C-terminal region. Compared with control cells, Plin5
expressing cells show decreased LD hydrolysis, decreased palmitate beta
oxidation, and increased palmitate incorporation into triglycerides in basal
conditions, whereas in stimulated conditions, LD hydrolysis inhibition is lifted
and FA released for beta-oxidation. These results suggest that Plin5 regulates
oxidative LD hydrolysis and controls local FA flux to protect mitochondria
against excessive exposure to FA during physiological stress.
PMID- 21885432
TI - Weight loss and melena in an adolescent female.
PMID- 21885433
TI - Increased documentation and management of pediatric obesity following
implementation of an EMR upgrade and education.
AB - BACKGROUND: Overweight children are often not identified or counseled. PURPOSE:
We assessed the documentation rate and clinical management of overweight children
before and after an electronic medical record (EMR) upgrade calculating body mass
index (BMI) percentile for age and gender. METHODS: Family Medicine resident and
faculty physicians at two sites received an EMR upgrade; Site 1 physicians also
received BMI training and education. From two years before to one year after the
upgrade, randomly selected charts were reviewed for all encounters with
overweight children for documentation of obesity and clinical management.
RESULTS: After the EMR upgrade, documentation and counseling rates significantly
improved at both sites but the rate of change was greater for Site 1;
postintervention documentation was significantly greater for Site 1 vs. Site 2
(40% vs. 28%, P<0.01). CONCLUSIONS: We found an increase in documentation and
management of overweight children following an EMR upgrade that calculates BMI
percentiles for age and gender. Physician education was an important adjunct.
PMID- 21885431
TI - Electrospray MS/MS reveals extensive and nonspecific oxidation of cholesterol
esters in human peripheral vascular lesions.
AB - Although LDL is rendered proatherogenic by various experimental treatments (e.g.,
acetylation), the exact structural changes that drive LDL transformation in vivo
remain enigmatic. Among the many hypothesized targets of oxidative modification
are cholesterol esters (CE). This family of neutral lipids, which carries a
highly unsaturated pool of fatty acyl groups, is the main component of both LDL
particles and atherosclerotic plaques. Tandem mass spectrometry (MS/MS) was
employed to reveal abundant and diverse oxidized CEs (oxCE), including novel
oxidation products, within human peripheral vascular lesions. These oxCE species
composed up to 40% of the total CE pool, with cholesteryl linoleate being
oxidized to the greatest extent. Imaging mass spectrometry studies showed that
oxCE was entirely confined within the plaque, along with unmodified CE and
triacylglyceride (TAG). Interestingly, we found no evidence for TAG oxidation,
although polyunsaturated species were abundant. Enzymatic oxidation of
cholesteryl linoleate by 15-lipoxygenase (15-LO), an enzyme often invoked in CE
oxidation, initially results in a regio- and stereospecific product. Analysis of
intact cholesteryl hydroxyoctadecadienoate isomers in human atheromata revealed
no regio- or stereospecificity, indicating 15-LO was either not a major source of
oxCE or nonenzymatic processes had eroded any product specificity.
PMID- 21885434
TI - The process of treating pediatric appendicitis.
AB - BACKGROUND: A large service and distant geographical area can make the process of
diagnosing and treating appendicitis a challenge. METHODS: Hospital records of
children treated for appendicitis between 2007 and 2009 were retrospectively
analyzed, including time from emergency (ER) to operating room (OR), diagnostic
imaging (DI) utilization, preoperative antibiotic usage, operating time, length
of stay (LOS), and perforation rate. RESULTS: The perforation rate was 34%, with
longer LOS. Transfer time to the children's hospital between ER inside and
outside the city was not different. ER to OR time was significantly shorter for
patients assessed at the children's hospital directly. Ultrasound remained the
most used DI modality (55%). Preoperative antibiotics were only fully
administered in 42% of the cases. CONCLUSION: A clinical pathway for pediatric
appendicitis may address the challenges of the process of pre-ER, ER to OR, and
OR care to maintain an acceptable perforation rate.
PMID- 21885435
TI - The association of intrapartum antibiotic exposure with the incidence and
antibiotic resistance of infantile late-onset serious bacterial infections.
AB - BACKGROUND: The widespread use of intrapartum antibiotics (IPA) has raised
concerns regarding the adverse effects on the newborn. OBJECTIVES: To determine
if IPA is associated with infantile late-onset serious bacterial infections
(SBIs) and with antibiotic resistance. PATIENTS AND METHODS: From 2005 to 2009,
data were prospectively collected for all infants born at our center, aged 7 to
90 days, who were hospitalized for fever. Cases included infants with culture
proven SBIs, and controls included infants without SBIs. Results. A total of 71
cases and 124 controls were included. IPA was documented in 11.3% of cases and in
7.3% of controls (P = .34). Among cases, ampicillin resistance was documented in
85% of antibiotic-exposed infants and in 63% of nonexposed infants (P = .19).
Corresponding rates for first-generation cephalosporin resistance in urinary
tract infection were 75% and 23.5% (P = .04). CONCLUSION: IPA is associated with
a trend toward increased antibiotic resistance in late-onset SBIs. This should be
taken into consideration in the selection of empirical therapy for febrile
infants.
PMID- 21885436
TI - Vitamin C degradation products and pathways in the human lens.
AB - Vitamin C and its degradation products participate in chemical modifications of
proteins in vivo through non-enzymatic glycation (Maillard reaction) and
formation of different products called advanced glycation end products. Vitamin C
levels are particularly high in selected tissues, such as lens, brain and adrenal
gland, and its degradation products can inflict substantial protein damage via
formation of advanced glycation end products. However, the pathways of in vivo
vitamin C degradation are poorly understood. Here we have determined the levels
of vitamin C oxidation and degradation products dehydroascorbic acid, 2,3
diketogulonic acid, 3-deoxythreosone, xylosone, and threosone in the human lens
using o-phenylenediamine to trap both free and protein-bound adducts. In the
protein-free fraction and water-soluble proteins (WSP), all five listed
degradation products were identified. Dehydroascorbic acid, 2,3-diketogulonic
acid, and 3-deoxythreosone were the major products in the protein-free fraction,
whereas in the WSP, 3-deoxythreosone was the most abundant measured dicarbonyl.
In addition, 3-deoxythreosone in WSP showed positive linear correlation with age
(p < 0.05). In water-insoluble proteins, only 3-deoxythreosone and threosone were
detected, whereby the level of 3-deoxythreosone was ~20 times higher than the
level of threosone. The identification of 3-deoxythreosone as the major
degradation product bound to human lens proteins provides in vivo evidence for
the non-oxidative pathway of dehydroascorbate degradation into erythrulose as a
major pathway for vitamin C degradation in vivo.
PMID- 21885437
TI - ABIN1 protein cooperates with TAX1BP1 and A20 proteins to inhibit antiviral
signaling.
AB - Upon virus infection, the innate immune response provides the first line of
protection and rapidly induces type I interferons (IFNalpha/beta), which mediate
potent antiviral effects. To maintain homeostasis and prevent autoimmunity, IFN
production is tightly regulated; however, the mechanisms of negative regulation
are poorly understood. Herein, we demonstrate that the A20 binding inhibitor of
NF-kappaB 1 (ABIN1) is a novel negative regulator of antiviral signaling.
Overexpression of ABIN1 inhibited IFN-beta promoter activation in response to
virus infection or poly(I:C) transfection, whereas siRNA-mediated knockdown of
ABIN1 enhanced IFN-beta production upon virus infection. ABIN1 interacted with
the A20 regulatory molecule TAX1BP1 and was essential for the recruitment of
TAX1BP1 and A20 to the noncanonical IkappaB kinases TBK1 and IKKi in response to
poly(I:C) transfection. ABIN1 and TAX1BP1 together disrupted the interactions
between the E3 ubiquitin ligase TRAF3 and TBK1/IKKi to attenuate lysine 63-linked
polyubiquitination of TBK1/IKKi. Finally, an intact ubiquitin binding domain of
ABIN1 was essential for ABIN1 to interact with TBK1/IKKi and inhibit IFN-beta
production upon poly(I:C) transfection or virus infection. Together, these
results suggest that ABIN1 requires its ubiquitin binding domain and cooperates
with TAX1BP1 and A20 to restrict antiviral signaling.
PMID- 21885438
TI - Localization of ubiquinone-8 in the Na+-pumping NADH:quinone oxidoreductase from
Vibrio cholerae.
AB - Na(+) is the second major coupling ion at membranes after protons, and many
pathogenic bacteria use the sodium-motive force to their advantage. A prominent
example is Vibrio cholerae, which relies on the Na(+)-pumping NADH:quinone
oxidoreductase (Na(+)-NQR) as the first complex in its respiratory chain. The
Na(+)-NQR is a multisubunit, membrane-embedded NADH dehydrogenase that oxidizes
NADH and reduces quinone to quinol. Existing models describing redox-driven Na(+)
translocation by the Na(+)-NQR are based on the assumption that the pump contains
four flavins and one FeS cluster. Here we show that the large, peripheral NqrA
subunit of the Na(+)-NQR binds one molecule of ubiquinone-8. Investigations of
the dynamic interaction of NqrA with quinones by surface plasmon resonance and
saturation transfer difference NMR reveal a high affinity, which is determined by
the methoxy groups at the C-2 and C-3 positions of the quinone headgroup. Using
photoactivatable quinone derivatives, it is demonstrated that ubiquinone-8 bound
to NqrA occupies a functional site. A novel scheme of electron transfer in Na(+)
NQR is proposed that is initiated by NADH oxidation on subunit NqrF and leads to
quinol formation on subunit NqrA.
PMID- 21885439
TI - Lung tumor-associated osteoblast-derived bone morphogenetic protein-2 increased
epithelial-to-mesenchymal transition of cancer by Runx2/Snail signaling pathway.
AB - Bone is a frequent target of lung cancer metastasis and is associated with
significant morbidity and a dismal prognosis. Interaction between cancer cells
and the bone microenvironment causes a vicious cycle of tumor progression and
bone destruction. This study analyzed the soluble factors secreted by lung tumor
associated osteoblast (TAOB), which are responsible for increasing cancer
progression. The addition of bone morphogenetic protein-2 (BMP-2), present in
large amounts in TAOB conditioned medium (TAOB-CM) and lung cancer patient sera,
mimicked the inductive effect of TAOB-CM on lung cancer migration, invasion, and
epithelial-to-mesenchymal transition. In contrast, inhibition of BMP by noggin
decreases the inductive properties of TAOB-CM and lung cancer patient sera on
cancer progression. Induction of lung cancer migration by BMP-2 is associated
with increased ERK and p38 activation and the up-regulation of Runx2 and Snail.
Blocking ERK and p38 by a specific inhibitor significantly decreases cancer cell
migration by inhibiting Runx2 up-regulation and subsequently attenuating the
expression of Snail. Enhancement of Runx2 facilitates Rux2 to recruit p300, which
in turn enhances histone acetylation, increases Snail expression, and decreases E
cadherin. Furthermore, inhibiting Runx2 by siRNA also suppresses BMP-2-induced
Snail up-regulation and cell migration. Our findings provide novel evidence that
inhibition of BMP-2 or BMP-2-mediated MAPK/Runx2/Snail signaling is an attractive
therapeutic target for osteolytic bone metastases in lung cancer patients.
PMID- 21885441
TI - 2Bs or not 2Bs: that is the question.
PMID- 21885440
TI - Oligomerization and pore formation by equinatoxin II inhibit endocytosis and lead
to plasma membrane reorganization.
AB - Pore-forming toxins have evolved to induce membrane injury by formation of pores
in the target cell that alter ion homeostasis and lead to cell death. Many pore
forming toxins use cholesterol, sphingolipids, or other raft components as
receptors. However, the role of plasma membrane organization for toxin action is
not well understood. In this study, we have investigated cellular dynamics during
the attack of equinatoxin II, a pore-forming toxin from the sea anemone Actinia
equina, by combining time lapse three-dimensional live cell imaging, fluorescence
recovery after photobleaching, FRET, and fluorescence cross-correlation
spectroscopy. Our results show that membrane binding by equinatoxin II is
accompanied by extensive plasma membrane reorganization into microscopic domains
that resemble coalesced lipid rafts. Pore formation by the toxin induces Ca(2+)
entry into the cytosol, which is accompanied by hydrolysis of
phosphatidylinositol 4,5-bisphosphate, plasma membrane blebbing, actin
cytoskeleton reorganization, and inhibition of endocytosis. We propose that
plasma membrane reorganization into stabilized raft domains is part of the
killing strategy of equinatoxin II.
PMID- 21885443
TI - GluA4 sustains sensing of sounds through stable, speedy, sumptuous, spineless
synapses.
PMID- 21885442
TI - Don't curse the darkness, light a candle: fluorescence studies of axon
excitability.
PMID- 21885444
TI - Diet and nerves: the impact of maternal feeding on newborn intestinal
permeability.
PMID- 21885446
TI - Molecular mechanisms underlying neurovascular protection in stroke.
PMID- 21885447
TI - New perspectives on the theory of justice: implications for physical therapy
ethics and clinical practice.
AB - Recent revisions of physical therapy codes of ethics have included a new emphasis
concerning health inequities and social injustice. This emphasis reflects the
growing evidence regarding the importance of social determinants of health,
epidemiological trends for health service delivery, and the enhanced
participation of physical therapists in shaping health care reform in a number of
international contexts. This perspective article suggests that there is a
"disconnect" between the societal obligations and aspirations expressed in the
revised codes and the individualist ethical frameworks that predominantly
underpin them. Primary health care is an approach to health care arising from an
understanding of the nexus between health and social disadvantage that considers
the health needs of patients as expressive of the health needs of the communities
of which they are members. It is proposed that re-thinking ethical frameworks
expressed in codes of ethics can both inform and underpin practical strategies
for working in primary health care. This perspective article provides a new focus
on the ethical principle of justice: the ethical principle that arguably remains
the least consensually understood and developed in the ethics literature of
physical therapy. A relatively recent theory of justice known as the "capability
approach to justice" is discussed, along with its potential to assist physical
therapy practitioners to further develop moral agency in order to address
situations of health inequity and social injustice in clinical practice.
PMID- 21885448
TI - Moral agency as enacted justice: a clinical and ethical decision-making framework
for responding to health inequities and social injustice.
AB - This is the second of 2 companion articles in this issue. The first article
explored the clinical and ethical implications of new emphases in physical
therapy codes of conduct reflecting the growing evidence regarding the importance
of social determinants of health, epidemiological trends for health service
delivery, and the enhanced participation of physical therapists in shaping health
care reform in a number of international contexts. The first article was
theoretically oriented and proposed that a re-thinking of ethical frameworks
expressed in codes of ethics could both inform and underpin practical strategies
for working in primary health care. A review of the ethical principle of
"justice," which, arguably, remains the least consensually understood and
developed principle in the ethics literature of physical therapy, was provided,
and a more recent perspective-the capability approach to justice-was discussed.
The current article proposes a clinical and ethical decision-making framework,
the ethical reasoning bridge (ER bridge), which can be used to assist physical
therapy practitioners to: (1) understand and implement the capability approach to
justice at a clinical level; (2) reflect on and evaluate both the fairness and
influence of beliefs, perspectives, and context affecting health and disability
through a process of "wide reflective equilibrium" and assist patients to do this
as well; and (3) nurture the development of moral agency, in partnership with
patients, through a transformative learning process manifest in a mutual
"crossing" and "re-crossing" of the ER bridge. It is proposed that the
development and exercise of moral agency represent an enacted justice that is the
result of a shared reasoning and learning experience on the part of both
therapists and patients.
PMID- 21885450
TI - In tribute: Steve Haley.
PMID- 21885449
TI - Impact of elliptical trainer ergonomic modifications on perceptions of safety,
comfort, workout, and usability for people with physical disabilities and chronic
conditions.
AB - BACKGROUND: The popularity of elliptical training has grown in rehabilitation,
fitness, and home settings as a means for improving fitness and walking, yet many
people with physical disabilities and chronic conditions experience difficulties
when trying to use elliptical trainers. OBJECTIVE: The purpose of this study was
to compare, for people with disabilities and chronic conditions, perceptions of
safety, comfort, workout, and usability of 4 elliptical trainers before and after
the development of a set of low-cost adaptations. DESIGN: This study was a quasi
experimental repeated-measures investigation. METHODS: Twenty adults with diverse
medical conditions and functional abilities evaluated 4 elliptical trainers for
safety, comfort, workout, and usability. Barriers to the use of the elliptical
trainers and solutions to improve the use of the trainers were identified.
Prototype modifications were designed, and participants reassessed the same
features after the modifications were made. RESULTS: An integrated system (steps,
bench, side rails, center rail or handle, deeper foot wells, and 1-handed heart
rate monitor) was developed. Although at least 25% of participants required
physical assistance to get on or off the elliptical trainers before modification,
only one required this after modification. Before modification, only 1
participant was able to mount each device independently; after modification, 6 to
8 participants were able to do so. Up to 25% of participants continued to require
assistance to initiate or sustain pedal movement. Compared with participants'
ratings of the elliptical trainers before modification, those after modification
were higher for safety (55% increase in visual analog scale ratings), comfort
(43% higher), ability to achieve a good workout (23% greater), and usability (24%
increase). LIMITATIONS: To date, only 4 elliptical trainers have been studied
with a small sample of convenience. CONCLUSIONS: Elliptical trainers posed access
challenges to people with disabilities, chronic conditions, or both.
Implementation of low-cost modifications successfully reduced barriers and the
need for assistance, enabling greater access for people who could benefit from
using the devices for functional training and fitness.
PMID- 21885451
TI - On "An obese body mass increases the adverse effects..." Bauer LO, Wu Z, Wolfson
LI. Phys Ther. 2011;91:1063-1071.
PMID- 21885452
TI - A multiparametric approach to monitor the effects of gamma-secretase inhibition
along the whole intestinal tract.
AB - gamma-secretase inhibitors (GSIs) have been recently proposed as chemopreventive
agents in gastrointestinal neoplasia, because they lead, through inhibition of
the Notch signaling pathway, to goblet cell conversion in some intestinal
adenomas of the Apc(Min) mice, and halt epithelial cell proliferation. In this
study, we examine in depth, in normal mice, the effects of a GSI, dibenzazepine
(DBZ), intraperitoneally administered for 8 days at a non toxic dose, on the gene
expression pattern of secretory mucin (MUC), goblet cell conversion, organization
of the crypt structural-proliferative units, stem cell niche and apoptotic
compartments, along the entire length of the small intestine and colon. We
demonstrate that DBZ elicits a homogeneous goblet cell conversion all along the
mouse intestinal tract, associated with an overexpression of the gene Muc2
without ectopic expression of the gastric genes Muc5ac and Muc6, and with the
emergence of lysozyme-positive 'intermediate cells' in the colon. Furthermore,
DBZ treatment induces a heterogeneous reorganization of the crypt structural
proliferative units along the intestinal tract and of the stem cell niche in the
colon, without disturbing the apoptotic compartment. These findings point to
uncoupled effects of a GSI on goblet cell conversion and reorganization of the
intestinal crypt structural-proliferative units and stem cell niche, and suggest
caution in the use of GSIs as chemopreventive agents for intestinal neoplasia.
PMID- 21885453
TI - Effects of systematic oral care in critically ill patients: a multicenter study.
AB - BACKGROUND: No standard oral assessment tools are available for determining
frequency of oral care in critical care patients, and the method of providing
oral care is controversial. OBJECTIVES: To examine the effects of a systematic
program of oral care on oral assessment scores in critically ill intubated and
nonintubated, patients. METHODS: Clinical data were collected 3 times during
critical care admissions before and after institution of a systematic program of
oral care in 3 different medical centers. The oral care education program
consisted of instruction from a dentist or dental hygienist and a clear procedure
outlining systematic oral care. The Beck Oral Assessment Scale and the mucosal
plaque score were used to assess the oral cavity. Data were analyzed by using
linear mixed modeling with controls for severity of illness. RESULTS: Scores on
the Beck Scale differed significantly (F = 4.79, P = .01) in the pattern of
scores across the 3 days and between the control group (before oral education)
and the systematic oral care group. Unlike the control group, the treatment group
had decreasing scores on the Beck Scale from day 1 to day 5. The mucosal-plaque
score and the Beck Scale scores had strong correlations throughout the study; the
highest correlation was on day 5 (r = 0.798, P < .001, n = 43). CONCLUSIONS: Oral
assessment scores improved after nurses implemented a protocol for systematic
oral care. Use of the Beck Scale and the mucosal-plaque score could standardize
oral assessment and guide nurses in providing oral interventions.
PMID- 21885454
TI - Hypoglycemic events in intensive care patients: analysis by insulin
administration method and sample type.
AB - BACKGROUND: Intensive insulin treatment is associated with an increased risk of
hypoglycemia, so strict glycemic monitoring is essential. The best type of sample
for identifying hypoglycemia remains under debate. OBJECTIVES: To establish the
number of hypoglycemic events in intensive care patients relative to insulin
administration method and the method used to collect the blood sample. METHODS:
Retrospective descriptive study lasting 6 months. Hypoglycemia was defined as a
blood glucose level less than 80 mg/dL (mild: 50-79 mg/dL, severe: <50 mg/dL),
measured with a bedside glucometer and blood from the arterial catheter or
fingerstick, in critically ill patients who require insulin administered
subcutaneously (with sliding scales) or via continuous intravenous perfusion
(intense infusion protocol with a nurse-managed insulin therapy algorithm).
RESULTS: Analysis of the 6636 samples from 144 critically ill patients revealed
188 mildly hypoglycemic samples (2.8%) and 3 severely hypoglycemic samples
(0.04%). The prevalence of mild hypoglycemia was greater when insulin was
administered intravenously (3.2%) rather than subcutaneously (2.3%; P = .04).
Among patients receiving insulin intravenously, hypoglycemia was found more often
in arterial (4.5%) than in capillary (2.8%) blood (P = .01). The prevalence of
hypoglycemia in capillary blood samples did not differ significantly between
subcutaneous (2.3%) and intravenous (2.8%) insulin therapies (P = .21).
CONCLUSIONS: With a target blood glucose level of 110 to 140 mg/dL, few
hypoglycemic events are detected in critically ill patients, regardless of
whether insulin is administered intravenously or subcutaneously. Analysis of
solely arterial samples may yield a higher prevalence of hypoglycemia than
otherwise.
PMID- 21885455
TI - September 2011: a time to remember and prepare.
PMID- 21885457
TI - Predictors of pressure ulcers in adult critical care patients.
AB - BACKGROUND: Pressure ulcers are one of the most underrated conditions in
critically ill patients. Despite the introduction of clinical practice guidelines
and advances in medical technology, the prevalence of pressure ulcers in
hospitalized patients continues to escalate. Currently, consensus is lacking on
the most important risk factors for pressure ulcers in critically ill patients,
and no risk assessment scale exclusively for pressure ulcers in these patients is
available. OBJECTIVE: To determine which risk factors are most predictive of
pressure ulcers in adult critical care patients. Risk factors investigated
included total score on the Braden Scale, mobility, activity, sensory perception,
moisture, friction/shear, nutrition, age, blood pressure, length of stay in the
intensive care unit, score on the Acute Physiology and Chronic Health Evaluation
II, vasopressor administration, and comorbid conditions. METHODS: A
retrospective, correlational design was used to examine 347 patients admitted to
a medical-surgical intensive care unit from October 2008 through May 2009.
RESULTS: According to direct logistic regression analyses, age, length of stay,
mobility, friction/shear, norepinephrine infusion, and cardiovascular disease
explained a major part of the variance in pressure ulcers. CONCLUSION: Current
risk assessment scales for development of pressure ulcers may not include risk
factors common in critically ill adults. Development of a risk assessment model
for pressure ulcers in these patients is warranted and could be the foundation
for development of a risk assessment tool.
PMID- 21885458
TI - Pressure ulcer prevention.
PMID- 21885459
TI - Patient-related factors associated with hospital discharge to a care facility
after critical illness.
AB - BACKGROUND: Many critically ill patients are transferred to other care facilities
instead of to home at hospital discharge. OBJECTIVE: To identify patient-related
factors associated with hospital discharge to a care facility after critical
illness and to estimate the magnitude of risk associated with each factor.
METHODS: Retrospective cohort study of 548 survivors of critical illness in a
medical intensive care unit. Multivariable logistic regression was used to
identify independent risk factors for discharge to a care facility. Only the
first 72 hours of intensive care were analyzed. RESULTS: Approximately one
quarter of the survivors of critical illness were discharged to a care facility
instead of to home. This event occurred more commonly in older patients, even
after adjustment for severity of illness and comorbid conditions (odds ratio [OR]
1.8 for patients >= 65 years of age vs patients < 65 years; 95% confidence
interval [CI], 1.1-3.1; P = .02). The risk was greatest for patients who received
mechanical ventilation (OR, 3.4; 95% CI, 2.0-5.8; P < .001) or had
hospitalizations characterized by severe cognitive dysfunction (OR, 8.1; 95% CI,
1.3-50.6; P = .02) or poor strength and/or mobility (OR, 31.7; 95% CI, 6.4-157.3;
P < .001). The model showed good discrimination (area under the curve, 0.82; 95%
CI, 0.77-0.86). CONCLUSION: The model, which did not include baseline function or
social variables, provided good discrimination between patients discharged to a
care facility after critical illness and patients discharged to home. These
results suggest that future research should focus on the debilitating effects of
respiratory failure and on conditions with cognitive and neuromuscular sequelae.
PMID- 21885460
TI - Measurement of end-tidal carbon dioxide in spontaneously breathing children after
cardiac surgery.
AB - BACKGROUND: Respiratory monitoring is important after surgery to prevent
pulmonary complications. End-tidal carbon dioxide (Petco(2)) measurement by
capnometry is an indirect and noninvasive measurement of Pco(2) in blood and is
accepted and recognized in critical care. OBJECTIVES: To determine the
correlation and level of agreement between Petco(2) and Paco(2) in spontaneously
breathing children after cardiac surgery and to determine whether Petco(2)
measured by using tidal volume (Vt-Petco(2)) or vital capacity (VC-Petco(2))
shows more or less significant correlation with Paco(2). METHODS: Vt-Petco(2) and
VC-Petco(2) by capnometry and Paco(2) by blood gas analysis were measured once a
day after tracheal extubation. The determination coefficient and degree of bias
between the methods were assessed in children with and without supplemental
oxygen. RESULTS: A total of 172 Vt-Petco(2), VC-Petco(2), and Paco(2) values from
48 children were analyzed. The overall coefficients of determination were 0.84 (P
< .001) for Vt-Petco(2) and Paco(2) and 0.62 (P = .02) for VC-Petco(2) and
Paco(2). The mean gradient for Paco(2) to Petco(2) in all groups increased with
the increase in supplemental oxygen; the gradient was significantly larger in the
groups given 2 to 5 L of oxygen per minute. CONCLUSIONS: In spontaneously
breathing children, Vt-Petco(2) provided a more accurate estimate of Paco(2) than
did VC-Petco(2), especially in children given little or no supplemental oxygen.
The difference between the methods was significantly larger in the groups given 2
to 5 L of oxygen per minute.
PMID- 21885461
TI - Factors associated with the level of backrest elevation in a thoracic
cardiovascular intensive care unit.
AB - BACKGROUND: Ventilator-associated pneumonia is a complication of mechanical
ventilation that is associated with increased length of stay, morbidity,
mortality, and costs. Evidence-based guidelines to reduce the risk of ventilator
associated pneumonia recommend use of 30o to 45o backrest elevation. Despite
recommendations, patients continue to be cared for in positions with a lower
backrest elevation. Hemodynamic stability may be a factor in the lack of
adherence, yet few data exist to confirm this hypothesis. OBJECTIVE: To determine
the relationship between backrest elevation and hemodynamic instability among
patients in a thoracic cardiovascular intensive care unit. METHODS: A sample of
100 patients was studied. Patients were randomly selected by time of day. A
protractor was used to measure patients' backrest elevation. Mean blood pressure,
time of day, and fluid and vasopressor use also were recorded. RESULTS: Lower
backrest elevation was associated with use of vasopressors (P = .001). Patients
who received hemodynamic support also had a lower backrest elevation than did
patients not receiving these therapies (mean, 19o vs 26o ; P = .01). Patients
with a mean blood pressure of 64 mm Hg or less had a mean backrest elevation of
17o versus 24o for patients with a mean blood pressure greater than 65 mm Hg (P =
.01). Back-rest elevations did not differ between shifts. CONCLUSION: That
backrest elevation is associated with lower mean blood pressure and vasopressor
use suggests that nurses are not adhering to recommended levels of backrest
elevation so as to maintain hemodynamic stability. Further studies are needed to
elucidate reasons for lack of adherence to recommended levels of backrest
elevation.
PMID- 21885462
TI - Coronary artery dominance.
PMID- 21885463
TI - Levels of neutrophil gelatinase-associated lipocalin in 2 patients with crush
syndrome after a mudslide.
AB - Neutrophil gelatinase-associated lipocalin is one of the most promising
biomarkers for the diagnosis of acute kidney injury. An increase in the level of
neutrophil gelatinase-associated lipocalin is a good predictor of acute kidney
injury and is associated with an increase in the serum level of creatinine. Two
victims of a mudslide in Messina, Italy, initially had crush syndrome followed by
development of acute kidney injury. The development of acute kidney injury is the
second most common cause of death after large earthquakes and other natural
disasters, but at the same time, crush-related acute kidney injury is one of the
few life-threatening complications of crush injuries that can be reversed if
diagnosed early and treated. In this case, measuring the level of neutrophil
gelatinase-associated lipocalin enabled early diagnosis of acute kidney injury
and anticipation of the changes in levels of conventional markers such as
creatinine.
PMID- 21885464
TI - NEW EAE/ASE recommendations for the use of echocardiography in new transcatheter
interventions for valvular heart disease.
AB - The new full and detailed recommendations later in this issue are summarized here
by Jose L. Zamorano, MD, FESC, chair EAE writing group.
PMID- 21885465
TI - EAE/ASE recommendations for the use of echocardiography in new transcatheter
interventions for valvular heart disease.
AB - The introduction of devices for transcatheter aortic valve implantation, mitral
repair, and closure of prosthetic paravalvular leaks has led to a greatly
expanded armamentarium of catheter-based approaches to patients with regurgitant
as well as stenotic valvular disease. Echocardiography plays an essential role in
identifying patients suitable for these interventions and in providing intra
procedural monitoring. Moreover, echocardiography is the primary modality for
post-procedure follow-up. The echocardiographic assessment of patients undergoing
transcatheter interventions places demands on echocardiographers that differ from
those of the routine evaluation of patients with native or prosthetic valvular
disease. Consequently, the European Association of Echocardiography in
partnership with the American Society of Echocardiography has developed the
recommendations for the use of echocardiography in new transcatheter
interventions for valvular heart disease. It is intended that this document will
serve as a reference for echocardiographers participating in any or all stages of
new transcatheter treatments for patients with valvular heart disease.
PMID- 21885466
TI - Association of body composition and left ventricular dimensions in elite
athletes.
AB - BACKGROUND: Correction for body composition is recommended for appropriate
interpretation of equivocally altered cardiac dimensions. We sought to
investigate the impact of body composition on athletes' heart. METHODS: Left
ventricular mass (LVM), septal wall thickness (SWT) and end-diastolic diameter
(LVEDD) were measured by echocardiography in 1051 elite athletes (26% female,
aged 18-40 years) and in 338 sedentary controls matched for age, gender and body
size. Body fat was determined by skinfold thickness measurements. RESULTS:
Normative ranges are provided for LVM, LVEDD and SWT scaled to body surface area
(BSA), height, height(2.7) and fat-free mass (FFM). The strongest correlation was
found for FFM (r = 0.70; 0.64; 0.49; p < 0.001 each). LVM, LVEDD and SWT differed
significantly (p < 0.05) between athletes of low, moderate and high dynamic
disciplines. Correcting LVEDD for height(2.7) eliminated these differences (p >
0.05), whereas LVM and SWT remained significantly increased in high dynamic
athletes despite correction for body size. Gender differences were consistently
eliminated by scaling LVEDD to FFM(0.33) and SWT to BSA, but scaled LVM remained
significantly increased in male athletes. Compared to sedentary controls,
significant differences in LVEDD and SWT disappeared after correction for
height(2.7) and FFM, but LVM again remained significantly higher in athletes.
CONCLUSIONS: Adaptation of left ventricular dimensions to exercise training is
closely related to body composition, in particular to FFM. The normative ranges
for LVEDD, SWT and LVM scaled to body size aid interpretation of equivocal
alterations in elite athletes. However, the increase of LVM in particular reveals
exercise-induced adaptations beyond these associations.
PMID- 21885468
TI - Testing the construct validity of the gambling functional assessment-revised.
AB - An attempt was made to modify the Gambling Functional Assessment (GFA), which was
proposed to identify four possible contingencies maintaining the respondent's
gambling behavior. However, previous research found that it only identified two
contingencies (i.e., positive vs. negative reinforcement), with some items cross
loading on both contingencies and one not loading at all. A total of 1,060
undergraduate students completed a revised version of the GFA containing 22
items. Exploratory factor analyses conducted on a random selection of half of the
participants led to a two-factor solution (positive and negative reinforcement)
for 16 of the items that strongly loaded on the two factors. Confirmatory factor
analyses conducted using structural equation modeling on the data from the other
half of the sample confirmed the two-factor model. The GFA-Revised consists of 16
items, 8 each measuring positive and negative reinforcement contingencies.
Although this revised measure cleanly parses the two contingencies, the data
indicate that gambling maintained by positive reinforcement is more frequent than
gambling maintained by negative reinforcement. This outcome will make directly
comparing the two contingencies difficult, especially given that evidence
suggests that gambling maintained by negative reinforcement is more strongly
associated with pathology than gambling maintained by positive reinforcement.
PMID- 21885469
TI - Should nonsuicidal self-injury be a putative obsessive-compulsive-related
condition? A critical appraisal.
AB - Nonsuicidal self-injury (NSSI) has many behavioral and cognitive features that
would make it appear to be closely tied to obsessive-compulsive disorder (OCD).
Obsessive-compulsive-related disorders (OCRDs) have been described in the
literature as conditions that share a common phenomenology, neurobiology, and
treatment response. The authors reviewed the literature describing the degree
that NSSI is similar to, and distinct from, OCRDs based on these hypothesized
common areas. They conclude with recommendations for conceptualization that draws
partly from the OCRD literature and from cognitive-behavioral models of
rumination.
PMID- 21885470
TI - Adherence to treatment in a behavioral intervention curriculum for parents of
children with autism spectrum disorder.
AB - Parents (N = 21) of children with autism spectrum disorders responded to a survey
on adherence to skills instruction and problem behavior management strategies
they had previously been observed to master in a standardized training curriculum
based on the principles of applied behavior analysis. Survey items were guided by
existing models of and research in treatment adherence. Parents reported
adherence below observed mastery criteria in five of six skill areas. Three
independent variables indexing caregiver perception were significantly correlated
with reported adherence: perceived effectiveness as a behavior change agent,
confidence in the intervention to produce meaningful change, and acceptance of
child in family and community. Perceived confidence significantly predicted
adherence in a regression model (p < .05). Implications of caregiver perception
in explanatory frameworks for adherence are discussed, and next steps in the
measurement and study of treatment adherence in behavior analysis are proposed.
PMID- 21885467
TI - Deciphering the genetic basis of animal domestication.
AB - Genomic technologies for livestock and companion animal species have
revolutionized the study of animal domestication, allowing an increasingly
detailed description of the genetic changes accompanying domestication and breed
development. This review describes important recent results derived from the
application of population and quantitative genetic approaches to the study of
genetic changes in the major domesticated species. These include findings of
regions of the genome that show between-breed differentiation, evidence of
selective sweeps within individual genomes and signatures of demographic events.
Particular attention is focused on the study of the genetics of behavioural
traits and the implications for domestication. Despite the operation of severe
bottlenecks, high levels of inbreeding and intensive selection during the history
of domestication, most domestic animal species are genetically diverse. Possible
explanations for this phenomenon are discussed. The major insights from the
surveyed studies are highlighted and directions for future study are suggested.
PMID- 21885471
TI - Labelled antibody-based one-step time-resolved fluoroimmunoassay for measurement
of free thyroxine in serum.
AB - BACKGROUND: Valid assays measuring free thyroxine (FT4) must perform without bias
despite large variations in the concentrations and affinities of serum thyroxine
binding proteins in the population. We developed a new, rapid one-step labelled
antibody time-resolved fluoroimmunoassay (TRFIA) for FT4. METHODS: Based on the
heterologous combination of anti-T4 monoclonal antibody and triiodothyronine
immunoglobulin G conjugate, a one-step TRFIA for FT4 detection was established
and compared with the two-step DELFIA((r)) Free Thyroxine Assay. Matrix
interference caused by endogenous binders and exogenous non-esterified fatty
acids (NEFA) was also accessed in the proposed assay. RESULTS: The developed
method generally took only one hour, had a detection limit of 0.6 pmol/L and a
large linear range of 2.5-120 pmol/L. The inter- and intra-assay coefficients of
variation were 3.5-6.6% and 4.4-9.8%, respectively. Results from 110 specimens
showed apparent agreement with that from the DELFIA((r)) FT4 Assay with the
square of the correlation coefficient of 0.975. This assay indicated that there
was no significant dependence on endogenous binders and displayed potential
interference by exogenous NEFA up to 5 mmol/L. CONCLUSIONS: The proposed one-step
heterologous TRFIA FT4 assay possesses simplicity, accuracy, high sensitivity and
exhibits great potential for FT4 measurement. The combination of heterologous
immunoassay with TRFIA may be advantageous for FT4 immunoassay development.
PMID- 21885472
TI - Screening for drugs of abuse: which matrix, oral fluid or urine?
AB - Urine is recognized as the prime matrix for drug test screening with well
established methods and testing protocols. Its major limitation is with regard to
the inconvenience of sample collection and lack of integrity due to adulteration,
dilution, drug spiking or sample exchange. The question is whether oral fluid,
with its apparent better sample integrity, can replace urine for drug screening.
This review examines the sample integrity problems and the advantages and
limitations of oral fluid and urine in drug screening programmes. The variety of
sample collection devices for oral fluid is shown to be a problem with recovery
and detection for some drugs. This is examined in relation to the
pharmacokinetics of drug metabolism and excretion in this matrix. Buccal
contamination with drugs in oral fluid may also cause problems with
interpretation. The clinical advantages of oral fluid analysis compared with
urine testing are highlighted. Parent drugs are often found in oral fluid where
only their metabolites may be found in urine, for example the benzodiazepines. 6
Monoacetylmorphine, an indicative marker of heroin, has a high prevalence in oral
fluid from users of this drug but its detection in urine is limited due to its
short half-life. Advances in analytical techniques, particularly chromatography
linked to tandem mass spectrometry, are helping to promote oral fluid analysis.
However, the lack of concordance studies examining both urine and oral fluid drug
levels and kinetics in the clinical setting is of some concern.
PMID- 21885473
TI - A national audit of estimated glomerular filtration rate and proteinuria
reporting in the UK.
AB - BACKGROUND: Within the UK there has been a rapid move to include the reporting of
estimated glomerular filtration rate (eGFR) values to identify patients with
chronic kidney disease (CKD). Guidance has also changed since its original
introduction. This national audit aimed to establish how eGFR is reported by
laboratories within the UK. METHODS: A questionnaire was sent to 164 UK National
Health Service laboratories, which included questions on the patient groups who
had eGFR reported, the eGFR thresholds used, adjustments made for ethnicity and
the means of identifying proteinuria. The audit standards used were based on the
2008 National Institute for Health and Clinical Excellencec (NICE) CKD guidance.
RESULTS: One hundred and thirty-one of the 164 laboratories (80%) responded.
Forty-six percent reported eGFR on all adult requests while 36% limited use to
general practitioners. Twenty-one percent did not issue eGFR to any inpatient.
Sixty-two percent and 30% of laboratories had an upper reporting limit of >=90
and >=60 mL/min/1.73 m(2), respectively; the remainder having higher thresholds.
Sixty percent cited an ethnicity 'correction factor' on the report, 59% in a
guideline but 28% in neither. An albumin:creatinine ratio was used by 63% to
detect non-diabetic proteinuria, while 37% exclusively used a protein:creatinine
ratio. CONCLUSIONS: There has been rapid implementation of eGFR reporting in the
UK since 2006. However, there is considerable variation in the way it is
reported, with few laboratories currently exactly matching existing NICE
recommendations. A reassessment of biochemical CKD assessment by most
laboratories following a reiteration and clarification of current recommendations
seems warranted.
PMID- 21885474
TI - Endovascular repair of the half aortic arch in pigs with an improved, single
branched stent graft system for the brachiocephalic trunk.
AB - The objective of this study was to evaluate the feasibility of endovascular
repair of half of the aortic arch in pigs using an improved, integrated, single
branched stent graft for the ascending aorta and brachiocephalic trunk (BCT). We
designed an improved stent graft in an integrated fashion and deployed the stent
grafts into the ascending aortas and BCT of eight pigs. The feasibility of the
stent graft deployments was evaluated three months after the procedures using
arteriography, computed tomography angiography (CTA) and animal autopsy. The
stent grafts were successfully deployed in eight pigs. All animals survived for
at least three months. Arteriography, CTA and animal necropsy revealed good stent
fixation in eight cases. Their head CT scans found no evidence of cerebral
infarction. In conclusion, endovascular repair of the half aortic arch with the
integrated single-branched stent graft system appears to be safe and feasible in
pigs.
PMID- 21885475
TI - A rare case of giant popliteal artery aneurysm in a young adult.
AB - Popliteal artery aneurysms (PAAs) are rare in the general population, but are the
most common peripheral aneurysms. PAAs are often bilateral and are associated
with abdominal aortic aneurysms. They usually affect older men with established
cardiovascular disease caused by atherosclerosis. Whenever they occur in younger
men, other more unusual etiologies such as trauma, mycotic aneurysm, inflammatory
arteritis or popliteal entrapment are responsible. The authors report a rare case
of giant congenital PAA, revealed by acute limb ischemia in a 23-year-old
patient. The evolution after resection of the popliteal aneurysm and end-to-end
anastomosis with synthetic graft was favorable.
PMID- 21885476
TI - Pyoderma gangrenosum: a new complication after groin exposure for attempted
endovascular aortic stent-graft placement.
AB - Pyoderma gangrenosum (PG) is an uncommon and frequently misdiagnosed inflammatory
dermatosis. This neutrophilic inflammation of the dermis has been well described
in relation to other inflammatory illnesses (i.e. inflammatory bowel disease).
Although rare, PG is increasingly described in relation to trauma or surgical
interventions. It is important for vascular surgeons to be aware of the potential
development of PG at vascular access sites. Although endovascular surgery is a
minimally invasive form of vascular surgery, it does require cutaneous
manipulation, which can incite PG. The purpose of this report is to describe a
case of PG that developed after an attempted endovascular surgery and to
elucidate this rare dermatologic disorder's diagnosis and treatment.
PMID- 21885477
TI - A role for the putative cannabinoid receptor GPR55 in the islets of Langerhans.
AB - The cannabinoid CB1 receptor is a well-known player in energy homeostasis and its
specific antagonism has been used in clinical practice for the treatment of
obesity. The G protein-coupled receptor GPR55 has been recently proposed as a new
cannabinoid receptor and, by contrast, its pharmacology is still enigmatic and
its physiological role is largely unexplored, with no reports investigating its
putative role in metabolism. Thus, we aim to investigate in rats the presence,
distribution and putative physiological role of GPR55 in a key metabolic tissue,
the endocrine pancreas. We found high Gpr55 mRNA content in pancreatic islets and
considerable protein distribution in insulin-secreting beta-cells. Activation of
GPR55 by the agonist O-1602 increased calcium transients (P<0.01) and insulin
secretion (P<0.001) stimulated by glucose. This latter effect was blunted in
Gpr55 KO mice suggesting that O-1602 is acting, at least in part, through GPR55.
Indeed, acute in vivo experiments showed that GPR55 activation increases glucose
tolerance (P<0.05) and plasma insulin levels (P<0.05), suggesting an in vivo
physiological relevance of GPR55 systemic stimulation. Taken together, these
results reveal the expression of GPR55 receptors in the endocrine pancreas as
well as its function at stimulus-secretion coupling of insulin secretion,
suggesting a role in glucose homeostasis. In this context, it may also represent
a new target for consideration in the management of type 2 diabetes and related
diseases.
PMID- 21885478
TI - Inflammatory effects of patagonfibrase, a metalloproteinase from Philodryas
patagoniensis (Patagonia Green Racer; Dipsadidae) venom.
AB - Patagonfibrase is a P-III class metalloproteinase isolated from the venom of
Philodryas patagoniensis, a South-American, rear-fanged 'colubrid' snake
responsible for accidents with clinical significance. Since local inflammatory
reactions are conspicuous signs of snakebites inflicted by this species and
taking into consideration that most snake venom metalloproteinases exhibit
inflammatory activity, this study deals with the proinflammatory effects evoked
by patagonfibrase. Herein, we demonstrate that patagonfibrase causes a time- and
dose-dependent hemorrhagic edema when injected into mouse hind paws. The peak of
edema occurred at 30 min after injection, and the minimum edematogenic dose was
0.021 MUg. By histological analysis, the presence of moderate to marked edema and
hemorrhage, and a mild inflammatory infiltrate was observed. When injected
subcutaneously into the scrotal bag of mice, patagonfibrase induced cell
recruitment with a significant alteration in physiological parameters of
leukocyte-endothelium interaction. The presence of 1 mmol/L o-phenanthroline,
which chelates metal ions, significantly inhibited the proinflammatory effects
induced by patagonfibrase. Taken together, these results imply that
patagonfibrase is an important contributor to local inflammation elicited by P.
patagoniensis envenomation, which may pave the way for novel therapeutic
strategies to treat this snakebite. Moreover, our findings demonstrate for the
first time that a venom metalloproteinase from a rear-fanged snake elicits
proinflammatory effects mainly mediated by its catalytic activity.
PMID- 21885479
TI - The emerging roles of fatty acid translocase/CD36 and the aryl hydrocarbon
receptor in fatty liver disease.
AB - The fatty acid translocase (FAT)/CD36 belongs to the class B scavenger receptor
family. In addition to the known functions of CD36 in the uptake of oxidized low
density lipoprotein by macrophages and uptake of fatty acids by adipose tissues,
skeletal muscle and heart, emerging evidence has pointed to an equally important
function of CD36 in the uptake of fatty acids in the liver and the pathogenesis
of fatty liver disease. Recent reports have also suggested CD36 as a shared
transcriptional target of several ligand-sensing and lipogenic transcriptional
factors, such as the aryl hydrocarbon receptor, and several nuclear hormone
receptors, such as pregnane X receptor, liver X receptor and peroxisome
proliferator activated receptor gamma. Non-alcoholic fatty liver disease is
common and medically significant, because it is closely related to metabolic
syndrome and has a potential to progress into the more harmful non-alcoholic
steatohepatitis. It is hoped that CD36 and their transcriptional regulators can
represent novel therapeutic targets for the prevention and management of fatty
liver disease.
PMID- 21885480
TI - Lentivirus-mediated expression of cDNA and shRNA slows degeneration in retinitis
pigmentosa.
AB - Mutations in Pde6b lead to high levels of signaling molecules cyclic guanosine
monophosphate (cGMP) and Ca(2+), which ultimately result in photoreceptor cell
death in certain forms of retinitis pigmentosa (RP). The level of cGMP, which is
controlled by opposing activities of guanylate cyclase (GUCY) and photoreceptor
phosphodiesterase-6 (PDE6), regulates the opening of cyclic nucleotide-gated ion
channels [CNG] and thereby controls Ca(2+) influx into the outer segments. Using
a lentiviral gene therapy approach, we have previously shown that degeneration
can be temporarily slowed either by introducing wild-type PDE6beta or knocking
down expression of GUCY2E and CNGA1 in photoreceptors of Pde6b(H620Q), a mouse
model for RP. Rescue was transient with either approach. Therefore, we tested a
novel combination therapy using bipartite lentiviral vectors designed to both
introduce wild-type PDE6beta expression and knockdown GUCY2E or CNGA1. Immunoblot
analysis shows simultaneous increases in PDE6beta and decreases in GUCY2E or
CNGA1 in retinas transduced by the vectors, indicating successful transduction.
In Pde6b(H620Q) mutants, we observe rescue of photoreceptor function and an
increase in photoreceptor rows as compared with untreated controls. However, no
evidence of prolonged rescue beyond the limit of the previously tested single
therapy was observed.
PMID- 21885481
TI - A unique homologue of the eukaryotic protein-modifier ubiquitin present in the
bacterium Bacteroides fragilis, a predominant resident of the human
gastrointestinal tract.
AB - In the complete genome sequences of Bacteroides fragilis NCTC9343 and 638R, we
have discovered a gene, ubb, the product of which has 63 % identity to human
ubiquitin and cross-reacts with antibodies raised against bovine ubiquitin. The
sequence of ubb is closest in identity (76 %) to the ubiquitin gene from a
migratory grasshopper entomopoxvirus, suggesting acquisition by inter-kingdom
horizontal gene transfer. We have screened clinical isolates of B. fragilis from
diverse geographical regions and found that ubb is present in some, but not all,
strains. The gene is transcribed and the mRNA is translated in B. fragilis, but
deletion of ubb did not have a detrimental effect on growth. BfUbb has a
predicted signal sequence; both full-length and processed forms were detected in
whole-cell extracts, while the processed form was found in concentrated culture
supernatants. Purified recombinant BfUbb inhibited in vitro ubiquitination and
was able to covalently bind the human E1 activating enzyme, suggesting it could
act as a suicide substrate in vivo. B. fragilis is one of the predominant members
of the normal human gastrointestinal microbiota with estimates of up to >1011
cells per g faeces by culture. These data indicate that the gastro-intestinal
tract of some individuals could contain a significant amount of aberrant
ubiquitin with the potential to inappropriately activate the host immune system
and/or interfere with eukaryotic ubiquitin activity. This discovery could have
profound implications in relation to our understanding of human diseases such as
inflammatory bowel and autoimmune diseases.
PMID- 21885483
TI - Serum hepcidin: a direct link between anemia of inflammation and coronary artery
atherosclerosis in patients with rheumatoid arthritis.
AB - OBJECTIVE: To investigate the role of hepcidin as an inducer of anemia of
inflammation in patients with rheumatoid arthritis (RA), and its correlation to
coronary artery atherosclerosis. METHODS: Our study included 60 patients with RA
and 20 healthy controls. Anemic RA patients with serum transferrin receptors/log
ferritin (sTfR-F) index value < 1.5 were classified as having pure anemia of
chronic disease (ACD), and patients with sTfR-F index value > 1.5 were classified
as having anemia of chronic disease with coexistent iron deficiency anemia
(ACD+IDA). Measurements were taken for Disease Activity Score for 28 joints
(DAS28), Modified Health Assessment Questionnaire (MHAQ), erythrocyte
sedimentation rate (ESR), high sensitivity C-reactive protein (hsCRP), rheumatoid
factor (RF), lipid profile, serum interleukin 6 (IL-6), tumor necrosis factor
alpha, iron studies, and serum hepcidin. Coronary calcium score (CCS) was
measured using multislice spiral computed tomography as a marker of
atherosclerosis. RESULTS: Serum hepcidin was found to be higher in anemic
patients with RA than in controls (p < 0.001), and higher in the pure ACD
subgroup than in the ACD+IDA subgroup (p < 0.001). Hepcidin concentration was
positively correlated with disease duration, ESR, hsCRP, RF, DAS28, MHAQ, serum
ferritin, IL-6, and mean CCS and inversely correlated with hemoglobin, sTfR, and
the sTfR-F index. CONCLUSION: Hepcidin can be considered a key inducer of anemia
of inflammation in patients with RA. This inflammation was proved to be directly
linked to coronary artery atherosclerosis. The correlations between serum
hepcidin with disease activity and IL-6 raise the possibility of using it as a
surrogate marker for disease activity.
PMID- 21885482
TI - Role of sialidase in glycoprotein utilization by Tannerella forsythia.
AB - The major bacterial pathogens associated with periodontitis include Tannerella
forsythia. We previously discovered that sialic acid stimulates biofilm growth of
T. forsythia, and that sialidase activity is key to utilization of sialoconjugate
sugars and is involved in host-pathogen interactions in vitro. The aim of this
work was to assess the influence of the NanH sialidase on initial biofilm
adhesion and growth in experiments where the only source of sialic acid was
sialoglycoproteins or human oral secretions. After showing that T. forsythia can
utilize sialoglycoproteins for biofilm growth, we showed that growth and initial
adhesion with sialylated mucin and fetuin were inhibited two- to threefold by the
sialidase inhibitor oseltamivir. A similar reduction (three- to fourfold) was
observed with a nanH mutant compared with the wild-type. Importantly, these data
were replicated using clinically relevant serum and saliva samples as substrates.
In addition, the ability of the nanH mutant to form biofilms on glycoprotein
coated surfaces could be restored by the addition of purified NanH, which we show
is able to cleave sialic acid from the model glycoprotein fetuin and, much less
efficiently, 9-O-acetylated bovine submaxillary mucin. These data show for the
first time that glycoprotein-associated sialic acid is likely to be a key in vivo
nutrient source for T. forsythia when growing in a biofilm, and suggest that
sialidase inhibitors might be useful adjuncts in periodontal therapy.
PMID- 21885484
TI - The association of LRP5 gene polymorphisms with ankylosing spondylitis in a
Chinese Han population.
AB - OBJECTIVE: To clarify the association between polymorphisms of low-density
lipoprotein receptor-related protein 5 (LRP5) with ankylosing spondylitis (AS) in
a Chinese Han population. METHODS: Sixteen patients with AS were recruited for
preliminary screening through gene sequencing. Then 14 single-nucleotide
polymorphisms (SNP) of LRP5 were followed up in 296 patients and 170 controls.
RESULTS: Sequencing the LRP5 showed 24 SNP including 3 novel SNP [LRP5SNP1 (c.
1596T > C), LRP5SNP2 (c.3764-30G > A), and LRP5SNP3 (c.4488+74G > A)]. Validation
of SNP showed that the LRP5SNP3 were associated with AS after multiple testing
correction (allele P(c) = 0.0087, genotype P(c) = 0.0316, haplotype AGA, P(c) =
0.0051, OR = 2.54 and haplotype AGG, P(c) = 0.048, OR = 0.63, respectively). The
SNP rs686921 was associated with male predominance in both patients with AS (p =
0.032, OR 1.54) and controls (p = 0.014, OR 1.94). CONCLUSION: LRP5 may be
involved in the pathogenesis of AS. Further study will be required to clarify the
effect of LRP5 on the pathogenic mechanism of AS.
PMID- 21885485
TI - Early management of newly diagnosed rheumatoid arthritis by Canadian
rheumatologists: a national, multicenter, retrospective cohort.
AB - OBJECTIVE: To describe early rheumatologic management for newly diagnosed
rheumatoid arthritis (RA) in Canada. METHODS: A retrospective cohort of 339
randomly selected patients with RA diagnosed from 2001-2003 from 18 rheumatology
practices was audited between 2005-2007. RESULTS: The most frequent initial
disease-modifying antirheumatic drugs (DMARD) included hydroxychloroquine (55.5%)
and methotrexate (40.1%). Initial therapy with multiple DMARD (15.6%) or single
DMARD and corticosteroid combinations (30.7%) was infrequent. Formal assessment
measures were noted infrequently, including the Health Assessment Questionnaire
(34.6%) and Disease Activity Score for 28 joints (8.9%). CONCLUSION: Initial
pharmacotherapy is consistent with guidelines from the period. The infrequent
reporting of multiple DMARD combinations and formal assessment measures has
implications for current clinical management and warrants contemporary
reassessment.
PMID- 21885486
TI - Association analysis of polymorphisms in lumican gene and systemic lupus
erythematosus in a Taiwan Chinese Han population.
AB - OBJECTIVE: Lumican (LUM) is predominantly localized in areas of pathological
fibrosis. To determine whether polymorphisms in LUM gene are associated with
development of systemic lupus erythematosus (SLE), we analyzed 2 single
nucleotide polymorphisms (SNP) of LUM in a Taiwan Chinese Han population.
METHODS: Participants included 168 patients with SLE and 192 age-matched controls
in whom examinations had excluded SLE. Genotyping of -628 A/-(rs17018757) and
c.1567 T/C polymorphisms in LUM were carried out in each patient and control
using the polymerase chain reaction-restriction fragment-length polymorphism
method, and validated by Taqman SNP genotyping assay. Data were correlated with
the development of SLE and various clinical symptoms by chi-square analysis.
RESULTS: Frequencies of C/C genotype and the C allele at c.1567 T/C were
significantly higher in patients than controls. Polymorphism at c.1567 C/T was
found to be associated with arthritis and photosensitivity in patients with SLE,
which are both connective tissue-related symptoms. CONCLUSION: The c.1567 T/C
polymorphism of LUM is related to the development and clinical symptoms of SLE.
PMID- 21885487
TI - Effectiveness of a third tumor necrosis factor-alpha-blocking agent compared with
rituximab after failure of 2 TNF-blocking agents in rheumatoid arthritis.
AB - OBJECTIVE: To compare the effectiveness of a third tumor necrosis factor-alpha
(TNF-alpha)-blocking agent with rituximab after failure of 2 TNF-blocking agents
in patients with rheumatoid arthritis (RA) in daily clinical practice. METHODS:
Patients receiving a third TNF-blocking agent or rituximab after failure of 2 TNF
blocking agents were selected from a Dutch biologic registry. The primary outcome
was the results from the Disease Activity Score of 28 joints (DAS28) over the
first 12 months after start of the third biologic using mixed-model analyses.
Secondary outcomes included the course of the Health Assessment Questionnaire
(HAQ) and the separate components of the DAS28 over the first 12 months and the
change from baseline in DAS28 and HAQ at 3 and 6 months. RESULTS: The overall
course of the DAS28 over the first 12 months was significantly better for
rituximab (p = 0.0044), as also observed for the HAQ, although the latter results
were not statistically significant (p = 0.0537). The erythrocyte sedimentation
rates, C-reactive protein, and swollen joint counts showed a better course for
rituximab (p = 0.0008, p = 0.0287, p = 0.0547, respectively), but not the tender
joint counts or visual analog scale for general health. DAS28 decreased
significantly in both groups at 3 and 6 months (p <= 0.024), but the change in
HAQ was significant for rituximab only at 3 months (p = 0.009). CONCLUSION:
During the first 12 months of therapy, a larger improvement in disease activity
and a trend toward a larger decrease in functional disability was observed in
patients receiving rituximab. Switching to a biologic with another mechanism of
action might be more effective after failure of 2 TNF-blocking agents in RA.
PMID- 21885488
TI - Systemic Lupus Erythematosus Disease Activity Index 2000 Responder Index-50
enhances the ability of SLE Responder Index to identify responders in clinical
trials.
AB - OBJECTIVE: To evaluate the performance of the Systemic Lupus Erythematosus (SLE)
Responder Index (SRI) when the SLE Disease Activity Index 2000 (SLEDAI-2K) is
substituted with SLEDAI-2K Responder Index-50 (SRI-50), a valid and reliable
index of disease activity improvement. Also, to determine whether the SRI-50 will
enhance the ability of SRI in detecting responders. METHODS: Our study was
conducted on patients who attended the Lupus Clinic from September 2009 to
September 2010. SLEDAI-2K, SRI-50, the British Isles Lupus Assessment Group
measure, and the Physician's Global Assessment were determined initially and at
followup. SRI was determined at the followup visit according to its original
definition using the SLEDAI-2K score and by substituting SLEDAI-2K with SRI-50.
RESULTS: A total of 117 patients with SLEDAI-2K >= 4 at baseline were studied.
Patients had 1 followup visit over a 3-month period. Twenty-nine percent of
patients met the original definition of SRI and 35% of patients met the
definition of SRI when SLEDAI-2K was substituted with SRI-50. The use of SRI-50
allowed determination of significant improvement in 7 additional patients. This
improvement could not be discerned with the use of SLEDAI-2K as a component of
SRI. At followup visits that showed improvement, SRI-50 scores decreased to a
greater extent than SLEDAI-2K scores (p < 0.0001). CONCLUSION: SRI-50 enhances
the ability of SRI to identify patients with clinically important improvement in
disease activity. SRI-50 was superior to SLEDAI-2K in detecting partial clinical
improvement, >= 50%, between visits. These properties of the SRI-50 enable it to
be used as an independent outcome measure of improvement or as a component of SRI
in clinical trials.
PMID- 21885489
TI - Effect of Bosentan on systemic sclerosis-associated interstitial lung disease
ineligible for cyclophosphamide therapy: a prospective open-label study.
AB - OBJECTIVE: To evaluate the clinical benefits of the endothelin receptor
antagonist bosentan on interstitial lung disease (ILD) in patients with systemic
sclerosis (SSc) who are ineligible for cyclophosphamide (CYC) therapy. METHODS:
In this prospective open-label study, 9 patients with SSc and ILD received
bosentan for 24 months. The main reasons for avoiding CYC included severely
impaired lung function, long disease duration, and relapse after CYC treatment.
Pulmonary function tests and Doppler echocardiograms were evaluated every 6
months, and high-resolution computed tomography (HRCT) was performed every 12
months. For an extended survival analysis, 17 historical controls who met the
inclusion criteria at referral and had not used any immunosuppressive or
antifibrotic agents thereafter were selected from the SSc database. RESULTS: Two
patients did not finish the study; one developed vasculitis requiring high-dose
corticosteroids and another died of bacterial pneumonia. The remaining 7 patients
tolerated bosentan and completed the study period. There were trends toward
mildly reduced forced vital capacity, total lung capacity, and diffusing capacity
for carbon monoxide over time. Two patients developed pulmonary hypertension
during the 24-month period. HRCT scores for ground-glass opacity, pulmonary
fibrosis, and honeycomb cysts gradually increased. In the extended study, there
was no difference in cumulative survival rate between the bosentan-treated and
historical control groups. CONCLUSION: The gradual worsening of pulmonary
function and HRCT findings in patients treated with bosentan was consistent with
the natural course of SSc-associated ILD. This study does not support the use of
bosentan for SSc-associated ILD even when CYC treatment is inadvisable.
PMID- 21885490
TI - Effects of bedtime very low dose cyclobenzaprine on symptoms and sleep physiology
in patients with fibromyalgia syndrome: a double-blind randomized placebo
controlled study.
AB - OBJECTIVE: To determine the effects of bedtime very low dose (VLD)
cyclobenzaprine (CBP) on symptoms and sleep physiology of patients with
fibromyalgia (FM), unrefreshing sleep, and the alpha-nonREM sleep
electroencephalographic (EEG) anomaly at screening. METHODS: Of 37 patients with
FM in the screened population, 36 were randomized and treated in this 8-week,
double-blind, placebo-controlled, dose-escalating study of VLD CBP 1-4 mg at
bedtime. We evaluated changes in subjective symptoms including pain, tenderness,
fatigue, mood [Hospital Anxiety and Depression Scale (HAD)], and objective EEG
sleep physiology (at screening, baseline, and Weeks 2, 4, and 8). RESULTS: In the
VLD CBP-treated group (n = 18) over 8 weeks, musculoskeletal pain and fatigue
decreased, tenderness improved; total HAD score and the HAD depression subscore
decreased; patient-rated and clinician-rated fatigue improved. In the placebo
treated group (n = 18), none of these outcome measures changed significantly.
Compared to placebo at 8 weeks, VLD CBP significantly improved pain, tenderness,
and the HAD Depression subscore. Analysis of cyclic alternating pattern (CAP)
sleep EEG revealed that significantly more subjects in the VLD CBP group than the
placebo group had increased nights of restorative sleep in which
CAP(A2+A3)/CAP(A1+A2+A3) = CAP(A2+A3(Norm)) <= 33%. For VLD CBP-treated subjects,
the increase in nights with CAP(A2+A3(Norm)) <= 33% was correlated to
improvements in fatigue, total HAD score, and HAD depression score. CONCLUSION:
Bedtime VLD CBP treatment improved core FM symptoms. Nights with CAP(A2+A3(Norm))
<= 33% may provide a biomarker for assessing treatment effects on nonrestorative
sleep and associated fatigue and mood symptoms in persons with FM.
PMID- 21885491
TI - Abatacept plus methotrexate provides incremental clinical benefits versus
methotrexate alone in methotrexate-naive patients with early rheumatoid arthritis
who achieve radiographic nonprogression.
AB - OBJECTIVE: This article reports 1-year clinical outcomes in the subgroup of
patients with rheumatoid arthritis in the Abatacept study to Gauge Remission and
joint damage progression in methotrexate-naive patients with Early Erosive
rheumatoid arthritis (AGREE) who achieved radiographic nonprogression at the end
of the double-blind phase. METHODS: Patients who achieved radiographic
nonprogression (change from baseline in total Sharp score <= 0 at 12 months) with
abatacept plus methotrexate (MTX) or MTX alone were eligible for this analysis.
Clinical outcomes were remission, defined by 28-joint Disease Activity Score
(DAS28) using C-reactive protein (CRP), low Disease Activity Score (LDAS),
American College of Rheumatology (ACR) scores, physical function (Health
Assessment Questionnaire), and tender and swollen joint counts. Safety was
assessed at each visit. RESULTS: Patients in the abatacept plus MTX and MTX
monotherapy groups had similar baseline characteristics and were similar to the
overall study population. The proportion of patients who achieved DAS28 (CRP)
remission or LDAS was greater with abatacept plus MTX vs MTX alone [43.2% vs
22.7% (p < 0.001) and 57.4% vs 40.6% (p = 0.008), respectively]. More patients
receiving abatacept plus MTX achieved key ACR responses, including major clinical
response (27.3% vs 11.9%; p < 0.001). Safety profiles were similar in both
treatment groups. CONCLUSION: More MTX-naive patients with early RA who achieved
radiographic nonprogression taking abatacept plus MTX also achieved DAS28 (CRP)
defined remission and LDAS compared with patients who received MTX alone,
supporting the use of abatacept as a first-line biologic in combination with
disease-modifying antirheumatic drugs.
PMID- 21885492
TI - FCRL3 -169C/C genotype is associated with anti-citrullinated protein antibody
positive rheumatoid arthritis and with radiographic progression.
AB - OBJECTIVE: Studies of Caucasian populations have shown conflicting results
concerning the association between a promoter polymorphism -169T>C of the Fc
receptor-like 3 (FCRL3) gene and rheumatoid arthritis (RA). It is unknown whether
FCRL3 is associated with autoantibody status and disease severity. We
investigated associations between FCRL3 -169T>C and autoantibody status and joint
damage in patients with RA. METHODS: A total of 652 Norwegian patients with RA
from 2 cohorts and 981 Norwegian controls, previously genotyped for FCRL3 -169T>C
(rs7528684), were studied. Data on anticitrullinated protein antibodies (ACPA)
and rheumatoid factor (RF) were available. The EURIDISS cohort (disease duration
<= 4 yrs at baseline) was followed longitudinally, with assessment of
radiographic hand damage at baseline and after 10 years (n = 117) according to
the van der Heijde-modified Sharp score. RESULTS: We found significant
associations with ACPA-positive RA for both the C allele (OR 1.28, 95% CI 1.08
1.52, p = 0.004) and the C/C genotype (OR 1.57, 95% CI 1.18-2.10, p = 0.002).
Similar associations were seen with RF-positive RA. No association was found with
ACPA-negative or RF-negative RA. The C/C genotype was found to be associated with
10-year radiographic progression in multivariate linear and logistic regression
analyses, after adjustment for ACPA, erythrocyte sedimentation rate, age, and
sex. CONCLUSION: The promoter polymorphism of FCRL3 was associated with
autoantibody-positive RA. Despite the low number of patients, the C/C genotype of
the FCRL3 polymorphism consistently and independently predicted radiographic
progression. These findings suggest that FCRL3 is involved in both disease
susceptibility and progression.
PMID- 21885494
TI - Emerging issues in pharmacological management of rheumatoid arthritis: results of
a national needs assessment survey identifying practice variations for the
development of Canadian Rheumatology Association clinical practice
recommendations.
AB - OBJECTIVE: To describe Canadian clinical practice patterns in the pharmacological
management of rheumatoid arthritis (RA) and identify practice variations.
METHODS: A 44-item pre-guideline needs assessment survey was sent to all members
of the Canadian Rheumatology Association (CRA). Descriptive statistics were used
to summarize respondent characteristics and practice patterns. RESULTS: Survey
respondents (n = 164) reported variations in practice regarding assessment
strategies, treatment with disease-modifying antirheumatic drug monotherapy
versus combination therapy, methotrexate dosing and escalation, corticosteroid
strategies, and optimal use of biologics. CONCLUSIONS: Practice variations
identified in this pre-guideline needs assessment survey were used to formulate
key treatment questions for the development of CRA recommendations.
PMID- 21885493
TI - Resistin levels in lupus and associations with disease-specific measures, insulin
resistance, and coronary calcification.
AB - OBJECTIVE: To evaluate levels of resistin in female subjects with systemic lupus
erythematosus (SLE) compared to age and race-matched controls and to determine
the relationship between resistin and systemic inflammation, disease measures,
and coronary artery calcification (CAC). METHODS: Resistin levels were measured
on stored samples from 159 women with SLE and 70 controls as an extension of a
previous cross-sectional study. Spearman correlations and multivariable
regressions were used to examine whether resistin levels were associated with
SLE, disease-specific and inflammatory markers, insulin resistance, and CAC.
RESULTS: In a multivariable linear regression model, a diagnosis of SLE was
significantly associated with higher resistin levels independent of age, race,
renal function, body mass index (BMI), high-sensitivity CRP (hsCRP),
hypertension, diabetes, and steroid use. In SLE, resistin levels correlated
positively with Systemic Lupus International Collaborating Clinics Damage Index,
glomerular filtration rate (GFR), hsCRP, erythrocyte sedimentation rate,
homocysteine, and disease duration (all p < 0.03). Resistin level did not
correlate with markers of insulin resistance or body adiposity, including
homeostatic model assessment or BMI. Resistin levels were significantly elevated
in SLE cases with CAC compared to cases without CAC (16.58 vs 13.10 ng/ml,
respectively; p = 0.04). In multivariate logistic regression, the association was
not present after adjustment for age, race, and GFR. CONCLUSION: SLE was
independently associated with higher resistin levels. Among subjects with SLE,
higher resistin level correlated positively with renal dysfunction, inflammatory
markers, and disease damage but not with insulin resistance or BMI. SLE cases
with CAC had higher resistin levels than cases without CAC; however, this
relationship was dependent on other established risk factors.
PMID- 21885495
TI - Undertreatment of disease activity in systemic lupus erythematosus patients with
endstage renal failure is associated with increased all-cause mortality.
AB - OBJECTIVE: In a cohort of systemic lupus erythematosus (SLE) patients with
endstage renal failure, to evaluate whether continuing rheumatology followup
visits and immunosuppressive therapy after starting renal replacement were
associated with increased survival. METHODS: We identified all SLE patients over
21 years old who started renal replacement therapy between 2005 and 2011 at an
urban tertiary care center. Mortality data were obtained using in-hospital
records and the US Social Security Death Index database. RESULTS: We identified
80 SLE patients undergoing renal replacement therapy. Twenty-two patients (28%)
were followed in rheumatology clinics frequently (2 or more visits per year)
after starting renal replacement therapy, and 58 patients (72%) were followed
infrequently (fewer than 2 visits per year). Survival rates were significantly
higher in transplant patients compared with dialysis patients. Patients with SLE
followed frequently after starting dialysis had significantly higher 4-year
survival rates compared with patients followed infrequently after starting
dialysis (log-rank p = 0.03). In the Cox proportional hazards model, treatment
with prednisone alone or with no medication was associated with a hazard ratio
(HR) of death = 6.1 (95% CI 1.1, 34; p = 0.04) and HR = 13 (95% CI 1.5, 106; p =
0.02), respectively, compared with patients treated with a combination of
immunosuppressive therapy with or without prednisone, adjusted for age at SLE
diagnosis, sex, transplant status, and the frequency of rheumatology visits after
the development of endstage renal failure. CONCLUSION: Active disease in patients
with SLE undergoing renal replacement therapy may be underrecognized and
undertreated, leading to increased mortality.
PMID- 21885496
TI - Relation of HLA-B27, tumor necrosis factor-alpha promoter gene polymorphisms, and
T cell cytokine production in ankylosing spondylitis -- a comprehensive genotype
phenotype analysis from an observational cohort.
AB - OBJECTIVE: In a pilot study, a distinct T cell cytokine pattern associated with
HLA-B27 status and a tumor necrosis factor-alpha (TNF-alpha) promoter gene
polymorphism was found at -308 (TNF-308). The objective of our study was to
assess these associations in a different cohort of patients with ankylosing
spondylitis (AS) and to evaluate any effect on clinical measurements. METHODS:
Peripheral T cell cytokine production of patients with AS (n = 121) from the
German Spondyloarthritis Inception Cohort was assessed by flow cytometry and
correlated with HLA-B27, TNF-238, and TNF-308, and with clinical measurements.
RESULTS: In HLA-B27-positive, anti-TNF-naive patients with AS, the percentages of
TNF-alpha-producing (5.02%) and interleukin 10-producing (0.31%) CD8+ cells were
significantly lower in comparison to HLA-B27-negative patients (9.52%, p = 0.048,
and 0.46%, p = 0.037, respectively). A nonsignificant trend was found for a lower
production of TNF-alpha by CD4+ and interferon-gamma by both CD4+ and CD8+ T
cells, as compared to HLA-B27-negative patients with AS (p > 0.05 for all
comparisons). The A allele at TNF-308 was associated with a lower percentage of
TNF-alpha-producing CD4+ T cells. No significant correlations were found between
clinical or radiological measurements and cytokine production or with TNF-alpha
promoter gene polymorphisms. CONCLUSION: Modulation of T cell cytokines by HLA
B27 might play a role in AS pathogenesis in B27-positive individuals. No
conclusive data were obtained for the TNF-308 polymorphism on cytokine
production, and no effect of cytokines or genetic polymorphisms on clinical
manifestations was observed.
PMID- 21885497
TI - High risk of ischemic heart disease in patients with lupus nephritis.
AB - OBJECTIVE: To investigate the occurrence of ischemic heart disease (IHD) in a
cohort of 104 Danish patients with biopsy-proven lupus nephritis (LN). METHODS:
Information on all hospitalizations in Denmark for IHD between 1977 and 2006 was
obtained from the Danish National Hospital Register. Occurrence of IHD after date
of first renal biopsy in the LN cohort was compared to the occurrence of IHD in
the general population by calculation of standardized ratios of observed to
expected events (O:E ratios) for different manifestations of IHD registered
during inpatient and outpatient hospital visits. RESULTS: The median duration of
followup was 14.7 (range 0.1-30.0) years. Thirty-one first-time hospitalizations
for IHD occurred in the cohort, yielding an overall O:E ratio for IHD of 6.8 (95%
CI 4.6-9.7). Increased risks were found for angina pectoris (O:E ratio 6.0, 95%
CI 3.0-11), myocardial infarction (O:E ratio 7.9, 95% CI 3.8-15), and other IHD
related diagnoses combined (O:E ratio 6.9, 95% CI 3.3-13). A high IHD risk was
observed for patients aged < 31 years at time of first renal biopsy (O:E ratio
17.1, 95% CI 9.1-29) and for patients aged 30-39 years during followup (O:E ratio
42.3, 95% CI 21-76). Patients undergoing chronic renal replacement therapy also
had a pronounced risk of IHD (O:E ratio 19.4, 95% CI 7.8-40). CONCLUSION: LN is
associated with markedly increased morbidity from IHD. Our findings indicate that
patients with early-onset LN have a disturbingly high risk of IHD compared to the
general population.
PMID- 21885498
TI - Minimally important difference of Health Assessment Questionnaire in psoriatic
arthritis: relating thresholds of improvement in functional ability to patient
rated importance and satisfaction.
AB - OBJECTIVE: To evaluate changes in function as measured by Health Assessment
Questionnaire Disability Index (HAQ-DI) and the meaningfulness of the changes, in
importance and satisfaction, in patients with psoriatic arthritis (PsA). METHODS:
HAQ-DI was assessed at baseline and at Weeks 4, 12, and 24 in a randomized double
blind study of 205 patients with active PsA receiving etanercept 25 mg twice
weekly or placebo. Concurrently, patients rated the importance of and
satisfaction with their change in function on a 7-point scale (1 = not at all
important/satisfied; 7 = extremely important/satisfied). Mean HAQ-DI improvement
corresponding to ratings of minimally (2-3) or very (6-7) important or satisfied
was determined using a posthoc linear mixed-model analysis. Patient importance
ratings were used as an anchor to estimate minimally important difference (MID)
for HAQ-DI; distribution-based estimates were also calculated. RESULTS: A total
of 161 patients (69 placebo; 92 etanercept) had >= 1 HAQ-DI scores showing
improvement from baseline and a corresponding importance or satisfaction rating.
HAQ-DI improvements corresponding to importance scale ratings of 2 or 3 were
0.335 (95% CI 0.214, 0.455) and 0.360 (95% CI 0.263, 0.456), respectively,
suggesting an MID of about 0.35. HAQ-DI improvements corresponding to
satisfaction scale ratings of 2 and 3 were 0.293 (95% CI 0.230, 0.357) and 0.360
(95% CI 0.307, 0.413). For a given change in HAQ-DI, nearly two-thirds of
patients indicated a lower rating for satisfaction than for importance. This
trial was registered in the ClinicalTrials.gov registry (NCT00317499).
CONCLUSION: Our study suggests the MID for HAQ-DI in PsA is about 0.35. The
results may also provide insight into patient satisfaction with changes in
function and expectations for therapy.
PMID- 21885499
TI - Adalimumab or cyclosporine as monotherapy and in combination in severe psoriatic
arthritis: results from a prospective 12-month nonrandomized unblinded clinical
trial.
AB - OBJECTIVE: To assess the efficacy and safety of adalimumab or cyclosporine (CYC)
as monotherapy or combination therapy for patients with active psoriatic
arthritis (PsA), despite methotrexate (MTX) therapy. METHODS: A prospective 12
month, nonrandomized, unblinded clinical trial of 57, 58, and 55 patients who
received CYC (2.5-3.75 mg/kg/day), adalimumab (40 mg every other week), or
combination, respectively. Lowering of concomitant nonsteroidal antiinflammatory
drugs (NSAID) and corticosteroids and reductions of adalimumab and/or CYC doses
in responding patients were not restricted. RESULTS: Mean numbers of
tender/swollen joints at baseline were 9.7/6.7 in CYC-treated, 13.0/7.8 in
adalimumab-treated, and 14.5/9.4 in combination-treated patients, indicating
lesser disease severity of patients assigned to the first group. The Psoriatic
Arthritis Response Criteria at 12 months were met by 65% of CYC-treated (p =
0.0003 in favor of combination treatment), 85% of adalimumab-treated (p = 0.15 vs
combination treatment), and 95% of combination-treated patients, while the
American College of Rheumatology-50 response rates were 36%, 69%, and 87%,
respectively (p < 0.0001 and p = 0.03 in favor of combination treatment). A
significantly greater mean improvement in Health Assessment Questionnaire
Disability Index was achieved by combination treatment (-1.11) vs CYC (-0.41) or
adalimumab alone (-0.85). Combination therapy significantly improved Psoriasis
Area and Severity Index-50 response rates beyond adalimumab, but not beyond the
effect of CYC monotherapy. Doses of NSAID and corticosteroids were reduced in
combination-treated patients; CYC doses and frequency of adalimumab injections
were also reduced in 51% and 10% of them, respectively. No new safety signals
were observed. CONCLUSION: The combination of adalimumab and CYC is safe and
seemed to produce major improvement in both clinical and serological variables in
patients with severely active PsA and inadequate response to MTX.
PMID- 21885500
TI - Levels of serum matrix metalloproteinase-3 correlate with disease activity in the
enthesitis-related arthritis category of juvenile idiopathic arthritis.
AB - OBJECTIVE: Serum matrix metalloproteinase-3 (MMP-3) has been shown to reflect
disease activity in ankylosing spondylitis (AS) and rheumatoid arthritis.
Elevated levels have been found in juvenile idiopathic arthritis (JIA). In the
enthesitis-related arthritis category of JIA (JIA-ERA), we studied whether serum
MMP-3 levels and ratios of MMP-3/tissue inhibitor of metalloproteinase (TIMP-1)
are correlated with disease activity and whether they are sensitive to change in
disease activity. METHODS: A total of 54 patients with JIA-ERA (International
League of Associations for Rheumatology criteria) were enrolled for study.
Baseline disease activity measures included tender and swollen joint counts,
Maastricht AS Enthesitis Score, Bath AS Disease Activity Index (BASDAI), Bath AS
Functional Index (BASFI), patient assessment of pain and global disease activity,
physician assessment of global disease activity, and erythrocyte sedimentation
rate (ESR). Serum MMP-3 and TIMP-1 levels were measured using ELISA. A group of
24 patients were followed up for longitudinal study. RESULTS: The mean age of 54
patients (48 males) at disease onset was 11.8 +/- 4.19 years and duration of
disease was 5.2 +/- 4.3 years. Median ESR was 65 mm/h (range 46.5-97) and median
BASDAI was 3.4 (range 2.5-4.7). Median MMP-3, TIMP-1, and MMP-3/TIMP-1 ratio were
50.4 ng/ml (IQR 13.0-193.8), 228.9 ng/ml (IQR 108.2-290.4), and 0.3 (IQR 0.07
1.13), respectively. At inclusion MMP-3 levels correlated directly with various
disease activity measures: tender joint count (TJC; r = 0.60), swollen joint
count (SJC; r = 0.45), BASFI (r = 0.29), BASDAI (r = 0.32), ESR (r = 0.49),
physician global assessment (r = 0.40), patient visual analog scale for pain (r =
0.28), and patient global assessment (r = 0.38; all p < 0.05). MMP-3/TIMP-1 ratio
correlated only with TJC (r = 0.51), SJC (r = 0.39), and ESR (r = 0.34; p <
0.05). At followup, change in MMP-3 correlated with changes in TJC (r = 0.42) and
SJC (r = 0.44; p < 0.05), while change in ESR did not correlate with change in
any disease activity measure. CONCLUSION: MMP-3 levels are a good marker for
disease activity in JIA-ERA.
PMID- 21885501
TI - Decoy receptor 3 attenuates collagen-induced arthritis by modulating T cell
activation and B cell expansion.
AB - OBJECTIVE: To investigate the immune-modulated effects of decoy receptor 3 (DCR3)
in an experimental model of rheumatoid arthritis (RA). METHODS: We delivered DCR3
plasmid into collagen-induced arthritis (CIA) mice using the hydrodynamic method
and evaluated the serum level of DCR3 protein by ELISA. After immunization, we
assessed disease severity of arthritis incidence, arthritis scores, paw
thickness, and means of arthritic limbs, and used hematoxylin and eosin staining
to observe synovial hyperplasia. We analyzed numbers of murine splenocytes and
inguinal lymphocyte cells, cell populations, and serum proinflammatory cytokines
by flow cytometry. We investigated B cell proliferation by carboxyfluorescein
succinimidyl ester assay. We evaluated serum levels of total IgG2a and type II
collagen-specific IgG and IgG2a using ELISA. RESULTS: DCR3 expression in sera
significantly attenuated disease severity in CIA mice. We found that DCR3
inhibited the volume of inguinal lymph nodes, numbers of CD19+ B cells, and
populations of interferon-gamma, interleukin 4 (IL-4), IL-17A, and Foxp3
producing CD4+ T cell in vivo. We found that DCR3 inhibited Pam3CSK4 (Toll-like
receptor 1/2 ligand)-induced B220+ B cell proliferation in vitro. DCR3 treatment
reduced the serum level of IL-6, total IgG2a, and CII-specific IgG2a antibody.
CONCLUSION: We postulated that the protective effects of DCR3 in CIA resulted
from modulation of the immune system by maintaining the B/T cell balance and
decreasing lymphocyte expansion. We suggest DCR3 as a prophylactic and potential
therapeutic agent in the treatment of RA.
PMID- 21885502
TI - Defining the mechanism of action of herbal therapies in rheumatoid arthritis: is
this the road to clinical development and acceptance?
PMID- 21885503
TI - Inclusion criteria based on DAS28 score: strength of improvement is less
dependent on baseline disease activity than expected.
PMID- 21885504
TI - Generic versus disease-specific measures of health-related quality of life in
systemic lupus erythematosus.
PMID- 21885505
TI - The Salpetriere Hospital in Paris and its role in the beginnings of modern
rheumatology.
PMID- 21885506
TI - Eosinophilic granuloma of the middle ear.
PMID- 21885507
TI - Cryptogenic organizing pneumonia mimicking malignancy in a patient with
rheumatoid arthritis.
PMID- 21885508
TI - OMERACT 10 Sharp Symposium: important findings in examination of imaging methods
for measurement of joint damage in rheumatoid arthritis.
AB - The Sharp Symposium was held at the Outcome Measures in Rheumatology Clinical
Trials 2010 meeting (OMERACT 10) in honor of the late John Sharp, consummate
rheumatologist and researcher. The symposium focused on the status of current
scoring methods in radiography, magnetic resonance imaging (MRI), and ultrasound
(US) in rheumatoid arthritis (RA), as well as on the use of soluble and tissue
biomarkers in RA, with the aim of updating recommendations regarding methods for
enhanced detection, monitoring, and prediction of joint damage in clinical
trials.
PMID- 21885509
TI - Patterns of magnetic resonance imaging bone erosion in rheumatoid arthritis-
which bones are most frequently involved and show the most change?
AB - OBJECTIVE: To investigate by magnetic resonance imaging (MRI) which bones in
wrists and metacarpophalangeal (MCP) joints most frequently show bone erosions,
and which most frequently demonstrate erosive progression, in early and
established rheumatoid arthritis (RA). METHODS: MRI datasets from 258 RA patients
[126 with early RA (disease duration < 6 months)] were analyzed, of whom 223,
including 126 with early RA, had 1-year followup MRI. All patients had MRI of one
wrist, whereas 86 patients had additional images of 2nd-5th MCP joints, and 46
patients additional images of the contralateral wrist. MRI were evaluated blinded
by one reader, according to the OMERACT RA MRI scoring system (RAMRIS) for
erosions, and presence/absence of erosions was noted in each bone, as was
presence/absence of erosive progression. RESULTS: The capitate, ulna, lunate,
triquetrum, and scaphoid were the 5 bones with both most frequent baseline
erosions and most frequently demonstrated erosive progression. No bones were
without erosions. Patterns of erosions and progression were similar in early and
established RA. No major difference between dominant and nondominant wrists was
detected. In the fingers, the 2nd-3rd MCP joint most frequently displayed
erosions and erosive progression. CONCLUSION: The distribution and frequency of
bone erosion and erosive progression as detected by MRI in RA wrists and MCP
joints were identified. No pattern differences between early versus established
disease and dominant versus nondominant sides were detected. No bones showed
erosive progression. Thus, no self-evident simplification of the RAMRIS erosion
score was identified. Bone involvement patterns may be considered, when joints
are selected for MRI protocols for clinical trials and practice.
PMID- 21885510
TI - Damage and progression on radiographs in individual joints: data from pivotal
randomized controlled trials.
AB - OBJECTIVE: Radiographic progression is usually assessed by Sharp-based methods
(van der Heijde-modified Sharp score and the Genant-modified Sharp score). The
aim of this study was to evaluate, in a range of randomized controlled trials
(RCT), the presence of erosions and joint space narrowing (JSN) in all individual
joints, as well as progression in these joints, and to determine if any
redundancy exists due to infrequently involved joints. METHODS: Four databases of
rheumatoid arthritis RCT that were all scored according to van der Heijde's
modification of the Sharp score were included in a descriptive analysis. RESULTS:
Irrespective of different readers, different patient populations, and different
disease durations per trial, similar patterns emerged. Both erosions and JSN
occurred in all sites. Erosions occurred most frequently in the feet,
preferentially in 5th metatarsophalangeal joint (MTP-5). JSN occurred most
frequently in the wrist. Change from baseline in erosions and JSN followed the
pattern of involvement at baseline, so that MTP-5, and to a lesser extent MTP-3
and MTP-4, preferentially showed progression in erosive damage. Joints in the
wrist showed highest tendency to worsen over time with respect to JSN.
CONCLUSION: These data indicate that both erosions and JSN must be assessed for
damage, and that a more abbreviated joint count cannot be used for radiographic
scoring.
PMID- 21885511
TI - Magnetic resonance imaging in rheumatoid arthritis clinical trials: emerging
patterns based on recent experience.
AB - OBJECTIVE: The current validated magnetic resonance imaging (MRI) scoring method
for rheumatoid arthritis (RA) in clinical trials, RA MRI Score (RAMRIS),
incorporates all metacarpophalangeal (MCP) and wrist joints except MCP-1. The
experience with radiographic scoring, however, was that excluding certain bones
in the wrist improved the discriminative power for changes over time. In this
study, we pool MRI data from randomized controlled clinical trails (RCT) to
determine which combination of MCP and wrist joints are most sensitive and
discriminative for structural changes over time. METHODS: MR images from 4
multicenter RCT, including 522 RA patients, were read by 2 radiologists, using
the RAMRIS scoring system for erosion, osteitis, and synovitis. In one RCT, joint
space narrowing (JSN) was assessed cross-sectionally by one radiologist using a
previously validated method. Baseline frequencies of erosion, JSN, osteitis, and
synovitis of different bones and joints in the hand and wrist were compared.
Intraclass correlation coefficients between readers were determined for each
location. Finally, 7 different combinations of bone/joint locations were compared
for their ability to discriminate subjects showing increases or decreases from
baseline greater than or equal to smallest detectable changes (SDC) at Weeks 12
or 24. RESULTS: Frequency of involvement and reliability for assessing change
varied by location. As in earlier analyses, excluding certain wrist bones
increased the percentage of subjects showing changes greater than or equal to
SDC. CONCLUSION: These findings suggest that excluding wrist bones that do not
frequently or reliably demonstrate structural changes improves the discriminative
power of the RAMRIS scoring system.
PMID- 21885512
TI - Update on research and future directions of the OMERACT MRI inflammatory
arthritis group.
AB - The OMERACT Magnetic Resonance Imaging (MRI) Task Force has developed and evolved
the psoriatic arthritis MRI score (PsAMRIS) over the last few years, and at
OMERACT 10, presented longitudinal evaluation by multiple readers, using PsA
datasets obtained from extremity MRI magnets. Further evaluation of this score
will require more PsA imaging datasets. As well, due to improved image resolution
since the development of the original rheumatoid arthritis MRI scoring system
(RAMRIS), the Task Force has worked on semiquantitative assessment of joint space
narrowing, and developed a reliable method as a potential RAMRIS addendum,
although responsiveness will need to be evaluated. One of the strengths of MRI is
the ability to detect subclinical synovitis, so the group worked on obtaining low
disease activity/clinical remission datasets from a number of international
centers and presented cross-sectional findings. Subsequent longitudinal
evaluation of this unique resource will be a major continuing focus for the
group.
PMID- 21885513
TI - The OMERACT Psoriatic Arthritis Magnetic Resonance Imaging Score (PsAMRIS) is
reliable and sensitive to change: results from an OMERACT workshop.
AB - OBJECTIVE: The aim of this multireader exercise was to assess the reliability and
sensitivity to change of the psoriatic arthritis magnetic resonance imaging score
(PsAMRIS) in PsA patients followed for 1 year. METHODS: MRI was acquired from 12
patients with PsA before initiation of treatment and after 12 months. MR images
were scored according to PsAMRIS (for synovitis, tenosynovitis, periarticular
inflammation, bone marrow edema, bone erosion, and bone proliferation) under
standardized conditions, in unknown chronological order.
Intraobserver/interobserver reliability was examined by intraclass correlation
coefficients (ICC) and sensitivity to change by standardized response means
(SRM). RESULTS: The interobserver reliability of PsAMRIS was high for synovitis,
tenosynovitis, periarticular inflammation, and bone edema status and change
scores (interobserver ICC 0.87-0.97). The intraobserver reliability was moderate
to high (ICC 0.60-0.98) for status and change scores, except for change in
periarticular inflammation (ICC 0.33). PsAMRIS sensitivity to change was moderate
for synovitis, tenosynovitis, and periarticular inflammation (SRM 0.5-0.8), while
poor (SRM 0.1-0.3) for bone marrow edema, erosion, and bone proliferation. Rare
occurrence and minimal change contributed to poor SRM and change-score ICC for
bone parameters. CONCLUSION: This multireader exercise, performed under
standardized conditions, confirmed PsAMRIS to have high interobserver and
intraobserver reliability for hand PsA. Measures of inflammation were sensitive
to change, implying that PsAMRIS may be a valuable tool for monitoring change in
inflammation during PsA clinical trials.
PMID- 21885514
TI - Synovitis and osteitis are very frequent in rheumatoid arthritis clinical
remission: results from an MRI study of 294 patients in clinical remission or low
disease activity state.
AB - OBJECTIVE: In rheumatoid arthritis (RA), radiographic progression may occur
despite clinical remission. This may be explained by subclinical inflammation.
Magnetic resonance imaging (MRI) provides a greater sensitivity than clinical
examination and radiography for assessing disease activity. Our objective was to
determine the MRI characteristics of RA patients in clinical remission or low
disease activity (LDA) state. METHODS: Databases from 6 cohorts were collected
from 5 international centers. RA patients in clinical remission according to
Disease Activity Score28-C-reactive protein (DAS28-CRP < 2.6; n = 213) or LDA
state (2.6 <= DAS28-CRP < 3.2; n = 81) with available MRI data were included. MRI
were assessed according to the OMERACT RA MRI scoring system (RAMRIS). RESULTS:
PATIENT CHARACTERISTICS: 70% women, median age 55 (interquartile range, IQR 43
63) years, disease duration 2.3 (IQR 0.7-5.1) years, DAS28-CRP 2.2 (IQR 1.8-2.6),
Simplified Disease Activity Index, SDAI, 3.9 (IQR 1.9-6.5), Clinical Disease
Activity Index, CDAI, 3.1 (IQR 1.5- 5.8), rheumatoid factor/anti-cyclic
citrullinated peptide positivity 57%/54%, presence of radiographic erosions: 66%.
Wrist and metacarpophalangeal MRI (MCP-MRI) data were available for 287 and 241
patients, respectively. MRI inflammatory activity in wrist and/or MCP joints was
observed in the majority [synovitis: 95%, bone edema (osteitis): 35%] of
patients. The median (IQR) RAMRIS score was 6 (3-9) for synovitis and 0 (0-2) for
osteitis. Synovitis and osteitis were not less frequent in DAS28 clinical
remission (synovitis/osteitis 96%/35%) than LDA (91/36). A trend towards lower
frequencies of osteitis in patients in SDAI and CDAI remission was observed.
CONCLUSION: Subclinical inflammation was identified by MRI in the majority of RA
patients in clinical remission or LDA state. This may explain structural
progression in such patients. Further work is required to understand the place of
modern imaging in future remission criteria.
PMID- 21885515
TI - Development and preliminary validation of a magnetic resonance imaging joint
space narrowing score for use in rheumatoid arthritis: potential adjunct to the
OMERACT RA MRI scoring system.
AB - OBJECTIVE: To develop and validate a magnetic resonance imaging (MRI) method of
assessment of joint space narrowing (JSN) in rheumatoid arthritis (RA). METHODS:
Phase A: JSN was scored 0-4 on MR images of 5 RA patients and 3 controls at 15
wrist sites and 2nd-5th metacarpophalangeal (MCP) joints by 8 readers (7 once,
one twice), using a preliminary scoring system. Phase B: Image review,
discussion, and consensus on JSN definition, and revised scoring system. Phase C:
MR images of 15 RA patients and 4 controls were scored using revised system by 5
readers (4 once, one twice), and results compared with radiographs [Sharp-van der
Heijde (SvdH) method]. RESULTS: Phase A: Intraobserver agreement: intraclass
correlation coefficient (ICC) = 0.99; smallest detectable difference (SDD, for
mean of readings) = 2.8 JSN units (4.9% of observed maximal score). Interobserver
agreement: ICC = 0.93; SDD = 6.4 JSN units (9.9%). Phase B: Agreement was reached
on JSN definition (reduced joint space width compared to normal, as assessed in a
slice perpendicular to the joint surface), and revised scoring system (0-4 at 17
wrist sites and 2nd-5th MCP; 0: none; 1: 1-33%; 2: 34-66%; 3: 67-99%; 4:
ankylosis). Phase C: Intraobserver agreement: ICC = 0.90; SDD = 6.8 JSN units
(11.0%). Interobserver agreement: ICC = 0.92 and SDD = 6.2 JSN units (8.7%). The
correlation (ICC) with the SvdH radiographic JSN score of the wrist/hand was
0.77. Simplified approaches evaluating fewer joint spaces demonstrated similar
reliability and correlation with radiographic scores. CONCLUSION: An MRI scoring
system of JSN in RA wrist and MCP joints was developed and showed construct
validity and good intra- and interreader agreements. The system may, after
further validation in longitudinal data sets, be useful as an outcome measure in
RA.
PMID- 21885516
TI - OMERACT magnetic resonance imaging initiative on structural and inflammatory
lesions in ankylosing spondylitis--report of a special interest group at OMERACT
10 on sacroiliac joint and spine lesions.
AB - The ASAS/OMERACT MRI group recently described and defined magnetic resonance
imaging (MRI) findings in sacroiliac joints (SIJ) that are essential for the
diagnosis of sacroiliitis in patients with axial spondyloarthritis, including
ankylosing spondylitis (AS). At the Outcome Measures in Rheumatology Clinical
Trials (OMERACT) 2010 meeting, a special interest group (SIG) was formed to
design a research agenda for the definition and description of structural lesions
in the SIJ and the spine in patients with established AS. During the SIG, a
summary of the previous work of the group was presented to all participants,
containing: (1) a description of the current definitions of structural SIJ
changes; (2) available scoring methods for SIJ changes; (3) data from a previous
pilot MRI exercise on chronic SIJ changes performed by members of the group; and
(4) a proposal for a research agenda for OMERACT 11. The group agreed on the
project's scientific merits and the need to evaluate all available scoring
methods and to have clear definitions for all possible abnormalities that can be
seen on MRI, prior to the start of the exercise. It was also agreed that the
exercise should include scoring of both structural and inflammatory lesions, due
to lack of agreement about the best scoring method for assessing both types of
lesions in AS. Participants agreed that longitudinal MRI over a certain period
are needed to learn about the time sequence of pathologic changes and to
understand the course of the disease. Finally, participants asked the group to
add the development of a scoring method for structural changes in the spine in a
subsequent exercise. Further to these objectives, all experts who agreed to
contribute in the exercise will collaborate to achieve consensus on definitions
and to organize training in the different scoring systems prior to the start of
the project, with the aim to finalize the multiple reader exercise by the end of
2011, in time for OMERACT 11.
PMID- 21885517
TI - A systematic literature review analysis of ultrasound joint count and scoring
systems to assess synovitis in rheumatoid arthritis according to the OMERACT
filter.
AB - OBJECTIVE: The OMERACT Ultrasound Task Force is currently developing a global
synovitis score (GLOSS) with the objective of feasibly measuring global disease
activity in patients with rheumatoid arthritis (RA). In order to determine the
minimal number of joints to be included in such a scoring system, and to analyze
the metric properties of proposed global (i.e., patient level) ultrasound (US)
scoring systems of synovitis in RA, a systematic analysis of the literature was
performed. METHODS: A systematic literature search of Pubmed and Embase was
performed (January 1, 1984, to March 31, 2010). Original research reports written
in English including RA, ultrasound, Doppler, and scoring systems were included.
The design, subjects, methods, imaging protocols, and performance characteristics
studied were analyzed, as well as the ultrasound definition of synovitis.
RESULTS: Of 3004 reports identified, 14 articles were included in the review. We
found a lack of clear definition of synovitis as well as varying validity data
with respect to the proposed scores. Scoring systems included a wide range and
number of joints. All analyzed studies assessed construct validity and
responsiveness by using clinical examination, laboratory findings, and other
imaging modalities as comparators. Both construct validity and responsiveness
varied according to the number and size of joints examined and according to the
component of synovitis measured [i.e., gray-scale (GS) or power Doppler (PD)
alone or in combination]. With regard to feasibility, time of evaluation varied
from 15 to 60 min and increased with the number of joints involved in the
examination. CONCLUSIONS: Ultrasound can be regarded as a valuable tool for
globally examining the extent of synovitis in RA. However, it is presently
difficult to determine a minimal number of joints to be included in a global
ultrasound score. Further validation of proposed scores is needed.
PMID- 21885518
TI - The OMERACT ultrasound task force--status and perspectives.
AB - This article reports the most recent work of the Outcome Measures in Rheumatology
(OMERACT) Ultrasound Task Force, and highlights the future research priorities
discussed at the OMERACT 10 meeting. Results of the following studies were
presented: (1) intra- and interobserver reliability of ultrasound detecting and
scoring synovitis in different joints of patients with rheumatoid arthritis (RA);
(2) systematic review of previous ultrasound scoring systems of synovitis in RA;
(3) enthesitis systematic review and Delphi definition exercise in
spondyloarthritis enthesitis; (4) enthesitis intra- and interobserver reliability
exercise; and (5) Delphi definition exercise in hand osteoarthritis, and
reliability exercises. Study conclusions were discussed, and a future research
agenda was approved, notably further validation of an OMERACT ultrasound global
synovitis score (GLOSS) in RA, emphasizing the importance of testing feasibility,
predictive value, and added value over standard clinical variables. Future
research areas will include validating scoring systems for enthesitis and
osteoarthritis, and testing the metric qualities of ultrasound for evaluating
tenosynovitis and structural damage in RA.
PMID- 21885519
TI - Synovial tissue analysis for the discovery of diagnostic and prognostic
biomarkers in patients with early arthritis.
AB - Rheumatoid arthritis (RA) is a chronic disease of unspecified etiology that is
manifest by persistent inflammation of the synovium. Considerable efforts have
been undertaken globally to study the microenvironment of the inflamed synovium,
with many encouraging and enlightening results that bring us closer to unmasking
the precise etiologies of RA. Subsequent to these efforts, it has been discovered
that CD68-positive macrophages present in abundance in the synovial sublining of
the inflamed synovium rescind with treatments that induce clinical improvement in
RA. Examination of serial synovial biopsies is now commonly used for screening
purposes during early drug development, and the number of centers able to perform
synovial tissue biopsy sampling according to standardized methods is increasing.
Having implemented the use of serial synovial tissue biopsies to evaluate the
effects of new treatments on the group level in early proof of principle studies,
it is the ambition of the OMERACT Synovial Tissue Group to identify synovial
diagnostic and prognostic biomarkers that could be used in individual patients.
Therefore, we started a prospective study termed the Synoviomics Project aimed at
the identification of novel diagnostic and prognostic synovial biomarkers. We
will use straightforward and powerful technologies to analyze patient material
and assess clinical parameters to identify such biomarkers. These markers may be
used in the future to identify patients who are at risk of having persistent and
destructive disease and to start tailor-made targeted therapies in an early phase
to prevent autonomous disease progression and irreversible joint damage.
PMID- 21885520
TI - Subclinical atherosclerosis in ankylosing spondylitis.
PMID- 21885521
TI - Rheumatoid cachexia: what is it and why is it important?
PMID- 21885522
TI - The modification of the american college of rheumatology preliminary diagnostic
criteria for fibromyalgia should be supplemented and revised.
PMID- 21885523
TI - Prevalence of chronic rheumatic diseases in Mexico.
PMID- 21885524
TI - Minimal important difference and responsiveness to change of the SF-36 in
patients with psoriatic arthritis receiving tumor necrosis factor-alpha blockers.
PMID- 21885525
TI - Effects of iloprost on microvascular structure assessed by nailfold
videocapillaroscopy: a pilot study.
PMID- 21885526
TI - Interleukin 6 blockade as steroid-sparing treatment for 2 patients with giant
cell arteritis.
PMID- 21885527
TI - Combined pulmonary fibrosis and emphysema syndrome in a patient age 28 years with
severe systemic sclerosis.
PMID- 21885528
TI - Marked reduction of sacroiliac joint inflammation on magnetic resonance imaging
in a patient with ankylosing spondylitis after rituximab treatment.
PMID- 21885531
TI - Does race influence conflict between nursing home staff and family members of
residents?
AB - OBJECTIVES: This study examines the influence of race on perceived similarity and
conflict between nursing home staff and family members of residents. Despite
evidence that the caregiving experience varies by race for both family and
professional caregivers, little is known about how race plays a role in staff
conflict with residents' family members. METHODS: We used a representative sample
of Certified Nursing Assistants (CNAs) to test relationships between race,
treatment from family members, similarity to family members in expectations for
care by CNAs, and conflicts with family members concerning aspects of resident
care. RESULTS: Results of structural equation modeling indicated that race was
not a predictor of staff perception of conflict with family members or of poor
treatment from residents' families. However, Black nursing assistants were more
likely to perceive that their own expectations of nursing care are dissimilar
from those of residents' family members. Dissimilarity predicted reports of poor
treatment from family members, and poor treatment was a positive predictor of
perception of conflict. DISCUSSION: The personal long-term nature of nursing home
care necessitates a high level of connectedness between family caregivers and
nursing home staff. Results highlight the importance of establishing
organizational pathways for communication of expectations between nursing staff
and residents' families.
PMID- 21885532
TI - Satb2 is required for dendritic arborization and soma spacing in mouse cerebral
cortex.
AB - Self-avoidance is a mechanism by which dendrites from the same neuron repel one
another in order to establish uniform coverage of the dendritic field. The
importance of self-avoidance for the development of complex arborization patterns
has been highlighted by studies of Drosophila sensory and mouse retinal neurons.
However, it is unclear whether branch patterning in the mammalian central nervous
system is also governed by this strategy. We reduced Satb2 expression in a
population of layer II/III pyramidal neurons in vivo by RNA interference and
found that the somas of Satb2-deficient neurons clumped together, and their
dendrites failed to expand laterally but instead formed fascicles. Furthermore,
experiments showed that reducing Satb2 caused the adhesion of not only
neighboring Satb2-deficient neurons but also neighboring wild-type neurons. Our
results indicate a cell autonomous and non-cell autonomous role for Satb2 in
regulating the adhesive and/or repulsive properties of cerebral pyramidal
neurons.
PMID- 21885533
TI - Website offering orthopaedic second opinions is criticised by surgeons' leaders.
PMID- 21885534
TI - Brain circuitry model for mental illness will transform management, NIH mental
health director says.
PMID- 21885535
TI - Identification of an important site for function of the type 2C protein
phosphatase ABI2 in abscisic acid signalling in Arabidopsis.
AB - It is known that the clade A protein phosphatase 2Cs (PP2Cs), including ABI1 and
ABI2 and other PP2C members, are key players that function directly downstream of
the PYR/PYL/RCAR abscisic acid (ABA) receptors. Here, identification of a crucial
site for function of ABI2 protein phosphatase in ABA signalling is reported. It
was observed that a calcium-dependent protein kinase (CDPK) phosphorylation site
like motif (CPL) in the ABI2 molecule is required for the interactions of ABI2
with the two members of the ABA receptors PYL5 and PYL9 and with a downstream
protein kinase SnRK2.6, and for the catalytic activity of ABI2 in vitro, as well
as for the response of ABI2 to the ABA receptors PYL5/PYL9 in relation to the ABA
receptor-induced inhibition of the ABI2 phosphatase activity. Further, genetic
evidence was provided to demonstrate that this CPL is required for the function
of ABI2 to mediate ABA signalling. These data reveal that this CPL is an
important site necessary for both the phosphatase activity of ABI2 and the
functional interaction between ABI2 and PYL5/9 ABA receptors, providing new
information to understand primary events of ABA signal transduction.
PMID- 21885537
TI - Effect of manual lymph drainage in addition to guidelines and exercise therapy on
arm lymphoedema related to breast cancer: randomised controlled trial.
AB - OBJECTIVE: To determine the preventive effect of manual lymph drainage on the
development of lymphoedema related to breast cancer. DESIGN: Randomised single
blinded controlled trial. SETTING: University Hospitals Leuven, Leuven, Belgium.
PARTICIPANTS: 160 consecutive patients with breast cancer and unilateral axillary
lymph node dissection. The randomisation was stratified for body mass index (BMI)
and axillary irradiation and treatment allocation was concealed. Randomisation
was done independently from recruitment and treatment. Baseline characteristics
were comparable between the groups. INTERVENTION: For six months the intervention
group (n = 79) performed a treatment programme consisting of guidelines about the
prevention of lymphoedema, exercise therapy, and manual lymph drainage. The
control group (n = 81) performed the same programme without manual lymph
drainage. MAIN OUTCOME MEASURES: Cumulative incidence of arm lymphoedema and time
to develop arm lymphoedema, defined as an increase in arm volume of 200 mL or
more in the value before surgery. RESULTS: Four patients in the intervention
group and two in the control group were lost to follow-up. At 12 months after
surgery, the cumulative incidence rate for arm lymphoedema was comparable between
the intervention group (24%) and control group (19%) (odds ratio 1.3, 95%
confidence interval 0.6 to 2.9; P = 0.45). The time to develop arm lymphoedema
was comparable between the two group during the first year after surgery (hazard
ratio 1.3, 0.6 to 2.5; P = 0.49). The sample size calculation was based on a
presumed odds ratio of 0.3, which is not included in the 95% confidence interval.
This odds ratio was calculated as (presumed cumulative incidence of lymphoedema
in intervention group/presumed cumulative incidence of no lymphoedema in
intervention group)*(presumed cumulative incidence of no lymphoedema in control
group/presumed cumulative incidence of lymphoedema in control group) or
(10/90)*(70/30). CONCLUSION: Manual lymph drainage in addition to guidelines and
exercise therapy after axillary lymph node dissection for breast cancer is
unlikely to have a medium to large effect in reducing the incidence of arm
lymphoedema in the short term. Trial registration Netherlands Trial Register No
NTR 1055.
PMID- 21885538
TI - A comparison of external and internal jugular venous pressures to monitor
pulmonary artery pressure after superior cavopulmonary anastomosis.
AB - The internal jugular vein continues to be the preferred site for cannulation to
monitor central venous pressure despite the reported evidence of the accuracy of
external jugular venous pressure (EJVP) to reliably predict internal jugular
venous pressure (IJVP). Internal jugular venous cannulation carries a risk of
thrombosis that can be life-threatening in children undergoing superior
cavopulmonary anastomosis and a subsequent Fontan procedure. The present study
compared IJVP and EJVP in children undergoing superior cavopulmonary anastomosis
and found no statistical and clinical difference between IJVP and EJVP. Thus,
external jugular vein cannulation reliably predicts IJVP and pulmonary artery
pressures in children undergoing superior cavopulmonary anastomosis, and may
obviate the risk of life-threatening cavopulmonary thrombosis.
PMID- 21885539
TI - Preserved consciousness in general anesthesia during carotid endarterectomy: a
six-year experience.
AB - OBJECTIVES: We prospectively evaluated safety and efficacy from our six-year
results of general anesthesia (GA) using remifentanil conscious sedation in
carotid endarterectomy (CEA). METHODS: From January 2005 to December 2010, 625
consecutive CEAs were performed on 545 patients (male/female 336/209, age 75 +/-
7 years). After a superficial plexus block with ropavacaine, GA was induced with
an intravenous infusion of propofol, using local lidocaine during tracheal
intubation and a high-dose of remifentanil, in all cases reducing and then
stopping the remifentanil infusion at the clamping time so that the patient would
be awake and collaborating within a few minutes, as in local anesthesia. Data on
postoperative morbidity and mortality, neurological complications, shunt
insertions and the responses to one-day and three-month questionnaires on
satisfaction were collected for all patients. RESULTS: The 30-day mortality was
0.32% (two patients). Only one major stroke (0.16%) and two minor strokes (0.32%)
occurred. A shunt was deployed in 83 cases (13.3%). Eight patients (1.28%)
reported cranial nerve injuries, and surgical drainage for postoperative hematoma
was performed in 11 patients (1.8%). Thirty-one patients (4.6%) suffered
postoperative nausea/vomiting. Almost all patients were satisfied at the 24-h
(94.6%) and three-month (>98%) follow-up questionnaire. CONCLUSIONS: The six-year
results for GA using remifentanil conscious sedation were very satisfactory and
highlighted the advantages of both GA (hemodynamic stability and excellent
control of ventilation) and local anesthesia (ease of evaluation of neurological
status) in a calm and relaxed environment for both patient and surgeon.
PMID- 21885540
TI - Is it safe to stop anticoagulants after successful surgery for atrial
fibrillation?
AB - A best evidence topic in cardiothoracic surgery was written according to a
structured protocol. The question addressed was: is it safe to stop
anticoagulants after successful surgery for atrial fibrillation? Altogether, 177
papers were found using the reported search, of which 14 were selected that
represented the best evidence to answer the clinical question. Selection criteria
included study relevance, primary outcome, size of study population and length of
follow-up. The authors, journal, date and country of publication, patient group
studied, study type, relevant outcomes and results of these papers are tabulated.
The weight of evidence, including over 10,000 patient-years of follow-up,
supports the discontinuation of warfarin following atrial fibrillation correction
procedures as being safe, with an associated annual thromboembolic stroke rate of
0-3.8% off warfarin, in studies where warfarin was stopped at a mean of 3.6
months (range 0-8 months) after the procedure. However, the confidence of this
conclusion suffers from a paucity of high-quality randomized controlled trials in
the field, with the main body of evidence coming instead from observational non
randomized studies. The stroke rate also varies with the exact procedure
performed; pulmonary vein isolation procedures are the most extensively evaluated
and carry the lowest stroke rate following warfarin discontinuation (0-0.4% per
annum when performed as an isolated procedure). By contrast, left atrial
appendage occlusion by insertion of a transcatheter device has an associated
annual stroke rate of 0-3.8% off warfarin. Thus, discontinuation of warfarin
following such transcatheter procedures cannot be recommended at this time.
Concomitant heart surgeries, such as mitral valve repair have been shown to
increase the thromboembolic rate both unpredictably and dramatically, and this
review thus identifies concomitant mitral valve surgery as a potentially
substantial risk factor for late thromboembolic stroke in patients undergoing
corrective surgeries for atrial fibrillation. This review finds in favour of
warfarin discontinuation in selected patients at three months post-procedure,
emphasizing consideration of the patient's individual risk-factor profile as
paramount. This recommendation is in line with the 2010 guidelines for the
management of atrial fibrillation produced by the European Society of Cardiology.
PMID- 21885541
TI - In patients with an enlarged left atrium does left atrial size reduction improve
maze surgery success?
AB - A best evidence topic in cardiothoracic surgery was written according to a
structured protocol. The question addressed was: In [adults undergoing a maze
procedure for atrial fibrillation (AF)], [does left atrial size reduction]
compared to [maze surgery alone] improve [maze surgery success]? A total of 58
papers were found using the reported search, of which eight represented the best
evidence to answer the clinical question. The authors, journal, date and country
of publication, patient group studied, study type, relevant outcomes and results
of these papers are tabulated. Four out of eight papers compared a volume
reduction technique as an adjunct to the maze procedure to a maze procedure alone
-all four papers reported that atrial volume reduction significantly increased
restoration of sinus rhythm: 89.3% vs. 67.2%, P<0.001; 85% vs. 68%, P<0.05; 84%
vs. 68%, P<0.05; 90% vs. 69%, P<0.05. Three out of eight papers had no control
group but reported good rates of sinus rhythm restoration at last follow-up--90%,
92% and 89%, respectively--despite the study population including atrial
enlargement, a risk factor for failure of a maze procedure. One paper reported no
benefit of an atrial reduction plasty in patients with a left atrium (LA) >70 mm.
An enlarged LA is a risk factor for failure of a maze procedure, and various
models of AF suggest that reducing atrial mass and/or diameter may help to
abolish the re-entry circuits underlying AF. Furthermore, AF is uncommon when
left atrial diameter is <40 mm, so there is at least some physiological basis for
atrial reduction surgery in aiding the success of a maze procedure. The evidence
suggests that patients with an enlarged (>= 55 mm) or giant (>= 75 mm) LA who are
at risk of failing to obtain sinus conversion after a standard maze procedure may
derive benefit from concomitant atrial reduction surgery using either a tissue
excision or a tissue plication technique. However, the evidence is not strong
since the papers available are not readily comparable owing to substantial
variations in the populations and procedures involved. We therefore, emphasise
the need for prospective randomised studies in this area.
PMID- 21885542
TI - Pulmonary resection after lung transplantation in cystic fibrosis patients.
AB - Pulmonary resection after lung transplantation in end-stage cystic fibrosis
presents unique challenges, and scant literature exists to guide physicians. We
retrospectively reviewed 78 transplants for cystic fibrosis performed between
2003 and 2008. Fourteen patients underwent posttransplantation pulmonary
resection. We analyzed the indications, surgical procedures, outcomes, and
survival. Three pneumonectomies, 4 lobectomies, and 11 wedge resections were
carried out. We identified 2 groups based on indication: a diagnostic group, and
a therapeutic group of patients in whom the indications were septic native lung
in 2, allograft infection in 2, lobar torsion in 2, pulmonary infarction in 2,
and size mismatch in 4. The mean intensive care unit and hospital stays were 29
and 50 days, respectively. Four (28.57%) patients died during follow-up,
including 2 who underwent pneumonectomy; 10 (71.43%) are still alive. Survival
was 43.43 +/- 8.06 months, and it was not significantly different from that in
cystic fibrosis patients who had lung transplantation without pulmonary
resection. Pulmonary resection following lung transplantation in cystic fibrosis
patients showed acceptable survival and surgical risk, but metachronous
pneumonectomy was associated with higher mortality.
PMID- 21885543
TI - Nanofiber-reinforced biological conduit in cardiac surgery: preliminary report.
AB - Several options are available for right ventricular outflow tract reconstruction,
including commercially available bovine jugular vein and cryo-preserved
homografts. Homograft non-availability and the problems of commercially available
conduits led us to develop indigenously processed bovine jugular vein conduits
with competent valves. They were made completely acellular and strengthened by
non-conventional cross-linking without disturbing the extracellular matrix, which
improved the luminal surface characteristics for hemocompatibility.
Biocompatibility in vitro and in vivo, along with thermal stability, matrix
stability, and mechanical strength have been evaluated. Sixty-nine patients
received these conduits for right ventricular outflow tract reconstruction. Seven
conduits dilated and 4 required replacement. To counteract dilatation,
biodegradable polymeric nanofibers in various combinations and in isolation
(collagen, polycaprolactone, polylactic acid) were characterized and used to
reinforce the conduit circumferentially. Physical validation by mechanical
testing, scanning electron microscopy, and in-vitro cytotoxicity was conducted.
Thermal stability, spectroscopy studies of the polymer, and preclinical studies
of the coated bovine jugular vein in animals are in progress. The feasibility
studies have been completed, and the final polymer selection depends on
evaluation of the functional superiority of the coated bovine jugular vein.
PMID- 21885544
TI - Sodium thiopental and mean arterial pressure during cardiopulmonary bypass.
AB - Sodium thiopental is known to have a number of cardiovascular effects, but
injection into the cardiopulmonary bypass reservoir has not been studied. The
effect of sodium thiopental on mean arterial blood pressure during
cardiopulmonary bypass was assessed in 150 patients undergoing elective coronary
artery bypass grafting. Sodium thiopental 3 mg . kg(-1) was administered via the
cardiopulmonary bypass reservoir. Mean arterial pressure was recorded just before
drug administration and at 15-sec intervals up to 120 sec afterwards. Compared to
the baseline value, mean arterial pressure was significantly higher at 30, 45,
60, and 75 sec after drug administration, and it was significantly lower at 90,
105, and 120 sec. Sodium thiopental, in addition to its effects on myocardial
tissue, acts initially as a potent vasopressor, and shortly after, as a potent
vasodilator.
PMID- 21885545
TI - N1 non-small-cell lung cancer. A 20-year surgical experience.
AB - N1 non-small-cell lung cancer has heterogeneous prognosis in relation to node
descriptors. There is no agreement on the ideal type of resection. A new
classification of N1 descriptors was proposed in the 7(th) edition of the TNM
staging system. A retrospective study was conducted on 384 patients with T1-T3N1
non-small-cell lung cancer who underwent complete pulmonary resection. The
prognostic role of N1 descriptors according to the current and new staging
systems and type of resection was investigated. The 5-year survival rate was 46%.
Involvement of hilar node stations, multiple stations, and multiple nodes were
poor prognostic factors (5-year survival, 33%, 21%, and 30%, respectively), as
well as involvement of the hilar zone and multiple zones (5-year survival, 27%
and 23%, respectively). Pneumonectomy showed significantly better survival rates
compared to lobectomy or bilobectomy (5-year survival, 60% vs. 29%). Multivariate
analysis showed that the number of N1 zones and type of resection were
independent prognostic factors. Patients with hilar nodal, multiple-level, or
multiple-zone involvement had poor prognosis. Standard lobectomy remains the
procedure of choice, but in cases of fixed nodes in the hilar zone, sleeve
resection or even pneumonectomy should be considered.
PMID- 21885546
TI - Can invasive thymomas be resected by video-assisted thoracoscopic surgery?
AB - Although video-assisted thoracic surgery can be used for well-encapsulated
thymomas, its role in invasive thymomas remains controversial. Between 1998 and
2009, 77 patients aged 22-76 years underwent thymomectomy by video-assisted
thoracic surgery. Tumors <5 cm without major invasion on preoperative computed
tomography were selected. There were 13 invasive thymomas (Masaoka stage III and
IV). A modified dissection technique was employed to prevent breaching the tumor
capsule and risking tumor seedling. Limited resection of the phrenic nerve,
pericardium, perithymic fat, and a wedge of lung was performed en bloc with the
tumor. The mean duration of surgery was 138 min. Hospital stay was 3.6 days.
Eleven patients had associated myasthenia gravis. There was 1 case of wound
infection and no operative mortality. The mean size of the thymomas was 34 mm
(range, 23-55 mm). All patients had adjuvant radiotherapy. During follow-up of
4.9 years (range, 1-10 years), there was one local recurrence. With the modified
video-assisted thoracic surgery technique, selected invasive thymomas detected
during surgery can be removed safely without resorting to sternotomy.
PMID- 21885547
TI - Successful management of descending necrotizing mediastinitis.
AB - Descending necrotizing mediastinitis is a critical infection and the mortality
rate remains high. Early aggressive surgical drainage and antibiotic therapy are
essential for treatment. We evaluated the efficiency of transthoracic drainage
using a minimally invasive technique in 11 cases of descending necrotizing
mediastinitis between May 2002 and March 2008. We performed a right-side
minithoracotomy with thoracoscopic assistance, and the mediastinum was thoroughly
drained. The length of hospitalization ranged from 30 to 117 days. The
postoperative course was good in all patients, and the outcome was favorable. All
patients were discharged without major complications. We recommend employing a
minithoracotomy with thoracoscopic assistance for aggressive treatment of
descending necrotizing mediastinitis.
PMID- 21885548
TI - Chordal transfer in rheumatic mitral regurgitation: early experience.
AB - Chordal transfer and chordal replacement techniques have been quite successful
for repair of anterior mitral leaflet prolapse in degenerative disease, but
largely unexplored in rheumatic patients. To extend the scope of valve repair, we
assessed the chordal transfer technique for correction of anterior mitral leaflet
prolapse in 57 patients with rheumatic mitral regurgitation, who were treated
between October 2008 and March 2010. There were 36 women and 21 men with a mean
age of 25 +/- 7.4 years. Normal chordae and a strip of leaflet tissue were
transferred from the posterior leaflet to the free edge of the anterior leaflet;
the posterior leaflet was repaired in the same manner as after quadrangular
resection. Additional procedures were commissurotomy in 19 patients, aortic valve
replacement in 1, tricuspid repair in 5, and cryo maze operations in 21. There
was no hospital mortality. One (1.7%) patient had acute renal failure but
recovered fully. There was moderate regurgitation in one patient who had
undergone simultaneous aortic valve replacement. At a mean follow-up of 6.2 +/- 2
months, 56/57 (98.2%) patients were asymptomatic with no significant mitral
regurgitation.
PMID- 21885549
TI - Streptokinase for malignant pleural effusions: a randomized controlled study.
AB - Effective palliative treatment in malignant pleural effusion can only be carried
out when the lung is fully expanded after drainage of effusion. We investigated
the efficacy of intrapleural fibrinolytics for lysing fibrin deposits and
improving lung reexpansion in patients with malignant pleural effusion. We
randomly allocated 47 patients with malignant pleural effusion into 2 groups: a
fibrinolytic group of 24 were given 3 cycles of 250,000 U intrapleural
streptokinase; the control group of 23 received pleural drainage only.
Pleurodesis with 5 mg of talc slurry was performed in all patients who had lung
reexpansion after drainage. Patient characteristics, pleural drainage, lung
expansion assessed by chest radiography, and pleurodesis outcomes were compared
between the 2 groups. Patient characteristics were similar in both groups. Lung
reexpansion was adequate for performing talc pleurodesis in 96% of patients in
the fibrinolytic group and 74% in the control group. In the fibrinolytic group,
the mean volume of daily pleural drainage before streptokinase administration was
425 mL, and it increased significantly to 737 mL after streptokinase infusion.
Intrapleural administration of streptokinase is advisable for patients with
malignant pleural effusion.
PMID- 21885551
TI - Total anomalous pulmonary venous connection beyond infancy.
AB - Most patients with total anomalous pulmonary venous connection are operated on in
infancy, with low mortality and morbidity, but in developing countries, we still
encounter patients beyond infancy. We describe our experience in 26 patients aged
1-16 years (mean, 5.01 years), with total anomalous pulmonary venous connection,
who underwent correction between June 2007 and December 2009. Eleven patients
were >5-years old. Transthoracic echocardiography was diagnostic in all cases.
Mean intensive care unit stay was 2.3 +/- 0.87 days, and hospital stay was 9.23
+/- 2.34 days. There were no early deaths and no major postoperative
complications. Follow-up ranged from 10-40 months. Pulmonary artery pressure, as
judged by echocardiography, reduced significantly in all patients. Repair of
total anomalous pulmonary venous connection beyond infancy can be carried out
safely with acceptable results.
PMID- 21885550
TI - A high-volume heart transplantation center in an Islamic country.
AB - Cardiac transplants are performed sporadically or not at all in the majority of
predominantly Muslim countries in the Middle East. We examined our experience in
76 patients who underwent heart transplantation between January 2005 and May 2010
in our center in Saudi Arabia. Excluded were 50 transplants performed between
1989 and 2004, due to incomplete data. Primary outcomes were complications, 30
day and late mortality rates, and 1-year survival. The heart transplant activity
between 2005 and 2010 (15.0 per year) was 4.5-fold higher than that between 1989
and 2004 (3.3 per year). There were 61 (80%) men and 15 (20%) women, with a mean
age of 35 years (range, 13-57 years). The mean waiting list time was 64 days
(range, 1-262 days), and hospital stay was 30 days (range, 12-166 days). Major
complications were infection (10), low-grade rejection (9), reoperation for
hemorrhage (8), and sternal dehiscence (2). The 30-day mortality was 7.8% (6/76).
Actuarial survival was 87.4% at 1 year and 81.5% at 3 years. A hospital in a
Muslim country can increase cardiac transplant activity with excellent 30-day
mortality and early survival comparable to that in worldwide counterparts.
PMID- 21885552
TI - Update on the mitral pulmonary autograft.
AB - Between July 1997 and August 2004, 92 patients with irreparable mitral valves
underwent replacement with a pulmonary autograft. This report brings the follow
up data of these patients up to date. Eighty-eight patients had a successful Ross
II operation; 4 were lost to follow-up. The mean follow-up period was 94 months.
Transesophageal echocardiography revealed a successful outcome in all 88 patients
immediately after the operation. Operative mortality was 4.6%, and late mortality
definitely related to the operation was 12.5%. At a mean follow-up of 94 months,
freedom from structural valve deterioration (significant mitral stenosis and/or
regurgitation) was 93.4%, freedom from reoperation was 92.0%, and freedom from
all causes of death was 82.9%. Two autografts were explanted because of
endocarditis. Two patients developed significant pulmonary stenosis, one of whom
underwent operative repair. These data compare favorably with those of mitral
valve replacement using modern bioprostheses. This procedure remains an option
for the relatively young patient when life-long anticoagulation is
contraindicated or impractical. It is also an option to consider in infants with
complex irreparable mitral valve disease.
PMID- 21885553
TI - Epithelioid malignant mesothelioma presenting with features of esophageal tumor.
AB - Intrathoracic epithelioid mesothelioma commonly presents as a diffuse tumor of
the pleura. It rarely occurs as a localized tumor that might be surgically
resectable. We report a case of one such localized mesothelioma in a 72-year-old
woman, which arose from the wall of the esophagus and had all the presenting
features of an esophageal tumor.
PMID- 21885554
TI - Late relapse of non-typhoidal salmonella vascular graft infection after 5 years.
AB - Salmonella bacteremia can be complicated by mycotic aneurysm with the potential
for a catastrophic presentation. Treatment involves prompt surgery with
debridement, extraanatomic bypass, and prolonged antibiotic therapy. Any relapse
tends to occur within the 1(st) year after surgery. We describe a case of
Salmonella enteritidis mycotic aneurysm in a 56-year-old man 5 years after the
initial presentation, emphasizing the importance of aggressive initial therapy
and long-term surveillance.
PMID- 21885555
TI - Pseudocoarctation of the aorta complicated by thoracic aortic aneurysm.
AB - Pseudocoarctation is a rare anomaly involving kinking or buckling of the aorta
without a pressure gradient across the lesion, considered to be a benign entity
warranting no specific intervention. An uncommon case of pseudocoarctation
associated with aortic aneurysm formation in 21-year-old woman is described.
Pathological findings suggested that the etiology was anomalous development of
the aorta in association with pseudocoarctation; therefore, careful follow-up of
patients affected by pseudocoarctation is mandatory.
PMID- 21885556
TI - Organ procurement: Spanish transplant procurement management.
AB - Transplantation is an accepted therapeutic option to save or improve the quality
of life when organ failure occurs or tissue replacements are needed. However, the
lack of organs is the major limitation. The deceased organ procurement
organization and professionals provide the solution to this international
problem. In this review, we identify the elements involved in the organ
procurement management process to analyze the possibility of implementation of
deceased organ procurement for a transplantation program. While the donation
rates are subject to several negative factors including religious, economic,
cultural, and legal issues, the existence of well-trained professionals may
considerably increase them. Professional training in organ donation along with
the establishment of a solid organizational system has been identified as the
crucial factor in developing efficient organ donation and transplantation
programs.
PMID- 21885557
TI - Mitral valve prosthesis implanted in atrial wall over huge calcified annulus.
AB - We describe an alternative technique for mitral valve replacement in patients
with severe mitral annular calcification, in whom conventional techniques are not
feasible. A new annulus that allows supra-annular prosthetic implantation is
created.
PMID- 21885558
TI - A tip for keeping the surgical sutures in order during bronchoplasty.
AB - We describe a device that we use to keep many surgical sutures in order during
bronchoplasty. This device is torus-shaped with slits at regular intervals
radially, which encircles the surgical field. We tuck the suture material into
the slits in the suture runner. Traditionally, they are controlled by the use of
many mosquito forceps. We believe that this device is useful, and that our method
is simpler than the traditional method.
PMID- 21885559
TI - Acute coronary syndrome due to coronary artery-pulmonary artery fistula.
PMID- 21885560
TI - Cardiac myxoma examined by 320-detector row computed tomography.
PMID- 21885561
TI - Situs solitus dextrocardia, coarctation, left superior vena cava, sequestration.
PMID- 21885562
TI - Coronary artery bypass in a patient with complex coronary artery anomaly.
PMID- 21885563
TI - Should video-assisted surgery be the first-line approach for bronchogenic cysts?
PMID- 21885564
TI - Chest wall stabilization following mediastinitis.
PMID- 21885565
TI - Retraction. "A 25-year experience with Carpentier-Edwards Perimount in the mitral
position".
PMID- 21885566
TI - The beta-catenin binding protein ICAT modulates androgen receptor activity.
AB - Androgens have important roles in the development of the prostate gland and in
prostate cancer. Since the finding that beta-catenin is a cofactor of the
androgen receptor (AR) and can augment AR signaling, several proteins have been
found to affect AR signaling through their interaction with beta-catenin. Here,
we investigated inhibitor of beta-catenin and T-cell factor (ICAT), a beta
catenin binding protein that inhibits the canonical Wnt/beta-catenin signaling
pathway, in AR signaling. We demonstrated that expression of ICAT in two AR
positive prostate cancer cell lines, LNCaP and LAPC4, augments ligand-dependent
AR-mediated transcription. In contrast, short hairpin RNA knockdown of ICAT and
beta-catenin specifically blocks enhanced AR-mediated transcription by ICAT.
Using both stable expression of ICAT and short hairpin RNA knockdown of ICAT
expression approaches, we further showed that ICAT enhances expression of
endogenous PSA and KLK2, two androgen response genes, and ligand-induced cell
growth. In addition, we identified that ICAT and AR can form a ternary complex
with beta-catenin using in vitro glutathione S-transferase protein pulldown
assays. Moreover, we detected the endogenous protein complex containing ICAT, AR,
and beta-catenin in prostate cancer cells using immunoprecipitation assays.
Recruitment of endogenous ICAT onto the promoter region of the human PSA gene, an
AR downstream target promoter, was also identified in LNCaP cells. Finally, using
in vitro protein binding assays, we examined the effect of full-length and
truncated ICAT on the AR-beta-catenin interaction and observed that addition of
full-length ICAT retained the interaction between beta-catenin and AR proteins.
Intriguingly, the truncated ICAT comprising the N-terminal helical domain showed
a more pronounced effect on beta-catenin binding to AR proteins. Our findings
suggest a novel molecular mechanism underlying the cross talk between androgen
and Wnt signaling pathways.
PMID- 21885568
TI - Challenges in the management of patients with medically unexplained symptoms in
Poland: a qualitative study.
AB - BACKGROUND: Patients with medically unexplained symptoms (MUS) are highly
prevalent in primary care. There are no guidelines for treatment and management
of this group of patients in the Polish health care system and the establishment
of a long-term doctor-patient relationship, which is the crux of the therapy, is
impeded. OBJECTIVE: To establish what challenges Polish GPs encounter while
dealing with patients with MUS. METHOD: A thematic analysis of 4 focus groups (14
GPs altogether), using a three-level coding of data. RESULTS: Three main themes
surfaced in the analysis: negative emotions among the investigated GPs, their
insufficient training in the management of patients with MUS and the lack of
guidelines and the influence of the changed health care environment on the
management of patients with MUS. Four major influences of the changed health care
environment emerged: GPs' negative image as professionals, barriers to building a
continuous doctor-patient relationship, limited resources and limited access to
specialists and lack of a multidisciplinary primary care team. CONCLUSIONS:
Treatment and management of patients with MUS should make provision for a
personalized approach to the patient within the Polish primary health care
system. This can be enhanced by providing additional training in the
biopsychosocial model during medical education and establishing a GP
multidisciplinary team. Allocating increased financial resources for primary
health care and facilitating access to psychologists and psychotherapists could
also prove beneficial.
PMID- 21885567
TI - cMyc is a principal upstream driver of beta-cell proliferation in rat insulinoma
cell lines and is an effective mediator of human beta-cell replication.
AB - Adult human beta-cells replicate slowly. Also, despite the abundance of rodent
beta-cell lines, there are no human beta-cell lines for diabetes research or
therapy. Prior studies in four commonly studied rodent beta-cell lines revealed
that all four lines displayed an unusual, but strongly reproducible, cell cycle
signature: an increase in seven G(1)/S molecules, i.e. cyclins A, D3, and E, and
cdk1, -2, -4, and -6. Here, we explore the upstream mechanism(s) that drive these
cell cycle changes. Using biochemical, pharmacological and molecular approaches,
we surveyed potential upstream mitogenic signaling pathways in Ins 1 and RIN
cells. We used both underexpression and overexpression to assess effects on rat
and human beta-cell proliferation, survival and cell cycle control. Our results
indicate that cMyc is: 1) uniquely up-regulated among other candidates; 2)
principally responsible for the increase in the seven G(1)/S molecules; and, 3)
largely responsible for proliferation in rat beta-cell lines. Importantly, cMyc
expression in beta-cell lines, although some 5- to 7-fold higher than normal rat
beta-cells, is far below the levels (75- to 150-fold) previously associated with
beta-cell death and dedifferentiation. Notably, modest overexpression of cMyc is
able to drive proliferation without cell death in normal rat and human beta
cells. We conclude that cMyc is an important driver of replication in the two
most commonly employed rat beta-cell lines. These studies reverse the current
paradigm in which cMyc overexpression is inevitably associated with beta-cell
death and dedifferentiation. The cMyc pathway provides potential approaches,
targets, and tools for driving and sustaining human beta-cell replication.
PMID- 21885569
TI - A qualitative study on patients' and physicians' visions for the future
management of overweight or obesity.
AB - BACKGROUND: The management of obesity with its associated morbidity and mortality
is a growing problem in primary care practices. Despite numerous recommendations
in response to this challenge, weight management interventions still yield poor
results. This is partly due to a discrepancy between physicians' and patients'
understanding of the problem and possible solutions. OBJECTIVE: This study
analyses patients' and physicians' visions for the future management of obesity.
METHODS: Qualitative in-depth semi-structured interviews were performed.
Physicians and patients were asked about their individual needs, experience and
views regarding the management of obesity. Fifteen GPs and 15 overweight patients
participated in this study. Interviews were transcribed and submitted to
qualitative content analysis. RESULTS: The investigation reveals a high level of
agreement between the two groups with regard to communication requirements for
successful care. Both groups stressed the need for multimodal care concepts
inside and outside of GP practices. Both also addressed the current overburdening
of outpatient care structures in dealing with the management of obesity.
CONCLUSION: Options should be developed for closer cooperation between GPs and
support facilities inside and outside practices.
PMID- 21885570
TI - Acceptability of a guided self-help mental health intervention in general
practice.
AB - BACKGROUND: People with subthreshold mental health syndromes are common in
general practice and represent an important morbidity and disability burden.
Management options are currently limited. We examined the acceptability of a
novel ultra-brief guided self-help intervention designed specifically for use in
this setting. OBJECTIVE: To assess clinician and patient satisfaction with an
ultra-brief guided self-help intervention to address subthreshold mental health
syndromes in the primary care setting. METHODS: Consenting patients were given
the ultra-brief intervention in a series of three 15- to 30-minute coaching
sessions over a 5-week period. DESIGN: survey interview of clinician and patient
satisfaction with and acceptability of the intervention. SETTING: general
practices in Wellington, New Zealand. MAIN OUTCOME MEASURE: clinician and patient
acceptability of the intervention was assessed by survey questionnaire at 3
months. Baseline and follow-up mental health status assessments were undertaken
using the Kessler-10 measure of psychological distress. RESULTS: Six clinicians
recruited 19 patient participants, 16 of whom completed the intervention. Based
on questionnaire feedback, clinician and patient satisfaction ratings were very
positive. However, clinicians expressed a concern that the length of the sessions
was sometimes inadequate. The psychological well-being of the patients, as
measured by the Kessler-10, was also significantly improved post-intervention.
CONCLUSION: The intervention appeared to improve the psychological well-being of
the patients and was regarded positively by both clinicians and patient
participants. Further testing of the efficacy of the intervention on a larger
sample with a randomized controlled trial study design is warranted.
PMID- 21885572
TI - AFLP fingerprinting shows that a single Prymnesium parvum harmful algal bloom
consists of multiple clones.
AB - Due to slow rates of molecular evolution, DNA sequences used to identify and
build phylogenies of algal species involved in harmful algal blooms (HABs) are
generally invariant at the intraspecific level. This means that it is unknown
whether HAB events result from the growth of a single clone, a few dominant
clones, or multiple clones. This is true despite the fact that several
physiological and demographic traits, as well as toxicity, are known to vary
across clones. We generated AFLP fingerprints from a set of 6 clonal isolates,
taken from a bloom of Prymnesium parvum at a striped bass mariculture facility.
This new haptophyte bloom was recently implicated in fish kills at several sites
in the United States. The AFLP fragments were highly reproducible and showed that
all isolates were distinguishable due to abundant AFLPs unique to single
isolates. These results demonstrate that blooms can be genetically diverse
outbreaks and indicate that AFLP can be a powerful molecular tool for
characterizing and monitoring this diversity.
PMID- 21885571
TI - Genetic structure and the North American postglacial expansion of the barnacle,
Semibalanus balanoides.
AB - Population genetic characteristics are shaped by the life-history traits of
organisms and the geologic history of their habitat. This study provides a
neutral framework for understanding the population dynamics and opportunities for
selection in Semibalanus balanoides, a species that figures prominently in
ecological and evolutionary studies in the Atlantic intertidal. We used
mitochondrial DNA (mtDNA) control region (N = 131) and microsatellite markers
(~40 individuals/site/locus) to survey populations of the broadly dispersing
acorn barnacle from 8 sites spanning 800 km of North American coast and 1 site in
Europe. Patterns of mtDNA sequence evolution were consistent with larger
population sizes in Europe and population expansion at the conclusion of the last
ice age, approximately 20 000 years ago, in North America. A significant portion
of mitochondrial diversity was partitioned between the continents (phi(ST) =
0.281), but there was only weak structure observed from mtDNA within North
America. Microsatellites showed significant structuring between the continents
(F(ST) = 0.021) as well as within North America (F(ST) = 0.013). Isolation by
distance in North America was largely driven by a split between populations south
of Cape Cod and all others (P < 10(-4)). The glacial events responsible for
generating allelic diversity at mtDNA and microsatellites may also be responsible
for generating selectable variation at metabolic enzymes in S. balanoides.
PMID- 21885574
TI - Substance use and first-episode psychosis.
PMID- 21885573
TI - Temporal population genetic structure of eastern mosquitofish in a dynamic
aquatic landscape.
AB - We analyzed the effect of periodic drying in the Florida Everglades on
spatiotemporal population genetic structure of eastern mosquitofish (Gambusia
holbrooki). Severe periodic drying events force individuals from disparate
sources to mix in dry season relatively deep-water refuges. In 1996 (a wet year)
and 1999 (a dry year), we sampled mosquitofish at 20 dry-season refuges
distributed in 3 water management regions and characterized genetic variation for
10 allozyme and 3 microsatellite loci. In 1996, most of the ecosystem did not
dry, whereas in 1999, many of our sampling locations were isolated by expanses of
dried marsh surface. In 1996, most spatial genetic variation was attributed to
heterogeneity within regions. In 1999, spatial genetic variation within regions
was not significant. In both years, a small but significant amount of variation
(less than 1% of the total variation) was partitioned among regions. Variance was
consistently greater than zero among long-hydroperiod sites within a region, but
not among short-hydroperiod sites within a region, where hydroperiod was measured
as time since last marsh surface dry-down forcing fishes into local refuges. In
1996, all sites were in Hardy-Weinberg equilibrium. In 1999, we observed fewer
heterozygotes than expected for most loci and sites suggesting a Wahlund effect
arising from fish leaving areas that dried and mixing in deep-water refuges.
PMID- 21885575
TI - Best practices: Optimizing care for people with serious mental illness and
comorbid diabetes.
AB - Diabetes and obesity among patients with serious mental illness are common. Use
of second-generation antipsychotics compounds risk, and widely prevalent
unhealthy behaviors further contribute to negative outcomes. This column
describes Targeted Training in Illness Management, a group-based psychosocial
treatment that blends psychoeducation, problem identification, goal setting, and
behavioral modeling and reinforcement. The intervention has been adapted to the
primary care setting and is targeted at individuals with serious mental illness
and diabetes. A key feature of the intervention is the use of peer educators with
serious mental illness and diabetes to teach and model self-management. Promising
results from a 16-week trial are reported.
PMID- 21885576
TI - Public-academic partnerships: a program to improve the quality of antipsychotic
prescribing in a community mental health system.
AB - State mental health authorities can use public-academic partnerships to create
professional roles in which leaders can track trends, identify problems, and
carry out quality improvement projects to address key issues. Leaders with
positions in both academic institutions and state mental health authorities
ensure access to resources, technical expertise, and key relationships to improve
quality. The authors describe a public-academic partnership in New Hampshire and
a quality improvement program it carried out. The program encourages providers at
community mental health centers to adopt prescribing practices that limit the
cardiometabolic side effects of antipsychotic medicines.
PMID- 21885578
TI - Career satisfaction of psychiatrists.
AB - OBJECTIVE: According to recent estimates, there is a shortage of around 45,000
psychiatrists in the United States. It will be very difficult to address this
problem without attracting more medical students to psychiatry and motivating the
current crop of psychiatrists to see more patients and delay retirement. In this
study the authors sought to identify factors that have a significant impact on
the career satisfaction of psychiatrists. METHODS: Data were gathered from 314
psychiatrists who participated in the 2008 Health Tracking Physician Survey
conducted by the Center for Studying Health System Change. Independent variables
were grouped as practice-related factors, compensation-related factors, patient
related factors, and demographic characteristics of psychiatrists. Career
satisfaction of psychiatrists was the outcome measure of this study. RESULTS:
Threat of malpractice and the need to consider in treatment decisions out-of
pocket cost to patients had a significant negative impact on career satisfaction.
Adequate time with a patient had a significant positive impact on career
satisfaction. None of the compensation-related factors was significant.
Psychiatrists who worked in practices that accepted new Medicare patients
reported significantly higher levels of career dissatisfaction, whereas those who
worked in practices that accepted new Medicaid patients reported significantly
higher levels of career satisfaction. Older psychiatrists were more satisfied
than younger psychiatrists, and white, non-Hispanic psychiatrists were more
satisfied than African-American or Hispanic psychiatrists. CONCLUSIONS: The
results of this study highlight the need for policy makers and health care
administrators to develop specific strategies to increase career satisfaction,
which in return may help alleviate the shortage of psychiatrists.
PMID- 21885577
TI - Substance use disorder among people with first-episode psychosis: a systematic
review of course and treatment.
AB - OBJECTIVE: People experiencing a first episode of psychosis frequently have co
occurring substance use disorders, usually involving alcohol and cannabis, which
put them at risk for prolonged psychosis, psychotic relapse, and other adverse
outcomes. Yet few studies of first-episode psychosis have addressed the course of
substance use disorders and the response to specialized substance abuse
treatments. METHODS: The authors searched MEDLINE, PsycINFO, and other medical
databases for English-language articles published between 1990 and 2009. Included
studies addressed two research questions. First, do some clients become abstinent
after a first episode of psychosis without specialized substance abuse
treatments? Second, for clients who continue to use substances after a first
episode of psychosis, does the addition of specialized substance abuse treatment
enhance outcomes? RESULTS: Nine studies without specialized substance abuse
treatment and five with specialized substance abuse treatment assessed the course
of substance use (primarily cannabis and alcohol) after a first episode of
psychosis. Many clients (approximately half) became abstinent or significantly
reduced their alcohol and drug use after a first episode of psychosis. The few
available studies of specialized substance abuse treatments did not find better
rates of abstinence or reduction. CONCLUSIONS: Experience, education, treatment,
or other factors led many clients to curtail their substance use disorders after
a first episode of psychosis. Specialized interventions for others need to be
developed and tested.
PMID- 21885579
TI - Racial-ethnic composition of provider practices and disparities in treatment of
depression and anxiety, 2003-2007.
AB - OBJECTIVES: This study explored whether racial and ethnic disparities in the
treatment of depression and anxiety are associated with provider-level factors.
METHODS: This study analyzed 58,826 office-based adult outpatient visits to
primary care physicians and psychiatrists. Data were from the National Ambulatory
Medical Care Survey, 2003-2007. Outcomes included counseling and referral for
counseling, antidepressant prescription, and any care for depression or anxiety.
The analyses of treatment outcomes were not limited to visits with a depression
or anxiety diagnosis. RESULTS: Compared with visits to primary care physicians by
whites, such visits by blacks and Hispanics were less likely to result in
antidepressant prescription or in any care for depression or anxiety; primary
care visits by Hispanics were also less likely to result in counseling. Compared
with visits to psychiatrists by whites, such visits by blacks were less likely to
result in an antidepressant prescription. The majority of visits to both primary
care physicians and psychiatrists by blacks and Hispanics were to practices
serving a high percentage of nonwhite patients. However, racial and ethnic
disparities in care that were especially evident in primary care settings
persisted after the analyses controlled for whether visits were to settings with
a high or low percentage of nonwhite patients. CONCLUSIONS: Disparities in care
for depression and anxiety in primary care continue and are not fully accounted
for by less care being provided in settings that nonwhites frequent. Physician
bias, resource issues, and patient factors may all play a role in the diagnosis
and treatment of depression and anxiety.
PMID- 21885580
TI - Ethnic disparities in antipsychotic drug use in British Columbia: a cross
sectional retrospective study.
AB - OBJECTIVE: This study examined ethnic disparities in antipsychotic therapy in a
population with significant Asian representation. METHODS: Using a cross
sectional retrospective study design, self-reported ethnicity data pooled from
three cycles of the Canadian Community Health Survey were linked to 2005
administrative data on physician, hospital, and pharmaceutical use in British
Columbia, Canada. Logistic regression was used to model the association between
ethnicity and the likelihood of filling one or more prescriptions for any
antipsychotic, with controls for sex, age, residence, immigrant status, income,
health status, and diagnoses of schizophrenia, bipolar disorder, depression, and
dementia. RESULTS: Of the 27,658 individuals in the sample, 2.2% filled at least
one antipsychotic prescription. The proportion varied across ethnic groups:
Chinese, 1.0%; other Asians, 1.2%; whites, 2.3%; nonwhite non-Asians, 2.8%; and
mixed ethnicity, 4.3%. After adjustment for patient characteristics and diagnoses
of schizophrenia and bipolar disorder, the likelihood of filling a prescription
was found to be lower among Chinese (odds ratio [OR] = .47, 95% confidence
interval [CI] = .24-.90) and higher among persons of mixed ethnicity (OR = 3.19,
CI = 1.49-6.83). Further adjustment for depression and dementia diagnoses did not
significantly change the ORs for the Chinese (OR = .49, CI = .25-.98) and the
mixed ethnic groups (OR = 2.97, CI = 1.30-6.80). CONCLUSIONS: Consistent with the
existing literature on ethnic disparities in antipsychotic therapy, the study
found evidence of persistent disparities in a population that has a significant
number of Asians. Further studies should be done to identify possible causes of
these disparities and to identify potential interventions that may reduce or
eliminate them.
PMID- 21885581
TI - Adherence, persistence of use, and costs associated with second-generation
antipsychotics for bipolar disorder.
AB - OBJECTIVE: A retrospective study using Medicaid claims identified patients with
bipolar disorder for whom oral second-generation antipsychotics were prescribed
and compared rates of adherence, persistence of use, and costs across five groups
of patients taking aripiprazole, olanzapine, quetiapine, risperidone, or
ziprasidone. METHODS: Medicaid claims data for 2,446 bipolar patients were
analyzed from eight states. The 18-month observation period included the six
months before and the 12 months after the index prescription date. Adherence was
defined as a medication possession ratio >80%. Persistence of use was measured by
the number of days of medication therapy before a 30-day gap. Mental health
related prescription costs, total prescription costs, total mental health-related
costs, and total costs were assessed. Ziprasidone was the comparator. RESULTS:
Clinically recommended doses of second-generation antipsychotic medications were
prescribed for 45% of the patients (N = 1,102). Of these, 58% (N = 642 of 1,102)
were adherent with the prescribed medication, with no significant differences
between medication groups. Median time to nonpersistence of use averaged 96 days.
Patients taking olanzapine were about 35% more likely than patients taking
ziprasidone to discontinue taking their medication (hazard ratio = 1.34, 95%
confidence interval = 1.02-1.76, p = .04). Mental health-related prescription
costs and total prescription costs were lower for risperidone than ziprasidone.
No statistically significant differences were found between the groups for all
mental health-related costs or total costs. CONCLUSIONS: Among patients in a
sizeable Medicaid cohort for whom a second-generation antipsychotic medication
was prescribed, less than half had a clinically recommended dose, and less than
two-thirds with a clinically recommended dose were adherent to the medication,
confirming that many patients with bipolar disorder do not receive clinically
recommended doses of second-generation antipsychotics.
PMID- 21885582
TI - Characteristics associated with purchasing antidepressant or antianxiety
medications through primary care in Israel.
AB - OBJECTIVES: This study analyzed the role of patient and physician characteristics
associated with the purchase of antidepressant or antianxiety medications in
Israel, a country that has a universal health care system. METHODS: A national
sample of 30,000 primary care patients over the age of 22 was randomly drawn from
the registry of the largest health care fund in Israel. Data concerning
medication purchase between January and December 2006 were extracted. Physician
and patient characteristics were merged with Israel's unique identification
number. Multilevel analysis was conducted to identify patient- and physician
level predictors of medication purchase. RESULTS: Overall, 19% (N = 4,762) of the
sample purchased antidepressant or antianxiety medications. Individuals with
greater general medical and psychiatric comorbidity were more likely to purchase
antidepressant or antianxiety medications. Older adults, women, those of higher
socioeconomic status, and immigrants (with the exception of Jews born in Asia or
Africa) were also more likely to purchase medications. Arabs and Jews born in
Asia and Africa were less likely to purchase medications even after all other
variables were accounted for. Physician characteristics were minimally associated
with the purchase of medications. CONCLUSIONS: The findings demonstrate that
despite universal health care access, there were variations by population groups.
Educational efforts should target patients as well as physicians.
PMID- 21885583
TI - Implementation of collaborative depression management at community-based primary
care clinics: an evaluation.
AB - OBJECTIVE: This study evaluated a large demonstration project of collaborative
care of depression at community health centers by examining the role of clinic
site on two measures of quality care (early follow-up and appropriate
pharmacotherapy) and on improvement of symptoms (score on Patient Health
Questionnaire-9 reduced by 50% or <= 5). METHODS: A quasi-experimental study
examined data on the treatment of 2,821 patients aged 18 and older with
depression symptoms between 2006 and 2009 at six community health organizations
selected in a competitive process to implement a model of collaborative care. The
model's key elements were use of a Web-based disease registry to track patients,
care management to support primary care providers and offer proactive follow-up
of patients, and organized psychiatric consultation. RESULTS: Across all sites, a
plurality of patients achieved meaningful improvement in depression, and in many
sites, improvement occurred rapidly. After adjustment for patient
characteristics, multivariate logistic regression models revealed significant
differences across clinics in the probability of receiving early follow-up (range
.34-.88) or appropriate pharmacotherapy (range .27-.69) and in experiencing
improvement (.36 to .84). Similarly, after adjustment for patient
characteristics, Cox proportional hazards models revealed that time elapsed
between first evaluation and the occurrence of improvement differed significantly
across clinics (p<.001). CONCLUSIONS: Despite receiving similar training and
resources, organizations exhibited substantial variability in enacting change in
clinical care systems, as evidenced by both quality indicators and outcomes.
Sites that performed better on quality indicators had better outcomes, and the
differences were not attributable to patients' characteristics.
PMID- 21885584
TI - Perceptions of quality of health care among veterans with psychiatric disorders.
AB - OBJECTIVE: An estimated 37% to 40% of veterans treated by the U.S. Department of
Veterans Affairs (VA) have a psychiatric disorder, and many of them have comorbid
general medical problems. This study examined the impact of demographic and
clinical characteristics on perceptions of outpatient health care experiences
among veterans with psychiatric disorders. METHODS: Responses from the Survey of
Health Care Experiences (SHEP) administered by the Veterans Health Administration
(VHA) and administrative data from the VA were collected for 55,578 patients aged
18 and older with a psychiatric disorder surveyed in fiscal year 2005. Bivariate
and multivariable analyses were used to examine the associations between
demographic and clinical characteristics and patients' responses about providers'
attentiveness, collaboration in health care decisions, confidence in providers,
and overall quality of care. RESULTS: Most veterans with psychiatric disorders
perceived their health care experiences positively. However, those who were
younger, were nonwhite, had lower incomes, had a service-connected disability,
and had been diagnosed as having PTSD or a substance use disorder were less
likely to perceive their health care experiences positively. CONCLUSIONS: An
opportunity exists to improve clinical practice and design health care services
to better serve certain groups of patients at VHA facilities. Areas of
improvement may include rapport building and developing ways to include patients
in decisions about their health care.
PMID- 21885585
TI - Association of involuntary psychiatric examination with probability of arrest of
people with serious mental illness.
AB - OBJECTIVE: This study examined the association between the occurrence of an
involuntary psychiatric examination under Florida civil commitment law and the
probability of arrest during the next quarter. METHODS: County criminal justice
records and several statewide and local health and social service data sets were
used to identify inmates with a serious mental illness who spent at least one day
in the Pinellas County jail between July 1, 2003, and June 30, 2004. These same
data sets were combined with statewide arrest and prison records to identify the
criminal justice and health and social services histories of these individuals
from July 1, 2002, to June 10, 2006, with the four-year period divided into 16
periods of 90 days. The main analysis used individual fixed-effects models to
examine the relationship between involuntary examinations and subsequent
probability of arrest. RESULTS: There were 3,728 inmates with serious mental
illness in the sample, with 40% (N = 1,485) having at least one involuntary
examination during the four-year period. Individuals who experienced an
involuntary examination during the four years were arrested in 34% (N = 1,038) of
the quarters after an examination and in 27% (N = 3,786) of the quarters not
preceded by an involuntary examination. Individual fixed-effects models found a
significant positive relationship between the receipt of an involuntary
examination in one period and the likelihood of arrests, felony arrests, and
misdemeanor arrests in the next period. CONCLUSIONS: Involuntary psychiatric
examinations were associated with increased risk of arrest. Thus an involuntary
examination was a significant signal that individuals with serious mental illness
were at risk for criminal behavior and arrest.
PMID- 21885586
TI - Service intensity as a predictor of competitive employment in an individual
placement and support model.
AB - OBJECTIVES: Previous research on the individual placement and support (IPS) model
of supported employment has focused on the model's effectiveness and on fidelity
to the program model. Little is known about service intensity, or the number of
service contacts received. This study examined several aspects of service
intensity: average level, association with weeks worked, predictors, and
modulators of its effect on weeks worked. METHODS: The study used data that were
collected over two years from 91 persons with severe mental illness who
participated in one arm of a randomized controlled trial conducted at Thresholds,
a psychosocial rehabilitation center in Chicago. RESULTS: Services were more
intense during the initial phase of services, service intensity predicted later
weeks worked, and few individual demographic and clinical characteristics were
related to service intensity. Finally, high levels of cognitive symptoms weakened
the relationship between service intensity and weeks worked. CONCLUSIONS: This
study suggests increased IPS service intensity may lead to better employment
outcomes and has implications for service provision and fidelity measurement.
PMID- 21885587
TI - Direct costs of bipolar disorder versus other chronic conditions: an employer
based health plan analysis.
AB - OBJECTIVE: This study was a retrospective data-based analysis of health care
utilization and costs for patients diagnosed as having bipolar disorder compared
with patients with diagnoses of depression, diabetes, coronary artery disease, or
asthma. METHODS: Data were from an employer-based health plan. Consistent
diagnosis and continuous enrollment from 2004 to 2007 were used to identify the
study population (total N = 7,511), including those with bipolar disorder (N =
122), depression (N = 1,290), asthma (N = 2,770), coronary artery disease (N =
1,759), diabetes (N = 1,418), and diabetes with coronary artery disease (N =
455). Resource utilization quantified as cost (total, specialty care, psychiatric
outpatient) and number of visits (specialty care and outpatient psychiatric care)
was compared across groups. RESULTS: Patients with bipolar disorder had higher
adjusted mean per member per month (PMPM) costs than any other comparison group
except for those with both diabetes and coronary artery disease. The cost was
predominantly related to pharmacy costs and both inpatient and outpatient
psychiatric care. A subset of 20% of patients with bipolar disorder accounted for
64% of the total costs. This subgroup of patients was more likely to be female,
to have frequent hospital stays, and to have a higher number of comorbidities.
Depressed patients, in contrast to bipolar disorder patients, had higher adjusted
mean PMPM costs in primary care and nonpsychiatric inpatient costs. CONCLUSIONS:
Health care costs for bipolar disorder exceeded those for several common chronic
illnesses. These data provide further evidence for employers, insurers, and
providers to seek innovative models to deliver effective and efficient care to
individuals with bipolar illness.
PMID- 21885588
TI - Adequacy of treatment for patients with schizophrenia spectrum disorders and
affective disorders in Lombardy, Italy.
AB - OBJECTIVE: This study assessed whether patients being treated for schizophrenia
spectrum and affective disorders in Lombardy receive adequate treatment and
sought predictors of adequate treatment. METHODS: Patients were aged >= 18, were
residents of Italy's Lombardy region, and were treated in 2007 for schizophrenia
spectrum and affective disorders (N = 44,462). The patients were assessed as part
of a retrospective analysis of pharmaceutical and mental health services
databases. Adequacy of 12-month treatment from the first psychiatric contact in
2007 was assessed at the patient level. A hierarchical log-binomial regression
model was fitted to estimate relative risk and 95% confidence intervals for
association between patients, characteristics of the departments of mental health
(DMH), and receipt of minimally adequate treatment. RESULTS: About half the
patients with serious mental disorders did not receive adequate care; 45.5% of
patients with depressive disorders, 55.7% of those with bipolar disorders, and
49.3% of those with schizophrenia spectrum disorders received minimally adequate
treatment. Diagnosis of a schizophrenia spectrum disorder or bipolar disorder and
male gender predicted adequate treatment, whereas employment and high comorbidity
predicted inadequate treatment. Patients who received mental health services in
the past year were significantly more likely to receive adequate treatment
compared with those who had received services in the past five years or new
patients. CONCLUSIONS: Minimally adequate treatment is a useful indicator to
monitor quality of care in Italy's regional mental health system. These data
should be used at regional and local levels to implement clinical audits, to
create benchmark measures, and to define new quality-improvement projects to meet
specific DMH needs.
PMID- 21885589
TI - Lessons learned from the New York State mental health response to the September
11, 2001, attacks.
AB - OBJECTIVE: In the aftermath of the September 11, 2001, attacks on the World Trade
Center, the public mental health system in New York City mounted the largest
mental health disaster response in history, called Project Liberty. The successes
and challenges of Project Liberty are evaluated. METHODS: The development of
Project Liberty is summarized and analyzed from the perspective of the New York
State and New York City officials and scientists who led the disaster response.
Lessons learned that have implications for mental health support in future
disaster responses are offered. RESULTS: A high level of interagency
collaboration, engagement of nongovernmental organizations to provide services,
media education efforts, and ongoing program evaluation all contributed to the
program's successes. Mental health professionals' limited experiences with
trauma, options for funding treatment, duration of clinical program, and existing
needs assessments methodologies all proved challenging. CONCLUSIONS: Project
Liberty was a massive and invaluable resource during the years of rebuilding in
New York City in the wake of the attacks. Challenges faced have led to lessons of
generalizable import for other mental health responses to large-scale events.
PMID- 21885590
TI - CMHC adherence to National Mental Health Plan standards in Italy: a survey 30
years after national reform law.
AB - OBJECTIVE: The PROG-CSM (Progetto Centri di Salute Mentale) survey was conducted
in all Italian community mental health centers (CMHCs) with the aim of evaluating
the extent to which these services adhered to the standards defined by the
Italian National Mental Health Plan 1998-2000. METHODS: The policy
recommendations of the Italian National Mental Health Plan were translated by a
multidisciplinary group of experts into key indicators, including continuity of
care, coordination with other community-based services, accessibility,
implementation of specific programs, and provision of care. RESULTS: There was
high adherence to the standards of the National Mental Health Plan in continuity
of care and coordination with other services, but there were lower levels of
accessibility and implementation of specific projects. CONCLUSIONS: CMHCs were
sufficiently developed throughout Italy, and continuity of care and service
coordination levels were satisfactory; however, adherence to the standards was
unrelated to the duration of activity of the CMHCs.
PMID- 21885591
TI - Use of administrative data to identify potential service gaps for individuals
with serious mental illness.
AB - OBJECTIVE: The New York City Mental Health Care Monitoring Initiative uses
Medicaid claims data to identify individuals with serious mental illness who are
experiencing or at risk for gaps in services. In this study the authors assessed
whether proposed service use algorithms accurately identified such individuals.
METHODS: A random sample of 500 individuals with serious mental illness was
identified. Individuals belonged to specific high-need cohorts and met predefined
claims-based criteria for potential service gaps. Clinical staff initiated
reviews with prior service providers for 230 individuals. RESULTS: Over a two
week period staff completed reviews for 188 cases (88%). In 66 cases (35%) the
individual was fully engaged in care; 84 (45%) had a recent episode of
disengagement that was appropriately addressed, and 38 (20%) were not receiving
adequate services. CONCLUSIONS: The proposed service use algorithms successfully
identified high-need individuals with serious mental illness at risk for gaps in
services.
PMID- 21885592
TI - Medical comorbidity and functional status among adults with major mental illness
newly admitted to nursing homes.
AB - OBJECTIVE: This study compared comorbid conditions and functional status among
elderly and nonelderly individuals with mental illness who were newly admitted to
nursing homes (N = 286,411). METHODS: Data were drawn from the Centers for
Medicare & Medicaid Services national registry of nursing home residents from the
Minimum Data Set in 2008. RESULTS: Among newly admitted individuals with
schizophrenia, those younger than 65 accounted for a majority (60.3%) of
admissions and had lower rates of medical illnesses and were more likely to be
classified as low-care status than individuals who were 65 or older. Most (81%)
new admissions with depression were 65 or older. Among all nonelderly admissions,
individuals with depression had the highest rates of medical comorbidity.
CONCLUSIONS: Many adults younger than 65 with schizophrenia who were newly
admitted to nursing homes lacked clinical indications for skilled nursing care.
In contrast, higher rates of medical conditions among nonelderly adults with
depression underscored the need for integrated psychiatric and medical care in
nursing homes.
PMID- 21885593
TI - Sleep problems, psychiatric hospitalization, and emergency department use among
psychiatric patients with Medicaid.
AB - OBJECTIVE: This study examined the prevalence of sleep problems and their
association with the use of inpatient and emergency department services by
Medicaid recipients with serious mental illness. METHODS: The sample consisted of
1,560 psychiatric patients with Medicaid coverage who were identified in a ten
state random survey of psychiatrists. Sleep problems were assessed by clinician
ratings. RESULTS: Over 75% of the patients experienced a sleep problem, and
approximately 50% of these patients had problems that were moderate to severe.
Greater sleep problem severity was associated with an increased risk of
psychiatric hospitalization and emergency department visits for mental health
reasons. CONCLUSIONS: Sleep problems were highly prevalent among Medicaid
patients with serious mental illness and were associated with greater inpatient
and emergency mental health service use. More careful monitoring and management
of sleep problems in this patient population could address a common clinical need
and might help to reduce costly service use.
PMID- 21885595
TI - Creating barriers to mental health care in the Netherlands.
PMID- 21885596
TI - A new blood-based diagnostic aid for schizophrenia.
PMID- 21885600
TI - Cervical carotid artery disease in sickle cell anemia: clinical and radiological
features.
AB - Cervical internal carotid artery (cICA) occlusion is a recognized cause of acute
ischemic stroke (AIS) in sickle cell disease (SCD), but the associated clinical
and radiologic features are not well described. We reviewed data on cervical
magnetic resonance angiography (cMRA) performed prospectively in 67 patients (55
children) for indications including transcranial Doppler (TCD) abnormalities,
AIS, or previous AIS. cICA lesions were seen in 10 (15%) patients, including 4 of
7 patients presenting with AIS, and appear to have been missed on first
presentation in 4 of 10 patients with previous AIS. Radiologic features in 7
patients were consistent with dissection. In 2 patients, there was strong
clinical and radiologic evidence for thromboembolic AIS, and this was also
considered possible in 4 other patients. Three of the 4 AIS patients were
anticoagulated acutely, and the nontreated patient had recurrent, probably
thromboembolic, AIS. TCD findings were variable, but in 4 patients there were
high velocities in the cerebral vessels contralateral to the cICA stenosis. We
suggest that all patients with AIS should have cMRA during acute evaluation to
identify cICA occlusions that may require anticoagulation. Routine screening of
children with SCD should also include evaluation of neck vessels by carotid
Doppler followed by cMRA if a cervical vascular lesion is suspected.
PMID- 21885599
TI - Proof of principle for transfusion of in vitro-generated red blood cells.
AB - In vitro RBC production from stem cells could represent an alternative to classic
transfusion products. Until now the clinical feasibility of this concept has not
been demonstrated. We addressed the question of the capacity of cultured RBCs
(cRBCs) to survive in humans. By using a culture protocol permitting erythroid
differentiation from peripheral CD34(+) HSC, we generated a homogeneous
population of cRBC functional in terms of their deformability, enzyme content,
capacity of their hemoglobin to fix/release oxygen, and expression of blood group
antigens. We then demonstrated in the nonobese diabetes/severe combined
immunodeficiency mouse that cRBC encountered in vivo the conditions necessary for
their complete maturation. These data provided the rationale for injecting into
one human a homogeneous sample of 10(10) cRBCs generated under good manufacturing
practice conditions and labeled with (51)Cr. The level of these cells in the
circulation 26 days after injection was between 41% and 63%, which compares
favorably with the reported half-life of 28 +/- 2 days for native RBCs. Their
survival in vivo testifies globally to their quality and functionality. These
data establish the proof of principle for transfusion of in vitro-generated RBCs
and path the way toward new developments in transfusion medicine. This study is
registered at http://www.clinicaltrials.gov as NCT0929266.
PMID- 21885598
TI - Integrins in cell migration.
AB - Integrin-based adhesion has served as a model for studying the central role of
adhesion in migration. In this article, we outline modes of migration, both
integrin-dependent and -independent in vitro and in vivo. We next discuss the
roles of adhesion contacts as signaling centers and linkages between the ECM and
actin that allows adhesions to serve as traction sites. This includes signaling
complexes that regulate migration and the interplay among adhesion, signaling,
and pliability of the substratum. Finally, we address mechanisms of adhesion
assembly and disassembly and the role of adhesion in cellular polarity.
PMID- 21885603
TI - A stressed niche not Wnted.
PMID- 21885602
TI - A survey of 90 patients with autoimmune lymphoproliferative syndrome related to
TNFRSF6 mutation.
AB - Autoimmune lymphoproliferative syndrome (ALPS) is a genetic disorder
characterized by early-onset, chronic, nonmalignant lymphoproliferation,
autoimmune manifestations, and susceptibility to lymphoma. The majority of ALPS
patients carry heterozygous germline (ALPS-FAS) or somatic mutations (ALPS-sFAS)
of the TNFRSF6 gene coding for FAS. Although the clinical features of ALPS have
been described previously, long-term follow-up data on morbidity and mortality
are scarce. We performed a retrospective analysis of clinical and genetic
features of 90 ALPS-FAS and ALPS-sFAS patients monitored over a median period of
20.5 years. Heterozygous germline mutations of TNFRSF6 were identified in 83% of
probands. Somatic TNFRSF6 mutations were found in 17% of index cases (all located
within the intracellular domain of FAS). Sixty percent of the ALPS-FAS patients
with mutations in the extracellular domain had a somatic mutation affecting the
second allele of TNFRSF6; age at onset was later in these patients. No other
genotype-phenotype correlations could be found. Long-term analysis confirmed a
trend toward spontaneous remission of lymphoproliferation in adulthood but mixed
outcomes for autoimmune manifestations. We observed significant and potentially
life-threatening disease and treatment-related morbidity, including a high risk
of sepsis after splenectomy that calls for careful long-term monitoring of ALPS
patients. We also noted a significantly greater occurrence of disease-related
symptoms in male than in female patients.
PMID- 21885601
TI - How I treat autoimmune lymphoproliferative syndrome.
AB - Autoimmune lymphoproliferative syndrome (ALPS) represents a failure of apoptotic
mechanisms to maintain lymphocyte homeostasis, permitting accumulation of
lymphoid mass and persistence of autoreactive cells that often manifest in
childhood with chronic nonmalignant lymphadenopathy, hepatosplenomegaly, and
recurring multilineage cytopenias. Cytopenias in these patients can be the result
of splenic sequestration as well as autoimmune complications manifesting as
autoimmune hemolytic anemia, immune-mediated thrombocytopenia, and autoimmune
neutropenia. More than 300 families with hereditary ALPS have now been described;
nearly 500 patients from these families have been studied and followed worldwide
over the last 20 years by our colleagues and ourselves. Some of these patients
with FAS mutations affecting the intracellular portion of the FAS protein also
have an increased risk of B-cell lymphoma. The best approaches to diagnosis,
follow-up, and management of ALPS, its associated cytopenias, and other
complications resulting from infiltrative lymphoproliferation and autoimmunity
are presented.
PMID- 21885605
TI - Stress hematopoiesis requires Erg.
PMID- 21885604
TI - RIC alloSCT in MM: a long way to go.
PMID- 21885606
TI - Medullary interplay for central tolerance.
PMID- 21885607
TI - Buzz in the dendritic cell synapse.
PMID- 21885608
TI - Clots vs bugs: who's ahead?
PMID- 21885609
TI - FISHing for aneuploidy in HSCT donors.
PMID- 21885610
TI - Back to the OR?
PMID- 21885611
TI - Polymorphism in the PAI-1 (SERPINE1) gene and the risk of osteonecrosis in
children with acute lymphoblastic leukemia.
PMID- 21885612
TI - Confocal imaging studies cast doubt on nuclear localization of JAK2V617F.
PMID- 21885613
TI - Factor X binding to endothelial cell protein C receptor: comparison with factor
VIIa and activated protein C.
PMID- 21885614
TI - Linking air pollution exposure with thrombosis.
PMID- 21885615
TI - Prediction of fetal status in fetal/neonatal alloimmune thrombocytopenia (FNAIT)?
PMID- 21885616
TI - The pathophysiology of FNAIT cannot be deduced from highly selected retrospective
data.
PMID- 21885617
TI - Homozygosity mapping and targeted genomic sequencing reveal the gene responsible
for cerebellar hypoplasia and quadrupedal locomotion in a consanguineous kindred.
AB - The biological basis for the development of the cerebro-cerebellar structures
required for posture and gait in humans is poorly understood. We investigated a
large consanguineous family from Turkey exhibiting an extremely rare phenotype
associated with quadrupedal locomotion, mental retardation, and cerebro
cerebellar hypoplasia, linked to a 7.1-Mb region of homozygosity on chromosome
17p13.1-13.3. Diffusion weighted imaging and fiber tractography of the patients'
brains revealed morphological abnormalities in the cerebellum and corpus
callosum, in particular atrophy of superior, middle, and inferior peduncles of
the cerebellum. Structural magnetic resonance imaging showed additional
morphometric abnormalities in several cortical areas, including the corpus
callosum, precentral gyrus, and Brodmann areas BA6, BA44, and BA45. Targeted
sequencing of the entire homozygous region in three affected individuals and two
obligate carriers uncovered a private missense mutation, WDR81 p.P856L, which
cosegregated with the condition in the extended family. The mutation lies in a
highly conserved region of WDR81, flanked by an N-terminal BEACH domain and C
terminal WD40 beta-propeller domains. WDR81 is predicted to be a transmembrane
protein. It is highly expressed in the cerebellum and corpus callosum, in
particular in the Purkinje cell layer of the cerebellum. WDR81 represents the
third gene, after VLDLR and CA8, implicated in quadrupedal locomotion in humans.
PMID- 21885618
TI - Contractions of the mouse prostate elicited by acetylcholine are mediated by M(3)
muscarinic receptors.
AB - Increased smooth muscle tone in the human prostate contributes to the symptoms
associated with benign prostatic hyperplasia. In the mouse prostate gland,
cholinergic innervation is responsible for a component of the nerve-mediated
contractile response. This study investigates the muscarinic receptor subtype
responsible for the cholinergic contractile response in the mouse prostate gland.
To characterize the muscarinic receptor subtype, mouse prostates taken from wild
type or M(3) muscarinic receptor knockout mice were mounted in organ baths. The
isometric force that tissues developed in response to electrical-field
stimulation or exogenously applied cholinergic agonists in the presence or
absence of a range of muscarinic receptor antagonists was evaluated. Carbachol
elicited reproducible and concentration-dependent contractions of the isolated
mouse prostate, which were antagonized by the presence of muscarinic receptor
antagonists. Calculation of antagonist affinities (pA(2) values) indicated a rank
order of antagonist potencies in the mouse prostate of: darifenacin (9.08) =
atropine (9.07) = 1,1-dimethyl-4-diphenylacetoxypiperidinium iodide (9.02) >
cyclohexyl-hydroxy-phenyl-(3-piperidin-1-ylpropyl)silane (7.85) > cyclohexyl-(4
fluorophenyl)-hydroxy-(3-piperidin-1-ylpropyl)silane (7.39) > himbacine (7.19) >
pirenzipine (6.88) > methoctramine (6.20). Furthermore, genetic deletion of the
M(3) muscarinic receptor inhibited prostatic contractions to electrical-field
stimulation or exogenous administration of acetylcholine. In this study we
identified that the cholinergic component of contraction in the mouse prostate is
mediated by the M(3) muscarinic receptor subtype. Pharmacological antagonism of
the M(3) muscarinic receptor may be a beneficial additional target for the
treatment of benign prostatic hyperplasia in the human prostate gland.
PMID- 21885619
TI - Indirect sympatholytic actions at beta-adrenoceptors account for the ocular
hypotensive actions of cannabinoid receptor agonists.
AB - Intraocular pressure (IOP) is the primary risk factor for glaucoma, a blinding
eye disease. Cannabinoid agonists have long been known to decrease IOP,
suggesting they may be useful in glaucoma treatment. However, the specific
mechanism by which cannabinoids generate this ocular hypotensive effect remains
unknown. The current evidence suggests the cannabinoids reduce IOP through
actions at cannabinoid 1 (CB(1)) receptors within the eye, and adrenergic
receptors (ARs) may also contribute to this action of cannabinoids. Considering
this, the present study aimed to elucidate the mechanism behind the ocular
hypotensive properties of cannabinoids through the use of mice genetically
lacking either cannabinoid receptors or betaARs. Cannabinoid agonists, betaAR
antagonists, and betaAR agonists decreased IOP in wild-type mice and CB(2)(-/-)
mice. In contrast, none of these compounds were found to reduce IOP in betaAR(-/
) or CB(1)(-/-) mice. Desensitization of the betaARs and depletion of
catecholamines in wild-type mice also eliminated the ability of the cannabinoid
agonist (R)-(+)-[2,3-dihydro-5-methyl-3-(4-morpholinylmethyl)pyrrolo[1,2,3-de]
1,4-benzoxazin-6-yl]-1-naphthalenylmethanone mesylate (WIN 55,212-2) to reduce
IOP, strongly implicating a role for both betaARs and catecholamines in the
ocular hypotensive properties of cannabinoids. Finally, CB(1) receptors were
shown to colocalize with tyrosine hydroxylase, a marker for adrenergic neurons.
Taken together, these findings suggest that betaARs are required for the ocular
hypotensive properties of cannabinoids, and cannabinoids reduce IOP by acting as
indirect sympatholytics and inhibiting norepinephrine release within the eye.
PMID- 21885622
TI - Preferential hyperacuity perimeter as a functional tool for monitoring exudative
age-related macular degeneration in patients treated by intravitreal ranibizumab.
AB - PURPOSE: To analyze the response to anti vascular endothelial growth factor
(VEGF) treatment for exudative age-related macular degeneration (AMD), with
respect to changes in the Preferential Hyperacuity Perimeter (PHP), best
corrected visual acuity (BCVA), and spectral-domain optical coherence tomography
(SD-OCT), and to investigate whether the PHP score predicts the need for
reinjection. METHODS: Consecutive patients with newly diagnosed exudative AMD
underwent the PHP metamorphopsia test, BCVA, and SD-OCT at five time points after
initiation of ranibizumab therapy (0.05 mL/0.5 mg). At the third and sixth
months, reevaluation for additional injections was done. The relationships
between PHP, BCVA, and SD-OCT parameters over time as well as their ability to
predict the need for reinjection were examined. RESULTS: Analysis included 17
eyes (17 patients, 70% females; mean age, 83.2 years). The mean PHP
metamorphopsia test score improved from 25.6 +/- 41 (baseline) to 10.7 +/- 20.1
(P < 0.05) over 6 months, after a mean of 4.2 (+/-1.0) injections. Mean reduction
in SD-OCT parameters well reflected the functional improvements as evaluated by
PHP (Spearman correlation = 0.9, P < 0.05). Mean BCVA did not improve over 6
months (0.6 vs. 0.58 logMAR), and neither correlated with SD-OCT morphologic
changes (Spearman correlation = 0.1, P > 0.05) nor with PHP functional changes
(Spearman correlation = 0.1, P > 0.05). The PHP predicted the need for
reinjection with an accuracy of 75% (sensitivity, 83 +/- 12%; specificity, 67 +/-
15%), whereas a combination of all the measurements (PHP, BCVA, and SD-OCT)
yielded an accuracy of 87% (sensitivity, 83 +/- 12%; specificity, 90 +/- 10%).
CONCLUSIONS: Improvement in the metamorphopsia test score after intravitreal
injections of ranibizumab, as well as its ability to predict the need for
retreatment, suggest that PHP may be used to monitor response to anti-VEGF
therapy in patients with exudative AMD.
PMID- 21885620
TI - Investigation of the molecular mechanism of the alpha7 nicotinic acetylcholine
receptor positive allosteric modulator PNU-120596 provides evidence for two
distinct desensitized states.
AB - Although alpha7 nicotinic acetylcholine receptors are considered potentially
important therapeutic targets, the development of selective agonists has been
stymied by the alpha7 receptor's intrinsically low probability of opening
(P(open)) and the concern that an agonist-based therapeutic approach would
disrupt endogenous cholinergic function. Development of alpha7 positive
allosteric modulators (PAMs) holds promise of avoiding both issues. N-(5-Chloro
2,4-dimethoxyphenyl)-N'-(5-methyl-3-isoxazolyl)-urea (PNU-120596) is one of the
most effective alpha7 PAMs, with a mechanism associated, at least in part, with
the destabilization of desensitized states. We studied the mechanism of PNU
120596 potentiation of alpha7 receptors expressed in Xenopus laevis oocytes and
outside-out patches from BOSC 23 cells. We identify two forms of alpha7
desensitization: one is destabilized by PNU-120596 (D(s)), and the other is
induced by strong episodes of activation and is stable in the presence of the PAM
(D(i)). Our characterization of prolonged bursts of single-channel currents that
occur with PNU-120596 provide a remarkable contrast to the behavior of the
channels in the absence of the PAM. Individual channels that avoid the D(i) state
show a 100,000-fold increase in P(open) compared with receptors in the
nonpotentiated state. In the presence of PNU-120596, balance between D(s) and
D(i) is dynamically regulated by both agonist and PAM binding, with maximal ion
channel activity at intermediate levels of binding to both classes of sites. In
the presence of high agonist concentrations, competitive antagonists may have the
effect of shifting the balance in favor of D(s) and increasing ion channel
currents.
PMID- 21885623
TI - Direct-to-consumer genetic testing: reliable or risky?
PMID- 21885621
TI - The ability of bacterial cocaine esterase to hydrolyze cocaine metabolites and
their simultaneous quantification using high-performance liquid chromatography
tandem mass spectrometry.
AB - Cocaine toxicity is a widespread problem in the United States, responsible for
more than 500,000 emergency department visits a year. There is currently no U.S.
Food and Drug Administration-approved pharmacotherapy to directly treat cocaine
toxicity. To this end, we have developed a mutant bacterial cocaine esterase (DM
CocE), which has been previously shown to rapidly hydrolyze cocaine into inert
metabolites, preventing and reversing toxicity with limited immunogenic
potential. Herein we describe the ability of DM-CocE to hydrolyze the active
cocaine metabolites norcocaine and cocaethylene and its inability to hydrolyze
benzoylecgonine. DM-CocE hydrolyzes norcocaine and cocaethylene with 58 and 45%
of its catalytic efficiency for cocaine in vitro as measured by a
spectrophotometric assay. We have developed a mass spectrometry method to
simultaneously detect cocaine, benzoylecgonine, norcocaine, and ecgonine methyl
ester to quantify the effect of DM-CocE on normal cocaine metabolism in vivo. DM
CocE administered to rats 10 min after a convulsant dose of cocaine alters the
normal metabolism of cocaine, rapidly decreasing circulating levels of cocaine
and norcocaine while increasing ecgonine methyl ester formation. Benzoylecgonine
was not hydrolyzed in vivo, but circulating concentrations were reduced,
suggesting that DM-CocE may bind and sequester this metabolite. These findings
suggest that DM-CocE may reduce cocaine toxicity by eliminating active and toxic
metabolites along with the parent cocaine molecule.
PMID- 21885624
TI - Evolution of dyspnea during exercise in chronic obstructive pulmonary disease:
impact of critical volume constraints.
AB - RATIONALE: Patients with chronic obstructive pulmonary disease (COPD) primarily
describe their exertional dyspnea using descriptors alluding to increased effort
or work of breathing and unsatisfied inspiration or inspiratory difficulty.
OBJECTIVES: The purpose of this study was to examine the impact of changes in
dynamic respiratory mechanics during incremental (INCR) and high-intensity
constant work-rate (CWR) cycle exercise on the evolution of dyspnea intensity and
its major qualitative dimensions in patients with moderate-to-severe COPD.
METHODS: Sixteen subjects with COPD performed symptom-limited INCR and CWR cycle
exercise tests. Measurements included dyspnea intensity and qualitative
descriptors, breathing pattern, operating lung volumes, and esophageal pressure
(Pes). MEASUREMENTS AND MAIN RESULTS: During both exercise tests, there was an
inflection in the relation between tidal volume (Vt) and ventilation. This
inflection occurred significantly earlier in time during CWR versus INCR exercise
but at a similar ventilation, Vt, and tidal Pes swing. Beyond this inflection,
there was no further change in Vt despite a continued increase in ventilation and
tidal Pes. During both tests, "work and effort" was the dominant dyspnea
descriptor selected up to the inflection point, whereas after this point dyspnea
intensity and the selection frequency of "unsatisfied inspiration" rose sharply.
CONCLUSIONS: Regardless of the exercise test protocol, the inflection (or
plateau) in the Vt response marked the point where dyspnea intensity rose
abruptly and there was a transition in the dominant qualitative descriptor choice
from "work and effort" to "unsatisfied inspiration." Intensity and quality of
dyspnea evolve separately and are strongly influenced by mechanical constraints
on Vt expansion during exercise in COPD.
PMID- 21885627
TI - Linking payment to quality: opportunities and challenges for critical care.
PMID- 21885626
TI - Telomere dysfunction causes sustained inflammation in chronic obstructive
pulmonary disease.
AB - RATIONALE: Chronic obstructive pulmonary disease (COPD) is associated with
chronic inflammation of unknown pathogenesis. OBJECTIVES: To investigate whether
telomere dysfunction and senescence of pulmonary vascular endothelial cells (P
ECs) induce inflammation in COPD. METHODS: Prospective comparison of patients
with COPD and age- and sex-matched control smokers. Investigation of mice null
for telomerase reverse transcriptase (Tert) or telomerase RNA component (Terc)
genes. MEASUREMENTS AND MAIN RESULTS: In situ lung specimen studies showed a
higher percentage of senescent P-ECs stained for p16 and p21 in patients with
COPD than in control subjects. Cultured P-ECs from patients with COPD exhibited
early replicative senescence, with decreased cell-population doublings, a higher
percentage of beta-galactosidase-positive cells, reduced telomerase activity,
shorter telomeres, and higher p16 and p21 mRNA levels at an early cell passage
compared with control subjects. Senescent P-ECs released cytokines and mediators:
the levels of IL-6, IL-8, monocyte chemotactic protein (MCP)-1, Hu-GRO, and
soluble intercellular adhesion molecule (sICAM)-1 were elevated in the media of P
ECs from patients compared with control subjects at an early cell passage, in
proportion to the senescent P-EC increase and telomere shortening. Up-regulation
of MCP-1 and sICAM-1 led to increased monocyte adherence and migration. The
elevated MCP-1, IL-8, Hu-GROalpha, and ICAM-1 levels measured in lungs from
patients compared with control subjects correlated with P-EC senescence criteria
and telomere length. In Tert(-/-) and/or Terc(-/-) mouse lungs, levels of the
corresponding cytokines (MCP-1, IL-8, Hu-GROalpha, and ICAM-1) were also altered,
despite the absence of external stimuli and in proportion to telomere
dysfunction. CONCLUSIONS: Telomere dysfunction and premature P-EC senescence are
major processes perpetuating lung inflammation in COPD.
PMID- 21885628
TI - Clinic practice guidelines: more of the same?
PMID- 21885625
TI - Impact of race on asthma treatment failures in the asthma clinical research
network.
AB - RATIONALE: Recent studies suggest that people with asthma of different racial
backgrounds may respond differently to various therapies. OBJECTIVES: To use data
from well-characterized participants in prior Asthma Clinical Research Network
(ACRN) trials to determine whether racial differences affected asthma treatment
failures. METHODS: We analyzed baseline phenotypes and treatment failure rates
(worsening asthma resulting in systemic corticosteroid use, hospitalization,
emergency department visit, prolonged decrease in peak expiratory flow, increase
in albuterol use, or safety concerns) in subjects participating in 10 ACRN trials
(1993-2003). Self-declared race was reported in each trial and treatment failure
rates were stratified by race. MEASUREMENTS AND MAIN RESULTS: A total of 1,200
unique subjects (whites = 795 [66%]; African Americans = 233 [19%]; others = 172
[14%]; mean age = 32) were included in the analyses. At baseline, African
Americans had fewer asthma symptoms (P < 0.001) and less average daily rescue
inhaler use (P = 0.007) than whites. There were no differences in baseline FEV(1)
(% predicted); asthma quality of life; bronchial hyperreactivity; or exhaled
nitric oxide concentrations. A total of 147 treatment failures were observed; a
significantly higher proportion of African Americans (19.7%; n = 46) experienced
a treatment failure compared with whites (12.7%; n = 101) (odds ratio = 1.7; 95%
confidence interval, 1.2-2.5; P = 0.007). When stratified by treatment, African
Americans receiving long-acting beta-agonists were twice as likely as whites to
experience a treatment failure (odds ratio = 2.1; 95% confidence interval, 1.3
3.6; P = 0.004), even when used with other controller therapies. CONCLUSIONS:
Despite having fewer asthma symptoms and less rescue beta-agonist use, African
Americans with asthma have more treatment failures compared with whites,
especially when taking long-acting beta-agonists.
PMID- 21885629
TI - FEV1 in the suburbs: choose your research subjects wisely.
PMID- 21885630
TI - Targeting elastase in bronchopulmonary dysplasia.
PMID- 21885631
TI - The lung macrophage: a Jack of all trades.
PMID- 21885632
TI - Will the small airways rise again?
PMID- 21885633
TI - Caveat emptor? Control of latent tuberculosis infection in the United States.
PMID- 21885634
TI - Pro: beta-agonists in acute lung injury--the end of the story?
PMID- 21885635
TI - Con: beta2-adrenergic agonists in ALI/ARDS--not recommended or potentially
harmful?
PMID- 21885637
TI - Recommended reading from the university of british columbia respiratory fellows
and critical care fellows: respiratory fellowship program director: frank ryan;
critical care fellowship program director: george isac.
PMID- 21885636
TI - An official ATS clinical practice guideline: interpretation of exhaled nitric
oxide levels (FENO) for clinical applications.
AB - BACKGROUND: Measurement of fractional nitric oxide (NO) concentration in exhaled
breath (Fe(NO)) is a quantitative, noninvasive, simple, and safe method of
measuring airway inflammation that provides a complementary tool to other ways of
assessing airways disease, including asthma. While Fe(NO) measurement has been
standardized, there is currently no reference guideline for practicing health
care providers to guide them in the appropriate use and interpretation of Fe(NO)
in clinical practice. PURPOSE: To develop evidence-based guidelines for the
interpretation of Fe(NO) measurements that incorporate evidence that has
accumulated over the past decade. METHODS: We created a multidisciplinary
committee with expertise in the clinical care, clinical science, or basic science
of airway disease and/or NO. The committee identified important clinical
questions, synthesized the evidence, and formulated recommendations.
Recommendations were developed using pragmatic systematic reviews of the
literature and the GRADE approach. RESULTS: The evidence related to the use of
Fe(NO) measurements is reviewed and clinical practice recommendations are
provided. CONCLUSIONS: In the setting of chronic inflammatory airway disease
including asthma, conventional tests such as FEV(1) reversibility or provocation
tests are only indirectly associated with airway inflammation. Fe(NO) offers
added advantages for patient care including, but not limited to (1) detecting of
eosinophilic airway inflammation, (2) determining the likelihood of
corticosteroid responsiveness, (3) monitoring of airway inflammation to determine
the potential need for corticosteroid, and (4) unmasking of otherwise unsuspected
nonadherence to corticosteroid therapy.
PMID- 21885638
TI - Pulmonary arteriovenous malformation.
PMID- 21885639
TI - Diesel motor exhaust and lung cancer: additional perspectives.
PMID- 21885641
TI - Vitamin C and Community-acquired Pneumonia.
PMID- 21885640
TI - Shock and pulmonary edema secondary to severe acute hypercapnic acidosis.
PMID- 21885643
TI - Introduction: Pediatric obesity and the role of children's hospitals.
PMID- 21885644
TI - Identification and treatment of obesity as a standard of care for all patients in
children's hospitals.
AB - Obese children and adolescents have unique needs for specialized medical
equipment while hospitalized and might require special diets and physical
activity options as part of their medical treatment. It is important that
patients with a diagnosis of obesity be identified on admission so that
appropriate equipment and resources can be provided. We examined what components
a healthy hospital environment should include and sought to determine if
children's hospitals provide a healthy hospital environment that offers these
components. In addition, we sought to determine if children's hospitals have
policies in place to identify children with obesity so that appropriate resources
and services can be offered to treat that diagnosis. We surveyed National
Association of Children's Hospitals and Related Institutions member hospitals via
a Web-based questionnaire and found that the majority of them do not have
policies in place to identify patients with obesity. We did find that the
majority of hospitals reported innovative programs or services to provide a
healthy hospital environment for their patients, visitors, and staff but
acknowledged limitations in providing some services. Specifically, children's
hospitals can and should improve on their identification and management of obese
pediatric patients.
PMID- 21885645
TI - Assessment of obese children and adolescents: a survey of pediatric obesity
management programs.
AB - This article provides descriptive information on the assessments conducted in
stage 3 or 4 pediatric obesity-management programs associated with National
Association of Children's Hospital and Related Institutions hospitals enrolled in
FOCUS on a Fitter Future. Eighteen institutions completed a survey that
considered the following assessments: patient/family medical history; physical
examination; blood pressure; body size and composition; blood chemistry; aerobic
fitness; resting metabolic rate; muscle strength and flexibility; gross motor
function; spirometry; sedentary behavior and physical activity; dietary behavior
and nutrition; and psychological assessments. Frequency distributions were
determined for each question. Overall, the results indicate that most programs
that participated in this survey were following 2007 Expert Committee assessment
recommendations; however, a variety of measurement tools were used. The variation
in assessment tools, protocols, etc is partially caused by the program diversity
dictated by personnel, both in terms of number and duties. It also shows the
challenges in standardizing methodologies across clinics if we hope to establish
a national registry for pediatric obesity clinics. In addition to providing a
better understanding of the current assessment practices in pediatric obesity
management programs, the results provided herein should assist other
clinics/hospitals that are developing pediatric obesity programs.
PMID- 21885646
TI - Patient engagement and attrition in pediatric obesity clinics and programs:
results and recommendations.
AB - Pediatric tertiary care institutions are well positioned to provide
multidisciplinary, intensive interventions for pediatric obesity known as stage 3
treatment. One contributor to the difficulty in administering this treatment is
the high rate of patient attrition. Little is known about the practices used by
pediatric weight-management clinics and group-based programs to minimize
attrition. Hospital members and nonmembers of FOCUS on a Fitter Future were
surveyed on the methods used to engage and retain obese children in their clinics
and programs. Shortly thereafter, a benchmarking activity that centered on rates
of patient nonattendance at initial and follow-up clinic visits was initiated
among FOCUS-group-participating hospitals. Clinic- and group-based program
results were contrasted. Staff from group-based programs reported that the
majority of patients did not complete even 50% of program follow-up visits.
Multiple patient/family- and clinic/program-level barriers to retention were
identified. Attention to successful techniques should be paid during planning for
new programs and improvement of established ones.
PMID- 21885648
TI - Building capacity for childhood obesity prevention and treatment in the medical
community: call to action.
AB - Large gaps exist in the capacity of the US medical system to participate
meaningfully in childhood obesity-prevention efforts and to meet the treatment
needs of obese children. Current primary care practice for the prevention and
treatment of childhood obesity often varies from evidence-based recommendations.
Childhood obesity specialists have partnered successfully with schools of
medicine, professional societies, and other organizations to collaboratively
engage with primary care providers in quality improvement for obesity prevention
and treatment. This review and commentary targets 2 audiences. For childhood
obesity experts and their organizational partners, methods to support change in
primary practice and the evidence supporting their use are outlined. For primary
care providers and non-obesity specialists, effective strategies for changing
practice and the potential benefits of addressing childhood obesity
systematically are discussed.
PMID- 21885647
TI - Developing criteria for pediatric/adolescent bariatric surgery programs.
AB - The prevalence of morbid obesity in adolescents is rising at an alarming rate.
Comorbidities known to predispose to cardiovascular disease are increasingly
being diagnosed in these children. Bariatric surgery has become an acceptable
treatment alternative for morbidly obese adults, and criteria have been developed
to establish center-of-excellence designation for adult bariatric surgery
programs. Evidence suggests that bariatric surgical procedures are being
performed with increasing numbers in adolescents. We have examined and compiled
the current expert recommendations for guidelines and criteria that are needed to
deliver safe and effective bariatric surgical care to adolescents.
PMID- 21885649
TI - Payment for obesity services: examples and recommendations for stage 3
comprehensive multidisciplinary intervention programs for children and
adolescents.
AB - OBJECTIVE: The Reimbursement and Payment Subcommittee of the National Association
of Children's Hospitals and Related Institutions FOCUS on a Fitter Future group
sought to guide medical providers, patients, and payers to better serve obese
children and adolescents to enable optimum health. Recommendations are provided
for the essential components of a stage 3 comprehensive multidisciplinary
intervention program as defined by the 2007 Expert Committee recommendations. In
addition, suggestions are offered for a stepwise approach to implement these
recommendations. METHODS: In 2009, key informant interviews were conducted with
15 children's hospitals participating in FOCUS on a Fitter Future and 1
nonparticipating hospital. Interview transcripts identified 5 financially
sustainable stage 3 programs, each funded differently. RESULTS: The stage 3
programs interviewed ranged from being nascent to 21 years old (27%, <2 years;
47%, 2-6 years; 27%, >6 years). All of them had multidisciplinary teams that
delivered services through 1 of 3 institutional structures: 60% freestanding; 7%
specialty; and 33% hospital within a hospital. One-third of them had 1 to 2
funding sources, and 67% had >= 3 sources. CONCLUSIONS: The stage 3 programs in
this review shared some common strategies for achieving financial stability. All
of them followed key strategies of the chronic care model, the details of which
led to the following recommendation: stage 3 programs should include a health
care team with a medical provider, registered dietitian, physical activity
specialist, mental health specialist, and coordinator who, as a team, provide
service to overweight and obese children at no less than moderate intensity (26
75 hours).
PMID- 21885650
TI - Perspectives on obesity programs at children's hospitals: insights from senior
program administrators.
AB - OBJECTIVE: The obesity epidemic has resulted in an increasing number of children
needing multidisciplinary obesity treatment. To meet this need, pediatric obesity
programs have arisen, particularly in children's hospitals. In 2008, the National
Association of Children's Hospitals and Related Institutions (NACHRI) convened
FOCUS on a Fitter Future, a group drawn from NACHRI member institutions, to
investigate the needs, barriers, and capacity-building in these programs.
METHODS: Senior administrators of the 47 NACHRI member hospitals that completed
an application to participate in the FOCUS group were invited to complete a Web
based survey. The survey targeted 4 key areas: (1) perceived value of the obesity
program; (2) funding mechanisms; (3) administrative challenges; and (4)
sustainability of the programs. RESULTS: Nearly three-quarters of the respondents
reported that their obesity programs were integrated into their hospitals'
strategic plans. Obesity programs added value to their institutions because the
programs met the needs of patients and families (97%), met the needs of health
care providers (91%), prevented future health problems in children (85%), and
increased visibility in the community (79%). Lack of reimbursement (82%) and high
operating costs (71%) were the most frequently cited challenges. Respondents most
frequently identified demonstration of program effectiveness (79%) as a factor
that is necessary for ensuring program sustainability. CONCLUSIONS: Hospital
administrators view tackling childhood obesity as integral to their mission to
care for children. Our results serve to inform hospital clinicians and
administrators as they develop and implement sustainable pediatric obesity
programs.
PMID- 21885651
TI - Expression and regulation of Homer in human skeletal muscle during neuromuscular
junction adaptation to disuse and exercise.
AB - Protein calcium sensors of the Homer family have been proposed to modulate the
activity of various ion channels and nuclear factor of activated T cells (NFAT),
the transcription factor modulating skeletal muscle differentiation. We monitored
Homer expression and subcellular localization in human skeletal muscle biopsies
following 60 d of bedrest [Second Berlin Bedrest Study (BBR2-2)]. Soleus (SOL)
and vastus lateralis (VL) biopsies were taken at start (pre) and at end (end) of
bedrest from healthy male volunteers of a control group without exercise (CTR;
n=9), a resistive-only exercise group (RE; n=7), and a combined
resistive/vibration exercise group (RVE; n=7). Confocal analysis showed Homer
immunoreactivity at the postsynaptic microdomain of the neuromuscular junction
(NMJ) at bedrest start. After bedrest, Homer immunoreactivity decreased (CTR),
remained unchanged (RE), or increased (RVE) at the NMJ. Homer2 mRNA and protein
were differently regulated in a muscle-specific way. Activated NFATc1
translocates from cytoplasm to nucleus; increased amounts of NFATc1
immunopositive slow-type myonuclei were found in RVE myofibers of both muscles.
Pulldown assays identified NFATc1 and Homer as molecular partners in skeletal
muscle. A direct motor nerve control of Homer2 was confirmed in rat NMJs by in
vivo denervation. Homer2 is localized at the NMJ and is part of the calcineurin
NFATc1 signaling pathway. RVE has additional benefit over RE as countermeasure
preventing disuse-induced neuromuscular maladaptation during bedrest.
PMID- 21885652
TI - The histaminergic system regulates wakefulness and orexin/hypocretin neuron
development via histamine receptor H1 in zebrafish.
AB - The histaminergic and hypocretin/orexin (hcrt) neurotransmitter systems play
crucial roles in alertness/wakefulness in rodents. We elucidated the role of
histamine in wakefulness and the interaction of the histamine and hcrt systems in
larval zebrafish. Translation inhibition of histidine decarboxylase (hdc) with
morpholino oligonucleotides (MOs) led to a behaviorally measurable decline in
light-associated activity, which was partially rescued by hdc mRNA injections and
mimicked by histamine receptor H1 (Hrh1) antagonist pyrilamine treatment.
Histamine-immunoreactive fibers targeted the dorsal telencephalon, an area that
expresses histamine receptors hrh1 and hrh3 and contains predominantly
glutamatergic neurons. Tract tracing with DiI revealed that projections from
dorsal telencephalon innervate the hcrt and histaminergic neurons. Translation
inhibition of hdc decreased the number of hcrt neurons in a Hrh1-dependent
manner. The reduction was rescued by overexpression of hdc mRNA. hdc mRNA
injection alone led to an up-regulation of hcrt neuron numbers. These results
suggest that histamine is essential for the development of a functional and
intact hcrt system and that histamine has a bidirectional effect on the
development of the hcrt neurons. In summary, our findings provide evidence that
these two systems are linked both functionally and developmentally, which may
have important implications in sleep disorders and narcolepsy. development via
histamine receptor H1 in zebrafish.
PMID- 21885654
TI - Lipoxin A4 is a novel estrogen receptor modulator.
AB - Inflammation is intimately linked with naturally occurring remodeling events in
the endometrium. Lipoxins comprise a group of short-lived, nonclassic eicosanoids
possessing potent anti-inflammatory and proresolution properties. In the present
study, we investigated the role of lipoxin A(4) (LXA(4)) in the endometrium and
demonstrated that 15-LOX-2, an enzyme necessary for LX biosynthesis, is expressed
in this tissue. Our results establish that LXA(4) possesses robust estrogenic
activity through its capacity to alter ERE transcriptional activity, as well as
expression of estrogen-regulated genes, alkaline phosphatase activity, and
proliferation in human endometrial epithelial cells. Interestingly, LXA(4) also
demonstrated antiestrogenic potential, significantly attenuating E2-induced
activity. This estrogenic activity was directly mediated through estrogen
receptors (ERs). Subsequent investigations determined that the actions of LXA(4)
are exclusively mediated through ERalpha and closely mimic those of the potent
estrogen 17beta-estradiol (E2). In binding assays, LXA(4) competed with E2 for ER
binding, with an IC(50) of 46 nM. Furthermore, LXA(4) exhibited estrogenic
activity in vivo, increasing uterine wet weight and modulating E2-regulated gene
expression. These findings reveal a previously unappreciated facet of LXA(4)
bioactions, implicating this lipid mediator in novel immunoendocrine crosstalk
mechanisms.
PMID- 21885653
TI - Structural and functional aspects of the myosin essential light chain in cardiac
muscle contraction.
AB - The myosin essential light chain (ELC) is a structural component of the
actomyosin cross-bridge, but its function is poorly understood, especially the
role of the cardiac specific N-terminal extension in modulating actomyosin
interaction. Here, we generated transgenic (Tg) mice expressing the A57G (alanine
to glycine) mutation in the cardiac ELC known to cause familial hypertrophic
cardiomyopathy (FHC). The function of the ELC N-terminal extension was
investigated with the Tg-Delta43 mouse model, whose myocardium expresses a
truncated ELC. Low-angle X-ray diffraction studies on papillary muscle fibers in
rigor revealed a decreased interfilament spacing (~ 1.5 nm) and no alterations in
cross-bridge mass distribution in Tg-A57G mice compared to Tg-WT, expressing the
full-length nonmutated ELC. The truncation mutation showed a 1.3-fold increase in
I(1,1)/I(1,0), indicating a shift of cross-bridge mass from the thick filament
backbone toward the thin filaments. Mechanical studies demonstrated increased
stiffness in Tg-A57G muscle fibers compared to Tg-WT or Tg-Delta43. The
equilibrium constant for the cross-bridge force generation step was smallest in
Tg-Delta43. These results support an important role for the N-terminal ELC
extension in prepositioning the cross-bridge for optimal force production. Subtle
changes in the ELC sequence were sufficient to alter cross-bridge properties and
lead to pathological phenotypes.
PMID- 21885655
TI - AMP-activated protein kinase enhances the phagocytic ability of macrophages and
neutrophils.
AB - Although AMPK plays well-established roles in the modulation of energy balance,
recent studies have shown that AMPK activation has potent anti-inflammatory
effects. In the present experiments, we examined the role of AMPK in
phagocytosis. We found that ingestion of Escherichia coli or apoptotic cells by
macrophages increased AMPK activity. AMPK activation increased the ability of
neutrophils or macrophages to ingest bacteria (by 46 +/- 7.8 or 85 +/- 26%,
respectively, compared to control, P<0.05) and the ability of macrophages to
ingest apoptotic cells (by 21 +/- 1.4%, P<0.05 compared to control). AMPK
activation resulted in cytoskeletal reorganization, including enhanced formation
of actin and microtubule networks. Activation of PAK1/2 and WAVE2, which are
downstream effectors of Rac1, accompanied AMPK activation. AMPK activation also
induced phosphorylation of CLIP-170, a protein that participates in microtubule
synthesis. The increase in phagocytosis was reversible by the specific AMPK
inhibitor compound C, siRNA to AMPKalpha1, Rac1 inhibitors, or agents that
disrupt actin or microtubule networks. In vivo, AMPK activation resulted in
enhanced phagocytosis of bacteria in the lungs by 75 +/- 5% vs. control (P<0.05).
These results demonstrate a novel function for AMPK in enhancing the phagocytic
activity of neutrophils and macrophages.
PMID- 21885656
TI - Destabilization of the neuromuscular junction by proteolytic cleavage of agrin
results in precocious sarcopenia.
AB - Etiology and pathogenesis of sarcopenia, the progressive decline in skeletal
muscle mass and strength that occurs with aging, are still poorly understood. We
recently found that overexpression of the neural serine protease neurotrypsin in
motoneurons resulted in the degeneration of their neuromuscular junctions (NMJ)
within days. Therefore, we wondered whether neurotrypsin-dependent NMJ
degeneration also affected the structure and function of the skeletal muscles.
Using histological and functional analyses of neurotrypsin-overexpressing and
neurotrypsin-deficient mice, we found that overexpression of neurotrypsin in
motoneurons installed the full sarcopenia phenotype in young adult mice.
Characteristic muscular alterations included a reduced number of muscle fibers,
increased heterogeneity of fiber thickness, more centralized nuclei, fiber-type
grouping, and an increased proportion of type I fibers. As in age-dependent
sarcopenia, excessive fragmentation of the NMJ accompanied the muscular
alterations. These results suggested the destabilization of the NMJ through
proteolytic cleavage of agrin at the onset of a pathogenic pathway ending in
sarcopenia. Studies of neurotrypsin-deficient and agrin-overexpressing mice
revealed that old-age sarcopenia also develops without neurotrypsin and is not
prevented by elevated levels of agrin. Our results define neurotrypsin- and age
dependent sarcopenia as the common final outcome of 2 etiologically distinct
entities.
PMID- 21885657
TI - Pause and pulse: radiation dose in pediatric fluoroscopy.
PMID- 21885658
TI - Ethics for the pediatrician: religion and spirituality in pediatrics.
PMID- 21885659
TI - Obesity prevention and treatment.
PMID- 21885661
TI - Epstein-Barr virus.
PMID- 21885662
TI - Visual diagnosis: swelling of the left side of the neck in an adolescent.
PMID- 21885663
TI - Index of suspicion. Case 1: round opacity on chest radiograph, cough, and fever
in a child. Case 2: groin pain and limp in a 10-year-old. Case 3: focal
neurologic signs in the presence of sickle cell disease. Case 4: hypoglycemia and
microphallus in an infant.
PMID- 21885664
TI - Scoliosis.
PMID- 21885665
TI - Thrombocytopenia absent radius syndrome.
PMID- 21885666
TI - Inherited hematologic and oncologic syndromes.
PMID- 21885667
TI - Growth.
PMID- 21885668
TI - Tomato SlSnRK1 protein interacts with and phosphorylates betaC1, a pathogenesis
protein encoded by a geminivirus beta-satellite.
AB - The betaC1 protein of tomato yellow leaf curl China beta-satellite functions as a
pathogenicity determinant. To better understand the molecular basis of betaC1 in
pathogenicity, a yeast two-hybrid screen of a tomato (Solanum lycopersicum) cDNA
library was carried out using betaC1 as bait. betaC1 interacted with a tomato
SUCROSE-NONFERMENTING1-related kinase designated as SlSnRK1. Their interaction
was confirmed using a bimolecular fluorescence complementation assay in Nicotiana
benthamiana cells. Plants overexpressing SnRK1 were delayed for symptom
appearance and contained lower levels of viral and satellite DNA, while plants
silenced for SnRK1 expression developed symptoms earlier and accumulated higher
levels of viral DNA. In vitro kinase assays showed that betaC1 is phosphorylated
by SlSnRK1 mainly on serine at position 33 and threonine at position 78. Plants
infected with betaC1 mutants containing phosphorylation-mimic aspartate residues
in place of serine-33 and/or threonine-78 displayed delayed and attenuated
symptoms and accumulated lower levels of viral DNA, while plants infected with
phosphorylation-negative alanine mutants contained higher levels of viral DNA.
These results suggested that the SlSnRK1 protein attenuates geminivirus infection
by interacting with and phosphorylating the betaC1 protein.
PMID- 21885669
TI - Warfarin-related nephropathy modeled by nephron reduction and excessive
anticoagulation.
AB - An acute increase in international normalized ratio (INR) to >3.0 in patients
with chronic kidney disease (CKD) can associate with an unexplained acute
increase in serum creatinine and accelerated progression of CKD. A subset of
these patients have renal tubular obstruction by casts of red blood cells,
presumably the dominant mechanism of the acute kidney injury described as
warfarin-related nephropathy. Here, we developed an animal model of this acute
kidney injury that is based on the 5/6-nephrectomy model to aid future
investigation of the pathogenesis of this condition. We found that acute
excessive anticoagulation with brodifacoum ("superwarfarin") increased serum
creatinine levels and hematuria in 5/6-nephrectomized rats but not in controls.
In addition, morphologic findings in 5/6-nephrectomized rats included glomerular
hemorrhage, occlusive red blood cell casts, and acute tubular injury, similar to
the biopsy findings among affected patients. Furthermore, in the rat model, we
observed an increase in apoptosis of glomerular endothelial cells. In summary,
the 5/6-nephrectomy model combined with excessive anticoagulation may be a useful
tool to study the pathogenesis of warfarin-related nephropathy.
PMID- 21885672
TI - Evaluation of smoking prevention television messages based on the elaboration
likelihood model.
AB - Progress in reducing youth smoking may depend on developing improved methods to
communicate with higher risk youth. This study explored the potential of smoking
prevention messages based on the Elaboration Likelihood Model (ELM) to address
these needs. Structured evaluations of 12 smoking prevention messages based on
three strategies derived from the ELM were conducted in classroom settings among
a diverse sample of non-smoking middle school students in three states (n =
1771). Students categorized as likely to have higher involvement in a decision to
initiate cigarette smoking reported relatively high ratings on a cognitive
processing indicator for messages focused on factual arguments about negative
consequences of smoking than for messages with fewer or no direct arguments.
Message appeal ratings did not show greater preference for this message type
among higher involved versus lower involved students. Ratings from students
reporting lower academic achievement suggested difficulty processing factual
information presented in these messages. The ELM may provide a useful strategy
for reaching adolescents at risk for smoking initiation, but particular attention
should be focused on lower academic achievers to ensure that messages are
appropriate for them. This approach should be explored further before similar
strategies could be recommended for large-scale implementation.
PMID- 21885670
TI - Distinct roles of CSF-1 isoforms in lupus nephritis.
AB - Colony-stimulating factor-1 (CSF-1), the principal growth factor for macrophages,
is increased in the kidney, serum, and urine of patients with lupus nephritis,
and eliminating CSF-1 suppresses lupus in MRL-Fas(lpr) mice. CSF-1 has three
biologically active isoforms: a membrane-spanning cell surface glycoprotein
(csCSF-1), a secreted proteoglycan (spCSF-1), and a secreted glycoprotein (sgCSF
1); the role of each isoform in the circulation and kidney in autoimmune disease
is not well understood. Here, we constructed mutant MRL-Fas(lpr) mice that only
express csCSF-1 or precursors of the spCSF-1 and sgCSF-1 isoforms. Both csCSF-1
and spCSF-1 shifted monocytes toward proinflammatory, activated populations,
enhancing their recruitment into the kidney during lupus nephritis. With
advancing lupus nephritis, spCSF-1 was the predominant isoform responsible for
increasing circulating CSF-1 and, along with the csCSF-1 isoform, for increasing
intrarenal CSF-1. Thus, csCSF-1 appears to initiate and promote the local
activation of macrophages within the kidney. Intrarenal expression of csCSF-1 and
spCSF-1 increases with advancing nephritis, thereby promoting the intrarenal
recruitment of monocytes and expansion of Ly6C(hi) macrophages, which induce
apoptosis of the renal parenchyma. Taken together, these data suggest that the
three CSF-1 isoforms have distinct biologic properties, suggesting that blocking
both circulating and intrarenal CSF-1 may be necessary for therapeutic efficacy.
PMID- 21885671
TI - Astrocytes are an early target in osmotic demyelination syndrome.
AB - Abrupt osmotic changes during rapid correction of chronic hyponatremia result in
demyelinative brain lesions, but the sequence of events linking rapid osmotic
changes to myelin loss is not yet understood. Here, in a rat model of osmotic
demyelination syndrome, we found that massive astrocyte death occurred after
rapid correction of hyponatremia, delineating the regions of future myelin loss.
Astrocyte death caused a disruption of the astrocyte-oligodendrocyte network,
rapidly upregulated inflammatory cytokines genes, and increased serum S100B,
which predicted clinical manifestations and outcome of osmotic demyelination.
These results support a model for the pathophysiology of osmotic brain injury in
which rapid correction of hyponatremia triggers apoptosis in astrocytes followed
by a loss of trophic communication between astrocytes and oligodendrocytes,
secondary inflammation, microglial activation, and finally demyelination.
PMID- 21885673
TI - The contribution of parent-child interactions to smoking experimentation in
adolescence: implications for prevention.
AB - Because few prospective studies have examined the independent influence of
mothers and fathers on smoking experimentation, we tested the association between
a set of parent-specific, familial and peer interactions with smoking
experimentation in early adolescence. Data come from two cohorts in the British
Youth Panel Survey (N = 1736; mean age at baseline, 11.26; SD = 0.65), a study of
children resident with members of the British Household Panel Survey. Baseline
data showed 8.2% of participants had smoked which increased to 40.3% after a 3
year follow-up. Multivariate logistic regression models showed risk factors for
the onset of experimentation included frequent time spent with peers (P < 0.001),
maternal smoking (P = 0.001), female gender and older participant age (P <
0.001). Parent-child quarrels, mother-child conversations, family meal frequency
and household income were not significantly associated with experimentation.
Frequent father-child conversations, about things which mattered to children,
were the only type of parent-child contact associated with a reduced risk of
experimentation (P < 0.001), and a significant interaction suggested that
maternal smoking increased the likelihood of girls but not boys experimentation
(P = 0.01). This study suggests that familial risk and protective factors operate
independently and that more attention should be paid to the role of fathers in
smoking prevention.
PMID- 21885674
TI - Sequential hormonal changes in 21 patients with recurrent Cushing's disease after
successful pituitary surgery.
AB - OBJECTIVE: To describe the sequence of hormonal changes during recurrence of
Cushing's disease (CD) after successful transsphenoidal surgery (TSS). DESIGN:
Retrospective study in a single center. PATIENTS AND METHODS: We studied 101 of
the 127 patients treated by TSS for CD between 1996 and 2009, who had
hypocortisolism or eucortisolism for at least 3 months post-TSS. We arbitrarily
defined 'overt recurrence', as presence of two classical parameters of excess
cortisol (increased midnight--either serum or salivary--and 24 h urinary
cortisol (UC)), leading to further specific therapeutic action, and 'mild
recurrence', as presence of a single classical parameter, leading to simple
surveillance. RESULTS: Of the 101 patients, 21 (20.8%) presented with recurrence,
'mild' or 'overt', during long-term follow-up (median 50.4 months, range 7-99).
Recurrence occurred less frequently (16.8 vs 50%, P=0.02), and later (mean 44.7
months, median 43, range 7-94 vs mean 21.5 months, median 17, range 3-61,
P=0.05), in patients with early post-TSS hypocortisolism compared with those with
eucortisolism. Increase in midnight cortisol occurred in a mean time of 38.2
months, while UC elevation was observed at 50.6 months. Vasopressin analogs and
CRH tests were eventually positive in 85 and 93% of all patients respectively; a
positive response to one of the two dynamic tests preceded the increase in
midnight cortisol or UC in 71 and 64% of the patients respectively. CONCLUSION: A
positive response to vasopressin analogs and/or CRH tests occurs early in
recurrence, followed by an increase in midnight cortisol, while UC elevation is
at a later stage.
PMID- 21885675
TI - Circulating lipocalin 2 is associated with body fat distribution at baseline but
is not an independent predictor of insulin resistance: the prospective Cyprus
Metabolism Study.
AB - OBJECTIVE: Lipocalin 2 (LCN2 or NGAL), a protein derived from neutrophils,
macrophages, adipocytes, and other cells, has been proposed to be a link between
obesity and insulin resistance (IR), but animal and cross-sectional human studies
have revealed conflicting results. We studied the association of serum lipocalin
2 with anthropometric, metabolic, and cardiovascular risk markers in young
healthy men cross-sectionally and, for the first time, prospectively after 2
years of follow-up, with and without adjustment for potential confounders
including serum creatinine. DESIGN: Two hundred and seventy-two participants were
randomly selected from the Cyprus Metabolism Study (1056 men, 18 years), of whom
93 subjects participated in the follow-up study 2 years after baseline
assessment. Associations were also explored between total and free leptin levels
(to serve as positive controls) and anthropometric metabolic variables. RESULTS:
In the cross-sectional study, lipocalin 2 levels were marginally correlated in
the unadjusted model with central fat distribution but not with body weight or
total body fat mass. After adjusting for age, smoking, activity, body mass index,
fat percentage, waist-to-hip ratio, and serum creatinine, no correlation was
found with any cardiovascular risk factor. There was no correlation with the
homeostasis model assessment of IR (HOMA-IR) at baseline. In the prospective
analyses, baseline levels of lipocalin 2 were not predictive of any variables in
unadjusted or adjusted models. As expected, total and free leptin were associated
with anthropometric and metabolic variables both cross-sectionally and
prospectively. CONCLUSIONS: We demonstrate that lipocalin 2 is not an independent
predictor of metabolic and cardiovascular risk factors in young men cross
sectionally or prospectively.
PMID- 21885676
TI - Characterization of a new mouse model of empyema and the mechanisms of pleural
invasion by Streptococcus pneumoniae.
AB - Although empyema affects more than 65,000 people each year in the United States
and in the United Kingdom, there are limited data on the pathogenesis of pleural
infection. We investigated the pathogenesis of empyema using animal and cell
culture models of Streptococcus pneumoniae infection. The pathological processes
during the development of empyema associated with murine pneumonia due to S.
pneumoniae (strain D39) were investigated. Lungs were examined using histology,
and pleural fluid and blood bacterial colony-forming units, cytokine levels, and
cellular infiltrate were determined over time. Bacterial migration across
mesothelial monolayers was investigated using cell culture techniques, flow
cytometry, and confocal microscopy. After intranasal inoculation with 10(7) S.
pneumoniae D39 strain, mice developed pneumonia associated with rapid bacterial
invasion of the pleural space; raised intrapleural IL-8, VEGF, MCP-1, and TNF
alpha levels; and caused significant intrapleural neutrophilia followed by the
development of fibrinous pleural adhesions. Bacterial clearance from the pleural
space was poor, and in vitro assays demonstrated that S. pneumoniae crossed
mesothelial layers by translocation through cells rather than by a paracellular
route. This study describes key events during the development of S. pneumoniae
empyema using a novel murine model of pneumonia-associated empyema that closely
mimics human disease. The model allows for future assessment of molecular
mechanisms involved in the development of empyema and evaluation of potential new
therapies. The data suggest that transmigration of bacteria through mesothelial
cells could be important in empyema development. Furthermore, upon entry the
pleural cavity offers a protected compartment for the bacteria.
PMID- 21885678
TI - MHC Class II and CD9 in human eosinophils localize to detergent-resistant
membrane microdomains.
AB - Eosinophils function in murine allergic airways inflammation as professional
antigen-presenting cells (APCs). In murine professional APC cell types, optimal
functioning of MHC Class II depends on its lateral association in plasma
membranes and colocalization with the tetraspanin CD9 into detergent-resistant
membrane microdomains (DRMs). With human eosinophils, we evaluated the
localization of MHC Class II (HLA-DR) to DRMs and the functional significance of
such localization. In granulocyte-macrophage colony-stimulating factor-stimulated
human eosinophils, antibody cross-linked HLA-DR colocalized by immunofluorescence
microscopy focally on plasma membranes with CD9 and the DRM marker ganglioside
GM1. In addition, HLA-DR coimmunoprecipitates with CD9 after chemical cross
linking of CD9. HLA-DR and CD9 were localized by Western blotting in eosinophil
DRM subcellular fractions. DRM disruption with the cholesterol-depleting agent
methyl-beta-cyclodextrin decreased eosinophil surface expression of HLA-DR and
CD9. We show that CD9 is abundant on the surface of eosinophils, presenting the
first electron microscopy data of the ultrastructural immunolocalization of CD9
in human eosinophils. Disruption of HLA-DR-containing DRMs decreased the ability
of superantigen-loaded human eosinophils to stimulate CD4(+) T-cell activation
(CD69 expression), proliferation, and cytokine production. Our results, which
demonstrate that eosinophil MHC Class II localizes to DRMs in association with
CD9 in a functionally significant manner, represent a novel insight into the
organization of the antigen presentation complex of human eosinophils.
PMID- 21885679
TI - A common evolutionary origin for tailed-bacteriophage functional modules and
bacterial machineries.
AB - Bacteriophages belonging to the order Caudovirales possess a tail acting as a
molecular nanomachine used during infection to recognize the host cell wall,
attach to it, pierce it, and ensure the high-efficiency delivery of the genomic
DNA to the host cytoplasm. In this review, we provide a comprehensive analysis of
the various proteins constituting tailed bacteriophages from a structural
viewpoint. To this end, we had in mind to pinpoint the resemblances within and
between functional modules such as capsid/tail connectors, the tails themselves,
or the tail distal host recognition devices, termed baseplates. This comparison
has been extended to bacterial machineries embedded in the cell wall, for which
shared molecular homology with phages has been recently revealed. This is the
case for the type VI secretion system (T6SS), an inverted phage tail at the
bacterial surface, or bacteriocins. Gathering all these data, we propose that a
unique ancestral protein fold may have given rise to a large number of
bacteriophage modules as well as to some related bacterial machinery components.
PMID- 21885680
TI - Molecular mechanism of scanning and start codon selection in eukaryotes.
AB - The correct translation of mRNA depends critically on the ability to initiate at
the right AUG codon. For most mRNAs in eukaryotic cells, this is accomplished by
the scanning mechanism, wherein the small (40S) ribosomal subunit attaches to the
5' end of the mRNA and then inspects the leader base by base for an AUG in a
suitable context, using complementarity with the anticodon of methionyl initiator
tRNA (Met-tRNAiMet) as the key means of identifying AUG. Over the past decade, a
combination of yeast genetics, biochemical analysis in reconstituted systems, and
structural biology has enabled great progress in deciphering the mechanism of
ribosomal scanning. A robust molecular model now exists, describing the roles of
initiation factors, notably eukaryotic initiation factor 1 (eIF1) and eIF1A, in
stabilizing an "open" conformation of the 40S subunit with Met-tRNAiMet bound in
a low-affinity state conducive to scanning and in triggering rearrangement into a
"closed" conformation incompatible with scanning, which features Met-tRNAiMet
more tightly bound to the "P" site and base paired with AUG. It has also emerged
that multiple DEAD-box RNA helicases participate in producing a single-stranded
"landing pad" for the 40S subunit and in removing the secondary structure to
enable the mRNA to traverse the 40S mRNA-binding channel in the single-stranded
form for base-by-base inspection in the P site.
PMID- 21885684
TI - Variability in the muscle composition of rat esophagus and neural pathway of
lower esophageal sphincter relaxation.
AB - Several studies from our laboratory show that axial stretch of the lower
esophageal sphincter (LES) in an oral direction causes neurally mediated LES
relaxation. Under physiological conditions, axial stretch of the LES is caused by
longitudinal muscle contraction (LMC) of the esophagus. Because longitudinal
muscle is composed of skeletal muscle in mice, vagal-induced LMC and LES
relaxation are both blocked by pancuronium. We conducted studies in rats (thought
to have skeletal muscle esophagus) to determine if vagus nerve-mediated LES
relaxation is also blocked by pancuronium. LMC-mediated axial stretch on the LES
was monitored using piezoelectric crystals. LES and esophageal pressures were
monitored with a 2.5-Fr solid-state pressure transducer catheter. Following
bilateral cervical vagotomy, the vagus nerve was stimulated electrically. LES,
along with the esophagus, was harvested after in vivo experiments and
immunostained for smooth muscle (smooth muscle alpha-actin) and skeletal muscle
(fast myosin heavy chain). Vagus nerve-stimulated LES relaxation and esophageal
LMC were reduced in a dose-dependent fashion and completely abolished by
pancuronium (96 MUg/kg) in six rats (group 1). On the other hand, in seven rats,
LES relaxation and LMC were only blocked completely by a combination of
pancuronium (group 2) and hexamethonium. Immunostaining revealed that the
longitudinal muscle layer was composed of predominantly skeletal muscle in the
group 1 rats. On the other hand, the longitudinal muscle layer of group 2 rats
contained a significant amount of smooth muscle (P < 0.05). Our study shows tight
coupling between axial stretch on the LES and relaxation of the LES, which
suggests a cause and effect relationship between the two. We propose that the
vagus nerve fibers that cause LMC induce LES relaxation through the stretch
sensitive activation of inhibitory motor neurons.
PMID- 21885685
TI - Evidence for altered circular smooth muscle cell function in lower esophageal
sphincter of W/Wv mutant mice.
AB - Nitrergic neurotransmission to gut smooth muscle is impaired in W/W(v) mutant
mice, which lack intramuscular interstitial cells of Cajal (ICC-IM). In addition,
these mice have been reported to have smaller amplitude unitary potentials (UPs)
and a more negative resting membrane potential (RMP) than control mice. These
abnormalities have been attributed to absence of ICC-IM, but it remains possible
that they are due to alterations at the level of the smooth muscle itself.
Amphotericin-B-perforated patch-clamp recordings and Ca(2+) imaging (fura 2) were
compared between freshly isolated single circular smooth muscle cells (CSM) from
W/W(v) mutant and control mice lower esophageal sphincter (LES). There was no
significant difference in seal resistance, capacitance, or input resistance in
response to applied electrotonic current pulses between CSM cells from W/W(v)
mutants and controls. Compared with control mice, RMP was more negative and UPs
significantly smaller in CSM cells from mutant mice LES. Administration of
caffeine induced an inward current in cells from both mutant and control mice,
but the current density was significantly larger in cells from W/W(v) mutants.
Membrane potential hyperpolarization induced by sodium nitroprusside was larger
in cells from control mice vs. W/W(v) mutants. In addition, intracellular Ca(2+)
transients induced by caffeine were significantly increased in cells from
mutants. These findings indicate that LES CSM is abnormal in W/W(v) mutant mice.
Thus some physiological functions attributed to ICC-IM based on experiments in
smooth muscle of ICC deficient mice may need to be reconsidered.
PMID- 21885682
TI - From water and ions to crowded biomacromolecules: in vivo structuring of a
prokaryotic cell.
AB - The interactions and processes which structure prokaryotic cytoplasm (water,
ions, metabolites, and biomacromolecules) and ensure the fidelity of the cell
cycle are reviewed from a physicochemical perspective. Recent spectroscopic and
biological evidence shows that water has no active structuring role in the
cytoplasm, an unnecessary notion still entertained in the literature; water acts
only as a normal solvent and biochemical reactant. Subcellular structuring arises
from localizations and interactions of biomacromolecules and from the growth and
modifications of their surfaces by catalytic reactions. Biomacromolecular
crowding is a fundamental physicochemical characteristic of cells in vivo. Though
some biochemical and physiological effects of crowding (excluded volume effect)
have been documented, crowding assays with polyglycols, dextrans, etc., do not
properly mimic the compositional variety of biomacromolecules in vivo. In vitro
crowding assays are now being designed with proteins, which better reflect
biomacromolecular environments in vivo, allowing for hydrophobic bonding and
screened electrostatic interactions. I elaborate further the concept of complex
vectorial biochemistry, where crowded biomacromolecules structure the cytosol
into electrolyte pathways and nanopools that electrochemically "wire" the cell.
Noncovalent attractions between biomacromolecules transiently supercrowd
biomacromolecules into vectorial, semiconducting multiplexes with a high (35 to
95%)-volume fraction of biomacromolecules; consequently, reservoirs of less
crowded cytosol appear in order to maintain the experimental average crowding of
~25% volume fraction. This nonuniform crowding model allows for fast diffusion of
biomacromolecules in the uncrowded cytosolic reservoirs, while the supercrowded
vectorial multiplexes conserve the remarkable repeatability of the cell cycle by
preventing confusing cross talk of concurrent biochemical reactions.
PMID- 21885686
TI - Estrogen deficiency worsens steatohepatitis in mice fed high-fat and high
cholesterol diet.
AB - Recent studies indicate an accelerated progression of nonalcoholic
steatohepatitis (NASH) in postmenopausal women. Hypercholesterolemia, an
important risk factor for NASH progression, is often observed after menopause.
This study examined the effects of estrogen on NASH in ovariectomized (OVX) mice
fed a high-fat and high-cholesterol (HFHC) diet. To investigate the effects of
estrogen deficiency, OVX mice and sham-operated (SO) mice were fed normal chow or
HFHC diet for 6 wk. Next, to investigate the effects of exogenous estrogen
replenishment, OVX mice fed with HFHC diet were treated with implanted hormone
release pellets (containing 17beta-estradiol or placebo vehicle) for 6 wk. OVX
mice on the HFHC diet showed enhanced liver injury with increased liver
macrophage infiltration and elevated serum cholesterol levels compared with SO
HFHC mice. Hepatocyte monocyte chemoattractant protein-1 (MCP1) protein
expression in OVX-HFHC mice was also enhanced compared with SO-HFHC mice. In
addition, hepatic inflammatory gene expressions, including monocytes chemokine (C
C motif) receptor 2 (CCR2), were significantly elevated in OVX-HFHC mice.
Estrogen treatment improved serum cholesterol levels, liver injury, macrophage
infiltration, and inflammatory gene expressions in OVX-HFHC mice. Moreover, the
elevated expression of liver CCR2 and MCP1 were decreased by estrogen treatment
in OVX-HFHC mice, whereas low-density lipoprotein dose dependently enhanced CCR2
expression in THP1 monocytes. Our study demonstrated that estrogen deficiency
accelerated NASH progression in OVX mice fed HFHC diet and that this effect was
improved by estrogen therapy. Hypercholesterolemia in postmenopausal women would
be a potential risk factor for NASH progression.
PMID- 21885687
TI - Cocktail approach for in vivo phenotyping of 5 major CYP450 isoenzymes:
development of an effective sampling, extraction, and analytical procedure and
pilot study with comparative genotyping.
AB - In this study, the authors developed a phenotyping method for CYP1A2, 2C9, 2C19,
2D6, and 3A4 using a cocktail of 100 mg caffeine, 125 mg tolbutamide, 20 mg
omeprazole, 30 mg dextromethorphan, and 2 mg midazolam. A simple sampling scheme
was established collecting 3 blood samples at 0, 4, and 24 hours followed by
solid-phase extraction and liquid chromatography/tandem mass spectrometry
analysis. After addition of 8 deuterated internal standards and extraction, the
analytes were separated using gradient elution with ammonium acetate and
methanol. Data acquisition was performed on a triple quadrupole linear ion trap
mass spectrometer in multiple-reaction monitoring mode with positive electrospray
ionization. The assay was validated according to international guidelines: limits
of quantification (LOQs) were between 0.25 and 1.0 ng/mL for all analytes, except
for paraxanthine and caffeine (20 ng/mL). Extraction efficiencies ranged between
77% and 103% and matrix effects between 23% and 95%; precision and accuracy data
fulfilled accepted criteria. Calibration curves from LOQ to 1000 ng/mL were
established for undiluted and 1:10 diluted plasma (r > 0.998). The method was
tested in a pilot study with 14 volunteers. Additional genotyping of the probands
generally demonstrated good accordance with the measured phenotyping indices but
also disclosed certain contradictory results.
PMID- 21885681
TI - Interplay between innate immunity and negative-strand RNA viruses: towards a
rational model.
AB - The discovery of a new class of cytosolic receptors recognizing viral RNA, called
the RIG-like receptors (RLRs), has revolutionized our understanding of the
interplay between viruses and host cells. A tremendous amount of work has been
accumulating to decipher the RNA moieties required for an RLR agonist, the signal
transduction pathway leading to activation of the innate immunity orchestrated by
type I interferon (IFN), the cellular and viral regulators of this pathway, and
the viral inhibitors of the innate immune response. Previous reviews have focused
on the RLR signaling pathway and on the negative regulation of the interferon
response by viral proteins. The focus of this review is to put this knowledge in
the context of the virus replication cycle within a cell. Likewise, there has
been an expansion of knowledge about the role of innate immunity in the
pathophysiology of viral infection. As a consequence, some discrepancies have
arisen between the current models of cell-intrinsic innate immunity and current
knowledge of virus biology. This holds particularly true for the nonsegmented
negative-strand viruses (Mononegavirales), which paradoxically have been largely
used to build presently available models. The aim of this review is to bridge the
gap between the virology and innate immunity to favor the rational building of a
relevant model(s) describing the interplay between Mononegavirales and the innate
immune system.
PMID- 21885683
TI - The universally conserved prokaryotic GTPases.
AB - Members of the large superclass of P-loop GTPases share a core domain with a
conserved three-dimensional structure. In eukaryotes, these proteins are
implicated in various crucial cellular processes, including translation, membrane
trafficking, cell cycle progression, and membrane signaling. As targets of
mutation and toxins, GTPases are involved in the pathogenesis of cancer and
infectious diseases. In prokaryotes also, it is hard to overestimate the
importance of GTPases in cell physiology. Numerous papers have shed new light on
the role of bacterial GTPases in cell cycle regulation, ribosome assembly, the
stress response, and other cellular processes. Moreover, bacterial GTPases have
been identified as high-potential drug targets. A key paper published over 2
decades ago stated that, "It may never again be possible to capture [GTPases] in
a family portrait" (H. R. Bourne, D. A. Sanders, and F. McCormick, Nature 348:125
132, 1990) and indeed, the last 20 years have seen a tremendous increase in
publications on the subject. Sequence analysis identified 13 bacterial GTPases
that are conserved in at least 75% of all bacterial species. We here provide an
overview of these 13 protein subfamilies, covering their cellular functions as
well as cellular localization and expression levels, three-dimensional
structures, biochemical properties, and gene organization. Conserved roles in
eukaryotic homologs will be discussed as well. A comprehensive overview
summarizing current knowledge on prokaryotic GTPases will aid in further
elucidating the function of these important proteins.
PMID- 21885688
TI - When to stop treating the bones.
PMID- 21885689
TI - Accountable care organizations, the patient-centered medical home, and health
care reform: what does it all mean?
AB - Medical care in the United States is plagued by extremely high costs, poor
quality, and fragmented delivery. In response, new concepts of integrated health
care delivery have developed, including patient-centered medical homes and
accountable care organizations (ACOs). This article reviews these concepts and
includes a detailed discussion of the Centers for Medicare and Medicaid Services'
ACO and Shared Savings Proposed Rule.
PMID- 21885691
TI - Oral plaques and dysphagia in a young man.
PMID- 21885690
TI - Allergy blood testing: A practical guide for clinicians.
AB - Blood tests are available that measure levels of immunoglobulin E (IgE) against
specific allergens such as foods, inhalants, medications, latex, and venoms.
These tests can confirm the diagnosis of an allergic disorder, supplementing a
clinical history consistent with an immediate allergic reaction. They are
particularly useful when skin testing cannot or should not be performed.
PMID- 21885693
TI - Unmasking gastric cancer.
PMID- 21885692
TI - Hepatic encephalopathy: suspect it early in patients with cirrhosis.
AB - As viral hepatitis and nonalcoholic fatty liver disease continue to increase in
prevalence, we will see more cases of hepatic encephalopathy. Primary care
physicians are often the first to suspect it, as they are familiar with the
patient's usual mental and physical status. This serious complication typically
occurs in patients with severe comorbidities and requires multidisciplinary
evaluation and care.
PMID- 21885694
TI - Venous thromboembolism: what to do after anticoagulation is started.
AB - After anticoagulation has been started in patients with venous thromboembolism
(VTE), three issues need to be addressed: the length of therapy, measures to help
prevent postthrombotic syndrome, and a basic workup for malignancy in patients
with idiopathic VTE.
PMID- 21885695
TI - What is the optimal duration of bisphosphonate therapy?
AB - It is reasonable to stop bisphosphonates after 5 years of use and then to follow
patients with markers of bone turnover. As long as the levels of these markers
remain reduced, adding an antiresorptive drug does not make physiologic sense.
PMID- 21885696
TI - Posttraumatic stress disorders in civilian orthopaedics.
PMID- 21885697
TI - Classic bladder exstrophy: orthopaedic surgical considerations.
AB - Classic bladder exstrophy is an embryologic malformation that results in complex
deficiency of the anterior midline, with urogenital and skeletal manifestations.
Urogenital reconstruction is a challenging procedure that can be facilitated by
closure of the bony pelvic ring by an orthopaedic team. Surgical options include
a multiyear staged approach and the single-stage complete repair for exstrophy.
The goals of urologic surgery include closure of the bladder and abdominal wall
with eventual bladder continence, preservation of renal function, and cosmetic
and functional reconstruction of the genitalia. Pelvic osteotomy is done at the
time of bladder closure in the patient in whom the anterior pelvis cannot be
approximated without tension. Traction or spica casting is used postoperatively.
Good outcomes are probable with appropriate management at specialized treatment
centers.
PMID- 21885698
TI - All-polyethylene tibial components in modern total knee arthroplasty.
AB - Most total knee arthroplasty prostheses have modular tibial components with metal
backed tibial baseplates. Biomechanical studies have demonstrated mechanical
advantages to a metal-backed tibial component in terms of tibial load transfer.
In addition, tibial component modularity provides intraoperative flexibility and
may provide an advantage in the setting of subsequent revision knee surgery.
However, clinical evidence does not support the preferential use of metal-backed
tibial components. Modularity introduces the potential for backside wear and
associated osteolysis. Also, several recent studies have shown no significant
differences in clinical and radiographic outcomes between metal-backed and all
polyethylene tibial components. In addition, all-polyethylene tibial components
are less expensive than metal-backed components; increased usage of all
polyethylene components could help decrease the cost of health care.
PMID- 21885699
TI - Adhesive capsulitis of the shoulder.
AB - Adhesive capsulitis is characterized by painful, gradual loss of active and
passive shoulder motion resulting from fibrosis and contracture of the joint
capsule. Other shoulder pathology can produce a similar clinical picture,
however, and must be considered. Management is based on the underlying cause of
pain and stiffness, and determination of the etiology is essential. Subtle clues
in the history and physical examination can help differentiate adhesive
capsulitis from other conditions that cause a stiff, painful shoulder. The
natural history of adhesive capsulitis is a matter of controversy. Management of
true capsular restriction of motion (ie, true adhesive capsulitis) begins with
gentle, progressive stretching exercises. Most patients improve with nonsurgical
treatment. Indications for surgery should be individualized. Failure to obtain
symptomatic improvement and continued functional disability following >=6 months
of physical therapy is a general guideline for surgical intervention. Diligent
postoperative therapy to maintain motion is required to minimize recurrence of
adhesive capsulitis.
PMID- 21885701
TI - Perilunate dislocation and perilunate fracture-dislocation.
AB - Perilunate dislocations and perilunate fracture-dislocations usually result from
high-energy traumatic injuries to the wrist and are associated with a
characteristic spectrum of bony and ligamentous damage. Radiographic evaluation
of the wrist reveals loss of normal radiocarpal and intercarpal colinearity and
bony insult, which may be overlooked at the initial presentation. Prompt
recognition is important to optimize outcomes. Closed reduction is performed
acutely, followed by open reduction and ligamentous and bony repair with internal
fixation. Complications include posttraumatic arthrosis, median nerve
dysfunction, complex regional pain syndrome, tendon problems, and carpal
instability. Despite appropriate treatment, loss of wrist motion and grip
strength, as well as persistent pain, is common. Medium- and long-term studies
demonstrate radiographic evidence of midcarpal and radiocarpal arthrosis,
although this does not correlate with functional outcomes.
PMID- 21885700
TI - Intervertebral disk degeneration and emerging biologic treatments.
AB - Although understanding of the biologic basis of intervertebral disk (IVD)
degeneration is rapidly advancing, the unique IVD environment presents challenges
to the development and delivery of biologic treatments. Acceleration of cellular
senescence and apoptosis in degenerative IVDs and the depletion of matrix
proteins have prompted the development of treatments based on replacing IVD cells
using various cell sources. However, this strategy has not been tested in animal
models. IVD degeneration and associated pain have led to interest in pathologic
innervation of the IVD and ultimately to the development of percutaneous devices
to ablate afferent nerve endings in the posterior annulus. Degeneration leads to
changes in the expression of matrix protein, cytokines, and proteinases.
Injection of growth factors and mitogens may help overcome these degenerative
changes in IVD phenotype, and these potential treatments are being explored in
animal studies. Gene therapy is an elegant method to address changes in protein
expression, but efforts to apply this technology to IVD degeneration are still at
early stages.
PMID- 21885702
TI - Management of aseptic tibial nonunion.
AB - Tibial nonunion remains a significant clinical challenge despite advances in
surgical management. New techniques to help manage tibial nonunion include
extracorporeal shock wave therapy and percutaneous application of bone marrow
aspirate. Management strategies vary based on the type of nonunion: aseptic or
infected, and atrophic or hypertrophic. Extracorporeal shock wave therapy has
been shown to be as effective as surgical management in patients with stable
hypertrophic nonunion. New fixation options include locked plates and
intramedullary compression nails. Novel methods of external fixation have been
developed for bone graft harvest from the intramedullary canal. Several biologic
adjuncts also are available, including bone marrow aspirates, stem cells, and
bone morphogenetic protein.
PMID- 21885703
TI - The effect of drill bit, pin, and wire tip design on drilling.
AB - Successful penetration of bone is dependent on several factors, including bone
quality, drill bit and pin design, and drilling technique. Wires are also used in
bone drilling, and the tip configuration can affect the efficiency of bone
penetration. Incorrect use of drill bits, pins, and wires may result in
complications such as thermal necrosis and hardware breakage.
PMID- 21885704
TI - An approach to assessing data quality in an area probability survey using CBPR.
AB - Community-based participatory research (CBPR) approaches attempt to engage
community members in all aspects of research conducted in the community.
Proponents of CBPR suggest that among the many advantages to using CBPR is higher
acceptance of and cooperation in research endeavors than is usually achieved
through more traditional research approaches. Using secondary analysis of sample
disposition data from two area probability surveys of the same community areas,
one involving community interviewers in a fully collegial CBPR study and the
other using professional interviewers, this study finds that data collected using
CBPR techniques may lead to higher cooperation and lower refusal rates than data
collected by professional interviewers. However, when compared to external
population indicators such as census data, the sample produced by CBPR
interviewers overrepresents certain population groups whereas the survey data
produced by professional interviewers may underrepresent key population groups.
PMID- 21885705
TI - The relationship of perceived neighborhood social climate to walking in Hispanic
older adults: a longitudinal, cross-lagged panel analysis.
AB - OBJECTIVE: This study examines possible bidirectional relationships between
neighborhood climate (i.e., perceived neighborhood social environment) and
walking behavior across a 12-month period in older Hispanics. METHOD: A
population-based sample of 217 community-dwelling older Hispanics in Miami,
Florida, completed measures of perceived neighborhood climate and neighborhood
walking, at two assessment time points (12 months apart). RESULTS: Structural
equation modeling analyses revealed that neighborhood climate predicted
subsequent walking 12 months later, such that more positive perceptions of
neighborhood climate predicted more walking. Follow-up analyses revealed that
older adults who resided in the top half of neighborhoods based on perceived
neighborhood climate scores at initial assessment were 2.57 times as likely to
have walked at least one block in the last week at follow-up, relative to older
adults residing in neighborhoods whose climate was in the lower half. DISCUSSION:
Perceptions of a more positive neighborhood social environment may promote
walking in urban, older Hispanics.
PMID- 21885706
TI - PartyIntents: a portal survey to assess gay and bisexual men's risk behaviors at
weekend parties.
AB - PartyIntents examines whether portal survey methods could be used to anonymously
survey gay and bisexual men about HIV-risk behaviors before and after a weekend
party-oriented vacation. The study recruited 97% of eligible men and of these 489
participants 47% completed the follow-up assessment. Approximately one half of
the men intended to use illegal drugs over the weekend, and almost 20% thought
that they might have anal intercourse and not use a condom. The methodology can
be applied and provides useful information about HIV risk at these events, though
refinements may be needed to increase the follow-up rates.
PMID- 21885707
TI - The citizen psychoanalyst: psychoanalysis, social commentary, and social
advocacy.
PMID- 21885708
TI - Introduction to Weinberger and Smith.
PMID- 21885710
TI - Psychoanalytically informed psychotherapy of psychosis: the influences of
american psychoanalysis. Panel report.
PMID- 21885711
TI - The association between the duration of preoperative pain and pain improvement in
vertebral augmentation: a meta-analysis.
AB - BACKGROUND AND PURPOSE: Most physicians consider length of preoperative pain as
an important factor to include patients for SA. Our aim was to synthesize the
available evidence regarding the influence of preprocedural pain duration on the
outcome of vertebral augmentation procedures. MATERIALS AND METHODS: The MEDLINE
data base was reviewed up to March 2010. Meta-regression and mixed-effect
subgroup analyses were performed to evaluate the association between the outcome
of interest, which was pain improvement assessed by a VAS (0-10) and the duration
of preoperative pain (independent variable). RESULTS: We included 17 articles.
The mean VAS improvements for subgroups of <=6 weeks (n = 12), 6-24 weeks (n =
5), and >24 weeks (n = 3) were 5.18, 4.90, and 5.04, respectively (P = .86). The
regression coefficient was -0.024, suggesting trivial association of the duration
of preoperative pain and pain improvement. CONCLUSIONS: Pain relief following
spine augmentation was similar among groups of patients with varying lengths of
preoperative pain duration.
PMID- 21885713
TI - Impact of brain tumor location on morbidity and mortality: a retrospective
functional MR imaging study.
AB - BACKGROUND AND PURPOSE: fMRI is increasingly used in neurosurgery to
preoperatively identify areas of eloquent cortex. Our study evaluated the
efficacy of clinical fMRI by analyzing the relationship between the distance from
the tumor border to the area of functional activation (LAD) and patient pre- and
postoperative morbidity and mortality. MATERIALS AND METHODS: The study included
patients with diagnosis of primary or metastatic brain tumor who underwent
preoperative fMRI-based motor mapping (n=74) and/or language mapping (n=77). The
impact of LAD and other variables collected from patient records was analyzed
with respect to functional deficits in terms of morbidity (paresis and aphasia)
and mortality. RESULTS: Significant relationships were found between motor and
language LAD and the existence of either pre- or postoperative motor (P < .001)
and language deficits (P=.009). Increasing age was associated with motor and
language deficits (P=.02 and P=.04 respectively). Right-handedness was related to
language deficits (P=.05). Survival analysis revealed that pre- and postoperative
deficits, grade, tumor location, and LAD predicted mortality. Motor deficits
increased linearly as the distance from the tumor to the primary sensorimotor
cortex decreased. Language deficits increased exponentially as the distance from
the tumor to the language areas decreased below 1 cm. Postoperative mortality
analysis showed an interaction effect between motor or language LAD and mortality
predictors (grade and tumor location, respectively). CONCLUSIONS: These findings
indicate that tumors may affect language and motor function differently depending
on tumor LAD. Overall, the data support the use of fMRI as a tool to evaluate
patient prognosis and are directly applicable to neurosurgical planning.
PMID- 21885712
TI - How do coil configuration and packing density influence intra-aneurysmal
hemodynamics?
AB - BACKGROUND AND PURPOSE: Endovascular coiling is a well-established therapy for
treating intracranial aneurysms. Nonetheless, postoperative hemodynamic changes
induced by this therapy remain not fully understood. The purpose of this work is
to assess the influence of coil configuration and packing density on intra
aneurysmal hemodynamics. MATERIALS AND METHODS: Three 3D rotational angiography
images of 3 intracranial aneurysms before and after endovascular coiling were
used. For each aneurysm, a 3D representation of the vasculature was obtained
after the segmentation of the images. Afterward, a virtual coiling technique was
used to treat the aneurysm geometries with coil models. The aneurysms were coiled
with 5 packing densities, and each was generated by using 3 coil configurations.
Computational fluid dynamics analyses were carried out in both untreated and
treated aneurysm geometries. Statistical tests were performed to evaluate the
relative effect of coil configuration on local hemodynamics. RESULTS: The intra
aneurysmal blood flow velocity and wall shear stress were diminished as packing
density increased. Aneurysmal flow velocity was reduced >50% due to the first
inserted coils (packing density <12%) but with a high dependency on coil
configuration. Nonsignificant differences (P > .01) were found in the
hemodynamics due to coil configuration for high packing densities (near 30%). A
damping effect was observed on the intra-aneurysmal blood flow waveform after
coiling. CONCLUSIONS: Intra-aneurysmal hemodynamics are altered by coils. Coil
configuration might reduce its influence on intra-aneurysmal hemodynamics as the
packing density increases until an insignificant influence could be achieved for
high packing densities.
PMID- 21885714
TI - Early rate of contrast extravasation in patients with intracerebral hemorrhage.
AB - BACKGROUND AND PURPOSE: For patients with ICH, knowing the rate of CT contrast
extravasation may provide insight into the pathophysiology of hematoma expansion.
This study assessed whether the PCT-derived PS can measure different rates of CT
contrast extravasation for admission CTA spot signs, PCCT, PCL, and regions
without extravasation in patients with ICH. MATERIALS AND METHODS: CT was
performed at admission and at 24 hours for 16 patients with ICH with/without
contrast extravasation seen on CTA and PCCT. PCT-PS was measured at admission.
The Wilcoxon rank sum test with a Bonferroni correction was used to compare PS
values from the following regions of interest: 1) spot sign lesions only (9
foci), 2) PCL lesions only (9 foci), 3) hematoma excluding extravasation, 4)
regions contralateral to extravasation, 5) hematoma in patients without
extravasation, and 6) an area contralateral to that in 5. Additionally, hematoma
expansion was determined at 24 hours defined by NCCT. RESULTS: PS was 6.5 +/-
1.60 mL . min(-1) * (100 g)(-1), 0.95 +/- 0.39 mL . min(-1) * (100 g)(-1), 0.12
+/- 0.39 mL . min(-1) * (100 g)(-1), 0.26 +/- 0.09 mL . min(-1) * (100 g)(-1),
0.38 +/- 0.26 mL . min(-1) * (100 g)(-1), and 0.09 +/- 0.32 mL . min(-1) * (100
g)(-1) for the following: 1) spot sign lesions only (9 foci), 2) PCL lesions only
(9 foci), 3) hematoma excluding extravasation, 4) regions contralateral to
extravasation, 5) hematoma in patients without extravasation, and 6) an area
contralateral to that in 5. PS values from spot sign lesions and PCL lesions were
significantly different from each other and all other regions, respectively (P <
.05). Hematoma volume increased from 34.1 +/- 41.0 mL to 40.2 +/- 46.1 mL in
extravasation-positive patients and decreased from 19.8 +/- 31.8 mL to 17.4 +/-
27.3 mL in extravasation-negative patients. CONCLUSIONS: The PCT-PS parameter
measures a higher rate of contrast extravasation for CTA spot sign lesions
compared with PCL lesions and hematoma. Early extravasation was associated with
hematoma expansion.
PMID- 21885715
TI - Neurovascular in-stent stenoses: treatment with conventional and drug-eluting
balloons.
AB - BACKGROUND AND PURPOSE: ISRs remain a major issue in the endovascular management
of ICAD, requiring retreatment by reangioplasty. The aim of the present study was
to evaluate the technical feasibility, safety, and efficiency of the novel DEBs
for neurovascular ISRs. MATERIALS AND METHODS: Fifty-one patients (median age, 67
years; age range, 34-82 years; male/female ratio, 37:14) underwent 63 balloon
dilation procedures for ISRs in intracranial stented arterial segments between
November 2007 and August 2010 in a single center. Of the 63 procedures, 20 (32%)
were performed by using a conventional balloon and 43 (68%), by using a
paclitaxel-eluting balloon (SeQuent Please). Angiographic and clinical follow-up
was performed at 6 and 12 weeks, 6 and 12 months, and yearly thereafter.
Technical success rate, periprocedural complications, occurrence of recurrent
ischemic symptoms, and the development of a recurrent ISR after reangioplasty
were analyzed. RESULTS: Technical success, defined as <50% residual stenosis was
achieved in all cases (100%), with failure of the DEB treatment in 6% of the
attempts; those lesions were finally successfully treated with a conventional
balloon. The combined permanent neurologic morbidity and mortality rate (stroke,
ICH, and SAH) at 30 days was 1.6%. Substantial difference was found in the rate
of recurrent stenosis when comparing conventional balloons and DEBs, with
recurrent stenosis rates of 50% and 9%, respectively. CONCLUSIONS: The initial
results of reangioplasty of intracranial ISRs with DEBs are encouraging; further
technical developments are, nevertheless, mandatory.
PMID- 21885716
TI - Functional connectivity targeting for deep brain stimulation in essential tremor.
AB - BACKGROUND AND PURPOSE: Deep brain stimulation of the thalamus has become a
valuable treatment for medication-refractory essential tremor, but current
targeting provides only a limited ability to account for individual anatomic
variability. We examined whether functional connectivity measurements among the
motor cortex, superior cerebellum, and thalamus would allow discrimination of
precise targets useful for image guidance of neurostimulator placement. MATERIALS
AND METHODS: Resting BOLD images (8 minutes) were obtained in 58 healthy
adolescent and adult volunteers. Regions of interest were identified from an
anatomic atlas and a finger movement task in each subject in the primary motor
cortex and motor activation region of the bilateral superior cerebellum.
Correlation was measured in the time series of each thalamic voxel with the 4
seeds. An analogous procedure was performed on a single subject imaged for 10
hours to constrain the time needed for single-subject optimization of thalamic
targets. RESULTS: Mean connectivity images from 58 subjects showed precisely
localized targets within the expected location of the ventral intermediate
nucleus of the thalamus, within a single voxel of currently used deep brain
stimulation anatomic targets. These targets could be mapped with single-voxel
accuracy in a single subject with 3 hours of imaging time, though targets were
reproduced in different locations for the individual than for the group averages.
CONCLUSIONS: Interindividual variability likely exists in optimal placement for
thalamic deep brain stimulation targeting of the cerebellar thalamus for
essential tremor. Individualized thalamic targets can be precisely estimated for
image guidance with sufficient imaging time.
PMID- 21885718
TI - Analysis of correlation between the number of lenticulostriate arteries and
hypertension based on high-resolution MR angiography findings.
AB - BACKGROUND AND PURPOSE: Hypertension, one of the most important risk factors for
strokes, is associated with altered arterial anatomy and function. In this study,
we compared the visualization of the LSAs by 3T 3D-TOF-MRA and DSA and
quantitatively examined the LSAs in patients with hypertension by using 3D-TOF
MRA. MATERIALS AND METHODS: We first examined 126 patients with 3D-TOF-MRA and
DSA and determined the number of LSAs. In addition, we examined 60 patients with
hypertension and 60 nonhypertensive volunteers with 3D-TOF-MRA and determined the
quantitative differences between the LSAs of these 2 groups. RESULTS: The mean
number of LSA stems visualized by DSA and 3D-TOF-MRA on 1 side was 4.1 +/- 0.74
and 3.9 +/- 0.94, respectively (P = .0617). The average number of LSA stems on
both sides was 4.7 +/- 0.8 in patients with hypertension and 6.3 +/- 1.9 in
nonhypertensive volunteers (P < .0001). The mean number of LSAs in the young
hypertensive group (<50 years of age) and its age-matched nonhypertensive group
was 4.8 +/- 1.1 and 7.6 +/- 1.2, respectively (P < .0001) and that in the old
hypertensive group (>=50 years of age) and its age-matched nonhypertensive group
was 4.6 +/- 0.9 and 5.0 +/- 1.0, respectively (P = .1088). CONCLUSIONS: LSA
detection showed good correlation between 3T 3D-TOF-MRA and DSA. As determined by
3D-TOF-MRA, there was a significant decrease in the number of LSA stems in
patients with hypertension compared with that in nonhypertensive volunteers;
moreover, the difference in young subjects was more than that in the elderly.
PMID- 21885717
TI - Brain iron quantification in mild traumatic brain injury: a magnetic field
correlation study.
AB - BACKGROUND AND PURPOSE: Experimental studies have suggested a role for iron
accumulation in the pathology of TBI. Magnetic field correlation MR imaging is
sensitive to the presence of non-heme iron. The aims of this study are to 1)
assess the presence, if any, and the extent of iron deposition in the deep gray
matter and regional white matter of patients with mTBI by using MFC MR imaging;
and 2) investigate the association of regional brain iron deposition with
cognitive and behavioral performance of patients with mTBI. MATERIALS AND
METHODS: We prospectively enrolled 28 patients with mTBI. Eighteen healthy
subjects served as controls. The subjects were administered the Stroop color word
test, the Verbal Fluency Task, and the Post-Concussion Symptoms Scale. The MR
imaging protocol (on a 3T imager) consisted of conventional brain imaging and MFC
sequences. After the calculation of parametric maps, MFC was measured by using a
region of interest approach. MFC values across groups were compared by using
analysis of covariance, and the relationship of MFC values and neuropsychological
tests were evaluated by using Spearman correlations. RESULTS: Compared with
controls, patients with mTBI demonstrated significant higher MFC values in the
globus pallidus (P = .002) and in the thalamus (P = .036). In patients with mTBI,
Stroop test scores were associated with the MFC value in frontal white matter (r
= -0.38, P = .043). CONCLUSIONS: MFC values were significantly elevated in the
thalamus and globus pallidus of patients with mTBI, suggesting increased
accumulation of iron. This supports the hypothesis that deep gray matter is a
site of injury in mTBI and suggests a possible role for iron accumulation in the
pathophysiological events after mTBI.
PMID- 21885719
TI - Extratemporal damage in temporal lobe epilepsy: magnetization transfer adds
information to volumetric MR imaging.
AB - BACKGROUND AND PURPOSE: MTS is characterized by gliosis and atrophy of the
hippocampus and related limbic structures. However, the damage is not limited to
those structures with atrophy and has been reported in extratemporal regions.
Because volumetric studies are nonspecific, the pathophysiology of the brain
damage remains to be solved. MTI is an MR imaging technique more sensitive to
subtle neuropathologic changes than conventional MR imaging. Here we combined MTI
with VBM analysis to evaluate extratemporal damage in patients with TLE.
MATERIALS AND METHODS: We studied 23 healthy controls and 21 patients with TLE
with mean ages, respectively, of 37.6 +/- 10.9 and 38.6 +/- 9.02 years. All
subjects had a full clinical follow-up and MR imaging. We processed the images
with VBM for volumetric analysis of WM and GM, as well as with voxel-based
analysis of MTR for macromolecular integrity analysis. RESULTS: In addition to
MTR decrease in the temporal lobes, we found a significant decrease in GM and WM
volumes. In the WM, the MTR decrease was correlated to volume loss detected by
VBM, indicating that brain atrophy may explain part of the MTR decrease. We also
found areas in which the MTR decrease was not associated with volume loss,
suggesting an additional pathophysiologic process other than neuronal loss and
atrophy underlying the MTR changes. CONCLUSIONS: Our results support the
hypothesis that there are widespread lesions in the brain, including the corpus
callosum and the frontal lobe, affecting both GM and WM.
PMID- 21885720
TI - Whole-brain perfusion CT patterns of brain arteriovenous malformations: a pilot
study in 18 patients.
AB - BACKGROUND AND PURPOSE: Little is known about the pathological mechanism or the
anatomic and functional imaging features related to the clinical manifestations
in patients with brain AVM. The purpose of this pilot study was to describe the
pattern of whole-brain PCT abnormalities in brain AVMs and their potential to
differentiate underlying pathomechanisms. MATERIALS AND METHODS: Whole-brain PCT
performed on a 320-detector row CT scanner was analyzed in 18 patients with
untreated brain AVMs. The patterns of perfusion abnormalities on CBV, CBF, and
MTT maps were analyzed and were related to clinical presentation and cerebral
angiography. RESULTS: The presenting symptoms were seizures (n = 5), focal
neurologic deficit (n = 5), hemorrhage (n = 4), chemosis (n = 1), and none (n =
3). Three types of extranidal brain parenchymal perfusion abnormalities were
noted. Decreased CBF, CBV, and MTT (pattern 1, "functional" arterial steal) were
identified in 8 patients. Seizure was the most common presenting symptom in these
patients (n = 5). Decreased CBF and CBV, and increased MTT (pattern 2, "ischemic"
arterial steal) were noted in 4 patients. Focal neurologic deficit was the most
common presenting symptom for these patients (n = 3). Increased CBV and MTT
(pattern 3, venous congestion) were seen in 5 patients with presenting symptoms
of neurologic deficit (n = 2), seizure (n = 1), hemorrhage (n = 1), and chemosis
(n = 1). In 2 patients, pre- and posttreatment PCT was performed, which showed
improvement of perfusion abnormalities. CONCLUSIONS: Whole-brain PCT shows
different patterns of perfusion abnormalities in patients with brain AVM. These
perfusion patterns may discriminate the different pathologic mechanisms involved
in these malformations.
PMID- 21885721
TI - The role of the pipeline embolization device for the treatment of dissecting
intracranial aneurysms.
AB - Intracranial dissecting aneurysms constitute rare lesions with complex management
and elevated morbidity and mortality. Results of 23 patients harboring such
lesions treated with the PED are reported. Standard dual antiplatelet therapy was
instituted. Neurologic and angiographic assessments were obtained at 3, 6, and 12
months. Clinical presentation included SAH (52%), symptoms of mass effect (22%),
ischemia (4%), and incidental finding (22%). The posterior circulation was
affected in 91% of cases. Total occlusion was demonstrated in 69.5% of patients,
with an increment to 87.5% considering only patients with at least 3 months of
follow-up. Small aneurysms demonstrated higher rates of total occlusion (6/7)
compared with large (5/7) and giant (5/9) ones. Good clinical outcome was
achieved in 74% of patients. Reconstructive endovascular treatment of
intracranial dissecting aneurysms with the PED provided good clinical and
angiographic results with acceptable risks, representing an attractive
therapeutic option for this complex disease, especially when parent vessel
preservation is mandatory.
PMID- 21885722
TI - Short- and intermediate-term angiographic and clinical outcomes of patients with
various grades of coil protrusions following embolization of intracranial
aneurysms.
AB - BACKGROUND AND PURPOSE: An infrequent occurrence during endovascular treatment is
protusion of detachable coils into the parent lumen with a subsequent thrombosis
within in the parent vessel or embolic events. We report the short- and
intermediate-term angiographic and clinical outcomes of patients who experience
coil or loop protrusions and are managed with medical or additional endovascular
treatments. MATERIALS AND METHODS: The coil protrusions were identified by
retrospective review of 256 consecutive patients treated at 3 centers with
endovascular embolizations for intracranial aneurysms and subsequently
categorized as grade I when a single loop or coil protruded into the parent
vessel lumen less than half the parent artery diameter; grades II and III were
assigned when a single coil or loop protruded more than half the parent artery
diameter, respectively. RESULTS: There were 19 patients with grade I (n = 9),
grade II (n = 4), or grade III (n = 6) coil protrusions. Patients with active
hemodynamic compromise (n = 6) had intracranial stents placed in addition to
aspirin (indefinitely) and clopidogrel (range, 1-12 months; mean, 4.5 months)
treatment. The remaining patients were placed on aspirin indefinitely. Complete
aneurysm obliteration was achieved in all patients except in 3 in whom near
complete obliteration was achieved. Two patients had intraprocedural aneurysm
ruptures, both of whom survived hospitalization. There were 4 deaths (4-21 days),
all due to major strokes in different vascular distributions related to vasospasm
(unrelated to the coil protrusion). CONCLUSIONS: Management of coil protrusions
with antiplatelet therapy and placement of stents (in selected patients) appears
efficacious in preventing vessel thrombosis.
PMID- 21885723
TI - Exercise induces rapid interstitial lung water accumulation in patients with
chronic mountain sickness.
AB - BACKGROUND: Chronic mountain sickness (CMS) is a major public health problem in
mountainous regions of the world. In its more advanced stages, exercise
intolerance is often found, but the underlying mechanism is not known. Recent
evidence indicates that exercise-induced pulmonary hypertension is markedly
exaggerated in CMS. We speculated that this problem may cause pulmonary fluid
accumulation and aggravate hypoxemia during exercise. METHODS: We assessed
extravascular lung water (chest ultrasonography), pulmonary artery pressure, and
left ventricular function in 15 patients with CMS and 20 control subjects at rest
and during exercise at 3,600 m. RESULTS: Exercise at high altitude rapidly
induced pulmonary interstitial fluid accumulation in all patients but one (14 of
15) with CMS and further aggravated the preexisting hypoxemia. In contrast, in
healthy high-altitude dwellers exercise did not induce fluid accumulation in the
majority of subjects (16 of 20) (P = .002 vs CMS) and did not alter arterial
oxygenation. Exercise-induced pulmonary interstitial fluid accumulation and
hypoxemia in patients with CMS was accompanied by a more than two times larger
increase of pulmonary artery pressure than in control subjects (P < .001), but no
evidence of left ventricular dysfunction. Oxygen inhalation markedly attenuated
the exercise-induced pulmonary hypertension (P < .01) and interstitial fluid
accumulation (P < .05) in patients with CMS but had no detectable effects in
control subjects. CONCLUSIONS: To our knowledge, these findings provide the first
direct evidence that exercise induces rapid interstitial lung fluid accumulation
and hypoxemia in patients with CMS that appear to be related to exaggerated
pulmonary hypertension. We suggest that this problem contributes to exercise
intolerance in patients with CMS. TRIAL REGISTRY: ClinicalTrials.gov; No.:
NCT01182792; URL: www.clinicaltrials.gov.
PMID- 21885725
TI - Severity of asthma score predicts clinical outcomes in patients with moderate to
severe persistent asthma.
AB - BACKGROUND: The severity of asthma (SOA) score is based on a validated disease
specific questionnaire that addresses frequency of asthma symptoms, use of
systemic corticosteroids, use of other asthma medications, and history of
hospitalization/intubation for asthma. SOA does not require measurements of
pulmonary function. This study compared the ability of SOA to predict clinical
outcomes in the EXCELS (Epidemiological Study of Xolair [omalizumab]: Evaluating
Clinical Effectiveness and Long-term Safety in Patients with Moderate to Severe
Asthma) patient population vs three other asthma assessment tools. EXCELS is a
large, ongoing, observational study of patients with moderate to severe
persistent asthma and reactivity to perennial aeroallergens. METHODS: Baseline
scores for SOA, asthma control test (ACT), work productivity and impairment index
asthma (WPAI-A), and FEV(1) % predicted were compared for their ability to
predict five prespecified adverse clinical outcomes in asthma: serious adverse
events (SAEs) reported as exacerbations, SAEs leading to hospitalizations, the
incidence of unscheduled office visits, ED visits, and po or IV corticosteroid
bursts related to asthma. Logistic regression analysis, area under receiver
operating characteristic curves (AUCROCs), and classification and regression tree
(CART) analysis were used to evaluate the ability of the four tools to predict
adverse clinical outcomes using baseline and 1-year data from 2,878 patients
enrolled in the non-omalizumab cohort of EXCELS. RESULTS: SOA was the only
assessment tool contributing significantly in all five statistical models of
adverse clinical outcomes by logistic regression analysis (full model AUCROC
range, 0.689-0.783). SOA appeared to be a stand-alone predictor for four of five
outcomes (reduced model AUCROC range, 0.689-0.773). CART analysis showed that SOA
had the greatest variable importance for all five outcomes. CONCLUSIONS: SOA
score was a powerful predictor of adverse clinical outcomes in moderate to severe
asthma, as evaluated by either logistic regression analysis or CART analysis.
TRIAL REGISTRY: ClinicalTrials.gov; No.: NCT00252135; URL:
www.clinicaltrials.gov.
PMID- 21885724
TI - Noninvasive ventilation in mild obesity hypoventilation syndrome: a randomized
controlled trial.
AB - OBJECTIVE: Open studies suggest that treatment of obesity hypoventilation
syndrome (OHS) by noninvasive ventilation (NIV) restores sleep quality and
daytime vigilance and reduces cardiovascular morbidity. However, to our knowledge
no randomized controlled trial (RCT) comparing NIV to conservative measures is
available in the field. The goal of this study was to assess in patients with
OHS, during an RCT, effects of 1-month NIV compared with lifestyle counseling on
blood gas measurements, sleep quality, vigilance, and cardiovascular, metabolic,
and inflammatory parameters. METHODS: Thirty-five patients in whom OHS was newly
diagnosed were randomized either to the NIV group or the control group
represented by lifestyle counseling. Assessments included blood gas levels,
subjective daytime sleepiness, metabolic parameters, inflammatory (hsCRP, leptin,
regulated upon activation normal T-cell express and secreted [RANTES], monocyte
chemoattractant protein-1, IL-6, IL-8, tumor necrosis factor-alpha, resistin) and
antiinflammatory (adiponectin, IL-1-RA) cytokines, sleep studies, endothelial
function (reactive hyperemia measured by peripheral arterial tonometry [RH-PAT]),
and arterial stiffness. RESULTS: Despite randomization, NIV group patients (n =
18) were older (58 +/- 11 years vs 54 +/- 6 years) with a higher baseline Paco(2)
(47.9 +/- 4.2 mm Hg vs 45.2 +/- 3 mm Hg). In intention-to-treat analysis,
compared with control group, NIV treatment significantly reduced daytime Paco(2)
(difference between treatments: -3.5 mm Hg; 95% CI, -6.2 to -0.8) and apnea
hypopnea index (-40.3/h; 95% CI, -62.4 to -18.2). Sleep architecture was
restored, although nonrespiratory microarousals increased (+9.4/h of sleep; 95%
CI, 1.9-16.9), and daytime sleepiness was not completely normalized. Despite a
dramatic improvement in sleep hypoxemia, glucidic and lipidic metabolism
parameters as well as cytokine profiles did not vary significantly. Accordingly,
neither RH-PAT (+0.02; 95% CI, -0.24 to 0.29) nor arterial stiffness (+0.22 m/s;
95% CI, -1.47 to 1.92) improved. CONCLUSIONS: One month of NIV treatment,
although improving sleep and blood gas measurements dramatically, did not change
inflammatory, metabolic, and cardiovascular markers. TRIAL REGISTRY:
ClinicalTrials.gov; No.: NCT00603096; URL: www.clinicaltrials.gov.
PMID- 21885726
TI - Severe COPD is correlated with mild radiation pneumonitis following stereotactic
body radiotherapy.
AB - BACKGROUND: The primary cause of COPD and lung cancer is smoking. Thus, patients
with COPD frequently have lung cancer that often is inoperable. Stereotactic body
radiation therapy (SBRT) is anticipated to be the standard of care for inoperable
early stage non-small cell lung cancer. The most critical toxicity following SBRT
is radiation pneumonitis (RP). We analyzed predictive factors for RP following
SBRT and investigated the degree and occurrence of RP in patients with severe
COPD. METHODS: We retrospectively evaluated 265 lung tumors treated with SBRT
between 2005 and 2010 with a minimum follow-up of 6 months. Predictive factors
for RP, including GOLD (Global Initiative for Chronic Obstructive Lung Disease)
stage and pack-years smoked, were evaluated by univariate and multivariate
analyses. RP was graded according to the Common Terminology Criteria for Adverse
Events version 3.0 scale. RESULTS: Median follow-up was 19.2 months (range, 6.0
72.0 months). RP grades of 0, 1, 2, 3, 4, and 5 occurred in 101, 102, 49, 12, 0,
and one of these patients, respectively. Multivariate analysis revealed that high
normal lung volume receiving >= 20 Gy, fewer pack-years smoked, and high total
dose were significant predictive factors for RP >= grade 1, and high normal lung
volume receiving 20 Gy, fewer pack-years smoked, and a history of lung resection
were predictive for RP >= grade 2. RP in patients with more severe COPD was
relatively milder than in patients with normal lung function and with mild COPD.
Pack-year scales were significantly correlated with GOLD stage. CONCLUSIONS: RP
following SBRT in patients with severe COPD was relatively mild. Heavy smoking
was the strongest negative predictor for severe RP and was correlated with severe
COPD. Further follow-up and quantitative analysis of lung function might be
needed to ascertain longer tolerability to SBRT.
PMID- 21885727
TI - The burden of disease in pediatric non-cystic fibrosis bronchiectasis.
AB - BACKGROUND: The burden of disease in children with non-cystic fibrosis (non-CF)
bronchiectasis is unknown. Our study aimed to identify the determinants of
quality of life (QOL) and parental mental health in this group of patients and
their parents and to evaluate the effect of exacerbations on these parameters.
METHODS: Parents of 69 children (median age 7 years) with non-CF bronchiectasis
prospectively completed two questionnaires (parent-proxy cough-specific quality
of life [PC-QOL] and the Depression, Anxiety, and Stress Scale [DASS]) at stable
and exacerbation states. Data on clinical, investigational, and lung function
parameters were also collected. RESULTS: During the stable state, the median
interquartile range (IQR) PC-QOL score was 6.5 (5.3-6.9) and the DASS 21-item
questionnaire score was 6 (0-20). Being of a young age correlated with a worse
QOL (r(5) = 0.242, P = .04) but radiologic extent, lung function, underlying
cause, environmental tobacco smoke exposure, and chronic upper-airway disease did
not influence these scores. Exacerbations caused significant worsening in the PC
QOL scores (median [IQR], 4.6 [3.8-5.4]; P = .001) and DASS scores (median [IQR],
22 [9-42]; P < .001; 38% with elevated anxiety, 54% with abnormal
depression/stress scores during exacerbation). The presence of viral infection,
hypoxia, and hospitalization did not influence the exacerbation PC-QOL and DASS
scores. CONCLUSIONS: There is a significant burden of disease, especially during
exacerbation, on parents of children with bronchiectasis. Prevention, early
detection, and appropriate treatment of exacerbations are likely to reduce
psychologic morbidity in this group.
PMID- 21885728
TI - Validation of the pulmonary hypertension connection equation for survival
prediction in pulmonary arterial hypertension.
AB - OBJECTIVE: The pulmonary hypertension connection (PHC) equation predicts
contemporary survival in idiopathic, heritable, and anorexigen-associated
pulmonary arterial hypertension (PAH). The aim of this study is to validate the
PHC equation in a prospective PAH population cohort and compare its
predictability with the French equation. METHODS: We compared the rates of actual
survival in patients prospectively followed for up to 3.5 years in four double
blind, randomized trials and their open-label extension studies with predicted
survival calculated using the PHC equation [(P(t) = e((-A(x,y,z)t)), A(x,y,z) =
e((-1.270-0.0148x + 0.0402y - 0.361z)), where P(t) is the probability of
survival, t the time interval in years, x the mean pulmonary artery pressure, y
the mean right atrial pressure, and z the cardiac index] and the French equation
in patients with idiopathic, heritable, and anorexigen-associated PAH (n = 449).
RESULTS: Mean age was 44 +/- 15 years, 77% were women, and 80% had World Health
Organization (WHO) functional class III/IV symptoms. The mean 6-min walk distance
(6MWD) was 354 +/- 95 m. The baseline hemodynamics were as follows: mean right
atrial pressure 10 +/- 6 mm Hg, mean pulmonary artery pressure 59 +/- 15 mm Hg,
and cardiac output 4.1 +/- 1.5 L/min. The 1-, 2-, and 3-year Kaplan-Meier
survival rates were 89%, 80%, and 70%, respectively; the nonadjusted survival
rates were 91%, 87%, and 84%, respectively. The expected survival predicted by
both the PHC and the French equations was similar to the actual observed Kaplan
Meier survival and was within its 95% confidence limits. The PHC equation also
performed well when used in patients with WHO functional class III/IV, cardiac
output < 4 L/min, or 6MWD < 380 m. CONCLUSION: Risk prediction equations (PHC and
French) accurately predicted survival and may be useful for risk estimation in
patients with idiopathic, heritable, and anorexigen-associated PAH in large
cohort studies. Their use for survival prediction for individual patients needs
further study.
PMID- 21885729
TI - Optical differentiation between malignant and benign lymphadenopathy by grey
scale texture analysis of endobronchial ultrasound convex probe images.
AB - BACKGROUND: Morphologic and sonographic features of endobronchial ultrasound
(EBUS) convex probe images are helpful in predicting metastatic lymph nodes. Grey
scale texture analysis is a well-established methodology that has been applied to
ultrasound images in other fields of medicine. The aim of this study was to
determine if this methodology could differentiate between benign and malignant
lymphadenopathy of EBUS images. METHODS: Lymph nodes from digital images of EBUS
procedures were manually mapped to obtain a region of interest and were analyzed
in a prediction set. The regions of interest were analyzed for the following grey
scale texture features in MATLAB (version 7.8.0.347 [R2009a]): mean pixel value,
difference between maximal and minimal pixel value, SEM pixel value, entropy,
correlation, energy, and homogeneity. Significant grey scale texture features
were used to assess a validation set compared with fluoro-D-glucose (FDG)-PET-CT
scan findings where available. RESULTS: Fifty-two malignant nodes and 48 benign
nodes were in the prediction set. Malignant nodes had a greater difference in the
maximal and minimal pixel values, SEM pixel value, entropy, and correlation, and
a lower energy (P < .0001 for all values). Fifty-one lymph nodes were in the
validation set; 44 of 51 (86.3%) were classified correctly. Eighteen of these
lymph nodes also had FDG-PET-CT scan assessment, which correctly classified 14 of
18 nodes (77.8%), compared with grey scale texture analysis, which correctly
classified 16 of 18 nodes (88.9%). CONCLUSIONS: Grey scale texture analysis of
EBUS convex probe images can be used to differentiate malignant and benign
lymphadenopathy. Preliminary results are comparable to FDG-PET-CT scan.
PMID- 21885730
TI - ER tubules mark sites of mitochondrial division.
AB - Mitochondrial structure and distribution are regulated by division and fusion
events. Mitochondrial division is regulated by Dnm1/Drp1, a dynamin-related
protein that forms helices around mitochondria to mediate fission. Little is
known about what determines sites of mitochondrial fission within the
mitochondrial network. The endoplasmic reticulum (ER) and mitochondria exhibit
tightly coupled dynamics and have extensive contacts. We tested whether ER plays
a role in mitochondrial division. We found that mitochondrial division occurred
at positions where ER tubules contacted mitochondria and mediated constriction
before Drp1 recruitment. Thus, ER tubules may play an active role in defining the
position of mitochondrial division sites.
PMID- 21885731
TI - Linking long-term dietary patterns with gut microbial enterotypes.
AB - Diet strongly affects human health, partly by modulating gut microbiome
composition. We used diet inventories and 16S rDNA sequencing to characterize
fecal samples from 98 individuals. Fecal communities clustered into enterotypes
distinguished primarily by levels of Bacteroides and Prevotella. Enterotypes were
strongly associated with long-term diets, particularly protein and animal fat
(Bacteroides) versus carbohydrates (Prevotella). A controlled-feeding study of 10
subjects showed that microbiome composition changed detectably within 24 hours of
initiating a high-fat/low-fiber or low-fat/high-fiber diet, but that enterotype
identity remained stable during the 10-day study. Thus, alternative enterotype
states are associated with long-term diet.
PMID- 21885732
TI - Implementing the quantum von Neumann architecture with superconducting circuits.
AB - The von Neumann architecture for a classical computer comprises a central
processing unit and a memory holding instructions and data. We demonstrate a
quantum central processing unit that exchanges data with a quantum random-access
memory integrated on a chip, with instructions stored on a classical computer. We
test our quantum machine by executing codes that involve seven quantum elements:
Two superconducting qubits coupled through a quantum bus, two quantum memories,
and two zeroing registers. Two vital algorithms for quantum computing are
demonstrated, the quantum Fourier transform, with 66% process fidelity, and the
three-qubit Toffoli-class OR phase gate, with 98% phase fidelity. Our results, in
combination especially with longer qubit coherence, illustrate a potentially
viable approach to factoring numbers and implementing simple quantum error
correction codes.
PMID- 21885733
TI - Light propagation with phase discontinuities: generalized laws of reflection and
refraction.
AB - Conventional optical components rely on gradual phase shifts accumulated during
light propagation to shape light beams. New degrees of freedom are attained by
introducing abrupt phase changes over the scale of the wavelength. A two
dimensional array of optical resonators with spatially varying phase response and
subwavelength separation can imprint such phase discontinuities on propagating
light as it traverses the interface between two media. Anomalous reflection and
refraction phenomena are observed in this regime in optically thin arrays of
metallic antennas on silicon with a linear phase variation along the interface,
which are in excellent agreement with generalized laws derived from Fermat's
principle. Phase discontinuities provide great flexibility in the design of light
beams, as illustrated by the generation of optical vortices through use of planar
designer metallic interfaces.
PMID- 21885734
TI - Glutamatergic and dopaminergic neurons mediate anxiogenic and anxiolytic effects
of CRHR1.
AB - The corticotropin-releasing hormone receptor 1 (CRHR1) critically controls
behavioral adaptation to stress and is causally linked to emotional disorders.
Using neurochemical and genetic tools, we determined that CRHR1 is expressed in
forebrain glutamatergic and gamma-aminobutyric acid-containing (GABAergic)
neurons as well as in midbrain dopaminergic neurons. Via specific CRHR1 deletions
in glutamatergic, GABAergic, dopaminergic, and serotonergic cells, we found that
the lack of CRHR1 in forebrain glutamatergic circuits reduces anxiety and impairs
neurotransmission in the amygdala and hippocampus. Selective deletion of CRHR1 in
midbrain dopaminergic neurons increases anxiety-like behavior and reduces
dopamine release in the prefrontal cortex. These results define a bidirectional
model for the role of CRHR1 in anxiety and suggest that an imbalance between
CRHR1-controlled anxiogenic glutamatergic and anxiolytic dopaminergic systems
might lead to emotional disorders.
PMID- 21885735
TI - Universal digital quantum simulation with trapped ions.
AB - A digital quantum simulator is an envisioned quantum device that can be
programmed to efficiently simulate any other local system. We demonstrate and
investigate the digital approach to quantum simulation in a system of trapped
ions. With sequences of up to 100 gates and 6 qubits, the full time dynamics of a
range of spin systems are digitally simulated. Interactions beyond those
naturally present in our simulator are accurately reproduced, and quantitative
bounds are provided for the overall simulation quality. Our results demonstrate
the key principles of digital quantum simulation and provide evidence that the
level of control required for a full-scale device is within reach.
PMID- 21885736
TI - Functional specificity for high-level linguistic processing in the human brain.
AB - Neuroscientists have debated for centuries whether some regions of the human
brain are selectively engaged in specific high-level mental functions or whether,
instead, cognition is implemented in multifunctional brain regions. For the
critical case of language, conflicting answers arise from the neuropsychological
literature, which features striking dissociations between deficits in linguistic
and nonlinguistic abilities, vs. the neuroimaging literature, which has argued
for overlap between activations for linguistic and nonlinguistic processes,
including arithmetic, domain general abilities like cognitive control, and music.
Here, we use functional MRI to define classic language regions functionally in
each subject individually and then examine the response of these regions to the
nonlinguistic functions most commonly argued to engage these regions: arithmetic,
working memory, cognitive control, and music. We find little or no response in
language regions to these nonlinguistic functions. These data support a clear
distinction between language and other cognitive processes, resolving the prior
conflict between the neuropsychological and neuroimaging literatures.
PMID- 21885737
TI - "Eppur si muove" (Yet it moves).
PMID- 21885738
TI - Randomized approximate nearest neighbors algorithm.
AB - We present a randomized algorithm for the approximate nearest neighbor problem in
d-dimensional Euclidean space. Given N points {x(j)} in R(d), the algorithm
attempts to find k nearest neighbors for each of x(j), where k is a user
specified integer parameter. The algorithm is iterative, and its running time
requirements are proportional to T.N.(d.(log d) + k.(d + log k).(log N)) +
N.k(2).(d + log k), with T the number of iterations performed. The memory
requirements of the procedure are of the order N.(d + k). A by-product of the
scheme is a data structure, permitting a rapid search for the k nearest neighbors
among {x(j)} for an arbitrary point x ? R(d). The cost of each such query is
proportional to T.(d.(log d) + log(N/k).k.(d + log k)), and the memory
requirements for the requisite data structure are of the order N.(d + k) + T.(d +
N). The algorithm utilizes random rotations and a basic divide-and-conquer
scheme, followed by a local graph search. We analyze the scheme's behavior for
certain types of distributions of {x(j)} and illustrate its performance via
several numerical examples.
PMID- 21885740
TI - A grand challenge in biology.
PMID- 21885739
TI - Structure-based discovery of prescription drugs that interact with the
norepinephrine transporter, NET.
AB - The norepinephrine transporter (NET) transports norepinephrine from the synapse
into presynaptic neurons, where norepinephrine regulates signaling pathways
associated with cardiovascular effects and behavioral traits via binding to
various receptors (e.g., beta2-adrenergic receptor). NET is a known target for a
variety of prescription drugs, including antidepressants and psychostimulants,
and may mediate off-target effects of other prescription drugs. Here, we identify
prescription drugs that bind NET, using virtual ligand screening followed by
experimental validation of predicted ligands. We began by constructing a
comparative structural model of NET based on its alignment to the atomic
structure of a prokaryotic NET homolog, the leucine transporter LeuT. The modeled
binding site was validated by confirming that known NET ligands can be docked
favorably compared to nonbinding molecules. We then computationally screened
6,436 drugs from the Kyoto Encyclopedia of Genes and Genomes (KEGG DRUG) against
the NET model. Ten of the 18 high-scoring drugs tested experimentally were found
to be NET inhibitors; five of these were chemically novel ligands of NET. These
results may rationalize the efficacy of several sympathetic (tuaminoheptane) and
antidepressant (tranylcypromine) drugs, as well as side effects of diabetes
(phenformin) and Alzheimer's (talsaclidine) drugs. The observations highlight the
utility of virtual screening against a comparative model, even when the target
shares less than 30% sequence identity with its template structure and no known
ligands in the primary binding site.
PMID- 21885746
TI - Solar system dynamics. Mystery Pioneer anomaly is real but still a mystery.
PMID- 21885745
TI - Space. NASA to launch guidelines to protect lunar artifacts.
PMID- 21885747
TI - Extreme ecosystems. Biological dark matter exerts irresistible pull in Yunnan.
PMID- 21885748
TI - Ecology. China aims to turn tide against toxic lake pollution.
PMID- 21885749
TI - Human subjects research. Panel blasts ethics, science of 1940s Guatemala studies.
PMID- 21885750
TI - Neuroscience. Sweet here, salty there: evidence for a taste map in the mammalian
brain.
PMID- 21885752
TI - Biodefense: 10 years after. Reinventing Project BioShield.
PMID- 21885751
TI - Taking stock of the biodefense boom.
PMID- 21885754
TI - Biodefense: 10 years after. Helping Hollywood create and battle a pandemic.
Interview by Jon Cohen.
PMID- 21885755
TI - Education research: set a high bar.
PMID- 21885756
TI - Education research: call for controls.
PMID- 21885757
TI - Retraction.
PMID- 21885760
TI - Nuclear policy. The overlooked back end of the nuclear fuel cycle.
PMID- 21885758
TI - Comment on "Additive genetic breeding values correlate with the load of partially
deleterious mutations".
AB - Tomkins et al. (Reports, 14 May 2010, p. 892) reported a strong negative
correlation between breeding values and mutational load in cow-pea weevils. Here,
I show that this result can be attributed to a statistical artifact. By testing
the observed correlation against an incorrect null hypothesis, they find a
negative correlation where one does not exist.
PMID- 21885761
TI - Astronomy. Let there be dust.
PMID- 21885762
TI - Physics. Switching light by vacuum.
PMID- 21885763
TI - Molecular biology. Demystifying DNA demethylation.
PMID- 21885764
TI - Materials science. Through thick and thin.
PMID- 21885765
TI - Ecology. Food and biodiversity.
PMID- 21885766
TI - Retrospective. John Harmen Marburger III (1941-2011).
PMID- 21885767
TI - Synthetic biology. The allure of synthetic biology. Introduction.
PMID- 21885768
TI - The life hacker.
PMID- 21885769
TI - Algae's second try.
PMID- 21885770
TI - A lab of their own.
PMID- 21885771
TI - Visions of synthetic biology.
PMID- 21885772
TI - Synthetic biology: integrated gene circuits.
AB - A major goal of synthetic biology is to develop a deeper understanding of
biological design principles from the bottom up, by building circuits and
studying their behavior in cells. Investigators initially sought to design
circuits "from scratch" that functioned as independently as possible from the
underlying cellular system. More recently, researchers have begun to develop a
new generation of synthetic circuits that integrate more closely with endogenous
cellular processes. These approaches are providing fundamental insights into the
regulatory architecture, dynamics, and evolution of genetic circuits and enabling
new levels of control across diverse biological systems.
PMID- 21885773
TI - Synthetic biology moving into the clinic.
AB - Synthetic biology is an emerging field focused on engineering biomolecular
systems and cellular capabilities for a variety of applications. Substantial
progress began a little over a decade ago with the creation of synthetic gene
networks inspired by electrical engineering. Since then, the field has designed
and built increasingly complex circuits and constructs and begun to use these
systems in a variety of settings, including the clinic. These efforts include the
development of synthetic biology therapies for the treatment of infectious
diseases and cancer, as well as approaches in vaccine development, microbiome
engineering, cell therapy, and regenerative medicine. Here, we highlight advances
in the biomedical application of synthetic biology and discuss the field's
clinical potential.
PMID- 21885774
TI - Bottom-up synthetic biology: engineering in a tinkerer's world.
AB - How synthetic can "synthetic biology" be? A literal interpretation of the name of
this new life science discipline invokes expectations of the systematic
construction of biological systems with cells being built module by module--from
the bottom up. But can this possibly be achieved, taking into account the
enormous complexity and redundancy of living systems, which distinguish them
quite remarkably from design features that characterize human inventions? There
are several recent developments in biology, in tight conjunction with
quantitative disciplines, that may bring this literal perspective into the realm
of the possible. However, such bottom-up engineering requires tools that were
originally designed by nature's greatest tinkerer: evolution.
PMID- 21885775
TI - Synthetic biology: regulating industry uses of new biotechnologies.
AB - In our view, synthetic biology is an extension of the continuum of genetic
science that has been used safely for more than 40 years by the biotechnology
industry in the development of commercial products. Examples of synthetic biology
use by biotechnology companies illustrate the potential to substantially reduce
research and development time and to increase speed to market. Improvements in
the speed and cost of DNA synthesis are enabling scientists to design modified
bacterial chromosomes that can be used in the production of renewable chemicals,
biofuels, bioproducts, renewable specialty chemicals, pharmaceutical
intermediates, fine chemicals, food ingredients, and health care products.
Regulatory options should support innovation and commercial development of new
products while protecting the public from potential harms.
PMID- 21885777
TI - Femtoscale magnetically induced lattice distortions in multiferroic TbMnO3.
AB - Magneto-electric multiferroics exemplified by TbMnO(3) possess both magnetic and
ferroelectric long-range order. The magnetic order is mostly understood, whereas
the nature of the ferroelectricity has remained more elusive. Competing models
proposed to explain the ferroelectricity are associated respectively with charge
transfer and ionic displacements. Exploiting the magneto-electric coupling, we
used an electric field to produce a single magnetic domain state, and a magnetic
field to induce ionic displacements. Under these conditions, interference between
charge and magnetic x-ray scattering arose, encoding the amplitude and phase of
the displacements. When combined with a theoretical analysis, our data allow us
to resolve the ionic displacements at the femtoscale, and show that such
displacements make a substantial contribution to the zero-field ferroelectric
moment.
PMID- 21885776
TI - A gustotopic map of taste qualities in the mammalian brain.
AB - The taste system is one of our fundamental senses, responsible for detecting and
responding to sweet, bitter, umami, salty, and sour stimuli. In the tongue, the
five basic tastes are mediated by separate classes of taste receptor cells each
finely tuned to a single taste quality. We explored the logic of taste coding in
the brain by examining how sweet, bitter, umami, and salty qualities are
represented in the primary taste cortex of mice. We used in vivo two-photon
calcium imaging to demonstrate topographic segregation in the functional
architecture of the gustatory cortex. Each taste quality is represented in its
own separate cortical field, revealing the existence of a gustotopic map in the
brain. These results expose the basic logic for the central representation of
taste.
PMID- 21885778
TI - Imaging the microscopic structure of shear thinning and thickening colloidal
suspensions.
AB - The viscosity of colloidal suspensions varies with shear rate, an important
effect encountered in many natural and industrial processes. Although this non
Newtonian behavior is believed to arise from the arrangement of suspended
particles and their mutual interactions, microscopic particle dynamics are
difficult to measure. By combining fast confocal microscopy with simultaneous
force measurements, we systematically investigate a suspension's structure as it
transitions through regimes of different flow signatures. Our measurements of the
microscopic single-particle dynamics show that shear thinning results from the
decreased relative contribution of entropic forces and that shear thickening
arises from particle clustering induced by hydrodynamic lubrication forces. This
combination of techniques illustrates an approach that complements current
methods for determining the microscopic origins of non-Newtonian flow behavior in
complex fluids.
PMID- 21885779
TI - Traffic jams reduce hydrolytic efficiency of cellulase on cellulose surface.
AB - A deeper mechanistic understanding of the saccharification of cellulosic biomass
could enhance the efficiency of biofuels development. We report here the real
time visualization of crystalline cellulose degradation by individual cellulase
enzymes through use of an advanced version of high-speed atomic force microscopy.
Trichoderma reesei cellobiohydrolase I (TrCel7A) molecules were observed to slide
unidirectionally along the crystalline cellulose surface but at one point
exhibited collective halting analogous to a traffic jam. Changing the crystalline
polymorphic form of cellulose by means of an ammonia treatment increased the
apparent number of accessible lanes on the crystalline surface and consequently
the number of moving cellulase molecules. Treatment of this bulky crystalline
cellulose simultaneously or separately with T. reesei cellobiohydrolase II
(TrCel6A) resulted in a remarkable increase in the proportion of mobile enzyme
molecules on the surface. Cellulose was completely degraded by the synergistic
action between the two enzymes.
PMID- 21885780
TI - Out of Tibet: Pliocene woolly rhino suggests high-plateau origin of Ice Age
megaherbivores.
AB - Ice Age megafauna have long been known to be associated with global cooling
during the Pleistocene, and their adaptations to cold environments, such as large
body size, long hair, and snow-sweeping structures, are best exemplified by the
woolly mammoths and woolly rhinos. These traits were assumed to have evolved as a
response to the ice sheet expansion. We report a new Pliocene mammal assemblage
from a high-altitude basin in the western Himalayas, including a primitive woolly
rhino. These new Tibetan fossils suggest that some megaherbivores first evolved
in Tibet before the beginning of the Ice Age. The cold winters in high Tibet
served as a habituation ground for the megaherbivores, which became preadapted
for the Ice Age, successfully expanding to the Eurasian mammoth steppe.
PMID- 21885781
TI - Reconciling food production and biodiversity conservation: land sharing and land
sparing compared.
AB - The question of how to meet rising food demand at the least cost to biodiversity
requires the evaluation of two contrasting alternatives: land sharing, which
integrates both objectives on the same land; and land sparing, in which high
yield farming is combined with protecting natural habitats from conversion to
agriculture. To test these alternatives, we compared crop yields and densities of
bird and tree species across gradients of agricultural intensity in southwest
Ghana and northern India. More species were negatively affected by agriculture
than benefited from it, particularly among species with small global ranges. For
both taxa in both countries, land sparing is a more promising strategy for
minimizing negative impacts of food production, at both current and anticipated
future levels of production.
PMID- 21885782
TI - Chemical and genetic engineering of selective ion channel-ligand interactions.
AB - Ionic flux mediates essential physiological and behavioral functions in defined
cell populations. Cell type-specific activators of diverse ionic conductances are
needed for probing these effects. We combined chemistry and protein engineering
to enable the systematic creation of a toolbox of ligand-gated ion channels
(LGICs) with orthogonal pharmacologic selectivity and divergent functional
properties. The LGICs and their small-molecule effectors were able to activate a
range of ionic conductances in genetically specified cell types. LGICs
constructed for neuronal perturbation could be used to selectively manipulate
neuron activity in mammalian brains in vivo. The diversity of ion channel tools
accessible from this approach will be useful for examining the relationship
between neuronal activity and animal behavior, as well as for cell biological and
physiological applications requiring chemical control of ion conductance.
PMID- 21885784
TI - Multi-input RNAi-based logic circuit for identification of specific cancer cells.
AB - Engineered biological systems that integrate multi-input sensing, sophisticated
information processing, and precisely regulated actuation in living cells could
be useful in a variety of applications. For example, anticancer therapies could
be engineered to detect and respond to complex cellular conditions in individual
cells with high specificity. Here, we show a scalable
transcriptional/posttranscriptional synthetic regulatory circuit--a cell-type
"classifier"--that senses expression levels of a customizable set of endogenous
microRNAs and triggers a cellular response only if the expression levels match a
predetermined profile of interest. We demonstrate that a HeLa cancer cell
classifier selectively identifies HeLa cells and triggers apoptosis without
affecting non-HeLa cell types. This approach also provides a general platform for
programmed responses to other complex cell states.
PMID- 21885785
TI - Epigenetic licensing of germline gene expression by maternal RNA in C. elegans.
AB - RNA can act as a regulator of gene expression with roles in transposon silencing,
antiviral defense, and cell fate determination. Here, we show that in
Caenorhabditis elegans a maternal transcript of the sex-determining gene fem-1 is
required to license expression of a wild-type fem-1 allele in the zygotic germ
line. Females homozygous for fem-1 deletions produce heterozygous offspring
exhibiting germline feminization, reduced fem-1 activity, and transcript
accumulation. Injection of fem-1 RNA incapable of encoding a protein into the
maternal germ line rescues this defect in the progeny. The defect in zygotic fem
1 expression is heritable, suggesting that the gene is subject to epigenetic
silencing that is prevented by maternal fem-1 transcripts. This mechanism may
contribute to protecting the identity and integrity of the germ line.
PMID- 21885786
TI - Entrainment of a population of synthetic genetic oscillators.
AB - Biological clocks are self-sustained oscillators that adjust their phase to the
daily environmental cycles in a process known as entrainment. Molecular
dissection and mathematical modeling of biological oscillators have progressed
quite far, but quantitative insights on the entrainment of clocks are relatively
sparse. We simultaneously tracked the phases of hundreds of synthetic genetic
oscillators relative to a common external stimulus to map the entrainment regions
predicted by a detailed model of the clock. Synthetic oscillators were frequency
locked in wide intervals of the external period and showed higher-order
resonance. Computational simulations indicated that natural oscillators may
contain a positive-feedback loop to robustly adapt to environmental cycles.
PMID- 21885783
TI - Potential for chemolithoautotrophy among ubiquitous bacteria lineages in the dark
ocean.
AB - Recent studies suggest that unidentified prokaryotes fix inorganic carbon at
globally significant rates in the immense dark ocean. Using single-cell sorting
and whole-genome amplification of prokaryotes from two subtropical gyres, we
obtained genomic DNA from 738 cells representing most cosmopolitan lineages.
Multiple cells of Deltaproteobacteria cluster SAR324, Gammaproteobacteria
clusters ARCTIC96BD-19 and Agg47, and some Oceanospirillales from the lower
mesopelagic contained ribulose-1,5-bisphosphate carboxylase-oxygenase and sulfur
oxidation genes. These results corroborated community DNA and RNA profiling from
diverse geographic regions. The SAR324 genomes also suggested C(1) metabolism and
a particle-associated life-style. Microautoradiography and fluorescence in situ
hybridization confirmed bicarbonate uptake and particle association of SAR324
cells. Our study suggests potential chemolithoautotrophy in several uncultured
Proteobacteria lineages that are ubiquitous in the dark oxygenated ocean and
provides new perspective on carbon cycling in the ocean's largest habitat.
PMID- 21885787
TI - Serum bicarbonate and mortality in stage 3 and stage 4 chronic kidney disease.
AB - BACKGROUND AND OBJECTIVES: The incidence and prevalence of metabolic acidosis
increase with declining kidney function. We studied the associations of both low
and high serum bicarbonate levels with all-cause mortality among stage 3 and 4
chronic kidney disease (CKD) patients. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: We examined factors associated with low (<23 mmol/L) and high (>32
mmol/L) serum bicarbonate levels using logistic regression models and
associations between bicarbonate and all-cause mortality using Cox-proportional
hazard models, Kaplan-Meier survival curves, and time-dependent analysis.
RESULTS: Out of 41,749 patients, 13.9% (n = 5796) had low and 1.6% (n = 652) had
high serum bicarbonate levels. After adjusting for relevant covariates, there was
a significant association between low serum bicarbonate and all-cause mortality
(hazard ratio [HR] 1.23, 95% CI 1.16, 1.31). This association was not
statistically significant among patients with stage 4 CKD and diabetes. The time
dependent analysis demonstrated a significant mortality risk associated with a
decline from normal to low bicarbonate level (HR 1.59, 95% CI 1.49, 1.69). High
serum bicarbonate levels were associated with death irrespective of the level of
kidney function (HR 1.74, 95% CI 1.52, 2.00). When serum bicarbonate was examined
as a continuous variable, a J-shaped relationship was noted between serum
bicarbonate and mortality. CONCLUSIONS: Low serum bicarbonate levels are
associated with increased mortality among stage 3 CKD patients and patients
without diabetes. High serum bicarbonate levels are associated with mortality in
both stage 3 and stage 4 CKD patients.
PMID- 21885788
TI - Hemodialysis treatment time: a fresh perspective.
AB - Historical, clinical, economic, and technological developments have driven a
shift in clinical practice from predominantly 6-hour-long hemodialysis treatments
to much shorter treatment times that are prevalent today. Patients, physicians,
and providers had considered shortening dialysis treatments as a means to
decrease the overall burden imposed by this necessary life-saving therapy.
However, shorter dialysis is not a panacea and may engender trade-offs in terms
of patient morbidity and mortality. We examine the literature with the benefit of
hindsight and in light of recent studies that have improved understanding of the
complex relationship between dialysis dose and outcome. We touch upon the role of
dialysis frequency relative to treatment time. We conclude with the suggestion
that a new treatment paradigm should consider a minimum adequate dialysis
treatment time of 4 hours for the majority of patients, with anything shorter
becoming the exception rather than the rule.
PMID- 21885789
TI - Urinary hepcidin-25 and risk of acute kidney injury following cardiopulmonary
bypass.
AB - BACKGROUND AND OBJECTIVES: Acute kidney injury (AKI) complicating cardiopulmonary
bypass (CPB) results in increased morbidity and mortality. Urinary hepcidin-25
has been shown to be elevated in patients who do not develop AKI after CPB using
semiquantitative mass spectrometry (SELDI TOF-MS). The goals of this study were
to quantitatively validate these findings with ELISA and evaluate the diagnostic
performance of hepcidin-25 for AKI. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: A nested, case-control analysis of urinary hepcidin-25 in AKI (n =
22) and non-AKI (n = 22) patients was conducted to validate the SELDI TOF-MS data
at the following times: preoperatively; the start of CPB; 1 hour on CPB; on
arrival to the intensive care unit; and postoperative days (POD) 1 and 3 to 5.
The diagnostic performance of hepcidin-25 was then evaluated in the entire
prospective observational cohort (n = 338) at POD 1. AKI was defined as Cr >50%
from baseline, within 72 hours postoperatively. RESULTS: Urinary hepcidin-25/Cr
ratio was significantly elevated in all patients at POD 1 compared with baseline
(P < 0.0005) and was also significantly elevated in non-AKI versus AKI patients
at POD 1 (P < 0.0005). Increased log(10) hepcidin-25/Cr ratio was strongly
associated with avoidance of AKI on univariate analysis. On multivariate
analysis, the log(10) hepcidin-25/Cr ratio (P < 0.0001) was associated with
avoidance of AKI with an area under the curve of 0.80, sensitivity 0.68,
specificity 0.68, and negative predictive value 0.96. CONCLUSIONS: Elevated
urinary hepcidin-25 on POD 1 is a strong predictor of avoidance of AKI beyond
postoperative day 1.
PMID- 21885790
TI - Skin autofluorescence and the association with renal and cardiovascular risk
factors in chronic kidney disease stage 3.
AB - BACKGROUND AND OBJECTIVES: Tissue advanced glycation end products (AGE)
accumulation is a measure of cumulative metabolic stress. Assessment of tissue
AGE by skin autofluorescence (SAF) correlates well with cardiovascular (CV)
outcomes in diabetic, transplant, and dialysis patients, and may be a useful
marker of CV risk in earlier stages of chronic kidney disease (CKD). DESIGN,
SETTING, PARTICIPANTS, & MEASUREMENTS: 1707 patients with estimated GFR 59 to 30
ml/min per 1.73 m(2) were recruited from primary care practices for the Renal
Risk In Derby (RRID) study. Detailed medical history was obtained, and each
participant underwent clinical assessment as well as urine and serum biochemistry
tests. SAF was assessed (mean of three readings) as a measure of skin AGE
deposition using a cutaneous AF device (AGE ReaderTM, DiagnOptics, Groningen, The
Netherlands). RESULTS: Univariate analysis revealed significant correlations
between AF readings and several potential risk factors for cardiovascular disease
(CVD) and progression of CKD. SAF readings (arbitrary units) were also
significantly higher among males (2.8 +/- 0.7 versus 2.7 +/- 0.6), diabetics (3.0
+/- 0.7 versus 2.7 +/- 0.6), patients with evidence of self-reported CVD (2.9 +/-
0.7 versus 2.7 +/- 0.6), and those with no formal educational qualifications (2.8
+/- 0.6 versus 2.6 +/- 0.6; P < 0.01 for all). Multivariable linear regression
analysis identified hemoglobin, diabetes, age, and eGFR as the most significant
independent determinants of higher SAF (standardized coefficients -0.16, 0.13,
0.12, and -0.10, respectively; R(2) = 0.17 for equation). CONCLUSION: Increased
SAF is independently associated with multiple CV and renal risk factors in CKD 3.
Long-term follow-up will assess the value of SAF as a predictor of CV and renal
risk in this population.
PMID- 21885791
TI - The use of the Oxford classification of IgA nephropathy to predict renal
survival.
AB - BACKGROUND AND OBJECTIVES: A new classification for IgA nephropathy was recently
proposed, namely the Oxford classification. It established specific pathologic
features that predict the risk of progression of renal disease. This
classification needs validation in different patient populations. We propose a
retrospective study to evaluate the predictive value of the Oxford classification
on renal survival defined by doubling creatinine or end-stage renal disease in
patients with IgA nephropathy. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: We
included 183 patients with primary IgA nephropathy diagnosed between 1994 and
2005. Mean follow-up time was 77 months. Doubling creatinine occurred in 20% of
the patients, and end-stage renal disease occurred in 16%. The biopsies were
revisited to apply the Oxford classification. The influence of pathologic
features on renal survival was analyzed in univariate and multivariate models.
RESULTS: In univariate time-dependent analyses, tubular atrophy/interstitial
fibrosis, segmental glomerulosclerosis, and endocapillary hypercellularity
strongly impacted doubling creatinine or end-stage renal disease. On the
contrary, mesangial hypercellularity was not associated with renal outcome. In
the multivariate model, only estimated GFR at baseline was a risk factor,
pathologic lesions having no independent influence. CONCLUSIONS: We confirm the
usefulness of the Oxford classification to establish the renal prognosis of
patients with IgA nephropathy, although renal function at baseline seems to be of
a greater importance than pathologic lesions.
PMID- 21885792
TI - Urinary calprotectin and the distinction between prerenal and intrinsic acute
kidney injury.
AB - BACKGROUND AND OBJECTIVES: To date there is no reliable marker for the
differentiation of prerenal and intrinsic acute kidney injury (AKI). We
investigated whether urinary calprotectin, a mediator protein of the innate
immune system, may serve as a diagnostic marker in AKI. DESIGN, SETTING,
PARTICIPANTS, & MEASUREMENTS: This was a cross-sectional study with 101 subjects
including 86 patients with AKI (34 prerenal, 52 intrinsic including 23 patients
with urinary tract infection) and 15 healthy controls. Assessment of urinary
calprotectin concentration was by ELISA and immunohistochemistry of kidney biopsy
specimens using a calprotectin antibody. Inclusion criteria were: admission to
hospital for AKI stage 1 to 3 (Acute Kidney Injury Network); exclusion criteria
were: prior renal transplantation and obstructive uropathy. RESULTS: Median
urinary calprotectin was 60.7 times higher in intrinsic AKI (1692 ng/ml) than in
prerenal AKI (28 ng/ml, p <0.01). Urinary calprotectin in prerenal disease was
not significantly different from healthy controls (45 ng/ml, p = 0.25). Receiver
operating curve curve analysis revealed a high accuracy of calprotectin (area
under the curve, 0.97) in predicting intrinsic AKI. A cutoff level of 300 ng/ml
provided a sensitivity of 92.3% and a specificity of 97.1%. Calculating urinary
calprotectin/creatinine ratios did not lead to a further increase of accuracy.
Immunostainings of kidney biopsies were positive for calprotectin in intrinsic
AKI and negative in prerenal AKI. CONCLUSIONS: Accuracy of urinary calprotectin
in the differential diagnosis of AKI is high. Whereas calprotectin levels in
prerenal disease are comparable with healthy controls, intrinsic AKI leads to
highly increased calprotectin concentrations.
PMID- 21885793
TI - Urine volume and change in estimated GFR in a community-based cohort study.
AB - BACKGROUND AND OBJECTIVES: The effect of increased fluid intake on kidney
function is unclear. This study evaluates the relationship between urine volume
and renal decline over 6 years in a large community-based cohort. DESIGN,
SETTING, PARTICIPANTS, & MEASUREMENTS: This prospective cohort study was
undertaken in Canada from 2002 to 2008. We obtained 24-hour urine samples from
adult participants with an estimated GFR (eGFR) >=60 ml/min per 1.73 m(2) at
study entry. Percentage annual change in eGFR from baseline was categorized as
average decline <1% per year, between 1% and 4.9% (mild-to-moderate decline) or
>=5% (rapid decline). RESULTS: 2148 participants provided valid 24-hour urine
samples, grouped as <1 L/d (14.5%); 1 to 1.9 L/d (51.5%); 2 to 2.9 L/d (26.3%);
and >=3 L/d (7.7%). Baseline eGFR for each category of urine volume was 90, 88,
84, and 87 ml/min per 1.73 m(2), respectively. Overall, eGFR declined by 1% per
year, with 10% demonstrating rapid decline and 40% demonstrating mild-to-moderate
decline. An inverse, graded relationship was evident between urine volume and
eGFR decline: For each increasing category of 24-hour urine volume, percentage
annual eGFR decline was progressively slower, from 1.3%, 1.0%, 0.8%, to 0.5%,
respectively; P = 0.02. Compared with those with urine volume 1 to 1.9 L/d, those
with urine volume >=3 L/d were significantly less likely to demonstrate mild-to
moderate decline (adjusted odds ratio 0.66; 95% confidence interval 0.46 to 0.94)
or rapid decline (adjusted odds ratio 0.46; 95% confidence interval 0.23 to
0.92); adjusted for age, gender, baseline eGFR, medication use for hypertension
(including diuretics), proteinuria, diabetes, and cardiovascular disease.
CONCLUSIONS: In this community-based cohort, decline in kidney function was
significantly slower in those with higher versus lower urine volume.
PMID- 21885794
TI - Cigarette smoking and the association with glomerular hyperfiltration and
proteinuria in healthy middle-aged men.
AB - BACKGROUND AND OBJECTIVES: Glomerular hyperfiltration and albuminuria accompanied
by early-stage diabetic kidney disease predict future renal failure. Cigarette
smoking has reported to be associated with elevated GFR in cross-sectional
studies and with renal deterioration in longitudinal studies. The degree of
glomerular hyperfiltration and proteinuria associated with smoking, which
presumably is a phenomenon of early renal damage, has not been investigated in a
satisfying manner so far. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: This
study included 10,118 Japanese men aged 40 to 55 years without proteinuria or
renal dysfunction at entry. Estimated GFR was calculated using the Modification
of Diet in Renal Disease equation for Japanese. Glomerular hyperfiltration was
defined as estimated GFR >=117.0 ml/min per 1.73 m(2), which was the upper 2.5th
percentile value of estimated GFR in the total population. Proteinuria was
detected using standard dipstick. RESULTS: During the 6-year observation period,
there were 449 incident cases of glomerular hyperfiltration and 1653 cases of
proteinuria. Current smokers had a 1.32-time higher risk for the development of
glomerular hyperfiltration and a 1.51-time higher risk for proteinuria than
nonsmokers after adjustment for baseline age, body mass index, systolic and
diastolic BP, antihypertensive medication, diabetes, alcohol consumption, regular
leisure-time physical activity, and estimated GFR. Both daily and cumulative
cigarette consumption were associated with an increased risk for glomerular
hyperfiltration and proteinuria in a dose-response manner. CONCLUSIONS: In middle
aged Japanese men, smoking was associated with an increased risk of glomerular
hyperfiltration and dipstick proteinuria. Of importance, past smokers did not
exhibit any increased risk for these conditions.
PMID- 21885795
TI - ASN clinicopathologic conference.
PMID- 21885796
TI - Ascorbic acid or L-arginine improves cutaneous microvascular function in chronic
kidney disease.
AB - We sought to determine whether oxidative stress or a relative deficit of l
arginine plays a role in reducing cutaneous vasodilation in response to local
heating in chronic kidney disease (CKD). Eight patients with stage 3-4 CKD and
eight age- and sex-matched healthy control (HC) subjects were instrumented with
four microdialysis (MD) fibers for the local delivery of 1) Ringers solution (R),
2) 20 mM ascorbic acid (AA), 3) 10 mM l-arginine (l-Arg), and 4) 10 mM N(G)-nitro
l-arginine methyl ester (l-NAME). Red blood cell (RBC) flux was measured via
laser Doppler flowmetry. A standardized nonpainful local heating protocol (42
degrees C) was used. Cutaneous vascular conductance (CVC) was calculated as RBC
flux/MAP and all data were expressed as a percentage of the maximum CVC at each
site (28 mM sodium nitroprusside, T(loc) = 43 degrees C). The plateau %CVC(max)
was attenuated in CKD (CKD: 76 +/- 4 vs. HC: 91 +/- 2%CVC(max); P < 0.05) and the
NO contribution to the plateau was lower in CKD (CKD: 39 +/- 7, HC: 54 +/- 5; P <
0.05). The plateau %CVC(max) in the CKD group was significantly greater at the AA
and l-Arg sites compared with R (AA: 89 +/- 2; l-Arg: 90 +/- 1; R: 76 +/- 4; P <
0.05) and did not differ from HC. Initial peak %CVC(max) was also significantly
attenuated at the R and l-Arg sites in CKD (P < 0.05) but did not differ at the
AA site. These results suggest that cutaneous microvascular function is impaired
in stage 3-4 CKD and that oxidative stress and a deficit of l-arginine play a
role in this impairment.
PMID- 21885797
TI - Sensorimotor function of the upper-airway muscles and respiratory sensory
processing in untreated obstructive sleep apnea.
AB - Numerous studies have demonstrated upper-airway neuromuscular abnormalities
during wakefulness in snorers and obstructive sleep apnea (OSA) patients.
However, the functional role of sensorimotor impairment in OSA
pathogenesis/disease progression and its potential effects on protective upper
airway reflexes, measures of respiratory sensory processing, and force
characteristics remain unclear. This study aimed to gain physiological insight
into the potential role of sensorimotor impairment in OSA pathogenesis/disease
progression by comparing sensory processing properties (respiratory-related
evoked potentials; RREP), functionally important protective reflexes
(genioglossus and tensor palatini) across a range of negative pressures (brief
pulses and entrained iron lung ventilation), and tongue force and time to task
failure characteristics between 12 untreated OSA patients and 13 controls. We
hypothesized that abnormalities in these measures would be present in OSA
patients. Upper-airway reflexes (e.g., genioglossus onset latency, 20 +/- 1 vs.
19 +/- 2 ms, P = 0.82), early RREP components (e.g., P1 latency 25 +/- 2 vs. 25
+/- 1 ms, P = 0.78), and the slope of epiglottic pressure vs. genioglossus
activity during iron lung ventilation (-0.68 +/- 1.0 vs. -0.80 +/- 2.0
cmH(2)O/%max, P = 0.59) were not different between patients and controls. Maximal
tongue protrusion force was greater in OSA patients vs. controls (35 +/- 2 vs. 27
+/- 2 N, P < 0.01), but task failure occurred more rapidly (149 +/- 24 vs. 254 +/
23 s, P < 0.01). Upper-airway protective reflexes across a range of negative
pressures as measured by electromyography and the early P1 component of the RREP
are preserved in OSA patients during wakefulness. Consistent with an adaptive
training effect, tongue protrusion force is increased, not decreased, in
untreated OSA patients. However, OSA patients may be vulnerable to fatigue of
upper-airway dilator muscles, which could contribute to disease progression.
PMID- 21885798
TI - Taking a HIT for the heart: why training intensity matters.
PMID- 21885799
TI - Temporal pattern of left ventricular structural and functional remodeling
following reversal of volume overload heart failure.
AB - Current surgical management of volume overload-induced heart failure (HF) leads
to variable recovery of left ventricular (LV) function despite a return of LV
geometry. The mechanisms that prevent restoration of function are unknown but may
be related to the timing of intervention and the degree of LV contractile
impairment. This study determined whether reduction of aortocaval fistula (ACF)
induced LV volume overload during the compensatory stage of HF results in
beneficial LV structural remodeling and restoration of pump function. Rats were
subjected to ACF for 4 wk; a subset then received a load-reversal procedure by
closing the shunt using a custom-made stent graft approach. Echocardiography or
in vivo pressure-volume analysis was used to assess LV morphology and function in
sham rats; rats subjected to 4-, 8-, or 15-wk ACF; and rats subjected to 4-wk ACF
followed by 4- or 11-wk reversal. Structural and functional changes were
correlated to LV collagen content, extracellular matrix (ECM) proteins, and
hypertrophic markers. ACF-induced volume overload led to progressive LV chamber
dilation and contractile dysfunction. Rats subjected to short-term reversal (4-wk
ACF + 4-wk reversal) exhibited improved chamber dimensions (LV diastolic
dimension) and LV compliance that were associated with ECM remodeling and
normalization of atrial and brain natriuretic peptides. Load-independent
parameters indicated LV systolic (preload recruitable stroke work, Ees) and
diastolic dysfunction (tau, arterial elastance). These changes were associated
with an altered alpha/beta-myosin heavy chain ratio. However, these changes were
normalized to sham levels in long-term reversal rats (4-wk ACF + 11-wk reversal).
Acute hemodynamic changes following ACF reversal improve LV geometry, but LV
dysfunction persists. Gradual restoration of function was related to
normalization of eccentric hypertrophy, LV wall stress, and ECM remodeling. These
results suggest that mild to moderate LV systolic dysfunction may be an important
indicator of the ability of the myocardium to remodel following the reversal of
hemodynamic overload.
PMID- 21885801
TI - NADPH oxidase: short-term foe, long-term friend.
PMID- 21885802
TI - Evaluation of artificial neural network algorithms for predicting METs and
activity type from accelerometer data: validation on an independent sample.
AB - Previous work from our laboratory provided a "proof of concept" for use of
artificial neural networks (nnets) to estimate metabolic equivalents (METs) and
identify activity type from accelerometer data (Staudenmayer J, Pober D, Crouter
S, Bassett D, Freedson P, J Appl Physiol 107: 1330-1307, 2009). The purpose of
this study was to develop new nnets based on a larger, more diverse, training
data set and apply these nnet prediction models to an independent sample to
evaluate the robustness and flexibility of this machine-learning modeling
technique. The nnet training data set (University of Massachusetts) included 277
participants who each completed 11 activities. The independent validation sample
(n = 65) (University of Tennessee) completed one of three activity routines.
Criterion measures were 1) measured METs assessed using open-circuit indirect
calorimetry; and 2) observed activity to identify activity type. The nnet input
variables included five accelerometer count distribution features and the lag-1
autocorrelation. The bias and root mean square errors for the nnet MET trained on
University of Massachusetts and applied to University of Tennessee were +0.32 and
1.90 METs, respectively. Seventy-seven percent of the activities were correctly
classified as sedentary/light, moderate, or vigorous intensity. For activity
type, household and locomotion activities were correctly classified by the nnet
activity type 98.1 and 89.5% of the time, respectively, and sport was correctly
classified 23.7% of the time. Use of this machine-learning technique operates
reasonably well when applied to an independent sample. We propose the creation of
an open-access activity dictionary, including accelerometer data from a broad
array of activities, leading to further improvements in prediction accuracy for
METs, activity intensity, and activity type.
PMID- 21885800
TI - Local control of skeletal muscle blood flow during exercise: influence of
available oxygen.
AB - Reductions in oxygen availability (O(2)) by either reduced arterial O(2) content
or reduced perfusion pressure can have profound influences on the circulation,
including vasodilation in skeletal muscle vascular beds. The purpose of this
review is to put into context the present evidence regarding mechanisms
responsible for the local control of blood flow during acute systemic hypoxia
and/or local hypoperfusion in contracting muscle. The combination of submaximal
exercise and hypoxia produces a "compensatory" vasodilation and augmented blood
flow in contracting muscles relative to the same level of exercise under normoxic
conditions. A similar compensatory vasodilation is observed in response to local
reductions in oxygen availability (i.e., hypoperfusion) during normoxic exercise.
Available evidence suggests that nitric oxide (NO) contributes to the
compensatory dilator response under each of these conditions, whereas adenosine
appears to only play a role during hypoperfusion. During systemic hypoxia the NO
mediated component of the compensatory vasodilation is regulated through a beta
adrenergic receptor mechanism at low-intensity exercise, while an additional (not
yet identified) source of NO is likely to be engaged as exercise intensity
increases during hypoxia. Potential candidates for stimulating and/or interacting
with NO at higher exercise intensities include prostaglandins and/or ATP.
Conversely, prostaglandins do not appear to play a role in the compensatory
vasodilation during exercise with hypoperfusion. Taken together, the data for
both hypoxia and hypoperfusion suggest NO is important in the compensatory
vasodilation seen when oxygen availability is limited. This is important from a
basic biological perspective and also has pathophysiological implications for
diseases associated with either hypoxia or hypoperfusion.
PMID- 21885803
TI - Force control of quadriceps muscle is bilaterally impaired in subacute stroke.
AB - We tested the hypothesis that force variability and error during maintenance of
submaximal isometric knee extension are greater in subacute stroke patients than
in controls and are related to motor impairments. Contralesional (more-affected)
and ipsilesional (less-affected) legs of 33 stroke patients with sufficiently
high motor abilities (62 +/- 13 yr, 16 +/- 2 days postinjury) and the dominant
leg of 20 controls (62 +/- 10 yr) were tested in sitting position. After peak
knee extension torque [maximum voluntary contraction (MVC)] was established,
subjects maintained 10, 20, 30, and 50% of MVC as steady and accurate as possible
for 10 s by matching voluntary force to the target level displayed on a monitor.
Coefficient of variation (CV) and root-mean-square error (RMSE) were used to
quantify force variability and error, respectively. The MVC was significantly
smaller in the more-affected than less-affected leg, and both were significantly
lower than in controls. The CV was significantly larger in the more-affected than
less-affected leg at 20 and 50% MVC, whereas both were significantly larger
compared with controls across all force levels. Both more-affected and less
affected legs of patients showed significantly greater RMSE than controls at 30
and 50% MVC. The CV and RMSE were not related to the Fugl-Meyer motor score or to
the Rivermead Mobility Index. The CV negatively correlated with MVC in controls
but only in the less-affected leg of patients. It is concluded that isometric
knee extension strength and force control are bilaterally impaired soon after
stroke but more so in the more-affected leg. Future studies should examine
possible mechanisms and the evolution of these changes.
PMID- 21885804
TI - In ovo administration of rhIGF-1 to duck eggs affects the expression of myogenic
transcription factors and muscle mass during late embryo development.
AB - In ovo administration of IGF-1 to poultry eggs has effective roles on post
hatching muscle development. However, the secondary muscle development stages at
the late embryo development stage are important for muscle fiber formation and
differentiation. To investigate the roles of in ovo administration of IGF-1 on
duck secondary muscle development, we injected rhIGF-1 into duck eggs in hatching
at day 12. After administration on days 18, 21, 24, and 27 in hatching (E18d,
E21d, E24d, and E27d, respectively), muscle samples were isolated, and the muscle
tissue weight, muscle fiber parameters, and myoblast proliferation rate in leg
and breast muscle were analyzed. Additionally, the expression levels of the
transcription factors MyoG and MRF4 were detected using qPCR. Results show that
embryo body weight and muscle fiber parameters, including muscle fiber diameter
(MFD) and the number of myofibers per unit area, are upregulated in IGF-1-treated
groups. Moreover, the transcription factors MyoG and MRF4 are expressed at higher
levels in the experimental groups compared with the control groups. These results
suggest that in ovo administration of IGF-1 to poultry eggs can mediate the
expression of MyoG and MRF4, induce myoblast proliferation, and finally influence
muscle development during the secondary muscle development stages.
PMID- 21885805
TI - Determinants of time trial performance and maximal incremental exercise in highly
trained endurance athletes.
AB - Human endurance performance can be predicted from maximal oxygen consumption
(Vo(2max)), lactate threshold, and exercise efficiency. These physiological
parameters, however, are not wholly exclusive from one another, and their
interplay is complex. Accordingly, we sought to identify more specific
measurements explaining the range of performance among athletes. Out of 150
separate variables we identified 10 principal factors responsible for
hematological, cardiovascular, respiratory, musculoskeletal, and neurological
variation in 16 highly trained cyclists. These principal factors were then
correlated with a 26-km time trial and test of maximal incremental power output.
Average power output during the 26-km time trial was attributed to, in order of
importance, oxidative phosphorylation capacity of the vastus lateralis muscle (P
= 0.0005), steady-state submaximal blood lactate concentrations (P = 0.0017), and
maximal leg oxygenation (sO(2LEG)) (P = 0.0295), accounting for 78% of the
variation in time trial performance. Variability in maximal power output, on the
other hand, was attributed to total body hemoglobin mass (Hb(mass); P = 0.0038),
Vo(2max) (P = 0.0213), and sO(2LEG) (P = 0.0463). In conclusion, 1) skeletal
muscle oxidative capacity is the primary predictor of time trial performance in
highly trained cyclists; 2) the strongest predictor for maximal incremental power
output is Hb(mass); and 3) overall exercise performance (time trial performance +
maximal incremental power output) correlates most strongly to measures regarding
the capability for oxygen transport, high Vo(2max) and Hb(mass), in addition to
measures of oxygen utilization, maximal oxidative phosphorylation, and electron
transport system capacities in the skeletal muscle.
PMID- 21885806
TI - New SNM/SNMTS officers.
PMID- 21885807
TI - Recall of CardioGen-82.
PMID- 21885808
TI - Updates from the ABNM.
PMID- 21885810
TI - Guidelines differentiate Alzheimer dementia and disease.
PMID- 21885811
TI - Planning for the future of nuclear and molecular imaging.
PMID- 21885812
TI - Chemoprevention of colon and small intestinal tumorigenesis in APC(Min/+) mice by
licofelone, a novel dual 5-LOX/COX inhibitor: potential implications for human
colon cancer prevention.
AB - Preclinical and clinical studies suggest that 5-lipoxygenase (5-LOX), such as COX
2, is a potential target for colon cancer inhibition and, in part, contributes to
cardiovascular side effects associated with COX-2 inhibitors. Experiments were
designed to assess the chemopreventive effects of a novel dual 5-LOX/COX
inhibitor, licofelone {[6-(4-chlorophenyl)-2,2-dimethyl-7-phenyl-2,3-dihydro-1H
pyrrolizin-5-yl] acetic acid}, in APC(Min/+) mouse intestinal tumorigenesis. Six
week-old male and female APC(Min/+) mice (n = 10 per group) were fed with control
American Institute of Nutrition-76A diet or diets containing 150 or 300 ppm
licofelone for 14 weeks (~100 days), and intestinal tumors were evaluated for
tumor multiplicity and size. Licofelone significantly inhibited total intestinal
tumor multiplicity and size in a dose-dependent manner (P < 0.0001; mean tumors
for 0, 150, and 300 ppm: 48.8, 17, and 8, respectively, in male mice; and 34.3,
8.8, and 5.5, respectively, in female mice). Licofelone at high dose showed more
than 83% (P < 0.0001) tumor inhibition in both genders of mice. One hundred and
fifty and 300 ppm licofelone resulted in 86% to 97% inhibition of polyps having
size greater than 2 mm. One hundred and fifty and 300 ppm licofelone caused more
than 72% and 100% inhibition of colonic tumors, respectively. Importantly, in
mice fed with licofelone, tumors showed significantly reduced proliferating cell
nuclear antigen expression (70%, P < 0.0001), increased terminal deoxynucleotidyl
transferase-mediated dUTP nick end labeling-positive cells (75%, P < 0.0001), and
there was dose-dependent suppression of serum triglycerides (71%-83%, P <
0.0001), decreased inflammatory cytokines; and decreased COX and 5-LOX activities
(57%-64%, P < 0.0001). Also, compared with 300 ppm celecoxib, 300 ppm licofelone
provided better efficacy in suppressing tumor growth. These observations show
that a novel dual 5-LOX/COX inhibitor dramatically suppresses small intestinal
and colonic tumor formation in APC(Min/+) mice.
PMID- 21885813
TI - (3-Chloroacetyl)-indole, a novel allosteric AKT inhibitor, suppresses colon
cancer growth in vitro and in vivo.
AB - Indole-3-carbinol (I3C) is produced in Brassica vegetables such as broccoli and
cabbage and has been shown to inhibit proliferation and induce apoptosis in
various cancer cells, including breast, prostate, colon, and leukemia. However,
only high doses of I3C were shown to inhibit cell proliferation (IC(50) = 200-300
MUmol/L). Our goal here was to develop a more potent antitumor agent by modifying
the structure of I3C. We created I3C derivatives and found that (3-chloroacetyl)
indole (3CAI) more strongly inhibited colon cancer cell growth than I3C. In
addition, by screening 85 kinases in a competitive kinase assay, we found that
3CAI was a specific AKT inhibitor. AKT is a serine/threonine kinase that plays a
pivotal role in promoting transformation and chemoresistance by inducing
proliferation and inhibiting apoptosis. Therefore, AKT is regarded as a critical
target for cancer therapy. 3ICA, a derivative of I3C, is a potent and specific
AKT inhibitor. This compound showed significant inhibition of AKT in an in vitro
kinase assay and suppressed expression of AKT direct downstream targets such as
mTOR and GSK3beta as well as induced growth inhibition and apoptosis in colon
cancer cells. In addition, oral administration of this potent AKT inhibitor
suppressed cancer cell growth in an in vivo xenograft mouse model.
PMID- 21885815
TI - Inhibition by resistant starch of red meat-induced promutagenic adducts in mouse
colon.
AB - Population studies have shown that high red meat intake may increase colorectal
cancer risk. Our aim was to examine the effect of different amounts and sources
of dietary protein on induction of the promutagenic adduct O(6)-methyl-2
deoxyguanosine (O(6)MeG) in colonocytes, to relate these to markers of large
bowel protein fermentation and ascertain whether increasing colonic carbohydrate
fermentation modified these effects. Mice (n = 72) were fed 15% or 30% protein as
casein or red meat or 30% protein with 10% high amylose maize starch as the
source of resistant starch. Genetic damage in distal colonocytes was detected by
immunohistochemical staining for O(6)MeG and apoptosis. Feces were collected for
measurement of pH, ammonia, phenols, p-cresol, and short-chain fatty acids
(SCFA). O(6)MeG and fecal p-cresol concentrations were significantly higher with
red meat than with casein (P < 0.018), with adducts accumulating in cells at the
crypt apex. DNA adducts (P < 0.01) and apoptosis (P < 0.001) were lower and
protein fermentation products (fecal ammonia, P < 0.05; phenol, P < 0.0001)
higher in mice fed resistant starch. Fecal SCFA levels were also higher in mice
fed resistant starch (P < 0.0001). This is the first demonstration that high
protein diets increase promutagenic adducts (O(6)MeG) in the colon and dietary
protein type seems to be the critical factor. The delivery of fermentable
carbohydrate to the colon (as resistant starch) seems to switch from fermentation
of protein to that of carbohydrate and a reduction in adduct formation,
supporting previous observations that dietary resistant starch opposes the
mutagenic effects of dietary red meat.
PMID- 21885814
TI - Nonsteroidal anti-inflammatory drugs and glioma in the NIH-AARP Diet and Health
Study cohort.
AB - Several case-control studies have suggested that nonsteroidal anti-inflammatory
drugs (NSAIDs) reduce risk for glioblastoma, an aggressive form of brain cancer.
Prospective investigations have not observed such an association, but these
studies lacked adequate brain cancer case numbers and did not stratify by
histologic subtype. We prospectively investigated the association between NSAID
use and risk of all glioma as well as the risk of glioblastoma subtype in the
National Institutes of Health (NIH)-AARP Diet and Health Study. The frequency of
aspirin and nonaspirin NSAID use 1 year prior to baseline was ascertained using a
self-administered questionnaire. Hazard ratios (HRs) and 95% confidence intervals
(CI) were estimated using Cox regression models with age as the underlying time
metric, adjusted for sex, race, and history of heart disease. The analysis
included 302,767 individuals, with 341 incident glioma cases (264 glioblastoma).
No association was observed between regular use (>2 times/wk) of aspirin and risk
of glioma (HR = 1.16; 95% CI, 0.87-1.56) or glioblastoma (HR = 1.17; 95% CI, 0.83
1.64) as compared with no use. Null associations were also observed for
nonaspirin NSAID use (HR for glioma = 0.90; 95% CI, 0.65-1.25 and HR for
glioblastoma = 0.83; 95% CI, 0.56-1.20) as compared with no use. Our findings
from this large prospective study do not support an inverse association between
NSAIDs and risk of all glioma or glioblastoma.
PMID- 21885817
TI - Life sciences career exploration.
PMID- 21885816
TI - Changes in breast density and circulating estrogens in postmenopausal women
receiving adjuvant anastrozole.
AB - Factors associated with an increased risk of breast cancer include prior breast
cancer, high circulating estrogens, and increased breast density. Adjuvant
aromatase inhibitors are associated with a reduction in incidence of
contralateral breast cancer. We conducted a prospective, single-arm, single
institution study to determine whether use of anastrozole is associated with
changes in contralateral breast density and circulating estrogens. Eligible
patients included postmenopausal women with hormone receptor-positive early-stage
breast cancer who had completed local therapy, had an intact contralateral
breast, and were recommended an aromatase inhibitor as their only systemic
therapy. Participants received anastrozole 1 mg daily for 12 months on study. We
assessed contralateral breast density and serum estrogens at baseline, 6, and 12
months. The primary endpoint was change in contralateral percent breast density
from baseline to 12 months. Secondary endpoints included change in serum estrone
sulfate from baseline to 12 months. Fifty-four patients were accrued. At 12
months, compared with baseline, there was a nonstatistically significant
reduction in breast density (mean change: -16%, 95% CI: -30 to 2, P = 0.08) and a
significant reduction in estrone sulfate (mean change: -93%, 95% CI: -94 to -91,
P < 0.001). Eighteen women achieved 20% or greater relative reduction in
contralateral percent density at 12 months compared with baseline; however, no
measured patient or disease characteristics distinguished these women from the
overall population. Large trials are required to provide additional data on the
relationship between aromatase inhibitors and breast density and, more
importantly, whether observed changes in breast density correlate with meaningful
disease-specific outcomes.
PMID- 21885819
TI - A life in science.
PMID- 21885820
TI - Improving graduate education to support a branching career pipeline:
recommendations based on a survey of doctoral students in the basic biomedical
sciences.
AB - Today's doctoral programs continue to prepare students for a traditional academic
career path despite the inadequate supply of research-focused faculty positions.
We advocate for a broader doctoral curriculum that prepares trainees for a wide
range of science-related career paths. In support of this argument, we describe
data from our survey of doctoral students in the basic biomedical sciences at
University of California, San Francisco (UCSF). Midway through graduate training,
UCSF students are already considering a broad range of career options, with one
third intending to pursue a non-research career path. To better support this
branching career pipeline, we recommend that national standards for training and
mentoring include emphasis on career planning and professional skills development
to ensure the success of PhD-level scientists as they contribute to a broadly
defined global scientific enterprise.
PMID- 21885821
TI - Mentoring interdisciplinary undergraduate students via a team effort.
AB - We describe how a team approach that we developed as a mentoring strategy can be
used to recruit, advance, and guide students to be more interested in the
interdisciplinary field of mathematical biology, and lead to success in
undergraduate research in this field. Students are introduced to research in
their first semester via lab rotations. Their participation in the research of
four faculty members-two from biology and two from mathematics-gives them a first
hand overview of research in quantitative biology and also some initial
experience in research itself. However, one of the primary goals of the lab
rotation experience is that of developing teams of students and faculty that
combine mathematics and statistics with biology and the life sciences, teams that
subsequently mentor undergraduate research in genuine interdisciplinary
environments. Thus, the team concept serves not only as a means of establishing
interdisciplinary research, but also as a means of incorporating new students
into existing research efforts that will then track those students into
meaningful research of their own. We report how the team concept is used to
support undergraduate research in mathematical biology and what types of team
building strategies have worked for us.
PMID- 21885822
TI - Teaching biology through statistics: application of statistical methods in
genetics and zoology courses.
AB - Incorporation of mathematics into biology curricula is critical to underscore for
undergraduate students the relevance of mathematics to most fields of biology and
the usefulness of developing quantitative process skills demanded in modern
biology. At our institution, we have made significant changes to better integrate
mathematics into the undergraduate biology curriculum. The curricular revision
included changes in the suggested course sequence, addition of statistics and
precalculus as prerequisites to core science courses, and incorporating
interdisciplinary (math-biology) learning activities in genetics and zoology
courses. In this article, we describe the activities developed for these two
courses and the assessment tools used to measure the learning that took place
with respect to biology and statistics. We distinguished the effectiveness of
these learning opportunities in helping students improve their understanding of
the math and statistical concepts addressed and, more importantly, their ability
to apply them to solve a biological problem. We also identified areas that need
emphasis in both biology and mathematics courses. In light of our observations,
we recommend best practices that biology and mathematics academic departments can
implement to train undergraduates for the demands of modern biology.
PMID- 21885823
TI - The Colorado Learning Attitudes about Science Survey (CLASS) for use in Biology.
AB - This paper describes a newly adapted instrument for measuring novice-to-expert
like perceptions about biology: the Colorado Learning Attitudes about Science
Survey for Biology (CLASS-Bio). Consisting of 31 Likert-scale statements, CLASS
Bio probes a range of perceptions that vary between experts and novices,
including enjoyment of the discipline, propensity to make connections to the real
world, recognition of conceptual connections underlying knowledge, and problem
solving strategies. CLASS-Bio has been tested for response validity with both
undergraduate students and experts (biology PhDs), allowing student responses to
be directly compared with a consensus expert response. Use of CLASS-Bio to date
suggests that introductory biology courses have the same challenges as
introductory physics and chemistry courses: namely, students shift toward more
novice-like perceptions following instruction. However, students in upper
division biology courses do not show the same novice-like shifts. CLASS-Bio can
also be paired with other assessments to: 1) examine how student perceptions
impact learning and conceptual understanding of biology, and 2) assess and
evaluate how pedagogical techniques help students develop both expertise in
problem solving and an expert-like appreciation of the nature of biology.
PMID- 21885824
TI - Classroom-based science research at the introductory level: changes in career
choices and attitude.
AB - Our study, focused on classroom-based research at the introductory level and
using the Phage Genomics course as the model, shows evidence that first-year
students doing research learn the process of science as well as how scientists
practice science. A preliminary but notable outcome of our work, which is based
on a small sample, is the change in student interest in considering different
career choices such as graduate education and science in general. This is
particularly notable, as previous research has described research internships as
clarifying or confirming rather than changing undergraduates' decisions to pursue
graduate education. We hypothesize that our results differ from previous studies
of the impact of engaging in research because the students in our study are still
in the early stages of their undergraduate careers. Our work builds upon the
classroom-based research movement and should be viewed as encouraging to the
Vision and Change in Undergraduate Biology Education movement advocated by the
American Association for the Advancement of Science, the National Science
Foundation, and other undergraduate education stakeholders.
PMID- 21885825
TI - Culturally relevant inquiry-based laboratory module implementations in upper
division genetics and cell biology teaching laboratories.
AB - Today, more minority students are entering undergraduate programs than ever
before, but they earn only 6% of all science or engineering PhDs awarded in the
United States. Many studies suggest that hands-on research activities enhance
students' interest in pursuing a research career. In this paper, we present a
model for the implementation of laboratory research in the undergraduate teaching
laboratory using a culturally relevant approach to engage students. Laboratory
modules were implemented in upper-division genetics and cell biology courses
using cassava as the central theme. Students were asked to bring cassava samples
from their respective towns, which allowed them to compare their field-collected
samples against known lineages from agricultural stations at the end of the
implementation. Assessment of content and learning perceptions revealed that our
novel approach allowed students to learn while engaged in characterizing Puerto
Rican cassava. In two semesters, based on the percentage of students who answered
correctly in the premodule assessment for content knowledge, there was an overall
improvement of 66% and 55% at the end in the genetics course and 24% and 15% in
the cell biology course. Our proposed pedagogical model enhances students'
professional competitiveness by providing students with valuable research skills
as they work on a problem to which they can relate.
PMID- 21885826
TI - Online teaching tool simplifies faculty use of multimedia and improves student
interest and knowledge in science.
AB - Digital technologies can improve student interest and knowledge in science.
However, researching the vast number of websites devoted to science education and
integrating them into undergraduate curricula is time-consuming. We developed an
Adobe ColdFusion- and Adobe Flash-based system for simplifying the construction,
use, and delivery of electronic educational materials in science. The Online
Multimedia Teaching Tool (OMTT) in Neuroscience was constructed from a ColdFusion
based online interface, which reduced the need for programming skills and the
time for curriculum development. The OMTT in Neuroscience was used by faculty to
enhance their lectures in existing curricula. Students had unlimited online
access to encourage user-centered exploration. We found the OMTT was rapidly
adapted by multiple professors, and its use by undergraduate students was
consistent with the interpretation that the OMTT improved performance on exams
and increased interest in the field of neuroscience.
PMID- 21885827
TI - Are comic books an effective way to engage nonmajors in learning and appreciating
science?
AB - Comic books employ a complex interplay of text and images that gives them the
potential to effectively convey concepts and motivate student engagement. This
makes comics an appealing option for educators trying to improve science literacy
about pressing societal issues involving science and technology. Here, we report
results from the first systematic assessment of how a science comic book can
affect student learning and attitudes about biology. We used pre- and
postinstruction instruments to measure students' attitudes about biology,
attitudes about comics, and content knowledge about evolution before and after
using the science comic book Optical Allusions in their classes. On the
preinstruction instrument, nonmajors reported the lowest scores on the content
test and attitude surveys relative to the other groups. However, on the
postinstruction instrument, nonmajors' content scores and attitudes showed a
statistically significant improvement after using the comic book, particularly
among those with lower content knowledge at the start of the semester. The
improvement in attitudes about biology was correlated to attitudes about comics,
suggesting that the comic may have played a role in engaging and shaping student
attitudes in a positive way.
PMID- 21885828
TI - A comprehensive analysis of high school genetics standards: are states keeping
pace with modern genetics?
AB - Science education in the United States will increasingly be driven by testing and
accountability requirements, such as those mandated by the No Child Left Behind
Act, which rely heavily on learning outcomes, or "standards," that are currently
developed on a state-by-state basis. Those standards, in turn, drive curriculum
and instruction. Given the importance of standards to teaching and learning, we
investigated the quality of life sciences/biology standards with respect to
genetics for all 50 states and the District of Columbia, using core concepts
developed by the American Society of Human Genetics as normative benchmarks. Our
results indicate that the states' genetics standards, in general, are poor, with
more than 85% of the states receiving overall scores of Inadequate. In
particular, the standards in virtually every state have failed to keep pace with
changes in the discipline as it has become genomic in scope, omitting concepts
related to genetic complexity, the importance of environment to phenotypic
variation, differential gene expression, and the differences between inherited
and somatic genetic disease. Clearer, more comprehensive genetics standards are
likely to benefit genetics instruction and learning, help prepare future genetics
researchers, and contribute to the genetic literacy of the U.S. citizenry.
PMID- 21885829
TI - Early atheroma-derived agonists of peroxisome proliferator-activated receptor
gamma trigger intramedial angiogenesis in a smooth muscle cell-dependent manner.
AB - RATIONALE: Neovascularization favors intraplaque hemorrhage and plaque rupture.
Development of therapeutic strategies against atheromatous angiogenesis requires
elucidation of its initiating factors. OBJECTIVE: We investigated the
contribution of smooth muscle cells (SMCs) and atheroma-derived lipids to the
initiation of atheroma-associated neoangiogenesis. METHODS AND RESULTS: Forty
human aortic segments, each harvested from a different donor, were classified as
healthy or as bearing early atheromatous lesions, including fatty streaks and
fibrolipidic atheroma, according to their histological features. Immunostaining
for blood vessels and vascular endothelial growth factor-A (VEGF-A), as well as
measurement of VEGF-A protein and mRNA levels by ELISA and real-time PCR,
revealed that angiogenesis and VEGF-A production were enhanced in the medial
layer of atheromatous aortas. The intramedial vessel density and invasiveness and
the production of VEGF-A by medial SMCs were indeed increased in atheromatous
aortas compared with healthy aortas. Furthermore, intimal layers of atheromatous
aortas were enriched in soluble lipid mediators capable of inducing a sustained
increase in VEGF-A production by medial SMCs, turning these cells into potent
inducers of angiogenesis when incorporated into mouse Matrigel implants. Both
effects were inhibited by the peroxisome proliferator-activated receptor-gamma
inhibitor GW9662 and mimicked by its agonist, rosiglitazone. CONCLUSIONS: We show
that VEGF-A production is upregulated in medial SMCs of human atheromatous aortas
and that peroxisome proliferator-activated receptor-gamma agonists derived from
early intimal lesions are likely to contribute to this phenotypic change. Our
findings suggest that medial SMCs are central organizers of an angiogenic
response initiated by the subendothelial accumulation of atherogenic lipids.
PMID- 21885831
TI - Autologous mesenchymal stem cells mobilize cKit+ and CD133+ bone marrow
progenitor cells and improve regional function in hibernating myocardium.
AB - RATIONALE: Mesenchymal stem cells (MSCs) improve function after infarction, but
their mechanism of action remains unclear, and the importance of reduced scar
volume, cardiomyocyte proliferation, and perfusion is uncertain. OBJECTIVE: The
present study was conducted to test the hypothesis that MSCs mobilize bone marrow
progenitor cells and improve function by stimulating myocyte proliferation in
collateral-dependent hibe rnating myocardium. METHODS AND RESULTS: Swine with
chronic hibernating myocardium received autologous intracoronary MSCs (icMSCs;
~44 *10(6) cells, n = 10) 4 months after instrumentation and were studied up to 6
weeks later. Physiological and immunohistochemical findings were compared with
untreated hibernating animals (n = 7), sham-normal animals (n = 5), and icMSC
treated sham-normal animals (n = 6). In hibernating myocardium, icMSCs increased
function (percent wall thickening of the left anterior descending coronary artery
24 +/- 4% to 43 +/- 5%, P < 0.05), although left anterior descending coronary
artery flow reserve (adenosine/rest) remained critically impaired (1.2 +/- 0.1
versus 1.2 +/- 0.1). Circulating cKit+ and CD133+ bone marrow progenitor cells
increased transiently after icMSC administration, with a corresponding increase
in myocardial cKit+/CD133+ and cKit+/CD133- bone marrow progenitor cells (total
cKit+ from 223 +/- 49 to 4415 +/- 866/10(6) cardiomyocytes, P < 0.05). In
hibernating hearts, icMSCs increased Ki67+ cardiomyocytes (from 410 +/- 83 to
2460 +/- 610/10(6) nuclei, P < 0.05) and phospho-histone H3-positive
cardiomyocytes (from 9 +/- 5 to 116 +/- 12/10(6) nuclei, P < 0.05). Myocyte
nuclear number (from 75 336 +/- 5037 to 114 424 +/- 9564 nuclei/mm3, P < 0.01)
and left ventricular mass (from 2.5 +/- 0.1 to 2.8 +/- 0.1 g/kg, P < 0.05)
increased, yet myocytes were smaller (14.5 +/- 0.4 versus 16.5 +/- 0.4 MUm, P <
0.05), which supports endogenous cardiomyocyte proliferation. In sham-normal
animals, icMSCs increased myocardial bone marrow progenitor cells with no effect
on myocyte proliferation or regional function. CONCLUSIONS: Our results indicate
that icMSCs improve function in hibernating myocardium independent of coronary
flow or reduced scar volume. This arises from stimulation of myocyte
proliferation with increases in cKit+/CD133+ bone marrow progenitor cells and
cKit+/CD133- resident stem cells, which increase myocyte number and reduce
cellular hypertrophy.
PMID- 21885832
TI - A new standard in the conflict of interest policy of the American Heart
Association.
PMID- 21885833
TI - beta-MyHC and cardiac hypertrophy: size does matter.
PMID- 21885830
TI - p63RhoGEF couples Galpha(q/11)-mediated signaling to Ca2+ sensitization of
vascular smooth muscle contractility.
AB - RATIONALE: In normal and diseased vascular smooth muscle (SM), the RhoA pathway,
which is activated by multiple agonists through G protein-coupled receptors
(GPCRs), plays a central role in regulating basal tone and peripheral resistance.
This occurs through inhibition of myosin light chain phosphatase, leading to
increased phosphorylation of the myosin regulatory light chain. Although it is
thought that specific agonists and GPCRs may couple to distinct RhoA guanine
nucleotide exchange factors (GEFs), thus raising the possibility of selective
targeting of specific GEFs for therapeutic use, this notion is largely unexplored
for SM contraction. OBJECTIVE: We examine whether p63RhoGEF, known to couple
specifically to Galpha(q/11) in vitro, is functional in blood vessels as a
mediator of RhoA activation and if it is selectively activated by Galpha(q/11)
coupled agonists. METHODS AND RESULTS: We find that p63RhoGEF is present across
SM tissues and demonstrate that silencing of the endogenous p63RhoGEF in mouse
portal vein inhibits contractile force induced by endothelin-1 to a greater
extent than the predominantly Galpha(12/13)-mediated thromboxane analog U46619.
This is because endothelin-1 acts on Galpha(q/11) as well as Galpha(12/13).
Introduction of the exogenous isolated pleckstrin-homology (PH) domain of
p63RhoGEF (residues 331-580) into permeabilized rabbit portal vein inhibited Ca2+
sensitized force and activation of RhoA, when phenylephrine was used as an
agonist. This reinforces the results based on endothelin-1, because phenylephrine
is thought to act exclusively through Galpha(q/11). CONCLUSION: We demonstrate
that p63RhoGEF selectively couples Galpha(q/11) but not Galpha(12/13), to RhoA
activation in blood vessels and cultured cells and thus mediates the
physiologically important Ca2+ sensitization of force induced with Galpha(q/11)
coupled agonists. Our results suggest that signaling through p63RhoGEF provides a
novel mechanism for selective regulation of blood pressure.
PMID- 21885834
TI - Micro-managing myocyte mitosis.
PMID- 21885835
TI - Not too large and not too small--just the right size: a hippo-sized heart.
PMID- 21885836
TI - Estrogen signaling and cardiovascular disease.
AB - Estrogen has pleiotropic effects on the cardiovascular system. The mechanisms by
which estrogen confers these pleiotropic effects are undergoing active
investigation. Until a decade ago, all estrogen signaling was thought to occur by
estrogen binding to nuclear estrogen receptors (estrogen receptor-alpha and
estrogen receptor-beta), which bind to DNA and function as ligand-activated
transcription factors. Estrogen binding to the receptor alters gene expression,
thereby altering cell function. Estrogen also binds to nuclear estrogen receptors
that are tethered to the plasma membrane, resulting in acute activation of
signaling kinases such as PI3K. An orphan G-protein-coupled receptor, G-protein
coupled receptor 30, can also bind estrogen and activate acute signaling
pathways. Thus, estrogen can alter cell function by binding to different estrogen
receptors. This article reviews the different estrogen receptors and their
signaling mechanisms, discusses mechanisms that regulate estrogen receptor levels
and locations, and considers the cardiovascular effects of estrogen signaling.
PMID- 21885838
TI - Mechanism of antiarrhythmic effects of flecainide in catecholaminergic
polymorphic ventricular tachycardia.
PMID- 21885839
TI - Recent patterns of sex-specific midlife stroke hospitalization rates in the
United States.
AB - BACKGROUND AND PURPOSE: Little is known about sex-specific stroke hospitalization
rates among middle-aged individuals. This study assessed recent temporal trends
in stroke hospitalizations among persons aged 35 to 64 years in the United
States. METHODS: The Nationwide Inpatient Sample was used to identify individuals
with a primary or secondary discharge diagnosis of stroke between 1997 and 2006
(n=3,161,752). Age-adjusted sex-specific rates of ischemic and hemorrhagic stroke
hospitalizations were assessed among individuals aged 35 to 64 years. RESULTS:
Over the study period, stroke hospitalization rates per 100 000 decreased by 10%
from 66.7 to 60.3 (trend P<0.01) in men and 8% from 52.7 to 48.3 (trend P<0.001)
in women. The 55- to 64-year age group drove reductions in hospitalization rates:
slope (rate of change per year)=-12.3 for men and -8.9 for women (both P<0.001).
Rates increased slightly in men and women aged 35 to 44 years and remained stable
for persons aged 45 to 54 years. Stroke subtype analysis revealed that rates of
ischemic stroke hospitalization increased and hemorrhagic stroke hospitalization
remained stable among individuals aged 35 to 44 years. Rates of ischemic and
hemorrhagic stroke hospitalizations remained stable among those aged 45 to 54
years and decreased among persons aged 55 to 64 years. CONCLUSIONS: From 1997 to
2006, ischemic and hemorrhagic stroke hospitalization rates declined among
individuals aged 55 to 64 years and remained stable among persons aged 45 to 54
years; ischemic stroke hospitalization rates increased among individuals aged 35
to 44 years. Further studies are needed to assess and address increases in
ischemic stroke hospitalizations among younger individuals.
PMID- 21885837
TI - Arterial calcification in chronic kidney disease: key roles for calcium and
phosphate.
AB - Vascular calcification contributes to the high risk of cardiovascular mortality
in chronic kidney disease (CKD) patients. Dysregulation of calcium (Ca) and
phosphate (P) metabolism is common in CKD patients and drives vascular
calcification. In this article, we review the physiological regulatory mechanisms
for Ca and P homeostasis and the basis for their dysregulation in CKD. In
addition, we highlight recent findings indicating that elevated Ca and P have
direct effects on vascular smooth muscle cells (VSMCs) that promote vascular
calcification, including stimulation of osteogenic/chondrogenic differentiation,
vesicle release, apoptosis, loss of inhibitors, and extracellular matrix
degradation. These studies suggest a major role for elevated P in promoting
osteogenic/chondrogenic differentiation of VSMC, whereas elevated Ca has a
predominant role in promoting VSMC apoptosis and vesicle release. Furthermore,
the effects of elevated Ca and P are synergistic, providing a major stimulus for
vascular calcification in CKD. Unraveling the complex regulatory pathways that
mediate the effects of both Ca and P on VSMCs will ultimately provide novel
targets and therapies to limit the destructive effects of vascular calcification
in CKD patients.
PMID- 21885840
TI - Common carotid artery intima-media thickness progression as a predictor of stroke
in multi-ethnic study of atherosclerosis.
AB - BACKGROUND AND PURPOSE: Carotid artery intima-media thickness (IMT) is a marker
of cardiovascular disease associated with incident stroke. We studied whether IMT
rate of change is associated with stroke. METHODS: We studied 5028 participants
of the Multi-Ethnic Study of Atherosclerosis (MESA) comprising white, Chinese,
Hispanic, and black participants free of cardiovascular disease. In this MESA IMT
progression study, IMT rate of change (mm/year) was the difference in right
common carotid artery far wall IMT (mm) divided by the interval between 2
ultrasound examinations (median interval, 32 months). Common carotid artery IMT
was measured in a region free of plaque. Cardiovascular risk factors and baseline
IMT were determined when IMT rate of change was measured. Multivariable Cox
proportional hazards models generated hazard risk ratios (HR) with cardiovascular
risk factors, ethnicity, and education level/income as predictors. RESULTS: There
were 42 first-time strokes seen during a mean follow-up of 3.22 years (median,
3.0 years). Average age was 64.2 years, with 48% males. In multivariable models,
age (HR, 1.05 per year), systolic blood pressure (HR, 1.02 per mm Hg), lower high
density lipoprotein cholesterol levels (HR, 0.96 per mg/dL), and IMT rate of
change (HR, 1.23 per 0.05 mm/year; 95% confidence limit, 1.02-1.48) were
significantly associated with incident stroke. The upper quartile of IMT rate of
change had HR of 2.18 (95% confidence limit, 1.07-4.46) compared to the lower 3
quartiles combined. CONCLUSIONS: Common carotid artery IMT progression is
associated with incident stroke in this cohort free of prevalent cardiovascular
disease and atrial fibrillation at baseline.
PMID- 21885841
TI - Improving door-to-needle times in acute ischemic stroke: the design and rationale
for the American Heart Association/American Stroke Association's Target: Stroke
initiative.
AB - BACKGROUND AND PURPOSE: The benefits of intravenous tissue-type plasminogen
activator (tPA) in acute ischemic stroke are time-dependent, and guidelines
recommend a door-to-needle time of <=60 minutes. However, fewer than one third of
acute ischemic stroke patients who receive tPA are treated within guideline
recommended door-to-needle times. This article describes the design and rationale
of TARGET: Stroke, a national initiative organized by the American Heart
Association/American Stroke Association in partnership with other organizations
to assist hospitals in increasing the proportion of tPA-treated patients who
achieve guideline-recommended door-to-needle times. METHODS: The initial program
goal is to achieve a door-to-needle time<=60 minutes for at least 50% of acute
ischemic stroke patients. Key best practice strategies previously associated with
achieving faster door-to-needle times in acute ischemic stroke were identified.
RESULTS: The 10 key strategies chosen by TARGET: Stroke include emergency medical
service prenotification, activating the stroke team with a single call, rapid
acquisition and interpretation of brain imaging, use of specific protocols and
tools, premixing tPA, a team-based approach, and rapid data feedback. The program
includes many approaches intended to promote hospital participation, implement
effective strategies, share best practices, foster collaboration, and achieve
stated goals. A detailed program evaluation is also included. In the first year,
TARGET: Stroke has enrolled over 1200 United States hospitals. CONCLUSIONS:
TARGET: Stroke, a multidimensional initiative to improve the timeliness of tPA
administration, aims to elevate clinical performance in the care of acute
ischemic stroke, facilitate the more rapid integration of evidence into clinical
practice, and improve outcomes.
PMID- 21885842
TI - Letter by Sposato and Saposnik regarding article, "Incidence of stroke and
socioeconomic neighborhood characteristics: an ecological analysis of Dijon
Stroke Registry".
PMID- 21885843
TI - Telestroke-guided intravenous tissue-type plasminogen activator treatment
achieves a similar clinical outcome as thrombolysis at a comprehensive stroke
center.
AB - BACKGROUND AND PURPOSE: Telestroke networks offer an opportunity to increase
tissue-type plasminogen activator use in community hospitals. METHODS: We
compared 83 patients treated with intravenous tissue-type plasminogen activator
by telestroke to 59 patients treated after in-person evaluation by the same
neurologists at a tertiary care stroke center. Onset and door-to-treatment times
and functional outcome at 90 days were obtained prospectively. Favorable outcome
was defined as modified Rankin Scale score <=2. RESULTS: Favorable outcome rates
were comparable between the groups (42.1% versus 37.5%, P=0.7). There was no
significant difference in the rate of symptomatic hemorrhage. CONCLUSIONS:
Telestroke is a viable alternative to in-person evaluation when stroke expertise
is not readily available.
PMID- 21885844
TI - Cerebrovascular disease pathology and parkinsonian signs in old age.
AB - BACKGROUND AND PURPOSE: Mild motor symptoms including parkinsonian signs are
common in old age, but their underlying neuropathology is unclear. We tested the
hypothesis that cerebrovascular pathologies are related to parkinsonian signs.
METHODS: We studied brain autopsies from 418 deceased participants from the
Religious Order Study, who underwent evaluation of parkinsonian signs with a
modified version of the motor section of the Unified Parkinson's Disease Rating
Scale. Brains were evaluated for macroscopic and microinfarcts and the severity
of arteriolosclerosis. Regression analyses were used to examine the association
of cerebrovascular pathologies with parkinsonian signs. RESULTS: More than 35% of
cases (N=149) showed macroscopic infarcts. Almost 30% of cases without
macroscopic infarcts showed pathologies not detected by conventional brain
imaging: microinfarcts (N=33 [7.9%]), arteriolosclerosis (N=62 [14.8%]), or both
(N=24 [5.7%]). Macroscopic infarcts, specifically multiple cortical and >=1
subcortical macroscopic infarcts, were related to higher global parkinsonian
scores. The presence of multiple and cortical microinfarcts was associated with
global parkinsonian score. Arteriolosclerosis was associated with global
parkinsonian score, but this effect was attenuated and no longer significant
after accounting for infarcts. Each of the 3 pathologies was separately
associated with parkinsonian gait (macroscopic infarcts [estimate, 0.552; SE,
0.210; P=0.009]; microinfarcts [estimate, 0.424; SE, 0.213; P=0.047];
arteriolosclerosis [estimate, 0.191; SE, 0.056; P<0.001]). Further analyses
showed that subcortical macroscopic and microinfarcts were specifically
associated with the severity of parkinsonian gait. CONCLUSIONS: Cerebrovascular
pathologies, including macroscopic infarcts, microinfarcts, and
arteriolosclerosis, are common in older persons and may be unrecognized common
etiologies of mild parkinsonian signs, especially parkinsonian gait, in old age.
PMID- 21885845
TI - Efficiency perspectives on adaptive designs in stroke clinical trials.
AB - An adaptive design allows the modifications of various features, such as sample
size and treatment assignments, in a clinical study based on the analysis of
interim data. The goal is to enhance statistical efficiency by maximizing
relevant information obtained from the clinical data. The promise of efficiency,
however, comes with a cost, per se, that is seldom made explicit in the
literature. This article reviews some commonly used adaptive strategies in early
phase stroke trials and discusses their associated costs. Specifically, we
illustrate the trade-offs in several clinical contexts, including dose-finding in
the Neuroprotection with Statin Therapy for Acute Recovery Trial (NeuSTART),
futility analyses and internal pilot in Phase 2 proof-of-concept trials, and
sample size considerations in an imaging-based dose-selection trial. Through
these illustrations, we demonstrate the potential tension between the
perspectives of an individual investigator and that of the broader community of
stakeholders. This understanding is critical to appreciate the limitations, as
well as the full promise, of adaptive designs, so that investigators can deploy
an appropriate statistical design--be it adaptive or not--in a clinical study.
PMID- 21885847
TI - Smooth muscle cell apoptosis promotes vessel remodeling and repair via activation
of cell migration, proliferation, and collagen synthesis.
AB - OBJECTIVE: Although vascular smooth muscle cell (VSMC) apoptosis occurs after
vessel injury and during remodeling, the direct role of VSMC death in determining
final vessel structure is unclear. We sought to determine the role of VSMC
apoptosis in vessel remodeling, medial repair, and neointima formation and to
identify the mediators involved. METHODS AND RESULTS: The left common carotid
artery was ligated in SM22alpha-human diphtheria toxin receptor mice, in which
diphtheria toxin treatment selectively induces VSMC apoptosis. Apoptosis induced
from day 7 to day 14 after ligation significantly increased neointimal and medial
areas, cell proliferation, migration, and vessel size. Neointima formation
depended on VSMCs, as VSMC depletion before ligation significantly reduced
neointimal area and cellularity. In culture, conditioned media from apoptotic
VSMCs promoted VSMC migration, proliferation, and collagen synthesis. Interleukin
6 (IL-6) secretion increased 5-fold and IL-1alpha 1.5-fold after apoptosis,
whereas IL-6 inhibition negated the effect of apoptotic VSMC supernatants on VSMC
migration, proliferation, and matrix synthesis. CONCLUSION: Signaling from
apoptotic VSMCs directly promotes vessel remodeling, medial repair, and neointima
formation after flow reduction. Although lumen size appears to depend on flow,
VSMC apoptosis is an important determinant of vessel, medial, and neointimal size
after flow reduction.
PMID- 21885846
TI - Human thrombomodulin knock-in mice reveal differential effects of human
thrombomodulin on thrombosis and atherosclerosis.
AB - OBJECTIVE: We sought to develop a murine model to examine the antithrombotic and
antiinflammatory functions of human thrombomodulin in vivo. METHODS AND RESULTS:
Knock-in mice that express human thrombomodulin from the murine thrombomodulin
gene locus were generated. Compared with wild-type mice, human thrombomodulin
knock-in mice exhibited decreased protein C activation in the aorta (P<0.01) and
lung (P<0.001). Activation of endogenous protein C following infusion of thrombin
was decreased by 90% in knock-in mice compared with wild-type mice (P<0.05).
Carotid artery thrombosis induced by photochemical injury occurred more rapidly
in knock-in mice (12+/-3 minutes) than in wild-type mice (31+/-6 minutes;
P<0.05). No differences in serum cytokine levels were detected between knock-in
and wild-type mice after injection of endotoxin. When crossed with apolipoprotein
E-deficient mice and fed a Western diet, knock-in mice had a further decrease in
protein C activation but did not exhibit increased atherosclerosis. CONCLUSION:
Expression of human thrombomodulin in place of murine thrombomodulin produces
viable mice with a prothrombotic phenotype but unaltered responses to systemic
inflammatory or atherogenic stimuli. This humanized animal model will be useful
for investigating the function of human thrombomodulin under pathophysiological
conditions in vivo.
PMID- 21885848
TI - The cholesterol content of Western diets plays a major role in the paradoxical
increase in high-density lipoprotein cholesterol and upregulates the macrophage
reverse cholesterol transport pathway.
AB - OBJECTIVE: A high-saturated fatty acid- and cholesterol-containing (HFHC) diet is
considered to be a major risk factor for cardiovascular disease. The present
study aimed to determine the effects of this Western-type diet on high-density
lipoprotein (HDL) metabolism and reverse cholesterol transport (RCT) from
macrophages to feces. METHODS AND RESULTS: Experiments were carried out in mice
fed a low-fat, low-cholesterol diet, an HFHC diet, or an HFHC diet without added
cholesterol (high-saturated fatty acid and low-cholesterol [HFLC]). The HFHC diet
caused a significant increase in plasma cholesterol, HDL cholesterol, and liver
cholesterol and enhanced macrophage-derived [(3)H]cholesterol flux to feces by 3-
to 4-fold. These effects were greatly reduced in mice fed the HFLC diet. This
HFHC diet-mediated induction of RCT was sex independent and was not associated
with obesity or insulin resistance. The HFHC diet caused 1.4- and 3-fold
increases in [(3)H]cholesterol efflux to plasma and HDL-derived [(3)H]tracer
fecal excretion, respectively. Unlike a low-fat, low-cholesterol and HFLC diets,
the HFHC diet increased liver ABCG5/G8 expression. The effect of the HFHC diet on
fecal macrophage-derived [(3)H]cholesterol excretion was totally blunted in
ABCG5/G8-deficient mice. CONCLUSION: Despite its deleterious effects on
atherosclerosis, the HFHC diet promoted a sustained compensatory macrophage-to
feces RCT. Our data provide direct evidence of the crucial role of dietary
cholesterol signaling through liver ABCG5/G8 upregulation in the HFHC diet
mediated induction of macrophage-specific RCT.
PMID- 21885849
TI - Deficient p27 phosphorylation at serine 10 increases macrophage foam cell
formation and aggravates atherosclerosis through a proliferation-independent
mechanism.
AB - OBJECTIVE: Genetic ablation of the growth suppressor p27(Kip1) (p27) in the mouse
aggravates atherosclerosis coinciding with enhanced arterial cell proliferation.
However, it is unknown whether molecular mechanisms that limit p27's protective
function contribute to atherosclerosis development and whether p27 exerts
proliferation-independent activities in the arterial wall. This study aims to
provide insight into both questions by investigating the role in atherosclerosis
of p27 phosphorylation at serine 10 (p27-phospho-Ser10), a major
posttranslational modification of this protein. METHODS AND RESULTS:
Immunoblotting studies revealed a marked reduction in p27-phospho-Ser10 in
atherosclerotic arteries from apolipoprotein E-null mice, and expression of the
nonphosphorylatable mutant p27Ser10Ala, either global or restricted to bone
marrow, accelerated atherosclerosis. p27Ser10Ala expression did not affect cell
proliferation in early and advanced atheroma but activated RhoA/Rho-associated
coiled-coil containing protein kinase (ROCK) signaling and promoted macrophage
foam cell formation in a ROCK-dependent manner. Supporting the clinical relevance
of these findings, human atherosclerotic coronary arteries exhibited a prominent
reduction in p27-phospho-Ser10 and increased ezrin/radixin/moesin protein
phosphorylation, a marker of RhoA/ROCK activation. CONCLUSION: Scarce
phosphorylation of p27 at Ser10 is a hallmark of human and mouse atherosclerosis
and promotes disease progression in mice. This proatherogenic effect is mediated
by a proliferation-independent mechanism that involves augmented foam cell
formation owing to increased RhoA/ROCK activity. These findings unveil a new
atheroprotective action of p27 and identify p27-phospho-Ser10 as an attractive
target for the treatment of atherosclerosis.
PMID- 21885850
TI - Angiopoietin-1 requires IQ domain GTPase-activating protein 1 to activate Rac1
and promote endothelial barrier defense.
AB - OBJECTIVE: IQ domain GTPase-activating protein 1 (IQGAP1) contributes to
cytoskeletal network regulation in epithelial cells by its scaffolding properties
and by binding the Rho GTPase Rac1 to maintain its activity. The functions of
IQGAP1 in endothelial cells beyond angiogenesis remain unclear. We hypothesized
that IQGAP1 participates in the regulation of endothelial barrier function.
METHODS AND RESULTS: Silencing IQGAP1 in human microvascular endothelial cells
resulted in a disruption of adherens junctions, formation of interendothelial
gaps, and a reduction in barrier function. Furthermore, silencing of IQGAP1
abrogated the barrier enhancement effect of angiopoietin-1 (Angpt-1) and
abolished the barrier-stabilizing effect of Angpt-1 on thrombin-stimulated cells.
Coimmunoprecipitation detected binding of endogenous IQGAP1 with Rac1 at baseline
that was stronger when Rac1 was activated and weaker when it was deactivated.
Measurement of GTP-bound Rac1 revealed that Angpt-1 failed to activate Rac1 not
only if IQGAP1 was silenced but also if cells were transfected with a mutant
disabled in Rac1 binding (T1050AX2). Furthermore, a dominant-active Rac1 was
sufficient to completely reverse the morphological and functional changes induced
by reduction in IQGAP1. CONCLUSION: These experiments are the first demonstration
of IQGAP1 regulating barrier function in any cell type. Further, our data show
that Angpt-1 requires IQGAP1 as an indispensable activator of Rac1.
PMID- 21885851
TI - MicroRNA-16 and microRNA-424 regulate cell-autonomous angiogenic functions in
endothelial cells via targeting vascular endothelial growth factor receptor-2 and
fibroblast growth factor receptor-1.
AB - OBJECTIVE: MicroRNAs play key roles in modulating a variety of cellular processes
by posttranscriptional regulation of their target genes. Vascular endothelial
growth factor (VEGF), VEGF receptor-2 (VEGFR2), and fibroblast growth factor
receptor-1 (FGFR1) were identified by bioinformatic approaches and subsequently
validated as targets of microRNA (miR)-16 and miR-424 in endothelial cells (ECs).
METHODS AND RESULTS: Mimetics of these microRNAs reduced VEGF, VEGFR2, and FGFR1
expression, whereas specific antagonists enhanced their expression. Expression of
mature miR-16 and miR-424 was upregulated on VEGF or basic fibroblast growth
factor (bFGF) treatment. This upregulation was accompanied by a parallel increase
in primary transcript (pri-miR)-16-1 and pri-miR-16-2 but not in pri-miR-424
levels, indicating a VEGF/bFGF-dependent transcriptional and posttranscriptional
regulation of miR-16 and miR-424, respectively. Reduced expression of VEGFR2 and
FGFR1 by miR-16 or miR-424 overexpression regulated VEGF and bFGF signaling
through these receptors, thereby affecting the activity of downstream components
of the pathways. Functionally, miR-16 or miR-424 overexpression reduced
proliferation, migration, and cord formation of ECs in vitro, and lentiviral
overexpression of miR-16 reduced the ability of ECs to form blood vessels in
vivo. CONCLUSION: We conclude that these miRNAs fine-tune the expression of
selected endothelial angiogenic mediators in response to these growth factors.
Altogether, these findings suggest that miR-16 and miR-424 play important roles
in regulating cell-intrinsic angiogenic activity of ECs.
PMID- 21885852
TI - Fatty liver, abdominal visceral fat, and cardiometabolic risk factors: the
Jackson Heart Study.
AB - OBJECTIVE: The goal of this study was to examine whether fatty liver and
abdominal visceral adipose tissue (VAT) are jointly associated with
cardiometabolic abnormalities. METHODS AND RESULTS: Black participants were from
the Jackson Heart Study (n=2882, 65% women) who underwent computed tomography.
Fatty liver was measured by liver attenuation in Hounsfield units (LA), and VAT
was quantified volumetrically. Cross-sectional associations between LA, VAT, and
cardiometabolic risk factors were assessed using linear and logistic regression,
and their joint associations were further examined in 4 subgroups: high-LA/low
VAT (n=1704), low-LA/low-VAT (n=422), high-LA/high-VAT (n=436), and low-LA/high
VAT (n=320). Both LA and VAT were associated with most cardiometabolic traits
(all P<0.0001), which persisted after additional adjustment for each other (LA,
P<0.01-0.0001; VAT, P<0.0001). In bootstrap analyses, the regression coefficient
of VAT was significantly greater than LA for triglycerides, high-density
lipoprotein cholesterol, impaired glucose, and metabolic syndrome (P=0.009
0.0001). The interaction between LA and VAT was significant for high-density
lipoprotein cholesterol (P=0.002), impaired glucose (P=0.003), and metabolic
syndrome (P=0.04). Among 4 subgroups, participants with higher VAT and lower LA
had higher prevalence of cardiometabolic traits than those with each condition
alone. CONCLUSION: Both fatty liver and VAT are independent correlates of
cardiometabolic risk, but the associations are stronger for VAT than for fatty
liver.
PMID- 21885853
TI - MicroRNA-758 regulates cholesterol efflux through posttranscriptional repression
of ATP-binding cassette transporter A1.
AB - OBJECTIVE: The ATP-binding cassette transporter A1 (ABCA1) is a major regulator
of macrophage cholesterol efflux and protects cells from excess intracellular
cholesterol accumulation; however, the mechanism involved in posttranscriptional
regulation of ABCA1 is poorly understood. We previously showed that microRNA-33
(miR-33) is 1 regulator. Here, we investigated the potential contribution of
other microRNAs (miRNAs) to posttranscriptional regulation of ABCA1 and
macrophage cholesterol efflux. METHODS AND RESULTS: We performed a bioinformatic
analysis for identifying miRNA target prediction sites in ABCA1 gene and an
unbiased genome-wide screen to identify miRNAs modulated by cholesterol excess in
mouse peritoneal macrophages. Quantitative real-time reverse transcription
polymerase chain reaction confirmed that miR-758 is repressed in cholesterol
loaded macrophages. Under physiological conditions, high dietary fat excess in
mice repressed miR-758 both in peritoneal macrophages and, to a lesser extent, in
the liver. In mouse and human cells in vitro, miR-758 repressed the expression of
ABCA1, and conversely, the inhibition of this miRNA by using anti-miR-758
increased ABCA1 expression. In mouse cells, miR-758 reduced cellular cholesterol
efflux to apolipoprotein A1 (apoA1), and anti-miR-758 increased it. miR-758
directly targets the 3'-untranslated region of Abca1 as assessed by 3'
untranslated region luciferase reporter assays. Interestingly, miR-758 is highly
expressed in the brain, where it also targets several genes involved in
neurological functions, including Slc38a1, Ntm, Epha7, and Mytl1. CONCLUSION: We
identified miR-758 as a novel miRNA that posttranscriptionally controls ABCA1
levels in different cells and regulates macrophage cellular cholesterol efflux to
apoA1, opening new avenues to increase apoA1 and raise high-density lipoprotein
levels.
PMID- 21885855
TI - When prisoners take over the prison: a social psychology of resistance.
AB - There is a general tendency for social psychologists to focus on processes of
oppression rather than resistance. This is exemplified and entrenched by the
Stanford Prison Experiment (SPE). Consequently, researchers and commentators have
come to see domination, tyranny, and abuse as natural or inevitable in the world
at large. Challenging this view, research suggests that where members of low
status groups are bound together by a sense of shared social identity, this can
be the basis for effective leadership and organization that allows them to
counteract stress, secure support, challenge authority, and promote social change
in even the most extreme of situations. This view is supported by a review of
experimental research--notably the SPE and the BBC Prison Study--and case studies
of rebellion against carceral regimes in Northern Ireland, South Africa, and Nazi
Germany. This evidence is used to develop a social identity model of resistance
dynamics.
PMID- 21885854
TI - Dysregulated selectin expression and monocyte recruitment during ischemia-related
vascular remodeling in diabetes mellitus.
AB - OBJECTIVE: Diabetes mellitus (DM) is associated with impaired ischemia-related
vascular remodeling and also dysregulation of the inflammatory response. We
sought to determine whether impaired selectin-mediated monocyte recruitment in
ischemic tissues contributes to blunted ischemia-mediated angiogenesis in DM.
METHODS AND RESULTS: Contrast-enhanced ultrasound perfusion imaging and molecular
imaging of endothelial P-selectin expression in the proximal hindlimb were
performed at 1, 3, and 21 days after arterial ligation in wild-type and db/db
mice. Ligation reduced muscle blood flow to ~0.05 mL/minute per gram in both
strains. Significant recovery of flow occurred only in wild-type mice (60%-65% of
baseline flow). On molecular imaging, baseline P-selectin signal was 4-fold
higher in db/db compared with wild-type mice (P<0.01) but increased minimally at
day 1 after ischemia, whereas signal increased approximately 10-fold in wild-type
mice (P<0.01). Immunohistology of the hindlimb skeletal muscle demonstrated
severely reduced monocyte recruitment in db/db mice compared with wild-type mice.
Local treatment with monocyte chemotactic protein-1 corrected the deficits in
postischemic P-selectin expression and monocyte recruitment in db/db mice and led
to greater recovery in blood flow. CONCLUSION: In DM, there is dysregulation of
the selectin response to limb ischemia, which leads to impaired monocyte
recruitment, which may be mechanistically related to reduced vascular remodeling
in limb ischemia.
PMID- 21885856
TI - Transnational relations between perceived parental acceptance and personality
dispositions of children and adults: a meta-analytic review.
AB - Three questions drawn from parental acceptance-rejection theory were addressed:
(a) Are children's perceptions of parental acceptance transnationally associated
with specific personality dispositions? (b) Are adults' remembrances of parental
acceptance in childhood transnationally associated with these personality
dispositions? and (c) Do relations between parental acceptance and offspring's
personality dispositions vary by gender of parents? All studies used the child
and adult versions of the Parental Acceptance-Rejection Questionnaires (PARQ) for
Mothers and for Fathers, as well as the child and adult versions of the
Personality Assessment Questionnaire (PAQ). Results showed that both maternal and
paternal acceptance in childhood correlated significantly in all countries with
all seven personality dispositions of adult offspring. Adults' remembrance of
paternal acceptance in childhood correlated significantly with all adult
personality dispositions except dependence.
PMID- 21885857
TI - Negativity and outgroup biases in attitude formation and transfer.
AB - In an initial experiment, the behavior of one person had a stronger influence on
implicit evaluations of another person from the same group when (a) the attitude
was negative rather than positive and (b) the people were outgroup members rather
than ingroup members. Explicitly, participants resisted these attitude transfer
effects. In a second experiment, negative information formed less negative
explicit attitudes when the target was Black than when the target was White, and
participants were more vigilant not to transfer that negative attitude to a new
Black person. Implicit attitudes, however, transferred to both Black and White
targets. Positive information formed stronger positive explicit attitudes when
the target was Black than when the target was White, and that evaluation
transferred to another Black person both implicitly and explicitly. Even when
deliberately resisting outgroup negativity in attitude formation and transfer,
people appear unable to avoid it implicitly.
PMID- 21885858
TI - Perceived racial discrimination as a barrier to college enrollment for African
Americans.
AB - African Americans trail European Americans in terms of academic achievement, even
when accounting for differences in socioeconomic status. One cause of this gap
may be racial discrimination. In the current article the authors hypothesized
that early perceived racial discrimination (by 5th grade) would predict college
enrollment, mediated by changes from 7th grade to 10th grade in academic
orientation, college aspirations and expectations, and deviance tolerance.
Participants were 750 African Americans from the Family and Community Health
Study. A structural equation model that controlled for socioeconomic status and
earlier academic performance supported the hypothesis, with the exception that
discrimination did not influence aspirations. The effect of discrimination on
expectations, however, was moderated: Participants with high future orientation
maintained high expectations in spite of discrimination. These results suggest
that discrimination discourages some African Americans from pursuing higher
education and that increasing future orientation may promote African Americans'
college enrollment.
PMID- 21885860
TI - Social exclusion and pain sensitivity: why exclusion sometimes hurts and
sometimes numbs.
AB - Some research indicates that social exclusion leads to increased emotional- and
physical-pain sensitivity, whereas other work indicates that exclusion causes
emotional- and physical-pain numbing. This research sought to examine what causes
these opposing outcomes. In Study 1, the paradigm used to instantiate social
exclusion was found to moderate the social exclusion-physical pain relation:
Future-life exclusion led to a numbing of physical pain whereas Cyberball
exclusion led to hypersensitivity. Study 2 examined the underlying mechanism,
which was hypothesized to be the severity of the "social injury." Participants
were subjected to either the standard future-life exclusion manipulation
(purported to be a highly severe social injury) or a newly created, less-severe
version. Supporting our hypothesis, the standard (highly severe) future-life
exclusion led to physical-pain numbing, whereas the less-severe future-life
exclusion resulted in hypersensitivity. Implications of these results for
understanding the exclusion-pain relation and other exclusion effects are
discussed.
PMID- 21885859
TI - When the seemingly innocuous "stings": racial microaggressions and their
emotional consequences.
AB - Commonplace situations that are seemingly innocuous may nonetheless be
emotionally harmful for racial minorities. In the current article the authors
propose that despite their apparent insignificance, these situations can be
harmful and experienced as subtle racism when they are believed to have occurred
because of their race. In Study 1, Asian Americans reported greater negative
emotion intensity when they believed that they encountered a situation because of
their race, even after controlling for other potential social identity
explanations. Study 2 replicated this finding and confirmed that the effect was
significantly stronger among Asian Americans than among White participants. These
findings clarify how perceptions of subtle racial discrimination that do not
necessarily involve negative treatment may account for the "sting" of racial
microaggressions, influencing the emotional well-being of racial minorities, even
among Asian Americans, a group not often expected to experience racism.
PMID- 21885861
TI - He just wants to play: how goals determine the influence of violent computer
games on aggression.
AB - Generally, the accessibility of goal-related constructs is inhibited upon goal
fulfillment. In line with this notion, the current studies explored whether
violent computer games may reduce relative accessibility of aggression if the
game involves the fulfillment of an aggressive goal. Specifically, in Study 1,
participants who watched a trailer for a violent computer game that fulfilled the
goal of venting anger showed less relative accessibility of aggression compared
to participants who watched the trailer without goal fulfillment. In Study 2,
actually playing a violent computer game to vent anger also decreased the
relative accessibility of aggression compared to a control condition in which the
game was played without such a goal. Lastly, in Study 3, the relative
accessibility of aggression was reduced after playing a violent computer game for
participants who reported a high general tendency to vent their anger.
PMID- 21885862
TI - Targeting FGFR/PDGFR/VEGFR impairs tumor growth, angiogenesis, and metastasis by
effects on tumor cells, endothelial cells, and pericytes in pancreatic cancer.
AB - Activation of receptor tyrosine kinases, such as fibroblast growth factor
receptor (FGFR), platelet-derived growth factor receptor (PDGFR), and VEGF
receptor (VEGFR), has been implicated in tumor progression and metastasis in
human pancreatic cancer. In this study, we investigated the effects of TKI258, a
tyrosine kinase inhibitor to FGFR, PDGFR, and VEGFR on pancreatic cancer cell
lines (HPAF-II, BxPC-3, MiaPaCa2, and L3.6pl), endothelial cells, and vascular
smooth muscle cells (VSMC). Results showed that treatment with TKI258 impaired
activation of signaling intermediates in pancreatic cancer cells, endothelial
cells, and VSMCs, even upon stimulation with FGF-1, FGF-2, VEGF-A, and PDGF-B.
Furthermore, blockade of FGFR/PDGFR/VEGFR reduced survivin expression and
improved activity of gemcitabine in MiaPaCa2 pancreatic cancer cells. In
addition, motility of cancer cells, endothelial cells, and VSMCs was reduced upon
treatment with TKI258. In vivo, therapy with TKI258 led to dose-dependent
inhibition of subcutaneous (HPAF-II) and orthotopic (L3.6pl) tumor growth.
Immunohistochemical analysis revealed effects on tumor cell proliferation
[bromodeoxyuridine (BrdUrd)] and tumor vascularization (CD31). Moreover, lymph
node metastases were significantly reduced in the orthotopic tumor model when
treatment was initiated early with TKI258 (30 mg/kg/d). In established tumors,
TKI258 (30 mg/kg/d) led to significant growth delay and improved survival in
subcutaneous and orthotopic models, respectively. These data provide evidence
that targeting FGFR/PDFGR/VEGFR with TKI258 may be effective in human pancreatic
cancer and warrants further clinical evaluation.
PMID- 21885863
TI - A6 peptide activates CD44 adhesive activity, induces FAK and MEK phosphorylation,
and inhibits the migration and metastasis of CD44-expressing cells.
AB - The A6 peptide (acetyl-KPSSPPEE-amino) has antitumor activity in the absence of
significant adverse events in murine tumor models and clinical trials. A6 shares
sequence homology with CD44, an adhesion receptor involved in metastasis that is
also a marker of cancer stem cells and drug-resistant phenotypes. We investigated
the mechanism of action of A6 by examining its effects on CD44 activity, cell
migration, and metastasis. A6 inhibited the migration of a subset of ovarian and
breast cancer cell lines, exhibiting IC(50) values of 5 to 110 nmol/L. The
ability of A6 to inhibit migration in vitro correlated with CD44 expression.
Immunopreciptation studies showed that CD44 binds A6 and that biotin-tagged A6
can be cross-linked to CD44. The binding of A6 altered the structure of CD44 such
that it was no longer recognized by a monoclonal antibody to a specific epitope.
Importantly, A6 potentiated the CD44-dependent adhesion of cancer cells to
hyaluronic acid and activated CD44-mediated signaling, as evidenced by focal
adhesion kinase and MAP/ERK kinase phosphorylation. In vivo, A6 (100 mg/kg
delivered s.c. twice daily) reduced the number of lung foci generated by the i.v.
injection of B16-F10 melanoma cells by 50% (P = 0.029 in an unpaired t test). We
conclude that A6 potently blocks the migration of CD44-positive cells in vitro
through an interaction with CD44 that alters its structure and activates CD44 to
enhance ligand binding and downstream signaling. The concurrent ability of A6 to
agonize the CD44 receptor suggests that CD44 activation may represent a novel
strategy for inhibiting metastatic disease.
PMID- 21885864
TI - Discovery and evaluation of inhibitors of human ceramidase.
AB - The ceramide/sphingosine-1-phosphate (S1P) rheostat has been hypothesized to play
a critical role in regulating tumor cell fate, with elevated levels of ceramide
inducing death and elevated levels of S1P leading to survival and proliferation.
Ceramidases are key enzymes that control this rheostat by hydrolyzing ceramide to
produce sphingosine and may also confer resistance to drugs and radiation.
Therefore, ceramidase inhibitors have excellent potential for development as new
anticancer drugs. In this study, we identify a novel ceramidase inhibitor
(Ceranib-1) by screening a small molecule library and describe the synthesis of a
more potent analogue (Ceranib-2). In a cell-based assay, both compounds were
found to inhibit cellular ceramidase activity toward an exogenous ceramide
analogue, induce the accumulation of multiple ceramide species, decrease levels
of sphingosine and S1P, inhibit the proliferation of cells alone and in
combination with paclitaxel, and induce cell-cycle arrest and cell death. In
vivo, Ceranib-2 was found to delay tumor growth in a syngeneic tumor model
without hematologic suppression or overt signs of toxicity. These data support
the selection of ceramidases as suitable targets for anticancer drug development
and provide the first nonlipid inhibitors of human ceramidase activity.
PMID- 21885865
TI - The aurora kinase inhibitor CCT137690 downregulates MYCN and sensitizes MYCN
amplified neuroblastoma in vivo.
AB - Aurora kinases regulate key stages of mitosis including centrosome maturation,
spindle assembly, chromosome segregation, and cytokinesis. Aurora A and B kinase
overexpression has also been associated with various human cancers, and as such,
they have been extensively studied as novel antimitotic drug targets. Here, we
characterize the Aurora kinase inhibitor CCT137690, a highly selective, orally
bioavailable imidazo[4,5-b]pyridine derivative that inhibits Aurora A and B
kinases with low nanomolar IC(50) values in both biochemical and cellular assays
and exhibits antiproliferative activity against a wide range of human solid tumor
cell lines. CCT137690 efficiently inhibits histone H3 and transforming acidic
coiled-coil 3 phosphorylation (Aurora B and Aurora A substrates, respectively) in
HCT116 and HeLa cells. Continuous exposure of tumor cells to the inhibitor causes
multipolar spindle formation, chromosome misalignment, polyploidy, and apoptosis.
This is accompanied by p53/p21/BAX induction, thymidine kinase 1 downregulation,
and PARP cleavage. Furthermore, CCT137690 treatment of MYCN-amplified
neuroblastoma cell lines inhibits cell proliferation and decreases MYCN protein
expression. Importantly, in a transgenic mouse model of neuroblastoma that
overexpresses MYCN protein and is predisposed to spontaneous neuroblastoma
formation, this compound significantly inhibits tumor growth. The potent
preclinical activity of CCT137690 suggests that this inhibitor may benefit
patients with MYCN-amplified neuroblastoma.
PMID- 21885866
TI - Identification of small-molecule inhibitors of the colorectal cancer oncogene
Kruppel-like factor 5 expression by ultrahigh-throughput screening.
AB - The transcription factor Kruppel-like factor 5 (KLF5) is primarily expressed in
the proliferative zone of the mammalian intestinal epithelium, where it regulates
cell proliferation. Studies showed that inhibition of KLF5 expression reduces
proliferation rates in human colorectal cancer cells and intestinal tumor
formation in mice. To identify chemical probes that decrease levels of KLF5, we
used cell-based ultrahigh-throughput screening (uHTS) to test compounds in the
public domain of NIH, the Molecular Libraries Probe Production Centers Network
library. The primary screen involved luciferase assays in the DLD-1/pGL4.18hKLF5p
cell line, which stably expressed a luciferase reporter driven by the human KLF5
promoter. A cytotoxicity counterscreen was done in the rat intestinal epithelial
cell line, IEC-6. We identified 97 KLF5-selective compounds with EC(50) < 10
MUmol/L for KLF5 inhibition and EC(50) > 10 MUmol/L for IEC-6 cytotoxicity. The
two most potent compounds, CIDs (PubChem Compound IDs) 439501 and 5951923, were
further characterized on the basis of computational, Western blot, and cell
viability analyses. Both of these compounds, and two newly synthesized structural
analogs of CID 5951923, significantly reduced endogenous KLF5 protein levels and
decreased viability of several colorectal cancer cell lines without any apparent
impact on IEC-6 cells. Finally, when tested in the NCI-60 panel of human cancer
cell lines, compound CID 5951923 was selectively active against colon cancer
cells. Our results show the feasibility of uHTS in identifying novel compounds
that inhibit colorectal cancer cell proliferation by targeting KLF5.
PMID- 21885867
TI - Chronic stroke survivors benefit from high-intensity aerobic treadmill exercise:
a randomized control trial.
AB - BACKGROUND AND OBJECTIVE: Ambulatory subjects after stroke may benefit from gait
oriented cardiovascular fitness training, but trials to date have not primarily
assessed older persons. METHODS: Thirty-eight subjects (age >60 years) with
residual hemiparetic gait were enrolled >6 months after stroke. Participants were
randomized to receive 3 months (3*/week) progressive graded, high-intensity
aerobic treadmill exercise (TAEX) or conventional care physiotherapy. Primary
outcome measures were peak exercise capacity (Vo(2peak)) and sustained walking
capacity in 6-minute walks (6MW). Secondary measures were gait velocity in 10-m
walks, Berg Balance Scale, functional leg strength (5 chair-rise), self-rated
mobility (Rivermead Mobility Index), and quality of life (SF-12). RESULTS: Thirty
six participants completed the study (18 TAEX, 18 controls). TAEX but not
conventional care improved Vo(2peak) (difference 6.4 mL/kg/min, P < .001) and 6MW
(53 m, P < .001). Likewise, maximum walking speed (0.13 m/s, P = .01), balance (P
< .05), and the mental subscore of the SF-12 (P < .01) improved more after TAEX.
Gains in Vo(2peak) correlated with the degree at which training intensity could
be progressed in the individual participant (P < .01). Better walking was related
to progression in treadmill velocity and training duration (P < .001). Vo(2peak)
and 6MW performances were still higher 1 year after the end of training when
compared with the baseline, although endurance walking (6MW) at 1 year was lower
than immediately after training (P < .01). CONCLUSION: This trial demonstrates
that TAEX effectively improves cardiovascular fitness and gait in persons with
chronic stroke.
PMID- 21885868
TI - Targeting curcusomes to inflammatory dendritic cells inhibits NF-kappaB and
improves insulin resistance in obese mice.
AB - OBJECTIVE: To determine whether and by what mechanism systemic delivery of
curcumin-containing liposomes improves insulin resistance in the leptin deficient
(ob/ob) mouse model of insulin resistance. RESEARCH DESIGN AND METHODS: Insulin
resistant ob/ob mice with steatosis were injected intraperitoneally with liposome
nanoparticles, entrapping the nuclear factor-kappaB (NF-kappaB) inhibitor
curcumin (curcusomes), and uptake in liver and adipose tissue was determined by
flow cytometry. The effects of curcusomes on macrophage NF-kappaB activation and
cytokine production were assessed. Transfer experiments determined the role of
hepatic tumor necrosis factor (TNF)/inducible nitric oxide synthase-producing
dendritic cells (Tip-DCs) and adipose tissue macrophages (ATMs) in inflammation
induced insulin resistance, determined by homeostatic assessment of insulin
resistance. RESULTS: Phagocytic myeloid cells infiltrating the liver in ob/ob
mice had the phenotypic characteristics of Tip-DCs that arise from monocyte
precursors in the liver and spleen after infection. Targeting Tip-DCs and ATMs
with curcusomes in ob/ob mice reduced NF-kappaB/RelA DNA binding activity,
reduced TNF, and enhanced interleukin-4 production. Curcusomes improved
peripheral insulin resistance. CONCLUSIONS: Both hepatic Tip-DCs and ATMs
contribute to insulin resistance in ob/ob mice. Curcusome nanoparticles inhibit
proinflammatory pathways in hepatic Tip-DCs and ATMs and reverse insulin
resistance. Targeting inflammatory DCs is a novel approach for type 2 diabetes
treatment.
PMID- 21885869
TI - CCK stimulation of GLP-1 neurons involves alpha1-adrenoceptor-mediated increase
in glutamatergic synaptic inputs.
AB - OBJECTIVE: Glucagon-like peptide 1 (GLP-1) is involved in the central regulation
of food intake. It is produced within the brain by preproglucagon (PPG) neurons,
which are located primarily within the brain stem. These neurons project widely
throughout the brain, including to the appetite centers in the hypothalamus, and
are believed to convey signals related to satiety. Previous work demonstrated
that they are directly activated by leptin and electrical activity of the
afferent vagus. Another satiety hormone, cholecystokinin (CCK), has also been
linked to activation of brain stem neurons, suggesting that it might act
partially via centrally projecting neurons from the nucleus tractus solitarius
(NTS). The aim of this study was to investigate the neuronal circuitry linking
CCK to the population of NTS-PPG neurons. RESEARCH DESIGN AND METHODS: Transgenic
mice expressing yellow fluorescent protein (Venus) under the control of the PPG
promoter were used to identify PPG neurons in vitro and to record their
electrical and pharmacological profile. RESULTS: PPG neurons in the NTS were
excited by CCK and epinephrine, but not by the melanocortin receptor agonist
melanotan II. Both CCK and epinephrine acted to increase glutamatergic
transmission to the PPG neurons, and this involved activation of alpha(1)
adrenergic receptors. Inhibition of adrenergic signaling abolished the excitatory
action of CCK. CONCLUSIONS: CCK activates NTS-PPG cells by a circuit involving
adrenergic and glutamatergic neurons. NTS-PPG neurons integrate a variety of
peripheral signals that indicate both long-term energy balance and short-term
nutritional and digestional status to produce an output signal to feeding and
autonomic circuits.
PMID- 21885870
TI - Mechanisms of control of the free Ca2+ concentration in the endoplasmic reticulum
of mouse pancreatic beta-cells: interplay with cell metabolism and [Ca2+]c and
role of SERCA2b and SERCA3.
AB - OBJECTIVE: Sarco-endoplasmic reticulum Ca(2+)-ATPase 2b (SERCA2b) and SERCA3 pump
Ca(2+) in the endoplasmic reticulum (ER) of pancreatic beta-cells. We studied
their role in the control of the free ER Ca(2+) concentration ([Ca(2+)](ER)) and
the role of SERCA3 in the control of insulin secretion and ER stress. RESEARCH
DESIGN AND METHODS: beta-Cell [Ca(2+)](ER) of SERCA3(+/+) and SERCA3(-/-) mice
was monitored with an adenovirus encoding the low Ca(2+)-affinity sensor D4
addressed to the ER (D4ER) under the control of the insulin promoter. Free
cytosolic Ca(2+) concentration ([Ca(2+)](c)) and [Ca(2+)](ER) were simultaneously
recorded. Insulin secretion and mRNA levels of ER stress genes were studied.
RESULTS: Glucose elicited synchronized [Ca(2+)](ER) and [Ca(2+)](c) oscillations.
[Ca(2+)](ER) oscillations were smaller in SERCA3(-/-) than in SERCA3(+/+) beta
cells. Stimulating cell metabolism with various [glucose] in the presence of
diazoxide induced a similar dose-dependent [Ca(2+)](ER) rise in SERCA3(+/+) and
SERCA3(-/-) beta-cells. In a Ca(2+)-free medium, glucose moderately raised
[Ca(2+)](ER) from a highly buffered cytosolic Ca(2+) pool. Increasing [Ca(2+)](c)
with high [K] elicited a [Ca(2+)](ER) rise that was larger but more transient in
SERCA3(+/+) than SERCA3(-/-) beta-cells because of the activation of a Ca(2+)
release from the ER in SERCA3(+/+) beta-cells. Glucose-induced insulin release
was larger in SERCA3(-/-) than SERCA3(+/+) islets. SERCA3 ablation did not induce
ER stress. CONCLUSIONS: [Ca(2+)](c) and [Ca(2+)](ER) oscillate in phase in
response to glucose. Upon [Ca(2+)](c) increase, Ca(2+) is taken up by SERCA2b and
SERCA3. Strong Ca(2+) influx triggers a Ca(2+) release from the ER that depends
on SERCA3. SERCA3 deficiency neither impairs Ca(2+) uptake by the ER upon cell
metabolism acceleration and insulin release nor induces ER stress.
PMID- 21885871
TI - miR-146a-Mediated extracellular matrix protein production in chronic diabetes
complications.
AB - OBJECTIVE: MicroRNAs (miRNAs), through transcriptional regulation, modulate
several cellular processes. In diabetes, increased extracellular matrix protein
fibronectin (FN) production is known to occur through histone acetylator p300.
Here, we investigated the role of miR-146a, an FN-targeting miRNA, on FN
production in diabetes and its relationship with p300. RESEARCH DESIGN AND
METHODS: miR-146a expressions were measured in endothelial cells from large
vessels and retinal microvessels in various glucose levels. FN messenger RNA
expression and protein levels with or without miR-146a mimic or antagomir
transfection were examined. A luciferase assay was performed to detect miR-146a's
binding to FN 3'-untranslated region (UTR). Likewise, retinas from type 1
diabetic rats were studied with or without an intravitreal injection of miR-146a
mimic. In situ hybridization was used to localize retinal miR-146a. Cardiac and
renal tissues were analyzed from type 1 and type 2 diabetic animals. RESULTS: A
total of 25 mmol/L glucose decreased miR-146a expression and increased FN
expression compared with 5 mmol/L glucose in both cell types. miR-146a mimic
transfection prevented such change, whereas miR-146a antagomir transfection in
the cells in 5 mmol/L glucose caused FN upregulation. A luciferase assay
confirmed miR-146a's binding to FN 3'-UTR. miR-146a was localized in the retinal
endothelial cells and was decreased in diabetes. Intravitreal miR-146a mimic
injection restored retinal miR-146a and decreased FN in diabetes. Additional
experiments showed that p300 regulates miR-146a. Similar changes were seen in the
retinas, kidneys, and hearts in type 1 and type 2 diabetic animals. CONCLUSIONS:
These studies showed a novel, glucose-induced molecular mechanism in which miR
146a participates in the transcriptional circuitry regulating extracellular
matrix protein production in diabetes.
PMID- 21885872
TI - Hepatic glucagon action is essential for exercise-induced reversal of mouse fatty
liver.
AB - OBJECTIVE: Exercise is an effective intervention to treat fatty liver. However,
the mechanism(s) that underlie exercise-induced reductions in fatty liver are
unclear. Here we tested the hypothesis that exercise requires hepatic glucagon
action to reduce fatty liver. RESEARCH DESIGN AND METHODS: C57BL/6 mice were fed
high-fat diet (HFD) and assessed using magnetic resonance, biochemical, and
histological techniques to establish a timeline for fatty liver development over
20 weeks. Glucagon receptor null (gcgr(-/-)) and wild-type (gcgr(+/+)) littermate
mice were subsequently fed HFD to provoke moderate fatty liver and then performed
either 10 or 6 weeks of running wheel or treadmill exercise, respectively.
RESULTS: Exercise reverses progression of HFD-induced fatty liver in gcgr(+/+)
mice. Remarkably, such changes are absent in gcgr(-/-) mice, thus confirming the
hypothesis that exercise-stimulated hepatic glucagon receptor activation is
critical to reduce HFD-induced fatty liver. CONCLUSIONS: These findings suggest
that therapies that use antagonism of hepatic glucagon action to reduce blood
glucose may interfere with the ability of exercise and perhaps other
interventions to positively affect fatty liver.
PMID- 21885873
TI - Hyalinization of the pyloric stomach in CD-1 mice following oral (dietary)
administration of the corticosteroid agonists mometasone furoate, budesonide, and
flunisolide.
AB - The purpose of this study was to compare the toxicity of three marketed
corticosteroid receptor agonists (mometasone furoate, budesonide, or flunisolide)
to the stomach of female CD-1 mice following oral administration via the diet for
up to 52 weeks, with a 16-week recovery period (budesonide and flunisolide). A
range of tissues was examined by light microscopy, accompanied by clinical
pathology measurements to assess anticipated corticosteroid effects as a
surrogate marker of systemic drug exposure. Microscopic changes seen in the
stomach with each corticosteroid included pyloric hyalinization. This previously
unreported finding was investigated using histochemical and immunohistochemical
techniques and was found to consist of hyalinized collagen, in association with
increased immunohistochemical signal for transglutaminase-2 and osteopontin. The
significance of the osteopontin finding is unclear; however, the ability of
transglutaminase-2 to facilitate the formation of degradation resistant protein
bonds implies this protein may be involved in the pathogenesis of this change.
Furthermore, published evidence that transglutaminase-2 may be induced by a
corticosteroid agonist raises the possibility that pyloric stomach hyalinization
may be a class effect of corticosteroids via the action of this enzyme.
PMID- 21885874
TI - Role of quercetin in preventing thioacetamide-induced liver injury in rats.
AB - In hepatic toxicity induced in rats by two injections of thioacetamide (TAA, 350
mg/kg with an interval of 8 hr), the action of quercetin was investigated. After
96 hr, TAA administration resulted in hepatic necrosis, significant increases in
serum transaminase activity, and increases in hepatic lipoperoxidation.
Thioacetamide-induced hepatotoxicity also showed changes in antioxidant enzymes
in the liver of rats, with alterations in p-ERK 1/2 (phosphorylated extracellular
signal related kinase 1/2) as well as an imbalance between proapototic protein
Bax and anti-apoptotic protein Bcl-2 expression. With administration of the
flavonoid quercetin (50 mg/Kg i.p.) for four consecutive days following TAA,
serum aspartate aminotransferase (AST) and alanine aminotransferase (ALT)
activity were close to normal values in rats. Histological findings suggested
that quercetin had a preventive effect on TAA-induced hepatic necrosis. Quercetin
treatment caused significant decreases in lipid peroxide levels in the TAA
treated rats, with some changes in antioxidant enzymes superoxide dismutase
(SOD), catalase (CAT), and glutathione peroxidase (GPx). Quercetin also inhibited
the change of the p-ERK1/2 by TAA and significantly prevented the increase in
Bax/Bcl-2 ratio, thus preventing apoptosis. Findings indicate that quercetin may
have a preventive effect on TAA-induced hepatotoxicity by modulating the
oxidative stress parameters and apoptosis pathway.
PMID- 21885875
TI - Malignancies associated with tumour necrosis factor inhibitors in registries and
prospective observational studies: a systematic review and meta-analysis.
AB - OBJECTIVES: This project was undertaken to assess the risk of malignancy in
patients with rheumatoid arthritis treated with tumour necrosis factor inhibitors
(TNFi) in clinical practice, as recorded in prospective, observational studies.
METHODS: The authors undertook comprehensive searches of MEDLINE, EMBASE, the
Cochrane Database of Systematic Reviews and American College of Rheumatology,
European League against Rheumatism and British Society for Rheumatology
conference abstracts according to a prespecified protocol. RESULTS: The searches
identified 2039 full-text papers and 1979 conference abstracts, of which 21 full
texts and eight abstracts met the inclusion criteria. The pooled estimate for the
risk of all-site malignancy from seven studies was 0.95 (95% CI 0.85 to 1.05).
Two studies reported there was no evidence that longer exposure to TNFi agents
increased the risk of malignancy. In patients with previous malignancies there
was a higher risk of a new/recurring malignancy. This risk was not increased
further by exposure to TNFi, although CI were wide. Results from four studies
showed that patients treated with TNFi have a significantly increased risk of
developing a non-melanoma skin cancer (1.45, 95% CI 1.15 to 1.76). In addition,
patients are at an increased risk of developing melanoma, as the pooled estimate
from two studies was 1.79 (95% CI 0.92 to 2.67). The pooled estimate for the risk
of lymphoma was 1.11 (95% CI 0.70 to 1.51). CONCLUSIONS: This systematic review
and meta-analysis shows that TNFi treatments do not increase the risk of
malignancy, particularly lymphoma. However, they do appear to increase the risk
of skin cancer, including melanoma.
PMID- 21885876
TI - The significance of early alpha-fetoprotein level changes in predicting clinical
and survival benefits in advanced hepatocellular carcinoma patients receiving
sorafenib.
AB - BACKGROUND: he role of serum alpha-fetoprotein (AFP) changes in predicting the
treatment outcomes of advanced hepatocellular carcinoma (HCC) patients to
sorafenib remains unknown. METHODS: Serum AFP was collected prospectively at
baseline and subsequent follow-up visits in parallel with clinical and survival
outcomes. AFP response was defined as a relative drop of AFP >20% of the baseline
level after 6 weeks of sorafenib. The relationship between AFP response and the
treatment outcomes was first explored in patients who received sorafenib in a
phase II study. Subsequently, an independent validation set of patients were
obtained to validate the association of AFP response to clinical outcomes.
RESULTS: Included in the exploration and validation sets for analysis were 41 and
53 patients, respectively, with baseline AFP level >20 MUg/L. In the exploration
cohort, AFP response was significantly associated with clinical benefit (CB) rate
(relative chance 3.4, 95% confidence interval [CI], 1.1-11.1), and multivariate
analysis indicated that AFP response was associated with significantly better
progression-free survival (PFS) (hazard ratio [HR], 0.31; 95% CI, 0.13-0.76) and
marginally better overall survival (OS) (HR, 0.30; 95% CI, 0.09-1.02). When
applying AFP changes in the validation set, significant associations were again
found between AFP response with CB rate (relative chance, 5.5; 95% CI, 2.3-13.6)
and PFS (HR, 0.12; 95% CI, 0.04-0.30) but not OS (HR, 0.61; 95% CI, 0.27-1.26).
CONCLUSION: Drop in AFP level at 6 weeks is an exploratory early surrogate for
both CB and PFS in advanced HCC patients receiving sorafenib.
PMID- 21885877
TI - Polynucleotide kinase and aprataxin-like forkhead-associated protein (PALF) acts
as both a single-stranded DNA endonuclease and a single-stranded DNA 3'
exonuclease and can participate in DNA end joining in a biochemical system.
AB - Polynucleotide kinase and aprataxin-like forkhead-associated protein (PALF, also
called aprataxin- and PNK-like factor (APLF)) has been shown to have nuclease
activity and to use its forkhead-associated domain to bind to x-ray repair
complementing defective repair in Chinese hamster cells 4 (XRCC4). Because XRCC4
is a key component of the ligase IV complex that is central to the nonhomologous
DNA end joining (NHEJ) pathway, this raises the possibility that PALF might play
a role in NHEJ. For this reason, we further studied the nucleolytic properties of
PALF, and we searched for any modulation of PALF by NHEJ components. We verified
that PALF has 3' exonuclease activity. However, PALF also possesses single
stranded DNA endonuclease activity. This single-stranded DNA endonuclease
activity can act at all single-stranded sites except those within four
nucleotides 3' of a double-stranded DNA junction, suggesting that PALF minimally
requires approximately four nucleotides of single-strandedness. Ku, DNA-dependent
protein kinase catalytic subunit, and XRCC4-DNA ligase IV do not modulate PALF
nuclease activity on single-stranded DNA or overhangs of duplex substrates. PALF
does not open DNA hairpins. However, in a reconstituted end joining assay that
includes Ku, XRCC4-DNA ligase IV, and PALF, PALF is able to resect 3' overhanging
nucleotides and permit XRCC4-DNA ligase IV to complete the joining process in a
manner that is as efficient as Artemis. Reduction of PALF in vivo reduces the
joining of incompatible DNA ends. Hence, PALF can function in concert with other
NHEJ proteins.
PMID- 21885878
TI - Domain-specific physical activity and self-report bias among low-income Latinas
living in San Diego County.
AB - BACKGROUND: Evidence suggests that Latina women appear to be less physically
active than women of other racial/ethnic groups. This study evaluated how
different domains of physical activity (PA) contributed to overall levels of PA
among low-income Latinas, the validity of Latinas' self-reported PA, and
potential moderators of self-report bias in PA. METHODS: A community sample of
105 Latinas (mean age = 35.9 +/- 9.0 years; mean body mass index = 31.6 +/- 7.2)
completed the long form Spanish-language version of the International Physical
Activity Questionnaire (IPAQ), wore an accelerometer for seven days, and
completed self-reported measures of acculturation and socioeconomic status.
RESULTS: Ninety-six percent of IPAQ-reported moderate-intensity PA (MPA) was
accrued during household activities, with only 4% accrued during leisure time.
Seventy-two percent of participants met national recommendations for PA using
IPAQ data, but only 20% met recommendations when measured by accelerometer. When
bouts of MPA lasting >10 min were included, 0% met recommendations. Age appeared
to moderate self-report bias of vigorous PA, and there were nonsignificant trends
for acculturation and income to moderate MPA and vigorous-intensity PA,
respectively. CONCLUSIONS: Data suggest that it is important to measure household
activity of Latinas, and that the IPAQ yield overestimates of self-report PA.
PMID- 21885879
TI - Leisure-time physical activity among adult and elderly individuals in Brazil: a
countrywide analysis.
AB - BACKGROUND: No data on leisure-time physical activity (LTPA) are available in
Brazil for a representative sample of the whole country. The current study
describes LTPA levels of the Brazilian adult and elderly populations and explores
its association with sex, age, and schooling. METHODS: A countrywide household
based cross-sectional study was carried out, including separate samples of adult
and elderly individuals from 23 states in Brazil selected through a multistage
approach. LTPA was assessed using the long version of the International Physical
Activity Questionnaire. A cut-off point of 150 minutes per week was used.
RESULTS: 12,402 adult and 6617 elderly individuals were interviewed in 100
cities. The proportion of individuals below the 150 minutes per week threshold in
terms of LTPA was 82.6% (95% CI 81.9; 83.2) among the adults and 86.5% (95% CI
85.7; 87.3) among elderly individuals. Among adults, prevalence estimates were
very consistent across regions. Elderly subjects living in states in the
Northeast and North regions of the country were less active than those from the
other regions. A clear positive dose-response association was observed between
LTPA and schooling. CONCLUSION: Alarming rates of low levels of LTPA are found in
Brazil, thus suggesting the need of urgent actions.
PMID- 21885881
TI - Meeting physical activity recommendations for colon cancer prevention among
Japanese adults: prevalence and sociodemographic correlates.
AB - BACKGROUND: Although physical activity is associated with a lower risk of colon
cancer, few studies have described the physical activity required for colon
cancer prevention in various sociodemographic subgroups. The current study
examined the prevalence and sociodemographic correlates of attaining the 2
recommended physical activity criteria for colon cancer prevention among Japanese
adults. METHODS: The sample included 5322 Japanese adults aged 20 to 79 years.
Seven sociodemographic attributes (eg, gender, age, education level, employment
status) and the International Physical Activity Questionnaire were assessed via
an Internet-based survey. The odds of meeting each physical activity criterion by
sociodemographic variables were calculated. RESULTS: Overall, 23.8% of the study
population met the criterion of >= 420 minutes of moderate-intensity activity,
and 6.4% met the criterion of >= 210 minutes of vigorous activity. Being male,
highly educated, employed, living with another person, being married and having a
higher household income were significantly correlated with the attainment of
recommendations. CONCLUSIONS: Participants who met the 2 activity recommendations
differed in gender, education level, employment status, marital status, living
conditions, and household income. The findings of the current study imply that
strategies to promote more intense physical activity in all demographic groups
may be necessary.
PMID- 21885880
TI - Walking for transportation among Latino adults in San Diego County: who meets
physical activity guidelines?
AB - BACKGROUND: U.S. Latinos engage in nonleisure-time walking (NLTW) more than other
ethno-racial groups. Studies are needed to explore factors associated with NLTW
to inform interventions for effective physical activity promotion. PURPOSE: To
examine the social-ecological correlates of NLTW among Mexican-origin Latinos.
METHODS: Individual, social, and environmental level factors and PA were assessed
in a telephone survey completed by 672 Mexican-origin adults randomly sampled in
San Diego County. Data were collected in 2006 and analyzed in 2009. RESULTS:
Participants were mostly female (71%), with an average age of 39 years. Less than
one-third met PA guidelines for NLTW (29%). Structural equation modeling showed
that NLTW was positively associated with being female, but negatively associated
with living in the U.S. >= 12 years, and being U.S.-born. CONCLUSIONS: In this
sample NLTW differed by various indicators of acculturation and gender. These
findings might help inform the development of interventions to promote NLTW and
thus physical activity in Mexican-origin adults.
PMID- 21885882
TI - Perceived barriers to exercise in Hispanic adults by level of activity.
AB - BACKGROUND: National data show that Hispanics report low levels of physical
activity. Limited information on barriers to exercise in this population exists
in the literature. METHODS: Surveys were administered to 398 Hispanic
participants from two colonias in South Texas to investigate self-reported levels
of and perceived barriers to exercise. One-way ANOVA by level of activity and t
tests by gender were conducted. Exploratory factor analysis was used to examine
patterns by level of activity. RESULTS: Results show that 67.6% of respondents
did not meet physical activity recommendations of at least 150 minutes per week,
as compared with 55.6% nationally. Overall, the most frequently reported barriers
included "lack of time," "very tired," and "lack of self-discipline" to exercise.
An exploratory factor analysis of the barriers reported by participants not
meeting physical activity recommendations resulted in a 3-factor structure. A
unidimensional scale was found for participants meeting recommendations.
CONCLUSIONS: Findings suggest that future interventions should be specific to
gender and exercise level to address the high prevalence of inactivity in this
population.
PMID- 21885883
TI - Factors associated with active commuting to school and to work among Brazilian
adolescents.
AB - BACKGROUND: Active commuting has decreased substantially in recent decades and
has been more frequent in specific demographic and socioeconomic profiles. The
objective of this study was to describe the prevalence of active trips and the
possible associations with demographic and socioeconomic variables. METHODS: A
questionnaire on lifestyle and risk behavior was administered to a sample
population of 5028 adolescents, ages 15 to 19 years, attending public high
schools in the state of Santa Catarina, Brazil. Logistic regressions (odds ratio-
OR; 95% confidence interval) were used to test associations. RESULTS: Active
commuting to school was reported for 56.7% of students, and active commuting to
work was reported for 70.0%. The likelihood of commuting passively was greater
among girls (school: OR = 1.27; 1.10-1.45), older adolescents (school: OR = 1.17;
1.02-1.33; work: OR = 1.49; 1.22-1.82), those who lived in rural areas (school:
OR = 12.1; 9.91-14.8), those who spent more time in commuting (school: OR = 2.33;
2.01-2.69; work: OR = 4.35; 3.52-5.38), and those from high-income families
(school: OR = 1.40; 1.21-1.62; work: OR = 1.69; 1.37-2.08). CONCLUSIONS: The
proportion of students taking active trips was higher when going to work than to
school. All indicators were associated with the mode of commuting, except gender
and place of residence for commuting to work.
PMID- 21885884
TI - The effects of daily weather on accelerometer-measured physical activity.
AB - BACKGROUND: This study analyzes Chicago-area weather effects on objectively
measured physical activity over a 3-year period among a cohort of 241
participants in an on-going arthritis physical activity trial. METHODS: Uniaxial
accelerometer counts and interview data were analyzed for up to 6 weekly study
waves involving 4823 days of wear. The effects of temperature, rainfall, snowfall
and daylight hours were analyzed after controlling for participant
characteristics, day of the week, and daily accelerometer wear hours in a mixed
effects linear regression model. RESULTS: Daylight hours, mean daily temperature
< 20 or >= 75 degrees, and light or heavy rainfall (but not snowfall) were all
significantly associated with lower physical activity after controlling for the
significant effects of weekends, accelerometer wear hours, age, sex, type of
arthritis, employment, Hispanic ethnicity, obesity, and SF36 physical and mental
health scores. CONCLUSIONS: The cumulative effects of weather are reflected in a
38.3% mean monthly difference in daily counts between November and June,
reflecting over 3 additional hours of sedentary time. Physical activity promotion
programs for older persons with chronic conditions need lifestyle physical
activity plans adapted to weather extremes.
PMID- 21885885
TI - The effects of a supplemental, theory-based physical activity counseling
intervention for adults with type 2 diabetes.
AB - BACKGROUND: Physical activity (PA) is a cornerstone in the management of type 2
diabetes (T2DM). This pilot investigation explores the effects of a standard
diabetes education program compared with a supplemental PA intervention on
diabetes-related health outcomes. METHODS: Using a prospective 2-armed design, 96
adults with T2DM were randomly assigned to either standard care (diabetes
education program; n = 49) or standard care supplemented with an 8-week,
individualized-counseling and community-based PA component (n = 47). Measurements
were taken at baseline, 3, 6, and 12 months. Primary outcomes were changes in PA
(self-report) and HbA1c. Between group changes were compared using analysis of
covariance (ANCOVA) and changes over time using repeated-measures ANOVA. RESULTS:
In comparison with standard care, the supplemental group demonstrated an increase
in PA (Ps < 0.01) and cardiorespiratory fitness (Ps < 0.05) from baseline to all
follow-up time-points. HbA1c levels declined (P < .05) from baseline to all time
points in the standard care group. Reduction in cholesterol-ratio (P < .01),
increase in HDL (P < .05), and reductions in blood pressure, resting heart rate
and BMI (approaching statistical significance Ps < 0.10) were also reported for
both groups. CONCLUSIONS: PA counseling in addition to standard care is effective
for promoting PA behavior change and positive health-related outcomes among
individuals with T2DM.
PMID- 21885886
TI - Feasibility of institutional registry-based recruitment for enrolling newly
diagnosed breast cancer patients in an exercise trial.
AB - BACKGROUND: The purpose of this study was to determine the feasibility of using a
tumor registry to recruit newly diagnosed survivors into a randomized controlled
exercise trial and to discuss issues related to this recruitment strategy.
METHODS: A tumor registry-based rapid ascertainment system was used to recruit
breast cancer survivors into a 6-month home-based, telephone-administered
intervention of moderate-to-vigorous intensity exercise or a usual care group.
RESULTS: 468 newly diagnosed cases were identified. Of these, 50 women (15.4% of
those for which screening calls were made) were enrolled in the study. Women were
randomized, on average, 11 weeks after diagnosis (SD = 4.8). Sixty-four percent
were randomized before beginning treatment or within the first week of treatment.
Time required to obtain physician consent was the primary determinant of
diagnosis-to-randomization latency. Enrolled women were more likely than
nonenrolled women to be non-Hispanic White and to have a college degree (P <
.05). CONCLUSION: Tumor registries present a feasible means of recruiting breast
cancer survivors before or early in adjuvant treatment. The success of recruiting
survivors promptly after diagnosis is largely dependent on ability to rapidly
obtain physician consent. Specific effort is needed to counteract self-selection
effects that may lead to under-representation of minorities.
PMID- 21885887
TI - The association between physical education and symptoms of attention deficit
hyperactivity disorder.
AB - OBJECTIVE: The purpose of the current study was to examine the association
between structured physical activity, specifically physical education, and
symptoms of Attention Deficit Hyperactivity Disorder (ADHD). Physical activity
may be associated with lower levels of symptoms of ADHD and this rationale
provided the impetus for the current study. METHODS: A community-based,
nationally representative sample of children from the Early Childhood
Longitudinal Study, Kindergarten cohort (ECLS-K) was used. Structural equation
modeling was used to examine the association of physical activity with symptoms
of Attention Deficit Hyperactivity Disorder. Two random subsamples were drawn for
the purposes of cross-validation of our model. Statistics reflecting model fit
are reported. RESULTS: With a standardized path coefficient value of -.23,
findings from the current study indicate a significant, inverse association
between physical education, as a structured form of physical activity, with the
symptoms of Attention Deficit Hyperactivity Disorder in children. CONCLUSIONS:
Using a community-based, nationally representative sample of children aged 5 to 7
years old from the United States, the results of the current study suggest that
physical education, as a structured form of physical activity, may be considered
as associated with lower levels of symptoms of ADHD across time.
PMID- 21885889
TI - Psychological responses to acute exercise in sedentary black and white
individuals.
AB - BACKGROUND: Racial differences in psychological determinants of exercise exist
between non-Hispanic blacks (blacks) and non-Hispanic whites (whites). To date,
no study has examined racial differences in the psychological responses during
and after exercise. The objective of this study was to compare psychological
outcomes of single exercise bouts in blacks and whites. METHODS: On 3 separate
occasions, sedentary black (n = 16) and white (n = 14) participants walked on a
treadmill at 75%(max HR) for 75 minutes. Questionnaires assessing mood, state
anxiety, and exercise task self-efficacy were administered before and after each
exercise bout. In-task mood and rating of perceived exertion (RPE) were measured
every 5 minutes during exercise. RESULTS: Exercise self-efficacy and
psychological distress significantly improved in both blacks and whites. However
during exercise blacks reported more positive in-task mood and lower RPE compared
with whites. CONCLUSIONS: These data suggest that racial differences exist in
psychological responses during exercise. Further research should confirm these
findings in a larger, free-living population.
PMID- 21885888
TI - Association between school- and nonschool-based activity programs and physical
activity in adolescent girls.
AB - BACKGROUND: Some researchers have questioned if activity programs would be more
effective if based outside school (eg, community leagues) rather than within
schools. This study compared participation in activity programs based within and
outside of school, and estimated the associations between participation and
moderate-vigorous physical activity (MVPA) among adolescent girls. METHODS:
Within the Trial of Activity for Adolescent Girls, independent samples of 1559
6th-grade girls (age 11 to 12) and 3282 8th-grade girls (age 13 to 14) reported
program participation using questionnaires. MVPA was measured using
accelerometers. Linear mixed models accounted for school and site clustering.
RESULTS: Sixth-grade girls reported 5 times as many programs outside school as
within school (4.1 vs. 0.8); daily MVPA was 0.29 minutes higher (1.2% of the
mean) for each additional program outside school. Compared with 6th-grade girls,
8th-grade girls participated in 1.3 fewer programs outside school, while
programs' association with MVPA was unchanged. Conversely, school programs'
association with MVPA was greater in 8th grade. Daily MVPA was 1.33 minutes
higher per school program, and participation declined 0.13. CONCLUSION: Programs
within and outside schools can both increase activity among adolescent girls.
Intervention research should focus on increasing participation in school
programs, and increasing movement during programs outside school.
PMID- 21885890
TI - Postpartum physical activity in overweight and obese women.
AB - BACKGROUND: Low physical activity (PA) during the postpartum period is associated
with weight retention. While patterns of PA have been examined in normal weight
women during this period, little is known about PA among overweight and obese
women. The aim of this cross-sectional study was to investigate PA and determine
the proportion of women meeting recommendations for PA. METHODS: Women (n = 491),
with a body mass index (BMI) >= 25 kg/m2 were enrolled in a behavioral
intervention. PA was assessed at six weeks postpartum using the Seven-Day PA
Recall. RESULTS: Women averaged 923 +/- 100 minutes/day of sedentary/ light and
33 +/- 56 minutes/day of combined moderate, hard, and very hard daily activity.
Women with a BMI >= 40 kg/m2 reported more time in sedentary/light activities and
less hours of sleep than those with a lower BMI. Only 34% met national PA
guidelines; this proportion was significantly lower among blacks (OR 0.5, CI 0.3
0.9). CONCLUSIONS: These overweight and obese postpartum women reported a large
percentage of time spent in sedentary/light activity, and a high proportion
failed to meet minimal guidelines for PA. Promotion of PA in the postpartum
period should focus on reducing sedentary behaviors and increasing moderate PA.
PMID- 21885891
TI - Ambulatory activity associations with cardiovascular and metabolic risk factors
in smokers.
AB - BACKGROUND: We examined the association between ambulatory activity and
biological markers of health in smokers. METHODS: Baseline data from 985 subjects
enrolled in a pharmacologic smoking cessation trial were examined. Body size,
blood pressure, total cholesterol (TC), low density lipoprotein cholesterol (LDL
C), total and small LDL particles, LDL size, high density lipoprotein
cholesterol, triglycerides (TG), C-reactive protein (CRP), creatinine, fasting
glucose, and hemoglobin A1c were assessed in relation to pedometer-assessed
ambulatory activity, as was the odds of metabolic syndrome and CRP > 3 mg/L.
Effect modification by gender was examined. RESULTS: Only waist circumference was
lower with greater steps/day in the men and women combined (P(trend) < 0.001). No
other significant relationships were noted in men, while women with >= 7500
steps/day had lower weight, BMI, CRP, TG, total, and small LDL particles compared
with those with < 7500 steps/day. These women also had 62% and 43% lower odds of
metabolic syndrome and elevated CRP, respectively, compared with the less active
women. Adjustment for BMI attenuated all the associations seen in women.
CONCLUSIONS: Greater ambulatory activity is associated with lower levels of
metabolic and cardiovascular risk factors in female smokers which may, in part,
be mediated by a reduction in BMI.
PMID- 21885892
TI - Step counts and energy expenditure as estimated by pedometry during treadmill
walking at different stride frequencies.
AB - BACKGROUND: The purposes of this study were to determine the accuracy and
reliability of step counts and energy expenditure as estimated by a pedometer
during treadmill walking and to clarify the relationship between step counts and
current physical activity recommendations. METHODS: One hundred males (n = 50)
and females (n = 50) walked at stride frequencies (SF) of 80, 90, 100, 110, and
120 steps/min, during which time step counts and energy expenditure were
estimated with a Walk4Life Elite pedometer. RESULTS: The pedometer accurately
measured step counts at SFs of 100, 110, and 120 steps/min, but not 80 and 90
steps/min. Compared with energy expenditure as measured by a metabolic cart, the
pedometer significantly underestimated energy expenditure at 80 steps/min and
significantly overestimated measured energy expenditure at 90, 100, 110, and 120
steps/min. CONCLUSIONS: The pedometers' inability to accurately estimate energy
expenditure cannot be attributed to stride length entered into the pedometer or
its ability to measure step counts. Males met 3 criteria and females met 2
criteria for moderate-intensity physical activity at SF of 110 to 120 steps/min.
These results provide the basis for defining moderate-intensity physical activity
based on energy expenditure and step counts and may lead to an appropriate
steps/day recommendation.
PMID- 21885893
TI - Validity and reliability of the Omron HJ-303 tri-axial accelerometer-based
pedometer.
AB - BACKGROUND: This study compared the validity of a new Omron HJ-303 piezoelectric
pedometer and 2 other pedometers (Sportline Traq and Yamax SW200). METHODS: To
examine the effect of speed, 60 subjects walked on a treadmill at 2, 3, and 4
mph. Twenty subjects also ran at 6, 7, and 8 mph. To test lifestyle activities,
60 subjects performed front-back-side-side stepping, elliptical machine and stair
climbing/descending. Twenty others performed ballroom dancing. Sixty participants
completed 5 100-step trials while wearing 5 different sets of the devices tested
device reliability. Actual steps were determined using a hand tally counter.
RESULTS: Significant differences existed among pedometers (P < .05). For walking,
the Omron pedometers were the most valid. The Sportline overestimated and the
Yamax underestimated steps (P < .05). Worn on the waist or in the backpack, the
Omron device and Sportline were valid for running. The Omron was valid for 3
activities (elliptical machine, ascending and descending stairs). The Sportline
overestimated all of these activities, and Yamax was only valid for descending
stairs. The Omron andYamax were both valid and reliable in the 100-step trials.
CONCLUSIONS: The Omron HJ-303, worn on the waist, appeared to be the most valid
of the 3 pedometers.
PMID- 21885894
TI - Guest editor's introduction: Special section on the IEEE Pacific Visualization
Symposium.
PMID- 21885895
TI - Streamline integration using MPI-hybrid parallelism on a large multicore
architecture.
AB - Streamline computation in a very large vector field data set represents a
significant challenge due to the nonlocal and data-dependent nature of streamline
integration. In this paper, we conduct a study of the performance characteristics
of hybrid parallel programming and execution as applied to streamline integration
on a large, multicore platform. With multicore processors now prevalent in
clusters and supercomputers, there is a need to understand the impact of these
hybrid systems in order to make the best implementation choice. We use two MPI
based distribution approaches based on established parallelization paradigms,
parallelize over seeds and parallelize over blocks, and present a novel MPI
hybrid algorithm for each approach to compute streamlines. Our findings indicate
that the work sharing between cores in the proposed MPI-hybrid parallel
implementation results in much improved performance and consumes less
communication and I/O bandwidth than a traditional, nonhybrid distributed
implementation.
PMID- 21885896
TI - Bone structure and density via HR-pQCT in 60d bed-rest, 2-years recovery with and
without countermeasures.
AB - We examined the effects of bed-rest, recovery and exercise countermeasures on
bone density and structure at the distal tibia and radius as measured via high
resolution peripheral computed tomography. 24 subjects underwent 60-days of head
down tilt bed-rest and performed either resistive vibration exercise (RVE; n =
7), resistive exercise only (RE; n = 8) or no exercise (n = 9; 2nd Berlin BedRest
Study; BBR2-2). Measurements were performed regularly during and up to 2-years
after 60d bed-rest. At the distal tibia marked reductions in cortical area,
cortical thickness and bone density but increases in periosteal perimeter and
trabecular area were seen (p all<0.001). Recovery of most parameters occurred
within 180d after bed-rest. At the distal radius, persistent increases in
cortical area, cortical thickness, cortical density and total density and
decreases in trabecular area were seen (p all <= 0.005). A significant effect of
RVE (p = 0.003), but not RE, was seen on cortical area at the distal tibia, with
few effects of the countermeasures observed on the remaining parameters. The
current study represents the first implementation of high-resolution peripheral
computed tomography in bed-rest in male subjects and helps to understand the
patterns of bone remodeling due to bed-rest and recovery.
PMID- 21885897
TI - Skeletal differences at the ulna and radius between pre-pubertal non-elite female
gymnasts and non-gymnasts.
AB - OBJECTIVE: To compare skeletal parameters between the ulna and radius in pre
pubertal non-elite gymnasts and non-gymnasts. METHODS: Fifty-eight non-elite
artistic gymnasts, aged 6-11 years, were compared with 28 non-gymnasts for bone
mineral content (BMC), total and cortical bone area (ToA, CoA), trabecular and
cortical volumetric density (TrD, CoD) and estimated bone strength (BSI and
SSIp), obtained by pQCT at the distal and proximal forearm. RESULTS: Gymnasts had
greater estimated bone strength than non-gymnasts at both sites of the forearm.
At the distal forearm, the gymnastics-induced skeletal benefits were greater at
the radius than ulna (Z-scores for BMC, TrD and BSI +0.40 to +0.61 SD, p<0.05 vs.
+0.15 to +0.48 SD, NS). At the proximal forearm, the skeletal benefits were
greater at the ulna than the radius (Z-scores for BMC, ToA, CoA and SSIp +0.59 to
+0.82 SD, p<0.01 vs. +0.35 (ToA) and +0.43 SD (SSIp), p<0.01). CONCLUSION:
Skeletal benefits at the distal and proximal forearm emerged in young non-elite
gymnasts. Benefits were larger when considering skeletal parameters at both the
ulna and radius, than the radius alone as traditionally performed with pQCT.
These findings suggest the ulna is worth investigating in future studies aiming
to accurately quantify exercise-induced skeletal adaptations.
PMID- 21885899
TI - An open source approach for regional cortical bone mineral density analysis.
AB - OBJECTIVE: Cortical porosity, particularly at the endocortical region, is
recognised to play a central role in the pathogenesis of bone fragility.
Therefore, the purpose of this study was to: 1) demonstrate how cortical
volumetric BMD (vBMD) distribution can be analysed from (p)QCT images and 2)
highlight the clinical significance of assessing regional density distribution of
cortical bone. METHODS: We used pQCT to compare mid-tibial cortical volumetric
BMD distribution of 20 young (age 24(SD2) years, mass 77(11) kg, height 178(6)
cm) and 25 elderly (72(4) years, 75(9) kg, 172(5) cm) men. Radial and polar
cortical vBMD distributions were analysed using a custom built open source
analysis tool which allowed the cortex to be divided into three concentric
cortical divisions and in 36 cortical sectors originating from the centroid of
the bone. RESULTS: Mean vBMD did not differ between the groups (1135(16) vs.
1130(28) mg/cm, P = 0.696). In contrast, there was a significant age-group by
radial division interaction for radial cortical vBMD (P<0.001). CONCLUSIONS: The
proposed analysis method for analysing cortical bone density distribution of pQCT
images was effective for detecting regional differences in cortical density
between young and elderly men, which would have been missed by just looking at
mean vBMD values.
PMID- 21885898
TI - Mechanical-tactile stimulation (MTS) intervention in a neonatal stress model
improves long-term outcomes on bone.
AB - OBJECTIVES: Neonatal stress impairs postnatal bone mineralization. Evidence
suggests that mechanical tactile stimulation (MTS) in early life decreases stress
hormones and improves bone mineralization. Insulin-like growth factor (IGF1) is
impacted by stress and essential to bone development. We hypothesized that MTS
administered during neonatal stress would improve bone phenotype in later life.
We also predicted an increase in bone specific mRNA expression of IGF1 related
pathways. METHODS: Neonatal stress (STRESS) and MTS (STRESS+10 min of MTS) were
given from D6 to D10 of rat life and tissue was harvested on D60 of life. Dual
energy x-ray absorptiometry (DXA), bone morphometry, serum osteocalcin, type I
procollagen N-terminal propeptide (PINP), tartrate-resistant acid phosphatase
(TRAP), and bone and liver mRNA levels of IGF1, IGF1 receptor (IGF1R), and growth
hormone receptor (GHR) were measured. RESULTS: Stress resulted in reduced bone
area and bone mineral content (BMC) compared to naive control (CTL). MTS
intervention increased BMC and tibial growth plate width compared to STRESS. MTS
also resulted in higher osteocalcin, and, in males, lower TRAP (p<0.05). MTS
resulted in three-fold, two-fold, and six-fold higher bone specific IGF1, IGF1R,
and GHR, respectively (p <= 0.001) compared to STRESS. CONCLUSIONS: MTS in early
postnatal life improves long-term bone mineralization. IGF1 and related pathways
may explain improved BMC.
PMID- 21885900
TI - The accuracy of clinical kyphosis examination for detection of thoracic vertebral
fractures: comparison of direct and indirect kyphosis measures.
AB - OBJECTIVE: To compare the accuracies of two simple physical examination maneuvers
for detecting the presence of thoracic vertebral fractures (VF) diagnosed by
radiography: direct measurement of kyphosis angle (KA, in degrees) and indirect
measurement using wall-occiput distance (WOD, in cm). METHODS: Subjects were 280
women (average age, 54.5 years; range, 18-92) referred for assessment of
osteoporosis. KA was measured from T4 to T12 using a digital inclinometer while
WOD was measured with the patient in a standardized position. VF were diagnosed
on radiographs using semi-quantitative morphometry. RESULTS: KA and WOD were
moderately correlated (r = 0.72, p<10(-11)). KA increased by 3.7(o) (95% CI, 2.6
4.8(o)) for each VF (p = 4x 10(-11)) and WOD rose 1.3 cm (95% CI, 0.8-1.7 cm) per
VF (p = 2 x 10(-11)). The areas under the receiver operating characteristic
curves were 0.72 (95% CI, 0.65-0.79) for KA and 0.76 (95% CI, 0.69-0.82) for WOD,
which were not significantly different (p = 0.13). CONCLUSIONS: Given similar
performances of direct and indirect measures of kyphosis, we propose that WOD
should be used in clinical practice, with a clinical threshold of WOD>4.0 cm as
an indication to consider spine radiography. At this WOD threshold, sensitivity
was 41% (95% CI, 31-52%) and specificity was 92% (95% CI, 87-95%). WOD should be
considered for use in the clinical assessment of osteoporosis patients.
PMID- 21885901
TI - Spinal cord injury-related bone impairment and fractures: an update on
epidemiology and physiopathological mechanisms.
AB - A sudden loss of motor function in segments of the spinal cord results in
immobilisation and is complicated by bone loss and fractures in areas below the
level of injury. Despite the acceptance of osteoporosis and fractures as two
major public health problems, in people with spinal cord injuries, the mechanisms
are not adequately investigated. Multiple risk factors for bone loss and
fractures are present in this disabled population. This review is an update on
the epidemiology and physiopathological mechanisms in spinal cord injury-related
bone impairment and fractures.
PMID- 21885902
TI - Tertiary hyperparathyroidism in a patient with X-linked hypophosphatemic rickets.
PMID- 21885903
TI - Pressure algometry: what does it really tell us?
PMID- 21885904
TI - Thoracic spine thrust manipulation improves pain, range of motion, and self
reported function in patients with mechanical neck pain: a systematic review.
AB - STUDY DESIGN: Systematic review. BACKGROUND: Neck pain is a common diagnosis in
the physical therapy setting, yet there is no gold standard for treatment. This
study is part of a growing body of literature on the use of thoracic spine thrust
manipulation for the treatment of individuals with mechanical neck pain.
OBJECTIVE: The purpose of this systematic review was to determine the effects of
thoracic spine thrust manipulation on pain, range of motion, and self-reported
function in patients with mechanical neck pain. METHODS: Six online databases
were comprehensively searched from their respective inception to October 2010.
The primary search terms included "thoracic mobilization," "thoracic spine
mobilization," "thoracic manipulation," and "thoracic spine manipulation." Of the
44 studies assessed for inclusion, 6 randomized controlled trials were retained.
Between-group mean differences and effect sizes for pretreatment-to-posttreatment
change scores, using Cohen's d formula, were calculated for pain, range of
motion, and subjective function at all stated time intervals. RESULTS: Effect
size point estimates for the pain change scores were significant for global
assessment across all studies (range, 0.38-4.03) but not conclusively significant
at the end range of active rotation (range, 0.02-1.79). Effect size point
estimates were large among all range-of-motion change measures (range, 1.40
3.52), and the effect size point estimates of the change scores among the
functional questionnaires (range, 0.47-3.64) also indicated a significant
treatment effect. CONCLUSIONS: Thoracic spine thrust manipulation may provide
short-term improvement in patients with acute or subacute mechanical neck pain.
However, the body of literature is weak, and these results may not be
generalizable. LEVEL OF EVIDENCE: Therapy, level 1b-.
PMID- 21885905
TI - Neck pain: manipulating the upper back helps lessen pain and improve neck motion.
AB - Neck pain is very common. In the United States, between 30% and 50% of people
suffer from an aching neck each year. Although neck pain can be caused by injury,
most of this pain results from more gradual stresses, such as particular sitting,
standing, or work postures, lifting patterns, or sleeping positions. Typical neck
pain can also cause headaches, pain between your shoulders, or a feeling of knots
in your neck and upper back muscles. Although manual therapy, sometimes called
"manipulation," is a common treatment for many types of spine pain, some people
are uncomfortable having their necks manipulated. Recently, though, researchers
have tested the benefits of a thrust manipulation of the upper back to treat neck
pain. A study published in the September 2011 issue of JOSPT provides new insight
and an evidence-based summary of the benefits of manipulating the upper back to
ease and eliminate neck pain.
PMID- 21885906
TI - Reliability, standard error, and minimum detectable change of clinical pressure
pain threshold testing in people with and without acute neck pain.
AB - STUDY DESIGN: Clinical measurement. OBJECTIVES: To evaluate the intrarater,
interrater, and test-retest reliability of an accessible digital algometer, and
to determine the minimum detectable change in normal healthy individuals and a
clinical population with neck pain. BACKGROUND: Pressure pain threshold testing
may be a valuable assessment and prognostic indicator for people with neck pain.
To date, most of this research has been completed using algometers that are too
resource intensive for routine clinical use. METHODS: Novice raters
(physiotherapy students or clinical physiotherapists) were trained to perform
algometry testing over 2 clinically relevant sites: the angle of the upper
trapezius and the belly of the tibialis anterior. A convenience sample of normal
healthy individuals and a clinical sample of people with neck pain were tested by
2 different raters (all participants) and on 2 different days (healthy
participants only). Intraclass correlation coefficient (ICC), standard error of
measurement, and minimum detectable change were calculated. RESULTS: A total of
60 healthy volunteers and 40 people with neck pain were recruited. Intrarater
reliability was almost perfect (ICC = 0.94-0.97), interrater reliability was
substantial to near perfect (ICC = 0.79-0.90), and test-retest reliability was
substantial (ICC = 0.76-0.79). Smaller change was detectable in the trapezius
compared to the tibialis anterior. CONCLUSIONS: This study provides evidence that
novice raters can perform digital algometry with adequate reliability for
research and clinical use in people with and without neck pain.
PMID- 21885907
TI - A descriptive study of pressure pain threshold at 2 standardized sites in people
with acute or subacute neck pain.
AB - STUDY DESIGN: Cross-sectional convenience sample. OBJECTIVES: To describe the
distribution of scores for pressure pain threshold (PPT) at 2 standardized
testing sites in people with neck pain of less than 90 days' duration: the angle
of the upper trapezius and the belly of the tibialis anterior. A secondary
objective was to identify important influences on PPT. BACKGROUND: PPT may be a
valuable assessment and prognostic indicator for people with neck pain. However,
to facilitate interpretation of scores, knowledge of means and variance for the
target population, as well as factors that might influence scores, is needed.
METHODS: Participants were recruited from community-based physiotherapy clinics
and underwent PPT testing using a digital algometer and standardized protocol.
Descriptive statistics (mean, standard deviations, quartiles, skewness, and
kurtosis) were calculated for the 2 sites. Simple bivariate tests of association
were conducted to explore potential moderators. RESULTS: A positively skewed
distribution was described for the 2 standardized sites. Significant moderators
were sex (male higher than female), age (r = 0.22), and self-reported pain
intensity (r = -0.24). Neither litigation status nor most symptomatic/least
symptomatic side influenced PPT. CONCLUSIONS: This manuscript presents
information regarding the expected scores for PPT testing in people with acute or
subacute neck pain. Clinicians can compare the results of individual patients
against these population values, and researchers can incorporate the significant
confounders of age, sex, and self-reported pain intensity into future research
designs.
PMID- 21885908
TI - Pressure pain threshold testing demonstrates predictive ability in people with
acute whiplash.
AB - STUDY DESIGN: Longitudinal cohort study. OBJECTIVES: To determine whether
pressure pain threshold (PPT), tested at 2 standardized sites, could provide
additional prognostic ability to predict short-term outcomes in people with acute
whiplash, after controlling for age, sex, and baseline pain intensity.
BACKGROUND: PPT may be a valuable assessment and prognostic indicator for people
with whiplash-associated disorder. The extent to which PPT can predict short-term
disability scores has yet to be explored in people with acute (of less than 30
days in duration) whiplash-associated disorder in a clinical setting. METHODS:
Eligible patients were recruited from community-based physiotherapy clinics in
Canada. Baseline measurements included PPT, as well as pain intensity, age, and
sex. Neck-related disability was collected with the Neck Disability Index 1 to 3
months after PPT testing. Multiple linear regression models were constructed to
evaluate the unique contribution of PPT in the prediction of follow-up disability
scores. RESULTS: A total of 45 subjects provided complete data. A regression
model that included sex, baseline pain intensity, and PPT at the distal tibialis
anterior site was the most parsimonious model for predicting short-term Neck
Disability Index scores 1 to 3 months after PPT testing, explaining 38.6% of the
variance in outcome. None of the other variables significantly improved the
predictive power of the model. CONCLUSION: Sex, pain intensity, and PPT measured
at a site distal to the injury were the most parsimonious set of predictors of
short-term neck-related disability score, and represented promising additions to
assessment of traumatic neck pain. Neither age nor PPT at the local site was able
to explain significant variance beyond those 3 predictors. Limitations to
interpretation are addressed.
PMID- 21885909
TI - Criteria-based management of an acute multistructure knee injury in a
professional football player: a case report.
AB - STUDY DESIGN: Case report. BACKGROUND: Joint stiffness, also called
arthrofibrosis, remains the primary complication following any reconstructive
knee surgery. Acute anterior cruciate ligament surgery, performed with
concomitant multiple ligamentous repair and/or reconstruction, further increases
the likelihood of developing impaired knee motion following surgery. The purpose
of this case report is to present a criteria-based approach to the postoperative
management of a multiligament knee injury. CASE DESCRIPTION: A 25-year-old male
professional football player sustained a contact injury to his right knee while
making a tackle during a regular season game in 2007. He underwent an acute
anterior cruciate ligament reconstruction, with concomitant posterolateral corner
repair, biceps femoris/iliotibial band repair, lateral collateral ligament
repair, and a medial meniscocapsular junction repair. He completed 17 weeks of a
multiphased rehabilitation program that emphasized immediate range of motion, low
load long-duration stretching, therapeutic exercise, neuromuscular
reeducation/perturbation training, plyometrics, and sport-specific functional
drills. Electrical neurostimulation was implemented during the early stages of
rehabilitation to control postoperative pain and to promote a steady progression
of therapeutic exercise activity. OUTCOMES: The patient was cleared to begin
sport-specific activity 7 months after major multistructure reconstructive knee
surgery. He began the 2008 season on the physically-unable-to-perform list, but
was activated midseason and played in every game thereafter. During the 2009 and
2010 seasons, he played all regular season games and all playoff games as a
starter, and continues to start as a defensive cornerback in the National
Football League. DISCUSSION: This case report highlights the clinical decision
making process and management involved in an acute multiple ligamentous knee
injury/reconstruction. LEVEL OF EVIDENCE: Therapy, level 4.
PMID- 21885910
TI - Women with posterior tibial tendon dysfunction have diminished ankle and hip
muscle performance.
AB - STUDY DESIGN: Controlled laboratory study using a cross-sectional design.
OBJECTIVES: To characterize ankle and hip muscle performance in women with
posterior tibial tendon dysfunction (PTTD) and compare them to matched controls.
We hypothesized that ankle plantar flexor strength, and hip extensor and abductor
strength and endurance, would be diminished in women with PTTD and this
impairment would be on the side of dysfunction. BACKGROUND: Individuals with PTTD
demonstrate impaired walking abilities. Walking gait is strongly dependent on the
performance of calf and hip musculature. METHODS: Thirty-four middle-aged women
(17 with PTTD) participated. Ankle plantar flexor strength was assessed with the
single-leg heel raise test. Hip muscle performance, including strength and
endurance, were dynamometrically measured. Differences between groups and sides
were assessed with a mixed-model analysis of variance. RESULTS: Females with PTTD
performed significantly fewer single-leg heel raises and repeated sagittal and
frontal plane non-weight-bearing leg lifts, and also had lower hip extensor and
abductor torques than age-matched controls. There were no differences between
sides for hip strength and endurance measures for either group, but differences
between sides in ankle strength measures were noted in both groups. CONCLUSION:
Women with PTTD demonstrated decreased ankle and hip muscle performance
bilaterally.
PMID- 21885911
TI - Proximal tibial fracture following total knee arthroplasty.
AB - The patient was a 74-year-old man, with a history of total knee arthoplasty 14
years earlier, after having sustained a pathological fracture of the proximal
diaphysis of the left tibia following a fall. Given the unstable nature of the
fracture and the severe osteolysis noted below the total knee arthroplasty,
surgical management 1 day after the fall entailed packing cancellous bone graft
into the defect and realigning the fracture.
PMID- 21885912
TI - Metallic foreign body in a patient with knee pain.
AB - The patient was a 26-year-old man with a history of a nonhealing, complicated
right clavicle fracture. He also complained of right medial knee pain that was
thought to be insidious in onset and made worse during magnetic resonance
imaging, which was done to evaluate the status of the right clavicle fracture.
Subsequent to the patient reporting his right knee pain to his orthopaedic
surgeon, magnetic resonance imaging of the right knee was ordered. Anterior-to
posterior and lateral radiographs were then ordered by the physical therapist,
which identified a radioopaque foreign body along the medial knee within the
subcutaneous tissues.
PMID- 21885915
TI - Antibody microarray analysis of the serum proteome in primary breast cancer
patients.
AB - Noninvasive biomarkers are urgently needed for detecting breast cancer as early
as possible since the risk of recurrence, morbidity, and mortality is closely
related to disease stage at the time of primary surgery. There are currently no
such biomarkers in clinical use as a diagnostic tool. Proteomic analysis of
protein expression patterns in body fluids has potential for use in identifying
biomarkers of breast cancer. The aim of this study was to compare protein
expression levels in the sera of primary breast cancer patients and healthy
controls. An antibody microarray tool with 23 antibodies immobilized on
nitrocellulose slides was used to determine the levels of acute phase proteins,
interleukins, and complement factors in the sera of 101 study participants (49
women with primary breast cancer and 52 healthy age-matched controls).
Statistical analysis of reaction intensities identified 6 proteins that showed
significantly (p < 0.05) different levels in breast cancer patients vs. healthy
subjects. The neural network distinguished cancer patients from controls with a
sensitivity of 69% and a specificity of 76%. Thus, antibody microarray analysis
could be used as a tool for the development of improved diagnostics and biomarker
discovery for breast cancer patients. Further validation of the results and de
novo screening of new biomarkers could facilitate the early diagnosis of breast
cancer.
PMID- 21885917
TI - HO-3867, a curcumin analog, sensitizes cisplatin-resistant ovarian carcinoma,
leading to therapeutic synergy through STAT3 inhibition.
AB - Cisplatin resistance is a major obstacle in the treatment of ovarian cancer. Drug
combinations with synergistic or complementary functions are a promising strategy
to overcome this issue. We studied the anticancer efficacy of a novel compound,
HO-3867, used in combination with cisplatin against chemotherapy-resistant
ovarian cancer. A2780R cells, a cisplatin-resistant human ovarian cancer cell
line, were exposed to 1, 5, or 10 uM of HO-3867 alone or in combination with
cisplatin (10 ug/ml) for 24 hours. Cell viability (MTT), proliferation (BrdU),
cell-cycle analysis (FACS), and protein expression (western blot) were used for
in vitro studies. STAT3 overexpression was performed using transfected STAT3
cDNA. In vivo studies used cisplatin-resistant xenograft tumors grown in nude
mice and treated with 100-ppm HO-3867 and weekly injections of 4-mg/kg cisplatin.
HO-3867/cisplatin combination treatment significantly inhibited cisplatin
resistant cell proliferation in a concentration-dependent manner. The inhibition
was associated with increased expression of p53 and p21, and decreased expression
of cdk5 and cyclin D1. Apoptosis was induced by activation of Bax, cytochrome c
release, and stimulated cleavage of caspase-9, caspase-3, and PARP.
Overexpression of STAT3 decreased the HO-3867-induced apoptosis. The combination
treatment significantly inhibited the growth of cisplatin-resistant xenograft
tumors with significant downregulation of pSTAT3, and without apparent toxicity
to healthy tissues. The combination treatment exhibited synergistic anticancer
efficacy, which appears largely due to HO-3867-induced downregulation of pSTAT3.
The results, combined with the previously-reported safety features of HO-3867,
suggest the potential use of this compound as a safe and effective adjuvant for
the treatment of ovarian cancer.
PMID- 21885916
TI - VMY-1-103 is a novel CDK inhibitor that disrupts chromosome organization and
delays metaphase progression in medulloblastoma cells.
AB - Medulloblastoma is the most prevalent of childhood brain malignancies,
constituting 25% of childhood brain tumors. Craniospinal radiotherapy is a
standard of care, followed by a 12mo regimen of multi-agent chemotherapy. For
children less than 3 y of age, irradiation is avoided due to its destructive
effects on the developing nervous system. Long-term prognosis is worst for these
youngest children and more effective treatment strategies with a better
therapeutic index are needed. VMY-1-103, a novel dansylated analog of purvalanol
B, was previously shown to inhibit cell cycle progression and proliferation in
prostate and breast cancer cells more effectively than purvalanol B. In the
current study, we have identified new mechanisms of action by which VMY-1-103
affected cellular proliferation in medulloblastoma cells. VMY-1-103, but not
purvalanol B, significantly decreased the proportion of cells in S phase and
increased the proportion of cells in G(2)/M. VMY-1-103 increased the sub G(1)
fraction of apoptotic cells, induced PARP and caspase-3 cleavage and increased
the levels of the Death Receptors DR4 and DR5, Bax and Bad while decreasing the
number of viable cells, all supporting apoptosis as a mechanism of cell death.
p21(CIP1/WAF1) levels were greatly suppressed. Importantly, we found that while
both VMY and flavopiridol inhibited intracellular CDK1 catalytic activity, VMY-1
103 was unique in its ability to severely disrupt the mitotic spindle apparatus
significantly delaying metaphase and disrupting mitosis. Our data suggest that
VMY-1-103 possesses unique antiproliferative capabilities and that this compound
may form the basis of a new candidate drug to treat medulloblastoma.
PMID- 21885919
TI - Recent advances in post-kala-azar dermal leishmaniasis.
AB - PURPOSE OF REVIEW: Post-kala-azar dermal leishmaniasis (PKDL) is a challenge for
clinicians and researchers, because its burden is poorly investigated and
pathogenesis is disputable. However, recent studies contributed to understanding
of the pathogenesis of PKDL especially its association with host immunological
factors, and also how to improve its diagnosis and treatment. This review focuses
on recent advances in diagnosis, new insights into pathogenesis and case
management. RECENT FINDINGS: Information regarding the burden of PKDL, especially
in Bangladesh, is now available. Association between skin parasite burden and
different clinical forms of PKDL has been explored. The diagnostic importance of
detection of Leishmania donovani DNA in the peripheral blood buffy coat and in
skin specimens by PCR has been studied. Variable effects of different
antileishmanial drugs on immune response have been observed. Finally, high
efficacy of miltefosine for treatment of PKDL has been demonstrated. SUMMARY: The
incidence of PKDL is reducing in India after introduction of miltefosine and
amphotericin B for treatment of visceral leishmaniasis. It remains higher in
Bangladesh and in Sudan. Parasite burden is higher in nodular and papular forms
of PKDL compared to the macular form of the disease. The demonstration of
Leishmania DNA in peripheral blood buffy coat and in skin specimens can help to
diagnose 40-75% clinically suspected PKDL individuals. An initial cure rate of
95% has been achieved with miltefosine for treatment of PKDL. However, the
efficacy of combination therapy should be explored to reduce the treatment
duration and hence to improve treatment compliance.
PMID- 21885921
TI - Current world literature.
PMID- 21885920
TI - The company malaria keeps: how co-infection with Epstein-Barr virus leads to
endemic Burkitt lymphoma.
AB - PURPOSE OF REVIEW: Co-infection with Plasmodium falciparum malaria and Epstein
Barr virus (EBV) are implicated in the cause of endemic Burkitt lymphoma (eBL),
the most prevalent pediatric cancer in equatorial Africa. Although the causal
association between EBV and eBL has been established, P. falciparum malaria's
role is not as clearly defined. This review focuses on how malaria may disrupt
EBV persistence and immunity. RECENT FINDINGS: Two mutually compatible theories
have been proposed. One suggests that P. falciparum malaria induces polyclonal B
cell expansion and lytic EBV reactivation, leading to the expansion of latently
infected B cells and the likelihood of a c-myc translocation, a hallmark of
Burkitt lymphoma tumors. The other advocates that EBV-specific T-cell immunity is
impaired during P. falciparum malaria co-infection, either as a cause or
consequence of enhanced EBV replication, leading to loss of viral control.
Advancements in our ability to query the complexity of human responses to
infectious diseases have stimulated interest in eBL pathogenesis. SUMMARY: EBV is
necessary but not sufficient to cause eBL. A more dynamic model encompasses
incremental contributions from both chronic and acute P. falciparum malaria
leading to alterations in EBV persistence and EBV-specific immunity that
culminate in eBL. A better understanding of how P. falciparum malaria modifies
EBV infections in children may allow us to anticipate reductions in eBL incidence
coinciding with malaria control programs.
PMID- 21885922
TI - A counselee-oriented perspective on risk communication in genetic counseling:
explaining the inaccuracy of the counselees' risk perception shortly after
BRCA1/2 test result disclosure.
AB - PURPOSE: Genetic counseling may help counselees understand their genetic risk of
developing breast/ovarian cancer. However, many studies have shown that their
perception of their risks is inaccurate. Information-oriented variables often
predicted the level of accuracy, focusing on specific processes of receiving and
processing risks. We examined counselee-oriented predictors about how counselees
embed cancer risks in their lives. These predictors reflect the personal meaning
of genetic risks and are expected to explain/mediate the impact of genetic
counseling on risk-perception-accuracy. METHOD: We analyzed 248 questionnaires of
a prospective study, filled in by probands with breast/ovarian cancer and
pathogenic mutations, unclassified variants, or uninformative results (n = 30,
16, and 202, respectively). Mediation regression analyses were performed to
examine whether counselee predictors mediated/explained the influence of
information predictors on the accuracy. Information-oriented predictors regarded
presentation format, communicated information, question format, education,
pedigree information, cancer experience, and cognitive processes/heuristics.
Counselee-oriented predictors regarded their self/personality, life/existence,
and need for certainty about DNA test result, heredity, and cancer. RESULTS: Both
information-oriented and counselee-oriented variables significantly predicted the
accuracy of the counselees' risk perception, with moderate to large effect sizes.
Counselee-oriented variables completely mediated/explained the effects of
information-oriented variables on the accuracy. DISCUSSION: Counselees seemed to
transform objective cancer risks into personally relevant information. Only
through this personal meaning of genetic information, information-oriented
processes seemed to cause inaccurate perceptions. Genetic counselors are
suggested to focus communication on these personal processes.
PMID- 21885923
TI - Informed consent and immunohistochemistry screening for Lynch syndrome.
PMID- 21885925
TI - Folic acid and neural tube defects.
PMID- 21885926
TI - Basic science for the clinician 51: the inflammasome.
AB - The innate immune system is packaged in a number of discrete, but
intercommunicating, systems. The inflammasome is a multimolecular complex that
detects intracellular foreign molecules of a variety of sorts and promptly
promotes the secretion of IL-1beta and IL-18. When all goes well, defense of the
organism in the early period of infection is enhanced by this system; when
certain elements of the inflammasomal systems go awry, inflammatory diseases of a
variety of sorts result. A family of multimolecular detection systems are
activated at times of infection and tissue damage; it is the dysfunction of this
innate immune defense system that intrigues rheumatologists, as this is the cause
of a series of newly described autoinflammatory diseases.
PMID- 21885927
TI - Lupus pernio: sarcoid-specific cutaneous manifestation associated with chronic
sarcoid arthropathy.
PMID- 21885928
TI - Crystal deposition at elbow hyaline cartilage: the sonographic perspective.
PMID- 21885929
TI - Destructive tophaceous gout: imaging characteristics.
PMID- 21885931
TI - Spinal epidural abscess on rituximab.
PMID- 21885932
TI - Tdap vaccination strategies for health care personnel.
PMID- 21885933
TI - Encouraging patients and families to influence change on a palliative care unit:
value of patient satisfaction surveys.
AB - This article describes how a series of patient satisfaction surveys on a
palliative care unit were used to help influence the care provided to patients
and their families. Innovative interventions aimed at addressing areas of lower
satisfaction identified in the initial survey are described. The results from a
follow-up survey provided the opportunity to evaluate whether our interventions
were effective in improving patient satisfaction and highlight new areas of
concern needing to be addressed.
PMID- 21885935
TI - Omega 3 fatty acid supplements and cardiovascular health: commentary on the
article by de Jong et al. on page 411.
PMID- 21885936
TI - IUGR in the absence of postnatal "catch-up" growth leads to improved whole body
insulin sensitivity in rat offspring.
AB - A suboptimal in utero environment leads to fetal adaptations to ensure short-term
survival but in the long-term may lead to disease when the postnatal growth does
not reflect that in utero. This study examined the effect of IUGR on whole body
insulin sensitivity and metabolic activity in adult rats. Female Wistar-Kyoto
rats were fed either a normal protein diet (NPD 20% casein) or a low protein diet
(LPD; 8.7% casein) during pregnancy and 2 wk of lactation. In offspring at 32 wk
of age, indirect calorimetry and dual energy x-ray absorptiometry (DEXA) were
performed to assess metabolic activity and body composition. Insulin sensitivity
was assessed using a euglycemic-hyperinsulinemic clamp. At 3 d of age, male and
female LPD offspring were 23 and 27% smaller than controls, respectively. They
remained significantly smaller throughout the experimental period (~10% smaller
at 32 wk). Importantly, there was increased insulin sensitivity in LPD offspring
(47% increase in males and 38% increase in females); pancreatic insulin content
was normal. Body composition, O2 consumption, respiratory exchange ratio (RER),
and locomotor activity were not different to controls. These findings suggest
that in the absence of "catch-up" growth IUGR programs for improved insulin
sensitivity.
PMID- 21885937
TI - Letter to the editor Re: Okogbule-Wonodi et al. Pediatr Res 69:442-447.
PMID- 21885938
TI - Letter to the editor Re: Singh AJ et al. Pediatr Res 67:619-623.
PMID- 21885940
TI - MART-1 is a reliable melanocytic marker in lichen planus-like keratosis: a study
on 70 cases.
AB - Recent studies have proposed that MART-1 may falsely stain clusters of
intraepidermal nonmelanocytic cells in lichenoid dermatitides. This may become an
issue especially in isolated lesions of lichen planus-like keratosis (LPLK), a
condition also known as benign lichenoid keratosis, and one that is often
mistaken clinically for a malignant cutaneous neoplasm. LPLKs are known to
exhibit basal epidermal pseudonests, mimicking a regressing melanocytic lesion
histologically, and often may prompt the pathologist to obtain a MART-1 stain. If
MART-1 is falsely positive, it may seal an incorrect diagnosis. To determine
whether or not pseudonests in LPLK decorated with MART-1, we reviewed 70 cases
from our institution, stained them with MART-1 (Thermo Fisher-Lab Vision, Ab3
clone, 1:400 dilution, heat-induced epitope retrieval with 0.02M citrate buffer
at pH 6.0), and evaluated them for the presence or absence of staining within
pseudonests. Four cases demonstrated an occasional MART-1-positive junctional
nest. In these cases, microphthalmia transcription factor was also positive,
confirming a true melanocytic origin. None of the other cases showed a MART-1
pattern that would have been suspicious for a melanocytic lesion. We propose that
this discrepancy between our study and prior ones may be explained by differences
in staining protocols or by a very low incidence of non-specific staining. Our
study suggests that MART-1 is a useful marker in differentiating melanocytic
nests from pseudonests in LPLK.
PMID- 21885941
TI - An infrequent case of neoplasm with fibroepithelioma of pinkus and
hidradenomatous features arising at the umbilicus: a rare finding.
PMID- 21885942
TI - Basal cell carcinoma with myoepithelial differentiation.
AB - A lesion from the left cheek of a 48-year-old man showed a neoplasm composed
primarily of cells with eccentric crescent-shaped nuclei and abundant,
homogenous, eosinophilic cytoplasm resembling signet ring cells.
Immunohistochemical studies showed the cells to stain positively for pan
cytokeratin and smooth muscle actin, indicating myoepithelial differentiation
(MED). Foci of conventional basal cell carcinoma were present, and cells with MED
were also admixed within some of the aggregations of basal cell carcinoma. On the
basis of these findings, we interpreted this lesion to represent basal cell
carcinoma with MED. A review of the existing literature of basal cell carcinomas
with similar morphologic features is also presented.
PMID- 21885943
TI - Cutaneous metastasis of medullary thyroid carcinoma as the initial manifestation
of an otherwise limited malignancy: a case report.
AB - Cutaneous metastasis from a thyroid carcinoma, particularly medullary thyroid
carcinoma (MTC), are rare. Herein, we report the first case of MTC in which
metastatic lesions, were also the earliest sign of the disease, affecting the
skin. This is as well the third reported case of undetected MTC initially
manifested by metastatic skin lesions. A 79-year-old white woman presented with
painful skin lesions. The lesions were biopsied. Based on routine light
microscopic examination and immunohistochemical study, MTC was the most likely
diagnosis. Subsequent investigations identified the primary thyroid tumor, which
also proved to be MTC. No other metastatic lesion could be demonstrated by
further evaluations. This report illustrates a novel presentation of MTC. MTC
metastases should be considered in the differential diagnosis of cutaneous
lesions. It is important to histopathologically examine the lesions and perform
appropriate immunohistochemical study that must include calcitonin and other
neuroendocrine markers.
PMID- 21885944
TI - Cutaneous mucinous carcinoma arising in extramammary Paget disease of the
perineum.
AB - We present the case of a 74-year-old woman with a 7-year history of an expanding
vulval and perianal erythematous plaque, which failed to respond to topical
treatments in the community. Biopsy of the affected skin showed typical features
of extramammary Paget disease. No underlying associated malignancy was
identified. After 2 months of treatment with 5% topical imiquimod, the patient
developed a new tender nodule in the perineal region. Histological examination
revealed a mucinous carcinoma, which, after careful clinical assessment, was
deemed to be a primary cutaneous mucinous carcinoma. This is the second reported
case of a primary cutaneous mucinous carcinoma arising on a background of
extramammary Paget disease of the vulva and perineum.
PMID- 21885945
TI - The histopathological feature of the nail isthmus in an ectopic nail.
AB - The nail unit has a unique structure. It has been recently proposed that the nail
isthmus as a transitional zone between the most distal part of the nail bed and
the hyponychium. A 7-year-old Japanese boy presented with an ectopic nail, an
additional and independent miniature nail on the digital pulp of the right fifth
finger. We studied the expression of a series of keratin in longitudinal
specimens and showed the histopathological manifestation in the nail isthmus.
This region in the ectopic nail is subdivided into 2 parts: a proximal and narrow
part anchored to the nail plate and a distal and wide part with a semihard
keratinized structure.
PMID- 21885946
TI - Accidental chopstick injury resulting in internal capsule lesion and
intracerebral hemorrhage.
PMID- 21885947
TI - Assessing weakness in patients with ulnar neuropathy: comparison between a custom
hand muscle dynamometer and a pinch dynamometer.
AB - OBJECTIVE: The aim of this study was to compare the use of a custom intrinsic
hand dynamometer (HD) with that of a standard pinch dynamometer (PD) in assessing
strength in patients with ulnar neuropathy at the elbow. DESIGN: Nine patients
(age, 53 +/- 3 yrs) with clinical and electrophysiological features of ulnar
neuropathy at the elbow with conduction block (CB) were studied. All underwent
bilateral ulnar motor nerve conduction studies recording from the first dorsal
interosseous and a quantitative measurement of strength of the first dorsal
interosseous using a custom-made HD and a standard PD. RESULTS: The maximal
strength of the ulnar neuropathy at the elbow-affected side (16.2 +/- 8.0 N) was
found to be significantly lower than that of the unaffected side (27.9 +/- 11.2
N), as measured by HD. Strength differences were not significant between the
affected (62.7 +/- 26.4 N) and unaffected sides (48.0 +/- 20.5 N) using PD. HD
force decrement (in comparison with the unaffected limb) correlated strongly with
CB percentage (r = 0.74). No relationship was found between PD and CB (r = 0.05).
CONCLUSIONS: HD was better able to measure the weakness of affected muscles than
did PD, and its results correlated well with the extent of electrophysiological
CB. Therefore, a custom HD would provide a better indication of disease severity,
progression, or improvement in strength in studies of ulnar neuropathy at the
elbow with CB.
PMID- 21885948
TI - Regulatory policies, the "75% rule," and post-acute care discharge setting.
PMID- 21885949
TI - Precision of novice sonographers concerning median nerve and Achilles tendon
measurements.
AB - OBJECTIVE: The aim of this study was to quantify how precise the measurements of
beginner sonographers (relative to an expert) are concerning small and large
ellipsoid structures (median nerve and Achilles tendon). DESIGN: During a 4-day
musculoskeletal ultrasonography course at a secondary-care center, 19 attendants
and an expert physiatrist sonographer were enrolled. After the hand practice
session, the physicians performed median nerve measurements (short axis, long
axis, and cross-sectional area) at the level of carpal tunnel on the same
subject. Repeat measurements were done at the end of the following day.
Similarly, achilles tendon measurements were taken during 2 consecutive days
(after the ankle-foot session). An expert sonographer also performed the same
evaluations twice, together with the attendants. The mean values of the expert's
measurements were used as the "test value" for each parameter. RESULTS: Median
nerve long-axis values pertaining to the first trial were found to be smaller
than that of the test value (P = 0.003), but those of the second trial were
similar to the test value (P = 0.107). Median nerve short-axis and area
measurements were not different from the test value in either trials (P > 0.05).
Achilles tendon measurements were significantly larger than the test value (P <
0.05) at the first trial but were similar to the test value at the second trial
(P > 0.05). Percentage deviations of the attendants' measurements (median nerve
short and long axes) were negatively correlated with their sonography experience.
CONCLUSIONS: We imply that novice sonographers tend to measure small structures
smaller and large structures larger. Personal experience seems to play a role
especially for small structures, and practice affects the precision of these
measurements favorably.
PMID- 21885950
TI - Painful congestion of the lower limbs and recurrent fever.
AB - We describe a 45-year-old patient with massive pain and congestion in the lower
limbs. In addition, he daily presented fever up to 39.5 degrees C (103.1 degrees
F) without any infectious focus for 8 days. A formerly unknown vena cava atresia
with severe bilateral deep vein thrombosis and concomitant heterozygous
prothrombin (factor II) gene mutation (G20210A) was diagnosed during the hospital
stay. Because of the severe clinical findings and hereditary thrombophilia, we
recommended a lifelong anticoagulant therapy and elastic stockings. Furthermore,
different diagnosis and treatment strategies are discussed in case of concomitant
prothrombin gene mutation.
PMID- 21885951
TI - Anticoagulant-resistant thrombophilia in a patient with polycythemia vera: a
case report.
AB - Mechanical valve thrombosis is a rare condition in an adequately anticoagulated
patient in the absence of underlying thrombophilia. We report a case of a 76-year
old male with mechanical prosthetic mitral valve thrombosis as the presenting
feature of polycythemia vera. The patient was treated with thrombolysis at the
time of acute presentation and subsequently maintained on low molecular weight
heparin, low-dose aspirin, phlebotomy and hydroxyurea. Hemoglobin, leucocytosis
and platelet count were controlled for almost 4 years after which the patient
suffered a second, fatal episode in the setting of therapeutic anti-Xa level.
This case report highlights the thrombotic risks associated with polycythemia
vera. The proposed mechanisms of hypercoagulability in polycythemia vera are
reviewed. To the best of our knowledge, mechanical valve thromboses as the
presenting feature of polycythemia vera has not been reported previously.
PMID- 21885952
TI - A novel splice-site mutation c.42-2A>T (IVS1-2A>T) of SERPINC1 in a Korean family
with inherited antithrombin deficiency.
AB - Inherited antithrombin (AT) deficiency (OMIM 107300) is an autosomal dominant
disorder and causes a 20-fold increase in the risk of venous thromboembolism.
Herein, we describe a case of a novel splice-site mutation in the SERPINC1 gene
in a Korean patient with inherited AT deficiency. The patient was a 35-year-old
woman who presented with deep vein thrombosis (DVT) and pulmonary embolism and
was without a recent history of any precipitating factors. The obtaining of her
family history revealed that her mother had an ischemic stroke and a pulmonary
embolism and her two sisters both had an episode of DVT during pregnancy. DNA
sequencing of SERPINC1 revealed the novel variant IVS1-2A>T (c.42-2A>T), a
substitution in intron 1, in the proband and her daughter. The mutation IVS1-2A>T
eliminates the acceptor splice-site of intron 1. The present case is the first
novel splice-site mutation of SERPINC1 in a Korean family with inherited AT
deficiency.
PMID- 21885953
TI - Diagnosis and classification of von Willebrand disease: a review of the
differential utility of various functional von Willebrand factor assays.
AB - von Willebrand disease (VWD) is considered to be the most common inherited
bleeding disorder. VWD is diagnosed following a clinical and physical review,
with personal and familial evidence of (primarily mucocutaneous) bleeding, and
confirmed by laboratory testing. The latter typically entails initial plasma
testing of factor VIII coagulant, von Willebrand factor (VWF) protein ('antigen')
and VWF function which has classically been assessed using the ristocetin
cofactor (VWF:RCo) assay. More recent attention has focussed on other functional
VWF assays, such as collagen binding and so-called 'VWF activity' assays, as
possible replacements to the VWF:RCo, or as supplementary tests of VWF
'function'. Additional laboratory testing can comprise a battery of confirmatory
and VWD-type assisting assays, including VWF:multimer and von Willebrand factor
VIII binding. This review aims to update knowledge of current VWD diagnostics
with a particular emphasis on 'functional' VWF assays.
PMID- 21885954
TI - Acquired haemophilia A in a woman with autoimmune hepatitis and systemic lupus
erythematosus: review of literature.
AB - Acquired haemophilia A, secondary to systemic lupus erythematosus (SLE), is a
rare bleeding diathesis. Here we report a 37-year-old woman with autoimmune
hepatitis who developed SLE and acquired haemophilia caused by factor VIII
(fVIII) inhibitors. She presented with spontaneous ecchymosis and haematuria.
There were a prolongation of the activated partial thromboplastin time, reduced
fVIII activity and a high titre of fVIII inhibitors. Therapeutic regimen was
started with intravenous methylprednisolone pulse, continued with prednisolone,
intravenous pulse cyclophosphamide and fresh frozen plasma. After 8 weeks, fVIII
inhibitor assay was negative.
PMID- 21885955
TI - Formation of obstructing blood clot in the ureter in a patient with Glanzmann's
thrombasthenia.
AB - Glanzman thrombasthenia is a rare hematologic disorder characterized by
qualitative thrombocyte abnormality. Patients present with episodic mucocutaneous
bleeding. Thrombosis is a paradox phenomenon observed in patients with Glanzman
thrombasthenia and generally considered as a treatment complication. We present a
16-year-old girl referred for severe flank pain beginning after treatment of
hematuria due to Glanzman thrombasthenia. The patient underwent endoscopy for
further diagnosis and treatment because of the failure of radiologic evaluation.
Although the resolution of the large clots was obtained with streptokinase
instillation via the ureteral catheter, clot was mobilized with gentle insertion
of ureteral catheter in the present case.
PMID- 21885956
TI - Deep vein thrombosis induced by thalidomide to control epistaxis secondary to
hereditary haemorrhagic telangiectasia.
AB - Thalidomide was recently reported to reduce the severity and frequency of
epistaxes in patients with hereditary haemorrhagic telangiectasia (HHT). We here
describe the case of a patient with HHT and severe epistaxes refractory to
medical and local surgical treatments who developed an extensive deep vein
thrombosis shortly after initiation of treatment with thalidomide. This is the
first report of venous thromboembolic complication induced by thalidomide
prescribed in this setting. Although thalidomide was recently found to provide an
alternative therapeutic strategy in patients with HHT and refractory epistaxes,
this agent should be used with great caution in this indication, given its
thrombogenicity and difficulties to manage systemic anticoagulation in patients
with HHT.
PMID- 21885957
TI - Heparin in the long-term management of ligneous conjunctivitis: a case report
and review of literature.
AB - Ligneous conjunctivitis, secondary to inherited homozygous plasminogen
deficiency, is a poorly understood condition that has the potential to hinder
normal childhood development if not managed adequately. We report the clinical
progression of a child with ligneous conjunctivitis, controlled with daily
heparin eye drops, postsurgical excision, for a duration of approximately 5 years
at a cost of approximately 30 USD per month. During this time, the patient's
progress has been complicated by one occurrence of periorbital cellulitis and
also otitis media. The patient has also experienced ocular complications due to
the remaining membranous lesion. This case indicates that individual patient
factors including plasminogen levels and exposure to triggers of ocular
inflammation may influence the clinical progression of ligneous conjunctivitis.
Furthermore, this study is one of the first to present over 5-year follow-up of a
patient with ligneous conjunctivitis effectively managed with long-term heparin
eye drops.
PMID- 21885958
TI - Ambulatory blood pressure monitoring among patients with cerebrovascular disease.
AB - BACKGROUND: Although stroke care guidelines endorse the paramount importance of
hypertension management, the specific role of ambulatory blood pressure (ABP)
monitoring among patients with cerebrovascular disease has not been established.
OBJECTIVES: The objectives of this study were to: (a) conduct a systematic review
describing the published studies that examined ABP monitoring among patients with
cerebrovascular disease and (b) to discuss practical considerations of ABP
monitoring among patients with stroke. METHODS: We identified English-language
articles that focused on the use of ABP monitoring among patients with
cerebrovascular disease. The titles and abstracts of the articles were reviewed
to identify whether the study included ABP monitoring and whether the populations
studied had evidence of cerebrovascular disease; we excluded two case reports. We
used ABP data from patients with cerebrovascular disease enrolled in an ongoing
clinical trial to illustrate points related to the application of ABP monitoring
in this population. RESULTS: A total of 23 articles met our inclusion criteria.
These articles described the use of ABP monitoring for the identification of
stroke patients at risk of poor outcomes, including mortality and neurological
impairment. They also describe common patterns of blood pressure poststroke;
finding that stroke patients often demonstrate a loss of the usual nocturnal fall
in blood pressure. Logistical considerations in the use of ABP monitoring for
patients with stroke include patients with arm weakness, the minimum number of
measurements needed, the determination of nocturnal/rest versus daytime/wake
blood pressure values, and the interpretation of extreme values are reviewed.
CONCLUSION: Until controlled trial data support interventions based on the ABP
data, it is unlikely that guidelines will recommend the routine application of
ABP monitoring among patients with stroke.
PMID- 21885959
TI - Validation of the UEBE Visomat Double Comfort upper arm blood pressure monitor,
in oscillometric mode, for clinic use and self-measurement in a general
population according to the European Society of Hypertension International
Protocol, revision 2010.
AB - OBJECTIVE: To validate the UEBE Visomat Double Comfort, an upper arm blood
pressure monitor designed for self-measurement of blood pressure and for clinical
use in a general population according to the European Society of Hypertension
International Protocol revision 2010. METHODS: The device is provided with two
operational modalities, a microphonic mode and an oscillometric mode. In this
study, the oscillometric modality was tested. Device evaluation was performed in
33 participants with a mean +/- standard deviation age of 56.3 +/- 20.0 years
(range, 25-85 years). Their systolic blood pressure was 140.4 +/- 27.7 mmHg,
diastolic blood pressure was 86.8 +/- 17.2 mmHg, and arm circumference was 29.3
+/- 3.9 cm. RESULTS: The protocol requirements were followed precisely. The
device passed all of the requirements fulfilling the standards of the protocol.
Mean blood pressure differences between device and observer were -0.9 +/- 4.1
mmHg for systolic blood pressure and -0.1 +/- 3.3 mmHg for diastolic blood
pressure. CONCLUSION: As the Visomat Double Comfort in the oscillometric modality
has achieved the required standards, it is recommended for clinical use in an
adult population.
PMID- 21885960
TI - Application of Nexfin noninvasive beat-to-beat arterial blood pressure monitoring
in autonomic function testing.
AB - OBJECTIVE: Evaluation of autonomic function responses is increasingly important
for risk prediction and hemodynamic evaluation in the ambulant and perioperative
setting, but requires a noninvasive arterial blood pressure measurement device.
This study describes whether a novel noninvasive beat-to-beat arterial blood
pressure measurement device (Nexfin HD) is able to reproducibly reflect autonomic
function responses in healthy volunteers. METHODS: Noninvasive beat-to-beat
arterial blood pressure measurements (Nexfin HD) were performed in 20 healthy men
of 22 +/- 3 years. Measurements were performed during supine steady state,
controlled breathing (0.125 Hz), passive leg raising, a controlled Valsalva
maneuver, and a quick stand test. Finally, relative changes in pulse pressure
during autonomic function testing and the test-retest reproducibility were
determined. RESULTS: Autonomic function tests induced beat-to-beat arterial blood
pressure changes that were accurately monitored by the Nexfin device. The
intraclass correlation coefficients for systolic and diastolic arterial blood
pressure measurements during supine steady state were agreeable [0.91 (0.82-0.96)
and 0.84 (0.69-0.93), respectively]. The reproducibility of blood pressure
changes during controlled breathing, passive leg raising, and Valsalva maneuver
averaged 0.92 (0.82-0.96), 0.76 (0.50-0.90), and 0.94 (0.89-0.97), respectively.
The reproducibility of the pulse pressure variation (PPV) as calculated from
controlled breathing-induced changes in the arterial blood pressure (13 +/- 5%)
was high [0.96 (0.93-0.98)]. CONCLUSION: This study shows that noninvasive beat
to-beat Nexfin HD arterial blood pressure measurements reproducibly reflect
autonomic function responses in healthy volunteers.
PMID- 21885961
TI - Accuracy of Microlife WatchBP Office ABI monitor assessed according to the 2002
European Society of Hypertension protocol and the British Hypertension Society
protocol.
AB - OBJECTIVE: To determine the accuracy of the WatchBP Office ABI monitor for blood
pressure measurement developed by the Microlife Company. METHODS: The device
accuracy was tested in 85 subjects with a mean age of 54 +/- 19 years. Their
systolic and diastolic blood pressure (SBP/DBP) at entry was 141 +/- 30/86 +/- 19
mmHg, and upper arm circumference was 28 +/- 5 cm. Initially, the data from 33
participants were examined according to the 2002 version of the European Society
of Hypertension (ESH) protocol. An additional 52 subjects were then enrolled to
fulfill the requirements of the British Hypertension Society (BHS) protocol. In
all participants, sequential same arm measurements were performed by two trained
observers. RESULTS: The device passed all three phases of the ESH protocol for
SBP and DBP. For the BHS protocol the device was graded A for both SBP and DBP.
The A/A grade was achieved in the low blood pressure category (< 130/80 mmHg),
the B/A grade in the medium category (130-160/80-100 mmHg) and the A/A grade in
the high category (> 160/100 mmHg). Mean blood pressure difference between device
and observers in the first 33 subjects was -0.9 +/- 5.5 mmHg for SBP and -2.2 +/-
4.5 mmHg for DBP and in the 85 participants it was -1.2 +/- 6.5 mmHg and -2.3 +/-
5.1, respectively. CONCLUSION: These data show that the Microlife WatchBP Office
ABI monitor satisfied the recommended ESH accuracy levels and achieved A/A grade
of the BHS protocol across a wide range of BP.
PMID- 21885962
TI - Physicians' determinants for sick-listing LBP patients: a systematic review.
AB - STUDY DESIGN: A systematic review of the literature. OBJECTIVES: Sick-listing is
a complex process that involves stakeholders at several levels. Although the
physicians are the ones who issue a sick note, little is known about the
mechanisms and determinants they use in making a decision about whether to sick
list a patient with low back pain (LBP). The aim of this systematic review is to
describe the evidence on determinants used by physicians to sick-list patients
with LBP. METHODS: Electronic searches of Medline, EMBASE, PsychInfo, and
Cochrane Central were conducted (all years to June 2011). Inclusion criteria
included studies of workers with LBP presenting to a physician where sick-listing
certification was an outcome of the consultation process. Studies were critically
appraised for their internal validity by 2 independent reviewers using a modified
version the criteria proposed by Hayden et al. Findings from papers were
synthesized into internal and external factors related to the physician. RESULTS:
The search identified 1419 unique citations from which 11 papers met the
inclusion criteria. The evidence suggests that 2 internal factors are important
determinants of sick listing: physicians' personal fear avoidance and distress
regarding the complexity of LBP. External factors included patients'
expectations, the presence of clinical findings, and the support and general
attitude demonstrated by a patients' employer and the availability of modified
work. CONCLUSIONS: The current review suggests that physicians need to improve
their knowledge regarding options for modified work in the workplace, and about
the management of LBP in general. The otherwise beneficial patient-physician
relationship and physicians' care for their patients may be an obstacle to
following guidelines on LBP management in the sick-listing process. Future
studies should address these issues.
PMID- 21885963
TI - EMG assessment of analgesia in treatment of posttonsillectomy pain: random
allocation, preliminary report.
AB - OBJECTIVES: Surface electromyographic (sEMG) study of posttonsillectomy swallow
evoked muscular reactions was performed to assess validity of EMG in evaluation
of analgesic drugs. METHODS: Sixty randomly chosen operated adults were divided
into group 1 (n=30) treated with oxycodone, and group 2 (n=30) treated with
placebo. Pain assessment included visual analog scale (VAS) pain score and EMG
data: the timing, electric amplitude, and graphic patterns of muscular activity.
We investigated masseter, infrahyoid, and submental-submandibular muscles.
Records from trapezius muscle were used for control. The results were compared
with previously established normative database. The sEMG data were compared with
VAS score. RESULTS: Oxycodone significantly reduced VAS pain score and changed
muscle reactions to analgesia (amplitude) as was recorded by sEMG, whereas
placebo reduced VAS pain score nonsignificantly and changed the reaction of the
trapezius muscle only. Analgesia smoothes the recorded swallow peaks and
increases time of deglutition. Statistically significant difference in muscle
reactions was detected between the 2 groups. CONCLUSIONS: sEMG might be used for
quantitative evaluation of analgesic drugs by assessment of muscular reactions to
pain and to analgesia. This method might add quantitative justification to the
information obtained by VAS pain testing and clinical data.
PMID- 21885964
TI - The Boston keratoprosthesis in the management of corneal limbal stem cell
deficiency.
AB - PURPOSE: To report the outcomes of the Boston type I keratoprosthesis
(Massachusetts Eye and Ear Infirmary, Boston, MA) in the management of corneal
limbal stem cell deficiency (LSCD). METHODS: A retrospective review of all cases
of keratoprosthesis implantation performed by a single surgeon (A.J.A.) between
May 1, 2004, and March 31, 2010. Procedures performed for the management of LSCD
were identified, and data were collected regarding the patients' ocular history,
surgical procedure(s) performed, and postoperative outcomes, including interval
visual acuities, retention, complications, and required surgical procedures. The
outcome data from patients with LSCD were compared with those of individuals who
underwent keratoprosthesis implantation for indications other than LSCD. RESULTS:
Twenty-eight keratoprostheses were performed in 23 eyes of 22 patients with LSCD.
The most common indications for surgery were chemical injury (7 eyes) and Stevens
Johnson syndrome (6 eyes). Preoperative corrected distance visual acuity (CDVA)
was better than counting fingers in 4% of eyes with LSCD and in 9% of eyes
without LSCD. Postoperative CDVA was 20/50 or better in 69%, 88%, and 67% of eyes
with LSCD at 1, 2, and 3 years after keratoprosthesis implantation, respectively.
Each of these percentages is higher than the percentage of eyes without LSCD
obtaining CDVA of 20/50 or better at each time point. Whereas the retention
failure rate in eyes with LSCD (0.148/eye-year) was higher than the rate in eyes
without LSCD (0.114/eye-year), when eyes with Stevens-Johnson syndrome were
excluded from the LSCD group, the non-Stevens-Johnson syndrome LSCD retention
failure rate (0.056/eye-year) was half that of the non-LSCD group. The most
common postoperative complications in eyes with LSCD were persistent corneal
epithelial defect (PED) formation (56.5% of eyes) and sterile corneal necrosis
(30%), whereas retroprosthetic membrane formation (46%) was the most common
postoperative complication in eyes without LSCD, followed by PED formation (23%).
The development of a PED was found to be a significant risk factor for sterile
corneal stromal necrosis and infectious keratitis. CONCLUSION: Boston type I
keratoprosthesis implantation results in a significant improvement in CDVA in the
majority of patients with LSCD, with CDVA of 20/50 or better in more than two
thirds of eyes up to 3 years after surgery. PED formation is the most common
postoperative complication in eyes with LSCD and is associated with an increased
rate of sterile stromal necrosis and a lower retention rate in eyes undergoing
keratoprosthesis implantation for immune-mediated LSCD. These results support the
use of the Boston type I keratoprosthesis in managing bilateral, non-immune
mediated LSCD.
PMID- 21885965
TI - Progressive growth in epibulbar complex choristomas: report of 2 cases and review
of literature.
AB - PURPOSE: To report 2 patients with progressive complex choristomas and to review
the literature on this subject. DESIGN: Interventional case reports. METHODS:
Clinical and pathologic correlation was performed on 2 patients with progressive
epibulbar choristomas. PubMed database was searched to identify all the
previously reported cases of progressive epibulbar choristomas (using key words
choristoma, dermoid, growth, progression, and evolution). RESULTS: Growth of the
epibulbar choristomas was noted in infancy in 1 patient with oculoectodermal
syndrome and in puberty in another otherwise healthy patient. Both lesions were
identified histopathologically as complex choristomas. In addition to the
characteristic choristomatous tissues, both lesions demonstrated increased
vascularity, inflammatory infiltrate, and fibroblast proliferation within
myxomatous stroma. Review of the literature identified 4 patients with
progressive complex choristomas, 1 of whom demonstrated histopathologic findings
similar to those of the 2 cases reported here. CONCLUSIONS: Epibulbar choristomas
rarely enlarge, likely secondary to reactive changes within the tissue manifested
by increased vascularity, inflammatory cell infiltration, and fibroblast
proliferation with deposition of myxomatous stroma.
PMID- 21885966
TI - New insights into uremia-induced alterations in metabolic pathways.
AB - PURPOSE OF REVIEW: This article summarizes recent studies on uremia-induced
alterations in metabolism, with particular emphasis on the application of
emerging metabolomics technologies. RECENT FINDINGS: The plasma metabolome is
estimated to include more than 4000 distinct metabolites. Because these
metabolites can vary dramatically in size and polarity and are distributed across
several orders of magnitude in relative abundance, no single analytical method is
capable of comprehensive metabolomic profiling. Instead, a variety of analytical
techniques, including targeted and nontargeted liquid chromatography-mass
spectrometry, have been employed for metabolomic analysis of human plasma. Recent
efforts to apply this technology to study uremia have reinforced the common view
that end-stage renal disease is a state of generalized small molecule excess.
However, the identification of precursor depletion and downstream metabolite
excess - for example, with tryptophan and downstream kynurenine metabolites, with
low molecular weight triglycerides and dicarboxylic acids, and with
phosphatidylcholines, choline, and trimethylamine-N-oxide - suggest that uremia
may directly modulate these metabolic pathways. Metabolomic studies have also
begun to expand some of these findings to individuals with chronic kidney disease
and in model systems. SUMMARY: Uremia is associated with diverse, but
incompletely understood metabolic disturbances. Metabolomic approaches permit
higher resolution phenotyping of these disturbances, but significant efforts will
be required to understand the functional significance of select findings.
PMID- 21885967
TI - Urinary proteomics in the assessment of chronic kidney disease.
AB - PURPOSE OF REVIEW: Urinary proteomics has emerged as an approach that could
deliver relevant clinical information. In this review, we aim at highlighting the
recent developments, especially with respect to clinical implementation. We
review several of the recent publications reporting on larger cohorts, focusing
on those that aim at qualification and/or validation of urinary proteomics
biomarkers. RECENT FINDINGS: Several components of the urinary proteome,
especially its low molecular weight fraction (sometimes referred to as the
'peptidome'), have been significantly associated with chronic kidney disease
(CKD). Independent studies, encompassing sometimes close to 1000 independent
samples, indicate that specific peptides from extracellular matrix (ECM) proteins
encompass a major component of the urinary proteome. Highly significant changes
in the abundance of some of these peptides are associated with CKD indicating
that alterations in ECM, reflected via the urinary proteome, may represent an
early stage in CKD pathology. These peptides may serve as specific early
biomarkers, and interference with pathological ECM accumulation may be a valuable
new therapeutic approach in CKD. SUMMARY: Urinary proteomic biomarkers have
emerged as clinically relevant variables. First studies involving several hundred
individuals indicate a potential added benefit of urinary proteomic biomarkers.
First large clinical trials are being initiated to employ urinary proteomics in
clinical decision making.
PMID- 21885968
TI - Renal sympathetic denervation in hypertension.
AB - PURPOSE OF REVIEW: Despite the abundance of antihypertensive drugs, resistant
hypertension remains a major clinical problem. Recent technological advances
render interventional management of resistant hypertension one of the hottest
topics in the hypertension field. The aim of this review is to present the
pathophysiologic background and the mechanisms mediating blood pressure reduction
after renal sympathetic denervation, to analyze recent findings with this
fascinating approach and to critically suggest future research directions. RECENT
FINDINGS: Catheter-based, ablation-induced renal sympathetic denervation was
initially studied in 45 patients with resistant hypertension in a proof-of
concept study. Impressive blood pressure reductions of about 30/15 mmHg were
achieved at 6 months, without serious complications. A second, controlled,
randomized (but not blinded) study confirmed the results, verifying the efficacy
and safety of the procedure. A recent report revealed the 2-year durability of
blood pressure reduction. SUMMARY: Data published so far indicate that ablation
induced renal denervation is a feasible, effective, and well tolerated
interventional approach for the management of resistant hypertension. The
groundbreaking studies of renal denervation in drug-resistant hypertension pave
the way for further research in other disease conditions in which sympathetic
overactivity seems to play a critical role. This initial wave of enthusiasm needs
to be followed by rigorous investigation, for the proper identification of the
potential and the limitations, indications, and contraindications of this
approach.
PMID- 21885969
TI - Calcineurin inhibitors in kidney transplantation: friend or foe?
AB - PURPOSE OF REVIEW: The utilization of calcineurin inhibitors (CNI) in kidney
transplantation has dramatically improved short-term outcomes but significant
gains in long-term outcomes have proved elusive. Nephrotoxicity is the major
problem associated with CNIs and is responsible for the disappointing progress
seen in long-term graft survival. In this review, we assess CNI efficacy as well
as the latest strategies employed to limit long-term CNI nephrotoxicity. RECENT
FINDINGS: Three CNI sparing strategies - CNI withdrawal, CNI avoidance, and CNI
minimization - are evaluated with discussion of key studies such as the Efficacy
Limiting Toxicity Elimination-Symphony and Spare-the-Nephron studies. Recent
breakthroughs in transplant immunosuppression are discussed such as the BENEFIT
and BENEFIT-EXT studies, which have led to the recent US Food and Drug
Administratrion approval of belatacept, a novel T-cell costimulation blocker.
SUMMARY: For now, CNIs remain the proven standard of care in modern
immunosuppression. However, some novel agents may challenge the role CNIs play in
kidney transplantation in the very near future.
PMID- 21885971
TI - MRI to assess renal structure and function.
AB - PURPOSE OF REVIEW: In addition to excellent anatomical depiction, MRI techniques
have expanded to study functional aspects of renal physiology, such as renal
perfusion, glomerular filtration rate (GFR) or tissue oxygenation. This review
will focus on current developments with an emphasis on clinical applicability.
RECENT FINDINGS: The method of GFR determination is largely heterogeneous and
still has weaknesses. However, the technique of employing liver disappearance
curves has been shown to be accurate in healthy persons and patients with chronic
kidney disease. In potential kidney donors, complete evaluation of kidney anatomy
and function can be accomplished in a single-stop investigation. Techniques
without contrast media can be utilized to measure renal tissue oxygenation (blood
oxygen level-dependent MRI) or perfusion (arterial spin labeling) and could aid
in the diagnosis and treatment of ischemic renal diseases, such as renal artery
stenosis. Diffusion imaging techniques may provide information on spatially
restricted water diffusion and tumor cellularity. SUMMARY: Functional MRI opens
new horizons in studying renal physiology and pathophysiology in vivo. Although
extensively utilized in research, labor-intensive postprocessing and lack of
standardization currently limit the clinical applicability of functional MRI.
Further studies are necessary to evaluate the clinical value of functional
magnetic resonance techniques for early discovery and characterization of kidney
disease.
PMID- 21885970
TI - Measurement of insulin resistance in chronic kidney disease.
AB - PURPOSE OF REVIEW: Insulin resistance is a known complication of end-stage renal
disease that also appears to be present in earlier stages of chronic kidney
disease (CKD). It is a risk factor for cardiovascular disease and an important
potential therapeutic target in this population. Measurement of insulin
resistance is reviewed in the context of known pathophysiologic abnormalities in
CKD. RECENT FINDINGS: Insulin resistance in CKD is due to a high prevalence of
known risk factors (e.g. obesity) and to unique metabolic abnormalities. The site
of insulin resistance in CKD is localized to skeletal muscle. Estimates based on
fasting insulin concentration may not adequately capture insulin resistance in
CKD because they largely reflect hepatic defects and because CKD impairs insulin
catabolism. A variety of dynamic tests are available to directly measure insulin
mediated glucose uptake. SUMMARY: Insulin resistance may be an important
therapeutic target in CKD. Complementary methods are available to assess insulin
resistance, and each method has unique advantages, disadvantages, and levels of
complexity. These characteristics, and the likelihood that CKD alters the
performance of some insulin resistance measurements, must be considered when
designing and interpreting clinical studies.
PMID- 21885972
TI - State of the art: what we know about infectious agents and myositis.
AB - PURPOSE OF REVIEW: Increasing evidence suggests that the idiopathic inflammatory
myopathies (IIMs) result from certain environmental exposures in genetically
susceptible individuals. Investigations have demonstrated that a variety of
infections not only cause infectious myopathies but also could be possible
triggers for IIM. This review summarizes published studies on the possible roles
of infections in inflammatory muscle disease. RECENT FINDINGS: Many infectious
agents have been linked to the development of IIMs via case reports,
epidemiologic investigations, and animal models. Additional agents possibly
involved in triggering the development of IIMs have been recently described,
including Torque teno virus (TTV) and Borrelia burgdorferi. Novel animal models
of myositis have been recently developed using Leishmania infantum or Chikungunya
virus (CHIKV). New technologies to assess infectious agents include high
throughput methods for pathogen identification and novel approaches to identify
gene expression of pathogens in tissues. SUMMARY: Understanding the causes of
IIMs remains limited in part due to the rarity and heterogeneity of these
disorders. Although no definitive studies have yet linked infectious agents with
IIMs, additional evidence is accumulating and novel technologies may allow
improved understanding of the roles of infections in IIMs and for possible future
therapeutic and preventive measures.
PMID- 21885973
TI - Inclusion body myositis.
AB - PURPOSE OF REVIEW: Sporadic inclusion body myositis (sIBM) is a poorly understood
immune and degenerative disease of skeletal muscle. Here, current opinion of the
nature of this disease is summarized. RECENT FINDINGS: Recent findings for sIBM
include further characterization of muscle involvement through magnetic resonance
imaging, the role of muscle as a host for immune cells, progress in the role of
extranuclear TDP-43 in causing cellular injury, and the discovery of a new sIBM
autoantibody. SUMMARY: sIBM understanding continues to advance, with progress
regarding the mechanism of this disease.
PMID- 21885974
TI - beta-catenin signaling: a novel mediator of fibrosis and potential therapeutic
target.
AB - PURPOSE OF REVIEW: The Wnt/beta-catenin signaling pathway plays a critical role
in development and adult tissue homeostasis. Recent investigations implicate
Wnt/beta-catenin signaling in abnormal wound repair and fibrogenesis. The purpose
of this review is to highlight recent key studies that support a role for
Wnt/beta-catenin signaling in fibrosis. RECENT FINDINGS: Studies of patients with
fibrotic diseases have demonstrated changes in components of the Wnt/beta-catenin
pathway. In animal models, perturbations in Wnt/beta-catenin signaling appear to
aggravate or ameliorate markers of injury and fibrosis in a variety of different
tissues. Studies also suggest that fibroblasts from different tissue sources may
have markedly divergent responses to Wnt/beta-catenin signaling. Cross-talk
between Wnt/beta-catenin and transforming growth factor-beta pathways is complex
and context-dependent, and may promote fibrogenesis through coregulation of
fibrogenic gene targets. High throughput screening has identified several novel
chemical inhibitors of Wnt/beta-catenin signaling that may be of therapeutic
potential. SUMMARY: Wnt/beta-catenin signaling appears important in normal wound
healing and its sustained activation is associated with fibrogenesis. The
mechanism by which Wnt/beta-catenin signaling may modify the response to injury
is cell-type and context-dependent. Better understanding of this signaling
pathway may provide a promising new therapeutic approach for human fibrotic
diseases.
PMID- 21885975
TI - Necrotizing autoimmune myopathy.
AB - PURPOSE OF REVIEW: Necrotizing autoimmune myopathy (NAM) is a relatively newly
recognized subgroup of idiopathic inflammatory myopathies, which despite diverse
causes, have the common histopathological features of myocyte necrosis without
significant inflammation. Patients present with a subacute severe symmetrical
proximal myopathy, associated with a markedly elevated creatine kinase level.
These are most likely immune-mediated, as they respond to immunotherapy. The
review aims to define this heterogeneous entity and summarize the salient
clinical, laboratory, and muscle biopsy findings, in order to facilitate the
diagnosis and treatment of this condition. RECENT FINDINGS: Statin-associated NAM
has been linked with an antibody against the 3-hydroxy-3-methylglutaryl-coenzyme
A reductase (HMGCR) protein, which is up-regulated in regenerating fibres. This
finding suggests that NAM is an antibody-mediated disease, and may explain the
continuing progression of disease despite cessation of the statin. In addition it
may provide a useful diagnostic test in the future, to help differentiate immune
from nonimmune statin myopathies. SUMMARY: It is important to recognize and
distinguish NAM from other causes of myocyte necrosis, because it has the
potential of being amenable to treatment.
PMID- 21885976
TI - An update on an immune system that goes awry in systemic sclerosis.
AB - PURPOSE OF REVIEW: This review aims to provide an overview of the recent data
that emerged, further substantiating the critical role of innate immunity in
systemic sclerosis (SSc). RECENT FINDINGS: Driven by the evidence that newly
identified SSc susceptibility genes are predominantly involved in immune
regulation, we discuss the aberrant antigen presenting cell (APC) activation
observed in the course of disease. In particular, we report the alternate
activation of 'M1' and 'M2' macrophages reflecting different clinical phenotypes
and the aberrant Toll-like receptor (TLR) response, whose effect on cytokine
production is mostly evident in the early phases of disease; we especially
highlight the increasing importance attributed to TLR3-mediated fibrosis. We next
discuss the potential role for interferon (IFN) - producing plasmacytoid
dendritic cells (pDCs) in triggering or perpetuating the inflammatory loop caused
by TLR hyperactivation, possibly resulting in inflammasome-derived IL-1beta
mediated fibrosis and IL-17 producing T helper cells (Th17) skewing. SUMMARY: We
propose to approach SSc as a multistep immune-mediated disease that is in need of
a therapeutic strategy designed to interfere with one or more of these aberrant
molecular pathways. Targeting of DCs could be such a target by which dampening
the immune system could modify the course of SSc.
PMID- 21885977
TI - Contemporary management of Raynaud's phenomenon and digital ischaemic
complications.
AB - PURPOSE OF REVIEW: The present review gives an update of the current management
of Raynaud's phenomenon and its ischaemic complications (digital ulceration and
critical ischaemia) and discusses possible further developments in the next 5-10
years. New approaches to therapy are being driven by increased understanding of
pathophysiology and by increased international networking of clinicians and
scientists, facilitating clinical trials. RECENT FINDINGS: Key points include
phosphodiesterase inhibitors most likely confer benefit, although clinical trials
have given somewhat conflicting results, and have been short-term; a new topical,
easy-to-use glyceryl trinitrate preparation has been shown to improve Raynaud's
Condition Score; the endothelin-1 receptor antagonist bosentan has now been shown
to reduce the number of new systemic sclerosis (SSc)-related digital ulcers in
two multinational clinical trials; and although statin therapy is likely to
confer benefit in SSc-related Raynaud's phenomenon, further research is required
to confirm this. SUMMARY: New therapeutic approaches in patients who do not
respond to more traditionally used vasodilators include phosphodiesterase
inhibitors and (for those with recurrent SSc-related digital ulcers) endothelin-1
receptor antagonism. Several other potential new therapies are being researched.
Optimal management of digital ulceration is multidisciplinary including tissue
viability and (sometimes) surgical input.
PMID- 21885978
TI - Anaesthesia by non-anaesthesiologists: the Pandora Box is open!
PMID- 21885979
TI - Preoperative iron deficiency increases transfusion requirements and fatigue in
cardiac surgery patients: a prospective observational study.
AB - BACKGROUND: Iron deficiency is the commonest cause of anaemia. It is apparent
preoperatively in cardiac surgery patients and may influence transfusion
requirements. In addition, iron deficiency per se is associated with fatigue.
OBJECTIVE: To determine the prevalence of preoperative iron deficiency and its
association with perioperative anaemia, blood transfusions and fatigue in cardiac
surgery patients. SETTING: Academic hospital in Paris, France. PATIENTS: One
hundred consecutive patients without known iron disorder and scheduled for
cardiac surgery were prospectively included in this observational study.
INTERVENTION: No intervention was performed. MEASUREMENTS: A biological iron
profile (transferrin saturation, ferritin, soluble transferrin receptor and C
reactive protein) was assessed on the day of surgery. Diagnosis of iron
deficiency was defined using a previously published algorithm. Patient fatigue
was assessed before surgery and 1 week afterwards (day 7) using the
Multidimensional Fatigue Inventory (MFI-20) score that quotes five distinctive
dimensions of fatigue. RESULTS: Thirty-seven out of 100 patients were diagnosed
with iron deficiency. These patients were younger [median (first-third quartile)
63 (43-70) vs. 70 (59-77) years (P = 0.004)], and more often female (51 vs. 21%,
P = 0.003), than no iron deficiency patients. Preoperative iron deficiency was
associated with lower preoperative haemoglobin levels (P = 0.006) and higher
perioperative transfusion rates during the first week (62 vs. 35%, P = 0.019).
Patients with iron deficiency but without anaemia (n = 25) received more packed
red blood cells units than those without iron deficiency or anaemia (n = 50) [2
(0-2) vs. 0 (0-0) units, P < 0.05). Preoperative iron deficiency was associated
with higher score of physical fatigue on day 7 (P = 0.01). CONCLUSION:
Preoperative iron deficiency is frequent among cardiac surgery patients and is
associated with anaemia, higher transfusion requirements and postoperative
fatigue.
PMID- 21885980
TI - Nasotracheal intubation using the Blind Intubation Device in anaesthetised adults
with Mallampati class 3: a comparison with the Macintosh laryngoscope.
AB - CONTEXT: We hypothesised that the Blind Intubation Device (BID) would be
effective for nasotracheal intubation (NTI) in anaesthetised adults with
Mallampati class 3. We also hypothesised that BID may cause less haemodynamic
changes due to the avoidance of direct stimulation induced by the Macintosh
blade. OBJECTIVE: The purpose of the study was to compare the effectiveness of
the BID with the Macintosh laryngoscope for NTI in anaesthetised adults with
Mallampati class 3. DESIGN: A prospective randomised controlled study. SETTING:
Operation unit in a University Hospital in Shanghai. Period of the study was from
September to November 2010. PATIENTS OR OTHER PARTICIPANTS: Mallampati class 3
adults requiring NTI for elective oral and maxillofacial surgery were randomly
assigned to a BID group (n = 25) or a Macintosh laryngoscope group (ML group) (n
= 25). INTERVENTION: After anaesthesia induction, patients were intubated by a
single anaesthesiologist experienced in using both devices. MAIN OUTCOME
MEASURES: The mean arterial pressure (MAP) and heart rate (HR) were recorded at
specific time points. NTI duration and success rate was compared. Epistaxis
associated and NTI-associated postoperative complications were assessed. RESULTS:
Compared with baseline values, there was a significant increase in MAP in both
the BID and ML groups which persisted significantly longer in the ML group. The
BID group showed a significantly attenuated MAP value within 30-60 s. The
difference between the maximum MAP and the post-induction value was significantly
greater in the ML group than in the BID group (64.4 +/- 16.1 vs. 45.9 +/- 16.1
mmHg, P = 0.0003). Compared with baseline values, there was a significant
increase in HR in both groups which persisted longer in the ML group. There was a
significantly higher first attempt success rate in the BID group compared with
the ML group (100 vs. 76%, respectively, P = 0.022). The NTI duration was 36 s
[interquartile range (IQR) 32-40] in the BID group and 33 s (IQR 25.5-41.5) in
the ML group. Epistaxis during NTI was less frequent and less severe in the BID
group (P = 0.031). CONCLUSION: In adults with Mallampati class 3, NTI using the
BID caused an attenuated haemodynamic response and showed a higher success rate
on the first attempt without increasing adverse events. The BID is an effective
alternative to the Macintosh laryngoscope for NTI in anaesthetised adults with
Mallampati class 3. TRIAL REGISTRATION: Clinicaltrials.gov identifier: NCT
01170455.
PMID- 21885981
TI - Preoperative evaluation of the adult patient undergoing non-cardiac surgery:
guidelines from the European Society of Anaesthesiology.
AB - The purpose of these guidelines on the preoperative evaluation of the adult non
cardiac surgery patient is to present recommendations based on available relevant
clinical evidence. The ultimate aims of preoperative evaluation are two-fold.
First, we aim to identify those patients for whom the perioperative period may
constitute an increased risk of morbidity and mortality, aside from the risks
associated with the underlying disease. Second, this should help us to design
perioperative strategies that aim to reduce additional perioperative risks. Very
few well performed randomised studies on the topic are available and many
recommendations rely heavily on expert opinion and are adapted specifically to
the healthcare systems in individual countries. This report aims to provide an
overview of current knowledge on the subject with an assessment of the quality of
the evidence in order to allow anaesthetists all over Europe to integrate -
wherever possible - this knowledge into daily patient care. The Guidelines
Committee of the European Society of Anaesthesiology (ESA) formed a task force
with members of subcommittees of scientific subcommittees and individual members
of the ESA. Electronic databases were searched from the year 2000 until July 2010
without language restrictions. These searches produced 15 425 abstracts. Relevant
systematic reviews with meta-analyses, randomised controlled trials, cohort
studies, case-control studies and cross-sectional surveys were selected. The
Scottish Intercollegiate Guidelines Network grading system was used to assess the
level of evidence and to grade recommendations. The final draft guideline was
posted on the ESA website for 4 weeks and the link was sent to all ESA members,
individual or national (thus including most European national anaesthesia
societies). Comments were collated and the guidelines amended as appropriate.
When the final draft was complete, the Guidelines Committee and ESA Board
ratified the guidelines.
PMID- 21885982
TI - Volatile rapid sequence induction in morbidly obese patients.
AB - BACKGROUND AND OBJECTIVE: The interest in bariatric surgery is growing. Morbidly
obese patients have an increased risk of hypoxia and decreased blood pressure
during rapid sequence induction (RSI). Alternate RSI methods that provide
cardiovascular and respiratory stability are required. With this in mind, we
evaluated a method for volatile RSI in morbidly obese patients. DESIGN:
Observational study. METHODS: Thirty-four patients with mean BMI 42.4 kg m
undergoing bariatric surgery (morbidly obese group) and 22 patients with mean BMI
25.6 kg m as a control group were included in the study. Anaesthesia was induced
with sevoflurane, propofol, suxamethonium and alfentanil, designed to avoid
respiratory and haemodynamic adverse events and to minimise depressing effect on
the brain respiratory centre under ongoing RSI. Peripheral oxygen saturation
(SpO2) and mean arterial blood pressure were registered before and after
endotracheal intubation. In addition, two time periods were measured during RSI:
spontaneous breathing time (SBT) and apnoea time. RESULTS: We found no
significant differences between the groups. No periods of desaturation were
detected. SpO2 was 100% before and after endotracheal intubation in all patients.
Mean arterial pressure was maintained at a stable level in both groups. Mean SBT
and apnoea time were 65.6 and 45.8 s in the morbidly obese group, and 70.7 and
47.7 s in the control group, respectively. CONCLUSION: A combination of
sevoflurane, propofol, suxamethonium and alfentanil is a suitable method for RSI
which maintains cardiovascular and respiratory stability in both morbidly obese
and lean patients.
PMID- 21885983
TI - A clinical pathway in a post-anaesthesia care unit to reduce length of stay,
mortality and unplanned intensive care unit admission.
AB - CONTEXT: The immediate post-operative period is critical with regard to post
operative outcomes. OBJECTIVE: To assess the impact of a clinical pathway
implemented in a post-anaesthesia care unit on post-operative outcomes. DESIGN: A
retrospective cohort study based on electronic patient records. SETTING: A post
anaesthesia care unit in a Swiss University Hospital. PATIENTS: Adult patients
after elective and non-elective surgery. INTERVENTION: Implementation of a
clinical pathway with a nurse-driven fast-track programme for uncomplicated
patients (systematic use of Aldrete score and systematic discharge without
physician) and a physician-driven slow-track programme for complicated patients
(systematic handover between operating theatre and post-anaesthesia care unit,
and between post-anaesthesia care unit and ward, systematic rounds, systematic
use of standardised care for post-operative events, strict discharge criteria).
MAIN OUTCOME MEASURES: Post-anaesthesia care unit length of stay, in-hospital
mortality and unplanned admission to the ICU after post-anaesthesia care unit
stay. METHODS: Comparison of the periods before and after implementation using
median and interquartile range (IQR) and rates (%). STATISTICAL ANALYSIS:
unpaired Student's t-test, chi test, Wilcoxon rank test. Differences were
adjusted through multivariate analyses with linear and logistic regression (level
of significance: P < 0.05) and expressed as odds ratio (OR) with 95% confidence
interval (95% CI). RESULTS: After implementation, the median post-anaesthesia
care unit length of stay decreased for all patients from 163 min (IQR 103-291) to
148 min (IQR 96-270; P < 0.001); in the American Society of Anaesthesiologists 1
2 patients, it decreased from 152 min (IQR 102-249) to 135 min (IQR 91-227; P <
0.001). In-hospital mortality decreased for all patients from 1.7 to 0.9%
[adjusted OR 0.36 (95% CI 0.22-0.59), P < 0.001]. The number of unplanned
admissions to the ICU decreased from 113 (2.8%) to 91 (2.1%) [adjusted OR 0.73
(95% CI 0.53-0.99), P = 0.04]. CONCLUSION: A clinical pathway in a post
anaesthesia care unit can significantly reduce length of stay and can improve
post-operative outcome.
PMID- 21885985
TI - Survival of Danish patients with endometrial cancer in the intermediate-risk
group not given postoperative radiotherapy: the Danish Endometrial Cancer Study
(DEMCA).
AB - OBJECTIVES: In a prospective study during the years 1986 to 1988, the Danish
Endometrial Cancer Group (DEMCA) demonstrated that postoperative radiotherapy was
unnecessary for low-risk patients with stage I disease. In the present study, we
evaluated in a population-based study if radiotherapy could also be omitted for
intermediate-risk patients with stage I disease without loss of survival. STUDY
DESIGN: From 1998 to 1999, 1166 patients newly diagnosed with carcinoma of the
uterus were included in this prospective nationwide study. Of these, 232 were
intermediate-risk patients with stage I disease. All intermediate-risk patients
received standard primary surgery (hysterectomy, bilateral salpingo-oophorectomy,
and peritoneal washings), and no postoperative radiotherapy was given. Survival
analyses were performed using Kaplan-Meier survival estimates. The results were
compared to the 1986-1988 DEMCA data. RESULTS: The 5-year overall survival (OS)
rate for the entire population was 77% (stages I-IV). The patients with stage I
disease were divided into low-, intermediate-, and high-risk; the OS rates were
91%, 78%, and 62%, and the endometrial cancer-specific survival rates were 97%,
87%, and 72%, respectively. Using patients' age, tumor grade, myometrial
invasion, we divided the intermediate-risk group into "high risk" intermediate
and "low-risk" intermediate with OS rates of 70% and 90% and cancer-specific
survival of 81% and 96%, respectively. The OS rate (78%) of the intermediate-risk
group after radiation had been omitted was comparable to the OS rate (79%) of the
intermediate-risk group in the earlier DEMCA (1986-1988) study where
postoperative radiation was still the standard of care. CONCLUSION: We conclude
that in a population-based study, radiotherapy can be omitted for intermediate
risk patients with stage I endometrial cancer without loss of survival.
PMID- 21885984
TI - Antiendomysium antibodies assay in the culture medium of intestinal mucosa: an
accurate method for celiac disease diagnosis.
AB - BACKGROUND: Celiac disease (CD) diagnosis is becoming more difficult as patients
with no intestinal histology lesions may also be suffering from CD. AIM: To
evaluate the diagnostic accuracy of antiendomysium (EmA) assay in the culture
medium of intestinal biopsies for CD diagnosis. PATIENTS AND METHODS: The
clinical charts of 418 patients with CD and 705 non-CD controls who had all
undergone EmA assay in the culture medium were reviewed. RESULTS: EmA assay in
the culture medium had a higher sensitivity (98 vs. 80%) and specificity (99 vs.
95%) than serum EmA/antibodies to tissue transglutaminase (anti-tTG) assay. All
patients with CD who were tested as false-negatives for serum EmA and/or anti-tTG
(32 adults and 39 children) carried the human leukocyte antigen alleles
associated to CD. Furthermore, during the follow-up, four patients with negative
serum EmA/anti-tTG, normal villi architecture, and positive-EmAs in the culture
medium, developed villous atrophy and underwent gluten-free diet with consequent
resolution of the symptoms and complete intestinal histology recovery.
CONCLUSION: EmA assay in the culture medium should be included in the diagnostic
criteria for CD diagnosis in 'seronegative' patients.
PMID- 21885987
TI - The use of auditory prompting systems for increasing independent performance of
students with autism in employment training.
AB - In this study a self-operated auditory prompting system is introduced to
determine if it can increase the on-task behavior for two students with autism
participating in an employment training program. In addition, the amount of
prompts provided by support staff is measured. The self-operated auditory
prompting system consisted of tape recordings of music interspersed with prompts
of self-evaluation and encouragement related to the job tasks being performed in
the employment setting. The results of the study indicated a potential positive
relationship between the self-operated auditory prompting system and the on-task
behavior of the participants as well as a positive relationship between the
decreased amounts of prompts used by support staff.
PMID- 21885986
TI - Redox-active iron-induced oxidative stress in the pathogenesis of clear cell
carcinoma of the ovary.
AB - OBJECTIVE: Epithelial ovarian cancer (EOC) is the most lethal pelvic gynecologic
cancer. Clear cell carcinoma (CCC) and endometrioid adenocarcinoma (EAC) of the
ovary have been associated with endometriosis, thus indicating that endometriosis
has been believed to increase the risk of developing EOC. The aim of our review
was to identify and synthesize the most current information on CCC with regard to
molecular and pathophysiological distinctions. METHOD: This article reviews the
English-language literature for molecular, pathogenetic, and pathophysiological
studies on endometriosis and endometriosis-associated ovarian cancer (EAOC). In
this review, we focus on the functions and roles of redox-active iron in CCC
carcinogenesis. RESULTS: The iron-induced reactive oxygen species signals can
contribute to carcinogenesis via 3 major processes: step 1, by increasing
oxidative stress, which promotes DNA mutagenesis, histone modification, chromatin
remodeling, and gene products activation/inactivation thus contributing to EAOC
initiation; step 2, by activating detoxification and antiapoptotic pathways via
the transcription factor hepatocyte nuclear factor 1beta overexpression, thereby
contributing to CCC promotion; and step 3, by creating an environment that
supports sustained growth, angiogenesis, migration, and invasion of cancer cells
via estrogen-dependent (EAC) or estrogen-independent (CCC) mechanisms, thus
supporting tumor progression and metastasis. CONCLUSIONS: These aspects of
reactive oxygen species biology will be discussed in the context of its
relationship to EAOC carcinogenesis.
PMID- 21885988
TI - Requirement for functional BK channels in maintaining oscillation in venomotor
tone revealed by species differences in expression of the beta1 accessory
subunits.
AB - We determined the possible role of large-conductance Ca2+-activated K (BK)
channels in regulation of venous tone in small capacitance veins and blood
pressure. In rat mesenteric venous smooth muscle cells (MV SMC), BK channel alpha
and beta1-subunits were coexpressed, unitary BK currents were detected, and
single-channel currents were sensitive to voltage and [Ca2+]i. Rat MV SMCs
displayed Ca sparks and iberiotoxin-sensitive spontaneous transient outward
currents. Under resting conditions in vitro, rat MV exhibited nifedipine
sensitive spontaneous oscillatory constrictions. Blockade of BK channels by
paxilline and Ca2+ sparks by ryanodine constricted rat MV. Nifedipine caused
venodilation and blocked paxilline-induced, KCl-induced (20 mM), and BayK8644
induced contraction. Acute inhibition of BK channels with iberiotoxin in vivo
increased blood pressure and reduced venous capacitance, measured as an increase
in mean circulatory filling pressure in conscious rats. BK channel alpha-subunits
and L-type Ca2+ channel alpha1-C subunits are expressed in murine MV. However,
these channels are not functional because murine MV lack nifedipine-sensitive
basal tone and rhythmic constrictions. Murine MV were also insensitive to
paxilline, ryanodine, KCl, and BayK8644, consistent with our previous studies
showing that murine MV do not have BK beta1-subunits. These data show that not
only there are species-dependent properties in ion channel control of venomotor
tone but also BK channels are required for rhythmic oscillations in venous tone.
PMID- 21885989
TI - A-kinase anchoring protein 9 and IKs channel regulation.
AB - A-kinase anchoring proteins (AKAPs) create compartmentalized environment inside
the cell to bring various signaling molecules to their targets. In the heart, a
slowly activating potassium channel (IKs) important for cardiac repolarization is
tightly regulated by the sympathetic nervous system in an AKAP-dependent manner.
IKs channel forms a macromolecular complex with AKAP9 and other enzymes, such as
protein kinase A, phosphatase, adenylyl cyclase, and phosphodiesterase, all of
which are responsible to control the phosphorylation state of the channel. Such a
complex thus ensures the IKs channel to be regulated properly to maintain the
normal cardiac rhythm. Disruptions of various elements of the complex have been
found to cause severe pathological consequences, including the long QT syndrome.
PMID- 21885990
TI - Short-term statin treatment does not prevent ischemia and reperfusion-induced
endothelial dysfunction in humans.
AB - Statins are known to have cholesterol-independent pleiotropic effects, such as
upregulation of the enzyme ecto-5'-nucleotidase. These effects may contribute to
the protective effect of statins against ischemia and reperfusion (IR).
Interestingly, pleiotropic effects have been shown to differ between hydrophilic
and lipophilic statins. Flow-mediated dilation (FMD) represents a largely nitric
oxide-mediated, endothelium-dependent dilation and has been shown to decrease
after exposure to IR in humans. FMD has been validated to study (pharmacological)
interventions in IR injury. We examined the effect of a short-term (3-7 days)
statin pretreatment on brachial artery endothelial function before and after IR,
and whether the effect on brachial artery endothelial function differs between
rosuvastatin (hydrophilic statin) and atorvastatin (lipophilic statin). Our
results show that IR significantly decreases FMD; however, statin pretreatment
did not alter the effect of IR on FMD (irrespective of treatment duration or type
of statin used). This experiment suggests that the cardioprotective effects of
statins (both lipophilic and hydrophilic) against IR are not mediated through
preservation of endothelial function.
PMID- 21885991
TI - Signaling mechanisms mediating uridine adenosine tetraphosphate-induced
proliferation of human vascular smooth muscle cells.
AB - Proliferation of vascular smooth muscle cells (SMCs) plays an important role in
the development of atherosclerosis and restenosis. Extracellular mononucleotides,
such as adenosine triphosphate and uridine-5'-triphosphate stimulate SMC
proliferation. However, the effects of dinucleotides on SMC proliferation and
their underlying signaling mechanisms are less well defined. Recently, increasing
evidence suggests that the dinucleotide, uridine adenosine tetraphosphate (Up4A)
plays a role in the regulation of cardiovascular function. We have previously
demonstrated that Up4A stimulates DNA synthesis and proliferation of human SMCs.
This study investigated the signaling mechanisms underlying the proliferative
effect of Up4A. Up4A-induced increase in bromodeoxyuridine incorporation was
blocked by the mammalian target of rapamycin inhibitor, rapamycin, and the MEK
inhibitor, PD98059. Up4A-stimulated phosphorylation and kinase activity of S6
kinase (S6K) and Erk1/2 were inhibited by PD98059, whereas phosphorylation and
kinase activity of S6K, but not Erk1/2, were inhibited by rapamycin. Up4A also
increased the phosphorylation of Akt, which was blocked by the PI3-kinase
inhibitor, LY294002. Up4A-stimulated activation of S6K, but not Erk1/2, was also
prevented by LY294002. Furthermore, Up4A-stimulated phosphorylation and kinase
activity of S6K and Erk1/2 were inhibited by the P2 receptor antagonist, suramin,
but not by the P2X receptor antagonist, Ip5I. Up4A also stimulated an increase in
the protein expression of cycle-dependent kinase 2, which was prevented by
rapamycin, PD98059, and suramin. These results suggest that the signaling
mechanisms underlying the Up4A-stimulated proliferation of SMCs are mediated by
P2Y receptors and involve the PI3-K/Akt and mitogen-activated protein kinase
pathways, leading to the independent activation of S6K and an increase in cycle
dependent kinase 2 expression. This work stresses the concept that dinucleotides,
like mononucleotides, play potentially important roles in the regulation of
vascular function.
PMID- 21885992
TI - Tamoxifen and its metabolites cause acute vasorelaxation of aortic rings by
inducing vasodilator prostanoid synthesis.
AB - The vascular effects of tamoxifen (Tam) and its metabolites are poorly known. We
compared the vasorelaxation induced by Tam and its metabolites (N-desmethyl-Tam,
4-hydroxy-Tam, and endoxifen) in aortic rings from rats using standardized organ
bath procedures, and we investigated the mechanisms involved in this effect. Tam
and its metabolite-induced vasorelaxation in a concentration-dependent manner.
Although 4-hydroxy-Tam and Tam had similar potency (pD2 = 8.5 +/- 0.1 vs. 8.8 +/-
0.1, respectively) and maximum effect (Emax = 88.5% +/- 1.3% vs. 92.6% +/- 1.3%,
respectively), N-desmethyl-Tam and endoxifen were more potent and showed higher
Emax than Tam did (pD2 = 9.0 +/- 0.1 and 8.9 +/- 0.1; Emax = 101.1% +/- 1.8% and
101.0% +/- 1.8% for N-desmethyl-Tam and endoxifen, respectively). Although
preincubation of aortic rings with the estrogen receptor antagonist ICI 182780 or
with the nitric oxide synthase inhibitor Nomega-nitro-L-arginine methyl ester
hydrochloride induced no changes in the vasorelaxation induced by Tam or 4
hydroxy-Tam, both drugs significantly reduced Emax in response to N-desmethyl-Tam
or to endoxifen. Inhibition of cyclooxygenase with indomethacin or the incubation
with the prostaglandin D2 and E2 receptor antagonist AH6809 reduced the
vasorelaxation-induced Tam and its metabolites by approximately 50%.
Preincubation with Nomega-nitro-L-arginine methyl ester hydrochloride combined
with indomethacin abolished the vasorelaxation-induced Tam and its metabolites.
These results show that Tam and its metabolites cause acute vasorelaxation by
inducing vasodilator prostanoids synthesis.
PMID- 21885993
TI - Development of angiotensin II-induced abdominal aortic aneurysms is independent
of catalase in mice.
AB - Chronic infusion of angiotensin II (AngII) into mice augments the development of
abdominal aortic aneurysms (AAAs). Catalase is an important antioxidant enzyme in
cellular peroxisome, and it physiologically maintains tissue and cellular redox
homeostasis and thus plays a central role in defense against oxidative stress.
The purpose of this study was to define whether deficiency of catalase influences
AngII-induced AAAs. Male acatalasemic (C3H/AnLCsCs) mice and wild-type
(C3H/AnLCsCs) mice (8-12 weeks old, N = 24 and 25, respectively) were fed a
normal chow for 5 weeks. After 1 week of acclimtion, mice were infused
subcutaneously with AngII (1000 ng.kg.min) by osmotic minipumps for 4 weeks.
AngII increased systolic blood pressure equivalently in both groups. Acatalasemia
had no effect on serum cholesterol concentrations. The body weight of
acatalasemic mice was slightly greater than that of wild-type mice (P = 0.008).
Although aortic catalase activity in acatalasemic mice was significantly low (P <
0.001), acatalasemia had no significant effect on the incidence of AngII-induced
AAA formation (acatalasemia, 23%; wild, 21%), ex vivo measurement of maximal
diameter of abdominal aorta (acatalasemia, 1.22 +/- 0.29 mm; wild, 1.21 +/- 0.17
mm), or aortic deposition of lipid peroxidation products such as 4-hydroxy-2
nonenal. The development of AngII-induced AAAs is independent of catalase.
PMID- 21885994
TI - Metoprolol ameliorates cyclosporine a-induced hypertension and nephrotoxicity in
rats.
AB - Despite their clinical relevance as important cardiovascular modulators, there
are few studies regarding the potential protective effect of beta-blockers
against immunosuppressive-induced cardiovascular side effects. This study
investigated the possible ameliorating effect of beta1-blocker, metoprolol (MTP),
against both hypertensive and nephrotoxic effects of cyclosporine A (CSA).
Compared with vehicle (olive oil)-treated rats, chronic treatment with CSA (20
mg.kg.d subcutaneous, for 14 days) increased systolic blood pressure, elevated
renal function indices and plasma renin activity, impaired renovascular
responsiveness of isolated perfused rat kidneys to endothelium-dependent
vasodilations induced by carbachol. These effects were abolished upon concurrent
administration of MTP (5 mg.kg.d for 14 days, intraperitoneal). The possibility
that alterations in the antioxidant and/or circulating cytokine levels
contributed to the CSA-MTP interaction was also investigated. MTP abrogated the
oxidative (superoxide dismutase, catalase), lipid peroxidation (malondialdyde),
and elevated the cytokine (TNF-alpha and TGF-beta) effects of CSA.
Histologically, CSA caused tubular brush border loss and isometric vacuolization
clustered in the proximal tubule; this effect disappeared in rats cotreated with
MTP. The use of a nonhypotensive dose of MTP (1.25 mg/kg) countered in part
endothelium dysfunction altered oxidative stress parameters and cytokine levels
in CSA-treated rats. Collectively, MTP abrogates both the hypertensive and the
nephrotoxic effects of CSA via ameliorating endothelium dysfunction, oxidative
stress, and upregulated cytokine levels caused by CSA. The demonstration of
nephroprotection by the low dose of MTP suggests that its renal effect may be
partially unrelated to its hemodynamic activity.
PMID- 21885996
TI - Understanding the concept of medialization in scapula fractures.
AB - OBJECTIVE: To determine whether medial displacement of the glenoid with respect
to the midline occurs in scapula fractures and the potential impact on fracture
reduction technique. DESIGN: Retrospective case series. SETTING: Level I trauma
medical center. PATIENTS: Seventy patients who sustained scapula fractures from
2004 to 2008 and a comparison group of 47 normal patients without scapula
fractures. INTERVENTION: Computed tomography scans were analyzed from all 70
patients who sustained scapula fractures. Measurements were obtained from the
most lateral aspect of the glenoid articular surface to the midline (vertebral
spinous process) on both the injured and non-injured sides to assess medial or
lateral displacement of the glenoid with respect to the midline. The same
measurements were obtained bilaterally for the 47 patients in the comparison
group. MAIN OUTCOME MEASUREMENT: Medial or lateral displacement of the glenoid
with respect to the midline. RESULTS: Our results showed that for all 70 patients
with scapula fractures, the average distance from the glenoid articular surface
to the midline was 6.0 mm +/- 10.1 greater for the injured shoulder than for the
non-injured side (P = 0.0017), indicating lateral displacement of the glenoid. In
the comparison group, the mean right-to-left difference from midline was -0.9 mm
+/- 9.2 (P = 0.4399). CONCLUSION: Our analysis demonstrates that in this group of
patients with scapula fractures, there was slight lateral displacement of the
glenoid with respect to the midline with significant variability in the degree of
medial/lateral displacement. This finding provides evidence that the glenoid
fragment retains its anatomic position, and the proximal scapula body fragment
may be the fracture component that lateralizes. Recognizing this pattern of
displacement may enhance our understanding of scapula fractures and the
techniques used during open reduction and internal fixation.
PMID- 21885997
TI - The medical orthopaedic trauma service: an innovative multidisciplinary team
model that decreases in-hospital complications in patients with hip fractures.
AB - OBJECTIVES: The purpose of the study is to evaluate the influence of a
multidisciplinary model of care on the incidence of postoperative complications
after a hip fracture. DESIGN: Retrospective cohort series. SETTING: Level I
trauma center. PATIENTS/PARTICIPANTS: Three hundred six patients with
pertrochanteric femur fracture (OTA classification: 31-B1, 31-B2, 31-B3, 31-A1,
31-A2, 31-B3, 32-A1, and 32-A2). INTERVENTION: A multidisciplinary, collaborative
model of perioperative care: the Medical Orthopaedic Trauma Service (MOTS). MAIN
OUTCOME MEASURES: Incidence of in-patient complications, length of in-patient
hospitalization, readmission rate after hospital discharge, and postdischarge
mortality at 90 days and 1 year. RESULTS: Although there was no change in length
of hospitalization, there was a significantly decreased overall incidence of in
patient complications and a decreased incidences of new-onset urinary tract
infection and arrhythmias in the MOTS cohort. These differences persisted after
controlling for age, comorbidity, gender, ethnicity, type of fracture, and number
of days from admission to surgery with a logistic regression model. Subgroup
analysis of patients with an American Society of Anesthesiologists physical
status classification of 1 or 2 revealed a significantly decreased 90 day
readmission rate with the MOTS model, but this did not persist in a regression
model (P = 0.07). CONCLUSIONS: A multidisciplinary, collaborative model of care
for patients with hip fractures decreases the incidence of postoperative in
patient complications and may influence hospital readmission rates. LEVEL OF
EVIDENCE: Therapeutic Level III. See Instructions for Authors for a complete
description of levels of evidence.
PMID- 21885998
TI - Return to duty after type III open tibia fracture.
AB - INTRODUCTION: Despite the high incidence of battlefield orthopaedic injuries,
long-term outcomes and return to duty (RTD) status have rarely been studied. Our
purpose was to determine the RTD rate for soldiers who sustained Type III open
tibia fractures in active combat. METHODS: One hundred fifteen soldiers who
sustained battle-related Type III open tibia fractures were retrospectively
reviewed. The Army Physical Evaluation Board database was reviewed to determine
which soldiers were able to RTD and the disability ratings of those not able to
RTD. RESULTS: The overall RTD rate was 18%, isolated open fractures had a RTD
rate of 22%, salvaged extremities had a RTD rate of 20.5%, and amputees had a RTD
rate of 12.5%. Older age and higher rank were both significant factors in
increasing the likelihood of RTD and amputees had significantly higher disability
ratings than those with salvaged extremities. CONCLUSION: Despite the severe
nature of combat extremity wounds, 20% of patients with salvaged Type III open
tibia fractures and 22% with isolated injuries were able to return to active
duty. These rates are similar to those reported for civilian amputees. Amputees
in our cohort were less likely to RTD.
PMID- 21885999
TI - A comparison of the Gallie technique and casting versus the harms technique for
the treatment of odontoid fractures.
AB - OBJECTIVE: The objective of this study was to compare perioperative, clinical
parameters, complications, and reoperation rate of the Gallie technique and head
neck-chest plaster with the Harms technique in the treatment of odontoid
fractures. DESIGN: A retrospective study. SETTING: Level I spine center.
PATIENTS: Fifty-seven patients with odontoid fractures treated either with the
Gallie technique and casting or the Harms technique between July 2002 and June
2008. INTERVENTION: Surgery. MAIN OUTCOME MEASUREMENTS: At a minimum of 2-year
follow-up, comparison of the two groups was conducted in terms of hospital stay,
blood loss, operation time, cost of the first admission, total cost, time to
fusion, time to return to previous occupation, Japanese Orthopedic Association
scores, visual analog scale scores of neck pain, complications (nonunion, delayed
union, hardware breakage, wound infection), and reoperation rate. RESULTS: There
were no significant differences in terms of hospital stay, time to fusion,
Japanese Orthopedic Association scores, neck pain visual analog scale scores,
complications, or reoperation rate between the two groups. Blood loss, operation
time, cost of the first admission, and total cost were significantly lower in the
Gallie group than that in the Harms group. However, the Gallie group took longer
to return to previous occupation than the Harms group (P < 0.001). CONCLUSIONS:
Management of odontoid fractures by either the Gallie technique and casting or
the Harms technique was found to be similar in clinical outcomes. Although the
Harms technique was associated with more blood loss, operation time, and cost,
the Harms technique was found to be superior to the Gallie technique with casting
in terms of time to return to previous occupation.
PMID- 21886000
TI - Knee pain correlates with union after tibial nailing.
AB - OBJECTIVES: The purpose of this study is to evaluate the change in quantitatively
scored knee pain during union. DESIGN: This is a retrospective review of
prospectively collected data over a 15-year period. SETTING: Academic medical
center. PATIENTS: All patients treated with an intramedullary nail were evaluated
for knee pain and union. Four hundred twenty-eight patients with 443 tibia
fractures were included. INTERVENTION: All tibia fractures were treated with an
intramedullary nail. OUTCOMES: Patient-based knee pain was scored from 0 to 3.
Fracture union was also graded using a modified Hammer score based on cortical
bridging and remodeling. RESULTS: We found a significant inverse association
between pain and union score (P < 0.01). In contradistinction, there was not a
correlation between time from surgery and pain (P = 0.13). Because union score
and time were related, a model was created with both parameters. This model
demonstrated a statistical correlation with union score (P < 0.01), but not for
time from surgery (P = 0.18). CONCLUSIONS: We postulated that knee pain may
correlate with either union or time from surgery. We found a statistically
significant, negative correlation between knee pain and fracture union. There was
no such association between pain and time from surgery.
PMID- 21886001
TI - The impact of smoking on complications after operatively treated ankle fractures-
a follow-up study of 906 patients.
AB - OBJECTIVES: This study on patients with operatively treated ankle fractures aimed
to investigate the impact of smoking on postoperative complications and
especially deep wound infections. DESIGN: Cohort study with prospective follow
up. SETTING: University-associated teaching hospital with advanced trauma care.
PATIENTS: A consecutive series of patients (n = 906) operatively treated for an
acute ankle fracture during a 3-year period was identified. For the analysis, the
patients were categorized as nonsmokers (n = 721) and smokers (n = 185). Data
were collected from the department database and completed with a review of the
patients' medical charts. MAIN OUTCOME MEASURES: Postoperative complications.
RESULTS: Follow-up data at 6 weeks were available for 98.2% of the patients.
Postoperative complications of any kind (30.1% versus 20.3%, P = 0.005) as well
as deep wound infections (4.9% versus 0.8%, P < 0.001) were more common among
smokers than nonsmokers. Multivariable analyses showed that smokers had six times
higher odds of developing a deep infection compared with nonsmokers. A more
complicated fracture, associated diabetes mellitus, and unsatisfactory operative
fracture reduction also enhanced the risk of postoperative complications.
CONCLUSIONS: We conclude that cigarette smoking increases the risk of
postoperative complications in patients operatively treated for an ankle
fracture. Smoking is a considerable risk factor. Therefore, physicians, nurses,
and other healthcare professionals should strive to support patients to stop
smoking while still under acute treatment.
PMID- 21886002
TI - Results of cephallomedullary nail fixation for displaced intracapsular femoral
neck fractures.
AB - OBJECTIVE: To evaluate the outcomes of displaced intracapsular femoral neck
fractures treated with a cephalomedullary device. DESIGN: Retrospective study.
SETTING: Level I trauma center. PATIENTS: Between 2002 and 2008, 18 patients with
displaced intracapsular femoral neck fractures were treated at our Level I trauma
center with a cephalomedullary nail. There were 12 males and six females. Six
patients were younger than 60 years of age with a mean age of 63 years (range, 40
88 years). Thirteen fractures were midcervical (Orthopaedic Trauma Association
[OTA] 31-B2.2 and B2.3), and five fractures were subcapital (OTA 31-B3). Patients
with basicervical fractures (OTA 31-B2.1) and nondisplaced subcapital fractures
(OTA 31-B1) were excluded. INTERVENTION: All patients underwent cephalomedullary
nail fixation of their femoral neck fractures under the supervision of fellowship
trained orthopaedic trauma surgeons. MAIN OUTCOME MEASUREMENTS: Postoperative
radiographs were evaluated for fracture reduction quality. Clinical follow-up was
available on 13 patients with a minimum of 12 months (range, 12-25 months). A
radiographic and chart review was done to identify complications and outcomes.
RESULTS: Seven of eight fractures that healed were anatomically reduced. No
failures occurred in the six patients younger than 60 years. Fixation failed in
five of 13 fractures (38.4%) with varus collapse as the typical failure mode. The
mean time to failure in these cases was 3.8 months (range, 1-7 months). Overall,
the failure rate for the subcapital fractures was 100% (three of three) and for
midcervical 20% (two of 10) with all failures being in patients older than 60
years (71.4%). Osteonecrosis without fixation failure or cutout occurred in one
case. CONCLUSION: Cephalomedullary nail fixation of displaced intracapsular
femoral neck fractures demonstrated mixed results. For younger patients with
midcervical fractures that were well reduced, the fixation performed well.
Displaced subcapital fractures in patients older than 60 years demonstrated a
100% failure rate. As a result, we cannot advocate cephalomedullary fixation for
displaced intracapsular femoral neck fractures in patients older than 60 years,
although in younger patients, these implants may provide an alternative to side
plate based fixation devices.
PMID- 21886003
TI - Ideal tibial intramedullary nail insertion point varies with tibial rotation.
AB - OBJECTIVES: The aim of the study was to investigate how superior entry point
varies with tibial rotation and to identify landmarks that can be used to
identify suitable radiographs for successful intramedullary nail insertion.
METHODS: The proximal tibia and knee were imaged for 12 cadaveric limbs
undergoing 5 degrees increments of internal and external rotation. Medial and
lateral arthrotomies were performed, the ideal superior entry point was
identified, and a 2-mm Kirschner wire inserted. A second Kirschner wire was
sequentially placed at the 5-mm and then the 10-mm position, both medial and
lateral to the initial Kirschner wire. Radiographs of the knee were obtained for
all increments. The changing position of the ideal nail insertion point was
recorded. RESULTS: A 30 degrees arc (range, 25 degrees -40 degrees ) provided a
suitable anteroposterior radiograph. On the neutral anteroposterior radiograph,
the Kirschner wire was 54% +/- 1.5% (range, 51-56%) from the medial edge of the
tibial plateau. For every 5 degrees of rotation, the Kirschner wire moved 3% of
the plateau width. During external rotation, a misleading medial entry point was
obtained. A fibular bisector line correlated with an entry point that was ideal
or up to 5 mm lateral to this but never medial. The film that best showed the
fibular bisector line was between 0 degrees and 10 degrees of internal rotation
of the tibia. CONCLUSIONS: The fibula head bisector line can be used to avoid
choosing external rotation views and, thus, avoid medial insertion points. The
current results may help the surgeon prevent malalignment during intramedullary
nailing in proximal tibial fractures.
PMID- 21886005
TI - Anatomic relationships after instrumentation of the midshaft clavicle with 3.5-mm
reconstruction plating: an anatomic study.
AB - OBJECTIVES: To examine the anatomic relationships of the major neurovascular
structures at the midshaft clavicle region as they pertain to plate
osteosynthesis in the treatment of midshaft clavicle fractures. METHODS: Fifteen
fresh cadaveric specimens were dissected at the clavicle region. The shortest
distances from the midshaft clavicular fracture lines to the subclavian artery
and vein and brachial plexus were measured with a digital caliper with the limb
in anatomic position and at 90 degrees of abduction. The mean and range distance
values were recorded. The clavicles were then instrumented with eight-hole, 3.5
mm reconstruction plates and screws (Synthes, Paoli PA) placed in superior and
anteroinferior positions. The shortest distances from the screw tips to the
neurovascular structures were measured at variable plate positions, fracture
zones, and limb positions. The incidence of screw tip contact was reported.
RESULTS: In 20% (three of 15) of the specimens, screw tip contact with a major
neurovascular structure occurred. In these three specimens, two screw tip
contacts occurred with the plate in a superior position and two occurred with the
plate in an anteroinferior position. In one specimen, screw tip contact occurred
with both plate positions. Limb abduction to 90 degrees consistently increased
the distance of the neurovascular structures from the clavicle. There was no
observable trend in screw contact frequency in respect to limb position or
fracture zone. CONCLUSION: Caution must be exercised when instrumenting midshaft
clavicle fractures regardless of chosen plate position. Limb abduction to 90
degrees provides an added measure of safety during clavicle instrumentation.
PMID- 21886006
TI - Functional and quality-of-life results of displaced and nondisplaced proximal
humeral fractures treated conservatively.
AB - OBJECTIVES: Functional and quality-of-life outcomes of conservatively treated
proximal humeral fractures. DESIGN: Prospective study. SETTING: University
orthopedic department at a hospital. PATIENTS/PARTICIPANTS: Seventy consecutive
patients between the ages of 60 and 85 years. INTERVENTION: Conservative
treatment. MAIN OUTCOME MEASUREMENTS: Functional outcome measured according to
the Constant score, quality of life assessed using EuroQol-5D, and fracture
pattern analyzed with x-ray and computed tomography scan. RESULTS: : All
fractures consolidated uneventfully with no loss of reduction in either group.
Four-part fractures obtained the worst functional results (33.66) followed by
three-part fractures (54.64) and finally two-part fractures (65.88 and 71). Mild
pain was expected in three- and four-part fractures, whereas two-part fractures
achieved near complete pain relief. Nondisplaced fractures obtained a final
Constant score of 73.58 and displaced fractures a score of 59.41 with significant
differences in all Constant score items with the exception of external rotation.
Although patients older than 75 years scored lower (54.63) than those younger
than 75 years (70.83), there was no difference in the quality-of-life perception.
CONCLUSION: Conservative treatment of proximal humeral fractures in those
patients older than age 75 years provides good pain relief with limited
functional outcome. Despite limited functional outcome, this appears to have no
effect on the quality-of-life perception in the population studied. Four-part
fractures present the worst results and treatment options may need to be
discussed with the patient to adjust treatment to patient expectations.
PMID- 21886007
TI - Pediatric end-stage liver disease score in acute liver failure to assess poor
prognosis.
AB - BACKGROUND AND AIM: Although establishing accurate prognosis in acute liver
failure (ALF) is of paramount importance, prognostic scoring systems still fail
to achieve success. The pediatric end-stage liver disease (PELD) score has been
used as a predictor of mortality in children with chronic liver disease listed
for liver transplantation (LT); however, experience with the PELD score in ALF is
limited. The goal of the present study was to investigate the prognostic accuracy
of the PELD score in children with ALF. PATIENTS AND METHODS: PELD score was
calculated based on results of blood tests obtained at hospital admission from
June 1999 to January 2009, in 40 consecutive patients younger than 18 years who
presented with ALF. Poor outcome was defined as LT or death. RESULTS: Mean (+/
SD) age of patients was 5.3 +/- 4.4 years (range 6 months-17 years); 52.5% were
girls (n = 21). Etiologies of ALF were hepatitis A in 42.5% (17), indeterminate
in 35% (14), autoimmune hepatitis in 17.5% (type 1 12.5% [n5], type 2 5% [n2]),
and toxic in 5% (2). Mean PELD score was 34.92 +/- 10.48 (range 6-55). PELD
scores obtained on admission were significantly higher among nonsurvivors (39.8
+/- 9.5) and recipients of an LT (39 +/- 7.1) compared with those who survived
without LT (31.3 +/- 3) (P < 0.001). A cutoff of 33 in PELD score using receiver
operating characteristic curves showed 81% specificity and 86% sensitivity for
poor outcome (positive predictive value 92% and negative predictive value 69%;
area under curve 0.88 95% confidence interval 0.77-1.0; P < 0.0001). CONCLUSIONS:
PELD score obtained upon admission may be of help to establish the optimal timing
for LT evaluation and listing. Further validation in larger and more diverse
populations is needed.
PMID- 21886008
TI - Glyceroluria and neonatal hemochromatosis.
PMID- 21886009
TI - Anterior cruciate ligament recostruction with bone-patellar tendon-bone autograft
in Tanner 3 stage patients with open physes.
AB - Ten skeletally immature patients were treated with an arthroscopic-assisted
anterior cruciate ligament reconstruction with bone-patellar tendon bone
autograft (compass, 50-55 degrees ; holes, 7-9 mm). Radiological assessments
(standard radiograph), Orthopadische Arbeitsgruppe Knie (OAK) score and KT 1000,
were conducted on all patients, 1 year after surgery. Skeletal maturity had been
reached by all patients and no complications were observed. All patients returned
to their preinjury sport level. Drilling more vertical tunnels when bone-tendon
bone autograft was chosen to avoid partial epiphysiodesis and offers good
functional and isometric results.
PMID- 21886010
TI - Positional physical characteristics of players drafted into the National Football
League.
AB - To investigate the positional physical requirements necessary to be drafted into
the National Football League (NFL), data from the annual NFL combine over the
years 2005-2009 were examined. Only those players invited to the combine and
subsequently drafted in the same year (n = 1,136) were included in the study.
Data from 8 combine physical performance tests were examined for 15 positions.
Combine measures evaluated for the center, cornerback, defensive end, defensive
tackle, free safety, fullback, inside linebacker, offensive guard, offensive
tackle, outside linebacker, quarterback, running back, strong safety, tight end,
and wide receiver positions were the 9.1-, 18.3-, and 36.6-m sprints, the
vertical and broad jumps, the 18.3-m shuttle run, the 3-cone drill, and the 102.1
kg bench press for maximum repetitions and, from this, a predicted measure of 1
repetition maximum. A 1-way analysis of variance detected differences in all 9
performance measures (p < 0.01). Post hoc independent t-tests indicated that over
most tests many positions exhibited outcomes significantly different from most
other positions. Generally, lineman positions performed inferiorly in sprint,
jump and change-of-direction ability measures and superiorly in the upper body
strength measures. Conversely, defensive back positions were the worst performers
in the upper body strength test, and wide receivers and defensive backs were the
best performers in all other measures. In general, offensive and defensive
positions that commonly compete directly against one another display similar
physical characteristics. Any advantages (statistically significant and not)
between positions in direct competition were consistently in favor of defensive
positions. The results of the present research present position-specific profiles
for each of 15 positions. Coaches and practitioners will be able to use the
findings of this research to better prepare athletes for entry into the NFL.
PMID- 21886011
TI - A comparison of cutting technique performance in rugby union players.
AB - Rugby union is a dynamic running game requiring players to regularly perform
change of direction maneuvers to avoid player opposition. The change of
direction/cutting task is characterized by rapid deceleration onto the plant leg
(PL) then reacceleration by the push-off leg (POL) into the new direction.
Identification of the kinematic characteristics of cutting tasks and their
relationship to playing ability may offer practical guidelines for coaches and
strength and conditioning staff to design effective agility drills and provide
player feedback to improve technique. Therefore, the purpose of this study was to
investigate the kinematic and temporal characteristics of cutting tasks and their
relationship to performance in rugby union players. Semiprofessional rugby union
players from the All-Ireland League were placed in a Starters (N = 13) or
Nonstarters (N = 10) group based on whether they were routinely selected in the
starting team or were reserve 'bench' players. Each participant was fitted with
reflective markers and performed 10 cutting trials (5 left, 5 right) of a single
45 degrees cutting task to collect relevant kinematic data. The directions of
the cutting trials were classified as a dominant or nondominant cut based on the
participant's dominant leg. All trials were then analyzed to determine the
timings, angular displacements, and velocities during key events of the PL and
POL in the cutting task. The total time to complete the cutting task was not
statistically significant between groups; however, Starters demonstrated
significantly shorter contact time of the PL during dominant cuts and initiated
knee extension of the POL faster than Nonstarters in dominant and nondominant
cuts. This preliminary study demonstrates that components of the cutting task
differed between groups and may provide an insight for strength and conditioning
professionals to assess change of direction technique.
PMID- 21886012
TI - Effects of deception on exercise performance: implications for determinants of
fatigue in humans.
AB - PURPOSE: The aim of this study was to investigate whether it was possible to
reduce the time taken to complete a 4000-m cycling time trial by misleading
participants into believing they were racing against a previous trial, when, in
fact, the power output was 2% greater. METHODS: Nine trained male cyclists each
completed four 4000-m time trials. The first trial was a habituation and the data
from the second trial was used to form a baseline (BL). During trials 3 and 4,
participants raced against an avatar, which they were informed represented their
BL performance. However, whereas one of these trials was an accurate (ACC)
representation of BL, the power output in the other trial was set at 102% of BL
and formed the deception condition (DEC). Oxygen uptake and RER were measured
continuously and used to determine aerobic and anaerobic contributions to power
output. RESULTS: There was a significant difference between trials for time to
completion (F = 15.3, P = 0.00). Participants completed DEC more quickly than BL
(90% CI = 2.1-10.1 s) and ACC (90% CI = 1.5-5.4 s) and completed ACC more quickly
than BL (90% CI = 0.5-4.8 s). The difference in performance between DEC and ACC
was attributable to a greater anaerobic contribution to power output at 90% of
the total distance (F = 5.3, P = 0.02, 90% CI = 4-37 W). CONCLUSIONS: The
provision of surreptitiously augmented feedback derived from a previous
performance reduces time taken for cyclists to accomplish a time trial of known
duration. This suggests that cyclists operate with a metabolic reserve even
during maximal time trials and that this reserve can be accessed after deception.
PMID- 21886014
TI - Can 18F-fluorodeoxyglucose positron emission tomography predict responses to
neoadjuvant therapy in oesophageal cancer patients? A meta-analysis.
AB - OBJECTIVES: Neoadjuvant therapy for the treatment of oesophageal cancer was
introduced in an effort to improve prognosis. Response assessment is crucial for
the treatment of patients with oesophageal cancer. Currently, 18F
fluorodeoxyglucose positron emission tomography (18F-FDG PET) seems to be the
best available tool to assess neoadjuvant therapy response in patients with
oesophageal cancer. The purpose of this study was to assess the diagnostic value
of 18F-FDG PET for the evaluation of neoadjuvant therapy responses in patients
with oesophageal cancer using a meta-analysis. A unified procedure and evaluation
standard for 18F-FDG PET in the assessment of neoadjuvant therapy response should
be established. METHODS: All published English-language studies pertaining to the
assessment of neoadjuvant therapy response in patients with oesophageal cancer
using 18F-FDG PET in the MEDLINE and EMBASE databases were collected. The
methodological quality of the included studies was evaluated according to the
Quality Assessment of Diagnostic Accuracy Studies quality assessment tool. Pooled
sensitivity, specificity, diagnostic odds ratios and summary receiver operating
characteristic curves were obtained using statistical software. RESULTS: Thirteen
studies included in the meta-analysis fulfilled the inclusion criteria of the
Quality Assessment of Diagnostic Accuracy Studies quality assessment tool. The
pooled sensitivity, specificity and diagnostic odds ratios for F-18FDG PET in the
evaluation of neoadjuvant therapy response in patients with oesophageal cancer
were 70.3% [95% confidence interval (CI): 64.4-75.8], 70.1% (95% CI: 65.1-74.8)
and 9.389 (95% CI: 3.482-25.319), respectively. The area under the curve and the
Q value for the summary receiver operating characteristic curve were 0.8244 and
0.7575, respectively. CONCLUSION: 18F-FDG PET has some value in the assessment of
neoadjuvant therapy response in patients with oesophageal cancer. A 50% reduction
in standardized uptake value between pretherapy and posttherapy positron emission
tomography scans performed in the first 2 weeks after the initiation of
neoadjuvant therapy is the optimal condition for predicting a response to
neoadjuvant therapy in patients with oesophageal cancer.
PMID- 21886013
TI - Association between an increment of 30-minute postchallenge plasma glucose and
urine albumin excretion exists in postmenopausal women but not in premenopausal
women.
AB - OBJECTIVE: Usually, the increment of 30-minute postchallenge plasma glucose
(DeltaG30-0) represents the highest glucose spike in the population with normal
glucose regulation (NGR). The aim of this study was to explore the differences in
DeltaG30-0 and urinary albumin excretion, a marker for widespread vascular
damage, between premenopausal and postmenopausal women, and the relationship
between DeltaG30-0 and urinary albumin excretion. METHODS: A population-based
cross-sectional study, consisting of 5,289 participants aged 20 to 75 years from
six different communities, was conducted in Shanghai between 2007 and 2008. We
assessed postchallenge blood glucose and insulin at 0-, 30-, and 120-minute
urinary albumin and creatinine. DeltaG30-0 was calculated as 30-minute
postchallenge glucose minus fasting plasma glucose, and the albumin-to-creatinine
ratio (ACR) was used to reflect urinary albumin excretion. Among these, the data
of 2,240 women with NGR were analyzed. RESULTS: (1) Postmenopausal women had
higher DeltaG30-0 and ACR than did premenopausal women (3.55 +/- 1.52 mmol/L vs
3.21 +/- 1.49 mmol/L and 6.92 [4.91-10.99] mg/g vs 6.18 [4.17-10.07] mg/g,
respectively; all P < 0.001). (2) Multivariable logistic regression showed that
DeltaG30-0 was independently associated with increased ACR in postmenopausal
women with NGR (odds ratio, 1.10; P = 0.048) but not in premenopausal women. (3)
The main factor associated with DeltaG30-0 was the early-phase glucose
disposition index drawn from the multivariable linear regression, which explained
approximately 19% and 28% of the variation of DeltaG30-0 in premenopausal and
postmenopausal women, respectively (both P < 0.001). CONCLUSIONS: In the NGR
population, postmenopausal women have higher DeltaG30-0 and ACR compared with
premenopausal women. The relationship between DeltaG30-0 and increased urine
albumin excretion existed in postmenopausal women.
PMID- 21886015
TI - Cytochrome P450 CYP2B6 genotypes and haplotypes in a Colombian population:
identification of novel variant CYP2B6 alleles.
AB - OBJECTIVE: Information on CYP2B6 allele frequencies and detrimental genotypes in
mixed human populations is scarce. The aim of this study was to analyze the
frequencies and haplotypes of nonsynonymous CYP2B6 single nucleotide
polymorphisms (SNPs) in a Colombian population. METHODS: One hundred and fifty
two healthy individuals were analyzed for five nonsynonymous CYP2B6 SNPs, namely
rs8192709, rs3745274, rs2279343 rs28399499, and rs3211371. RESULTS: Besides eight
known variant alleles, we identified two as yet unknown variant alleles
combining, respectively, the SNPs rs3745274 and rs3211371 and rs8192709 and
rs3745274. Comparison of Colombian mestizo individuals with other mestizo
population indicates statistically significant differences (P<0.001) for the gain
of-function CYP2B6*4 allele and for combined detrimental CYP2B6 alleles. In
addition, we observed a low linkage between the SNPs rs3745274 and rs2279343,
which are often assumed as linked. CONCLUSION: In conclusion, large interethnic
and intraethnic variability exists for CYP2B6 polymorphisms, thus reinforcing the
need for tailored genotyping protocols for CYP2B6 testing as a biomarker of drug
response.
PMID- 21886016
TI - Lower tacrolimus daily dose requirements and acute rejection rates in the CYP3A5
nonexpressers than expressers.
AB - BACKGROUND: CYP3A5 genetic polymorphisms contribute to marked interindividual
differences in the metabolism of and response to tacrolimus in humans. OBJECTIVE:
This study was aimed to clarify the impact of the CYP3A5*3 variant on tacrolimus
dose requirements and acute rejection rates in patients with organ
transplantation. METHODS: A literature search was performed up to August 2009 by
using the Cochrane library, PubMed, Medline, and EMBase. RESULTS: Twenty-three
studies (a total of 1779 patients) were included in this meta-analysis. Eighteen
studies (1443 patients) were involved in renal transplantation and five studies
(336 patients) in liver transplantation. Results of meta-analysis demonstrated
that, in renal transplant patients, despite the presence of significant
heterogeneity, CYP3A5 expressers required higher mean tacrolimus daily doses by
0.045 mg/kg (95% confidence interval (CI), 0.033-0.056) than nonexpressers.
Furthermore, sub-analysis of the time of posttransplantation showed that CYP3A5
expressers required higher daily doses than nonexpressers by 0.010, 0.084, 0.041,
0.037, and 0.044 mg/kg at week 2, and at month 1, 3, 6, and 12, respectively.
Subset analysis of the ethnicity of organ recipients indicated that mean
tacrolimus daily doses were 0.056, 0.037, and 0.077 mg/kg higher in CYP3A5
expressers than non- expressers for white, Chinese, and Japanese patients,
respectively. In contrast, for liver transplant patients, higher tacrolimus daily
doses were required not only in CYP3A5 expressers of the organ donors than
nonexpressers by 0.024 mg/kg (95% CI, 0.019-0.028), but also in CYP3A5 expresser
of the organ recipients than nonexpresser by 0.012 mg/kg (95% CI, 0.005-0.018).
However, a significant difference in the acute organ rejection rate was observed
only at one month (odds ratio, 3.27; 95% CI, 1.57-6.81; P=0.002). CONCLUSION:
Tacrolimus daily dose requirements may vary with the presence of the CYP3A5*3
variant, ethnicity of the organ recipients, and the time of posttransplantation.
In addition, the acute organ rejection rate may be higher in CYP3A5 expressers
than nonexpressers over the first month after transplantation.
PMID- 21886017
TI - Focal navigated laser photocoagulation in retinovascular disease: clinical
results in initial case series.
AB - PURPOSE: To evaluate clinical results after the use of a novel integrated imaging
and laser device to perform focal retinal navigated laser photocoagulation in
perifoveal abnormalities and retinovascular disease. METHODS: Interventional case
series of 25 consecutive eyes with perifoveal and retinovascular diseases treated
with a navigated laser photocoagulator Navilas (OD-OS, Berlin, Germany). We
treated eyes with perifoveal telangiectasia (n = 3), central serous
chorioretinopathy (n = 2), and diabetic macular edema with focal leakage (n =
20). RESULTS: The treatments were performed without a contact lens and without
topical anesthesia. There was no inadvertent photocoagulation of the fovea, and
all laser applications accurately hit the preplanned points. Mean and median (+/-
standard deviation) foveal thickness at baseline was 535 +/- 171 MUm and 402 +/-
152 MUm, respectively. Mean and median (+/- standard deviation) foveal thickness
at 6 months was 318 +/- 112 MUm and 221 +/- 127 MUm, respectively. This
represents a statistically significant decrease in foveal thickness (P = 0.003).
Mean and median visual acuity at baseline was 20/80 and 20/50, respectively. Mean
and median visual acuity at 6 months was 20/50 and 20/40, respectively, which
represents a significant improvement (P = 0.011). CONCLUSION: Precise retinal
targeting with a navigated laser photocoagulator resulted in highly accurate
perifoveal laser application and no foveal damage. At 6 months after the
treatment, significant decreases in central foveal thickness and significant
improvements in visual acuity were identified.
PMID- 21886019
TI - Intravitreal bevacizumab for choroidal neovascularization associated with
choroidal nevus.
AB - PURPOSE: To report 10 cases of occult choroidal neovascularization (CNV)
associated with choroidal nevus managed with intravitreal bevacizumab. METHODS:
Interventional case series. Each nevus was examined and imaged with fluorescein
angiography, B-scan ultrasonography, and optical coherence tomography. Data were
retrospectively analyzed to evaluate outcomes of treatment response and visual
acuity. RESULTS: Nine patients presented with CNV overlying a chronic choroidal
nevus with a posterior margin within 1.5 mm of the foveola. In the 10th patient,
the posterior margin of the nevus was located 10 mm from the foveola with
extension of subretinal fluid into the macula. The CNV was subfoveolar in four
cases, juxtafoveolar in two cases, and extrafoveolar in four cases. Initial
visual acuity was 20/20 to 20/50 in 5, 20/60 to 20/100 in 2, and 20/200 or worse
in 3 cases. Clinical features included subfoveolar fluid in nine, exudation in
five, and hemorrhage in four cases. Intravitreal bevacizumab (1.25 mg/0.05 cc)
was injected with regression of CNV in all 10 cases using 2 to 14 injections
(median 3 injections). In 2 eyes, after therapeutic response to bevacizumab later
consolidation with photodynamic therapy (juxtafoveolar CNV) (n = 1) or
conventional laser (extrafoveolar CNV) (n = 1) was provided. In the remaining 8
eyes, after discontinuation of bevacizumab, there was no recurrence of CNV over
mean 10.1 months. At overall mean follow-up of 22.5 months, final visual acuity
decreased by 1 line in 4 cases and improved by mean of 3 lines (range, 1-8 lines)
in 6 cases. There were no adverse effects from bevacizumab injections. All 10
choroidal nevi remained stable. CONCLUSION: Intravitreal bevacizumab appears to
be an effective treatment option for CNV secondary to choroidal nevus. In some
cases, depending on the proximity of the CNV to the foveola, photodynamic therapy
or conventional laser may be useful adjunctive therapy.
PMID- 21886018
TI - Topical dorzolamide for treatment of cystoid macular edema in patients with
choroideremia.
AB - PURPOSE: : To determine the value of a topical carbonic anhydrase inhibitor on
the macular thickness and function in choroideremia patients with cystoid macular
edema. METHODS: : Two choroideremia patients with cystoid macular edema, observed
by spectral-domain optical coherence tomography, were treated with a topical form
of carbonic anhydrase inhibitor. Examinations performed before and during
treatment included best-corrected visual acuity by using the Early Treatment
Diabetic Retinopathy Study charts and contrast sensitivity measured with briefly
presented grating targets and the Pelli-Robson letter contrast sensitivity chart,
microperimetry, and spectral-domain optical coherence tomography. RESULTS: : The
2 choroideremia patients treated with dorzolamide 2% formulation had a noticeable
reduction in macular thickness by spectral-domain optical coherence tomography.
This reduction was found in both eyes after 2 months of treatment. After an
additional 3 months of the same treatment regimen, a more noticeable reduction in
macular thickness was observed. The two study patients had improvement of their
visual acuity, in at least one eye, on Early Treatment Diabetic Retinopathy Study
charts, but no clinically significant changes for the other measures of visual
function. CONCLUSION: : The present study shows the potential efficacy of topical
dorzolamide for treating choroideremia patients with cystoid macular edema.
PMID- 21886020
TI - Diagnostic and therapeutic challenges.
PMID- 21886021
TI - Vitrectomy outcomes in eyes with high myopic macular hole without retinal
detachment.
AB - PURPOSE: The purpose of this study is to evaluate the vitrectomy outcomes for
high myopic patients with macular hole (MH) without retinal detachment and to
compare these outcomes with those of control patients with idiopathic MH
undergoing similar surgeries by the same surgeon. METHODS: The study was designed
as a matched, case-control, retrospective chart review. We performed a
retrospective chart review of consecutive cases that underwent vitrectomy for MH
without retinal detachment from 2000 through 2008. Twenty-four eyes of 24
patients were selected to participate in this study. They were divided into 2
groups: 12 study eyes with high myopic MH without retinal detachment and 12
control eyes with idiopathic MH. The main outcomes were preoperative,
postoperative best-corrected visual acuity (BCVA), MH closure rates, and
complications in both groups. RESULTS: There was a closure rate of 100% in both
the study and control groups, and no cases of reopening were reported during the
follow-up visits. After surgery, the mean BCVA of the study group remained
stable. There was no significant improvement of mean BCVA after surgery (P =
0.35) in the study group. The mean BCVA of the control group improved
significantly (P = 0.00) after surgery. The mean postoperative BCVA in the study
group was significantly lower than that in the control group in a comparison
using the Student's t-test (P = 0.01). In the study group, the BCVA improved or
remained stable after surgery in 8 eyes (67%). In the control group, the BCVA of
all eyes improved or remained stable. The proportion of eyes with BCVA
improvement after surgery was significantly higher in the study group than in the
control group (P = 0.03). Disappearance of the outer nuclear layer and
photoreceptor layer in the foveola and thinning of the foveola was showed in the
postoperative optical coherence tomography images of four eyes in the study group
while in none of the eyes in the control group. CONCLUSION: In summary,
vitrectomy results in satisfactory anatomical improvement in patients with high
myopic MH without retinal detachment. However, the visual acuity outcomes of the
high myopic MH patients without retinal detachment were poorer than those for
patients with idiopathic MHs.
PMID- 21886022
TI - Axial length increases and related changes in highly myopic normal eyes with
myopic complications in fellow eyes.
AB - PURPOSE: To determine whether the axial length (AL) in highly myopic normal adult
eyes with myopic complications in the fellow eyes increases significantly during
a 1-year interval and to investigate the relationships between the changes in the
AL and different ocular parameters. METHODS: The medical records of 20 highly
myopic normal eyes whose fellow eyes had myopic complications were reviewed. The
AL, subfoveal choroidal thickness, height of a posterior staphyloma, and length
of the retinal pigment epithelium from the fovea to 3-mm superior, inferior,
nasal, and temporal retina were measured twice at an interval of approximately 1
year. The changes in these ocular parameters and their correlations were
investigated. RESULTS: The AL increased, the choroid became thinner (both P <
0.001), the superior (P < 0.05) and temporal (P < 0.01) staphyloma height
increased, and the superior and temporal retinal pigment epithelial length
increased (both P < 0.01). All the changes were significant. Stepwise analyses
indicated that the factor most associated with the increase in the AL was the
increase in the superior retinal pigment epithelial length (P < 0.001).
CONCLUSION: Our results indicate that the AL can increase significantly in highly
myopic normal adult eyes during a 1-year interval, and the increase in the
posterior staphyloma height is the most likely cause for the increased AL.
PMID- 21886023
TI - Prevalence and risk factors for diabetic retinopathy: the Beijing Communities
Diabetes Study 6.
AB - BACKGROUND: To examine prevalence and associated factors of diabetic retinopathy
in patients with Type 2 diabetes mellitus in urban communities of Beijing.
METHODS: The community health care center-based study included subjects with
diabetes mellitus and an age of 20 years to 80 years, who were recruited from 15
community health centers in urban Beijing. Diabetes mellitus was defined using
the World Health Organization criteria. Fundus photographs were graded using the
modified Airlie House classification system. RESULTS: Of 2,642 eligible patients,
2,007 (76.0%) subjects (1,199 women) with a mean age of 64.1 +/- 9.0 years
participated. The overall prevalence of diabetic retinopathy was 24.7 +/- 1.0%
(95% confidence interval [CI], 22.8-26.6). In binary logistic analysis, presence
of diabetic retinopathy was associated with younger age (odds ratio [OR], 0.97;
95% CI, 0.95-0.98), longer duration of diabetes (OR, 1.10; 95% CI, 1.08-1.12),
higher concentration of glycosylated hemoglobin HbA1c (OR, 1.23; 95% CI, 1.14
1.33), higher systolic blood pressure (OR, 1.01; 95% CI, 1.01-1.02), lower body
mass index (OR, 0.95; 95% CI, 0.92-0.98), and elevated blood urea concentration
(OR, 1.01; 95% CI, 1.00-1.01). Microalbuminuria was an additional associated
factor (OR, 1.55; 95% CI, 1.16-2.08). Patients with microalbuminuria were 4.7
times more likely to have a severe or proliferating diabetic retinopathy than
those without microalbuminuria. CONCLUSION: In the urban population of Beijing,
prevalence of diabetic retinopathy in diabetic patients was 25%. As in whites,
increased blood pressure besides elevated plasma glucose concentrations was
highly significantly associated with diabetic retinopathy in Chinese. It suggests
that in Chinese as also in whites, blood pressure control beside control of
plasma glucose levels is important to prevent development or progression of
diabetic retinopathy.
PMID- 21886024
TI - Incorporating new therapy into established clinical practice guidelines.
PMID- 21886025
TI - Assessment of atrial electromechanical coupling characteristics and P-wave
dispersion in patients with atrial septal aneurysm.
AB - OBJECTIVE: The aim of this study was to evaluate atrial conduction abnormalities
obtained by Doppler tissue imaging (DTI) and electrocardiogram analysis in Atrial
septal aneurysm (ASA) patients. METHODS: A total of 30 patients with ASA (11
males/19 females, mean age 29.6 +/- 11.3 years) and 25 controls (9 males/16
females, mean age 27.6 +/- 9.98 years) were included. Interatrial and intraatrial
electromechanical coupling (PA) intervals were measured with DTI. P-wave
dispersion (Pd) was calculated from the 12-lead electrocardiogram. Systolic and
diastolic left ventricular (LV) functions were measured by using conventional
echocardiography and DTI. RESULTS: Atrial electromechanical coupling at the left
lateral mitral annulus (PA lateral) was significantly delayed in ASA patients
(59.3 +/- 4.2 vs. 48.5 +/- 1.1 ms, P < 0.0001). Interatrial (PA lateral--PA
tricuspid) and intraatrial (PA septum--PA tricuspid) electromechanical coupling
interval were significantly longer in ASA patients (26.1 +/- 6.2 vs. 14.4 +/-
6.75 ms, P < 0.0001 and 9.04 +/- 1.1 vs. 5.4 +/- 2.5 ms, P < 0.0001). maximum P
wave (Pmax) duration and Pd were significantly longer in ASA patients (98.3 +/-
8.1 vs. 86.4 +/- 7.8 ms, P < 0.001 and 20.7 +/- 0.9 vs. 12.3 +/- 1.5, P <
0.0001). Systolic and diastolic left ventricular functions of both groups were
comparable. CONCLUSION: This study shows that atrial electromechanical coupling
intervals and Pd are delayed in ASA patients.
PMID- 21886026
TI - Geographic variations in percutaneous coronary interventions and coronary artery
bypass graft surgery among Tennessee elders.
AB - OBJECTIVES: Coronary heart disease often presents with ST segment elevation acute
myocardial infarction (STEMI). The American College of Cardiology/American Heart
Association guidelines stress prompt reperfusion for STEMI. Examining geographic
variations in treatment with PPCI (percutaneous primary coronary intervention)
and CABG (coronary artery bypass graft) among metropolitan, micropolitan and non
micropolitan rural residents provides a descriptive basis for generating
hypotheses concerning place and receipt of guidelines-based treatment. METHODS:
Using ICD-9 codes for STEMI and excluding beneficiaries with pre-existing MI in
claims data, yielded a subset of 18,775 Tennessee Medicare beneficiaries
experiencing STEMI from 1996 to 2002. The outcome variable is type of treatment,
i.e., in accord (PPCI or CABG present) or not in accord (PPCI or CABG absent)
with guidelines. Independent variables include type county residence, hospital
volume, race, gender, and age. Analyses include cross-tabulation and logistic
regression, estimating separate models by age and type of MI. RESULTS:
Micropolitan residents with STEMI have the lowest rates for PPCI (18.8%) versus
28.1% percent for metropolitan and 24.2% for non-micropolitan rural residents.
CABG follows similar patterns at lower overall rates. Treatment at a heart center
with high volume PPCI mediated the relationship between the likelihood of PPCI
and place. CONCLUSION: The correspondence between metropolitan and rural
utilization suggests that access to a full range of treatment options and
likelihood of "best practice" care is not dependent on metropolitan residence.
This presents the possibility that with some policy changes, e.g., centralization
of emergency heart care, the same may ultimately be true for micropolitan
residents.
PMID- 21886027
TI - Willingness for weight loss intervention among overweight and obese inpatients.
AB - OBJECTIVES: To determine whether overweight and obese inpatients are receptive to
weight-loss interventions while hospitalized and whether interest in weight-loss
intervention correlates with accurate self-perception of weight. METHODS: We
conducted a cross-sectional survey of overweight and obese patients admitted to
the general medicine service at a large urban academic medical center from
September 17, 2007 through October 16, 2007. Subjects were identified based on
body mass index (BMI) on admission >=25 and surveyed using a 14-question
instrument. The main outcome measure was patient willingness to consider weight
loss intervention. RESULTS: Of 67 eligible patients, 64 (95.5%) agreed to be
interviewed. BMI ranged from 25 to 50. Overall, 52.6% (10 of 19) of overweight
patients did not believe they were overweight and 46.7% (21 of 45) of those with
a BMI over 30 were unaware that they met criteria for obesity. Among all patients
surveyed, 56.3% stated they would be interested in weight-loss intervention while
hospitalized. In obese patients specifically, 68.9% expressed interest. Interest
correlated with BMI (Pearson correlation coefficient (r) = 0.261, P = 0.04),
accurate self-perception of weight (P = 0.03), diagnostic delays related to
weight (P = 0.01) and a history of past weight-loss attempts (P = 0.04). None of
the patients we interviewed received weight-loss intervention of any kind while
hospitalized. CONCLUSION: Inpatients who recognize that they are overweight or
obese are interested in pursuing weight-loss initiatives. Despite their interest,
most do not receive weight-loss interventions while hospitalized.
PMID- 21886028
TI - Effect of clinical vignettes on senior medical students' opinions of climate
change.
AB - OBJECTIVES: The consequences of climate change directly threaten human health.
Some have argued that, as such, doctors have a special duty to solve climate
change. Despite such recommendations, to our knowledge, there has been no
previous work documenting physician attitudes on climate change, or the stability
of those opinions. METHODS: We invited 523 fourth-year medical students to a
survey asking their opinion on climate change and their opinion regarding one of
two fictional medical vignettes. In the vignettes, which are analogous to the
climate change issue, students decide whether to discontinue a drug that may be
adversely affecting laboratory values. In the climate change question, students
are asked whether the United States should take efforts to discontinue the use of
fossil fuels. Students are randomized to the order in which they receive the
questions. RESULTS: Ninety-five percent (95% CI 89.1%-100%) of students initially
asked about climate change feel the United States should take steps to curb
carbon dioxide emissions, while only 73% (95% CI 57.5%-89.2%) of students respond
similarly if first given an analogous patient vignette. Conversely, in all cases
where a fictional medical vignette follows the climate change question, students
are more likely to cease using a potentially harmful agent (66% CI 53.5%-71.8%
vs. 52% CI 43.3%-67.1%). CONCLUSION: Our results suggest that student physician
attitudes to climate change are mutable. Priming students into "medical mode" may
alter their opinions on the scientific merit of nonmedical issues, and may be a
vestige of a hidden medical curriculum. Further studies should explore the
interrelationship between other sociopolitical beliefs and medical decision
making.
PMID- 21886029
TI - Venous lower-limb evaluation in patients with acute pulmonary embolism.
AB - OBJECTIVES: Compressive ultrasonography (CUS) of the lower limbs is the first
choice for identifying deep venous thrombosis (DVT) in patients with symptomatic
pulmonary embolism (PE). The aim of this study was to uncover clinical
characteristics and CUS findings in patients with proven PE and their
correlations with PE extent. METHODS: A total of 524 consecutive cases of proven
symptomatic PE diagnosed between January 1996 and December 2006 were reviewed.
RESULTS: Mean age was 71.06 +/- 14.43 SD years; 244 patients (46.6%) were men.
DVT signs or symptoms were present in 30.9% of patients and were associated with
the femoral site (P = 0.029). CUS was performed in 383 patients (73.1%) and DVT
was found in 75.5%. In 94.1% of patients DVT was proximal (popliteal and/or
femoral), which would have been then identified by simplified CUS. CUS was
performed significantly more often in presence of signs or symptoms of DVT (P <
0.001), less often in presence of medical illnesses (P = 0.040), age >=75 years
(P = 0.001) and death in hospital (P < 0.001). Signs or symptoms of DVT were
predictors of positive CUS (P < 0.001), presence of medical illnesses (P =
0.020), central venous catheter (P = 0.035), death in hospital (P = 0.032) were
predictors of negative CUS findings. Neither clinical findings nor CUS were
associated with PE extent. CONCLUSIONS: In patients with proven symptomatic PE,
signs or symptoms of DVT are present only in 1/3 of cases and are significantly
more frequent when DVT is extended to the femoral vein. Simplified CUS of the
lower limbs has a high sensitivity in finding proximal DVT. CUS is not able to
predict PE extent.
PMID- 21886030
TI - National survey of physicians' perception of the cause, complications, and
management of gastroparesis.
AB - OBJECTIVES: Manifestations of gastroparesis are heterogeneous and clinical
complications are poorly defined. Misconceptions of gastroparesis may be common.
The objective was to determine physicians' perception of gastroparesis and
identify areas that need further research and education. METHODS: A physician
survey was prospectively developed and tested. The survey included questions on
the etiology, symptoms, management and perceived complications of gastroparesis.
Physicians' feedback included rated responses by Likert scale and ranked
responses by priority. A total of 3658 surveys were mailed to gastroenterologists
and general practitioners using an online physician directory and a local medical
society directory. Analysis of variance and t test were utilized. RESULTS: A
total of 397 surveys were returned. 85.9% of responses were from the national
survey and 14.1% were local. Physicians ranked diabetes (70%) as the most common
cause of gastroparesis, followed by idiopathic (21%) and postsurgical (9%).
Postprandial epigastric pain (61%) was ranked as the most frequent symptom of
gastroparesis, followed by retching/vomiting (20%) and heartburn/regurgitation
(19%). 60% believed scintigraphy t1/2 is an accurate measurement of gastric
emptying. Only one-third believed gastric electrical stimulation was effective as
treatment of gastroparesis. Physicians rated abdominal pain as the most
clinically significant complication of gastroparesis surpassing weight loss,
hospitalization for dehydration, and malnutrition (P < 0.01). There were small
but statistically significant differences between gastroenterologists versus
general practitioners and private versus academic physicians. CONCLUSION: More
physician awareness and education is needed on gastroparesis and the standardized
four-hour gastric scintigraphy method, as well to clarify the management strategy
for this condition.
PMID- 21886031
TI - Characteristics of CPOE systems and obstacles to implementation that physicians
believe will affect adoption.
AB - BACKGROUND: Computerized Physician Order Entry (CPOE) has the potential to
decrease medical errors and improve quality. Our health system plans to implement
CPOE in response to the ARRA HITECH Act. OBJECTIVES: To determine (A) physicians'
projections of the most important characteristics of a CPOE system that will
affect their willingness to adopt CPOE, and (B) the obstacles they foresee in
adopting CPOE. METHODS: All members of our health system's physician quality
organization were invited to participate in a confidential survey. RESULTS: Two
hundred twenty-four of 549 (41%) recipients responded to the survey. Respondents
ranked "disruption in my work routine" (72%) and "improve efficiency in placing
orders" (63%) as the two most important characteristics that would affect their
utilization of CPOE. They believed CPOE would enable orders to be placed more
efficiently (3.3, sd = 1.2), carried out rapidly (3.4, sd = 0.9), and have fewer
errors (3.7, sd = 0.9). The most commonly cited obstacles to CPOE implementation
were: Efficiency-Inefficiency (23%), Hardware Availability (12.7%), Computer
Restrictions (10.8%), Training (8.8%), Simplicity - Ease of Use (8.5%), and
Physician Buy-in (8.1%). CONCLUSIONS: The majority of physicians believed CPOE
would lead to a reduction of medical errors and more efficient patient care.
However, physicians are highly concerned with how CPOE will affect their own work
efficiency.
PMID- 21886032
TI - Lack of association between chemokine receptor 5 (CCR5) delta32 mutation and
pathogenesis of asthma in Iranian patients.
AB - BACKGROUND: Chemokines and their receptors are clinically important mediators, as
the chemokine receptors are expressed on almost all immune cells. They play
pivotal roles in pathogenesis of almost all clinical situations including asthma.
Correspondingly, MIP-1alpha (CCL3), MIP-1beta (CCL4), and RANTES (CCL5) are among
the important chemokines involved in the pathogenesis of asthma. These chemokines
bind to the CCR5 (their related receptor) on the cell surfaces. Attachment of
related chemokine ligands to CCR5 plays an important role in the pathogenesis of
asthma; hence, this study aimed to analyze delta32 mutations in CCR5 in asthmatic
patients. MATERIAL AND METHODS: This experimental study was undertaken on 162
asthmatic patients and 200 healthy controls during February to June 2008 at
Rafsanjan University of Medical Sciences. The Gap-PCR method was applied to
analyze the delta32 mutation in the CCR5 gene, and demographic data (eg, age,
sex, occupation, socio-economic status) were collected using a questionnaire.
RESULTS: The findings of this study indicated that none of the asthmatic patients
exhibited delta32 mutation in CCR5 chemokine receptor while only 3 (1.5%) of
controls had the heterozygotic form of this mutation. DISCUSSION: Several
research groups analyzed delta32 mutations in CCR5 in different diseases,
including asthma. Some investigations reported a significant relation between
asthma and delta32 mutations in CCR5, but there are also many reports which
failed to find a relation between asthma and this mutation. Based on the results
of this study and others, it seems that the delta32 mutation does not affect the
pathogenesis of asthma.
PMID- 21886033
TI - Place in therapy for liraglutide and saxagliptin for type 2 diabetes.
AB - BACKGROUND: The release of incretin based therapeutic entities has brought the
possibility to offer control of the disease by augmenting a natural process in
the body that has become deficient with type 2 diabetes. Liraglutide, an incretin
mimetic, and saxagliptin, a dipeptidyl peptidase-4 inhibitor, have been approved
and introduced to the market. OBJECTIVES: To (a) review the efficacy and safety
data of for the treatment of type 2 diabetes, and (b) recommend their place in
therapy. METHODS: A MEDLINE search was performed using key words "liraglutide"
and "saxagliptin" for articles published and available through July 2010.
RESULTS: The Liraglutide Effect and Action in Diabetes (LEAD) trials encompassed
six published phase 3 trials that evaluated the efficacy and safety of
liraglutide either as monotherapy or in addition to oral hypoglycemic
medications. Saxagliptin has been studied as monotherapy and in combination to
oral hypoglycemic medications. CONCLUSIONS: Liraglutide has been shown to improve
glucose control and weight loss compared to other pharmacologic treatments with
diabetes and may offer improved control with a decrease in daily dosing compared
to exenatide. Saxagliptin improved glucose control as monotherapy or in
combination with medications other than sulfonylurea. Saxagliptin has not been
evaluated head to head with sitagliptin other than in combination with metformin
where saxagliptin was deemed noninferior. Given the lack of long-term safety and
clinical data compared to current treatment modalities, and more importantly the
overall cost of the therapies to the health care system, a global recommendation
for their use cannot be issued.
PMID- 21886034
TI - Multimodality FDG PET/CT appearance of pulmonary tuberculoma mimicking lung
cancer and pathologic correlation in a tuberculosis-endemic country.
AB - OBJECTIVES: Differentiation between pulmonary tuberculoma and malignancy by
preoperative diagnostic imaging sometimes proves difficult. The purpose of this
study is to investigate variable manifestations of pulmonary tuberculoma
mimicking lung cancer on fluorodeoxyglucose (FDG) positron emission
tomography/computed tomography (PET/CT) image and pathologic correlation.
PATIENTS AND METHODS: Twenty-five patients with a high suspicion of malignancy
and histopathologically diagnosed as pulmonary tuberculoma were included. Their
FDG PET/CT images, clinical data, and pathologic findings were investigated.
RESULTS: There were 18 men and seven women. The mean age was 52 +/- 8.8 years.
The maximal diameter of pulmonary tuberculoma ranged from 1.7 to 4.2 cm. CT scan
revealed that abnormal signs associated with malignancy such as spicular
radiation, notching, and pleural indentation also frequently manifested in
tuberculoma. During early imaging, positive FDG uptake was identified in 21
patients (84%), intermediate uptake in 3 patients (12%) and negative uptake in 1
patient (4%). During delayed imaging, 16 patients (64%) showed persistent
elevated FDG accumulation and 8 patients (32%) experienced a slight drop of FDG
accumulation. Pathologically active tuberculoma showed significantly higher FDG
radioactivity during both early and delayed imaging than inactive lesion (P <
0.05). Lymphadenopathy with positive FDG uptake was identified in nine patients
(36%). CONCLUSION: Pulmonary tuberculomas mimicking lung cancer, most of which
were pathologically active lesions, commonly displayed abnormal appearances in CT
scan and an increase in FDG uptake, similar to changes seen on malignancy.
Coexistent lymphadenopathy made differential diagnosis even more complicated.
These results suggested that positive FDG PET/CT findings should be interpreted
with caution in tuberculosis-endemic regions.
PMID- 21886035
TI - Brief intervention for perpetration of intimate partner violence (IPV):
simulation versus instruction alone.
AB - OBJECTIVE: To compare two brief randomized computer-based interventions about
perpetration of intimate partner violence (IPV). METHODS: The study was a
prospective cross-sectional cohort study of patients during randomized 4-hour
shifts in an urban hospital-based emergency department setting with an emergency
medicine residency. The site is a level 1 trauma center emergency department with
an annual census of 60,000 adults. A touch-screen computer program was developed
in Visual Basic 2005 Studio with consecutive data entry screens. A series of
questions to evaluative IPV knowledge, attitude, and practices (KAP) was given
before and after the brief intervention. The subjects were randomized to one of
two intervention groups. Both groups were shown a set of PowerPoint slides
addressing IPV prevention, which was followed by either a control group with
nothing further (control) or a five-minute simulation video depicting IPV
perpetration in adults (SIM). The main outcome was the net improvement in KAP
scores defined as number who improved minus number who did worse. Perpetrators
and victims were assessed separately. Demographics were also obtained. For
demographics, descriptive statistics and percentages were used. Wilcoxon signed
rank test was used for pre-post test paired data. RESULTS: A total of 239
patients, presenting during 52 randomized four-hour shifts, completed the study;
118 were in the control group, 121 in SIM; 115 (48%) were male and 124 (51 %)
female (9 unknown). Most participants were from 21 to 30 years old (38%); 77
(32%) were Hispanic and 100 (42%) were white. There was an overall improvement in
responses to KAP questions with correct answers to all questions in 46% before vs
59% after the computer intervention (Diff 13% 95% confidence interval [CI] 4-22).
The net improvement in KAP score in the control group was 8% and in the SIM group
it was 22% (Diff = 15%, 95% CI = 6-24). Forty subjects (17%, 95% CI = 12-21) were
perpetrators and 52 subjects (22%, 95% CI = 17-27) were victims. KAP scores were
not significantly improved in the SIM group over the control for either
perpetrators or victims. CONCLUSION: An IPV simulation video resulted in a
greater percent of subjects showing improvement in knowledge, attitudes, and
practices about IPV perpetration. A brief IPV simulation video intervention can
be performed in a busy emergency department setting.
PMID- 21886036
TI - Recent diabetes issues affecting the primary care clinician.
AB - Diabetes accounts for millions of office visits each year to primary care offices
in the United States. Successful care of the patient with type 2 diabetes
requires not only focus on glucose management but also on comorbidities such as
hypertension, dyslipidemia and obesity which are closely linked to microvascular
and macrovascular complications. Primary care clinicians must stay abreast of
frequently published diabetes literature and new treatments to care for these
increasingly complex patients. Metformin and its effect on B12 absorption
continues to be an issue encountered by clinicians in daily clinical practice.
There has also been recent discussion regarding the increased risk of diabetes
with statins; data to date on this issue have been conflicting. Rosiglitazone
continues to face public scrutiny and there are now Food and Drug Administration
regulations regarding its increased risk of cardiovascular disease. Liraglutide
and saxagliptin represent new treatment options for type 2 diabetes, increasing
the available options for treating this complex disease. A review of the primary
literature involving these topics is provided.
PMID- 21886037
TI - Nephrolithiasis: history counts.
PMID- 21886038
TI - Fibromyalgia, fact or fiction: let's put our feelings aside.
PMID- 21886039
TI - Atomoxetine and myocardial infarction.
PMID- 21886044
TI - Association of Helicobacter pylori infection with the development of colorectal
polyps and colorectal carcinoma.
AB - BACKGROUND AND AIMS: Recent studies have suggested a possible association between
Helicobacter pylori (HP) infection and colon neoplasia. HP infection causes
hypergastrinemia, and gastrin increases colorectal mucosal proliferation,
potentially leading to colorectal cancer. We investigated whether HP infection is
associated with colon neoplasia. METHODS: We conducted a cross-sectional, single
center study in which patients who underwent routine outpatient colonoscopy and
were tested for HP infection on esophagogastroduodenoscopy from January 1, 2008
to November 1, 2009 were identified. Patient demographic data (gender and age)
and information on colon polyp characteristics (size, number of polyps, location,
morphology, and histology) were abstracted from retrospective chart review.
Presence of adenoma/carcinoma was compared in the HP-positive cases and HP
negative controls. RESULTS: A total of 192 patients were included in the study,
with 96 patients each in the HP-positive and -negative groups. The two groups did
not differ significantly in gender, age, polyp size, number of polyps, polyp
location, morphology, and histology. Adenomatous colon polyps were noted in 31%
of the HP-positive cases and in 26% of the HP-negative controls (P = 0.52). Colon
carcinoma was found in 6% of HP-positive and 2% of HP-negative patients (P =
0.28). CONCLUSION: The higher prevalence of adenomatous colon polyps/carcinoma in
HP-positive patients compared to HP-negative patients was not statistically
significant. Larger studies are needed to examine further the potential
association between HP infection and colorectal adenoma/carcinoma.
PMID- 21886043
TI - Role of sociocultural factors in hepatitis B screening among Asian Americans.
AB - OBJECTIVE: To apply the proposed Sociocultural Health Behavior Model to determine
association of factors related to hepatitis B virus (HBV) screening among Asian
Americans. METHODS: A cross-sectional design of 1312 Asian men and women 18 years
and older. The frequency distribution analysis and chi square analysis were used
for the initial screening of the following variables: access/satisfaction with
health care, enabling, predisposing, cultural, and health belief factors.
Univariate and multivariate analyses were conducted on factors for HBV screening
using logistic regression analysis. RESULTS: Correlates to HBV screening included
demographics, cultural factors, enabling factors, and family/social support
factors. Enabling factors were more likely to be associated with HBV screening.
CONCLUSION: The model highlights the role sociocultural factors play in HBV
screening. Findings reinforce the need for HBV screening programs and indicate
programs could be more effective if they included these components and were
sensitive to ethnic and cultural factors.
PMID- 21886045
TI - Nondermatologists' use of predictive terms for a potentially malignant lesion.
AB - BACKGROUND: Skin cancer is frequently suspected by nondermatologists. Many
dermatology practices currently do not triage referrals from nondermatologists.
Little is known how nondermatologists describe lesions of concern when making
referrals. OBJECTIVE: We sought to assess the descriptive terminology used by
nondermatologists when referring patients with potential cutaneous malignancies.
METHODS: We completed a retrospective chart review of 400 patients referred by
nondermatologists for skin lesions suspicious of malignancy. We collected the
reason for the consult, all terminology used to characterize the lesion, and the
final diagnosis. RESULTS: Clinicians documented 680 reasons for referring
patients with suspicious lesions. General concern (rule out malignancy) without
specific descriptors was used in 78 referrals, of which 23% (n = 18) were found
to be associated with malignancy. Specific descriptive terminologies used most
frequently by nondermatologists to describe suspicious lesions were:
hyperpigmented (n = 71), changing size (n = 69), nonhealing (n = 55), irregular
border (n = 52), irritated and/or scaly (n = 40), and raised (n = 33). A
statistically significant correlation (P < 0.05) was found between skin cancer
and the following terms: nonhealing, ulcerated, and rule out basal cell
carcinoma. CONCLUSION: The descriptive terminology of potential cutaneous
malignancies utilized by nondermatologists may provide important clues to aid
dermatologists in triage decisions. Specifically, ulcerated, nonhealing, and rule
out basal cell carcinoma may be terms that indicate the patient should be seen by
the dermatologist in a timely manner.
PMID- 21886046
TI - Trends in hip fracture-related mortality in Texas, 1990-2007.
AB - BACKGROUND: There are limited data about trends in hip fracture-related
mortality. In this study, we examined temporal trends in hip fracture mortality
rates among persons aged 50 years or older in Texas between 1990 and 2007.
MATERIALS AND METHODS: Hip fracture-related mortality was defined as a death on
the multiple cause of death record for which hip fracture was listed as a
contributing cause. Population estimates for Texas were used as the denominator
to calculate mortality rates per 100,000 persons. The joinpoint regression
analysis was used to identify points where a statistically significant change
occurred in the linear slope of the rates. RESULTS: A total of 14,350 death
certificates listed hip fracture as a contributing cause of death. Hip fracture
rates decreased predominantly among men by 0.8% (95% CI, -1.5 to -0.1) per year.
Conversely, age-adjusted rates among women increased by 0.3% (95% CI, -0.4 to
1.0) per year. By race/ethnicity, hip fracture mortality rates increased annually
2.2% (95% CI, -0.1 to 4.4) among blacks, whereas the rates among whites and
Hispanics remained steady. Moreover, the proportion of death records that listed
nursing homes and residence as a place of death increased by 2.2% (95% CI, 1.6 to
2.9) and 8.7% (95% CI, 6.3 to 11.0) per year, respectively. CONCLUSION: Hip
fracture mortality rates decreased predominantly among men in Texas during the
study period. Increasing hip fracture mortality rates among blacks and nursing
home residents merit further research.
PMID- 21886047
TI - Update on trends for inpatient surgical management of tubal ectopic pregnancy in
Maryland.
AB - OBJECTIVES: In Maryland, an analysis from 1994-1999 found that most hospitalized
patients with tubal pregnancy underwent extirpative operations. The objective of
this study was to determine whether practice patterns had changed over time.
METHODS: Using the Maryland Health Service Cost Review Commission (HSCRC)
database from January 1, 2000-December 31, 2004, subjects were identified by ICD
9 code 633.1, tubal pregnancy. The incidence of hospitalization was estimated
based on state census data. Cases were analyzed by demographics, presentation,
surgeon volume for ectopics, surgical treatment, length of stay, and charges.
RESULTS: There were 2292 cases of tubal pregnancy identified, yielding an
incidence for hospitalization of 4.81 per 10,000 women. The mean age of subjects
was 29.6. Most were admitted through the emergency department (76.8%).
Extirpative procedures were used in 88.01%. ER admission and increasing age were
associated with extirpative surgery. Mean length of stay was 1.86 days; mean
total charges were $5480.11. CONCLUSIONS: A greater percentage of hospitalized
ectopics were treated radically than prior. This may be due to acuity of
presentation or regional surgical practices and preferences. Continued
surveillance and a move toward improvement of Maryland's outcomes for ectopic
pregnancy is needed. We propose an algorithm for emergency triage and management
of pregnancy in an unknown location toward this end.
PMID- 21886048
TI - Gender differences with respect to psychiatric comorbidity in obstructive sleep
apnea syndrome.
AB - OBJECTIVES: Psychiatric disorders are common in obstructive sleep apnea syndrome
(OSAS); however, interrelating factors influencing psychiatric comorbidity (PC)
in OSAS are unclear. The aim of this study is to investigate gender related
differences with PC in OSAS. METHODS: Data of patients diagnosed as OSAS in
University of Gaziantep from January 2006 to January 2010 were retrospectively
evaluated. Polysomnographic data were recorded with Viasys Sleep Screen (Viasys
Healthcare, Germany). Patients younger than 18 years old were excluded. RESULTS:
PC was present in 53.1% of OSAS patients. The rate of male subjects with PC was
42.6%; however, 76.26% of females had PC (P = 0.00). Age (P = 0.00) and body mass
index (BMI) (P = 0.00) were higher in patients with PC. Ferritin levels were
lower in patients with PC (P = 0.00). Male subjects with PC were older and had
lower sleep efficiency and longer rapid eye movement latency than males without
PC. BMI was the only contributory factor to PC in female subjects. CONCLUSION: PC
in OSAS is common, especially in females. Apnea hypopnea index does not seem to
influence probability of PC.
PMID- 21886049
TI - Chronic idiopathic neutrophilia: experience and recommendations.
AB - OBJECTIVES: To distinguish chronic idiopathic neutrophilia (CIN) in a cost
effective manner from neutrophilia caused by important underlying illnesses.
METHODS: This was a retrospective review of patients visiting a Veterans Affairs
Medical Center over the last 10 years with a diagnosis of leukocytosis or
myeloproliferative disorder. Of this group, fifty-seven patients from 1999 to
2008 were identified with CIN. Clinical and laboratory parameters were examined
to identify CIN and establish its course. Eighty-one patients who presented from
2005 to 2010 with myeloproliferative disorders were also studied at time of
diagnosis to determine any possible confusion with CIN. RESULTS: The patients
with CIN were followed for a mean of >= 7.3 years without progression to other
serious disorders. Compared to non-CIN patients evaluated for neutrophilia, in
multiple logistic regression analyses, smoking (P = .001) and increased BMI (P =
.004) were significantly associated with CIN. No CIN patient developed a
clinically apparent myeloproliferative disorder other than chronic myeloid
leukemia (CML). Of the patients with myeloproliferative neoplasms reviewed at the
time of their initial diagnosis, only CML occasionally presented with a picture
consistent with CIN. For nonsmokers, the BMI of CIN patients was significantly
higher than the average VA population (P < .001). CONCLUSION: Cigarette smoking
and obesity are confirmed as factors associated with CIN and may be causative.
CIN is unlikely to develop into a clinically recognizable myeloproliferative
neoplasm other than CML. Cost-effective guidelines for the diagnostic evaluation
of neutrophilia in otherwise healthy patients are presented.
PMID- 21886050
TI - Prevalence and self-reported medical history of overweight in a cardiac stress
testing population.
AB - OBJECTIVES: To determine the prevalence of overweight in a cardiac stress testing
population, and the percentage of subjects who indicate a history of overweight.
METHODS: A total of 251 consecutive subjects presenting for cardiac stress
testing in a 450-bed community hospital from June to September 2010 were asked to
complete a survey booklet. The survey included all patients presenting for stress
testing, regardless of indication. Participants were subjects, ages 18 or older,
and male or female. Exclusion criteria were medical (eg, pain), psychiatric (eg,
psychosis), or intellectual disturbances that would preclude the successful
completion of a survey booklet. RESULTS: Of the 251 participants 76.5% were
overweight (BMI >= 25). Among the overweight participants, only 16.1% indicated a
history of overweight. CONCLUSIONS: A high prevalence of overweight/obese
individuals exists in a cardiac stress test population. A majority of overweight
and obese patients did not indicate a history of overweight. These results
indicate poor patient recognition and/or ineffective physician-to-patient
education concerning unhealthy body weight. Greater and more effective efforts
are needed to effectively educate patients about this modifiable risk factor for
a myriad of health problems.
PMID- 21886051
TI - Staphylococcus lugdunensis: an emerging pathogen.
AB - OBJECTIVE: To evaluate the clinical relevance and impact of an emerging bacterial
pathogen, Staphylococcus lugdunensis, in a large teaching hospital. METHODS:
Three-year retrospective microbiological and clinical review of all S.
lugdunensis isolates from a single medical center. RESULTS: Seventy-seven
isolates were identified; 70 had complete data. Soft tissue, bone, joint, central
nervous system, urine and bloodstream infections occurred. Soft tissue infections
were primarily abscesses. There were four infections of prosthetic joints and
nine cases of osteomyelitis. There were 21 bacteremias, 5 of which were
associated with endocarditis; 2 died. Most isolates were penicillin resistant.
CONCLUSION: S. lugdunensis has emerged as a major human pathogen, capable of
causing significant infections at many sites. It should never be dismissed as a
contaminant without careful review.
PMID- 21886052
TI - Splenic injury from colonoscopy: a review and management guidelines.
AB - Splenic injury is an uncommon complication of colonoscopy. Less than 100 cases
are reported in the English language literature. The exact mechanism of injury to
the spleen during colonoscopy is unknown; various authors propose several risk
factors and possible mechanisms. Splenic injury can be graded or classified
according to the extent of laceration and the severity of the resultant hematoma.
The management options range from observation to emergency splenectomy. Computed
tomography scan is the most important imaging modality to diagnose splenic
injury. Early recognition and appropriate management is of paramount importance
in the management of this condition. A high index of suspicion in a patient with
persistent abdominal pain after colonoscopy is key especially when a perforated
viscous is ruled out. This article outlines the clinical presentation of splenic
injury after colonoscopy and delineates a management algorithm.
PMID- 21886053
TI - A paradigm shift in the outpatient approach to liver function tests.
AB - The American Gastroenterological Association (2002), Canadian Medical Association
(2005), and the Centers for Disease Control and Prevention (2006) released
guidelines to screen patients with mild elevations of liver enzymes for hepatitis
B and hepatitis C. Mildly elevated liver enzymes were defined as less than five
times the upper limit of normal, but above the normal reference range. The
rationale for this recommendation was based on many factors including cost
effectiveness, lab variation, and ultimately, for better patient care.Chronic
hepatitis B and C have values of transaminases that fluctuate between normal and
mildly abnormal. Screening patients with even mild elevations of transaminases
allows many chronic hepatitis patients to be diagnosed early in the course of
their disease. Diagnosing these patients early in their disease course leads to
better treatment response, decreased progression to cirrhosis, lower viral loads
leading to decreased incidence of extrahepatic manifestations, prevention of
hepatocellular carcinoma, and decreased likelihood of liver transplantation.There
are organizations which recommend discontinuing hepatotoxic medications such as
acetaminophen or nonsteroidal anti-inflammatory drugs and reevaluating the
patient in three months. However, this recommendation misses a number of
hepatitis patients for the reasons aforementioned. The obesity epidemic has
clouded the diagnosis of hepatitis B/C as patients that have obesity, diabetes
mellitus, and metabolic syndrome are not being screened due the presumptive
diagnosis of nonalcoholic fatty liver disease.Not screening patients in the
setting of obesity is not cost-effective and also leads to increased morbidity,
as we will discuss in this manuscript. Additionally, it has been proven in the
literature that it is more cost-effective to screen for hepatitis B/C in high
prevalence areas, than to reassess the patient months later, and potentially miss
a diagnosis of hepatitis B/C. The overall goal of this study is to increase
screening awareness of patients with mild transaminitis elevations through
publication in order to diagnose patients with hepatitis B and C prior to the
development of chronic liver disease.
PMID- 21886054
TI - Carotid artery examination, an important tool in patient evaluation.
AB - Examination of the arteries is an age old medical tradition. Examination of the
carotid artery is of unique importance because it is an easily accessible large
artery. Through the methods of inspection, palpation, and auscultation, carotid
artery examination gives clinicians important diagnostic clues about the health
and disease of the patient. Inspection and palpation of the carotid give insight
into left ventricular systolic function and distinguish types of valvular heart
disease. Auscultation identifies patients with high-risk atherosclerosis. In most
cases carotid examination is neither sensitive nor specific, but in the correct
clinical context it offers important evidence leading to specific diagnoses and
treatment. In this review, we discuss the examination of the carotid artery under
normal conditions and describe how abnormalities in the carotid artery
examination are indicators of disease.
PMID- 21886055
TI - Physician perspective on propoxyphene as a potentially inappropriate medication
in Tennessee.
AB - Medicare Part D data from the Quality Improvement Organization's 9th Statement of
Work drug safety indicator project under the direction of the Centers for
Medicare & Medicaid Services define the potentially inappropriate medications
(PIMs) list for Tennessee. These data reveal propoxyphene as the main contributor
to the state's PIM rate. In Tennessee, PIM and drug-drug interaction (DDI) rates
indicate propoxyphene as the most prescribed medication among elderly patients
despite decades of attention for potentially adverse effects. During this
project, physicians agreed that PIM rates are too high, but disagreed in approach
preference, i.e., administrative limits and bans versus a proactive educational
approach. Physicians were interested in participating in quality improvement by
using individual pharmacy data to influence prescribing patterns. Exploring
alternatives in research and survey, a potential and reachable point of
intervention was found, a prescribing paradigm proposed by researchers to improve
outcomes by reducing adverse effects in minimizing PIMs and DDIs.
PMID- 21886056
TI - Hantavirus pulmonary syndrome: need for prevention and early diagnosis.
PMID- 21886057
TI - More adverse neurological consequences of metformin-induced vitamin B12
deficiency.
PMID- 21886060
TI - Effect of hepatitis C virus infection on the left ventricular systolic and
diastolic functions.
AB - BACKGROUND: Hepatitis secondary to infection with the hepatitis C virus (HCV) is
one of the most common causes of viral hepatitis worldwide. Multiple extrahepatic
manifestations of HCV infection have been recognized. Dilated and hypertrophic
cardiomyopathy associated with HCV infection have been recently described in the
literature; however, the effect of HCV infection on the left ventricular systolic
and diastolic functions is unknown. Therefore, in this study we aimed to examine
left ventricular systolic and diastolic functions in HCV patients. METHODS AND
RESULTS: The study included 50 anti-HCV positive patients and 50 persons for
control groups. We performed transthorasic echocardiography and P-wave analysis
on all participants. We compared left ventricle diastolic parameters, left
ventricle ejection fraction, and P-wave dispersion (Pd) between these two groups.
In the group with anti-HCV positivity, the ratio of E/A was found to be lower
(1.2 +/- 0.7 and 1.37 +/- 0.6, P = 0.003); the ratio of E/Em was found to be
higher (7.6 +/- 1.51 and 6.8 +/- 1.72, P = 0.0001). Maximum P-wave duration
(Pmax) and Pd were higher in the patient group (99.3 +/- 8 and 82.4 +/- 7.8, P =
0.004; 44.1 +/- 0.9 and 25.3 +/- 1.5, P = 0.001). No other statistically
significant difference was found between the two groups with regard to the left
ventricle systolic and diastolic parameters. CONCLUSION: Our findings show that
HCV infection may be associated with left ventricular systolic and diastolic
dysfunction and cardiac arrhythmias.
PMID- 21886061
TI - Effect of periodontal treatment on lipoproteins levels in plasma in patients with
periodontitis.
AB - OBJECTIVES: Recent epidemiological studies have shown that individuals with
periodontitis have a significantly increased risk of developing coronary heart
disease. In addition to conventional risk factors, dyslipidemia may be associated
with this increased risk. METHODS: We measured concentration of lipids in
patients with moderate to severe periodontitis before and 3, 6 and 12 months
after local periodontal treatment. A total of 50 participants with periodontitis
and 25 participants without periodontitis were included in the analyses.
Lipoproteins were measured by using serological analyses of the Central
Biochemical Laboratory in Clinical Center in Nis. Periodontal health indicators
included the plaque index, gingival bleeding index, and periodontal disease
status (defined by pocket depth and attachment loss). Patients were non
surgically treated with mechanical debridement of calculus once a week for 1
month. RESULTS: The results show a significant relation between indicators of
poor periodontal status and serum level lipoproteins. Periodontal therapy
resulted in a significant reduction of local inflammation and tissue destruction
as reflected in reduced pocket depth and reduced bleeding indices. The levels of
lipoproteins after therapy seemed to be lower than those reported before
treatment in patients with periodontitis compared with healthy ones. Lipoproteins
were significantly decreased after treatment (P < 0.005) except high-density
lipoprotein cholesterol which was not significantly reduced (P > 0.05).
CONCLUSION: This pilot study shows that periodontal disease significantly affects
the serum levels of lipoproteins and suggests that following successful
periodontal treatment decreases serum lipid concentration. This study suggests
also that lipoproteins are possible intermediate factors that may link
periodontal disease to elevated cardiovascular risk.
PMID- 21886062
TI - Socioeconomic disparities in prostate cancer mortality and the impact of
geographic scale.
AB - OBJECTIVE: To examine socioeconomic disparities in prostate cancer mortality and
to assess the scale effect on the results of the disparity analysis. METHODS:
Using prostate cancer mortality data (N = 14,036) of Texas from 1996 to 2004,
this study examines the variations of socioeconomic disparities in prostate
cancer mortality across different geographic scales. Age-adjusted odds ratios are
employed to reveal the disparities by single and composite socioeconomic
indicators at county, census tract, and block group levels. RESULTS: The analysis
shows that (1) disparities in prostate cancer mortality are significant for most
socioeconomic indicators, (2) area-level socioeconomic indicators tend to reveal
a less extent of disparity than individual-level indicators do, and (3)
socioeconomic disparities in prostate cancer mortality at the census tract and
block group levels are similar to each other but are completely different from
those at the county level. CONCLUSION: The selection of geographic scale and
socioeconomic indicators affects the results of socioeconomic disparity analysis
in prostate cancer mortality. Most census tract and block group level
socioeconomic indicators are appropriate for analyzing disparities in prostate
cancer mortality. County level socioeconomic indicators should be avoided if
possible.
PMID- 21886063
TI - Socioeconomic disparities in prostate cancer mortality.
PMID- 21886064
TI - Prevalence, incidence, and recurrence of oral lesions among HIV-infected patients
on HAART in Alabama: a two-year longitudinal study.
AB - OBJECTIVE: Our aim was to quantify prevalence, incidence, and recurrence of oral
lesions (OL) among a population in the Southeast. METHODS: A retrospective study
based on chart review was conducted among patients (n = 744) who were >=19 years
of age and initiated highly active antiretroviral therapy (HAART) between January
2000 and June 2006 at the University of Alabama at Birmingham (UAB) 1917 Clinic.
Patients' laboratory data and oral conditions were recorded for 2 years after
enrollment into the study. RESULTS: During 2 years of follow-up, the period
prevalence of individuals experienced at least one OL was 35.6% (266/744). Among
all of the 374 episodes of OL, 183 were new cases, while 57 were recurrences. The
OL person-visit incidence rate was 0.02 per 100 person-visits. Oropharyngeal
candidiasis (OPC) was the most frequent manifestation in terms of period
prevalence (74.9%) with a person-visit incident rate of 0.01 per 100 person
visits. CONCLUSIONS: Patients undergoing HAART continue to be affected by HIV
related oral conditions, especially OPC. These results clearly indicate that OL
during HIV infection are still highly prevalent in spite of the improvements in
medical care and the availability of HAART.
PMID- 21886065
TI - Eliminating disparities in hypertension care for Hispanics and blacks using a
heart failure disease management program.
AB - OBJECTIVES: This study assessed if patients enrolled in a heart failure disease
management program (HFDMP) reach the JNC VII target goals for blood pressure (BP)
control, eliminate disparities in hypertension control by race/ ethnicity and the
impact BP control has on survival. METHODS: Patients (N = 898) with an ejection
fraction <40% were enrolled into two HFDMPs and screened for hypertension,
defined as BP > 130/80. RESULTS: Mean baseline systolic BP (SBP) 132 +/- 25.5 mm
Hg and diastolic BP (DBP) 79 +/- 16.8 mm Hg. Final mean SBP decreased to 129.6 mm
Hg, DBP 77.6 mm Hg. Whites had the highest rate of achieving BP goals. Mortality
reduction was associated with minority race, history of hypertension, increase
ejection fraction and statin use. CONCLUSION: HFDMPs are an effective way to
reduce BP in hypertensive patients. Disparities by race and ethnicity were not
seen after adjustment for disease modifiers. There was no mortality difference in
those who reached BP goal.
PMID- 21886066
TI - Additional therapeutic effect of balneotherapy in low back pain.
AB - OBJECTIVES: Balneotherapy has been widely used for treatment of chronic low back
pain recently. However there are only a few clinical controlled trials on
balneotherapy. The aim of the present study was to evaluate the effects of
balneotherapy in patients with chronic low back pain. METHODS: Sixty patients
with lumbar spondylosis were included in the study. In Group 1, patients received
both balneotherapy and physiotherapy and in Group 2, patients received only
physiotherapy for three weeks. The intensity of the pain was evaluated by Visual
Analog Scale (VAS) and functional disability was scored according to Revised
Oswestry Index (ROI). Spinal mobility was assessed by the Schober and lateral
flexion tests. Variables were evaluated before and after the three weeks of
treatment. RESULTS: The groups were comparable regarding age (P = 0.970) and sex
(P = 0.357). There was no statistically significant difference between the two
groups for baseline VAS (P = 0.838), Schober test (P = 0.226), and right (P =
0.642) and left (P = 0.674) lateral flexion measurements, and ROI scores (P =
0.798). At the end of the therapy, all clinical parameters significantly improved
in patients in both of the groups (P < 0.05). VAS, Schober test, and ROI scores
after the therapy were clearly superior in Group 1 in comparison to Group 2 (P <
0.05). CONCLUSION: The results of the present study reiterate that besides
conventional physiotherapy, balneotherapy may be effective in the treatment of
patients with chronic low back pain.
PMID- 21886068
TI - Knowledge of osteoporosis among men in the primary care setting.
AB - OBJECTIVES: Osteoporosis has been often viewed as a disease affecting women;
however, men are at risk for osteoporosis, and the mortality after hip fracture
in men older than 75 years of age is significantly higher than in women. We aimed
to assess knowledge of osteoporosis and its risk factors in men. METHODS: A
questionnaire assessing knowledge of osteoporosis was presented to 136 male
patients randomly in Internal Medicine and Family Practice clinics at Saint
Joseph Hospital. RESULTS: A total of 136 men agreed to fill in the questionnaire.
Only 130 patients who completed the entire questionnaire were included in the
data analysis. Twenty-three percent of men surveyed had never heard about
osteoporosis. Thirty-nine percent were unaware that osteoporosis is directly
responsible for disabling hip fractures. Sixty-seven percent did not know that a
potential outcome of hip fracture is death. Only 38% recognized that smoking is a
risk factor, 20% knew that excessive caffeine intake can put them at risk, and
35% realized that excessive alcohol intake is a risk factor. Only 21% could
correctly identify the calcium-rich foods among the choices. There was a positive
relationship (P < 0.05) between receiving an official discussion with a physician
regarding osteoporosis and the actual score on the "knowledge of osteoporosis"
questionnaire. CONCLUSION: A significant percentage of men are unaware of the
complications and risk factors for osteoporosis. Receiving educational
information about osteoporosis from a physician should be considered at an early
stage, especially for those patients with modifiable risk factors.
PMID- 21886067
TI - Second-line levofloxacin-based triple therapy's efficiency for Helicobacter
pylori eradication in patients with peptic ulcer.
AB - OBJECTIVES: First-line standard eradication efficacy with lansoprazole,
amoxicillin and clarithromycin regressed over 10 years. The aim of this study was
to evaluate the efficacy and tolerability of a levofloxacin-based regimen in
patients with peptic ulcer after failure of the standard first-line H.pylori
eradication therapy in a country with a high rate of infection. METHODS: A total
of 91 peptic ulcer patients who were diagnosed H.pylori positive proven by rapid
urease test and histology between November 2005 to March 2008 were given
lansoprazole 30 mg bid, amoxicillin 1 g bid and clarithromycin 500 mg bid (LAC)
for 14 days. After three months from the first line eradication treatment
omeprazole 20 mg bid, levofloxacin 500 mg bid, amoxicillin 1 g bid (OLA) 7 day
treatment regimen was recommended as a second-line therapy for 37 patients who
failed at first-line standard triple therapy. RESULTS: Eradication rates for LAC
regimen were found to be 57.14% (52/91) for intention to treat and 58.42% (52/89)
for per protocol analysis. Eradication rates for OLA regimen were found to be
37.83% (14/37) for ITT and 41.17% (14/34) for PP analysis. CONCLUSION: OLA
regimen eradication rate was successful only in 40% of patients who failed in the
first-line eradication. New eradication treatment strategies must be performed,
at least in Turkey.
PMID- 21886069
TI - Should all patients with pulmonary hypertension undergo HIV serologic testing?
AB - OBJECTIVES: To evaluate the yield of screening patients with pulmonary
hypertension (PH) for human immunodeficiency virus (HIV) infection. METHODS: We
retrospectively reviewed the records of consecutive patients with PH seen at our
institution from July 1992 to November 2008. We assessed HIV risk factors and
history of HIV. We estimated the proportion of patients who tested positive for
HIV. RESULTS: We identified 445 patients with PH who had serologic testing for
HIV antibody. The mean age was 63 years, and 66% were women. Of these patients,
224 (50%) were in World Health Organization diagnostic group I, pulmonary
arterial hypertension (PAH). Only 1 patient (0.2%; 95% confidence interval, 0.0%
1.2%) was positive for HIV, and this was the only patient who had a known history
of HIV infection. Ten other patients (2.2%) had risk factors for HIV infection
but had negative serologic results. The patient who tested positive for HIV had
PAH, yielding an estimated prevalence of positive HIV testing in that subgroup of
0.4% (95% confidence interval, 0.0%-2.5%); 6 other patients with PAH (2.7%) had
risk factors for HIV but negative serology. CONCLUSIONS: To our knowledge, this
is the first study examining the yield of screening patients with PH for HIV
using serologic testing. Of the 445 patients tested, only 1 patient was positive
for HIV. This patient was known to have risk factors for and exposure to HIV.
Patients with PAH should be assessed for risk factors for HIV before testing for
HIV in low-risk populations.
PMID- 21886070
TI - Incidence and impact of false-positive urine pneumococcal antigen testing in
hospitalized patients.
AB - OBJECTIVES: Immunochromatographic urine pneumococcal antigen testing (ICT) has
become a common diagnostic tool for those presenting with possible invasive
pneumococcal disease. The incidence and clinical impact of ICT false-positivity
on hospitalized patients has not been assessed outside of specific patient
subpopulations. ICT performance needs to be assessed in a real-world clinical
setting. This study aims to describe the incidence and clinical impact of ICT
false-positivity in a hospital setting over a 19-month period. METHODS: A
retrospective cohort study was performed to assess the incidence of false
positive (FP) ICT among hospitalized patients from November 21, 2007 to June 30,
2009. The primary objective was to describe the incidence of FP ICT results. The
secondary objective was to describe what clinical impact, if any, could be
attributed to FP ICT results. RESULTS: During the study period, 52 positive ICT
results were obtained, of which 5 (9.6%) were deemed falsely positive.
Interestingly, two of the 5 FP results were from patients who had received 23
valent pneumococcal vaccine (PPV) in the 2 days prior to ICT. The management of
all 5 patients was impacted by the FP results through unnecessary antimicrobial
treatment and/or deferral of further clinical evaluation. CONCLUSION: Health care
providers should be aware of the potential for ICT FP and should order and
interpret these tests within an informed clinical framework.
PMID- 21886071
TI - Student-led rural health fairs: attempting to improve medical education and
access to health care.
AB - OBJECTIVES: Residents of rural communities, especially in the Southeast, have
decreased access to health care. Ensuring medical students receive adequate
exposure to their issues is complicated by the urban location of most schools. We
describe health fairs conducted in rural communities to suggest how having
medical students use screening tools can identify patients with risk factors for
disease which can offer students the opportunity to learn about rural health
issues through patient counseling. METHODS: The Mitchell Wolfson Sr. Department
of Community Service, a University of Miami Miller School of Medicine student-led
organization, conducts fairs at four sites throughout the rural Florida Keys.
Medical students, under the supervision of attending physicians, offer screening
and preventive health services including risk factor screening for cardiovascular
disease, ophthalmological exams, dermatologic exams, osteoporosis screening, and
female exams with pap smears. These fairs were reviewed. RESULTS: In the past
three years, 1694 unique patients were seen. Many lacked a primary care provider
(46%) or health insurance (43%) and were provided screening for several disorders
including cardiovascular disease risk factors (hypertension, diabetes,
dyslipidemia, and obesity). Screening revealed that many patients (41%) had
multiple markers of elevated cardiovascular disease risk. This provided
experiences to more than 200 students each year. CONCLUSION: Fairs provide
medical students exposure to rural health issues through the valuable opportunity
of using risk factor screening tools and counseling. This provides valuable
information to patients of rural communities. Future research should examine how
fairs influence student knowledge and attitudes toward rural health and affect
health outcomes.
PMID- 21886072
TI - Well-child care in infancy and emergency department use by South Carolina
Medicaid children birth to 6 years old.
AB - OBJECTIVES: This analysis tests the hypothesis that children enrolled in Medicaid
with the recommended early and periodic screening, diagnosis and treatment
(EPSDT) visits in the first 24 months utilize fewer emergency department (ED)
services birth to 6 years old than children with fewer visits. METHODS: Data
represent all health encounters for a 3-year birth cohort of South Carolina
children continuously enrolled in Medicaid from birth to 6 years old (n =
18,512). The association between receiving the American Academy of Pediatrics
recommended EPSDT visits and other health service utilization is examined.
RESULTS: Children with the recommended EPSDT visits had a greater adjusted rate
of sick-child visits with a primary care provider (rate ratio, 1.62; 95% CI 1.50-
1.76) and a lower adjusted rate of ED visits for ambulatory care-sensitive
conditions (rate ratio, 0.88; 95% CI, 0.81-0.95). CONCLUSION: Recommended EPSDT
use in infancy may be associated with a shift in health care from the ED to the
office setting by children enrolled in Medicaid in the first six years of life.
PMID- 21886073
TI - Iron insufficiency and hypovitaminosis D in adolescents with chronic fatigue and
orthostatic intolerance.
AB - OBJECTIVES: More than 10% of adolescents suffer from severe fatigue and/or
orthostatic intolerance. Adult studies show correlations between iron
insufficiency and fatigue as well as between hypovitaminosis D and non-specific
pain. We sought to determine whether there were correlations between nutritional
factors (iron status, and serum vitamin D levels) and chronic ill health.
METHODS: We reviewed records of 188 adolescents with symptoms of fatigue and/or
orthostatic intolerance and who underwent autonomic reflex screening. RESULTS: Of
the 188 patients, 130 patients (69%) had excessive postural tachycardia (PT) with
a heart rate (HR) change of >=30 bpm. 62 patients (47%, n = 131) had iron
insufficiency with low iron stores, and 29 patients (22%, n = 131) were iron
deficient. HR change did not correlate to ferritin level (P = 0.15). 21 patients
(22%, n = 95) had hypovitaminosis D (25-hydroxyvitamin D <=20 ng/mL). There was a
significant association with hypovitaminosis D and orthostatic intolerance (P =
0.024). CONCLUSION: In patients presenting with chronic fatigue and/or
orthostatic intolerance, low ferritin levels and hypovitaminosis D are common,
especially in patients with PT.
PMID- 21886074
TI - Place in therapy for liraglutide and saxagliptin for type 2 diabetes and FDA
liraglutide warning.
PMID- 21886075
TI - Tetanus: a review.
AB - Tetanus is a preventable illness occurring worldwide with a high mortality,
mostly affecting neonates in developing countries. Effects are toxin mediated and
the diagnosis is clinical. Antibiotics, antitoxin, immunoglobulin and wound care
are the mainstays of management.
PMID- 21886076
TI - Remembering September 11, 2001, and the implications for physician disaster
preparedness.
PMID- 21886077
TI - A surgeon's journey.
PMID- 21886078
TI - The computerized patient and the art of bedside diagnosis.
PMID- 21886079
TI - CA-125 level is associated with right ventricular echocardiographic parameters in
patients with COPD.
AB - OBJECTIVE: A few studies have shown that elevated CA-125 levels are associated
with chronic obstructive pulmonary disease (COPD). However, there are no data
concerning the associaton between serum CA-125 levels and right ventricular (RV)
function in COPD patients. This study aimed to evaluate the relationship between
CA-125 level and RV echocardiographic parameters in COPD patients. METHODS: Fifty
two patients with COPD (39 male/13 female, mean age 68.9 +/- 5.7 years) were
studied. The control group consisted of 30 age-sex matched healthy volunteers (23
male/7 female, mean age 64.2 +/- 6.3 years). Patients were divided into two
subgroups: patients without pulmonary hypertension (group I, n = 25) and with
pulmonary hypertension (group II, n = 27). Conventional echocardiographic
parameters, tissue Doppler imaging (TDI) and CA-125 level measurements were
performed in all subjects. RESULTS: Patients in group II had significantly higher
CA-125 levels than those in group I and controls (P < 0.01). CA-125 levels in
group I were also higher than control group (P < 0.05). CA-125 levels were
correlated with left ventricle E/A ratio, systolic pulmonary artery pressure
(sPAP), RV myocardial performance index, and RV fractional area change (r = 0.37,
0.56, 0.34, and -0.42, respectively, all with P < 0.05). There was an independent
correlation between CA-125 levels and sPAP values (beta = 0.76, P < 0.001).
CONCLUSIONS: Our results show an independent correlation between CA-125 levels
and systolic pulmonary artery pressure in COPD patients. The clinical utility of
these results at this point in time is unknown and deserves future research.
PMID- 21886080
TI - Evaluation of pain management documentation.
AB - OBJECTIVES: Accurate and consistent documentation of pain management, including
patient responses regarding improvement in pain scores, is essential for
improving patient care. The objective of the project was to evaluate the current
prescribing patterns and to review the current documentation for opioid-managed,
chronic pain patients in an academic continuity of care medicine clinic. METHODS:
Retrospective data were extracted from currently managed adult, opioid patients
to evaluate prescribing patterns and to review documentation processes. RESULTS:
Results reveal inconsistent to absent documentation with most patients receiving
prescriptions from multiple providers. Oxycodone/acetaminophen was the most
frequently prescribed analgesic. CONCLUSION: The results of this evaluation
highlight the opportunity for educational intervention and the development of a
structured, institution-specific guideline for prescribing and documenting pain
management.
PMID- 21886081
TI - Communication skills and chronic pain management.
PMID- 21886082
TI - Can a model predictive of vitamin D status be developed from common laboratory
tests and demographic parameters?
AB - OBJECTIVES: Vitamin D deficiency is highly prevalent and has been linked to
increased morbidity and mortality. There has been an increase in testing for
vitamin D with a concomitant increase in costs. While individual factors are
significantly linked to vitamin D status, prior studies have not yielded a model
predictive of vitamin D status or 25(OH)D levels. The purpose of this
investigation was to determine if a prediction model of vitamin D could be
developed using extensive demographic data and laboratory parameters. METHODS:
Patient data from 6 Veterans Administration Medical Centers were extracted from
medical charts. RESULTS: For the 14,920 available patients, several factors
including triglyceride level, race, total cholesterol, body mass index, calcium
level, and number of missed appointments were significantly linked to vitamin D
status. However, these variables accounted for less than 15% of the variance in
vitamin D levels. While the variables correctly classified vitamin D deficiency
status for 71% of patients, only 33% of those who were actually deficient were
correctly identified as deficient. CONCLUSION: Given the failure to find a
sufficiently predictive model for vitamin D deficiency, we propose that there is
no substitute for laboratory testing of 25(OH)D levels. A baseline vitamin D 3
daily replacement of 1000-2000 IU initially with further modification based on
biannual testing appears to factor in the wide variation in dose response
observed with vitamin D replacement and is especially important in high-risk
groups such as ethnic minorities.
PMID- 21886083
TI - Racial disparities in pediatric intensive care unit admissions.
AB - OBJECTIVES: Racial disparities in therapies and outcomes in adult and neonatal
ICUs are well documented; however, little is known regarding racial disparities
in pediatric intensive care unit (PICU). Our objective was to determine whether
racial disparities exist in the admission of critically ill children to the PICU.
METHODS: We retrospectively analyzed admissions to a PICU in a tertiary care
pediatric hospital. Summarized demographic data was analyzed from the county
health department. RESULTS: Of the 4676 admissions to the PICU between January 1,
1997 and December 31, 1999, 1030 children were <48 months of age, lived in Shelby
County, TN, and were either African American (789, 76.6%) or non-Hispanic white
(241, 23.4%). The surrounding county was comprised of approximately 58% African
American and 41% non-Hispanic white children <= 48 months of age. A history of
premature birth was more common in African American children than non-Hispanic
white children (46.8% vs. 32.8%; P < 0.0001). Mortality was 5.7% overall and was
not significantly different between African Americans and non-Hispanic whites but
was higher for those children with a history of premature birth (4.6% vs. 7.1%, P
< 0.026). The overall relative risk of admission to the PICU for African American
children was 2.12 (95% CI, 1.66-2.74), for African American children with a
history of premature birth was 1.44 (95% CI, 0.96-2.21), and for full-term
African American children was 1.82 (95% CI, 1.33 -2.49). CONCLUSIONS: Racial
differences in admission to the PICU exist with African American children having
a greater risk for PICU admission than non-Hispanic white children.
PMID- 21886084
TI - Innovations in faculty development: study of a research assistance unit designed
to assist clinician-educators with research.
AB - OBJECTIVES: At a mid-sized community medical school, research was not
traditionally strong. Faculty members cited lack of infrastructure support as a
significant research barrier. In response, the regional dean developed and funded
a research assistance unit (RAU) in 2006 to provide administrative and
statistical support to junior faculty beginning their research programs. This
study examined the efficacy of the RAU from 2006-2008. METHODS: The authors
reviewed RAU records for number and types of projects; time from initial contact
to IRB approval; type and hours of assistance; number of abstracts and
publications resulting from RAU-sponsored projects; number and rank of faculty,
student, and resident researchers; and cost of RAU operations. A satisfaction
survey was given to faculty members who completed projects. RESULTS: The RAU
provided assistance with 68 projects involving a total of 36 faculty members, 34
residents, and 21 medical students, resulting in 12 published abstracts and/or
manuscripts. RAU administrative assistance averaged 7 hours per project.
Statistical assistance was provided for 21 projects and averaged 13 hours.
Participation in clinical research by faculty rose from 8% to 32%, by residents
from 24% to 31%, and by medical students from 22% to 43%. Of surveyed faculty,
92% rated their experience with the RAU as satisfactory or very satisfactory.
Cost averaged $128,000 yearly. CONCLUSIONS: The creation of a staff support unit
resulted in an increase in research by faculty, residents, and students.
PMID- 21886085
TI - The more you know: what is the impact of research experience?
PMID- 21886086
TI - Association of doxycycline prescriptions and tick-related emergency department
visits in North Carolina.
AB - OBJECTIVES: To compare the seasonal frequency of doxycycline prescriptions with
tick-related emergency department (ED) visits in North Carolina, and to determine
if doxycycline prescriptions are associated in time with an increase in the
number of ED visits for a tick-related patient complaint or a subsequent
diagnosis of a tick-borne infection. METHODS: Aggregate monthly counts of total
dispensed doxycycline prescriptions for each North Carolina Piedmont Metropolitan
Statistical Area (MSA) were compared with the proportions of tick-related ED
visits from August 2007 through July 2009. RESULTS: Epidemic curves of tick
related ED visits for each of the 6 MSAs were characterized by increased
frequency in the spring and summer months followed by a decline in the fall.
However, the pattern of doxycycline prescriptions varied by MSA. Doxycycline
prescriptions in Durham-Chapel Hill and Raleigh-Cary MSAs increased in the spring
and summer, while for the 4 other Piedmont MSAs there was no consistent or
pronounced spring-summer increase. CONCLUSION: Doxycycline prescription use did
not always correlate well with suspected tick activity in North Carolina.
Therefore, doxycycline prescription use cannot necessarily be used as a surrogate
measure of tick activity for infectious disease surveillance until the reasons
for this variability are better understood.
PMID- 21886087
TI - Cannabinoid hyperemesis syndrome: literature review and proposed diagnosis and
treatment algorithm.
AB - Cannabinoid hyperemesis syndrome (CHS) is characterized by cyclic vomiting and
compulsive bathing behaviors in chronic cannabis users. Patients are typically
diagnosed with CHS only after multiple and extensive medical evaluations,
consequently without a clear etiology of their symptoms or treatment plan leading
to symptomatic improvement. Increased healthcare provider awareness of CHS as a
cause of nausea, vomiting, and abdominal pain coupled with an attentiveness to
focused history taking-especially noting symptomatic improvement with prolonged
exposure to hot showers or baths-can lead to effective treatment through cannabis
cessation. We propose a diagnosis and treatment algorithm for physicians to
follow when evaluating patients presenting with nausea, vomiting, and abdominal
pain who are suspected to suffer from CHS.
PMID- 21886088
TI - Emerging role of chronic cannabis usage and hyperemesis syndrome.
PMID- 21886090
TI - Mechanisms other than atherosclerosis in the partnership between chlamydia and
stroke.
PMID- 21886091
TI - Atrial fibrillation in cancer patients: an underrecognized condition.
PMID- 21886092
TI - Liver biopsy in hepatocellular cancer: how closely do we adhere to the
guidelines?
PMID- 21886093
TI - Mathematical evaluation of the amino acid and polyphenol content and antioxidant
activities of fruits from different apricot cultivars.
AB - Functional foods are of interest because of their significant effects on human
health, which can be connected with the presence of some biologically important
compounds. In this study, we carried out complex analysis of 239 apricot
cultivars (Prunus armeniaca L.) cultivated in Lednice (climatic area T4), South
Moravia, Czech Republic. Almost all previously published studies have focused
only on analysis of certain parameters. However, we focused on detection both
primary and secondary metabolites in a selection of apricot cultivars with
respect to their biological activity. The contents of thirteen biogenic alpha-L
amino acids (arginine, asparagine, isoleucine, lysine, serine, threonine, valine,
leucine, phenylalanine, tryptophan, tyrosine, proline and alanine) were
determined using ion exchange chromatography with UV-Vis spectrometry detection.
Profile of polyphenols, measured as content of ten polyphenols with significant
antioxidant properties (gallic acid, procatechinic acid, p-aminobenzoic acid,
chlorogenic acid, caffeic acid, vanillin, p-coumaric acid, rutin, ferrulic acid
and quercetrin), was determined by high performance liquid chromatography with
spectrometric/electrochemical detection. Moreover, content of total phenolics was
determined spectrophotometrically using the Folin-Ciocalteu method. Antioxidant
activity was determined using five independent spectrophotometric methods: DPPH
assay, DMPD method, ABTS method, FRAP and Free Radicals methods. Considering the
complexity of the obtained data, they were processed and correlated using
bioinformatics techniques (cluster analysis, principal component analysis). The
studied apricot cultivars were clustered according to their common biochemical
properties, which has not been done before. The observed similarities and
differences were discussed.
PMID- 21886094
TI - Mortality in preterm infants with respiratory distress syndrome treated with
poractant alfa, calfactant or beractant: a retrospective study.
AB - OBJECTIVE: The objective of this study is to compare all-cause in-hospital
mortality in preterm infants with respiratory distress syndrome (RDS) treated
with poractant alfa, calfactant or beractant. STUDY DESIGN: A retrospective
cohort study of 14 173 preterm infants with RDS, treated with one of three
surfactants between 2005 and 2009, using the Premier Database was done.
Multilevel, multivariable logistic regression modeling, adjusting for patient-
and hospital-level factors was performed. RESULT: Calfactant treatment was
associated with a 49.6% greater likelihood of death than poractant alfa (odds
ratio (OR): 1.496, 95% confidence interval (CI): 1.014-2.209, P=0.043). Beractant
treatment was associated with a non-significant 37% increase in mortality,
compared with poractant alfa (OR: 1.370, 95% CI: 0.996-1.885, P=0.053). No
differences in mortality were observed between calfactant and beractant treatment
(OR: 1.092, 95% CI: 0.765-1.559, P=0.626). CONCLUSION: Poractant alfa treatment
for RDS was associated with a significantly reduced likelihood of death when
compared with calfactant and a trend toward reduced mortality when compared with
beractant.
PMID- 21886095
TI - Generation of transgene-free human induced pluripotent stem cells with an
excisable single polycistronic vector.
AB - The generation of induced pluripotent stem cells (iPSCs) devoid of permanently
integrated reprogramming factor genes is essential to reduce differentiation
biases and artifactual phenotypes. We describe a protocol for the generation of
human iPSCs using a single polycistronic lentiviral vector (pLM-fSV2A)
coexpressing OCT4, SOX2, KLF4 and c-MYC; this is flanked by two loxP sites in its
long terminal repeats (LTRs). Human iPSC lines are established with an efficiency
of up to 1% and screened to select single or low vector copy lines. To deal with
potential insertional mutagenesis, the vector integrations are then mapped to the
human genome. Finally, the vector is excised by transient expression of Cre
recombinase (coexpressed with mCherry) through an integrase-deficient lentiviral
vector. Vector-excised iPSC lines maintain all characteristics of pluripotency.
This protocol can be used to efficiently derive transgene-free iPSCs from many
different starting cell types in approximately 12-14 weeks.
PMID- 21886096
TI - Derivation of genetically modified human pluripotent stem cells with integrated
transgenes at unique mapped genomic sites.
AB - Many applications in human pluripotent stem cell (PSC) research require the
genetic modification of PSCs to express a transgene in a stable and dependable
manner. Random transgene integration commonly results in unpredictable and
heterogeneous expression. We describe a protocol for the derivation of clonal
populations of human embryonic stem cells or induced pluripotent stem cells
(iPSCs) expressing a transgene from a single copy of an integrated lentiviral
vector that is mapped to the genome. Using optimized transduction conditions,
followed by single-cell subcloning and a round of antibiotic selection, we find
that approximately half of the colonies retrieved contain a single vector copy.
After expansion, the majority of these are confirmed to be clonal. The
vector/genomic DNA junction is sequenced and the unique integration site is
mapped to the genome. This protocol enables the efficient derivation of
genetically modified PSCs containing an integrated transgene at a known genomic
site in ~7 weeks.
PMID- 21886097
TI - Quantitative prediction of cellular metabolism with constraint-based models: the
COBRA Toolbox v2.0.
AB - Over the past decade, a growing community of researchers has emerged around the
use of constraint-based reconstruction and analysis (COBRA) methods to simulate,
analyze and predict a variety of metabolic phenotypes using genome-scale models.
The COBRA Toolbox, a MATLAB package for implementing COBRA methods, was presented
earlier. Here we present a substantial update of this in silico toolbox. Version
2.0 of the COBRA Toolbox expands the scope of computations by including in silico
analysis methods developed since its original release. New functions include (i)
network gap filling, (ii) (13)C analysis, (iii) metabolic engineering, (iv) omics
guided analysis and (v) visualization. As with the first version, the COBRA
Toolbox reads and writes systems biology markup language-formatted models. In
version 2.0, we improved performance, usability and the level of documentation. A
suite of test scripts can now be used to learn the core functionality of the
toolbox and validate results. This toolbox lowers the barrier of entry to use
powerful COBRA methods.
PMID- 21886098
TI - Assembling global maps of cellular function through integrative analysis of
physical and genetic networks.
AB - To take full advantage of high-throughput genetic and physical interaction
mapping projects, the raw interactions must first be assembled into models of
cell structure and function. PanGIA (for physical and genetic interaction
alignment) is a plug-in for the bioinformatics platform Cytoscape, designed to
integrate physical and genetic interactions into hierarchical module maps. PanGIA
identifies 'modules' as sets of proteins whose physical and genetic interaction
data matches that of known protein complexes. Higher-order functional
cooperativity and redundancy is identified by enrichment for genetic interactions
across modules. This protocol begins with importing interaction networks into
Cytoscape, followed by filtering and basic network visualization. Next, PanGIA is
used to infer a set of modules and their functional inter-relationships. This
module map is visualized in a number of intuitive ways, and modules are tested
for functional enrichment and overlap with known complexes. The full protocol can
be completed between 10 and 30 min, depending on the size of the data set being
analyzed.
PMID- 21886099
TI - Investigating protein-protein interactions in living cells using fluorescence
lifetime imaging microscopy.
AB - Fluorescence lifetime imaging microscopy (FLIM) is now routinely used for dynamic
measurements of signaling events inside living cells, including detection of
protein-protein interactions. An understanding of the basic physics of
fluorescence lifetime measurements is required to use this technique. In this
protocol, we describe both the time-correlated single photon counting and the
frequency-domain methods for FLIM data acquisition and analysis. We describe
calibration of both FLIM systems, and demonstrate how they are used to measure
the quenched donor fluorescence lifetime that results from Forster resonance
energy transfer (FRET). We then show how the FLIM-FRET methods are used to detect
the dimerization of the transcription factor CCAAT/enhancer binding protein-alpha
in live mouse pituitary cell nuclei. Notably, the factors required for accurate
determination and reproducibility of lifetime measurements are described. With
either method, the entire protocol including specimen preparation, imaging and
data analysis takes ~2 d.
PMID- 21886101
TI - In vivo optical molecular imaging and analysis in mice using dorsal window
chamber models applied to hypoxia, vasculature and fluorescent reporters.
AB - Optical techniques for functional imaging in mice have a number of key advantages
over other common imaging modalities such as magnetic resonance imaging, positron
emission tomography or computed tomography, including high resolution, low cost
and an extensive library of available contrast agents and reporter genes. A major
challenge to such work is the limited penetration depth imposed by tissue
turbidity. We describe a window chamber technique by which these limitations can
be avoided. This facilitates the study of a wide range of processes, with
potential endpoints including longitudinal gene expression, vascular remodeling
and angiogenesis, and tumor growth and invasion. We further describe several
quantitative imaging and analysis techniques for characterizing in vivo
fluorescence properties and functional endpoints, including vascular morphology
and oxygenation. The procedure takes ~2 h to complete, plus up to several weeks
for tumor growth and treatment procedures.
PMID- 21886100
TI - Predicting protein-protein interactions on a proteome scale by matching
evolutionary and structural similarities at interfaces using PRISM.
AB - Prediction of protein-protein interactions at the structural level on the
proteome scale is important because it allows prediction of protein function,
helps drug discovery and takes steps toward genome-wide structural systems
biology. We provide a protocol (termed PRISM, protein interactions by structural
matching) for large-scale prediction of protein-protein interactions and assembly
of protein complex structures. The method consists of two components: rigid-body
structural comparisons of target proteins to known template protein-protein
interfaces and flexible refinement using a docking energy function. The PRISM
rationale follows our observation that globally different protein structures can
interact via similar architectural motifs. PRISM predicts binding residues by
using structural similarity and evolutionary conservation of putative binding
residue 'hot spots'. Ultimately, PRISM could help to construct cellular pathways
and functional, proteome-scale annotation. PRISM is implemented in Python and
runs in a UNIX environment. The program accepts Protein Data Bank-formatted
protein structures and is available at
http://prism.ccbb.ku.edu.tr/prism_protocol/.
PMID- 21886102
TI - Titration-free 454 sequencing using Y adapters.
AB - We describe a protocol for construction and quantification of libraries for
emulsion PCR (emPCR)-based sequencing platforms such as Roche 454 or Ion Torrent
PGM. The protocol involves library construction using customized Y adapters,
quantification using TaqMan-MGB (minor groove binder) probe-based quantitative
PCR (qPCR) and calculation of an optimal template-to-bead ratio based on Poisson
statistics, thereby avoiding the need for a laborious titration assay. Unlike
other qPCR methods, the TaqMan-MGB probe specifically quantifies effective
libraries in molar concentration and does not require specialized equipment. A
single quality control step prior to emulsion PCR ensures that libraries contain
no adapter dimers and have an optimal length distribution. The presented protocol
takes ~7 h to prepare eight barcoded libraries from genomic DNA into libraries
that are ready to use for full-scale emPCR. It will be useful, for example, to
allow analyses of precious clinical samples and amplification-free
metatranscriptomics.
PMID- 21886103
TI - Comparative analysis of S-fatty acylation of gel-separated proteins by stable
isotope-coded fatty acid transmethylation and mass spectrometry.
AB - Covalent attachment of palmitic acid or other fatty acids to the thiol groups of
cysteine residues of proteins through reversible thioester bonds has an important
role in the regulation of diverse biological processes. We describe here the
development of a mass spectrometry protocol based on stable isotope-coded fatty
acid transmethylation (iFAT) for qualitative and comparative analysis of protein
S-fatty acylation under different experimental conditions. In this approach,
cellular proteins extracted from different cell states are separated by SDS-PAGE
and then the gel is stained with either Coomassie blue or Nile red for improved
sensitivity. Protein bands are excised and then an in-gel stable iFAT procedure
is performed. The fatty acid methyl esters resulting from derivatization with d0-
and d3-methanol are identified by mass spectrometry. By measuring the intensities
of labeled and unlabeled fragment ion pairs of fatty acid methyl esters, the
levels of S-fatty acylation in different cells or tissues can be compared. This
approach has been applied to monitor the changes of S-fatty acylation of
zebrafish liver proteome in response to environmental
dichlorodiphenyltrichloroethane exposure. Compared with the approach using
metabolic incorporation of radioactive fatty acid analogs, it is not only simple
and effective but also eliminates the hazards of handling radioactive isotopes.
PMID- 21886104
TI - High-throughput, detailed, cell-specific neuroanatomy of dendritic spines using
microinjection and confocal microscopy.
AB - Morphological features such as size, shape and density of dendritic spines have
been shown to reflect important synaptic functional attributes and potential for
plasticity. Here we describe in detail a protocol for obtaining detailed
morphometric analysis of spines using microinjection of fluorescent dyes, high
resolution confocal microscopy, deconvolution and image analysis with
NeuronStudio. Recent technical advancements include better preservation of
tissue, resulting in prolonged ability to microinject, and algorithmic
improvements that compensate for the residual z-smear inherent in all optical
imaging. Confocal imaging parameters were probed systematically to identify both
optimal resolution and the highest efficiency. When combined, our methods yield
size and density measurements comparable to serial section transmission electron
microscopy in a fraction of the time. An experiment containing three experimental
groups with eight subjects each can take as little as 1 month if optimized for
speed, or approximately 4-5 months if the highest resolution and morphometric
detail is sought.
PMID- 21886105
TI - FLP/FRT-mediated conditional mutagenesis in pre-erythrocytic stages of Plasmodium
berghei.
AB - We describe here a highly efficient procedure for conditional mutagenesis in
Plasmodium. The procedure uses the site-specific recombination FLP-FRT system of
yeast and targets the pre-erythrocytic stages of the rodent Plasmodium parasite
P. berghei, including the sporozoite stage and the subsequent liver stage. The
technique consists of replacing the gene under study by an FRTed copy (i.e.,
flanked by FRT sites) in the erythrocytic stages of a parasite clone that
expresses the flip (FLP) recombinase stage-specifically--called the 'deleter'
clone. We present the available deleter clones, which express FLP at different
times of the parasite life cycle, as well as the schemes and tools for
constructing new deleter parasites. We also outline and discuss the various
strategies for exchanging a wild-type gene with an FRTed copy and for generating
conditional gene knockout or knockdown parasite clones. Finally, we detail the
protocol for obtaining sporozoites that lack a protein of interest and for
monitoring sporozoite-specific DNA excision and depletion of the target protein.
The protocol should allow the functional analysis of any essential protein in the
sporozoite, liver stage or hepatic merozoite stages of rodent Plasmodium
parasites.
PMID- 21886106
TI - Systematic prediction of gene function in Arabidopsis thaliana using a
probabilistic functional gene network.
AB - AraNet is a functional gene network for the reference plant Arabidopsis and has
been constructed in order to identify new genes associated with plant traits. It
is highly predictive for diverse biological pathways and can be used to
prioritize genes for functional screens. Moreover, AraNet provides a web-based
tool with which plant biologists can efficiently discover novel functions of
Arabidopsis genes (http://www.functionalnet.org/aranet/). This protocol explains
how to conduct network-based prediction of gene functions using AraNet and how to
interpret the prediction results. Functional discovery in plant biology is
facilitated by combining candidate prioritization by AraNet with focused
experimental tests.
PMID- 21886107
TI - Single-molecule recognition force spectroscopy of transmembrane transporters on
living cells.
AB - Atomic force microscopy (AFM) has proven to be a powerful tool in biological
sciences. Its particular advantage over other high-resolution methods commonly
used is that biomolecules can be investigated not only under physiological
conditions but also while they perform their biological functions. Single
molecule force spectroscopy with AFM tip-modification techniques can provide
insight into intermolecular forces between individual ligand-receptor pairs of
biological systems. Here we present protocols for force spectroscopy of living
cells, including cell sample preparation, tip chemistry, step-by-step AFM
imaging, force spectroscopy and data analysis. We also delineate critical steps
and describe limitations that we have experienced. The entire protocol can be
completed in 12 h. The model studies discussed here demonstrate the power of AFM
for studying transmembrane transporters at the single-molecule level.
PMID- 21886108
TI - Ultrasonic neuromodulation by brain stimulation with transcranial ultrasound.
AB - Brain stimulation methods are indispensable to the study of brain function. They
have also proven effective for treating some neurological disorders. Historically
used for medical imaging, ultrasound (US) has recently been shown to be capable
of noninvasively stimulating brain activity. Here we provide a general protocol
for the stimulation of intact mouse brain circuits using transcranial US, and,
using a traditional mouse model of epilepsy, we describe how to use transcranial
US to disrupt electrographic seizure activity. The advantages of US for brain
stimulation are that it does not necessitate surgery or genetic alteration, but
it confers spatial resolutions superior to other noninvasive methods such as
transcranial magnetic stimulation. With a basic working knowledge of
electrophysiology, and after an initial setup, ultrasonic neuromodulation (UNMOD)
can be implemented in less than 1 h. Using the general protocol that we describe,
UNMOD can be readily adapted to support a broad range of studies on brain circuit
function and dysfunction.
PMID- 21886110
TI - Are we jumping too early with locomotor training programs?
PMID- 21886109
TI - Confocal laser endomicroscopy and narrow-band imaging-aided endoscopy for in vivo
imaging of colitis and colon cancer in mice.
AB - New endoscopic techniques such as narrow-band imaging (NBI) and confocal laser
endomicroscopy (CLE) have improved the in vivo diagnosis of human
gastrointestinal diseases in the colon. Whereas NBI may facilitate the
identification of neoplastic lesions, CLE permits real-time histology of the
inflamed or neoplastic colonic mucosa through the use of fluorescent dyes. These
techniques have been recently adopted for use during ongoing endoscopy in mice.
This protocol, which can be completed in 2 h, provides a detailed description of
NBI and CLE in the mouse colon. In contrast to other techniques, this approach
does not require laparotomy, and it allows direct CLE analysis of lesions
identified by NBI. Mice exposed to models of colitis or colorectal cancer are
anesthetized and examined with a miniaturized NBI endoscope, which provides an
increased contrast of the vasculature. Upon identification of suspicious areas by
NBI and the administration of fluorescent dyes, a confocal laser probe can be
directed to the area of interest through the endoscope and confocal images can be
obtained. Through the use of various fluorescent dyes, different aspects of the
mucosa can be assessed. In addition, fluorescence-labeled antibodies can be used
for molecular imaging of mice in vivo. Mouse NBI endoscopy and CLE represent
reliable and fast high-quality techniques for the endoscopic characterization and
molecular imaging of the mucosa in colitis and colon cancer.
PMID- 21886111
TI - And then there were two.
PMID- 21886114
TI - Gesicles: Microvesicle "cookies" for transient information transfer between
cells.
PMID- 21886115
TI - A GPS for heart progenitor cell lineages.
PMID- 21886116
TI - Making sense of viral RNA sensing.
PMID- 21886119
TI - Reality check.
PMID- 21886120
TI - The permanent revolution.
PMID- 21886121
TI - The Arab Spring offers hope but no quick fix.
PMID- 21886132
TI - Japan's new leader faces energy gap.
PMID- 21886133
TI - Halfway point for 1,001 genomes quest.
PMID- 21886134
TI - Isotope factory accelerates.
PMID- 21886135
TI - Twins to probe Moon's heart.
PMID- 21886136
TI - Red tape puts chill on Siberian research.
PMID- 21886137
TI - Scientists promised 'one voice' in European policy.
PMID- 21886138
TI - Work ethic: The 24/7 lab.
PMID- 21886139
TI - Neuroscience vs philosophy: Taking aim at free will.
PMID- 21886140
TI - 24/7 isn't the only way: A healthy work-life balance can enhance research.
PMID- 21886145
TI - Research community: Diploma database to encourage mobility.
PMID- 21886146
TI - Pollution: Drug firm monitors waste water.
PMID- 21886147
TI - Carbon emissions: Loophole in forest plan for Indonesia.
PMID- 21886148
TI - Government cuts: Call to save science institute in Turkey.
PMID- 21886149
TI - Baruj Benacerraf (1920-2011).
PMID- 21886150
TI - HIV: How to escape treatment.
PMID- 21886151
TI - Astrophysics: A hint of normality at last?
PMID- 21886152
TI - Ecology: Nitrogen from the deep.
PMID- 21886153
TI - Cancer: Tumour-fighting virus homes in.
PMID- 21886154
TI - Ageing: Blood ties.
PMID- 21886155
TI - Materials science: Dry solution to a sticky problem.
PMID- 21886156
TI - Synthesis, assembly and applications of semiconductor nanomembranes.
AB - Research in electronic nanomaterials, historically dominated by studies of
nanocrystals/fullerenes and nanowires/nanotubes, now incorporates a growing focus
on sheets with nanoscale thicknesses, referred to as nanomembranes. Such
materials have practical appeal because their two-dimensional geometries
facilitate integration into devices, with realistic pathways to manufacturing.
Recent advances in synthesis provide access to nanomembranes with extraordinary
properties in a variety of configurations, some of which exploit quantum and
other size-dependent effects. This progress, together with emerging methods for
deterministic assembly, leads to compelling opportunities for research, from
basic studies of two-dimensional physics to the development of applications of
heterogeneous electronics.
PMID- 21886158
TI - An extremely primitive star in the Galactic halo.
AB - The early Universe had a chemical composition consisting of hydrogen, helium and
traces of lithium; almost all other elements were subsequently created in stars
and supernovae. The mass fraction of elements more massive than helium, Z, is
known as 'metallicity'. A number of very metal-poor stars has been found, some of
which have a low iron abundance but are rich in carbon, nitrogen and oxygen. For
theoretical reasons and because of an observed absence of stars with Z < 1.5 *
10(-5), it has been suggested that low-mass stars cannot form from the primitive
interstellar medium until it has been enriched above a critical value of Z,
estimated to lie in the range 1.5 * 10(-8) to 1.5 * 10(-6) (ref. 8), although
competing theories claiming the contrary do exist. (We use 'low-mass' here to
mean a stellar mass of less than 0.8 solar masses, the stars that survive to the
present day.) Here we report the chemical composition of a star in the Galactic
halo with a very low Z (<= 6.9 * 10(-7), which is 4.5 * 10(-5) times that of the
Sun) and a chemical pattern typical of classical extremely metal-poor stars--that
is, without enrichment of carbon, nitrogen and oxygen. This shows that low-mass
stars can be formed at very low metallicity, that is, below the critical value of
Z. Lithium is not detected, suggesting a low-metallicity extension of the
previously observed trend in lithium depletion. Such lithium depletion implies
that the stellar material must have experienced temperatures above two million
kelvin in its history, given that this is necessary to destroy lithium.
PMID- 21886159
TI - Real-time quantum feedback prepares and stabilizes photon number states.
AB - Feedback loops are central to most classical control procedures. A controller
compares the signal measured by a sensor (system output) with the target value or
set-point. It then adjusts an actuator (system input) to stabilize the signal
around the target value. Generalizing this scheme to stabilize a micro-system's
quantum state relies on quantum feedback, which must overcome a fundamental
difficulty: the sensor measurements cause a random back-action on the system. An
optimal compromise uses weak measurements, providing partial information with
minimal perturbation. The controller should include the effect of this
perturbation in the computation of the actuator's operation, which brings the
incrementally perturbed state closer to the target. Although some aspects of this
scenario have been experimentally demonstrated for the control of quantum or
classical micro-system variables, continuous feedback loop operations that
permanently stabilize quantum systems around a target state have not yet been
realized. Here we have implemented such a real-time stabilizing quantum feedback
scheme following a method inspired by ref. 13. It prepares on demand photon
number states (Fock states) of a microwave field in a superconducting cavity, and
subsequently reverses the effects of decoherence-induced field quantum jumps. The
sensor is a beam of atoms crossing the cavity, which repeatedly performs weak
quantum non-demolition measurements of the photon number. The controller is
implemented in a real-time computer commanding the actuator, which injects
adjusted small classical fields into the cavity between measurements. The
microwave field is a quantum oscillator usable as a quantum memory or as a
quantum bus swapping information between atoms. Our experiment demonstrates that
active control can generate non-classical states of this oscillator and combat
their decoherence, and is a significant step towards the implementation of
complex quantum information operations.
PMID- 21886160
TI - Increased forest ecosystem carbon and nitrogen storage from nitrogen rich
bedrock.
AB - Nitrogen (N) limits the productivity of many ecosystems worldwide, thereby
restricting the ability of terrestrial ecosystems to offset the effects of rising
atmospheric CO(2) emissions naturally. Understanding input pathways of
bioavailable N is therefore paramount for predicting carbon (C) storage on land,
particularly in temperate and boreal forests. Paradigms of nutrient cycling and
limitation posit that new N enters terrestrial ecosystems solely from the
atmosphere. Here we show that bedrock comprises a hitherto overlooked source of
ecologically available N to forests. We report that the N content of soils and
forest foliage on N-rich metasedimentary rocks (350-950 mg N kg(-1)) is elevated
by more than 50% compared with similar temperate forest sites underlain by N-poor
igneous parent material (30-70 mg N kg(-1)). Natural abundance N isotopes
attribute this difference to rock-derived N: (15)N/(14)N values for rock, soils
and plants are indistinguishable in sites underlain by N-rich lithology, in
marked contrast to sites on N-poor substrates. Furthermore, forests associated
with N-rich parent material contain on average 42% more carbon in above-ground
tree biomass and 60% more carbon in the upper 30 cm of the soil than similar
sites underlain by N-poor rocks. Our results raise the possibility that bedrock N
input may represent an important and overlooked component of ecosystem N and C
cycling elsewhere.
PMID- 21886157
TI - Human metabolic individuality in biomedical and pharmaceutical research.
AB - Genome-wide association studies (GWAS) have identified many risk loci for complex
diseases, but effect sizes are typically small and information on the underlying
biological processes is often lacking. Associations with metabolic traits as
functional intermediates can overcome these problems and potentially inform
individualized therapy. Here we report a comprehensive analysis of genotype
dependent metabolic phenotypes using a GWAS with non-targeted metabolomics. We
identified 37 genetic loci associated with blood metabolite concentrations, of
which 25 show effect sizes that are unusually high for GWAS and account for 10
60% differences in metabolite levels per allele copy. Our associations provide
new functional insights for many disease-related associations that have been
reported in previous studies, including those for cardiovascular and kidney
disorders, type 2 diabetes, cancer, gout, venous thromboembolism and Crohn's
disease. The study advances our knowledge of the genetic basis of metabolic
individuality in humans and generates many new hypotheses for biomedical and
pharmaceutical research.
PMID- 21886161
TI - An earlier origin for the Acheulian.
AB - The Acheulian is one of the first defined prehistoric techno-complexes and is
characterized by shaped bifacial stone tools. It probably originated in Africa,
spreading to Europe and Asia perhaps as early as ~1 million years (Myr) ago. The
origin of the Acheulian is thought to have closely coincided with major changes
in human brain evolution, allowing for further technological developments.
Nonetheless, the emergence of the Acheulian remains unclear because well-dated
sites older than 1.4 Myr ago are scarce. Here we report on the lithic assemblage
and geological context for the Kokiselei 4 archaeological site from the Nachukui
formation (West Turkana, Kenya) that bears characteristic early Acheulian tools
and pushes the first appearance datum for this stone-age technology back to 1.76
Myr ago. Moreover, co-occurrence of Oldowan and Acheulian artefacts at the
Kokiselei site complex indicates that the two technologies are not mutually
exclusive time-successive components of an evolving cultural lineage, and
suggests that the Acheulian was either imported from another location yet to be
identified or originated from Oldowan hominins at this vicinity. In either case,
the Acheulian did not accompany the first human dispersal from Africa despite
being available at the time. This may indicate that multiple groups of hominins
distinguished by separate stone-tool-making behaviours and dispersal strategies
coexisted in Africa at 1.76 Myr ago.
PMID- 21886166
TI - Letter to the editor regarding 'Roh MH, Lassin Y, Miron A et al. High-grade
fimbrial-ovarian carcinomas are unified by p53, PTEN and PAX2 expression'.
PMID- 21886163
TI - Intravenous delivery of a multi-mechanistic cancer-targeted oncolytic poxvirus in
humans.
AB - The efficacy and safety of biological molecules in cancer therapy, such as
peptides and small interfering RNAs (siRNAs), could be markedly increased if high
concentrations could be achieved and amplified selectively in tumour tissues
versus normal tissues after intravenous administration. This has not been
achievable so far in humans. We hypothesized that a poxvirus, which evolved for
blood-borne systemic spread in mammals, could be engineered for cancer-selective
replication and used as a vehicle for the intravenous delivery and expression of
transgenes in tumours. JX-594 is an oncolytic poxvirus engineered for
replication, transgene expression and amplification in cancer cells harbouring
activation of the epidermal growth factor receptor (EGFR)/Ras pathway, followed
by cell lysis and anticancer immunity. Here we show in a clinical trial that JX
594 selectively infects, replicates and expresses transgene products in cancer
tissue after intravenous infusion, in a dose-related fashion. Normal tissues were
not affected clinically. This platform technology opens up the possibility of
multifunctional products that selectively express high concentrations of several
complementary therapeutic and imaging molecules in metastatic solid tumours in
humans.
PMID- 21886169
TI - Action of novel CD37 antibodies on chronic lymphocytic leukemia cells.
PMID- 21886162
TI - The ageing systemic milieu negatively regulates neurogenesis and cognitive
function.
AB - In the central nervous system, ageing results in a precipitous decline in adult
neural stem/progenitor cells and neurogenesis, with concomitant impairments in
cognitive functions. Interestingly, such impairments can be ameliorated through
systemic perturbations such as exercise. Here, using heterochronic parabiosis we
show that blood-borne factors present in the systemic milieu can inhibit or
promote adult neurogenesis in an age-dependent fashion in mice. Accordingly,
exposing a young mouse to an old systemic environment or to plasma from old mice
decreased synaptic plasticity, and impaired contextual fear conditioning and
spatial learning and memory. We identify chemokines--including CCL11 (also known
as eotaxin)--the plasma levels of which correlate with reduced neurogenesis in
heterochronic parabionts and aged mice, and the levels of which are increased in
the plasma and cerebrospinal fluid of healthy ageing humans. Lastly, increasing
peripheral CCL11 chemokine levels in vivo in young mice decreased adult
neurogenesis and impaired learning and memory. Together our data indicate that
the decline in neurogenesis and cognitive impairments observed during ageing can
be in part attributed to changes in blood-borne factors.
PMID- 21886170
TI - The vascular niche: home for normal and malignant hematopoietic stem cells.
AB - Hematopoietic stem cells (HSCs) are uniquely capable of self-renewal and
provision of all of the mature elements of the blood and immune system throughout
the lifetime of an individual. HSC self-renewal is regulated by both intrinsic
mechanisms and extrinsic signals mediated via specialized microenvironments or
'niches' wherein HSCs reside. HSCs have been shown to reside in close association
with bone marrow (BM) osteoblasts in the endosteal niche and also in proximity to
BM sinusoidal vessels. An unresolved question surrounds whether the endosteal and
vascular niches provide synchronous or redundant regulation of HSC fate or
whether these niches provide wholly unique regulatory functions. Furthermore,
while some aspects of the mechanisms through which osteoblasts regulate HSC fate
have been defined, the mechanisms through which the vascular niche regulates HSC
fate remain obscure. Here, we summarize the anatomic and functional basis
supporting the concept of an HSC vascular niche as well as the precise function
of endothelial cells, perivascular cells and stromal cells within the niche in
regulating HSC fate. Lastly, we will highlight the role of the vascular niche in
regulating leukemic stem cell fate in vivo.
PMID- 21886171
TI - Azacitidine for treatment of imminent relapse in MDS or AML patients after
allogeneic HSCT: results of the RELAZA trial.
AB - This study evaluated azacitidine as treatment of minimal residual disease (MRD)
determined by a sensitive donor chimerism analysis of CD34(+) blood cells to pre
empt relapse in patients with CD34(+) myelodysplastic syndromes (MDS) or acute
myeloid leukemia (AML) after allogeneic hematopoietic stem cell transplantation
(HSCT). At a median of 169 days after HSCT, 20/59 prospectively screened patients
experienced a decrease of CD34(+) donor chimerism to <80% and received four
azacitidine cycles (75 mg/m(2)/day for 7 days) while in complete hematologic
remission. A total of 16 patients (80%) responded with either increasing CD34(+)
donor chimerism to >=80% (n=10; 50%) or stabilization (n=6; 30%) in the absence
of relapse. Stabilized patients and those with a later drop of CD34(+) donor
chimerism to <80% after initial response were eligible for subsequent azacitidine
cycles. A total of 11 patients (55%) received a median of 4 (range, 1-11)
additional cycles. Eventually, hematologic relapse occurred in 13 patients (65%),
but was delayed until a median of 231 days (range, 56-558) after initial decrease
of CD34(+) donor chimerism to <80%. In conclusion, pre-emptive azacitidine
treatment has an acceptable safety profile and can substantially prevent or delay
hematologic relapse in patients with MDS or AML and MRD after allogeneic HSCT.
PMID- 21886172
TI - Release of intracellular calcium primes chronic myeloid leukaemia cells for
tyrosine kinase inhibitor-induced apoptosis.
AB - Imatinib is a substrate for hOCT1 (SLC22A1) and inhibitors of this influx
transporter, such as amantadine and prazosin, have previously been shown to
decrease cellular imatinib uptake. However, here we report that in longer term
experiments, both drugs paradoxically increase the cytotoxicity of all three
currently licensed tyrosine kinase inhibitors (TKIs), imatinib, nilotinib and
dasatinib. This effect is due to release of intracellular calcium from the
endoplasmic reticulum (ER), with changes in mitochondrial calcium and alterations
in mitochondrial membrane permeability, resulting in caspase-mediated apoptosis.
The effect is confined to BCR-ABL-positive cells, and is greater in primary cells
than in cell lines. Furthermore, in primary cells at original diagnosis, the
effect is only seen in samples from patients destined to become complete
cytogenetic responders to imatinib. These results indicate that calcium release
from the ER, here induced by amantadine or prazosin, may prime BCR-ABL-positive
cells to TKI-induced apoptosis. Amantadine/prazosin primed TKI cytotoxicity in
vitro may be a useful test for the level of ER-releasable calcium, and may be of
prognostic value.
PMID- 21886174
TI - SF3B1, a splicing factor is frequently mutated in refractory anemia with ring
sideroblasts.
PMID- 21886173
TI - Mobilization of hematopoietic stem and progenitor cells using inhibitors of CXCR4
and VLA-4.
AB - Successful hematopoietic stem cell transplant requires the infusion of a
sufficient number of hematopoietic stem/progenitor cells (HSPCs) that are capable
of homing to the bone marrow cavity and regenerating durable trilineage
hematopoiesis in a timely manner. Stem cells harvested from peripheral blood are
the most commonly used graft source in HSCT. Although granulocyte colony
stimulating factor (G-CSF) is the most frequently used agent for stem cell
mobilization, the use of G-CSF alone results in suboptimal stem cell yields in a
significant proportion of patients. Both the chemokine receptor CXCR4 and the
integrin alpha(4)beta(1) (very late antigen 4 (VLA-4)) have important roles in
the homing and retention of HSPCs within the bone marrow microenvironment.
Preclinical and/or clinical studies have shown that targeted disruption of the
interaction of CXCR4 or VLA-4 with their ligands results in the rapid and
reversible mobilization of hematopoietic stem cells into the peripheral
circulation and is synergistic when combined with G-CSF. In this review, we
discuss the development of small-molecule CXCR4 and VLA-4 inhibitors and how they
may improve the utility and convenience of peripheral blood stem cell
transplantation.
PMID- 21886177
TI - A new Canterbury tale: the eighth International Meeting on Yeast Apoptosis in
Canterbury, UK, 2-6 May 2011.
PMID- 21886175
TI - A novel perspective on stem cell homing and mobilization: review on bioactive
lipids as potent chemoattractants and cationic peptides as underappreciated
modulators of responsiveness to SDF-1 gradients.
AB - Hematopoietic stem progenitor cells (HSPCs) respond robustly to alpha-chemokine
stromal-derived factor-1 (SDF-1) gradients, and blockage of CXCR4, a seven
transmembrane-spanning G(alphaI)-protein-coupled SDF-1 receptor, mobilizes HSPCs
into peripheral blood. Although the SDF-1-CXCR4 axis has an unquestionably
important role in the retention of HSPCs in bone marrow (BM), new evidence shows
that, in addition to SDF-1, the migration of HSPCs is directed by gradients of
the bioactive lipids sphingosine-1 phosphate and ceramide-1 phosphate.
Furthermore, the SDF-1 gradient may be positively primed/modulated by cationic
peptides (C3a anaphylatoxin and cathelicidin) and, as previously demonstrated,
HSPCs respond robustly even to very low SDF-1 gradients in the presence of
priming factors. In this review, we discuss the role of bioactive lipids in stem
cell trafficking and the consequences of HSPC priming by cationic peptides.
Together, these phenomena support a picture in which the SDF-1-CXCR4 axis
modulates homing, BM retention and mobilization of HSPCs in a more complex way
than previously envisioned.
PMID- 21886178
TI - Drosophila BRUCE inhibits apoptosis through non-lysine ubiquitination of the IAP
antagonist REAPER.
AB - Active caspases execute apoptosis to eliminate superfluous or harmful cells in
animals. In Drosophila, living cells prevent uncontrolled caspase activation
through an inhibitor of apoptosis protein (IAP) family member, dIAP1, and
apoptosis is preceded by the expression of IAP-antagonists, such as Reaper, Hid
and Grim. Strong genetic modifiers of this pathway include another IAP family
gene encoding an E2 ubiquitin conjugating enzyme domain, dBruce. Although the
genetic effects of dBruce mutants are well documented, molecular targets of its
encoded protein have remained elusive. Here, we report that dBruce targets Reaper
for ubiquitination through an unconventional mechanism. Specifically, we show
that dBruce physically interacts with Reaper, dependent upon Reaper's IAP-binding
(IBM) and GH3 motifs. Consistently, Reaper levels were elevated in a dBruce -/-
background. Unexpectedly, we found that dBruce also affects the levels of a
mutant form of Reaper without any internal lysine residues, which normally serve
as conventional ubiquitin acceptor sites. Furthermore, we were able to
biochemically detect ubiquitin conjugation on lysine-deficient Reaper proteins,
and knockdown of dBruce significantly reduced the extent of this ubiquitination.
Our results indicate that dBruce inhibits apoptosis by promoting IAP-antagonist
ubiquitination on unconventional acceptor sites.
PMID- 21886179
TI - A dp53/JNK-dependant feedback amplification loop is essential for the apoptotic
response to stress in Drosophila.
AB - Programmed cell death (apoptosis) is a conserved process aimed to eliminate
unwanted cells. The key molecules are a group of proteases called caspases that
cleave vital proteins, which leads to the death of cells. In Drosophila, the
apoptotic pathway is usually represented as a cascade of events in which an
initial stimulus activates one or more of the proapoptotic genes (hid, rpr,
grim), which in turn activate caspases. In stress-induced apoptosis, the dp53
(Drosophila p53) gene and the Jun N-terminal kinase (JNK) pathway function
upstream in the activation of the proapoptotic genes. Here we demonstrate that
dp53 and JNK also function downstream of proapoptotic genes and the initiator
caspase Dronc (Drosophila NEDD2-like caspase) and that they establish a feedback
loop that amplifies the initial apoptotic stimulus. This loop plays a critical
role in the apoptotic response because in its absence there is a dramatic
decrease in the amount of cell death after a pulse of the proapoptotic proteins
Hid and Rpr. Thus, our results indicate that stress-induced apoptosis in
Drosophila is dependant on an amplification loop mediated by dp53 and JNK.
Furthermore, they also demonstrate a mechanism of mutual activation of
proapoptotic genes.
PMID- 21886180
TI - PI3K/AKT signaling determines a dynamic switch between distinct KSRP functions
favoring skeletal myogenesis.
AB - Skeletal myogenesis is orchestrated by distinct regulatory signaling pathways,
including PI3K/AKT, that ultimately control muscle gene expression. Recently
discovered myogenic micro-RNAs (miRNAs) are deeply implicated in muscle biology.
Processing of miRNAs from their primary transcripts is emerging as a major step
in the control of miRNA levels and might be well suited to be regulated by
extracellular signals. Here we report that the RNA binding protein KSRP is
required for the correct processing of primary myogenic miRNAs upon PI3K/AKT
activation in myoblasts C2C12 and in the course of injury-induced muscle
regeneration, as revealed by Ksrp knock-out mice analysis. PI3K/AKT activation
regulates in opposite ways two distinct KSRP functions inhibiting its ability to
promote decay of myogenin mRNA and activating its ability to favor maturation of
myogenic miRNAs. This dynamic regulatory switch eventually contributes to the
activation of the myogenic program.
PMID- 21886181
TI - Evidence that CED-9/Bcl2 and CED-4/Apaf-1 localization is not consistent with the
current model for C. elegans apoptosis induction.
AB - In C. elegans, the BH3-only domain protein EGL-1, the Apaf-1 homolog CED-4 and
the CED-3 caspase are required for apoptosis induction, whereas the Bcl-2 homolog
CED-9 prevents apoptosis. Mammalian B-cell lymphoma 2 (Bcl-2) inhibits apoptosis
by preventing the release of the Apaf-1 (apoptotic protease-activating factor 1)
activator cytochrome c from mitochondria. In contrast, C. elegans CED-9 is
thought to inhibit CED-4 by sequestering it at the outer mitochondrial membrane
by direct binding. We show that CED-9 associates with the outer mitochondrial
membrane within distinct foci that do not overlap with CED-4, which is
predominantly perinuclear and does not localize to mitochondria. CED-4 further
accumulates in the perinuclear space in response to proapoptotic stimuli such as
ionizing radiation. This increased accumulation depends on EGL-1 and is abrogated
in ced-9 gain-of-function mutants. CED-4 accumulation is not sufficient to
trigger apoptosis execution, even though it may prime cells for apoptosis. Our
results suggest that the cell death protection conferred by CED-9 cannot be
solely explained by a direct interaction with CED-4.
PMID- 21886182
TI - A genome-wide RNA interference screen uncovers two p24 proteins as regulators of
Wingless secretion.
AB - Wnt proteins are secreted, lipid-modified glycoproteins that control animal
development and adult tissue homeostasis. Secretion of Wnt proteins is at least
partly regulated by a dedicated machinery. Here, we report a genome-wide RNA
interference screen for genes involved in the secretion of Wingless (Wg), a
Drosophila Wnt. We identify three new genes required for Wg secretion. Of these,
Emp24 and Eclair are required for proper export of Wg from the endoplasmic
reticulum (ER). We propose that Emp24 and Eca act as specific cargo receptors for
Wg to concentrate it in forming vesicles at sites of ER export.
PMID- 21886184
TI - Neurodegenerative disease: alpha-synuclein gets a new look.
PMID- 21886183
TI - The interplay between morphogens and tissue growth.
AB - Morphogens are conserved, secreted signalling molecules that regulate the size,
shape and patterning of animal tissues and organs. Recent experimental evidence
has emphasized the fundamental role of tissue growth in expanding the expression
domains of morphogens and their target genes, in generating morphogen gradients
and in modulating the response of cells to morphogens. Moreover, the classic view
of how morphogens, particularly through their concentration gradient, regulate
tissue size during development has been revisited recently. In this review, we
discuss how morphogens and tissue growth affect each other, and we attempt to
integrate genetic and molecular evidence from vertebrate and invertebrate model
systems to put forward the idea that the interaction between growth and
morphogens is a general feature of highly proliferative tissues.
PMID- 21886185
TI - Synaptic plasticity: a key player in presynaptic plasticity.
PMID- 21886188
TI - Telomeres: Fusing with RNF8.
PMID- 21886187
TI - Dynamic niches in the origination and differentiation of haematopoietic stem
cells.
AB - Haematopoietic stem cells (HSCs) are multipotent, self-renewing progenitors that
generate all mature blood cells. HSC function is tightly controlled to maintain
haematopoietic homeostasis, and this regulation relies on specialized cells and
factors that constitute the haematopoietic 'niche', or microenvironment. Recent
discoveries, aided in part by technological advances in in vivo imaging, have
engendered a new appreciation for the dynamic nature of the niche, identifying
novel cellular and acellular niche components and uncovering fluctuations in the
relative importance of these components over time. These new insights
significantly improve our understanding of haematopoiesis and raise fundamental
questions about what truly constitutes a stem cell niche.
PMID- 21886189
TI - Use of systemic steroid after successful macular surgery in eyes with epiretinal
membrane: a randomized, controlled clinical study.
AB - PURPOSE: To evaluate the functional and morphological outcomes of postoperative
systemic steroid therapy after successful macular surgery in eyes with macular
edema due to idiopathic macular epiretinal membranes (ERMs). DESIGN: Prospective,
randomized, investigator-masked, controlled clinical study. METHODS: Twenty-eight
patients scheduled for 23-gauge vitrectomy combined with ERM and inner limiting
membrane (ILM) peeling for macular edema due to ERM were included in this single
center trial. Patients were randomized to receive oral steroid therapy
(Prednisolone, 100 mg per day for 5 days) or no oral steroid (control group)
after surgery. Main outcome measures included best corrected visual acuity (BCVA;
Early Treatment Diabetic Retinopathy Study), central retinal thickness (CRT),
retinal volume (RV), and macular morphology as determined by spectral domain
optical coherence tomography (SD-OCT, Cirrus). Examinations were carried out
preoperatively and at week 1, at months 1 and 3, postoperatively. RESULTS: At
month 3, mean BCVA improved to a eight-letter gain in each study group (P<0.01
compared with baseline for both groups), showing no statistically significant
difference between both the groups (P=0.19). Morphologically, retinal surface
folds resolved within 1 month after surgery in both treatment groups, followed by
a progressive recovery of retinal layer integrity and a statistical significant
(P<0.01) decrease in CRT and RV without significant differences between both
groups (P=0.62, P=0.13, respectively, ANOVA between the groups). CONCLUSION: The
early postoperative use of systemic steroid treatment after successful vitrectomy
combined with ERM and ILM peeling does not seem to improve significantly the
anatomic and functional outcomes in eyes with ERM.
PMID- 21886190
TI - Mining the adenovirus virome for oncolytics against multiple solid tumor types.
AB - Although there are 55 serotypes of adenovirus (Ad) that infect humans, Ad
serotype 5 (Ad5) is the most widely studied because of the availability of
commercial kits for its genetic manipulation. In fact, engineered Ad 5 is
currently being used in all of the 87 global clinical trials utilizing Ad for the
treatment of cancer. Unfortunately, Ad5 is one of the most seroprevalent
serotypes, meaning that this virus has to confront additional immunological
barriers to be effective in Ad5-immune patients. In this work, we compare Ad5 to
13 other adenoviral serotypes from species B, C, D and E for oncolytic potential
in both immunodeficient mouse and immunocompetent hamster models. Our results
indicate that species D Ads are not effective oncolytics against most solid
tumors. Conversely, lower seroprevalent Ad6 and Ad11 had anti-cancer activity
comparable to Ad5. This work strongly supports the consideration of Ad6-based
oncolytic therapies for the treatment of breast, ovarian, kidney and liver
tumors.
PMID- 21886186
TI - Linking neural activity and molecular oscillations in the SCN.
AB - Neurons in the suprachiasmatic nucleus (SCN) function as part of a central timing
circuit that drives daily changes in our behaviour and underlying physiology. A
hallmark feature of SCN neuronal populations is that they are mostly electrically
silent during the night, start to fire action potentials near dawn and then
continue to generate action potentials with a slow and steady pace all day long.
Sets of currents are responsible for this daily rhythm, with the strongest
evidence for persistent Na(+) currents, L-type Ca(2+) currents, hyperpolarization
activated currents (I(H)), large-conductance Ca(2+) activated K(+) (BK) currents
and fast delayed rectifier (FDR) K(+) currents. These rhythms in electrical
activity are crucial for the function of the circadian timing system, including
the expression of clock genes, and decline with ageing and disease. This article
reviews our current understanding of the ionic and molecular mechanisms that
drive the rhythmic firing patterns in the SCN.
PMID- 21886193
TI - Self-referenced method for terahertz wave time-domain spectroscopy.
AB - The method allows retrieval of the absorbance of a sample without the need for a
reference measurement. The method measures the dynamic variation of frequency
resolution as the waveform is being acquired. In terahertz wave time-domain
spectroscopy, the frequency resolution increases as the temporal window
increases. Therefore, narrow absorption peaks will appear in the spectrum when
the temporal window is long enough to resolve the peak. By measuring the dynamic
values of each frequency component at specific points in time, a reference value
and a peak value are extracted and, hence, the self-referenced is achieved. In
addition, the method provides a mechanism to remove the effects of echoes, which
enables arbitrary temporal window length and, thus, achieves high-resolution
frequency. Examples of extraction of the water vapor lines and resonant features
in gas and semiconductors are demonstrated in transmission and reflection
geometries.
PMID- 21886191
TI - Vesicular stomatitis virus as a treatment for colorectal cancer.
AB - M protein mutant vesicular stomatitis virus is an attractive candidate oncolytic
virus for the treatment of metastatic colorectal cancer due to its ability to
kill cancer cells that are defective in their antiviral responses. The oncolytic
activity of recombinant wild-type and M protein mutant vesicular stomatitis
viruses was determined in RKO, Hct116 and LoVo colorectal cancer cells, as well
as in human fibroblast and hepatocyte primary cultures. RKO and Hct116 cells were
sensitive to both viruses, whereas LoVo cells were resistant. [(35)S]methionine
labeling experiments and viral plaque assays showed that sensitive and resistant
colorectal cancer cells supported viral protein and progeny production after
infection with either virus. Colorectal cancer cells were pretreated with beta
interferon and infected with vesicular stomatitis virus to evaluate the extent to
which interferon signaling is downregulated in colorectal cancer cells. Although
colorectal cancer cells retained some degree of interferon signaling, this
signaling did not negatively impact the oncolytic effects of either virus in
sensitive cells. Murine xenografts of RKO cells were effectively treated by
intratumoral injections with M protein mutant virus, whereas LoVo xenografts were
resistant to treatment with this virus. These results suggest that M protein
mutant vesicular stomatitis virus is a good candidate oncolytic virus for the
treatment of selected metastatic colorectal cancers.
PMID- 21886192
TI - Maskless laser tailoring of conical pillar arrays for antireflective biomimetic
surfaces.
AB - Herein, we report a facile approach for rapid and maskless production of
subwavelength structured antireflective surfaces with high and broadband
transmittance-direct laser interference ablation. The interfered laser beams were
introduced into the surface of a bare optical substrate, where structured
surfaces consisting of a micropillar array were produced by two-step laser
irradiation in the time frame of seconds. A multiple exposure of the two-beam
interference approach was proposed instead of multiple-beam interference to
simply realize planar patterns of a high aspect ratio. Tall sinusoidal pillars
were created and shaped by pulse shot number control. As an example of the
application, zinc sulfide substrates were processed with the technology, from
which high transmission at an infrared wavelength, over 92%, at normal incidence
was experimentally achieved.
PMID- 21886194
TI - Methods and evaluation of frequency aging in distributed-feedback laser diodes
for rubidium atomic clocks.
AB - Distributed-feedback laser diodes emitting at 780 nm have been evaluated, with
respect to the aging of the injection current required for reaching the rubidium
D2 resonance line. Results obtained for lasers operating in air and in vacuum for
9 months are reported. When operated at constant temperature, the laser current
required for emission at the wavelength of the desired atomic resonance is found
to decrease by 50 to 80 MUA per month. The impact of this result on the lifetime
and long-term performances of laser-pumped rubidium atomic clocks is discussed.
PMID- 21886195
TI - Demonstration of a directed optical decoder using two cascaded microring
resonators.
AB - We propose and demonstrate a directed optical decoder that can perform the
decoding function from a two-bit electrical signal to a four-bit optical signal
based on two cascaded microring resonators. We use two electrical signals
regarded as a two-bit electrical signal to modulate the two microring resonators
through the thermo-optic effect and four optical signals regarded as a four-bit
optical signal appear at the output ports, respectively. The device operating at
10 kbps is demonstrated.
PMID- 21886196
TI - Deciphering fluorescence signals by quantifying separately the excitation
intensity from the number of emitters.
AB - Conventional fluorescence detection is sensitive to an intricate product of the
number of fluorescent emitters times the local excitation intensity. Here, we
describe a method to locally quantify the excitation intensity and the number of
emitters separately, enabling a clear distinction between the phenomena
responsible for a given fluorescence signal. Our technique is based on harmonic
excitation modulation and higher-order fluorescence demodulation. It is
compatible with a wide range of observations, opening new characterization routes
for fluorescence detection or imaging on complex systems, such as plasmonic
substrates or scattering media.
PMID- 21886197
TI - Vernier-cascade label-free biosensor with integrated arrayed waveguide grating
for wavelength interrogation with low-cost broadband source.
AB - Recently, cheap silicon-on-insulator label-free biosensors have been demonstrated
that allow fast and accurate quantitative detection of biologically relevant
molecules for applications in medical diagnostics and drug development. However,
whereas the sensor chip can be made cheaply, an expensive tunable laser is
typically required to accurately monitor spectral shifts in the sensor's
transmission spectrum (wavelength interrogation). To address this issue, we
integrated a very sensitive Vernier-cascade sensor with an arrayed waveguide
grating spectral filter that divides the sensor's transmission spectrum in
multiple wavelength channels and transmits them to spatially separated output
ports, allowing wavelength interrogation with a much cheaper broadband light
source. Experiments show that this sensor can monitor refractive index changes of
watery solutions in real time with a detection limit (1.6.10(-5) RIU) competitive
with more expensive interrogation schemes, indicating its applicability in low
cost label-free biosensing. The relaxation on the complexity of the source,
moreover, offers the prospect to integrate the source and detectors to further
reduce the device cost and to increase its portability.
PMID- 21886198
TI - Spectral contrast imaging microscopy.
AB - We introduce a new technique, spectral contrast imaging microscopy (SCIM), for
super-resolution microscopic imaging. Based on a novel contrast mechanism that
encodes each local spatial frequency with a corresponding optical wavelength,
SCIM provides a real-time high-resolution spectral contrast microscopic image
with superior contrast. We show that two microscopic objects, separated by a
distance smaller than the diffraction limit of the optical system, can be
spatially resolved in the SCIM image as different colors. Results with numerical
simulation and experiments using a high-resolution United States Air Force target
are presented. The ability of SCIM for imaging biological cells is also
demonstrated.
PMID- 21886199
TI - Dielectric supported ring-shaped metal disks on a metal film for ultrasensitive
refractive index sensing.
AB - We experimentally report a magnetic plasmonic metamaterial, which is constructed
with a metal ring-shaped disk array supported by a dielectric layer on a metal
film for high sensitive refractive index sensing. An ultrasensitive refractive
index sensitivity of about 1842 nm per refractive index unit is achieved through
the reflection spectrum measurement. We attribute the high sensitivity to greatly
enhanced electric field intensity and its large spatial overlapping with the
surrounding medium to sense. The present plasmonic structure provides an
effective way for high sensitive chip-based biochemical sensors and integrated
devices.
PMID- 21886200
TI - Method for vector characterization of polar liquids using frequency-domain
spectroscopy.
AB - A device for performing vector transmission spectroscopy on aqueous and polar
solvent specimens at terahertz frequencies is presented. The device enables the
direct measurement of the complex dielectric function across the terahertz band
using a Fourier transform IR spectrometer for lossy solutions. Using microfluidic
sampling, specimen handling is straightforward and direct measurements on polar
specimens are made possible. The method is scalable to longer or shorter
wavelengths.
PMID- 21886201
TI - Direct determination of diffusion properties of random media from speckle
contrast.
AB - We present a simple scheme to determine the diffusion properties of a thin slab
of strongly scattering material by measuring the speckle contrast resulting from
the transmission of a femtosecond pulse with controlled bandwidth. In contrast
with previous methods, our scheme does not require time measurements nor
interferometry. It is well adapted to the characterization of samples for pulse
shaping, nonlinear excitation through scattering media, and biological imaging.
PMID- 21886202
TI - Average capacity of free-space optical systems for a partially coherent beam
propagating through non-Kolmogorov turbulence.
AB - The performance of partially coherent free-space optical links is investigated in
the moderate to strong fluctuation regime of non-Kolmogorov turbulence. The
expressions for large- and small-scale log-irradiance flux variance are obtained
in non-Kolmogorov turbulence. By employing the gamma-gamma distribution of
irradiance fluctuations, the effects of spatial coherence of the source, index of
non-Kolmogorov spectrum, and size of the receiver on channel capacity for
horizontal links are discussed. Results show that channel capacity presents
fluctuating behaviors with the variation of alpha for longer links and increases
for alpha values higher than 11/3.
PMID- 21886203
TI - Surface acoustic wave opto-mechanical oscillator and frequency comb generator.
AB - We report on realization of an efficient triply resonant coupling between two
long lived optical modes and a high frequency surface acoustic wave (SAW) mode of
the same monolithic crystalline whispering gallery mode resonator. The coupling
results in an opto-mechanical oscillation and generation of a monochromatic SAW.
A strong nonlinear interaction of this mechanical mode with other equidistant SAW
modes leads to mechanical hyperparametric oscillation and generation of a SAW
pulse train and associated frequency comb in the resonator. We visualized the
comb by observing the modulation of the light escaping the resonator.
PMID- 21886204
TI - Viscoelasticity imaging of biological tissues with phase-resolved photoacoustic
measurement.
AB - A method for noninvasive viscoelasticity imaging of biological tissues using
phase-resolved photoacoustic measurement is presented. We deduced the process of
photoacoustic effect on the basis of thermal viscoelasticity theory, and
established the relationship between the photoacoustic phase delay and the
viscosity-elasticity ratio for soft solids. Agar phantoms with different
densities and different absorption coefficients were used to verify the
dependence of photoacoustic phase-resolved viscoelasticity measurements.
Moreover, viscoelasticity imaging of tissues was obtained with a photoacoustic
point scanning system. The photoacoustic phase-resolved method provides a basis
for viscoelasticity imaging, which can potentially be used for detection of
viscoelastic properties and lesions of biological tissues.
PMID- 21886205
TI - Infrared suppression by hybrid EUV multilayer--IR etalon structures.
AB - We have developed a multilayer mirror for extreme UV (EUV) radiation (13.5 nm),
which has near-zero reflectance for IR line radiation (10.6 MUm). The EUV
reflecting multilayer is based on alternating B4C and Si layers. Substantial
transparency of these materials with respect to the IR radiation allowed the
integration of the multilayer coating in a resonant quarter-wave structure for
10.6 MUm. Samples were manufactured using magnetron sputtering deposition
technique and demonstrated suppression of the IR radiation by up to 3 orders of
magnitude. The EUV peak reflectance amounts 45% at 13.5 nm, with a bandwidth at
FWHM being 0.284 nm. Therefore such a mirror could replace conventional
multilayer mirrors to suppress undesired spectral components in monochromatic
imaging applications, including EUV photolithography.
PMID- 21886206
TI - Waveguide trapping of hollow glass spheres.
AB - Microparticles can be trapped and propelled by the evanescent field of optical
waveguides. As the evanescent field only stretches 100-200 nm from the surface of
the waveguide, only the lower caps of the microparticles interact directly with
the field. This is taken advantage of by trapping hollow glass spheres on
waveguides in the same way as solid glass spheres. For the chosen waveguide,
numerical simulations show that hollow microspheres with a shell thickness above
60 nm can be stably trapped, while spheres with thinner shells are repelled. The
average refractive index of the sphere-field intersection volume is used to
explain the result in a qualitative way.
PMID- 21886207
TI - Nanofiltering via integrated liquid core waveguides.
AB - We demonstrate and describe how nanoporous liquid core waveguides can exclude
scattering particles, making them an ideal integrated platform for analysis of
turbid solutions. Milk with 0.5% fat showed an optical propagation loss of 0.05
dB/mm at 633 nm in nanoporous waveguides compared to the 10.6 dB/mm loss in
standard cuvette measurements. To examine the nanofiltering effect, waveguides
were infiltrated with solutions containing Rhodamine B molecules (1 nm) and 22 nm
red fluorescing polystyrene beads. With fluorescence spectroscopy we show that 22
nm beads are excluded, while Rhodamine B molecules penetrate the waveguides. This
is further confirmed by fluorescence microscopy, also revealing a homogenous
distribution of Rhodamine in the waveguide volume.
PMID- 21886208
TI - Femtosecond and nanosecond laser fabricated substrate for surface-enhanced Raman
scattering.
AB - We report a simple and repeatable method for fabricating a large-area substrate
for surface-enhanced Raman scattering. The substrate was processed by three
steps: (i) femtosecond (fs) laser micromachining and roughening, (ii) thin-film
coating, and (iii) nanosecond laser heating and melting. Numerous gold
nanoparticles of various sizes were created on the surface of the silicon
substrate. The 3D micro-/nanostructures generated by the fs laser provide greater
surface areas with more nanoparticles leading to 2 orders of magnitude higher of
the enhancement factor than in the case of a flat substrate. Using an He-Ne laser
with a 632.8 nm excitation wavelength, the surface-enhanced Raman scattering
enhancement factor for Rhodamine 6G was measured up to 2*10(7).
PMID- 21886209
TI - Quasi-monochromatic bound on ultrashort light-pulse transmission through fog.
AB - The use of ultrashort (femtosecond duration) light pulses for line-of-sight free
space optical (FSO) communication through fog is receiving increasing attention.
Assuming that the transmitter power is low enough to preclude nonlinear
interactions, and that scattering-induced multipath spread is less than the
reciprocal of the scattering-induced Doppler spread, it is shown that the average
transmitter-to-receiver fractional energy transfer of an ultrafast FSO system
cannot exceed that of a quasimonochromatic (nanosecond pulse duration) system
operating at the optimum wavelength within the ultrafast system's spectrum. Thus,
an ultrashort-pulse system is not a solution for high-data-rate FSO communication
through fog, because, at best, it will reproduce on average the energy-transfer
performance of a wavelength-optimized quasimonochromatic system.
PMID- 21886210
TI - Optical properties of a planar metamaterial with chiral symmetry breaking.
AB - The optical properties of a planar metamaterial with gammadion-shaped chiral
symmetry breaking holes array have been investigated both theoretically and
experimentally. The results indicate that the introduction of the chiral symmetry
breaking causes the split of the transmission peak and exerts large influence on
the optical rotation and circular dichroism. Our metamaterials might have
potential applications in future design of plasmonic devices.
PMID- 21886211
TI - Mode-filtered large-core fiber for short-pulse delivery with reduced nonlinear
effects.
AB - We present a large-core fiber (LCF) with a reduced nonlinear property for a
single-mode beam delivery of intense ultrashort pulses. A tapered-fiber mode
filter was fabricated in an LCF with the core diameter decreased from 20 MUm to 6
MUm at the tapered waist region surrounded by index-matched liquid. By the
tapered geometry, the high-order mode was rejected so that our mode-filtered LCF
acted as a single-mode fiber despite the multimode property of the original LCF.
It has been found that this fiber class is suitable for applications, such as an
endoscopic multiphoton microscope, that demand a flexible short-distance (<4 m)
delivery medium of ultrashort pulses.
PMID- 21886212
TI - Conditions for practicing compressive Fresnel holography.
AB - Recent works have applied diffraction-based wave propagation for compressive
imaging applications. In this Letter, we derive the theoretical bounds on the
performance of compressive imaging systems based on Fresnel wave propagation, and
we show that it is related to the imaging sensor's physical attributes,
illumination wavelength, and working distance.
PMID- 21886213
TI - Crucial role of the emitter-particle distance on the directivity of optical
antennas.
AB - We demonstrate that the reflecting properties of a single particle nanoantenna
can be extremely sensitive to its distance from a quantum emitter at frequencies
lower than the plasmon resonance. The phenomenon is shown to arise from rapid
phase variations of the emitter field at short distances associated with a phase
of the antenna particle polarizability lower than pi/4.
PMID- 21886214
TI - Efficient second-harmonic generation in nonlinear plasmonic waveguide.
AB - We theoretically studied a nonlinear optical process in a hybrid plasmonic
waveguide composed of a nonlinear dielectric waveguide and a metal film with a
separation of a thin air gap. Owing to the hybridization effect of guided mode
and surface plasmon polariton mode, this particular waveguide is able to confine
the optical-field in a deep subwavelength scale together with low propagation
loss. Based on this, efficient second-harmonic generations (SHG) were revealed at
the fundamental wavelength of lambda=1.55 MUm with good field confinement. The
SHG efficiency, as well as the coupling coefficient and mode area, were analyzed
and discussed in detail with respect to the structural parameters.
PMID- 21886215
TI - Dispersion relation for surface plasmon polaritons in metal/nonlinear
dielectric/metal slot waveguides.
AB - We present the first (to our knowledge) exact dispersion relation for the
transverse-magnetic surface plasmon polariton (SPP) modes of a plasmonic slot
waveguide, which is formed by a nonlinear Kerr medium sandwiched between two
metallic slabs. The obtained relation is then simplified to the case of small
field intensities, while retaining nonlinear terms, to derive approximate
dispersion equations for the symmetric and antisymmetric SPP modes.
PMID- 21886216
TI - Interdigitated coplanar electrodes for enhanced sensitivity in a photorefractive
polymer.
AB - Organic photorefractive polymer composites can be made to exhibit near 100%
diffraction efficiency and fast writing times, though large external slants are
needed to project the applied field onto the grating vector. We show here that
the use of interdigitated electrodes on a single plane provides similar
performance to these standard devices and geometries but without a external slant
angle. This new device's structure also greatly improves the diffraction
efficiency and sensitivity compared to less slanted standard devices necessary
for some real applications, such as holographic displays, optical coherence
imaging, and in-plane switching.
PMID- 21886217
TI - Higher-order interference of low-coherence optical fiber sensors.
AB - The higher-order interference noise that is caused by multireflection at the
fiber sensor's end surface in low-coherence fiber sensor array is proposed. The
generation of the higher-order interference noise and its quantity and amplitude
are theoretically analyzed. The second-order interference noises are
experimentally demonstrated. The results show that the second-order noises arise
in any sensor array composed of more than two sensors and the number of the
second-order peaks is proportional to the third power of the sensor's number. The
ratio of the amplitude of the second-order noise to that of the signal peak is
proportional to the reflectivity of the sensor's end surface. In a sensor array,
when the reflectivity is more than 10(-5), the amplitude of the second-order
noise is higher than other noises and it becomes a main factor that determines
the signal-to-noise ratio of the sensor arrays. Therefore, reducing the higher
order interference noise can improve the multiplexing capacity of the sensor
array.
PMID- 21886218
TI - Fiber amplification of pulse bursts up to 20 MUJ pulse energy at 1 kHz repetition
rate.
AB - We demonstrate burst-mode operation of a polarization-maintaining Yb-doped fiber
amplifier. Groups of pulses with a temporal spacing of 10 ns and 1 kHz overall
repetition rate are amplified to an average pulse energy of ~20 MUJ and total
burst energy of 0.25 mJ. The pulses are externally compressed to ~400 fs. The
amplifier is synchronously pulsed-pumped to minimize amplified spontaneous
emission between the bursts. We characterize the influence of pump pulse
duration, pump-to-signal delay, and signal burst length.
PMID- 21886219
TI - Phase characterization of the reflection on an extreme UV multilayer: comparison
between attosecond metrology and standing wave measurements.
AB - We characterize the phase shift induced by reflection on a multilayer mirror in
the extreme UV range (80-93 eV) using two techniques: one based on high order
harmonic generation and attosecond metrology (reconstruction of attosecond
beating by interference of two-photon transitions), and a second based on
synchrotron radiation and measurements of standing waves (total electron yield).
We find an excellent agreement between the results from the two measurements and
a flat group delay shift (+/-40 as) over the main reflectivity peak of the
mirror.
PMID- 21886220
TI - Adaptive optics microscopy with direct wavefront sensing using fluorescent
protein guide stars.
AB - We introduce a direct wavefront sensing method using structures labeled with
fluorescent proteins in tissues as guide stars. An adaptive optics confocal
microscope using this method is demonstrated for imaging of mouse brain tissue. A
dendrite and a cell body of a neuron labeled with yellow fluorescent protein are
tested as guide stars without injection of other fluorescent labels.
Photobleaching effects are also analyzed. The results shows increased image
contrast and 3* improvement in the signal intensity for fixed mouse tissues at
depths of 70 MUm.
PMID- 21886221
TI - Fully distributed fiber-optic temperature sensing using acoustically-induced
rocking grating.
AB - A highly sensitive fully distributed fiber-optic temperature sensing technique is
proposed and demonstrated based on a transient and traveling rocking grating. The
rocking grating is generated by pulsed acoustic torsional waves propagating along
the fiber. The measured temperature sensitivity is 1000 ppm/ degrees C and is
experimentally demonstrated mainly due to the temperature dependence of the fiber
birefringence. This traveling rocking grating based sensing technique may also
serve other fully-distributed sensing applications by using specially designed
fibers.
PMID- 21886222
TI - Direct laser writing of near-IR step-index buried channel waveguides in rare
earth doped YAG.
AB - A new (to our knowledge) ultrashort laser pulse irradiation regime that allows us
to directly modify and increase the refractive index of rare earth doped YAG
polycrystalline ceramics has been identified. Single-mode buried channel
waveguides in both Ho:YAG and Er:YAG ceramics at the near-IR wavelengths of 1.55
MUm and 1.95 MUm are demonstrated by fabricating positive square step-index
cores. Minimum propagation losses of 1.5 dB cm(-1) at a 1.51 MUm wavelength have
been preliminarily obtained. Confocal microluminescence mapping reveals that the
increased refractive index regions retain the near-IR spectral properties of Er3+
ions in the YAG crystalline matrix.
PMID- 21886223
TI - Octave-spanning frequency comb generation in a silicon nitride chip.
AB - We demonstrate a frequency comb spanning an octave via the parametric process of
cascaded four-wave mixing in a monolithic, high-Q silicon nitride microring
resonator. The comb is generated from a single-frequency pump laser at 1562 nm
and spans 128 THz with a spacing of 226 GHz, which can be tuned slightly with the
pump power. In addition, we investigate the RF amplitude noise characteristics of
the parametric comb and find that the comb can operate in a low-noise state with
a 30 dB reduction in noise as the pump frequency is tuned into the cavity
resonance.
PMID- 21886224
TI - Direct imaging of localized surface plasmon polaritons.
AB - In this Letter, we report on dark field imaging of localized surface plasmon
polaritons (SPPs) in plasmonic waveguiding bands formed by plasmonic coupled
cavities. We image the light scattered from SPPs in the plasmonic cavities
excited by a tunable light source. Tuning the excitation wavelength, we measure
the localization and dispersion of the plasmonic cavity mode. Dark field imaging
has been achieved in the Kretschmann configuration using a supercontinuum white
light laser equipped with an acoustooptic tunable filter. Polarization dependent
spectroscopic reflection and dark field imaging measurements are correlated and
found to be in agreement with finite-difference time-domain calculations.
PMID- 21886225
TI - Spatial coherence of random laser emission.
AB - We experimentally studied the spatial coherence of random laser emission from dye
solutions containing nanoparticles. The spatial coherence, measured in a double
slit experiment, varied significantly with the density of scatterers and the size
and shape of the excitation volume. A qualitative explanation is provided,
illustrating the dramatic difference from the spatial coherence of a conventional
laser. This work demonstrates that random lasers can be controlled to provide
intense, spatially incoherent emission for applications in which spatial cross
talk or speckle limit performance.
PMID- 21886226
TI - Jaynes-Cummings photonic superlattices.
AB - A classical realization of the Jaynes-Cummings (JC) model, describing the
interaction of a two-level atom with a quantized cavity mode, is proposed based
on light transport in engineered waveguide superlattices. The optical setting
enables us to visualize in Fock space dynamical regimes not yet accessible in
quantum systems, providing new physical insights into the deep strong coupling
regime of the JC model. In particular, bouncing of photon number wave packets in
Hilbert space and revivals of populations are explained as generalized Bloch
oscillations in an inhomogeneous tight-binding lattice.
PMID- 21886227
TI - Near-field spatial correlations from partially coherent homogeneous planar
sources: effects on surface wave excitation.
AB - We show that an increase of the coherence length of a statistically homogeneous
planar source diminishes the contribution of surface waves to the spatial
coherence of the near field, as well as producing changes in the enhancement of
the near-field spectrum.
PMID- 21886228
TI - Slow-light enhanced correlated photon pair generation in a silicon photonic
crystal waveguide.
AB - We report the generation of correlated photon pairs in the telecom C-band at room
temperature from a dispersion-engineered silicon photonic crystal waveguide. The
spontaneous four-wave mixing process producing the photon pairs is enhanced by
slow-light propagation enabling an active device length of less than 100 MUm.
With a coincidence to accidental ratio of 12.8 at a pair generation rate of 0.006
per pulse, this ultracompact photon pair source paves the way toward scalable
quantum information processing realized on-chip.
PMID- 21886229
TI - Theoretical study on photonic devices based on a commensurate two-pattern
photonic crystal.
AB - In two-dimensional optical chip applications, the optical wave is classified to
two modes according to its polarization: TE and TM. It is desirable to integrate
multiple optical wave control devices for different polarizations onto the same
plane at the scale of the wavelength. Here we demonstrate a periodic two-pattern
photonic crystal with a large, complete photonic bandgap (PBG). It comes from the
superposition of two substructures: one contributes the TM PBG and the other
contributes the TE PBG. By purposely introducing defects into the substructures,
photonic devices for different polarizations can be integrated to bend, split,
and resonate TM/TE waves simultaneously on the same plane.
PMID- 21886230
TI - Aerosol lenses propagation model.
AB - We propose a model based on the properties of cascading lenses modulation
transfer function (MTF) to reproduce the irradiance of a screen illuminated
through a dense aerosol cloud. In this model, the aerosol cloud is broken into
multiple thin layers considered as individual lenses. The screen irradiance
generated by these individual layers is equivalent to the point-spread function
(PSF) of each aerosol lens. Taking the Fourier transform of the PSF as a MTF, we
cascade the lenses MTF to find the cloud MTF. The screen irradiance is found with
the Fourier transform of this MTF. We show the derivation of the model and we
compare the results with the Undique Monte Carlo simulator for four aerosols at
three optical depths. The model is in agreement with the Monte Carlo for all the
cases tested.
PMID- 21886231
TI - Design of a symmetric blazed grating sheet embedded in an autostereoscopic
display.
AB - This study proposes a diffractive autostereoscopic display technology that
utilizes blazed grating embedded in the liquid crystal panel to deliver a stereo
image pair to both eyes. Having the diffractive red green blue beams as the color
source of the panel, color filters are no longer required in this system. From
the simulation analyses, not only could the brightness achieve 77.90%, but no
serious chromatic aberration or cross talk appeared.
PMID- 21886232
TI - Fiber-optic sensor for liquid level measurement.
AB - A novel (to the best of our knowledge) liquid level sensor based on multimode
interference (MMI) effects is proposed and demonstrated. By using a multimode
fiber (MMF) without cladding, known as no-core fiber, liquids around the MMF
modify the self-imaging properties of the MMI device and the liquid level can be
detected. We show that the sensor exhibits a highly linear response with the
sensing range and multiplexed operations easily controlled by just modifying the
length of the no-core fiber. At the same time, we can measure the refractive
index of the liquid based on the maximum peak wavelength shift. We can also use
the sensor for continuous and discrete liquid level sensing applications, thus
providing a liquid level sensor that is inexpensive with a very simple
fabrication process.
PMID- 21886233
TI - Single-pass high-harmonic generation at 20.8 MHz repetition rate.
AB - We report on single-pass high-harmonic generation (HHG) with amplified driving
laser pulses at a repetition rate of 20.8 MHz. An Yb:YAG Innoslab amplifier
system provides 35 fs pulses with 20 W average power at 1030 nm after external
pulse compression. Following tight focusing into a xenon gas jet, we observe the
generation of high-harmonic radiation of up to the seventeenth order. Our results
show that state-of-the-art amplifier systems have become a promising alternative
to cavity-assisted HHG for applications that require high repetition rates, such
as frequency comb spectroscopy in the extreme UV.
PMID- 21886234
TI - Tunable nonlinear absorption of hydrogenated nanocrystalline silicon.
AB - Nonlinear absorption (NLA) of hydrogenated nanocrystalline silicon (nc-Si:H) has
been investigated through the open aperture Z-scan method for the photon energy
of the incident irradiance slightly less than the bandgap of the sample. NLA
responses have been observed to be highly sensitive to the wavelength and
intensity of the incident irradiance as well as to the bandgap of the sample,
indicating greatly tunable NLA of nc-Si:H. The band tail of nc-Si:H appears to
play a crucial role in such NLA responses.
PMID- 21886235
TI - Deformable two-dimensional photonic crystal slab for cavity optomechanics.
AB - We have designed photonic crystal suspended membranes with optimized optical and
mechanical properties for cavity optomechanics. Such resonators sustain vibration
modes in the megahertz range with quality factors of a few thousand. Thanks to a
two-dimensional square lattice of holes, their reflectivity at normal incidence
at 1064 nm reaches values as high as 95%. These two features, combined with the
very low mass of the membrane, open the way to the use of such periodic
structures as deformable end mirrors in Fabry-Perot cavities for the
investigation of cavity optomechanical effects.
PMID- 21886236
TI - Tunable third-harmonic generation in a solid-core tellurite glass fiber.
AB - A solid-core tellurite glass fiber with 1.8 dB/m loss at 1.55 MUm was made by
using the built-in casting preform fabrication method and rod-in-tube fiber
drawing technique. Pumping a 10 cm fiber piece with picosecond pulses of 3
5*10(12) W/cm(2), 0.1% of the fundamental power limited by the coherence length
of 0.3-5 MUm was converted into visible third-harmonic power tunable over a broad
near-IR wavelength ranging from 1500 to 1680 nm. Frequency conversion from the
mid-IR to near-IR was found to be even more efficient due to the longer coherence
lengths of 12-20 MUm in the wavelength range of 2200-2500 nm.
PMID- 21886237
TI - Modeling of near-field optical diffraction from a subwavelength aperture in a
thin conducting film.
AB - A theoretical model, novel to our knowledge, to investigate the near-field
optical diffraction from a subwavelength aperture in a thin conducting film is
presented. A governing equation for the magnetic field distribution in an optical
thin film based on the power flow theorem is derived for the first time. Thus all
of the components of the electric and magnetic fields inside or outside the thin
film with a subwavelength aperture embedded can be obtained by applying the
Hankel transform accurately. Numerical computations are performed to illustrate
the edge effect by an enhancement factor of 2.2 and the depolarization phenomenon
of the transmission in terms of the distance from the film surface.
PMID- 21886238
TI - Enhancing the linear absorption and tuning the nonlinearity of TiO2 nanowires
through the incorporation of Ag nanoparticles.
AB - We report on the enhanced linear absorption and modified nonlinear absorption of
TiO2 nanowires coated with Ag nanoparticles. Experimental results indicated that
the coated Ag nanoparticles significantly increased the linear absorption of the
nanostructures in the wavelength range of visible light. Z-scan experiments
showed that when the excitation energy increased, the nonlinear absorption of the
TiO2 nanowires changed from reverse-saturable absorption to saturable absorption.
When Ag nanoparticles were coated on the TiO2 nanowires, the reverse-saturable
absorption was significantly inhibited. The as-prepared nanostructures may find
potential applications in the field of solar cells and all-optical switching.
PMID- 21886239
TI - Spectral method for characterization of avalanche photodiode working as single
photon detector.
AB - In this Letter, a new method for avalanche photodiode characterization, based on
the spectral analysis of the photocurrent produced during an avalanche, is
proposed. The theory is developed, and an experimental characterization of an
avalanche photodiode working in the Geiger mode with CW laser is performed.
PMID- 21886240
TI - All-optical probing of the nonlinear acoustics of a crack.
AB - Experiments with an all-optical method for the study of the nonlinear acoustics
of cracks in solids are reported. Nonlinear acoustic waves are initiated by the
absorption of radiation from a pair of laser beams intensity modulated at two
different frequencies. The detection of acoustic waves at mixed frequencies,
absent in the frequency spectrum of the heating lasers, by optical interferometry
or deflectometry provides unambiguous evidence of the elastic nonlinearity of the
crack. The high contrast in crack imaging achieved by remote optical monitoring
of the nonlinear acoustic processes is due to the strong dependence of the
efficiency of optoacoustic conversion on the state of the crack. The highest
acoustic nonlinearity is observed in the transitional state of the crack, which
is intermediate between the open and the closed ones.
PMID- 21886241
TI - Excitation and interrogation of whispering-gallery modes in optical
microresonators using a single fused-tapered fiber tip.
AB - We show that whispering-gallery modes (WGMs) in optical microresonators can be
excited and detected using a fused-tapered fiber tip (FTFT). The fabrication of
FTFTs is simple and inexpensive; they are robust and allow the excitation and
interrogation of the resonances with a single fiber. Excitation of high-Q WGMs in
silica microcylinders and microspheres is demonstrated.
PMID- 21886242
TI - Unifying intensity noise and second-order coherence properties of amplified
spontaneous emission sources.
AB - We present joint investigations of relative intensity noise (RIN) and second
order coherence properties of amplified spontaneous emission (ASE) generated by a
superluminescent diode. We introduce a generalized intensity noise description
for ASE sources that contains the shot noise contribution but also accounts for
first- and second-order coherence properties reflecting the process of light
generation. We find excellent agreement between pump-current-dependent RIN values
and this new description, with the perspective of particular interesting
consequences for the realization of low-noise broadband emitters.
PMID- 21886243
TI - Demonstration of soft x-ray laser of Ne-like Ar at 69.8 nm pumped by capillary
discharge.
AB - The demonstration of a 69.8 nm laser on 3p 3P2 - 3s 1P1 (J=2-1) transition of Ne
like Ar pumped by capillary discharge is reported in this Letter. A main current
of 12 kA with rise time of 43 ns was chosen to generate the plasma in a 35 cm
long capillary filling with pressure of Ar as low as 11 Pa, resulting in a gain
coefficient of 0.34 cm(-1) and gain-length product of 11 at 69.8 nm. Also
observed is a weak laser on 3p 3D2 - 3s 3P1 (J=2-1) transition of Ne-like Ar at
72.6 nm in the same condition. In addition, multiwavelength lasing at 46.9, 69.8,
and 72.6 nm was simultaneously realized in a capillary discharge plasma column,
conditioning the pressure of Ar to 13 Pa.
PMID- 21886244
TI - Optimal design for hollow fiber inner-coated by dielectric layers with surface
roughness.
AB - We report the optimal design for hollow fiber inner-coated with metallic and
multidielectric layers by using ray-optics theory. Transmission characteristics
of the multilayer hollow fiber are more dependent on the film surface roughness
in the IR region. Comparisons of fibers with smooth and rough films are made and
discussed in detail. The optimal design for film thickness, inner radius, and the
number of layers and refractive indices is presented. The calculation results are
important for structure design, material selection, and fabrication when
considering imperfections in film-coating techniques. Multilayer fibers are
fabricated based on the calculation and experimental results agree with the
theoretical ones.
PMID- 21886245
TI - Micromirror with large-tilting angle using Fe-based metallic glass.
AB - For enhancing the micromirror properties like tilting angle and stability during
actuation, Fe-based metallic glass (MG) was applied for torsion bar material. A
micromirror with mirror-plate diameter of 900 MUm and torsion bar dimensions
length 250 MUm, width 30 MUm and thickness 2.5 MUm was chosen for the tilting
angle tests, which were performed by permanent magnets and electromagnet setup.
An extremely large tilting angle of over -270 degrees was obtained from an
activation test by permanent magnet that has approximately 0.2 T of magnetic
strength. A large mechanical tilting angle of over -70 degrees was obtained by
applying approximately 1.1 mT to the mirror when 93 mAwas applied to solenoid
setup. The large-tilting angle of the micromirror is due to the torsion bar,
which was fabricated with Fe-based MG thin film that has large elastic strain
limit, fracture toughness, and excellent magnetic property.
PMID- 21886246
TI - High-efficiency frequency doubling of continuous-wave laser light.
AB - We report on the observation of high-efficiency frequency doubling of 1550 nm
continuous-wave laser light in a nonlinear cavity containing a periodically poled
potassium titanyl phosphate crystal (PPKTP). The fundamental field had a power of
1.10 W and was converted into 1.05 W at 775 nm, yielding a total external
conversion efficiency of 95+/-1%. The latter value is based on the measured
depletion of the fundamental field being consistent with the absolute values
derived from numerical simulations. According to our model, the conversion
efficiency achieved was limited by the nonperfect mode matching into the
nonlinear cavity and by the nonperfect impedance matching for the maximum input
power available. Our result shows that cavity-assisted frequency conversion based
on PPKTP is well suited for low-decoherence frequency conversion of quantum
states of light.
PMID- 21886247
TI - Solitons in geometric potentials.
AB - We show that the geometrically induced potential existing in undulated slab
waveguides dramatically affects the properties of solitons. In particular,
whereas solitons residing in the potential maxima do not feature power thresholds
and are stable, their counterparts residing in the potential minima are unstable
and may exhibit a power threshold for their existence. Additionally, the
geometric potential is shown to support stable multipole solitons that cannot be
supported by straight waveguides. Finally, the geometric potential results in the
appearance of the effective barriers that prevent transverse soliton motion.
PMID- 21886248
TI - Optical switching and detection of 640 Gbits/s optical time-division multiplexed
data packets transmitted over 50 km of fiber.
AB - We demonstrate 1*4 optical-packet switching with error-free transmission of 640
Gbits/s single-wavelength optical time-division multiplexed data packets
including clock distribution and short pulse generation for optical time
demultiplexing based on a cavityless pulse source.
PMID- 21886249
TI - Polarization insensitive, broadband terahertz metamaterial absorber.
AB - We present the simulation, implementation, and measurement of a polarization
insensitive broadband resonant terahertz metamaterial absorber. By stacking metal
insulator layers with differing structural dimensions, three closely positioned
resonant peaks are merged into one broadband absorption spectrum. Greater than
60% absorption is obtained across a frequency range of 1.86 THz where the central
resonance frequency is 5 THz. The FWHM of the device is 48%, which is two and
half times greater than the FWHM of a single layer structure. Such metamaterials
are promising candidates as absorbing elements for bolometric terahertz imaging.
PMID- 21886250
TI - Nondiffracting transversally polarized beam.
AB - Generation of a nondiffracting transversally polarized beam by means of
transmitting an azimuthally polarized beam through a multibelt spiral phase
hologram and then highly focusing by a high-NA lens is presented. A relatively
long depth of focus (~4.84lambda) of the electric field with only radial and
azimuthal components is achieved. The polarization of the wavefront near the
focal plane is analyzed in detail by calculating the Stokes polarization
parameters. It is found that the polarization is spatially varying and entirely
transversally polarized, and the polarization singularity disappears at the beam
center, which makes the central bright channel possible.
PMID- 21886251
TI - Simplified expressions of the T-matrix integrals for electromagnetic scattering.
AB - The extended boundary condition method, also called the null-field method,
provides a semianalytic solution to the problem of electromagnetic scattering by
a particle by constructing a transition matrix (T-matrix) that links the
scattered field to the incident field. This approach requires the computation of
specific integrals over the particle surface, which are typically evaluated
numerically. We introduce here a new set of simplified expressions for these
integrals in the commonly studied case of axisymmetric particles. Simplifications
are obtained using the differentiation properties of the radial functions
(spherical Bessel) and angular functions (associated Legendre functions) and
integrations by parts. The resulting simplified expressions not only lead to
faster computations, but also reduce the risks of loss of precision and provide a
simpler framework for further analytical work.
PMID- 21886252
TI - Two-step interferometry by a regularized optical flow algorithm.
AB - A two-step phase-shifting method, that can demodulate open- and closed-fringed
patterns without local sign ambiguity is presented. The proposed method only
requires a constant phase-shift between the two interferograms. This phase-shift
does not need to be known and can take any value inside the range (0, 2pi),
excluding the singular case where it corresponds to pi. The proposed method is
based on determining first the fringe direction map by a regularized optical flow
algorithm. After that, we apply the spiral phase transform (SPT) to one of the
fringe patterns and we determine its quadrature signal using the previously
determined direction. The proposed technique has been applied to simulated and
experimental interferograms obtaining satisfactory results. A complete MATLAB
software package is provided in [http://goo.gl/Snnz7].
PMID- 21886253
TI - Bottle microresonator with actively stabilized evanescent coupling.
AB - The evanescent coupling of light between a whispering-gallery-mode bottle
microresonator and a subwavelength-diameter coupling fiber is actively stabilized
by means of the Pound-Drever-Hall technique. We demonstrate the stabilization of
a critically coupled resonator with a control bandwidth of 0.1 Hz, yielding a
residual transmission of (9+/-3)*10(-3) for more than an hour. Simultaneously,
the frequency of the resonator mode is actively stabilized.
PMID- 21886254
TI - Real-time digital holographic microscopy of multiple and arbitrarily oriented
planes.
AB - Digital holographic microscopy is used to numerically refocus a recorded hologram
at an arbitrary axial distance. However, as a straightforward property of
coherent light fields, image reconstruction on an arbitrary tilted plane could be
directly obtained by a rotation in k-space. We demonstrate that this property
allows the real-time microscopic inspection of particle distribution over three
mutually orthogonal planes at the same time. As a straightforward application we
use the proposed technique for real-time monitoring of fluid flow over the three
cross sections of a microfluidic channel.
PMID- 21886255
TI - Compensation of phase-to-amplitude modulation conversion in a complete frequency
conversion system with an all-fiber system.
AB - We report on an experimental demonstration of linear precompensation of nonlinear
phase and amplitude transfer functions. We show the effective compensation with a
linear all-fiber system of phase-to-amplitude modulation conversion due to a
complete frequency conversion system including plane gratings and a nonlinear
crystal.
PMID- 21886256
TI - Impact of unpredictability on chaos synchronization of vertical-cavity surface
emitting lasers with variable-polarization optical feedback.
AB - The effects of unpredictability degree on the chaos synchronization properties of
vertical-cavity surface-emitting lasers with variable-polarization optical
feedback are investigated numerically. For variable-polarization optical
injection, only low-unpredictability chaos can be well synchronized, while high
unpredictability chaos cannot be synchronized even with large injection strength.
On the other hand, for the polarization-preserved optical injection, the
synchronization quality is hardly affected by the unpredictability degree, and
high-quality synchronization can be achieved for both low- and high
unpredictability chaos due to injection locking.
PMID- 21886257
TI - Numerical investigation on the enhanced carrier collection efficiency of Ga-face
GaN/InGaN p-i-n solar cells with polarization compensation interlayers.
AB - The impact of the polarization compensation InGaN interlayer between the
heterolayers of Ga-face GaN/InGaN p-i-n solar cells is investigated numerically.
Because of the enhancement of carrier collection efficiency, the conversion
efficiency is improved markedly, which can be ascribed to both the reduction of
the polarization-induced electric field in the InGaN absorption layer and the
mitigation of potential barriers at heterojunctions. This beneficial effect is
more remarkable in situations with higher polarization, such as devices with a
lower degree of relaxation or devices with a higher indium composition in the
InGaN absorption layer.
PMID- 21886258
TI - Three-dimensional in vivo scanning microscopy with inertia-free focus control.
AB - The acquisition of high-resolution images in three dimensions is of utmost
importance for the morphological and functional investigation of biological
tissues. Here, we present a laser scanning two-photon microscope with remote and
motionless control of the focus position. The movement of the excitation spot
along the propagation direction is achieved by shaping the laser wavefront with a
spatial light modulator. Depending on the optical properties of the objective in
use, this approach allows z movements in a range of tens to hundreds of
micrometers with small changes of the point spread function. We applied this
technique for the three-dimensional (3D) imaging of fluorescent cells in the
mouse neocortex in vivo. The presented system bypasses the limitations of
microscopes based on moving objectives, enabling high-resolution inertia-free 3D
imaging.
PMID- 21886259
TI - Selective polarization mode excitation in InGaAs/GaAs microtubes.
AB - We report on selective polarization mode excitation in InGaAs/GaAs rolled-up
microtubes. The microtubes are fabricated by selectively releasing a coherently
strained InGaAs/GaAs quantum dot layer from its host GaAs substrate. An optical
fiber abrupt taper is used to pick up the microtube, while an adiabatically
tapered optical fiber is used to couple light into the resonant optical modes of
the microtube. By varying the polarization of the light in the adiabatically
tapered fiber both transverse electric and transverse magnetic modes are observed
in the microtube. We also show that the microtube can be used as a red (0.6 MUm)
to infrared light (1.5 MUm) optical-optical modulator taking advantage of the
thermal-optical effect.
PMID- 21886260
TI - Temporal differentiation of optical signals using resonant gratings.
AB - We study theoretically the possibility of performing temporal differentiation of
optical signals using a resonant diffraction grating. We demonstrate that the
resonant grating allows the calculation of the first-order derivative of an
optical signal envelope in the vicinity of waveguide resonant frequencies in the
zeroth transmitted diffraction order. The grating is shown to allow the
calculation of the fractional derivative of order 1/2 in the vicinity of Rayleigh
Wood anomalies. Numerical simulations based on the rigorous coupled-wave analysis
of Maxwell's equations demonstrate the high-quality differentiation of optical
signals with temporal features in the picosecond range.
PMID- 21886261
TI - Sculptured 3D twister superlattices embedded with tunable vortex spirals.
AB - We present diverse reconfigurable complex 3D twister vortex superlattice
structures in a large area embedded with tunable vortex spirals as well as dark
rings, threaded by vortex helices. We demonstrate these tunable complex chiral
vortex superlattices by the superposition of relatively phase engineered plane
waves. The generated complex 3D twister lattice vortex structures are
computationally as well as experimentally analyzed using various tools to verify
the presence of phase singularities. Our observation indicates the application
specific flexibility of our approach to tailor the transverse superlattice
spatial irradiance profile of these longitudinally whirling vortex-cluster units
and dark rings.
PMID- 21886262
TI - Optical bistability in GaInAsP/InP coupled-circular resonator microlasers.
AB - Optical bistability is realized in GaInAsP/InP coupled-circular resonator
microlasers, which are fabricated by planar technology. For a coupled-circular
resonator microlaser with the radius of 20 MUm and a 2 MUm-wide bus waveguide,
hysteresis loops are observed for the output power coupling into an optical fiber
versus the cw injection current at room temperature. The laser output spectra of
the upper and lower states of the hysteresis loop indicate that the bistability
is related to mode competitions. The optical bistability can be explained as the
mode competition between the symmetry and antisymmetry coupled modes relative to
the bus waveguide.
PMID- 21886263
TI - Tunable chirped microwave photonic filter employing a dispersive Mach-Zehnder
structure.
AB - A Mach-Zehnder structure with modulation in one arm and dispersive time delay in
the other is proposed to implement highly flexible single-bandpass chirped
microwave photonic filters based on broadband optical sources. Both the amplitude
response and the time delay slope can be fully reconfigured via control of the
optical spectra and dispersion. The passband can also be widely tuned without
changing the shape. A chirped filter with a bandwidth of ~4 GHz, a delay slope of
~-0.6 ns/GHz, and a tunability up to 40 GHz is demonstrated experimentally.
PMID- 21886264
TI - High Q silica microbubble resonators fabricated by arc discharge.
AB - Microbubble resonators combine the unique properties of whispering gallery mode
resonators with the intrinsic capability of integrated microfluidics. Here an
improved fabrication method of microbubble resonators is presented, based on the
heating of a slightly pressurized capillary by a rotating arc discharge. Rotation
of the electrodes, moved out of a fiber splicer, ensures a homogeneous
distribution of the heat all over the capillary surface. The demonstrated
microbubble resonators have Q factors up to 6*10(7) at 1550 nm. Microbubbles were
filled with water and aqueous solutions of ethanol in order to test the
refractive index sensing capabilities of such resonators, which also show a good
temporal stability. The limit of detection of our microbubble resonator sensor is
10(-6) RIU.
PMID- 21886265
TI - Polarization-independent on-axis light coupler for surface plasmon resonance
using a concentric chirped grating.
AB - A novel on-axis one-element polarization-independent light in- and out-coupling
mechanism for surface plasmon resonance (SPR) is proposed. The system utilizes an
integrated high-NA concentric chirped grating to both focus the incident light on
the metallic film and collimate the reflected beam onto a CCD array to extract
the SPR signal. With NA up to 1.47, a broad sensing dynamic range from n=1 to
1.35 can be achieved. An analytical model is implemented to demonstrate the
dependency of the radial location of the resonances on the detecting substance
and its sensitivity to the change of the refractive index. The model shows a
trend similar to rigorous ray-tracing calculations.
PMID- 21886266
TI - Near-field coupling of metal nanoparticles under tightly focused illumination.
AB - The influence of strongly focused radiation on the electromagnetic interaction of
metal particles is studied. The near-field distribution of silver dimers is
calculated by combining a multiple scattering approach and the multipolar
expansion of focused beams based on the Richards-Wolf description of diffracting
systems. The results show that tight focusing can induce larger maximum field
enhancement and stronger localization of the near field than can plane wave
illumination. Additional plasmonic resonances can be obtained due to the presence
of different polarization contributions at focus.
PMID- 21886267
TI - High-order harmonic generation enhanced by XUV light.
AB - The combination of high-order harmonic generation (HHG) with resonant XUV
excitation of a core electron into the transient valence vacancy that is created
in the course of the HHG process is investigated theoretically. In this setup,
the first electron performs a HHG three-step process, whereas the second electron
Rabi flops between the core and the valence vacancy. The modified HHG spectrum
due to recombination with the valence and the core is determined and analyzed for
krypton on the 3d->4p resonance in the ion. We assume an 800 nm laser with an
intensity of about 10(14) W/cm2 and XUV radiation from the Free Electron Laser in
Hamburg (FLASH) with an intensity in the range 10(13)-10(16)W cm2. Our prediction
opens perspectives for nonlinear XUV physics, attosecond x rays, and HHG-based
spectroscopy involving core orbitals.
PMID- 21886268
TI - Mitochondrial Death Channels.
PMID- 21886269
TI - The Temporal Structure of Scientific Consensus Formation.
AB - This article engages with problems that are usually opaque: What trajectories do
scientific debates assume, when does a scientific community consider a
proposition to be a fact, and how can we know that? We develop a strategy for
evaluating the state of scientific contestation on issues. The analysis builds
from Latour's black box imagery, which we observe in scientific citation
networks. We show that as consensus forms, the importance of internal divisions
to the overall network structure declines. We consider substantive cases that are
now considered facts, such as the carcinogenicity of smoking and the non
carcinogenicity of coffee. We then employ the same analysis to currently
contested cases: the suspected carcinogenicity of cellular phones, and the
relationship between vaccines and autism. Extracting meaning from the internal
structure of scientific knowledge carves a niche for renewed sociological
commentary on science, revealing a typology of trajectories that scientific
propositions may experience en route to consensus.
PMID- 21886270
TI - Probing the physiology of ASH neuron in Caenorhabditis elegans using electric
current stimulation.
AB - Electrical stimulation has been widely used to modulate and study the in vitro
and in vivo functionality of the nervous system. Here, we characterized the
effect of electrical stimulation on ASH neuron in Caenorhabditis elegans and
employed it to probe the neuron's age dependent properties. We utilized an
automated microfluidic-based platform and characterized the ASH neuronal activity
in response to an electric current applied to the worm's body. The electrically
induced ASH neuronal response was observed to be dependent on the magnitude,
polarity, and spatial location of the electrical stimulus as well as on the age
of the worm.
PMID- 21886271
TI - John J. Triano, DC, PhD.
PMID- 21886272
TI - The Chiropractic dilemma. To be isolated or integrated?
PMID- 21886273
TI - The long term survival of chiropractic: involvement and research.
PMID- 21886274
TI - Research - preparing for tomorrow!
PMID- 21886275
TI - Incident Reporting and Learning Systems for chiropractors - Developments in
Europe.
PMID- 21886276
TI - It was my understanding that there would be no math.
PMID- 21886277
TI - Does chiropractic truly understand research?
PMID- 21886278
TI - Interprofessional education for medical students in clinical settings: a
practical guide for an elective half-day.
PMID- 21886279
TI - Baseline knowledge on vehicle safety and head restraints among Fleet Managers in
British Columbia Canada: a pilot study.
AB - BACKGROUND: Whiplash is the most common injury type arising from motor vehicle
collisions, often leading to long-term suffering and disability. Prevention of
such injuries is possible through the use of appropriate, correctly positioned,
vehicular head restraints. OBJECTIVE: To survey the awareness and knowledge level
of vehicle fleet managers in the province of British Columbia, Canada, on the
topics of vehicle safety, whiplash injury, and prevention; and to better
understand whether these factors influence vehicle purchase/lease decisions.
METHODS: A survey was administered to municipal vehicle fleet managers at a
professional meeting (n = 27). RESULTS: Although many respondents understood the
effectiveness of vehicle head restraints in the prevention of whiplash injury,
the majority rarely adjusted their own headrests. Fleet managers lacked knowledge
about the seriousness of whiplash injuries, their associated costs for Canada's
healthcare system, and appropriate head restraint positions to mitigate such
injuries. The majority of respondents indicated that fleet vehicle purchase/lease
decisions within their organization did not factor whiplash prevention as an
explicit safety priority. CONCLUSIONS: There is relatively little awareness and
enforcement of whiplash prevention strategies among municipal vehicle fleet
managers.
PMID- 21886280
TI - The effect of spinal manipulation on imbalances in leg strength.
AB - We hypothesized that spinal manipulation (SM) would reduce strength imbalances
between legs. Using an un-blinded randomized design, 28 males and 21 females (54
+/- 19y) with at least a 15% difference in isometric strength between legs for
hip flexion, extension, abduction, or knee flexion were randomized to treatment
or placebo (mock spinal manipulation). Strength of the stronger and weaker legs
for hip flexion, extension, abduction, and/or knee flexion was assessed before
and after the intervention. SM reduced the relative strength difference between
legs for knee flexion (mean +/- SD 57 +/- 53 to 5 +/- 14%) and hip flexion (24 +/
12 to 11 +/- 15%) compared to placebo (34 +/- 29 to 24 +/- 36%, and 20 +/- 18 to
22 +/- 26%, respectively) (p = 0.05). SM also improved strength in the weak leg
for hip abduction (104 +/- 43 to 116 +/- 43 Nm) compared to placebo (84 +/- 24 to
85 +/- 31 Nm) (p = 0.03). This study suggests that spinal manipulation may reduce
imbalances in strength between legs for knee and hip flexion.
PMID- 21886281
TI - Perceived effects of the delisting of chiropractic services from the Ontario
Health Insurance Plan on practice activities: a survey of chiropractors in
Toronto, Ontario.
AB - The purpose of this study was to survey a random sample of Toronto chiropractors
and gather their perceptions of the effects that the delisting of chiropractic
services from OHIP had on their practices profiles. METHODS: A survey was mailed
to 199 chiropractors who were asked to disclose demographic information, if they
were in practice at the time when OHIP coverage was in effect, the perceived
effect OHIP delisting had on their patient volumes, income, the profession's
credibility and if they would be in favor of having OHIP reinstated. RESULTS:
Among the 123 respondents in practice during OHIP coverage (n = 92), 48.9%
indicated they perceived their practice income and 36.6% perceived their patient
volume was negatively affected; 57.5% reported both had subsequently recovered.
Almost 50% perceived OHIP delisting negatively affected the profession's
credibility and 46.1% of respondents were in favor of it being reinstated for
chiropractic services; this percentage was much higher among chiropractors who
were not in practice during the time of OHIP coverage. CONCLUSION: Most
chiropractors reported that patient volumes and incomes have returned to pre
delisting levels and few chiropractors who were in practice during OHIP coverage
expressed interest in having it reinstated.
PMID- 21886282
TI - Amyotrophic lateral sclerosis presenting as upper limb weakness in a 35 year old
female: a case report.
AB - Chiropractors regularly assess and provide treatment for a variety of
neuromuscular complaints. Many of these respond well to conservative care however
some represent conditions that must be referred for further evaluation. This
article chronicles the management of a patient who presented with upper limb
weakness and was subsequently diagnosed with amyotrophic lateral sclerosis (ALS).
Chiropractors should be informed of the nature and presentation of this disease
to facilitate early diagnosis and treatment.
PMID- 21886283
TI - The association between neck pain, the Neck Disability Index and cervical ranges
of motion: a narrative review.
AB - BACKGROUND: The Neck Disability Index (NDI) and Cervical Ranges of Motion (CROM)
are measurement tools that are used for neck pain patients. OBJECTIVE: To review
the literature to determine how the NDI is associated with neck pain and CROM
outcomes. METHODS: Computer based searches of 5 databases were performed and
supplemented by internet and hand searching of article references and "related
citations." RESULTS: The search yielded 23 studies that met the inclusion and
exclusion criteria and these were summarized into four categories: NDI, NDI and
other questionnaires, whiplash and NDI and cervical range of motion and NDI. The
NDI was shown to be a well validated and reliable self-reported questionnaire,
especially when compared to other questionnaires, in both neck pain and whiplash
(WAD) patients. There are very few studies that discuss the NDI and cervical
range of motion. CONCLUSION: This review outlines the strength of the NDI as a
self-reported neck disability questionnaire, but also demonstrates a need for
further research to explore the association between the NDI, neck pain and
cervical ranges of motion.
PMID- 21886284
TI - The Tampa Scale of Kinesiophobia and neck pain, disability and range of motion: a
narrative review of the literature.
AB - BACKGROUND: The Tampa Scale of Kinesiophobia (TSK) that was developed in 1990 is
a 17 item scale originally developed to measure the fear of movement related to
chronic lower back pain. OBJECTIVE: To review the literature regarding TSK and
neck pain, perceived disability and range of motion of the cervical spine.
METHODS: Medline, MANTIS, Index to Chiropractic Literature and CINAHL were
searched. RESULTS: A total of 16 related articles were found and divided into
four categories: TSK and Neck Pain; TSK, Neck Pain and Disability; TSK, Neck
Pain, Disability and Strength; and TSK, Neck Pain and Surface Electromyography.
CONCLUSION: The fear avoidance model can be applied to neck pain sufferers and
there is value from a psychometric perspective in using the TSK to assess
kinesiophobia. Future research should investigate if, and to what extent, other
measureable factors commonly associated with neck pain, such as decreased range
of motion, correlate with kinesiophobia.
PMID- 21886285
TI - Hawai'i Medical Journal. Guest editor's message.
PMID- 21886286
TI - Adolescent at-risk weight (overweight and obesity) prevalence in Hawai'i.
AB - OBJECTIVE: To present prevalence rates of adolescents in Hawai'i at-risk weight
(85 percentile or higher = overweight or obese) and the relationship with
comorbidities. METHODS: The Hawai'i Youth Risk Behavior Survey aggregated for
2005, 2007, and 2009 was analyzed addressing at-risk weight prevalence by sex,
race/ethnicity, and grade. Comorbidities were related to at-risk weight using
regression. RESULTS: Over 1/4 of Hawai'i adolescents were at-risk weight. There
were no differences by grade, but boys had higher prevalence (31.0%) than girls
(22.4%). Overall, Other Pacific Islanders and Hawaiians had the highest
prevalence (43.9% and 37.4%, respectively), followed by multi-race (27.1%),
Filipino (25.7%), and Whites with the lowest (16.1%). Most associations between
at-risk weight and various co-morbidities (including sexual behavior, nutrition,
physical activity, mental health, bullying, alcohol, and other drug use) were not
significant (p>.05). However, girls and boys trying to lose weight; and boys with
3+ hours of screen time (TV, video, or computer games) each day were at increased
odds of at-risk weight (p<.05). CONCLUSION: Adolescent gender and ethnic
disparities exist such that a single intervention approach (one size fits all)
may be counterproductive. More research is required on the determinants and
mechanisms to guide weight management interventions.
PMID- 21886287
TI - Perceptions of middle school educators in Hawai'i about school-based gardening
and child health.
AB - BACKGROUND: Childhood obesity prevention is a national priority. School-based
gardening has been proposed as an innovative obesity prevention intervention.
Little is known about the perceptions of educators about school-based gardening
for child health. As the success of a school-based intervention depends on the
support of educators, we investigated perceptions of educators about the benefits
of gardening programs to child health. METHODS: Semi-structured interviews of 9
middle school educators at a school with a garden program in rural Hawai'i were
conducted. Data were analyzed using a grounded theory approach. RESULTS:
Perceived benefits of school-based gardening included improving children's diet,
engaging children in physical activity, creating a link to local tradition,
mitigating hunger, and improving social skills. Poverty was cited as a barrier to
adoption of healthy eating habits. Opinions about obesity were contradictory;
obesity was considered both a health risk, as well as a cultural standard of
beauty and strength. Few respondents framed benefits of gardening in terms of
health. CONCLUSIONS: In order to be effective at obesity prevention, school-based
gardening programs in Hawai'i should be framed as improving diet, addressing
hunger, and teaching local tradition. Explicit messages about obesity prevention
are likely to alienate the population, as these are in conflict with local
standards of beauty. Health researchers and advocates need to further inform
educators regarding the potential connections between gardening and health.
PMID- 21886288
TI - Poorer general health status in children is associated with being overweight or
obese in Hawai'i: findings from the 2007 National Survey of Children's Health.
AB - Obesity is a widespread national issue that affects the health and well-being of
millions of people; particular attention has been focused on the burden among
children. The National Survey of Children's Health data from 2007 was used to
examine the relationship of child health status and unhealthy weight
(overweight/obese defined as body mass index in >= 85 th percentile) among 874
children aged 10 to 17 years of age in Hawai'i. In particular, the parentally
reported child's general health status was assessed comparing those with a poorer
health status (defined as "good/fair/poor") to those with a better one (defined
as "excellent/very good"). Descriptive analysis and multiple logistic regression
analysis examined risk for overweight/obese with child's general health status,
accounting for gender, race, and socioeconomic factors. More children with a
poorer health status (46.5%; 95%CI=33.2-60.2) were overweight/obese compared to
those of better health status (25.8%; 95%CI=21.9-30.2). Estimates of
overweight/obese were high in Native Hawaiian/Pacific Islander (38.6%; 95%CI:
28.9-49.4), multiracial (30.9%; 95%CI=24.2-38.6) children, and children whose
parents had less than 12 years education (56.8%; 95%CI=32.8-78.0). Multivariate
logistic regression modeling showed a 2.92 (95%CI=1.52-5.61) greater odds for
overweight/obese status in children with a poorer health status compared to those
of better health status after accounting for age, race, gender, and parental
education. Gender, race, and parental education were also significant factors
associated with overweight/obese in the final adjusted model. It is important
that children that are overweight or obese receive appropriate health screenings
including assessments of general health status. Children in high risk
socioeconomic groups should be a particular focus of prevention efforts to
promote health equity and provide opportunities for children to reach their
potential.
PMID- 21886289
TI - Hawai'i's Opportunity for Active Living Advancement (HO'ALA): addressing
childhood obesity through safe routes to school.
AB - Increasing active transportation to and from school may reduce childhood obesity
rates in Hawai'i. A community partnership was formed to address this issue in
Hawai'i's Opportunity for Active Living Advancement (HO'ALA), a quasi
experimental study of active transportation in Hawai'i County. The purpose of
this study was to determine baseline rates for active transportation rates to and
from school and to track changes related to macro-level (statewide) policy,
locally-based Safe Routes to School (SRTS) programs and bicycle and pedestrian
planning initiatives expected to improve the safety, comfort and ease of walking
and bicycling to and from school. Measures included parent surveys, student
travel tallies, traffic counts and safety observations. Assessments of the
walking and biking environment around each school were made using the Pedestrian
Environment Data Scan. Complete Streets and SRTS policy implementation was
tracked through the activities of a state transportation-led Task Force and an
advocacy-led coalition, respectively. Planning initiatives were tracked through
citizen-based advisory committees. Thirteen volunteer schools participated as the
intervention (n=8) or comparison (n=5) schools. The majority of students were
Asian, Native Hawaiian, and Pacific Islander in schools located in under
resourced communities. Overall, few children walked or biked to school. The
majority of children were driven to and from school by their parents. With the
influence of HO'ALA staff members, two intervention schools were obligated SRTS
project funding from the state, schools were identified as key areas in the
pedestrian master plan, and one intervention school was slated for a bike plan
priority project. As the SRTS programs are implemented in the next phase of the
project, post-test data will be collected to ascertain if changes in active
transportation rates occur.
PMID- 21886290
TI - The prevalence of overweight and obesity in children at a Health Maintenance
Organization in Hawai'i.
AB - In the past 20-30 years, the number of overweight children in the United States
has doubled.1 Overweight children are acquiring conditions such as hypertension,
type II diabetes, hypercholesterolemia, sleep apnea, and orthopedic problems. The
purpose of this study was to explore the prevalence of and factors associated
with at risk and overweight in children 2-10 years of age in a Health Maintenance
Organization (HMO) in Hawai'i. This quantitative, retrospective cross-sectional
study included a stratified random sample of 554 children ages 2-10 years who
received a well child health care exam at a HMO in 2003. The prevalence of at
risk and overweight were examined including the relationship between ethnicity,
socioeconomic status, place of residence, and a child being overweight. Thirteen
percent were at risk for overweight (BMI 85-95%) and 19% (BMI >95%) were
overweight. In the 6-10 year age group, 42 % were overweight or at risk for being
overweight. Boys had a higher incidence of being overweight (54%) than the girls
(46%). Pacific Islanders had the highest incidence of overweight (40%), followed
by the Hawaiian/Part Hawaiians (19%) and Filipinos (19%). Ethnicity and place of
residence were significantly associated with being at risk or overweight. Pacific
Islanders were 4 times more likely to be overweight/at risk for overweight and
those residing in the West O'ahu, Honolulu, and Central O'ahu/North Shore areas
were 2-3 times more likely to be at risk for overweight when compared to children
living in the Windward side. With increased age, the prevalence of overweight
increased. Findings suggest that targeted obesity prevention strategies need to
be initiated early in life and geared for ethnically and geographically diverse
children and their families.
PMID- 21886291
TI - Race/ethnic differences in desired body mass index and dieting practices among
young women attending college in Hawai'i.
AB - In accordance with the sociocultural model, race/ethnicity is considered a major
influence on factors associated with body image and body dissatisfaction, and
eating disorders are often characterized as problems that are primarily limited
to young White women from Western cultures. The purpose of this study was to
determine whether there are differences that exist by race in desired body
weight; the importance placed on those ideals; and dieting strategies among
White, Asian American, Native Hawaiian/Pacific Islanders, and other mixed-race
young women in Hawai'i. A total of 144 female college students 18-20 years of age
were surveyed about body weight as well as eating and exercise habits. Results
demonstrated that all the young women wanted to lose weight. However, there were
no differences in desired body weight or desired weight change by race after
controlling for body mass index suggesting that current weight rather than
race/ethnicity is the predominant influence on weight-related concerns. Young
White women placed the greatest level of importance on achieving a lower body
weight, which corresponded with a greater likelihood to be attempting weight loss
(dieting) and greater endorsement of behaviors consistent with weight loss
compared to their counterparts. Findings imply that, for young women,
race/ethnicity may not have as significant an impact on factors associated with
body weight ideals as previously believed. Rather, differences in the value
placed on achieving a desired body weight, as it relates to disordered eating,
should be further explored among race/ethnic groups.
PMID- 21886292
TI - The impact of an elementary after-school nutrition and physical activity program
on children's fruit and vegetable intake, physical activity, and body mass index:
Fun 5.
AB - OBJECTIVE: This study evaluated the impact of the Fun 5 program on fruit and
vegetable intake, physical activity, and body mass index (BMI) percentile of
overweight and obese children in Hawai'i's A+ After-School Program. METHODS:
Children in 4th - 6th grades (n=119, 55% female) from six randomly selected
schools participated in this longitudinal study. Time 1 (T1) measures were taken
October 2007 and time 2 (T2) measures April 2008. Height and weight along with a
self-report survey were used to measure fruit and vegetable intake, physical
activity, and BMI. RESULTS: The "at risk" population, defined as fruit and
vegetable intake <5 servings per day (n=30), physical activity <300 minutes per
week (n=78), or Body Mass Index >85th percentile (n=48) at T1, showed a
significant increase in fruit and vegetable intake, from 2.97 (+/- 1.16) servings
per day at T1 to 5.60 (+/- 3.93) servings per day at T2 (P=<0.01) and physical
activity, from 125.26 (+/- 76.03) minutes per week of physical activity at T1 to
222.18 (+/- 180.90) minutes per week at T2 (P=<0.01) and no change in Body Mass
Index. CONCLUSION: The Fun 5 program had an impact on improving fruit and
vegetable intake and physical activity on the at risk population.
PMID- 21886293
TI - Development and implementation of a food system intervention to prevent childhood
obesity in rural Hawai'i.
AB - This paper presents details the Healthy Foods Hawai'i (HFH) intervention trial,
aimed to improve children's dietary behavior to prevent child obesity, by
modifying the food environment with community-selected foods. Four communities
were selected by ethnic composition, income level, two on O'ahu and one neighbor
island. On each island one community was randomly assigned to intervention and
one to control. The intervention was implemented through food stores in the
intervention communities. HFH was designed to strengthen the network between
local food producers, food distributors, storeowners and consumers, to increase
the availability of healthier less energy dense foods for children in underserved
rural communities of Hawai'i. The intervention includes phases: healthier
beverages, snacks, condiments, and family meals. Moderate to high fidelity was
achieved for educational materials (shelf labels, posters and educational
displays). The number of educational displays varied by intervention phase and
community. Posters were found in place 100% of the time. Shelf labels were found
intact in the correct location. Low to moderate fidelity was achieved for
distributors, with some products not stocked. In the intervention communities, 6
8 week phases focused on target foods with 40 food demonstrations. A total of
1582 food related samples were distributed. A high to moderate dose and reach of
the overall intervention was achieved in delivery of the cooking demonstrations.
A high to moderate dose and reach of the intervention was achieved overall;
fidelity to the intervention protocol was moderate. To improve healthy local food
availability in stores in rural communities in Hawai'i, agricultural producers
reported needing additional support to sell and transport product to local
stores, rather than to centralized distributors.
PMID- 21886294
TI - Using coalitions to address childhood obesity: the Hawai'i Nutrition and Physical
Activity Coalition.
PMID- 21886295
TI - A Report on the development of the Hawai'i Pediatric Weight Management Toolkit.
PMID- 21886296
TI - Letter to the editor: Childhood obesity.
PMID- 21886297
TI - Childhood obesity in Hawai'i: the role of the healthcare provider.
PMID- 21886300
TI - Cesarean scar dehiscence associated with intrauterine balloon tamponade placement
after a second trimester dilation and evacuation.
AB - While surgical abortion is a safe procedure, the most common complication is
excessive bleeding. Methods to conservatively manage hemorrhage are gaining
popularity. The authors present a case of a Cesarean scar rupture that occurred
after an intrauterine balloon tamponade was placed to treat postabortion
bleeding.
PMID- 21886301
TI - Dual paraneoplastic syndromes: small cell lung carcinoma-related oncogenic
osteomalacia, and syndrome of inappropriate antidiuretic hormone secretion:
report of a case and review of the literature.
AB - Acquired isolated renal phosphate wasting associated with a tumor, known as
oncogenic osteomalacia or tumor-induced osteomalacia, is a rare paraneoplastic
syndrome caused by overproduction of fibroblast growth factor 23. Oncogenic
osteomalacia is usually associated with benign mesenchymal tumors. Syndrome of
inappropriate antidiuretic hormone secretion (SIADH), on the other hand, is a
common paraneoplastic syndrome caused by small cell carcinoma (SCC). Concomitant
oncogenic osteomalacia and SIADH associated with SCC is very rare with only 4
other cases reported in the literature. The authors report a case of small cell
lung cancer (SCLC)-related renal wasting hypophosphatemia and concurrent SIADH,
and review the literature reporting 9 other cases of SCC associated with
oncogenic osteomalacia. Almost half of reported cases of renal phosphate wasting
associated with SCC concomitantly presented with SIADH. These cases had initial
serum phosphorus level lower and survival periods shorter than those without
SIADH. This rare combination of a dual paraneoplastic syndrome and low serum
phosphorus may be a poor prognostic sign. In addition, both renal phosphate
wasting and SIADH usually occur in a short period of time before identification
of SCC. Therefore, renal wasting hypophosphatemia with concomitant
SIADH/hyponatremia should prompt a search for SCC rather than a benign
mesenchymal tumor.
PMID- 21886302
TI - Koch's postulates, carnivorous cows, and tuberculosis today.
AB - With Koch's announcement in 1882 of his work with the tubercle bacillus, his
famous postulates launched the rational world of infectious disease and an abrupt
social change--strict patient isolation. The postulates, so successful at their
inception, soon began to show some problems, particularly with cholera, which
clearly violated some of Koch's requirements. Subsequent studies of other
diseases and the discovery of entirely new ones have so altered and expanded the
original postulates that they now are little but a precious touch of history. The
present additions and replacements of the original concepts are skillful changes
that several authors have devised to introduce new order into understanding
complex viral and prion diseases. In 1988, this knowledge, with the totally
rational response of the British population and its cattle industry, was critical
in promptly blocking the threatened epidemic of human prion disease. In contrast,
the recent upsurge of tuberculosis (TB) in the worldwide AIDS epidemic in
developing countries, and the sudden increase in metabolic syndrome in wealthy
ones, suggests the need for focused sociobiologic research seeking ways to affect
the damaging lifestyle behavior of many less educated populations in both
settings. The world awaits an equivalent of Koch's Postulates in sociobiology to
explain and possibly avert large self-destructive behaviors.
PMID- 21886303
TI - Breast cancer worry among women awaiting mammography: is it unfounded? Does prior
counseling help?
AB - The purpose of this study was to explore the prevalence of breast cancer anxiety
and risk counseling in women undergoing mammography, and the association with
known risk factors for cancer. Women awaiting mammography were surveyed regarding
anxiety, prior breast cancer risk counseling, demographic and risk factors.
Anxiety was assessed via 7-point Likert-type scale (LS). Risk was defined by Gail
model or prior breast cancer. Data were analyzed by nonparametric methods;
significance determined at alpha = 0.05. Of 227 women surveyed, 54 were
classified "higher risk". Counseling prevalence was similar (52%) for all ethnic
groups, but higher (72%, P<0.001) for "higher risk" women. On average, women
awaiting screening/diagnostic mammography were somewhat worried (median LS = 4).
Worry was significantly higher (P<0.05) in "higher risk" women (LS = 5), and in
women living outside Honolulu (LS = 6). Counseling by primary care physicians
(PCP) did not correlate with lower worry scores. It was concluded that most women
awaiting mammography are not unduly anxious. Additionally, the findings showed a
correlation between a woman's concern about developing cancer with known risk
factors and rural residence.
PMID- 21886304
TI - Training the next generation of minority health scientists: a STEP-UP in the
right direction.
PMID- 21886307
TI - Moyamoya disease in pregnancy: a case series and review of management options.
AB - BACKGROUND: Pregnancy has a potentially deleterious affect on moyamoya disease
(MMD), a cerebrovascular condition characterized by spontaneous occlusion of the
distal internal carotid arteries resulting in the neoangiogenesis of fragile
collateral blood vessels. The disease renders patients susceptible to both
hemorrhagic and ischemic stroke. METHODS: A 16-year (1995-2010) chart review was
performed at the Kapi'olani Medical Center for Women and Children and the Queen's
Medical Center, the two largest birthing centers in Hawai'i. RESULTS: The authors
report on three women with MMD who had the revascularization surgery prior to
their first pregnancy and experienced successful pregnancy outcomes without the
MMD symptoms. Two of these were managed with antiplatelet agents, one with
calcium channel blockers, and two with magnesium sulphate in the perioperative
period. CONCLUSION: The authors' cases demonstrate different medical therapies,
which may be of benefit for MMD with and without revascularization surgery during
pregnancy.
PMID- 21886308
TI - A polymorphism in the retinol binding protein 4 gene is not associated with
gestational diabetes mellitus in several different ethnic groups.
AB - OBJECTIVE: Various Asian and Pacifific Islander groups have higher prevalence
rates of type 2 diabetes and gestational diabetes. This increased incidence is
likely to include genetic factors. Single nucleotide polymorphisms in the retinol
binding protein 4 gene have been linked to the occurrence of type 2 diabetes.
Hypothesizing a link between retinol binding protein 4 and gestational diabetes,
we performed a candidate gene study to look for an association between an
important retinol binding protein gene polymorphism (rs3758539) and gestational
diabetes. STUDY DESIGN: Blood was collected from Caucasian, Asian, and Pacific
Islander women diagnosed with gestational diabetes and from ethnically matched
non-diabetic controls. DNA was extracted and real time PCR technology (TaqMan,
Applied Biosystems) used to screen for the rs3758539 single nucleotide
polymorphism located 5' of exon 1 of the retinol binding protein 4 gene. RESULTS:
Genotype and allele frequencies in the controls and gestational diabetes cases
were tested using chi-square contingency tests. Genotype frequencies were in
Hardy-Weinberg equilibrium. There was no association between the rs3758539
retinol binding protein 4 single nucleotide polymorphism and gestational diabetes
in the Caucasian, Filipino, or Pacific Islander groups. CONCLUSION:
Interestingly, the rs3758539 retinol binding protein 4 single nucleotide
polymorphism was not found to be associated with gestational diabetes. The
absence of association suggests that gestational and type 2 diabetes may have
more divergent molecular pathophysiology than previously suspected.
PMID- 21886309
TI - The challenges of collecting data on race and ethnicity in a diverse, multiethnic
state.
AB - Race and ethnicity are commonly used predictor variables in medical and public
health research. Including these variables has helped researchers to describe the
etiology of certain disease states. Including race and ethnicity in research has
been hypothesis generating in terms of the relationship between genetic and
environmental factors in the development of disease. Eliminating health
disparities among different racial and ethnic groups has become a national
priority. However, incorporating race and ethnicity into health research is
complex because these variables are difficult to define and individuals often
identify with more than one race or ethnicity. As a "minority-majority",
multiethnic, multiracial state, Hawai'i faces unique challenges in incorporating
race and ethnicity into research. As the demographics of the United States
continue to evolve, many of the challenges faced in Hawai'i will apply to the
United States as a whole.
PMID- 21886311
TI - Medical school hotline: first and lasting impressions of the John A. Burns school
of medicine.
PMID- 21886310
TI - Student-written simulation scenarios: a novel cognitive assessment method in a
trauma curriculum.
AB - INTRODUCTION: Global cognitive and psychomotor assessment in simulation based
curricula is complex. We describe assessment of novices' cognitive skills in a
trauma curriculum using a simulation aligned facilitated discovery method.
METHODS: Third-year medical students in a surgery clerkship completed two student
written simulation scenarios (SWSS) as an assessment method in a trauma
curriculum employing high fidelity human patient simulators (manikins). SWSS
consisted of written physiologic parameters, intervention responses, a
performance evaluation form, and a critical interventions checklist. RESULTS:
Seventy-one students participated. SWSS scores were compared to multiple choice
test (MCQ), checklist-graded solo performance in a trauma scenario (STS), and
clerkship summative evaluation grades. The SWSS appeared to be slightly better
than STS in discriminating between Honors and non-Honors students, although the
mean scores of Honors and non-Honors students on SWSS, STS, or MCQ were not
significantly different. SWSS exhibited good equivalent form reliability
(r=0.88), and higher interrater reliability versus STS (r=0.93 vs r=0.79).
CONCLUSION: SWSS is a promising assessment method for simulation based curricula.
PMID- 21886319
TI - A message from the editor.
PMID- 21886320
TI - Public health in action: give mothers support for breastfeeding.
PMID- 21886322
TI - National trends in occupational injuries before and after 1992 and predictors of
workers' compensation costs.
AB - OBJECTIVE: Numbers and costs of occupational injuries and illnesses are
significant in terms of morbidity and dollars, yet our understanding of time
trends is minimal. We investigated trends and addressed some common hypotheses
regarding causes of fluctuations. METHODS: We pulled data on incidence rates (per
100 full-time employed workers) for injuries and illnesses from the U.S. Bureau
of Labor Statistics and on costs and benefits from the National Academy of Social
Insurance for 1973 through 2007. Rates reflected all injury and illness cases,
lost work-time cases, and cases resulting in at least 31 days away from work. We
adjusted dollar costs (premiums) and benefits for inflation and measured them per
employed worker. We plotted data in time-trend charts and ran linear regressions.
RESULTS: From 1973 to 1991, there was a weak to nonexistent downward trend for
injury and illness rates, and rates were strongly and negatively correlated with
the unemployment rate. From 1992 to 2007, there were strong, consistent downward
trends, but no longer were there statistically significant correlations with
unemployment. Significant predictors (and signs) of workers' compensation
premiums for 1973-2007 included medical price inflation (positive), number of
lost-time injuries (positive), the Dow Jones Industrial Average (negative), and
inflation-adjusted interest rate on U.S. Treasury bonds (negative). Dollars of
benefits were positively and significantly predicted by medical inflation and
number of lost-time cases. For 1992-2007, the Dow Jones variable was the only
robust predictor of premiums; the number of injuries was not a significant
positive predictor. CONCLUSION: We had two major conclusions. First, the year
1992 marked a sharp contrast in trends and correlations between unemployment and
incidence rates for occupational injuries and illnesses. Second, for the entire
time period (1973-2007), insurance carriers' premiums were strongly associated
with returns on investments.
PMID- 21886323
TI - Recruitment-adjusted estimates of HIV prevalence and risk among men who have sex
with men: effects of weighting venue-based sampling data.
AB - OBJECTIVES: We investigated the impact of recruitment bias within the venue-based
sampling (VBS) method, which is widely used to estimate disease prevalence and
risk factors among groups, such as men who have sex with men (MSM), that
congregate at social venues. METHODS: In a 2008 VBS study of 479 MSM in New York
City, we calculated venue-specific approach rates (MSM approached/MSM counted)
and response rates (MSM interviewed/MSM approached), and then compared crude
estimates of HIV risk factors and seroprevalence with estimates weighted to
address the lower selection probabilities of MSM who attend social venues
infrequently or were recruited at high-volume venues. RESULTS: Our approach rates
were lowest at dance clubs, gay pride events, and public sex strolls, where venue
volumes were highest; response rates ranged from 39% at gay pride events to 95%
at community-based organizations. Sixty-seven percent of respondents attended MSM
oriented social venues at least weekly, and 21% attended such events once a month
or less often in the past year. In estimates adjusted for these variations, the
prevalence of several past-year risk factors (e.g., unprotected anal intercourse
with casual/exchange partners, >=5 total partners, group sex encounters, at least
weekly binge drinking, and hard-drug use) was significantly lower compared with
crude estimates. Adjusted HIV prevalence was lower than unadjusted prevalence
(15% vs. 18%), but not significantly. CONCLUSIONS: Not adjusting VBS data for
recruitment biases could overestimate HIV risk and prevalence when the selection
probability is greater for higher-risk MSM. While further examination of
recruitment-adjustment methods for VBS data is needed, presentation of both
unadjusted and adjusted estimates is currently indicated.
PMID- 21886324
TI - Barriers to routine HIV testing among Massachusetts community health center
personnel.
AB - OBJECTIVES: We assessed the extent to which Centers for Disease Control and
Prevention (CDC) recommendations have influenced routine HIV testing among
Massachusetts community health center (CHC) personnel, and identified specific
barriers and facilitators to routine testing. METHODS: Thirty-one CHCs were
enrolled in the study. We compared those that did and did not receive funding
support from the federal Ryan White HIV/AIDS Program. An anonymous survey was
administered to a maximum five personnel from each CHC, including a senior
administrator, the medical director, and three medical providers. Overall, 137
participants completed the survey. RESULTS: Among all CHCs, 53% of administrators
reported having implemented routine HIV testing at their CHCs; however, only 33%
of medical directors/providers reported having implemented routine HIV testing in
their practices (p<0.05). Among administrators, 60% of those from Ryan White
supported CHCs indicated that both they and their CHCs were aware of CDC's
recommendations, compared with 27% of administrators from non-Ryan White
supported CHCs. The five most frequently reported barriers to the implementation
of routine HIV testing were (1) constraints on providers' time (68%), (2) time
required to administer counseling (65%), (3) time required to administer informed
consent (52%), (4) lack of funding (35%), and (5) need for additional training
(34%). In a multivariable logistic regression model, the provision of on-site HIV
testing by nonmedical staff resulted in increased odds of conducting routine HIV
testing (odds ratio [OR] = 9.84, 95% confidence interval [CI] 1.77, 54.70).
However, the amount of time needed to administer informed consent was associated
with decreased odds of providing routine testing (OR=0.21, 95% CI 0.05, 0.92).
CONCLUSIONS: Routine HIV testing is not currently being implemented uniformly
among Massachusetts CHCs. Future efforts to increase implementation should
address personnel concerns regarding time and staff availability.
PMID- 21886325
TI - HIV/AIDS knowledge scores and perceptions of risk among African American students
attending historically black colleges and universities.
AB - OBJECTIVE: African American young adults are disproportionately affected by the
HIV/AIDS epidemic and often unaware of their personal risk for HIV. Historically
black colleges and universities (HBCUs) enroll 25% of college-educated African
American young adults and can play an important role in HIV prevention. We
examined HIV/AIDS knowledge of students at HBCUs to inform and strengthen our HIV
prevention efforts at HBCUs. METHODS: African American undergraduate HBCU
students completed online surveys assessing HIV/AIDS knowledge and behaviors, and
we analyzed data to assess their knowledge and behaviors. RESULTS: A total of
1,051 of 1,230 surveys completed (85.4%) were analyzable. Eighty-two percent of
students had average/high HIV knowledge scores. Seventy-nine percent of students
surveyed perceived themselves to be at low risk for HIV infection; 64% of those
who had at least two or more sex partners had not used a condom at last sex
encounter. In the final model, significant independent effects were identified
for average/high knowledge of HIV risk, including agreeing with assessing a
potential partner's HIV risk by all of the five actions listed (adjusted odds
ratio [AOR] = 2.7, 95% confidence interval [CI] 1.7, 4.3) and never using a
needle to inject drugs (AOR=5.6, 95% CI 3.2, 9.7). CONCLUSIONS: Educating
students about effectively assessing sex partner risk will improve HIV knowledge
and prevention efforts at HBCUs.
PMID- 21886326
TI - Rates of hospital visits for assault during pregnancy and the year postpartum:
timing matters.
AB - OBJECTIVE: To clarify the risk of violence for women during pregnancy and the
first year postpartum, we examined the timing of hospital visits for assault
among a population cohort of women in Massachusetts. METHODS: Using linked
natality and hospital data from 2001 through 2007 for Massachusetts, we examined
the timing of hospital (i.e., emergency, inpatient, and observation) visits for
maternal assault during seven time periods: the three prenatal trimesters and
four three-month postpartum periods. To describe the risk of assault for each of
the time periods, we calculated the rate as the number of such visits per 100,000
person-weeks. We used the denominator of 100,000 person-weeks to adjust for
variable lengths of gestation and for postpartum periods shortened by subsequent
pregnancies. RESULTS: Rates of hospital visits for maternal assault were highest
in the first trimester and lowest in the third trimester, with rates of 16.0 and
5.8 per 100,000 person-weeks, respectively. The four postpartum period rates were
higher than the third trimester rate but never reached the levels observed in the
first and second trimesters. CONCLUSIONS: These findings suggest a changing rate
for assault visits during each prenatal trimester and postpartum period. In
addition, the importance of violence prevention strategies as part of women's
health care across the life span and the need for preconception care initiatives
are reaffirmed.
PMID- 21886327
TI - Smoke-free laws in bars and restaurants: does support among teens and young
adults change after a statewide smoke-free law?
AB - OBJECTIVE: We assessed changes in levels of support for smoke-free bars and
restaurants among teens and young adults before and after implementation of a
statewide smoke-free law. METHODS: We measured support for smoke-free bars and
restaurants among teens and young adults aged 16-24 years living in Minnesota
(n=2,785) and five comparison states (n=404), up to 12 months before and up to
six months after Minnesota's smoke-free law went into effect in October 2007. We
compared changes in support among three subgroups-Minnesota participants who
lived with a previous local smoke-free law, Minnesota participants who did not
live with a previous local smoke-free law, and participants from the comparison
states-before and after Minnesota's statewide smoke-free law went into effect.
RESULTS: Support for smoke-free restaurants and bars among participants in
Minnesota and comparison states increased after Minnesota's smoke-free law went
into effect. Minnesotans, both those living with and without a previous local
smoke-free law, showed similar increases in support for smoke-free restaurants as
participants in comparison states. However, Minnesotans living without a previous
local law showed larger increases in support for smoke-free bars than both those
in comparison states and those living in Minnesota with a previous local smoke
free law. CONCLUSIONS: Our study employed a more robust design than similar
studies and focused on the teen and young adult population. Our results will help
advocates and policy makers demonstrate how public support for smoke-free laws
increases following smoke-free legislation, particularly among those who were not
previously living with a local smoke-free law.
PMID- 21886328
TI - Tuberculosis in indigenous peoples in the U.S., 2003-2008.
AB - OBJECTIVES: We examined trends and epidemiology of tuberculosis (TB) across
racial/ethnic groups to better understand TB disparities in the United States,
with particular focus on American Indians/Alaska Natives (AI/ANs) and Native
Hawaiians/other Pacific Islanders (NH/PIs). METHODS: We analyzed cases in the
U.S. National Tuberculosis Surveillance System and calculated TB case rates among
all racial/ethnic groups from 2003 to 2008. Socioeconomic and health indicators
for counties in which TB cases were reported came from the Health Resources and
Services Administration Area Resource File. RESULTS: Among the 82,836 TB cases,
914 (1.1%) were in AI/ANs and 362 (0.4%) were in NH/PIs. In 2008, TB case rates
for AI/ANs and NH/PIs were 5.9 and 14.7 per 100,000 population, respectively,
rates that were more than five and 13 times greater than for non-Hispanic white
people (1.1 per 100,000 population). From 2003 to 2008, AI/ANs had the largest
percentage decline in TB case rates (-27.4%) for any racial/ethnic group, but
NH/PIs had the smallest percentage decline (-3.5%). AI/ANs were more likely than
other racial/ethnic groups to be homeless, excessively use alcohol, receive
totally directly observed therapy, and come from counties with a greater
proportion of people living in poverty and without health insurance. A greater
proportion of NH/PIs had extrapulmonary disease and came from counties with a
higher proportion of people with a high school diploma. CONCLUSIONS: There is a
need to develop flexible TB-control strategies that address the social
determinants of health and that are tailored to the specific needs of AI/ANs and
NH/PIs in the U.S.
PMID- 21886329
TI - The persistent gap in health-care coverage between low- and high-income workers
in Washington State: BRFSS, 2003-2007.
AB - OBJECTIVES: We examined the disparities in health-care coverage between low- and
high-income workers in Washington State (WA) to provide support for possible
policy decisions for uninsured workers. METHODS: We examined data from the WA
Behavioral Risk Factor Surveillance System 2003-2007 and compared workers aged 18
64 years of low income (annual household income <$35,000) and high income (annual
household income >=$35,000) on proportions and sources of health-care coverage.
We conducted multivariable logistic regression analyses on factors that were
associated with the uninsured. RESULTS: Of the 54,536 survey respondents who were
working-age adults in WA, 13,922 (25.5%) were low-income workers. The proportions
of uninsured were 38.2% for low-income workers and 6.3% for high-income workers.
While employment-based health benefits remained a dominant source of health
insurance coverage, they covered only 40.2% of low-income workers relative to
81.5% of high-income workers. Besides income, workers were more likely to be
uninsured if they were younger; male; Hispanic; less educated; not married;
current smokers; self-employed; or employed in agriculture/forestry/fisheries,
construction, and retail. More low-income workers (28.7%) reported cost as an
issue in paying for health services than did their high-income counterparts
(6.7%). CONCLUSION: A persistent gap in health-care coverage exists between low-
and high-income workers. The identified characteristics of these workers can be
used to implement policies to expand health insurance coverage.
PMID- 21886330
TI - Disparities in the prevalence of obesity in Boston: results from the Boston Area
Community Health (BACH) survey.
AB - OBJECTIVE: This study examined disparities in the prevalence of obesity to
determine how future prevention and/or intervention efforts should be developed
to remedy obesity. METHODS: We obtained individual information of
sociodemographic characteristics, comorbid conditions, and lifestyle behaviors
from the Boston Area Community Health (BACH) survey for 2002-2005. To account for
the complex BACH sampling design, observations were weighted inversely to their
probability of selection, and sampling weights were poststratified to the Boston
population from the U.S. Census 2000. We tested all possible two- and three-way
interaction terms from a multivariate logistic regression model. RESULTS: After
controlling for individual determinants in detail and focusing on the population
within a single city, the associations of sociodemographic characteristics,
comorbid conditions, and lifestyle behaviors with obesity were consistent with
previous findings. Notably, three two-way interaction terms were significantly
associated with obesity: (1) race/ethnicity and gender, (2) gender and other
people in the household, and (3) race/ethnicity and alcohol consumption.
CONCLUSIONS: Future obesity prevention and/or intervention programs in Boston
need to be primarily gender- and racially/ethnically specific to minimize cost
and maximize results. Additional considerations are needed to take into account
the differences in age, the presence of other people in the household, and
education level.
PMID- 21886331
TI - Predictive modeling the physician assistant supply: 2010-2025.
AB - OBJECTIVE: A component of health-care reform in 2010 identified physician
assistants (PAs) as needed to help mitigate the expected doctor shortage. We
modeled their number to predict rational estimates for workforce planners.
METHODS: The number of PAs in active clinical practice in 2010 formed the
baseline. We used graduation rates and program expansion to project annual
growth; attrition estimates offset these amounts. A simulation model incorporated
historical trends, current supply, and graduation amounts. Sensitivity analyses
were conducted to systematically adjust parameters in the model to determine the
effects of such changes. RESULTS: As of 2010, there were 74,476 PAs in the active
workforce. The mean age was 42 years and 65% were female. There were 154
accredited educational programs; 99% had a graduating class and produced an
average of 44 graduates annually (total n=6,776). With a 7% increase in graduate
entry rate and a 5% annual attrition rate, the supply of clinically active PAs
will grow to 93,099 in 2015, 111,004 in 2020, and 127,821 in 2025. This model
holds clinically active PAs in primary care at 34%. CONCLUSIONS: The number of
clinically active PAs is projected to increase by almost 72% in 15 years.
Attrition rates, especially retirement patterns, are not well understood for PAs,
and variation could affect future supply. While the majority of PAs are in the
medical specialties and subspecialties fields, new policy steps funding PA
education and promoting primary care may add more PAs in primary care than the
model predicts.
PMID- 21886332
TI - Changes in the relationship between marriage and preterm birth, 1989-2006.
AB - OBJECTIVE: Maternal marriage has historically been protective against preterm
birth (PTB); however, social norms and behaviors surrounding marriage have
changed over time in the United States. We analyzed secular trends in the
relationship between marriage and PTB. METHODS: We collected data about all
births in Michigan between 1989 and 2006 to assess (1) the relationship between
marital status and PTB and moderately PTB risk by year, and (2) the relationship
between married and unmarried status and PTB and moderately PTB by year relative
to similar marital status in 1989. RESULTS: Among nearly 2.4 million births
between 1989 and 2006, PTB risk among married mothers increased while risk among
unmarried mothers decreased. In adjusted models, married status became less
protective against PTB relative to unmarried status over time by year, and was
associated with higher risk of PTB over time. Moderately PTB risk increased among
both married and unmarried groups, but more so among married mothers. CONCLUSION:
Our findings suggest that marriage is becoming less protective against PTB over
time. The influence of social factors on risk for adverse birth outcomes is
likely dynamic, suggesting that ongoing revisions to our understanding are in
order.
PMID- 21886333
TI - Outbreak of tuberculosis among Guatemalan immigrants in rural Minnesota, 2008.
AB - OBJECTIVES: We described the outbreak investigation and control measures after
the Minnesota Department of Health identified a cluster of tuberculosis (TB)
cases among Guatemalan immigrants within three rural Minnesota counties in August
2008. METHODS: TB cases were diagnosed by tuberculin skin test followed by chest
radiography and sputum testing for Mycobacterium tuberculosis (M. tuberculosis).
We reviewed medical records, interviewed patients, and completed a contact
investigation for each infectious case. We used isolate genotyping to confirm
epidemiologic links between cases. RESULTS: The index case was a six-month-old
U.S.-born male with Guatemalan parents. Although he experienced four months of
cough and fever, TB was not considered at two medical visits but was diagnosed
upon hospitalization in May 2008. The presumed source of infection was a
Guatemalan male aged 25 years who sang in a band that practiced in the infant's
house and whose pulmonary TB was diagnosed at hospitalization in June 2008,
despite his having sought medical attention for symptoms seven months earlier.
Among the 16 identified TB cases, 14 were outbreak-related. Three genetically
distinct M. tuberculosis strains circulated. Of 150 contacts of the singer, 62
(41%) had latent TB infection and 13 (9%), including 10 children, had TB disease.
CONCLUSIONS: In this outbreak, delayed diagnoses contributed to M. tuberculosis
transmission. Isolate genotyping corroborated the social links between outbreak
related patients. More timely diagnosis of TB among immigrants and their children
can prevent TB transmission among communities in rural, low-incidence areas that
might have limited resources for contact investigations.
PMID- 21886334
TI - Methods of generating state revenue for breast cancer prevention and early
detection: an analysis of pink dollars.
AB - OBJECTIVE: We assessed income tax check-offs, specialty license plates, and
lottery tickets as ways states raise funds for the early detection and prevention
of breast cancer. METHODS: We conducted an inventory of state legislation
allowing these revenue-generating methods, and collected and compared information
on each initiative. We conducted logistic regression analyses to compare these
methods with state breast cancer mortality rates. RESULTS: Eighteen states had
programs for contributions through an income tax check-off. Revenue for tax check
offs and plates is influenced by state population. The median annual revenue for
the income tax check-off was $115,000. Twenty-six states had breast cancer
license plates generating more than $4.1 million in revenue. The extra cost of
the plates ranged from $20 to 75 (mean = $37). Only Illinois offered a state
breast cancer lottery ticket, which raised $4 million from 2005 to 2009. States
with medium or high breast cancer mortality rates were 2.5 times more likely to
offer breast cancer specialty license plates than states with low breast cancer
mortality rates; however, we found no statistically significant difference in
breast cancer mortality tertiles by income tax check-off. CONCLUSION: Revenue
generating breast cancer initiatives can be successful strategies for states to
raise funds for breast cancer prevention and early detection programs. Although
these initiatives can generate revenue, amounts are variable due to population
differences, the number of other plates/check-offs/lotteries offered, and the
choice to decrease donations during difficult economic times. State breast cancer
mortality rates may influence the availability of these initiatives.
PMID- 21886335
TI - Using GIS-based density maps of HIV surveillance data to identify previously
unrecognized geographic foci of HIV burden in an urban epidemic.
PMID- 21886337
TI - The U.S. Constitution's Commerce Clause, the Supreme Court, and public health.
PMID- 21886340
TI - A method to enhance public health faculty participation in health policy
formation.
PMID- 21886341
TI - Sustainability of solar disinfection to provide safe drinking water in rural
Peru.
PMID- 21886342
TI - Frequency-dependent electrodeformation of giant phospholipid vesicles in AC
electric field.
AB - A model of vesicle electrodeformation is described which obtains a parametrized
vesicle shape by minimizing the sum of the membrane bending energy and the energy
due to the electric field. Both the vesicle membrane and the aqueous media inside
and outside the vesicle are treated as leaky dielectrics, and the vesicle itself
is modeled as a nearly spherical shape enclosed within a thin membrane. It is
demonstrated (a) that the model achieves a good quantitative agreement with the
experimentally determined prolate-to-oblate transition frequencies in the
kilohertz range and (b) that the model can explain a phase diagram of shapes of
giant phospholipid vesicles with respect to two parameters: the frequency of the
applied alternating current electric field and the ratio of the electrical
conductivities of the aqueous media inside and outside the vesicle, explored in a
recent paper (S. Aranda et al., Biophys J 95:L19-L21, 2008). A possible use of
the frequency-dependent shape transitions of phospholipid vesicles in
conductometry of microliter samples is discussed.
PMID- 21886343
TI - Mechanical behaviour analyses of sap ascent in vascular plants.
AB - A pure mechanical anisotropic model of a tree trunk has been developed based on
the 3D finite element method. It simulates the microscopic structure of vessels
in the trunk of a European beech (Fagus sylvatica) in order to study and analyse
its mechanical behaviour with different configurations of pressures in the
conduits of xylem and phloem. The dependence of the strains at the inner bark was
studied when sap pressure changed. The comparison with previously published
experimental data leads to the conclusion that a great tensile stress-or
'negative pressure'-must exist in the water column in order to achieve the
measured strains if only the mechanical point of view is taken into account.
Moreover, the model can help to design experiments where qualitatively knowing
the strains and the purely mechanical behaviour of the tree is required.
PMID- 21886344
TI - Characterization of excitation beam on second-harmonic generation in fibrillous
type I collagen.
AB - Following our established theoretical model to deal with the second-harmonic
generation (SHG) excited by a linearly polarized focused beam in type I collagen,
in this paper, we further quantitatively characterize the differences between SHG
emissions in type I collagen excited by collimated and focused beams. The effects
of the linear polarization angle (alpha) and the fibril polarity characterized by
the hyperpolarizability ratio rho on SHG emission has been compared under
collimated and focused beam excitation, respectively. In particular, SHG emission
components along the i axis [Formula: see text] (i = x,y,z), the induced SHG
emission deviation angle gamma(ij), and the detected SHG signals (I(2omega,ij))
in the ij plane by rotating the applied polarizer angle phi(ij) have been
investigated (i = x, x, y; j = y, z, z). Results show that under our simulation
model, SHG emission in the xy plane, such as I(2omega,x) ,I(2omega,y) ,gamma(xy)
and I(2omega,xy) varying as polarization angle (alpha) under collimated and
focused light, presents no significant difference. The reverse of the fibril
polarity has induced great impact on I(2omega,x) ,gamma(xy) and I(2omega,xy) in
both collimated and focused light. I(2omega,x) and gamma(xy) show similarity, but
I(2omega,xy) at alpha = 30 degrees demonstrates a slight difference in focused
light to that in collimated light. Under focused light, the reverse of fibril
polarity causes obvious changes of the collected SHG intensity I(2omega,xz) and
I(2omega,yz) at a special polarization angle alpha = 60 degrees and gamma(xz),
gamma(yz) along alpha.
PMID- 21886346
TI - FTIR spectroscopy of synthesized racemic nonacosan-10-ol: a model compound for
plant epicuticular waxes.
AB - As there are no published graphically presented, detailed IR spectra of nonacosan
10-ol (occurring naturally and widely in plant epicuticular waxes of nanotube
form), near IR FTIR spectroscopy (fundamentals, overtones and combinations) has
been performed on laboratory synthesized racemic nonacosan-10-ol, as a
crystalline solid on Mylar and polypropylene substrates. Room temperature, in
vacuo data are presented graphically, in full, and show evidence of extensive
hydrogen bonding, an orthorhombic perpendicular subcell, a methylene wagging
progression, diagnostic of all-trans conformational order, and Fermi resonance.
Moderate or stronger anharmonicity is confirmed. Detailed discussion,
quantitative in parts, is given of the observed spectral features, especially as
to how they inform crystal structure and molecular conformation, and assignments
given for some of the features. The results will serve as a reference for future
IR studies of the natural epicuticular wax nanotube form of (S)-nonacosan-10-ol.
PMID- 21886345
TI - Modeling organelle transport in branching dendrites with a variable cross
sectional area.
AB - The purpose of this paper is to develop a method for calculating organelle
transport in dendrites with a non-uniform cross-sectional area that depends on
the distance from the neuron soma. The model is based on modified Smith-Simmons
equations governing molecular motor-assisted organelle transport. The developed
method is then applied to simulating organelle transport in branching dendrites
with two particular microtubule (MT) orientations reported from experiments. It
is found that the rate of organelle transport toward a dendrite's growth cone
heavily depends on the MT orientation, and since there is experimental evidence
that the MT orientation in a particular region of a dendrite may depend on the
dendrite's developmental stage, the obtained results suggest that a rearrangement
of the MT structure may depend on the amount of organelles needed at the growth
cone.
PMID- 21886347
TI - Prediction of bending stiffness and deformed shape of non-axially compressed
microtubule by a semi-analytical approach.
AB - The bending stiffness of a microtubule is one of the most important parameters
needed in the analysis of microtubule deformation. In this study, a semi
analytical approach is developed to predict the bending stiffness and deformed
shape of a non-axially compressed microtubule in an explicit closed form. By
using the solution presented in this paper and the experimentally observed values
given in the literature, both the deformed configuration and bending stiffness of
a single microtubule are determined. The proposed method is validated by
comparing the obtained results with available data in the literature. The
comparison shows that the present semi-analytical formulation provides the same
accuracy with reduced numerical effort.
PMID- 21886348
TI - Dedications honor sleep research and sleep medicine trailblazer Dr. William C.
Dement.
PMID- 21886349
TI - Insomnia is a risk factor for suicide-what are the next steps?
PMID- 21886350
TI - The economic burden of insomnia at the workplace. An opportunity and time for
intervention?
PMID- 21886351
TI - Sleep duration and childhood obesity: moving from research to practice.
PMID- 21886352
TI - Sleeping problems and suicide in 75,000 Norwegian adults: a 20 year follow-up of
the HUNT I study.
AB - OBJECTIVES: To investigate the association of sleeping problems with suicide
risk. DESIGN: Prospective cohort study linking health survey information on sleep
problems to Norway's national mortality registry. Participants were followed up
from 1984-6 until December 31, 2004. SETTING: Residents of Nord-Trondelag County,
Norway, aged 20 years or older in 1984-6. PARTICIPANTS: Altogether 87,285 people
were eligible for the survey and 74,977 (86%) took part in one or more aspects of
the study. INTERVENTIONS: N/A. MEASUREMENTS AND RESULTS: Three percent of
participants experienced sleeping problems every night, 5% experienced problems
"often" and 31% reported problems "sometimes." There were 188 suicides during
follow-up. Sleeping problems at baseline were strongly associated with subsequent
suicide risk. Compared to participants who reported no sleeping problems the age-
and sex- adjusted hazard ratios for suicide were 1.9 (CI 1.3-2.6), 2.7 (CI 1.4
5.0), and 4.3 (CI 2.3-8.3) for reporting sleeping problems sometimes, often, or
almost every night, respectively. Associations were stronger in younger (< 50
years) participants, but we found no statistical evidence for gender differences.
Adjusting for measures of common mental disorder and alcohol use at baseline
weakened the associations, but the 3% of subjects with the worst sleep patterns
remained at two fold increased risk of suicide. CONCLUSIONS: Sleeping problems
are a marker of suicide risk, mainly due to the presence of both sleeping
problems and mixed anxiety and depression. Physicians should be aware of the
possible vulnerability for people affected by sleeping problems.
PMID- 21886353
TI - Insomnia and the performance of US workers: results from the America insomnia
survey.
AB - STUDY OBJECTIVES: To estimate the prevalence and associations of broadly defined
(i.e., meeting full ICD-10, DSM-IV, or RDC/ICSD-2 inclusion criteria) insomnia
with work performance net of comorbid conditions in the America Insomnia Survey
(AIS). DESIGN/SETTING: Cross-sectional telephone survey. PARTICIPANTS: National
sample of 7,428 employed health plan subscribers (ages 18+). INTERVENTIONS: None.
MEASUREMENTS AND RESULTS: Broadly defined insomnia was assessed with the Brief
Insomnia Questionnaire (BIQ). Work absenteeism and presenteeism (low on-the-job
work performance defined in the metric of lost workday equivalents) were assessed
with the WHO Health and Work Performance Questionnaire (HPQ). Regression analysis
examined associations between insomnia and HPQ scores controlling 26 comorbid
conditions based on self-report and medical/pharmacy claims records. The
estimated prevalence of insomnia was 23.2%. Insomnia was significantly associated
with lost work performance due to presenteeism (chi2 (1) = 39.5, P < 0.001) but
not absenteeism (chi2 (1) = 3.2, P = 0.07), with an annualized individual-level
association of insomnia with presenteeism equivalent to 11.3 days of lost work
performance. This estimate decreased to 7.8 days when controls were introduced
for comorbid conditions. The individual-level human capital value of this net
estimate was $2,280. If we provisionally assume these estimates generalize to the
total US workforce, they are equivalent to annualized population-level estimates
of 252.7 days and $63.2 billion. CONCLUSIONS: Insomnia is associated with
substantial workplace costs. Although experimental studies suggest some of these
costs could be recovered with insomnia disease management programs, effectiveness
trials are needed to obtain precise estimates of return-on-investment of such
interventions from the employer perspective.
PMID- 21886354
TI - Sleep duration and self-rated health: the national health interview survey 2008.
AB - BACKGROUND: Self-rated health (SRH) has been shown to consistently predict
overall mortality and cardiovascular mortality in several population-based
studies across the world. Similarly sleep duration have been found to be
associated with cardiovascular disease (CVD) and mortality. However, relatively
few studies have examined the association between sleep duration and SRH, and the
results have not been consistent. METHODS: We conducted a cross-sectional study
of n = 20,663 National Health Interview Survey 2008 participants >= 18 years of
age (56.2% women). Sleep duration was categorized as <= 5 h, 6 h, 7 h, 8 h, and
>= 9 h. The main outcome interest was fair/poor SRH (n = 3043). RESULTS: We found
both short and long sleep duration to be independently associated with fair/poor
SRH, independent of age, sex, race-ethnicity, smoking, alcohol intake, body mass
index, physical activity, depression, diabetes mellitus, hypertension, and CVD.
Compared with a sleep duration of 7 h (referent), the multivariate odds ratio
(95% confidence interval) of fair/poor SRH was 2.29 (1.86-2.83), 1.68 (1.42
2.00), 1.38 (1.18-1.61), and 1.98 (1.63-2.40) for sleep duration <= 5, 6, 8, and
>= 9 h. This association persisted in subgroup analyses by gender, race
ethnicity, and body mass index categories. CONCLUSION: Compared with sleep
duration of 7 h, there was a positive association between both shorter and longer
sleep duration and fair/poor self-rated health in a representative sample of US
adults.
PMID- 21886355
TI - Sleep reactivity and insomnia: genetic and environmental influences.
AB - STUDY OBJECTIVES: Determine the genetic and environmental contributions to sleep
reactivity and insomnia. DESIGN: Population-based twin cohort. PARTICIPANTS: 1782
individual twins (988 monozygotic or MZ; 1,086 dizygotic or DZ), including 744
complete twin pairs (377 MZ and 367 DZ). Mean age was 22.5 +/- 2.8 years; gender
distribution was 59% women. MEASUREMENTS: Sleep reactivity was measured using the
Ford Insomnia Response to Stress Test (FIRST). The criterion for insomnia was
having difficulty falling asleep, staying asleep, or nonrefreshing sleep "usually
or always" for >= 1 month, with at least "somewhat" interference with daily
functioning. RESULTS: The prevalence of insomnia was 21%. Heritability estimates
for sleep reactivity were 29% for females and 43% for males. The environmental
variance for sleep reactivity was greater for females and entirely due to
nonshared effects. Insomnia was 43% to 55% heritable for males and females,
respectively; the sex difference was not significant. The genetic variances in
insomnia and FIRST scores were correlated (r = 0.54 in females, r = 0.64 in
males), as were the environmental variances (r = 0.32 in females, r = 0.37 in
males). In terms of individual insomnia symptoms, difficulty staying asleep (25%
to 35%) and nonrefreshing sleep (34% to 35%) showed relatively more genetic
influences than difficulty falling asleep (0%). CONCLUSIONS: Sleep reactivity to
stress has a substantial genetic component, as well as an environmental
component. The finding that FIRST scores and insomnia symptoms share genetic
influences is consistent with the hypothesis that sleep reactivity may be a
genetic vulnerability for developing insomnia.
PMID- 21886356
TI - Prevalence and impact of short sleep duration in redeployed OIF soldiers.
AB - STUDY OBJECTIVES: Short sleep duration (SSD) is common among deployed soldiers.
The prevalence of SSD during redeployment, however, is unknown. DESIGN: Cross
sectional study of a brigade combat team (n = 3152 US Army soldiers) surveyed 90
180 days after completing a 6-15 month deployment to Iraq as part of Operation
Iraqi Freedom (OIF). Survey items targeted sleep habits and comorbid medical
conditions. Multivariate logistic regression analyses were performed to calculate
adjusted odds ratios of medical comorbidities associated with SSD. SETTING: US
Army Infantry Post. SUBJECTS: All soldiers from a redeploying brigade combat team
participated in a health assessment between 90 and 180 days upon return to Ft.
Lewis from Iraq. INTERVENTIONS: None. MEASUREMENTS AND RESULTS: A total of 2738
(86.9%) soldiers answered questions regarding self-perceived sleep and were
included in the analysis. Mean sleep duration was 5.8 +/- 1.2 hours. Nineteen
hundred fifty-nine (72%) slept <= 6 h, but only 16% reported a daytime nap or
felt their job performance was affected due to lack of sleep. Short sleep was
more common among soldiers who reported combat exposure. After controlling for
combat exposure, short sleep duration (SSD) was associated with symptoms of
depression, posttraumatic stress disorder, panic syndrome, and with high-risk
health behaviors such as abuse of tobacco and alcohol products, and suicide
attempts. CONCLUSIONS: SSD is common among redeployed soldiers. Soldiers who
experienced combat are at increased risk for persistent SSD and comorbidities
associated with SSD. Efforts to reestablish good sleep habits and aggressive
evaluation of soldiers with persistent SSD following deployment may aid in the
prevention and management of associated medical conditions.
PMID- 21886357
TI - Longitudinal association between short sleep, body weight, and emotional and
learning problems in Hispanic and Caucasian children.
AB - STUDY OBJECTIVE: To determine the impact of lower amounts of childhood sleep
assessed by polysomnogram on development of obesity, being anxious or depressed,
or having learning problems 5 years later. DESIGN: Prospective cohort.
PARTICIPANTS: Subjects were 304 community participants from the Tucson Children's
Assessment of Sleep Apnea study, aged 6-12 years old at baseline. MEASUREMENTS
AND RESULTS: Children were classified according to baseline sleep as those who
slept >= 9 h/night, those who slept > 7.5 to < 9 h/night, and those who slept <=
7.5 h/night. Odds of overweight/obese (>= 85(th) BMI percentile), obese (>=
95(th) BMI percentile), anxious or depressed, and learning problems at follow-up
were assessed according to baseline sleep categories. Children who slept <= 7.5
h/night had higher odds of being obese (OR = 3.3, P < 0.05) at follow-up than
children who slept >= 9 h/night. Borderline significance for overweight/obese (OR
= 2.2, P < 0.1), anxious or depressed (OR = 3.3, P < 0.1), and having learning
problems (OR = 11.1, P < 0.1) were seen for children who slept <= 7.5 h/night as
compared to those who slept >= 9 h/night. A mean increase in BMI of 1.7 kg/m(2)
(P = 0.01) over the 5 years of follow-up was seen for children who slept <= 7.5
h/night compared to those who slept >= 9 h/night. These relationships did not
differ between Hispanic and Caucasian children. CONCLUSIONS: Children with
reduced amounts of sleep (<= 7.5 h/night) had an increased risk for higher body
weight in early adolescence. Similarly, children who slept <= 7.5 h/night had
higher risk of being anxious or depressed or having learning problems in early
adolescence.
PMID- 21886358
TI - Effects of sleep apnea on nocturnal free fatty acids in subjects with heart
failure.
AB - STUDY OBJECTIVES: Sleep apnea is common in patients with congestive heart
failure, and may contribute to the progression of underlying heart disease.
Cardiovascular and metabolic complications of sleep apnea have been attributed to
intermittent hypoxia. Elevated free fatty acids (FFA) are also associated with
the progression of metabolic, vascular, and cardiac dysfunction. The objective of
this study was to determine the effect of intermittent hypoxia on FFA levels
during sleep in patients with heart failure. DESIGN AND INTERVENTIONS: During
sleep, frequent blood samples were examined for FFA in patients with stable heart
failure (ejection fraction < 40%). In patients with severe sleep apnea (apnea
hypopnea index = 65.5 +/- 9.1 events/h; average low SpO2 = 88.9%), FFA levels
were compared to controls with milder sleep apnea (apnea-hypopnea index = 15.4 +/
3.7 events/h; average low SpO2 = 93.6%). In patients with severe sleep apnea,
supplemental oxygen at 2-4 liters/min was administered on a subsequent night to
eliminate hypoxemia. MEASUREMENTS AND RESULTS: Prior to sleep onset, controls and
patients with severe apnea exhibited a similar FFA level. After sleep onset,
patients with severe sleep apnea exhibited a marked and rapid increase in FFA
relative to control subjects. This increase persisted throughout NREM and REM
sleep exceeding serum FFA levels in control subjects by 0.134 mmol/L (P =
0.0038). Supplemental oxygen normalized the FFA profile without affecting sleep
architecture or respiratory arousal frequency. CONCLUSION: In patients with heart
failure, severe sleep apnea causes surges in nocturnal FFA that may contribute to
the accelerated progression of underlying heart disease. Supplemental oxygen
prevents the FFA elevation.
PMID- 21886359
TI - Sleep disturbances are related to decreased transmission of blue light to the
retina caused by lens yellowing.
AB - STUDY OBJECTIVES: Sleep pattern and circadian rhythms are regulated via the
retinohypothalamic tract in response to stimulation of a subset of retinal
ganglion cells, predominantly by blue light (450-490 nm). With age, the
transmission of blue light to the retina is reduced because of the aging process
of the human lens, and this may impair the photoentrainment of circadian rhythm
leading to sleep disorders. The aim of the study was to examine the association
between lens aging and sleep disorders. DESIGN: Cross-sectional population based
study. SETTING: The study was performed at the Research Center for Prevention and
Health, Glostrup Hospital, Denmark and at the Department of Ophthalmology, Herlev
Hospital, Denmark. PARTICIPANTS: An age- and sex-stratified sample of 970 persons
aged 30 to 60 years of age drawn from a sample randomly selected from the
background population. INTERVENTIONS: Not applicable. MEASUREMENTS AND RESULTS:
Sleep disturbances were evaluated by a combination of questionnaire and the use
of prescription sleeping medication. Lens aging (transmission and yellowing) was
measured objectively by lens autofluorometry. The risk of sleep disturbances was
significantly increased when the transmission of blue light to the retina was
low, even after correction for the effect of age and other confounding factors
such as smoking habits, diabetes mellitus, gender, and the risk of ischemic heart
disease (P < 0.0001). CONCLUSIONS: Filtration of blue light by the aging lens was
significantly associated with an increased risk of sleep disturbances. We propose
that this is a result of disturbance of photoentrainment of circadian rhythms.
PMID- 21886361
TI - The effect of sleep deprivation on vocal expression of emotion in adolescents and
adults.
AB - STUDY OBJECTIVE: Investigate the impact of sleep deprivation on vocal expression
of emotion. DESIGN: Within-group repeated measures analysis involving sleep
deprivation and rested conditions. SETTING: Experimental laboratory setting.
PATIENTS OR PARTICIPANTS: Fifty-five healthy participants (24 females), including
38 adolescents aged 11-15 y and 17 adults aged 30-60 y. INTERVENTIONS: A
multimethod approach was used to examine vocal expression of emotion in
interviews conducted at 22:30 and 06:30. On that night, participants slept a
maximum of 2 h. MEASUREMENTS AND RESULTS: Interviews were analyzed for vocal
expression of emotion via computerized text analysis, human rater judgments, and
computerized acoustic properties. Computerized text analysis and human rater
judgments indicated decreases in positive emotion in all participants at 06:30
relative to 22:30, and adolescents displayed a significantly greater decrease in
positive emotion via computerized text analysis relative to adults. Increases in
negative emotion were observed among all participants using human rater
judgments. Results for the computerized acoustic properties indicated decreases
in pitch, bark energy (intensity) in certain high frequency bands, and vocal
sharpness (reduction in high frequency bands > 1000 Hz). CONCLUSIONS: These
findings support the importance of sleep for healthy emotional functioning in
adults, and further suggest that adolescents are differentially vulnerable to the
emotional consequences of sleep deprivation.
PMID- 21886360
TI - Relationships between menopausal and mood symptoms and EEG sleep measures in a
multi-ethnic sample of middle-aged women: the SWAN sleep study.
AB - STUDY OBJECTIVES: Examine associations of vasomotor and mood symptoms with
visually scored and computer-generated measures of EEG sleep. DESIGN: Cross
sectional analysis. SETTING: Community-based in-home polysomnography (PSG).
PARTICIPANTS: 343 African American, Caucasian, and Chinese women; ages 48-58
years; pre-, peri- or post-menopausal; participating in the Study of Women's
Health Across the Nation Sleep Study (SWAN Sleep Study). INTERVENTIONS: None.
MEASUREMENTS AND RESULTS: Measures included PSG-assessed sleep duration,
continuity, and architecture, delta sleep ratio (DSR) computed from automated
counts of delta wave activity, daily diary-assessed vasomotor symptoms (VMS),
questionnaires to collect mood (depression, anxiety) symptoms, medication, and
lifestyle information, and menopausal status using bleeding criteria. Sleep
outcomes were modeled using linear regression. Nocturnal VMS were associated with
longer sleep time. Higher anxiety symptom scores were associated with longer
sleep latency and lower sleep efficiency, but only in women reporting nocturnal
VMS. Contrary to expectations, VMS and mood symptoms were unrelated to either DSR
or REM latency. CONCLUSIONS: Vasomotor symptoms moderated associations of anxiety
with EEG sleep measures of sleep latency and sleep efficiency and was associated
with longer sleep duration in this multi-ethnic sample of midlife women.
PMID- 21886362
TI - The extent of processing of near-hearing threshold stimuli during natural sleep.
AB - OBJECTIVES: Event-related potential (ERPs) provide an exquisite means to monitor
the extent of processing of external stimulus input during sleep. The processing
of relatively high intensity stimuli has been well documented. Sleep normally
occurs in much less noisy environments. The present study therefore employed ERPs
to examine the extent of processing of very low intensity (near-hearing
threshold) stimuli. DESIGN: Brief duration 1000 Hz auditory tone bursts varying
in intensity at random from -5 to +45 dB from normative hearing level (nHL) in 10
dB steps were presented every 1.5 to 2.5 s when the subject was awake and reading
a book and again during all-night sleep. SUBJECTS: n = 10 healthy young adults.
MEASUREMENTS AND RESULTS: In the waking state, the auditory stimuli elicited a
negative-going deflection, N1, peaking at about 100 ms, followed by a smaller
positivity, P2, peaking at about 180 ms. N1-P2 gradually decreased in amplitude
with decreases in stimulus intensity and remained visible at near-hearing
threshold levels. During NREM sleep, the amplitude of N1 was at baseline level
and was reduced to only 15% to 20% of its waking amplitude during REM sleep. P2
was much larger in sleep than in wakefulness. Importantly, during sleep, P2 could
be reliably elicited by the auditory stimuli to within 15 dB of threshold. During
NREM, a large amplitude negativity peaking at about 350 ms was elicited by the
higher intensity stimuli. This N350 was much reduced in amplitude during REM
sleep. A significant N350 was not, however, elicited when stimuli intensity
levels were below 25 dB nHL. CONCLUSIONS: Auditory stimuli that are only slightly
above hearing threshold appear to be processed extensively during a 200 to 400 ms
interval in both NREM and REM sleep. The nature of this processing is, however,
very different compared to the waking state.
PMID- 21886363
TI - The role of the spontaneous and evoked k-complex in good-sleeper controls and in
individuals with insomnia.
AB - STUDY OBJECTIVES: Spontaneous and evoked K-complexes are believed to reflect a
mechanism of sleep protection, yet their functional role remains a subject of
debate. Studying the K-complex in primary insomnia, for which sleep protection
appears to be of central importance, may provide further insight on its role. The
purpose of the present study was to determine the role of spontaneous and evoked
K-complexes in good sleepers and to investigate its role in primary insomnia.
PARTICIPANTS: Twelve individuals with primary insomnia (mean age = 45.4 years)
and 12 good-sleeper controls (mean age = 44.3 years). METHODS AND PROCEDURE:
Participants underwent 4 consecutive nights of polysomnographic recordings.
Spontaneous K-complexes (SKC) were analyzed during continuous stage 2 sleep on
the third night, whereas evoked K-complexes (EKC) were elicited by auditory
stimuli on the fourth night. Relative spectral power was measured on 1-second
electroencephalographic segments prior to and following SKC, EKC, and trials in
which auditory stimuli did not elicit a K-complex (EKC-). K-complex amplitudes
were also measured. RESULTS: Measures of relative spectral power showed
significant changes following EKC (increase in activity in the delta frequency
band and decrease in activity in the theta, sigma, beta, and gamma frequency
bands) and SKC (increase in activity in delta frequency band and decrease in
activity in theta, alpha, sigma, and beta frequency bands) for good sleepers,
whereas there was no such marked change in electroencephalographic activity
surrounding EKC-. A similar pattern of electroencephalographic activity was also
observed for the insomnia group on trials of each different K-complex. Auditory
stimuli elicited the same proportion of EKC for both groups, whereas SKC density
was higher for the insomnia group (1.52 SKC/min) than for good sleepers (1.06
SKC/minute) CONCLUSION: These results suggest that the SKC and the EKC both
promote deeper sleep, further supporting a sleep-protection role.
PMID- 21886364
TI - Sleep disturbance impairs stroke recovery in the rat.
AB - STUDY OBJECTIVES: There is a lack of experimental evidence to support the
hypothesis that sleep may modulate stroke outcome as suggested by clinical
observations. We have previously shown that sleep disturbance (SDis) over 3 days
aggravates brain damage in a rat model of focal cerebral ischemia. The aim of
this study is to further investigate effects of SDis on long-term stroke recovery
and neuroplasticity as assessed by axonal sprouting, neurogenesis, and
angiogenesis. DESIGN: Focal cerebral ischemia was induced by permanent occlusion
of the distal branches of middle cerebral artery. Twelve hours after initiation
of ischemia, SDis was performed over 3 consecutive days (deprivation of 80% sleep
during the 12-h light phase). Weekly assessments on sensorimotor function by the
single pellet reaching test (SPR) were performed for 5 weeks after surgery.
Axonal sprouting was evaluated by anterograde tracing with biotinylated dextran
amine (BDA) and neurogenesis/angiogenesis by bromodeoxyuridine (BrdU) labelling
along with cell-type markers. Control groups included ischemia without SDis, sham
with SDis, and sham without SDis. SETTING: Basic sleep research laboratory.
MEASUREMENTS AND RESULTS: Rats subjected to SDis after ischemia showed
significantly less recovery of forearm motor skills during the post-stroke period
of 5 weeks. This effect was accompanied by a substantial reduction in axonal
sprouting, expression of synaptophysin, and the ischemia-stimulated neural and
vascular cell proliferation. CONCLUSION: SDis has detrimental effects on
functional and morphological/structural outcomes after stroke, suggesting a role
of sleep in the modulation of recovery processes and neuroplasticity.
PMID- 21886366
TI - Migration and Environmental Hazards.
AB - Losses due to natural hazards (e.g., earthquakes, hurricanes) and technological
hazards (e.g., nuclear waste facilities, chemical spills) are both on the rise.
One response to hazard-related losses is migration, with this paper offering a
review of research examining the association between migration and environmental
hazards. Using examples from both developed and developing regional contexts, the
overview demonstrates that the association between migration and environmental
hazards varies by setting, hazard types, and household characteristics. In many
cases, however, results demonstrate that environmental factors play a role in
shaping migration decisions, particularly among those most vulnerable. Research
also suggests that risk perception acts as a mediating factor. Classic migration
theory is reviewed to offer a foundation for examination of these associations.
PMID- 21886367
TI - Knowledge translation, evidence-based practice, and you.
PMID- 21886365
TI - Continuous positive airway pressure: evaluation of a novel therapy for patients
with acute ischemic stroke.
AB - BACKGROUND: New approaches are needed to treat patients with stroke. Among acute
ischemic stroke patients, our primary objectives were to describe the prevalence
of sleep apnea and demonstrate the feasibility of providing auto-titrating
continuous positive airway pressure (auto-CPAP). A secondary objective was to
examine the effect of auto-CPAP on stroke severity. METHODS: Stroke patients
randomized to the intervention group received 2 nights of auto-CPAP, but only
those with evidence of sleep apnea received auto-CPAP for the remainder of the 30
day period. Intervention patients received polysomnography 30 days post-stroke.
Control patients received polysomnography at baseline and after 30 days.
Acceptable auto-CPAP adherence was defined as >= 4 h/night for >= 75% nights.
Change in stroke severity was assessed comparing the NIH Stroke Scale (NIHSS) at
baseline versus at 30 days. RESULTS: The 2 groups (intervention N = 31, control N
= 24) had similar baseline stroke severity (both median NIHSS, 3.0). Among
patients with complete polysomnography data, the majority had sleep apnea:
baseline, 13/15 (86.7%) control patients; 30 days, 24/35 (68.6%) control and
intervention patients. Intervention patients had greater improvements in NIHSS (
3.0) than control patients (-1.0); P = 0.03. Among patients with sleep apnea,
greater improvement was observed with increasing auto-CPAP use: -1.0 for control
patients not using auto-CPAP; -2.5 for intervention patients with some auto-CPAP
use; and -3.0 for intervention patients with acceptable auto-CPAP adherence.
CONCLUSIONS: The majority of acute stroke patients had sleep apnea. Auto-CPAP was
well tolerated, appears to improve neurological recovery from stroke, and may
represent a new therapeutic approach for selected patients with acute cerebral
infarction.
PMID- 21886368
TI - Patients are satisfied with advanced practice physiotherapists in a role
traditionally performed by orthopaedic surgeons.
AB - PURPOSE: To measure and compare patient satisfaction with follow-up care in
advanced practice physiotherapist (APP) and orthopaedic surgeon clinics for
patients following total hip or knee replacement. METHOD: Consecutive patients
attending either an APP-led or a surgeon-led review clinic were surveyed using a
modified nine-item satisfaction questionnaire based on the Visit-Specific
Satisfaction Instrument (VSQ-9). Chi-square analyses were used to examine
differences in patient characteristics and type of visit. Independent t-tests
were used to examine potential differences in patient satisfaction. RESULTS: Of
the 123 participants, more than half were aged 65 years or older. Chi-squared
analyses revealed no significant difference in participant characteristics
(gender, age, and overall health status) between the two different types of
clinics. There was a significant difference (chi(2) (4)=12.49, p=0.014) in the
distribution of the timing of follow-up appointments. There was no significant
difference between the groups in mean overall patient satisfaction scores on the
modified VSQ-9 (p=0.34) nor in the mean of the sum of the seven items related to
the service provider (p=0.85). Satisfaction scores for most of the service
provider items were above 90/100. CONCLUSION: Patients are highly satisfied with
the care provided by APPs in follow-up clinics after joint replacement.
Evaluation of the patient perspective is essential to any new role involving a
shift in traditional practice boundaries.
PMID- 21886369
TI - Clinician's Commentary.
PMID- 21886370
TI - Case Report: Schizophrenia Discovered during the Patient Interview in a Man with
Shoulder Pain Referred for Physical Therapy.
AB - PURPOSE: The purpose of this case report is to demonstrate the importance of a
thorough patient interview. The case involves a man referred for physical therapy
for a musculoskeletal dysfunction; during the patient interview, a psychiatric
disorder was recognized that was later identified as schizophrenia. A secondary
purpose is to educate physical therapists on the recognizable signs and symptoms
of schizophrenia.Client description: A 19-year-old male patient with chronic
shoulder, elbow, and wrist pain was referred for physical therapy. During the
interview, the patient reported that he was receiving signals from an electronic
device implanted in his body.Measures and outcome: The physical therapist's
initial assessment identified a disorder requiring medical referral. Further
management of the patient's musculoskeletal dysfunction was not appropriate at
this time. INTERVENTION: The patient was referred for further medical
investigation, as he was demonstrating signs suggestive of a psychiatric
disorder. The patient was diagnosed with schizophrenia by a psychiatrist and was
prescribed Risperdal. IMPLICATIONS: This case study reinforces the importance of
a thorough patient interview by physical therapists to rule out non
musculoskeletal disorders. Patients seeking neuromusculoskeletal assessment and
treatment may have undiagnosed primary or secondary psychiatric disorders that
require recognition by physical therapists and possible medical referral.
PMID- 21886371
TI - An interdisciplinary pain rehabilitation programme: description and evaluation of
outcomes.
AB - PURPOSE: The purpose of this archival report is to describe the essential
elements of an intensive 8-week interdisciplinary pain rehabilitation programme
(IPRP) with a cognitive-behavioural emphasis and the results that can be expected
in treating patients with chronic pain conditions. METHOD: This report describes
a private outpatient program providing treatment services to patients with long
term disabling pain arising from work- or accident-related musculoskeletal
injuries. The cohort consists of 338 consecutive patients who completed the
program over a 3-year period (patients discharged between January 1, 2005, and
December 31, 2007). RESULTS: Improvements in vocational status were noted in 75%
of patients with chronic pain. Patients were also able to reduce their pain
levels by approximately 16% and to reduce their levels of anxiety and depression
by 13% and 17% respectively. At the same time, 61% of patients were able to
reduce or eliminate their pain medications. CONCLUSIONS: Outcomes are consistent
with evidence-based clinical practice guidelines for the management of chronic
pain conditions. The published literature supports the efficacy of this
interdisciplinary approach in highly disabled patients for whom effective
treatment has been delayed. Early intervention in the subacute phase is
recommended for prevention of long-term disability in patients with chronic pain.
PMID- 21886373
TI - Ethics of involving children in health-related research: applying a decision
making framework to a clinical trial.
AB - PURPOSE: This paper explores ethical issues related to the involvement of
children in health-related research through the application of a conceptual model
(the Miller and Kenny framework) to a current clinical trial on casting protocols
for equinus gait of children with cerebral palsy (CP). SUMMARY OF KEY POINTS: The
direct involvement of children in health-related research is important for
maintaining and improving standards of paediatric clinical care. Ethical
considerations around investigations involving this highly vulnerable population
are complex, however, requiring the involvement of many levels of decision makers
government, research ethics boards (REBs), health care providers, parents, and
children. The Miller and Kenny framework is useful in distinguishing these levels
and heightening awareness of the complexities of the issues around engaging
children in research. Considerations include the role of parents/caregivers in
decision making, individual assessment of the child's decisional capacities,
close attention to the child's context and life experience, provision of
developmentally appropriate information about the research study, and careful
assessment of dissent prior to withdrawing the child from the study.
RECOMMENDATIONS: Physical therapists involved in paediatric clinical practice
and/or research must be knowledgeable about ethical principles, policies, and REB
requirements. The Miller and Kenny framework is a helpful guide to clarify
decision-making roles around children's participation in research.
PMID- 21886372
TI - Procedural pain management for children receiving physiotherapy.
AB - PURPOSE: This article provides an overview of literature relevant to the
prevention and relief of pain and distress during physiotherapy procedures, with
guidance for physiotherapists treating children. SUMMARY OF KEY POINTS:
Physiotherapists are generally well trained in assessing and managing pain as a
symptom of injury or disease, but there is a need to improve the identification
and management of pain produced by physiotherapy procedures such as stretching
and splinting. In contrast to physiotherapy, other health care disciplines, such
as dentistry, nursing, paediatrics, emergency medicine, and paediatric
psychology, produce extensive literature on painful procedures. Procedural pain
in children is particularly important because it can lead to later fear and
avoidance of necessary medical care. RECOMMENDATIONS: We emphasize the need for
physiotherapists to recognize procedural pain and fear in the course of treatment
using verbal, nonverbal, and contextual cues. We present many methods that
physiotherapists can use to prevent or relieve procedural pain and fear in
paediatric patients and provide an example of a simple, integrated plan for
prevention and relief of distress induced by painful procedures.
PMID- 21886374
TI - The provision of weekend physiotherapy services in tertiary-care hospitals in
Canada.
AB - PURPOSE: To describe the provision of weekend physiotherapy (PT) services in
tertiary-care hospitals in Canada. METHODS: A prospective cross-sectional survey
was conducted across tertiary-care hospitals, defined as those with university
affiliation and at least one intensive care unit (ICU). Data were collected via
telephone-administered questionnaires addressing hospital demographics, weekend
staffing, workload, and weekend referral criteria. RESULTS: A response rate of
84% (n=36) was obtained. Of facilities providing weekend PT services (97%), 35
(100%) provided care on Saturdays, 32 (91%) on Sundays, and 33 (94%) on statutory
holidays. Weekend services were staffed using permanent full-time (n=35; 100%) or
part-time (n=28; 80%) in-patient staff or outsourced staff (n=1; 3%). The number
of physiotherapists available on the weekend was smaller than the number
available during the week (p<0.001). Common weekend referral criteria included
cardiorespiratory problems (n=35; 100%), postoperative assessment of patients at
risk for deterioration (n=32; 91%), and patients scheduled for discharge pending
PT assessment (n=30; 86%). CONCLUSION: Both the scope and the number of staff
available to provide PT services were less on the weekend than during the week.
Despite the use of common criteria for weekend referral, variability in this
service exists. Knowledge pertaining to current weekend PT services provides
opportunities for harmonization of service delivery.
PMID- 21886375
TI - Clinicians' commentary.
PMID- 21886376
TI - Modifiable Risk Factors Identify People Who Transition from Non-fallers to
Fallers in Community-Dwelling Older Adults: A Prospective Study.
AB - PURPOSE: To identify modifiable risk factors associated with the transition from
non-faller to faller in community-dwelling older adults. METHOD: A prospective
study design was used. Adults aged 60 to 90 years (n=90, mean age=79.7 years, 63%
male) who did not report falling in the past year were included. A comprehensive
geriatric assessment was performed at study baseline, and daily falls data were
collected monthly for 1 year. Multivariable regression using a modified Poisson
model on fall status (yes/no) and a Cox proportional hazards model for time to
first fall were used to identify risk factors. RESULTS: Twenty-four people (27%)
fell. Modifiable risk factors were present in 67% of study participants, and fall
risk increased as the number of risk factors increased. The most common
activities performed prior to falling were walking and using stairs. Fall risk
doubled ([relative risk=2.00; 95%CI: 1.13-3.56) per unit increase in the number
of risk factors (lower-extremity weakness, balance impairment, and >=4
prescription medications). CONCLUSIONS: Among older adults who were self-reported
non-fallers, falls were a common outcome, and modifiable risk factors were
present in the majority of the sample. The absence of a fall history does not
rule out the need to screen for other risk factors for falls. Functional lower
extremity weakness, balance impairment as measured by the Berg Balance Scale
(score <50), and number of risk factors were independent predictors for the
transition in status from non-faller to faller. Further research is required to
define effective interventions to prevent first falls.
PMID- 21886377
TI - Pulmonary rehabilitation: overwhelming evidence but lost in translation?
AB - PURPOSE: This report highlights the current international gap between the
availability of high-quality evidence for pulmonary rehabilitation (PR) and its
low level of implementation. Key barriers are outlined, and potentially effective
strategies to improve implementation are presented. SUMMARY OF KEY POINTS:
Although pulmonary rehabilitation (PR) is recommended by international guidelines
as part of the management of patients with chronic obstructive pulmonary disease
(COPD), participation in PR remains low. Physician referral to PR ranges from 3%
to 16% of suitable patients. Barriers to participation include limited
availability of suitable programmes and interrelated issues of referral and
access. Individual patient barriers, including factors relating to comorbidities
and exacerbations, perceptions of benefit, and ease of access, contribute less
overall to low participation rates. Chronic care programmes that incorporate self
management support have some benefit in patients with COPD. However, the
demonstrated cost-effectiveness of PR is substantial, and efforts to improve its
implementation are urgently indicated. CONCLUSION: To improve implementation, a
holistic examination of the key issues influencing a patient's participation in
PR is needed. Such an examination should consider the relative influences of
environmental (e.g., health-service-related) factors, organizational factors
(e.g., referral and intake procedures), and individual factors (e.g., patient
barriers) for all participants. On the basis of these findings, policy, funding,
service delivery, and other interventions to improve participation in PR can be
developed and evaluated.
PMID- 21886378
TI - Clinician's Commentary.
PMID- 21886379
TI - Modelling knee range of motion post arthroplasty: clinical applications.
AB - PURPOSE: To model change in knee range of motion (ROM) post total knee
arthroplasty (TKA) and to show how this information can be incorporated into
clinical decision making. METHOD: We applied a variable-occasion repeated
measures study design. Patients' knee flexion and extension ROM were assessed pre
and post arthroplasty over the ensuing 60 weeks. We examined change in ROM post
TKA using linear and nonlinear mixed-effects modelling, and examined whether age,
body mass index, prearthroplasty ROM, and gender were determinants of recovery in
post-arthroplasty ROM. RESULTS: Of 93 eligible patients, 74 provided pre- and
post-arthroplasty data. A random intercept nonlinear model fit the flexion data
best, and a random intercept linear model fit the extension data best. Pre
arthroplasty ROM was found to be a determinant of recovery in ROM post
arthroplasty. This finding was common to both flexion and extension models.
CONCLUSIONS: Our study showed that the greatest improvement for knee ROM took
place during the first 12 weeks post arthroplasty. Of the variables examined,
only pre-arthroplasty ROM was a determinant of outcome (p<0.05). The study
results provide clinicians with data to determine expected rates of improvement
for patients as well as the projected maximum ROM, facilitating improved clinical
decision making.
PMID- 21886380
TI - The effect of modified constraint-induced movement therapy on spasticity and
motor function of the affected arm in patients with chronic stroke.
AB - PURPOSE: The purpose of this study was to explore the effect of modified
constraint-induced movement therapy (CIMT) in a real-world clinical setting on
spasticity and functional use of the affected arm and hand in patients with
spastic chronic hemiplegia. METHOD: A prospective consecutive quasi-experimental
study design was used. Twenty patients with spastic hemiplegia (aged 22-67 years)
were tested before and after 2-week modified CIMT in an outpatient rehabilitation
clinic and at 6 months. The Modified Ashworth Scale (MAS), active range of motion
(AROM), grip strength, Motor Activity Log (MAL), Sollerman hand function test,
and Box and Block Test (BBT) were used as outcome measures. RESULTS: Reductions
(p<0.05-0.001) in spasticity (MAS) were seen both after the 2-week training
period and at 6-month follow-up. Improvements were also seen in AROM (median
change of elbow extension 5 degrees , dorsiflexion of hand 10 degrees ), grip
strength (20 Newton), and functional use after the 2-week training period (MAL: 1
point; Sollerman test: 8 points; BBT: 4 blocks). The improvements persisted at 6
month follow-up, except for scores on the Sollerman hand function test, which
improved further. CONCLUSION: Our study suggests that modified CIMT in an
outpatient clinic may reduce spasticity and increase functional use of the
affected arm in spastic chronic hemiplegia, with improvements persisting at 6
months.
PMID- 21886381
TI - Reliability of the assessment of mother-infant sensitivity-chinese version for
preterm and term taiwanese mother-infant dyads.
AB - PURPOSE: The objectives of this study were to translate and modify the Assessment
of Mother-Infant Sensitivity scale into a Chinese version (modified AMIS-C) and
to examine its reliability with preterm and term Taiwanese mother-infant dyads.
METHOD: A total of 241 mother-infant pairs (179 preterm dyads and 62 term dyads)
were prospectively recorded and their behaviour in feeding assessed using the
modified AMIS-C when infants were at 4 and 6 months corrected age. A subset of
the sample was used for interrater reliability testing, and the whole sample was
used for assessment of internal consistency. RESULTS: Interrater reliability was
high for the modified AMIS-C section and total scores (intra-class correlation
coefficients=0.91-0.99). Internal consistency was good to excellent for the
maternal section and total score (alpha=0.71-0.86) and was fair to good for the
infant and dyadic section score (alpha=0.44-0.75). CONCLUSIONS: The modified AMIS
C scale is a clinically feasible and reliable instrument for assessing mother
infant interaction of preterm and term dyads during early infancy.
PMID- 21886382
TI - What Does the Cochrane Collaboration Say about Rehabilitation of Walking?
PMID- 21886383
TI - Physiotherapy Canada volume 62, annual index - 2010.
PMID- 21886385
TI - Beaches and HABs: Successful Expansion of the Florida Red Tide Reporting System
for Protection of Public Health through Community Education and Outreach.
PMID- 21886386
TI - Editorial.
PMID- 21886387
TI - Hematologic changes in visceral leishmaniasis/kala azar.
AB - Visceral Leishmaniasis (VL) or Kala Azar is a chronic infectious disease caused
by parasites of the Leishmania donovani complex that can cause various
hematologic manifestations. It is characterized by fever, enlargement of liver
and spleen, weight loss, pancytopenia and hypergammaglobinemia. It is endemic in
the Indian subcontinent, mainly seen in the states of Bihar and West Bengal.
Patients with VL can present to the haematologist for various haematological
problems prior to receiving the diagnosis of VL. Anaemia is the most common
haematological manifestation of VL. VL may also be associated with leucopenia,
thrombocytopenia, pancytopenia, hemophagocytosis and disseminated intravascular
coagulation. Hematological improvement is noted within a week and complete
hematological response occurs in 4-6 weeks of treatment. Relapses are rare and
increased risk of being diagnosed with hematolymphoid malignancies on long term
follow up is not noted.
PMID- 21886384
TI - ELECTROPHYSICAL AGENTS - Contraindications And Precautions: An Evidence-Based
Approach To Clinical Decision Making In Physical Therapy.
PMID- 21886388
TI - Clinical Features of Bone Complications and Prognostic Value of Bone Lesions
Detected by X-ray Skeletal Survey in Previously Untreated Patients with Multiple
Myeloma.
AB - Multiple myeloma is usually associated with the presence of lytic bone lesions.
We reviewed the clinical and laboratory features of patients with newly diagnosed
myeloma bone disease and evaluated the prognostic significance of different X-ray
image patterns in symptomatic MM patients. We retrospectively reviewed 260
patients with newly diagnosed MM. X-ray image patterns of patients were
correlated with hematologic parameters, therapeutic reaction and patient
survival. Patients with the X-ray imaging pattern of grade 2-4 had significantly
higher marrow plasma cells levels, marrow CD138(+) D38(+) cell percentage, ECOG
performance score, and serum IL-6 level than grade 0-1. Univariate analysis
demonstrated that skeletal lytic changes associated with rapid progression. There
is a high incidence of myeloma bone disease (MBD) in patients of MM in China.
Patients of extensive bone lesions have more severe alterations in hematologic
parameters than do those without bone lesions and severe bone lesions is an
important adverse prognostic factor associated with a short TTP.
PMID- 21886389
TI - Prevalence of transfusion transmitted infections in voluntary and replacement
donors.
AB - The aim of the study was to find out the prevalence of transfusion transmitted
infections (TTI) in voluntary and replacement donors. A total of 9599 donors were
analysed for the prevalence of TTI over a period of 2 years.Of these 61.2% were
voluntary donors and 38.8% were replacement donors. Prevalence of TTI in total
donors was 0.6%. Prevalence of hepatitis B was highest (0.34%) followed by
syphilis (0.11%), HIV&HCV (0.06%) and malaria (0.01%). Prevalence was more in
male replacement donors. Extensive donor selection and screening procedures will
help in improving the blood safety.
PMID- 21886390
TI - Transfusion associated graft versus host disease following whole blood
transfusion from an unrelated donor in an immunocompetent patient.
AB - Graft-versus-host disease (GVHD) is a well-known complication of allogeneic bone
marrow transplantation. Transfusion associated graft-versus-host disease (TA
GVHD) is much less common and nearly uniformly fatal complication of blood
transfusion. The risk factors underlying the development of TA- GVHD are
incompletely defined, but it is commonly seen in individuals with congenital or
acquired immunodeficiency, transfusions from blood relatives, intrauterine
transfusions and HLA-matched platelet transfusions. Diagnosis of TA-GVHD may be
difficult at a time due to rarity in occurrence and overlapping clinical features
with various infections and drug reactions. We describe a case of transfusion
associated GVHD that occurred after transfusion of whole blood from unrelated
donor in an immunocompetent patient.
PMID- 21886391
TI - Chronic myeloid leukemia presenting with visual and auditory impairment in an
adolescent: an insight to management strategies.
AB - A 15-year-old girl presented with progressive deterioration in vision and hearing
over 1 week. A huge spleen was palpated below the left costal margin laying down
to inguinal region. Blood count showed hyperleukocytosis with a white blood cell
count of 455 * 10(9)/l. Peripheral smear yielded myeloid precursor cells with
basophilia. Bone marrow aspiration revealed a blast count of 5% morphologically
and 4% by flow cytometry. Fundoscopic examination revealed bilateral retinal
exudates, edema and hemorhages. Partial sensorioneural hearing loss was also
detected on the right ear. The diagnosis of chronic myeloid leukemia was
confirmed by positive t(9;22) by RT-PCR. After commencing on hydroxyurea and
intrathecal methotrexate-prednisolone, progressive improvement in hearing and
vision was obtained. In our brief report, we aimed to emphasize rare presentation
with visual and hearing impairment of chronic myeloid leukemia during childhood,
especially in "chronic phase".
PMID- 21886392
TI - Acute psychosis: a presentation of cyanocobalamin deficiency megaloblastic
anemia.
AB - Cyanocobalamin deficiency is not rare in India. Patients present with
megaloblastic anemia, pancytopenia and sometimes neuropsychiatric manifestations.
Subacute combined degeneration of the cord, peripheral neuropathy, dementia,
psychotic depression and paranoid schizophrenia are well reported. We are
reporting a case of cyanocobalamine deficiency anemia who presented with acute
psychosis which readily reversed on cyanocobalamin replacement.
PMID- 21886393
TI - Hemolytic Anemia as a Presenting Feature of Wilson's Disease: A Case Report.
AB - Wilson's disease is a rare inherited disorder of copper metabolism causing severe
damage to vital organs. Liver and brain disorders are the main manifestations.
Severe hemolytic anemia is an unusual complication of Wilson's disease. We
present a case who developed spherocytic acute hemolytic anemia (Coomb's
negative) as the initial manifestation of Wilson's disease. On examination Kayser
Fleischer ring was found. Laboratory data supported a diagnosis of Wilson's
disease.
PMID- 21886394
TI - Trisomy 9 in a Patient with Acute Myelogenous Leukaemia FAB Type M2: A Rare
Occurrence.
AB - Complete trisomy 9 is a rare cytogenetic abnormality in haematological
malignancies. Here we present the case history of a patient with clinical
diagnosis of acute myeloblastic leukaemia (FAB type M2) and having trisomy 9 with
adverse outcome.
PMID- 21886395
TI - A Case of Primary Bone Marrow B-Cell Non Hodgkin's Lymphoma with Severe
Thrombocytopenia: Case Report and A Review of the Literature.
AB - A 78-year-old man presented with persistent gingival bleeding. He had low
platelet count of 1.0 * 10(9)/L without any lymphadenopathy. Bone marrow specimen
showed diffusely distributed small-sized lymphocytes. Combined with
immunophenotypic analysis, a diagnosis of primary bone marrow B-cell non
Hodgkin's lymphoma was made. Thrombocytopenia was considered to be caused by
autoimmune destruction of platelets.
PMID- 21886396
TI - Philadelphia chromosome positive chronic myelogenous leukemia in a child: a case
report.
AB - Philadelphia chromosome (Ph(1))-positive Chronic Myelogenous Leukemia (CML) in a
child below the age of 3 years is extremely rare. We have reported such a case in
a 3 year old male child. Peripheral blood smear revealed features of CML and
karyotypic study showed (Ph(1)) positivity. Biologic behaviour and prognosis are
similar to that of adult-type of CML.
PMID- 21886397
TI - Transformation of myelodysplastic syndrome to acute lymphoblastic leukemia in a
child.
AB - Childhood myelodysplastic syndrome (MDS) is an uncommon condition. Unlike adult
MDS, pediatric patients have a more progressive course and rapidly transform to
acute myeloid leukemia. Evolution to acute lymphoblastic leukemia is extremely
rare. We report a 5 year old female child who presented with refractory anemia
with excess blasts and transformed into acute lymphoblastic leukemia 4 months
after initial diagnosis.
PMID- 21886398
TI - Treatment of myelodysplastic syndrome transforming into acute myelogenous
leukemia with azacytidine: a retrospective study from cancer centre in northern
India.
PMID- 21886399
TI - Miliary tuberculosis with hemophagocytosis in a two months old infant.
AB - A two months old male infant, presented with fever, hepatosplenomegaly and
pancytopenia. On bone marrow aspirate, hemophagocytosis was noted. Trephine
biopsy showed epithelioid granulomas. ZN stain AFB detected, which confirmed the
diagnosis of miliary tuberculosis. This case highlights the rare association of
hemophagocytic syndrome with tuberculosis in infancy.
PMID- 21886400
TI - Immunophenotypic profile in acute infectious mononucleosis mimicking malignant
lymphoproliferative disorder: a case report and review of literature.
AB - Infectious mononucleosis is characterized by an intensive lymphoproliferation
with atypical forms which sometimes resemble with acute leukemia or malignant
lymphoproliferative diseases. Flow cytometric analysis of lymphocytes shows a
typical phenotype but unawareness of it may lead to misdiagnosis of malignant
lymphoproliferative diseases. Herewith we present an immunophenotypic profile in
a case of acute infectious mononucleosis and review of literature.
PMID- 21886401
TI - Screening for G6PD Deficiency in Blood Donor Population.
PMID- 21886402
TI - "Novel strategies to Improve Recombinant Factor VIII Production and its in vivo
Recovery".
PMID- 21886403
TI - Subacute Sensorimotor Polyneuropathy as Sole Manifestation of Occult Non
Hodgkin's Lymphoma: Infiltrative or Paraneoplastic?
PMID- 21886405
TI - An in vitro analysis of wear resistance of commercially available acrylic denture
teeth.
AB - Posterior denture teeth wear faster than the anterior teeth, causing occlusal
prematurities and loss of vertical dimension of occlusion. The loss of vertical
dimension of occlusion lays more stress on the anterior alveolar ridge, which in
turn increases the rate of residual ridge resorption and causes loss of alveolar
ridge height in the anterior segment and compromises esthetics. Hence it is
important for the clinician to choose acrylic resin teeth with high wear
resistance. The objective of the study is to investigate and compare the wear
resistance of three different commercially available acrylic resin denture teeth.
60 specimens were tested for wear resistance in terms of loss of weight and loss
in volume on a wear and friction monitor for 5,000 cycle wear periods (total of
10,000 cycles) under a 0.20 kg load. STATISTICAL ANALYSIS USED: The findings were
analyzed using one way analysis of variance (ANOVA) and Tukey HSD test.
Comparison of weight loss and volume loss between Surana ultradent, Premadent and
Dentek showed highly significant difference, Surana ultraddent having better wear
resistance. Surana ultradent acrylic resin denture had highest wear resistance
amongst the three groups of tested samples.
PMID- 21886404
TI - Bruxism: a literature review.
AB - Bruxism is a movement disorder characterized by grinding and clenching of teeth.
Awake bruxism is found more in females as compared to males while sleep bruxism
shows no such gender prevalence. Etiology of bruxism can be divided into three
groups psychosocial factors, peripheral factors and pathophysiological factors.
Treatment modalities involve occlusal correction, behavioural changes and
pharmacological approach. A literature search was performed using National
Library of Medicine's (NLM) Medical Subject Headings (MeSH) Database, Pubmed and
Google search engines. The search term 'Bruxism' yielded 2,358 papers out of
which 230 were review papers. Most of the papers selected were recently published
during the period of 1996-2010 and very few of them were published before 1996.
PMID- 21886406
TI - Investigation of marginal fit and surface roughness of crowns, due to different
bench set and different burnout temperature using base metal alloy.
AB - The conventional investing technique is used most commonly for casting. Inspite
of the popularity of this technique, it is very time consuming. To save time of
the patient, dentist and dental laboratory technician, accelerated casting
technique can be used. This study uses different bench set and different burnout
temperatures and has been carried to investigate their effects on marginal fit
and surface roughness. A total of 40 wax patterns were made simulating the
artificial crown from the first master die and 20 rectangular wax patterns were
made from the second master die. Twenty castings simulating the crown and 10
castings of rectangular plates were obtained by short protocol represented as
Group A and C, similarly the remaining castings were obtained by standard
protocol and represented as Group B and D. Marginal discrepancy of both Group A
and B were determined by using Travelling microscope, whereas Perthometer was
used for quantitative evaluation of average surface roughness of both Group C and
D. Scanning electron microscope evaluated the surface roughness qualitatively for
the specimens of both Groups C and D. The obtained values of Group A and C and
Group C and D were subjected to statistical analysis. Qualitative analysis of
Group C and D were done. Accelerated/short protocol gives similar results in
terms of marginal fit and surface roughness as compared to the
conventional/standard protocol and is definitely a time saving procedure.
PMID- 21886407
TI - Effect of recasting of nickel: chromium alloy on its castability.
AB - Castability plays an important role in selection of an alloy for cast dental
restorations. This study was conducted to assess the effect of recasting of
nickel-chromium alloy on its castability. Different percentage combinations of
new and once casted alloy were used to produce a total of twentyfive cast samples
using modified Whitlock's method and castings obtained from new alloy were used
as control group. Castability value was obtained by using Whitlock's formula.
Results were analyzed using student 't' test. There is no statistical difference
between the castability value of the new alloy and the recasted alloy (confidence
level 95%). Within the limitations of the study it is concluded that the
castability value will not be affected by recasting the nickel-chromium alloy.
Complete castings of any metal restoration are mandatory and to know the
completeness of castings of any alloy, castability test is of prime importance.
PMID- 21886408
TI - A study on self centering of face bows.
AB - Hanau spring bow has been in use since 1986. Hanau spring bow is claimed to
maintain self centering property when it is positioned in the patient as well as
in the articulator. However there is no documented evidence to prove that
feature. Mainly it was due to the absence of a testing device. Exclusively for
the present study, the testing device consisting of a platform, stops and
distance measuring system was designed by the third author. This study proved
that Hanau has the capability of self centering.
PMID- 21886409
TI - Study of Biomechanics of Porous Coated Root Form Implant Using Overdenture
Attachment: A 3D FEA.
AB - The purpose of this article is to do a three-dimensional finite element stress
analysis, in relation to root form implant supported by overdenture attachment,
during axial and non-axial loading. Two porous coated Titanium-aluminum-vanadium
(Ti-6Al-4V) implants with overdenture abutment were embedded in both simple and
3D model of interforaminal region of mandible. The material properties of tissue
ingrowth bonded interface were calculated considering Iso-Strain condition. The
masticatory forces: axial load of 35 N, a horizontal load of 10 N, and an oblique
load of 120 N, was applied for the two qualities of cancellous bone. It implied
that porous topography of the implant led to optimal stress transfer at the
tissue ingrowth bonded interface and insignificant punching stress at the apex
than a smooth surface implant. The inferior bone quality was deformed even under
physiologic loads and showed wider stress pattern. Simulated implant abutment to
implant bone interface stress may be significantly affected by the quality of the
bone and the surface topography of the implant. The interface is affected to a
lesser extent by the prosthetic material properties. Threedimensional anatomical
model was more close to reality than the geometry of much simpler altered models.
PMID- 21886410
TI - A study to evaluate the retentive ability of different denture adhesive
materials: an in vitro study.
AB - Denture Adhesives are commonly used by denture wearers to enhance the retentive
ability of their dentures however, little is known about the efficacy of these
materials. To compare the retentive ability of three different commercially
available denture adhesives. To find out the best available denture adhesive
material. An in vitro investigation to evaluate the retentive ability of three
commercially available denture adhesive powders and two adhesive pastes was
conducted. The adhesion and cohesion that developed between the glass surface and
acrylic resin samples when the various materials were interposed between them was
evaluated by means of a testing apparatus. Denture adhesives increase the
adhesion of resin samples to the glass surface. Fixon powder showed the highest
resistance to dislodgement. The paste forms were found to be more retentive.
Denture adhesives when used in combination with synthetic saliva showed the
maximum value.
PMID- 21886411
TI - Efficacy of different disinfectant systems on alginate and addition silicone
impression materials of Indian and international origin: a comparative
evaluation.
AB - Study was planned to evaluate the efficacy of commonly used disinfectants and to
study qualitatively and quantitatively the persistence of microflora on the
untreated (control group) and the disinfected impression surface after 24 h.
Disinfectant systems used were immersion systems like glutaraldehyde, sodium
hypochlorite and the ultraviolet chamber. The effect of disinfectant on most
commonly used Indian impression materials was carried out in this study and
results compared with the most commonly used foreign brands for irreversible
hydrocolloid and addition silicone. Impressions were made of 25 healthy
volunteers. These were disinfected and incubated in an incubator for 24 h at 37
degrees C for aerobic organisms. The inoculation in nutrient media was done to
test the viability of microorganisms that can persist after rinsing and
disinfection of the impression surface. The colony forming units were counted and
compared with that of control group. Control group of all the impression material
samples showed growth of Streptococcus viridans, Diphtheroids, Streptococcus
pneumoniae to a greater extent. The growth of Candida albicans, Pseudomonas
aerugenosa and Staphyloccus albus was present in all the groups but to a lesser
extent. The persistence of the microflora on the impression surface of both the
studied brands was similar but the concentration of organisms in the alginate
control group was two folds as compared to addition silicone group. Use of
ultraviolet chamber gave better results compared to the studied immersion
systems. All the disinfection systems were effective in reducing the microbial
load with ultraviolet chamber as the most effective.
PMID- 21886412
TI - Prosthetic rehabilitation of ocular defect using digital photography: a case
report.
AB - The fundamental objective in restoring a congenital as well as acquired defect of
eye with an ocular prosthesis is to enable the patient to cope better with the
difficult process of rehabilitation after an enucleation or evisceration. A
cosmetically acceptable prosthesis is that reproduces the color, form and
orientation of iris and allows the patient to return to accustomed lifestyle. A
sequence of steps for construction of custom-made ocular prostheses is outlined
in this case report using the advantages of digital imaging technique.
PMID- 21886413
TI - Long term rehabilitation of a total glossectomy patient.
AB - Malignant tumours of the oral cavity that require resection of the tongue result
in severe deficiencies in speech and deglutition. Speech misarticulation leads to
loss of speech intelligibility, which can prevent or limit communication.
Prosthodontic rehabilitation involves fabrication of a Palatal Augmentation
Prosthesis (PAP) following partial glossectomy and a mandibular tongue prosthesis
after total glossectomy [1]. Speech analysis of a total glossectmy patient
rehabilitated with a tongue prosthesis was done with the help of Dr. Speech
Software Version 4 (Tiger DRS, Inc., Seattle) twelve years after treatment.
Speech therapy sessions along with a prosthesis helped him to correct the dental
sounds by using the lower lip and upper dentures (labio-dentals). It was noticed
that speech intelligibility, intonation pattern, speech articulation and overall
loudness was noticeably improved.
PMID- 21886414
TI - Angiographic analysis of blood flow modification in cerebral aneurysm models with
a new asymmetric stent.
AB - We have built new asymmetric stents for minimally invasive endovascular treatment
of cerebral aneurysms. Each asymmetric stent consists of a commercial stent with
a micro-welded circular mesh patch. The blood flow modification in aneurysm
vessel phantoms due to these stents was evaluated using x-ray angiographic
analysis. However, the density difference between the radiographic contrast and
the blood gives rise to a gravity effect, which was evaluated using an initial
optical dye-dilution experiment. For the radiographic evaluations, curved-vessel
phantoms instead of simple straight side-wall aneurysm phantoms were used in the
characterization of meshes/stents. Six phantoms (one untreated, one treated with
a commercial stent, and four treated with different asymmetric stents) with
similar morphologies were used for comparison. We calculated time-density curves
of the aneurysm region and then calculated the peak value (Pk) and washout rate
(1/tau) after analytical curve fitting. Flow patterns in the angiograms showed
reduction of vortex flow and slow washout in the dense mesh patch treated
aneurysms. The meshes reduced Pk down to 21% and 1/tau down to 12% of the values
for the untreated case. In summary, new asymmetric stents were constructed and
their evaluation demonstrates that they may be useful in the endovascular
treatment of aneurysms.
PMID- 21886415
TI - Naomi's Birth.
AB - A first-time mother, who is also a nurse, tells the story of her drug-free birth
at a free-standing birth center.
PMID- 21886416
TI - Four research findings that will change what we think about perinatal depression.
AB - Research by health psychologists is changing what we know about perinatal
depression. In this guest editorial, the author examines depression in pregnant
and breastfeeding women in light of this recent research and describes four major
findings that are influencing how we think about depression in new mothers:
inflammation has an etiologic role in depression, a relationship exists between
sleep disturbances and depression, breastfeeding protects maternal mental health,
and all effective treatments for depression are anti-inflammatory interventions.
PMID- 21886417
TI - Self-efficacy and postpartum depression teaching behaviors of hospital-based
perinatal nurses.
AB - Based upon the Self-Efficacy Theory, this study examined the relationship between
self-efficacy, self-efficacy-related variables, and postpartum depression
teaching behaviors of hospital-based perinatal nurses. Findings revealed that
teaching new mothers about postpartum depression is related to a perinatal
nurse's self-efficacy in postpartum-depression teaching, self-esteem, and the
following self-efficacy-related variables: social persuasion (supervisor's
expectations for teaching); mastery (postpartum depression continuing education
and teaching experience); and vicarious experience (observing other nurses teach
new mothers about postpartum depression). Teaching new mothers about postpartum
depression can assist mothers in overcoming barriers to depression treatment.
Nurse educators and managers play an important role in encouraging postpartum
depression education for perinatal nurses.
PMID- 21886418
TI - Evaluation of a practice-development initiative to improve breastfeeding rates.
AB - The benefits of breastfeeding for infant, mother, family, and community are well
recognized, and increasing breastfeeding rates is considered an important health
promotion strategy. Improving breastfeeding knowledge and practice among
individuals caring for breastfeeding women is considered an important aspect of
this strategy. The practice-development initiative described in this article
aimed to improve hospital-based breastfeeding rates through the implementation of
The Ten Steps to Successful Breastfeeding. The initiative included the
development and implementation of an education program aimed at changing and
improving breastfeeding practices. The program was evaluated in three ways:
changes in breastfeeding rates at hospital discharge; client preparation for
breastfeeding and satisfaction during the postnatal period; and staff knowledge
and skills.
PMID- 21886419
TI - "Going beyond the call of doula": a grounded theory analysis of the diverse roles
community-based doulas play in the lives of pregnant and parenting adolescent
mothers.
AB - This article presents some of the most salient qualitative results from a larger
program evaluation of pregnant and parenting adolescents who participated in a
community-based doula program. Using grounded theory analysis, seven problem
solving strategies emerged that doulas apply in helping pregnant and parenting
adolescents navigate multiple social and health settings that often serve as
barriers to positive maternal- and child-health outcomes. The ethnographic
findings of this study suggest that the doulas provide valuable assistance to
pregnant and parenting adolescents by addressing social-psychological issues and
socio-economic disparities. "Diverse role-taking" results in doulas helping
pregnant adolescents navigate more successfully through fragmented social and
health service systems that are less supportive of low-income adolescents, who
are often perceived to be draining scarce resources. The findings have
implications for the roles of community-based doulas assigned to low-income
adolescents of color seeking to overcome obstacles and attain better educational
and economic opportunities.
PMID- 21886420
TI - Safe and healthy birth: the importance of data.
AB - In this column, the author reprises recent selections from the Lamaze
International research blog, Science & Sensibility. Each selection discusses the
mismatch between data commonly collected at the time of birth and the data needed
to measure optimal care for physiologic birth. Selections include the importance
of documenting duration of skin-to-skin contact after birth, the role of
qualitative research in improving care in the second stage of labor, and pitfalls
of meta-analyzing data on the safety of planned home birth.
PMID- 21886421
TI - Five per cent of the time it works 100 per cent of the time: the erroneousness of
the P value.
PMID- 21886422
TI - The influence of lower cervical joint pain on range of motion and interpretation
of the flexion-rotation test.
AB - OBJECTIVE: The purpose of this study was to investigate the impact of lower
cervical facet joint pain (CFP) on the flexion-rotation test (FRT). METHODS: A
single blind, comparative group design was used to investigate whether lower CFP
influences FRT mobility and examiner interpretation. Twenty-four subjects were
evaluated, 12 with cervicogenic headache (age 26-63 years) and 12 with lower CFP
(age 44-62 years), confirmed by therapeutic cervical facet joint intervention. A
single blinded examiner conducted the FRT, reporting the test state (positive or
negative) before measuring range of motion using a goniometer. Subjects with
lower CFP were evaluated by the FRT prior to therapeutic intervention and were
excluded from analysis if they did not gain complete symptomatic relief following
the procedure. Only subjects with immediate complete relief were included.
RESULTS: The average range of unilateral rotation to the limited side during the
FRT was 26 and 37.5 degrees for the cervicogenic headache and lower CFP groups
respectively. The difference between groups was significant (P<0.01). Sensitivity
and specificity for cervicogenic headache diagnosis was 75 and 92% respectively.
A receiver operating curve revealed that an experienced examiner using the FRT
was able to make the correct diagnosis 90% of the time (P<0.01), with a positive
cut-off value of 32 degrees . DISCUSSION: These findings provide further evidence
for the clinical utility of the FRT in cervical examination and cervicogenic
headache diagnosis.
PMID- 21886423
TI - Thoracic outlet syndrome: a controversial clinical condition. Part 2: non
surgical and surgical management.
AB - BACKGROUND: Proper management of thoracic outlet syndrome (TOS) requires an
understanding of the underlying causes of the disorder. A comprehensive
examination process, as described in Part 1 of this review, can reveal the bony
and soft tissue abnormalities and mechanical dysfunctions contributing to an
individual's TOS symptoms. OBJECTIVE: Part 2 of this review focuses on management
of TOS. CONCLUSION: The clinician uses clinical examination results to design a
rehabilitation program that focuses on correcting specific problems that were
previously identified. Disputed neurogenic TOS is best managed with a trial of
conservative therapy before surgical treatment options are considered. Cases that
are resistant to conservative treatment may require surgical intervention. True
neurogenic TOS may require surgical intervention to relieve compression of the
neural structures in the thoracic outlet. Surgical management is required for
cases of vascular TOS because of the potentially serious complications that may
arise from venous or arterial compromise. Post-operative rehabilitation is
recommended after surgical decompression to address factors that could lead to a
reoccurrence of the patient's symptoms.
PMID- 21886424
TI - Intra-oral myofascial therapy for chronic myogenous temporomandibular disorders:
a randomized, controlled pilot study.
AB - OBJECTIVES: Studies investigating the efficacy of intra-oral myofascial therapies
(IMT) for chronic temporomandibular disorder (TMD) are rare. The objective of
this randomized, controlled pilot study was to compare the effects of IMT and the
addition of self-care and education over 6 months on four common TMD outcome
measures: inter-incisal opening range, jaw pain at rest, jaw pain upon opening,
and jaw pain upon clenching. PARTICIPANTS: Thirty myogenous TMD participants
between the ages of 18 and 50 years, experiencing chronic jaw pain of longer than
3-month duration, were recruited for the present study. INTERVENTION: INCLUDED
PATIENTS WERE RANDOMIZED INTO ONE OF THREE GROUPS: (1) IMT consisting of two
treatment interventions per week for 5 weeks; (2) IMT plus 'self-care' involving
education and exercises; and (3) wait list control. MAIN OUTCOME MEASURES: Range
of motion findings were measured in millimetres by vernier callipers and pain
scores were quantified using an 11-point self-reported graded chronic pain scale.
Measurements were taken at baseline, 6 weeks post-treatment, and 6 months post
treatment. RESULTS: The results showed statistically significant differences in
resting, opening, and clenching pain and opening range scores (P<0.05) in both
treatment groups compared to control at 6 months. No significant differences were
observed between the two treatment groups during the course of the trial.
CONCLUSIONS: This study suggests that IMT alone or with the addition of self-care
may be of some benefit in the management of chronic TMD over the short-medium
term. A larger scale study over a longer term (1-2 years) may be of further
value.
PMID- 21886425
TI - Clinimetrics corner: choosing appropriate study designs for particular questions
about treatment subgroups.
AB - BACKGROUND: Many clinicians and researchers believe that there are subgroups of
people with spinal pain who respond differently to treatment and have different
prognoses. There has been considerable interest in this topic recently. However,
problems occur when conclusions about subgroups are made that are inappropriate
given the randomized controlled trial design used. The research design to choose,
when developing a study protocol that investigates the effect of treatment
subgroups, depends on the particular research question. Similarly, the inferences
that can be drawn from an existing study will vary, depending on the design of
the trial. OBJECTIVES: This paper discusses the randomized controlled trial
designs that are suitable to answer particular questions about treatment
subgroups. It focuses on trial designs that are suitable to answer four
questions: (1) 'Is the treatment effective in a pre-specified group of
patients?'; (2) 'Are outcomes of treatment applied using a subgrouping clinical
reasoning process, better than a control treatment?'; (3) 'Are the outcomes for a
patient subgroup receiving a particular treatment (compared to a control
treatment) better than for patients not in the subgroup who receive the same
treatment?'; and (4) 'Are outcomes for a number of treatments better if those
treatments are matched to patients in specific subgroups, than if the SAME
treatments are randomly given to patients?'. Illustrative examples of these
studies are provided. CONCLUSION: If the clinical usefulness of targeting
treatments to subgroups of people is to be determined, an important step is a
shared understanding of what different RCT designs can tell us about subgroups.
PMID- 21886426
TI - Nucleus pulposus deformation following application of mechanical diagnosis and
therapy: a single case report with magnetic resonance imaging.
AB - BACKGROUND: The McKenzie management strategy of mechanical diagnosis and therapy
(MDT) is commonly used for the assessment and management of spinal problems.
Within this system, 'derangement syndrome' is the most common classification, for
which the conceptual model is an intra-discal displacement. However, the
reduction of an intra-discal displacement by MDT has never been documented. The
purpose of this study was to compare, using magnetic resonance imaging (MRI), the
nucleus pulposus (NP) profiles before and after the use of this approach. PATIENT
CHARACTERISTICS: The patient was a 34-year-old female with a long history of
right sided low back and buttock pain classified with 'derangement syndrome'.
EXAMINATION: T2-weighted images of the L4-5 disc at initial assessment were
compared with that at final assessment 1 month later. Initially, the MRI showed a
portion of the NP displaced right and posteriorly towards the side of pain, and
an overall NP position in the coronal plane shifted to the left. INTERVENTION:
The patient was managed with a 1-month course of the McKenzie management strategy
treatment. OUTCOMES: One month later, the displaced portion of the NP was no
longer present and the left-shifted NP was centrally located. DISCUSSION: These
intervertebral disc changes coincided with centralization and abolition of
symptoms. This case may support the conceptual model of MDT.
PMID- 21886427
TI - Differential diagnosis and management of a patient with peripheral vestibular and
central nervous system disorders: a case study.
AB - BACKGROUND: Clinical examination and management of patients with meningiomas is
primarily dependent upon appropriate diagnosis of tumor type and surgical
intervention. Physical therapists should be able to identify patients presenting
with signs and symptoms suggestive of potential central nervous system (CNS)
disorders and refer the patient appropriately. PATIENT CHARACTERISTICS: In this
case report, a 52-year-old female was referred to physical therapy after 18
months of unresolved dizziness. EXAMINATION: Oculomotor examination revealed
evidence of peripheral vestibular and potential CNS disorders. The physical
therapist referred the patient to a physician who ordered magnetic resonance
imaging (MRI). INTERVENTION: The patient received five physical therapy sessions
while waiting for the MRI which revealed a meningioma. The meningioma was
surgically removed and the patient was subsequently relieved of all symptoms.
OUTCOMES: Despite the presence of the meningioma, the patient reported improved
stability during work-related activities and decreased dizziness as a result of
physical therapy intervention pre-operatively. DISCUSSION: This case report
emphasizes the importance of a physical therapists ability to perform and
interpret an oculomotor examination in a patient presenting with signs consistent
with peripheral vestibular and CNS disorders. It also demonstrates the role of
physical therapy in collaboration with physicians in order to provide appropriate
patient care management.
PMID- 21886428
TI - The ability of a sustained within-session finding of pain reduction during
traction to dictate improved outcomes from a manual therapy approach on patients
with osteoarthritis of the hip.
AB - OBJECTIVES: THE OBJECTIVES OF THIS STUDY WERE TO: (1) determine the association
of a within-session finding after traction of the hip with self-report of well
being, pain, and self-report of function at 9 weeks; and (2) to determine if the
interactions between the within-session finding and the outcome measure are
different between groups of patients with hip OA who receive and who do not
receive manual therapy. METHODS: Data were retrospectively analysed in 70
subjects who were part of a randomized control trial. Correlation analyses of
within-session findings from the initial visit after traction of a concordantly
painful hip were compared to self-report measures for function, pain, and well
being at 9 weeks. A comparison of slope coefficients between manual therapy and
non-manual therapy groups was performed to determine the interactive aspects of
the within-session finding. RESULTS: Although the correlations for the manual
therapy group were higher than for the supervised neglect group, none of the
correlational analyses for both groups was strong or significant. Significant
differences in the slope coefficients for well-being and pain were found,
suggesting that the interactions between the within-session findings and the
targeted outcomes were different in the manual therapy group versus supervised
neglect group. DISCUSSION: These findings suggest that within-session findings
during the initial evaluation are not strongly related to a positive outcome
after manual therapy, although the interaction of the finding of a within-session
change and the use of manual therapy is more compelling than the finding in a
sample of patients who did not receive manual therapy.
PMID- 21886429
TI - Palladium(0) catalyzed 2,2'-bipyrrole syntheses.
AB - Several 2-iodopyrroles are used in Pd(0) catalyzed homocoupling reactions at room
temperature in the presence of water to efficiently synthesize 2,2'-bipyrroles.
These 2,2'-bipyrroles are strongly luminous materials and have high fluorescence
quantum yields.
PMID- 21886430
TI - Co-occurring amphetamine use and associated medical and psychiatric comorbidity
among opioid-dependent adults: results from the Clinical Trials Network.
AB - BACKGROUND: In response to the rising rate of treatment admissions related to
illicit use of amphetamines (eg, methamphetamine), we examined the prevalence of
amphetamine use among treatment-seeking, opioid-dependent adults, explored
whether amphetamine users were as likely as nonamphetamine users to enroll in
opioid-dependence treatment trials, and determined whether amphetamine users
manifested greater levels of medical and psychiatric comorbidity than nonusers.
METHODS: The sample included 1257 opioid-dependent adults screened for
participation in three-multisite studies of the National Drug Abuse Treatment
Clinical Trials Network (CTN001-003), which studied the effectiveness of
buprenorphine for opioid detoxification under varying treatment conditions.
Patients were recruited from 23 addiction treatment programs across the US.
Medical and psychiatric comorbidity were examined by past-month amphetamine use
(current vs former) and route of administration. Five mutually exclusive groups
were examined, ie, nonusers, current amphetamine injectors, current amphetamine
noninjectors, former amphetamine injectors, and former amphetamine noninjectors.
RESULTS: Of the sample (n = 1257), 22.3% had a history of regular amphetamine
use. Of the 280 amphetamine users, 30.3% reported injection as their primary
route. Amphetamine users were more likely than nonusers to be white and use more
substances. Amphetamine users were as likely as non-users to enroll in treatment
trials. Bivariate analyses indicated elevated rates of psychiatric problems
(depression, anxiety, hallucinations, cognitive impairment, violence, suicidal
thoughts/attempts) and medical illnesses (dermatological, hepatic,
cardiovascular, respiratory, neurological, seizure, allergy conditions) among
amphetamine users. After adjusting for demographic variables and lifetime use of
other substances: current amphetamine users and former injectors showed an
increased likelihood of having medical illnesses and hospitalizations; current
injectors had elevated odds of suicidal thoughts or attempts; current
noninjectors exhibited elevated odds of anxiety, cognitive impairment, and
violent behaviors; and former noninjectors had increased odds of depression.
CONCLUSION: Treatment-seeking, amphetamine-using, opioid-dependent adults
manifest greater levels of medical and psychiatric morbidity than treatment
seeking, opioid-dependent adults who have not used amphetamines, indicating a
greater need for intensive clinical management.
PMID- 21886431
TI - Histological assessment of tangentially excised burn eschars.
AB - BACKGROUND: The burn eschar serves as a medium for bacterial growth and a source
of local and systemic infection. To prevent or minimize these complications, it
is important to debride the eschar as early as possible. OBJECTIVE: To identify
the presence of viable skin within the excisions by examining tangentially
excised burn eschars. METHODS: A total of 146 samples of burned human tissue were
removed during 54 routine sharp tangential excision procedures (using
dermatomes). The samples were histologically examined to identify the relative
thickness of the dead, intermediate and viable layers. RESULTS: The mean (+/- SD)
thickness of the excised samples was 1.7+/-1.1 mm. The sacrificed viable tissue
(mean thickness 0.7+/-0.8 mm) occupied 41.2% of the entire thickness of the
excision. In 32 biopsies (21.8%; 95% CI 16.0 to 29.3), the excision did not reach
viable skin. Only eight biopsies (5.4%; 95% CI 2.8 to 10.1) contained all of the
necrotic tissue without removing viable tissue. CONCLUSIONS: The thickness of a
single tangentially excised layer of eschar is not much greater than the actual
thickness of the entire skin and often contains viable tissue. Because surgical
debridement is insufficiently selective, more selective means of debriding burn
eschars should be explored.
PMID- 21886432
TI - Postoperative management of carpometacarpal joint fracture dislocation of the
hand: A case report.
AB - Injury to the carpometacarpal joints is rare. The strong ligamentous attachments
and carpal bone alignment readily resist displacement. To the authors' knowledge,
there are no studies evaluating postoperative recovery regimens of
carpometacarpal fracture dislocations. The present study describes a
postoperative hand therapy regimen that used a novel carpometacarpal brace
permitting early mobilization.
PMID- 21886434
TI - Reflections of a country plastic surgeon.
PMID- 21886433
TI - Invasive squamous cell carcinoma of the hand in a patient with Kindler syndrome:
Case report and literature review.
AB - Kindler syndrome is a rare, autosomal, recessive genodermatosis characterized by
trauma-induced acral blisters in infancy and childhood, photosensitivity and
progressive poikiloderma. Very few cases in the literature report an association
with squamous cell carcinoma, even though it is a very well-known, long-term
complication. A case involving a 23-year-old woman with a history of Kindler
syndrome who was admitted to the department of plastic surgery (Sherbrooke
University, Sherbrooke, Quebec) with an extensive ulcerated squamous cell
carcinoma of the right hand is presented. A local excision of the tumour was
initially performed, but positive margins and clinically palpable axillary
lymphadenopathy over the course of hospitalization necessitated below-elbow
amputation and lymph node dissection. To the authors' knowledge, this is the
second reported case of aggressive metastatic squamous cell carcinoma of the hand
in a patient with Kindler syndrome.
PMID- 21886435
TI - 'Universal retraction suture' for the overprojecting nasal tip.
AB - Achieving a proper nasal tip projection is a crucial element of a successful
rhinoplasty. A large number of correction methods and manoeuvres have been
proposed in the medical literature as solutions for the prominent nasal tip, thus
complicating the surgeon's strife to choose the ideal plan of action. In the
present article, a single straight-forward technique, christened the 'universal
retraction suture', is suggested to tackle the overprojecting tip in a
simplified, controlled and efficient fashion.
PMID- 21886436
TI - Factors affecting surgical wait times for breast reconstruction.
AB - OBJECTIVE: To examine factors that affect wait times for women seeking breast
reconstruction at a Canadian academic centre. METHODS: A retrospective audit of
57 women seeking breast reconstruction over a three-year period was completed.
Comparisons of wait times were made considering the surgical pathology, timing of
reconstruction (immediate versus delayed), urgency of pathology, method of
reconstruction (implant versus autologous) and the number of surgeons involved.
Specifically, the wait times from referral to specialist consultation,
consultation to surgery, and referral to surgery were examined. RESULTS: WOMEN
WITH ACTIVE CANCER (DUCTAL CARCINOMA IN SITU: 43 days, invasive cancer: 40 days)
had shorter wait times compared with those who had no active cancer (benign/high
risk: 242 days, previously treated cancer: 343 days) (P<0.05). Women seeking
delayed reconstruction had longer wait times (359 days) from referral to surgery
than women seeking immediate reconstruction (98 days) (P<0.0001). Women seeking
reconstruction at the time of mastectomy, with benign/high-risk disease, waited
longer (242 days) than those with ductal carcinoma in situ (43 days) or invasive
cancer (40 days) (P<0.001). Wait times for autologous free tissue transfer (213
days) were not significantly longer compared with implant reconstruction (116
days) (P=0.27). Women with acute cancer experienced similar wait times for
implant reconstruction (44 days) as for a free tissue transfer (56 days)
(P=0.46). Women with no acute cancer had similar wait times for implant (239
days) as free tissue transfer (369 days) (P=0.25). Patients requiring only
plastic surgeons involved in the reconstructive effort waited longer (one
surgeon: 299 days, two surgeons: 550 days) than patients requiring either two
plastic surgeons and one general surgeon (130 days) or one plastic surgeon and
one general surgeon (82 days) (P<0.05). Although more coordination is required
with three surgeons, this is frequently associated with a diagnosis of acute
cancer and, therefore, wait times are shorter.
PMID- 21886438
TI - Canadian Society for Aesthetic (Cosmetic) Plastic Surgery/Societe canadienne de
chirurgie plastique esthetique: Abstracts presented at the 37th Annual Meeting
October 1 and 2, 2010.
PMID- 21886437
TI - Total scalp avulsion with microvascular reanastomosis: A case report and
literature review.
AB - Total scalp avulsion is a rare but potentially devastating injury that when
treated (following a few basic principles) can have very good reconstructive
results. The present article reviews the current literature and describes the
steps involved in the successful replantation of a complete avulsed scalp of a 33
year-old woman. To the authors' knowledge, the present case regarding
replantation of a complete avulsed scalp using only a single artery is the first
to be described in the Canadian literature.
PMID- 21886439
TI - Treatment cost effectiveness in acute otitis media: A watch-and-wait approach
versus amoxicillin.
AB - In Canada, antimicrobial treatment is the most common approach for acute otitis
media. The aim of the present study was to compare the cost effectiveness of
treatment with amoxicillin versus a watch-and-wait approach (WAIT) within a
Canadian pediatric setting. Four hundred eighty-eight children, six months to
five years of age, who participated in a randomized controlled trial were
included in the study. The average medication costs per patient were higher for
the amoxicillin group ($17.26) than for the WAIT group ($4.33). However, both
health care ($148.44 versus $162.48) and patient costs ($23.50 versus $31.87)
were greater for the WAIT group. The mean cost of treatment for the amoxicillin
group was $189.20, compared with $198.68 for the WAIT group. Amoxicillin may be
cost effective in treating children with acute otitis media. The potential
development of antimicrobial resistance was not addressed in the present study.
PMID- 21886440
TI - Has the Alberta daily physical activity initiative been successfully implemented
in Calgary schools?
AB - INTRODUCTION: In September 2005, the Alberta government introduced the daily
physical activity (DPA) initiative, which requires that students from grades 1 to
9 be physically active in school for a minimum of 30 min per day. OBJECTIVE: To
obtain information on whether and how the DPA initiative has been implemented in
Calgary schools. METHODS: Information was obtained through a descriptive survey.
Principals and vice-principals from elementary schools participated in an
interview, in which they were asked questions about the DPA initiative, their
definition of physical activity, the types of activities that fulfilled the DPA
requirement, and barriers to increasing physical activity and physical education.
RESULTS: 98.2% of respondents reported being aware of the DPA initiative; 100% of
respondents reported it being successfully implemented. The leading responses to
the question, "How do you define physical activity?" were "moving/movement"
(43.5%), "increasing the heart rate" (32.7%) and "being active" (29%). 78.2% of
participants responded that physical education was the only type of activity that
fulfilled the DPA requirement; the other participants reported that recess,
intramurals and DPA periods organized by the teacher also counted. 69.1% and
61.1% of respondents, respectively, stated that there were barriers to increasing
physical education and physical activity. A lack of time in the curriculum, a
lack of space and a lack of funding were the most frequently reported barriers.
CONCLUSION: According to principal and vice-principal reports, the DPA initiative
has been successfully implemented in elementary schools in Calgary. This suggests
that government initiatives directed at increasing physical activity at school
could result in increasing the actual amount of physical activity that children
participate in. However, prospective longitudinal research directly measuring the
amount of physical activity that children engage in is needed to directly assess
the impact of such initiatives.
PMID- 21886441
TI - Looking back, looking forward.
PMID- 21886442
TI - Adrenal suppression: An under-recognized complication of a common therapy.
PMID- 21886443
TI - Case 2: Making a diagnosis: Lest we forget the family.
PMID- 21886444
TI - Case 1: Vesicular rash in an infant.
PMID- 21886445
TI - Childhood overweight and obesity management: A national perspective of primary
health care providers' views, practices, perceived barriers and needs.
AB - BACKGROUND: Obesity and overweight in children are an escalating problem in
Canada and worldwide. Currently, little is known about the manner in which
primary health care providers are responding to Canada's obesity epidemic.
OBJECTIVE: To determine the views, practices, challenges/barriers, and needs of a
national sample of family physicians (FPs) and community paediatricians (CPs)
with respect to paediatric obesity identification and management. METHODS: A self
administered questionnaire was mailed to a random sample of 1200 FPs and 1200 CPs
across Canada between 2005 and 2006. RESULTS: A total of 464 FPs and 396 CPs
participated. The majority of practitioners viewed paediatric obesity as an
'important'/'very important' issue. Although the majority reported providing
dietary (more than 85%) and exercise (98%) advice to their overweight/obese
patients, practitioners' perceived success rate in treating paediatric obesity
was limited (less than 22%). Approximately 30% of FPs and 60% of CPs (P<0.05)
used the recommended method to identify paediatric obesity. At least 50% of
practitioners indicated that too few government-funded dietitians, a lack of
success in controlling paediatric patients' weight, time constraints and limited
training were key barriers to their success. To support efforts to identify or
manage paediatric obesity, practitioners identified the need for office tools,
patient educational materials and system-level changes. DISCUSSION: Canadian
primary health care providers are not adequately equipped to deal with the
paediatric obesity epidemic. Effective assessment tools and treatment resources,
dissemination of clinical practice guidelines, enhanced undergraduate medical
education and postgraduate continuing medical education, and system-level changes
are urgently needed to address this health problem.
PMID- 21886446
TI - Which method of breastfeeding supplementation is best? The beliefs and practices
of paediatricians and nurses.
AB - The aims of the present study were to assess the practices of breastfeeding
supplementation methods, and to explore the opinions and beliefs of health
professionals with regard to breastfeeding supplementation methods and the nipple
confusion phenomenon. The study was cross-sectional in design, and participants
were recruited from five hospitals in Toronto, Ontario. All of the nurses and
attending paediatricians in postpartum floors and level II nurseries were invited
to participate in the study. A total of 87 nurses and 16 paediatricians completed
the survey questionnaire. Bottle feeding was the most common breastfeeding
supplementation method used in the nurseries followed by cup feeding. Only 15.0%
of the level II nurses agreed that frequent bottle feeds lead to the nipple
confusion phenomenon, compared with 44.4% of the postpartum nurses and 56.2% of
the paediatricians. Findings demonstrated considerable variation in the practices
and beliefs surrounding supplementation methods. A randomized controlled trial
comparing the safety, efficiency and subsequent breastfeeding rates of different
breastfeeding supplementation methods is warranted.
PMID- 21886447
TI - Maternal relationship style, paediatric health care use and infant health.
AB - OBJECTIVE: The aim of the current exploratory study was to examine the
relationships between maternal relationship style, paediatric health care use and
infant health variables in a sample of middle-class and ethnically diverse mother
infant dyads. METHODS: An initial cross-sectional cohort study obtained
demographic and self-report data on mothers' relationship styles. As an extension
of the original study, infants' patient files were reviewed for the year
following initial recruitment to obtain data regarding the use of paediatric
health care services and infant health. The final sample included 64 mothers and
their infants. RESULTS: Correlational analyses revealed that mothers' higher
endorsement of a dismissive relationship style were associated with fewer acute
care visits and fewer reported infant illnesses. CONCLUSIONS: Compared with other
relationship styles, mothers who highly endorsed a dismissive relationship style
tended to use fewer acute paediatric health care services and reported fewer
infant health problems. However, further longitudinal research is needed to
clarify these relationships.
PMID- 21886448
TI - Otitis media incidence and risk factors in a population-based birth cohort.
AB - BACKGROUND: Otitis media is the main reason young children receive antibiotics
and is the leading reason for physician visits. OBJECTIVE: To characterize the
incidence, recurrence and risk factors for otitis media in a population-based
birth cohort. METHODS: All children born in southwestern British Columbia during
1999 to 2000 were followed until the age of three years. Otitis media was defined
using The International Classification of Diseases, Ninth Revision coding of
physician visits, and linked with antibiotic prescription data. Information on
sex, birth weight, gestational age, Aboriginal status, maternal age, older
siblings, maternal smoking during pregnancy, breastfeeding initiation,
neighbourhood income, female education and rural residence were obtained from
vital statistics, birth hospitalizations, perinatal registry and census data.
RESULTS: Complete risk factor information was available for 50,474 children (86%
of all births). Nearly one-half of the children (48.6%) had one or more physician
visits for otitis media during follow-up, and 3952 children (7.8%) met the
definition for recurrent otitis media. Of the children with at least three visits
during follow-up (n=7571), 73% had their initial visit during the first year of
life. Aboriginal status, maternal age younger than 20 years, male sex and older
siblings were the strongest risk factors identified in the adjusted conditional
logistic regression models. DISCUSSION: The present study established a
population-based birth cohort by linking multiple administrative databases to
characterize the incidence of and risk factors for otitis media. Although the
incidence of otitis media is generally low in southwestern British Columbia,
important risk factors continue to be young maternal age, mothers who smoke
during pregnancy and children with Aboriginal ancestry.
PMID- 21886449
TI - Inhalant abuse.
AB - Inhalant abuse - also known as volatile substance abuse, solvent abuse, sniffing,
huffing and bagging - is the deliberate inhalation of a volatile substance to
achieve an altered mental state. Inhalant abuse is a worldwide problem that is
especially common in individuals from minority and marginalized populations, and
is strongly correlated with the social determinants of health. It often affects
younger children, compared with other forms of substance abuse, and crosses
social and ethnic boundaries. Inhalants are pharmacologically diverse products
that are selected for their low price, legal and widespread availability, and
ability to rapidly induce euphoria. Chronic abuse is associated with serious and
often irreversible effects. Widespread screening and early referrals to treatment
programs have resulted in significant improvements in the mental, physical and
social conditions of those affected. The present statement reviews critical
aspects of inhalant abuse, highlighting new information and data that pertain to
Aboriginal children and youth, and provides recommendations for treatment and
prevention.
PMID- 21886451
TI - Genetic and epigenetic alterations in pancreatic carcinogenesis.
AB - Pancreatic ductal adenocarcinoma (PDAC) is one of the most lethal cancers
worldwide. Despite significant progresses in the last decades, the origin of this
cancer remains unclear and no efficient therapy exists. PDAC does not arise de
novo: three remarkable different types of pancreatic lesions can evolve towards
pancreatic cancer. These precursor lesions include: Pancreatic intraepithelial
neoplasia (PanIN) that are microscopic lesions of the pancreas, Intraductal
Papillary Mucinous Neoplasms (IPMN) and Mucinous Cystic Neoplasms (MCN) that are
both macroscopic lesions. However, the cellular origin of these lesions is still
a matter of debate. Classically, neoplasm initiation or progression is driven by
several genetic and epigenetic alterations. The aim of this review is to assemble
the current information on genetic mutations and epigenetic disorders that affect
genes during pancreatic carcinogenesis. We will further discuss the interest of
the genetic and epigenetic alterations for the diagnosis and prognosis of PDAC.
Large genetic alterations (chromosomal deletion/amplification) and single point
mutations are well described for carcinogenesis inducers. Mutations classically
occur within key regions of the genome. Consequences are various and include
activation of mitogenic pathways or silencing of apoptotic processes. Alterations
of K-RAS, P16 and DPC4 genes are frequently observed in PDAC samples and have
been described to arise gradually during carcinogenesis. DNA methylation is an
epigenetic process involved in imprinting and X chromosome inactivation.
Alteration of DNA methylation patterns leads to deregulation of gene expression,
in the absence of mutation. Both genetic and epigenetic events influence genes
and non-coding RNA expression, with dramatic effects on proliferation, survival
and invasion. Besides improvement in our fundamental understanding of PDAC
development, highlighting the molecular alterations that occur in pancreatic
carcinogenesis could provide new clinical tools for early diagnosis of PDAC and
the molecular basis for the development of new effective therapies.
PMID- 21886452
TI - Carcinoma of the Lower Uterine Segment (LUS): Clinicopathological Characteristics
and Association with Lynch Syndrome.
AB - Endometrial cancer arises from the uterine body and fundus in many cases, but can
also originate from the lower region of the uterine body through the upper region
of the cervix. Such tumors are referred to as carcinoma of the lower uterine
segment (LUS) or isthmus, and account for 3-6.3% of all cases of endometrial
cancer. This relatively low incidence has permitted performance of only small
scale studies, but the clinical and pathological characteristics of carcinoma of
the LUS in all these reports have differed from those of other endometrial
cancers. Generally, endometrial cancer is classified into estrogen-dependent
endometrioid adenocarcinoma (designated as type I), and non-endometrioid types
that are less associated with estrogen and include poorly differentiated
adenocarcinoma (type II). In some reports, carcinoma of the LUS has been found to
have type II characteristics. Carcinoma of the LUS has also been associated with
Lynch syndrome, a hereditary disease with frequent development of colorectal,
endometrial, and ovarian cancers. Lynch syndrome is thought to be induced by
mismatch repair gene mutation. The frequency of Lynch syndrome in cases of
general endometrial cancer is 1-2%. In contrast, the frequency in patients with
carcinoma of the LUS is much higher, with up to 29% of cases diagnosable with
Lynch syndrome and a high frequency of hMSH2 mutation found in one study. This
suggests that further investigation of the clinical and pathological
characteristics of carcinoma of the LUS and the association with Lynch syndrome
is required through performance of a large-scale survey.
PMID- 21886450
TI - Perspectives on Systematic Analyses of Gene Function in Arabidopsis thaliana: New
Tools, Topics and Trends.
AB - Since the sequencing of the nuclear genome of Arabidopsis thaliana ten years ago,
various large-scale analyses of gene function have been performed in this model
species. In particular, the availability of collections of lines harbouring
random T-DNA or transposon insertions, which include mutants for almost all of
the ~27,000 A. thaliana genes, has been crucial for the success of forward and
reverse genetic approaches. In the foreseeable future, genome-wide phenotypic
data from mutant analyses will become available for Arabidopsis, and will
stimulate a flood of novel in-depth gene-function analyses. In this review, we
consider the present status of resources and concepts for systematic studies of
gene function in A. thaliana. Current perspectives on the utility of loss-of
function and gain-of-function mutants will be discussed in light of the genetic
and functional redundancy of many A. thaliana genes.
PMID- 21886453
TI - Engineering cold stress tolerance in crop plants.
AB - Plants respond with changes in their pattern of gene expression and protein
products when exposed to low temperatures. Thus ability to adapt has an impact on
the distribution and survival of the plant, and on crop yields. Many species of
tropical or subtropical origin are injured or killed by non-freezing low
temperatures, and exhibit various symptoms of chilling injury such as chlorosis,
necrosis, or growth retardation. In contrast, chilling tolerant species are able
to grow at such cold temperatures. Conventional breeding methods have met with
limited success in improving the cold tolerance of important crop plants
involving inter-specific or inter-generic hybridization. Recent studies involving
full genome profiling/ sequencing, mutational and transgenic plant analyses, have
provided a deep insight of the complex transcriptional mechanism that operates
under cold stress. The alterations in expression of genes in response to cold
temperatures are followed by increases in the levels of hundreds of metabolites,
some of which are known to have protective effects against the damaging effects
of cold stress. Various low temperature inducible genes have been isolated from
plants. Most appear to be involved in tolerance to cold stress and the expression
of some of them is regulated by C-repeat binding factor/ dehydration-responsive
element binding (CBF/DREB1) transcription factors. Numerous physiological and
molecular changes occur during cold acclimation which reveals that the cold
resistance is more complex than perceived and involves more than one pathway. The
findings summarized in this review have shown potential practical applications
for breeding cold tolerance in crop and horticultural plants suitable to
temperate geographical locations.
PMID- 21886454
TI - LHON: Mitochondrial Mutations and More.
AB - Leber's hereditary optic neuropathy (LHON) is a mitochondrial disorder leading to
severe visual impairment or even blindness by death of retinal ganglion cells
(RGCs). The primary cause of the disease is usually a mutation of the
mitochondrial genome (mtDNA) causing a single amino acid exchange in one of the
mtDNA-encoded subunits of NADH:ubiquinone oxidoreductase, the first complex of
the electron transport chain. It was thus obvious to accuse neuronal energy
depletion as the most probable mediator of neuronal death. The group of Valerio
Carelli and other authors have nicely shown that energy depletion shapes the cell
fate in a LHON cybrid cell model. However, the cybrids used were osteosarcoma
cells, which do not fully model neuronal energy metabolism. Although complex I
mutations may cause oxidative stress, a potential pathogenetic role of the latter
was less taken into focus. The hypothesis of bioenergetic failure does not
provide a simple explanation for the relatively late disease onset and for the
incomplete penetrance, which differs remarkably between genders. It is assumed
that other genetic and environmental factors are needed in addition to the
'primary LHON mutations' to elicit RGC death. Relevant nuclear modifier genes
have not been identified so far. The review discusses the unresolved problems of
a pathogenetic hypothesis based on ATP decline and/or ROS-induced apoptosis in
RGCs.
PMID- 21886456
TI - ?
AB - Esse artigo descreve como jovens religiosos e autoridades religiosas de sua
comunidade compreendem a sexualidade, considerando suas experiencias pessoais e
como membros de comunidades religiosas. A analise pretende contribuir para que
politicas publicas dedicadas a promocao da saude sexual da juventude considerem a
religiosidade, no contexto de um estado laico e da promocao do direito a
prevencao. Foram realizadas 26 entrevistas abertas e semidirigidas em diferentes
comunidades da regiao metropolitana da cidade de Sao Paulo (comunidades
catolicas, da umbanda, do candomble e de diferentes denominacoes evangelicas)
sobre iniciacao sexual, casamento, gravidez, contracepcao e prevencao das
DST/Aids, homossexualidade, aborto e direitos humanos. Observou-se como jovens e
autoridades religiosas convivem com a tensao entre tradicao e modernidade e os
distintos discursos sobre a sexualidade. Como sujeitos religiosos (do discurso
religioso) e sujeitos sexuais (de discursos sobre sexualidade), devem ser
incorporados pelos programas como sujeitos de direito nos termos de sua
religiosidade.
PMID- 21886455
TI - Structural genomics: correlation blocks, population structure, and genome
architecture.
AB - An integration of the pattern of genome-wide inter-site associations with
evolutionary forces is important for gaining insights into the genomic evolution
in natural or artificial populations. Here, we assess the inter-site correlation
blocks and their distributions along chromosomes. A correlation block is broadly
termed as the DNA segment within which strong correlations exist between genetic
diversities at any two sites. We bring together the population genetic structure
and the genomic diversity structure that have been independently built on
different scales and synthesize the existing theories and methods for
characterizing genomic structure at the population level. We discuss how
population structure could shape correlation blocks and their patterns within and
between populations. Effects of evolutionary forces (selection, migration,
genetic drift, and mutation) on the pattern of genome-wide correlation blocks are
discussed. In eukaryote organisms, we briefly discuss the associations between
the pattern of correlation blocks and genome assembly features in eukaryote
organisms, including the impacts of multigene family, the perturbation of
transposable elements, and the repetitive nongenic sequences and GC-rich
isochores. Our reviews suggest that the observable pattern of correlation blocks
can refine our understanding of the ecological and evolutionary processes
underlying the genomic evolution at the population level.
PMID- 21886457
TI - Development of angle-resolved low coherence interferometry for clinical detection
of dysplasia.
AB - This review covers the development of angle-resolved low coherence interferometry
(a/LCI) from initial development through clinical application. In the first
applications, the approach used a time-domain interferometry scheme and was
validated using animal models of carcinogenesis to assess the feasibility of
detecting dysplasia in situ. Further development of the approach led to Fourier
domain interferometry schemes with higher throughput and endoscope-compatible
probes to enable clinical application. These later implementations have been
applied to clinical studies of dysplasia in Barrett's esophagus tissues, a
metaplastic tissue type that is associated with an increased risk of esophageal
adenocarcinoma. As an alternative to systematic biopsy, the a/LCI approach offers
high sensitivity and specificity for detecting dysplasia in these tissues while
avoiding the need for tissue removal or exogenous contrast agents. Here, the
various implementations of a/LCI are discussed and the results of the preliminary
animal experiments and ex vivo human tissue studies are reviewed. A review of a
recent in vivo clinical study is also presented.
PMID- 21886459
TI - Relative contributions of passband and filter skirts to the intelligibility of
bandpass speech: Some effects of context and amplitude.
AB - Warren et al. (1995) reported over 90% intelligibility for everyday sentences
reduced to a 1/3-octave band (center frequency 1,500 Hz, slopes 100 dB/octave,
slow-rms peak levels 75 dB). To investigate the basis of this high
intelligibility, Warren and Bashford (1999) partitioned the sentences.
Surprisingly, the rectangular 1/3-octave passband had only 24% intelligibility,
whereas the filter skirts separated by a 1/3-octave notch had an intelligibility
of 83%, despite their severe spectral tilts. Experiment 1 of the present study
substituted monosyllabic words for sentences. Wholeband intelligibility was 26%,
the passband 4%, and the filter skirts 16%. Experiment 2 measured intelligibility
for 1/3-octave sentences having peak levels ranging from 85 down to 35 dB. Whole
band intelligibility ranged from 90% to 68%, and the filter skirt pairs had from
two to four times the passband's intelligibility (which did not vary
significantly with level). Hence, steep (100 dB/octave) filter skirts make the
dominant contribution to intelligibility of nominally 1/3-octave speech across a
wide range of presentation levels.
PMID- 21886460
TI - Scott R. Steele, m.d.
PMID- 21886461
TI - Enterocutaneous fistulas.
PMID- 21886458
TI - Androgen receptor signaling in prostate cancer development and progression.
AB - The androgen receptor (AR) signaling axis plays a critical role in the
development, function and homeostasis of the prostate. The classical action of AR
is to regulate gene transcriptional processes via AR nuclear translocation,
binding to androgen response elements on target genes and recruitment of, or
crosstalk with, transcription factors. Prostate cancer initiation and progression
is also uniquely dependent on AR. Androgen deprivation therapy remains the
standard of care for treatment of advanced prostate cancer. Despite an initial
favorable response, almost all patients invariably progress to a more aggressive,
castrate-resistant phenotype. Considerable evidence now supports the concept that
development of castrate-resistant prostate cancer (CRPC) is causally related to
continued transactivation of AR. Understanding the critical events and
complexities of AR signaling in the progression to CRPC is essential in
developing successful future therapies. This review provides a synopsis of AR
structure and signaling in prostate cancer progression, with a special focus on
recent findings on the role of AR in CRPC. Clinical implications of these
findings and potential directions for future research are also outlined.
PMID- 21886462
TI - Historical perspectives in the care of patients with enterocutaneous fistula.
AB - Evidence can be found throughout surgical history of how devastating an
enterocutaneous fistula (ECF) can be for both patient and surgeon. From
antiquity, this complication of abdominal surgery, malignancy, radiation, trauma,
or inflammatory processes has been a significant challenge to surgeons due to
high associated mortality and significant morbidity. An ECF causes dehydration,
malnutrition, skin excoriation, and sepsis, and has profound psychological
effects on the patient. Recent mortality rates of patients suffering an ECF
approach 20%. The authors illustrate the history of management of patients with
ECF and discuss advances in perioperative care including parasurgical care,
nutrition, wound care, and the history of surgical techniques.
PMID- 21886463
TI - Metabolic support of the enterocutaneous fistula patient.
AB - Enterocutaneous fistula (ECF) is a challenging clinical problem with many
etiologies; however, the most common cause is iatrogenic, complicating abdominal
surgery. Advances in the overall care of the ECF patient have resulted in
dramatic reductions in morbidity and mortality over the last five decades. A
structured approach to the management of ECF has been shown to result in improved
outcomes. Initial physiologic stabilization of the postoperative patient, focused
on hemodynamic and fluid support as well as aggressive sepsis control are the
critical initial maneuvers. Subsequent optimization of nutrition and wound care
allows the patient to regain a positive nitrogen balance, and allow for healing.
Judicious use of antimotility agents as well as advanced wound care techniques
helps to maximize healing as well as quality of life, and prepare patients for
subsequent definitive surgery.
PMID- 21886465
TI - Enterostomal therapy and wound care of the enterocutaneous fistula patient.
AB - Enterocutaneous fistulas represent a challenging situation with respect to wound
care and stoma therapy. An understanding of the principles of wound care and the
various techniques and materials that are available is of vital importance to
enhance patient comfort and recovery as well as facilitate fistula healing. Skin
barriers, adhesives, dressings, pouches, and negative pressure dressings are all
materials that are available in the armamentarium of the enterostomal therapist.
Proper utilization of these items and appropriate modifications to their
application requires an intimate knowledge of the characteristics of the fistula
being treated. Wound care management is a key element in the overall care and
healing of the enterocutaneous fistula.
PMID- 21886464
TI - Radiographic and endoscopic diagnosis and treatment of enterocutaneous fistulas.
AB - The management of enterocutaneous fistulas continues to be a challenging
postoperative complication. Understanding the anatomy of the fistula optimizes
its evaluation and management. Diagnostic radiology has always played an
important role in this task. The use of plain radiography with contrasted studies
and fistulograms is well documented in the earliest investigations of fistulas
and they continue to be helpful techniques. The imaging techniques have evolved
rapidly over the past 15 years with the introduction of cross-sectional imaging,
ultrasound and endoscopy. The purpose of this chapter is to review both the
diagnostic and therapeutic roles of fistulograms, small bowel follow-through,
computed tomography, magnetic resonance imaging, ultrasound, and endoscopy in the
setting of acquired enterocutaneous fistulas.
PMID- 21886466
TI - Enterocutaneous fistula: medical and surgical management including patients with
Crohn's disease.
AB - Patients with inflammatory bowel disease (IBD) and especially Crohn's disease can
be challenging for even the most seasoned of surgeons. Development of an
enterocutaneous fistula (ECF) in these patients further adds a level of
complexity that requires a well-planned and defined management strategy. The role
of the surgeon in caring for these patients should be as the leader of a
multidisciplinary team, directing the care of the other specialists, all while
determining if, and when, the patient requires operative intervention. Although
medical management has come a long way in these and similar patients, surgery is
still needed in a vast majority of patients. Therefore, understanding the
evaluation, initial management, and important technical considerations for care
of IBD and other complex patients with ECFs is a difficult, yet much needed, task
for which the surgeon should be prepared.
PMID- 21886467
TI - Enterocutaneous fistula associated with malignancy and prior radiation therapy.
AB - Enterocutaneous fistula (ECF) associated with cancer or radiation injury
increases the complexity of normal management. Factors such as timing of
additional adjuvant therapy or palliative care, technical considerations for
operating on irradiated bowel, poor wound healing, increased risk of additional
ECF, and decreased likelihood of spontaneous ECF closure all need to be
considered in this scenario. Here the authors focus specifically on the
management of ECF associated with cancer and/or radiation-induced injury to the
bowel.
PMID- 21886469
TI - Operative surgery for enterocutaneous fistula.
AB - The management of the patient with an enterocutaneous fistula is complex and
requires coordinated care on many fronts for successful elimination. With optimal
nonoperative management a fistula may heal spontaneously, the majority within the
first 4 weeks after development. Definitive surgical treatment is best achieved
with resection of the bowel containing the fistula and anastomosis of healthy
normal bowel. The timing of definitive surgery appears to be optimal months after
development, if tolerated. Death rates are low after surgery and patients who
experience the recurrence of a fistula after initial attempt at closure can
ultimately still be cured.
PMID- 21886468
TI - Enterocutaneous fistulas in the setting of trauma and critical illness.
AB - One of the most devastating complications to develop in the general surgical
patient is an enterocutaneous fistula (ECF). Critically ill patients suffering
trauma, thermal injury, infected necrotizing pancreatitis, and other acute
intraabdominal pathology are at unique risk for this complication as well. By
using decompressive laparotomy for abdominal compartment syndrome and leaving the
abdomen open temporarily for other acute processes, survival in some instances
may be improved. However, the exposed viscera are at risk for fistulization in
the presence of an open abdomen, a newly defined entity termed the
enteroatmospheric fistula (EAF). The purpose of this article is to describe the
epidemiology of ECF in the setting of trauma and critical illness, nutrition in
injured/critically ill patients with ECF, pharmacologic adjuncts to decrease
fistula effluent, wound care, surgical management of the EAF/ECF, and techniques
for prevention of these dreaded complications in patients with an open abdomen.
PMID- 21886470
TI - Abdominal wall reconstruction in patients with digestive tract fistulas.
AB - Abdominal wall reconstruction in the digestive tract fistula patient is a complex
issue. The authors review the available data and present information regarding
the timing of surgery, techniques of abdominal wall reconstruction, hernia
repair, and discuss pitfalls associated with the various options. A simple and
basic approach to this problem is described.
PMID- 21886472
TI - Urinary tract injuries: recognition and management.
PMID- 21886471
TI - Complications of enterocutaneous fistulas and their management.
AB - Complications related to enterocutaneous fistulas are common and include sepsis,
malnutrition, and fluid or electrolyte abnormalities. Intestinal failure is one
of the most feared complications of enterocutaneous fistula management and
results in significant patient morbidity and mortality. The authors review
emerging trends in the medical and surgical management of patients with
intestinal failure.
PMID- 21886473
TI - Letter to the editor.
PMID- 21886474
TI - Clinical practice guidelines-how do they apply to cardiovascular and pulmonary
physical therapy?
PMID- 21886475
TI - Extrinsic Threshold PEEP Reduces Post-exercise Dyspnea in COPD Patients: A
Placebo-controlled, Double-blind Cross-over Study.
AB - PURPOSE: Most patients with chronic obstructive pulmonary disease (COPD) complain
of dyspnea during and following exercise, and the development of intrinsic
positive end-expiratory pressure (PEEP) is thought to contribute to lung
hyperinflation and dyspnea. Many people with COPD use pursed lip breathing (PLB)
in an attempt to produce extrinsic PEEP to reduce lung hyperinflation and dyspnea
during and following exertion. We hypothesized that the use of a threshold,
extrinsic PEEP device would reduce post-exercise dyspnea in people with COPD.
METHODS: A double blind, crossover study was conducted on post-exercise dyspnea
in 8 patients with COPD whose exercise tolerance was limited by dyspnea. Subjects
performed two identical 6-minute treadmill bouts that led to a Borg dyspnea
rating of at least 5/10. Dyspnea, heart rate, and oxygen-hemoglobin saturation
(SpO(2)) were recorded at rest, every 2 minutes during exercise and at 2, 5, and
10 minutes post-exercise. Immediately following the exercise bouts, the subjects
used either a threshold PEEP device for 6 breaths at 10 cm H(2)O or a Sham
device. RESULTS: Heart rate and SpO(2) were not different between treatments any
time point before, during, or after exercise. Dyspnea ratings were not different
between devices at rest or during exercise, but were lower in the post-exercise
period following use of PEEP (p < 0.05). When asked which device, if any, the
subjects would prefer to use to relieve post-exercise dyspnea, 7 of 8 chose the
PEEP device and one had no preference. CONCLUSIONS: We found that the use of a
PEEP device can help reduce postexercise dyspnea in patients with COPD.
PMID- 21886476
TI - The Influence of Body Mass Index on Self-report and Performance-based Measures of
Physical Function in Adult Women.
AB - PURPOSE: Little is known about limitations in physical function across BMI
categories in middle aged women using both self-report and performance-based
measures. Furthermore, the impact of BMI on the measurement of function has not
been explored. The purpose of this study was to assess physical function in adult
women across BMI categories using self-report and performance-based measures and
determine the influence of BMI on the relationship between the measures. METHODS:
Fifty sedentary females (10 in each BMI category: normal weight, overweight,
obese class I, II, and III) aged 51.2 +/- 5.4 years participated. Assessments
included demographics, past medical history, physical activity level, BMI, and
self-report (Late Life Function and Disability Instrument) and performance-based
measures of physical function (6-Minute Walk Test, timed chair rise, gait speed).
Physical function was compared between BMI categories using analysis of variance.
The influence of BMI on the relationship of self-report and performance-based
measures was analyzed using linear regression. RESULTS: Compared to those that
were normal weight or overweight, individuals with obesity scored lower on the
self-report measure of physical function (LLFDI) for capability in participating
in life tasks and ability to perform discrete functional activities. On the
performance-based measures, the individuals with obesity had slower gait speed
compared to the normal and overweight weight groups. For the 6-Minute Walk Test
and timed chair stands, individuals with obesity had poorer performance compared
to those who were normal weight. Linear regression analyses revealed that BMI
attenuated the relationship between the self-report and performance-based
measures by approximately 50%. CONCLUSIONS: While those with severe obesity were
most impaired, adult women with less severe obesity also demonstrated significant
decrements in physical function.
PMID- 21886477
TI - A Cardiopulmonary Instructor's Perspective on a Standardized Patient Experience:
Implications for Cardiopulmonary Physical Therapy Education.
AB - PURPOSE: Standardized patients (SP) in Doctor of Physical Therapy (DPT) curricula
are increasingly used for students to practice developing clinical reasoning,
communication, and professional skills in an authentic learning environment. The
purposes of this article are to: (1) describe an instructional model that
synthesized SPs, Internet-based communities of practice, and reflection to teach
clinical reasoning in DPT students; and (2) a cardiovascular and pulmonary
physical therapy (CPPT) instructor's perspective on the educational process and
student clinical skill development. SUMMARY OF KEY POINTS: The model, employed in
a course: "Integrative Physical Therapy Practice," enabled the instructor to
document student clinical performance and reasoning during an SP interaction. For
students, clinical reasoning was illuminated through the model's assessment
process. Data collected through the assessment process provided important
feedback to the instructor on classroom instructional effectiveness. CONCLUSIONS:
Examination of student learning experiences enabled the instructor to consider:
(1) key aspects of examination and management for persons with cardiovascular or
pulmonary disorders, (2) methods for visualizing clinical reasoning, (3) the
impact of teaching on student learning, and (4) strategies for teaching CPPT.
More research is indicated to investigate pedagogy for the development of
clinical reasoning in DPT students.
PMID- 21886478
TI - Physical therapy in the intensive care unit in a patient with biventricular
assist device.
AB - PURPOSE: To determine the safety and feasibility of early physical therapy in the
intensive care unit in a patient with biventricular assist device. METHODS:
Physical therapy started on the first postoperative day and continued till
discharge including airway clearance, lower/upper extremity exercises, and
mobilization. Heart rate (HR), respiratory rate (RR), systolic/diastolic/mean
arterial pressures, peripheral oxygen saturation, and double product were
recorded before treatment, after treatment, and 5 minutes after treatment.
RESULTS: In total, 15 sessions of physical therapy were implemented for a 41-year
old male patient during 21 days following implantation of a biventricular assist
device. Normal physiological responses were seen in response to treatment. Heart
rate increased significantly after treatment in comparison to pretreatment values
(p = 0.02) and decreased significantly after 5 minutes (p = 0.03) and approached
pretreatment values. Respiratory rate increased nonsignificantly after treatment
and decreased significantly after 5 minutes (p = 0.001) and approached
pretreatment values. CONCLUSION: Physical therapy in the intensive care unit in a
patient with biventricular assist device resulted in significant increases within
HR and RR in physiological limits. Ongoing monitoring of vital signs is
recommended in order to observe physiological responses to early physical
therapeutic interventions in the intensive care unit.
PMID- 21886479
TI - How many species are there on Earth and in the ocean?
AB - The diversity of life is one of the most striking aspects of our planet; hence
knowing how many species inhabit Earth is among the most fundamental questions in
science. Yet the answer to this question remains enigmatic, as efforts to sample
the world's biodiversity to date have been limited and thus have precluded direct
quantification of global species richness, and because indirect estimates rely on
assumptions that have proven highly controversial. Here we show that the higher
taxonomic classification of species (i.e., the assignment of species to phylum,
class, order, family, and genus) follows a consistent and predictable pattern
from which the total number of species in a taxonomic group can be estimated.
This approach was validated against well-known taxa, and when applied to all
domains of life, it predicts ~8.7 million (+/- 1.3 million SE) eukaryotic species
globally, of which ~2.2 million (+/- 0.18 million SE) are marine. In spite of 250
years of taxonomic classification and over 1.2 million species already catalogued
in a central database, our results suggest that some 86% of existing species on
Earth and 91% of species in the ocean still await description. Renewed interest
in further exploration and taxonomy is required if this significant gap in our
knowledge of life on Earth is to be closed.
PMID- 21886480
TI - Functional dissection of the proton pumping modules of mitochondrial complex I.
AB - Mitochondrial complex I, the largest and most complicated proton pump of the
respiratory chain, links the electron transfer from NADH to ubiquinone to the
pumping of four protons from the matrix into the intermembrane space. In humans,
defects in complex I are involved in a wide range of degenerative disorders.
Recent progress in the X-ray structural analysis of prokaryotic and eukaryotic
complex I confirmed that the redox reactions are confined entirely to the
hydrophilic peripheral arm of the L-shaped molecule and take place at a
remarkable distance from the membrane domain. While this clearly implies that the
proton pumping within the membrane arm of complex I is driven indirectly via long
range conformational coupling, the molecular mechanism and the number, identity,
and localization of the pump-sites remains unclear. Here, we report that upon
deletion of the gene for a small accessory subunit of the Yarrowia complex I, a
stable subcomplex (nb8mDelta) is formed that lacks the distal part of the
membrane domain as revealed by single particle analysis. The analysis of the
subunit composition of holo and subcomplex by three complementary proteomic
approaches revealed that two (ND4 and ND5) of the three subunits with homology to
bacterial Mrp-type Na(+)/H(+) antiporters that have been discussed as prime
candidates for harbouring the proton pumps were missing in nb8mDelta.
Nevertheless, nb8mDelta still pumps protons at half the stoichiometry of the
complete enzyme. Our results provide evidence that the membrane arm of complex I
harbours two functionally distinct pump modules that are connected in series by
the long helical transmission element recently identified by X-ray structural
analysis.
PMID- 21886481
TI - Tracing the trail of protons through complex I of the mitochondrial respiratory
chain.
AB - Mitochondria are the structures that produce the bulk part of the cellular energy
currency ATP, which drives numerous energy requiring processes in the cell. This
process involves a series of large enzyme complexes--the respiratory chain--that
couples the transfer of electrons to the creation of a concentration gradient of
protons across the inner mitochondrial membrane, which drives ATP synthesis.
Complex I (or NADH-quinone oxidoreductase) is the largest and by far the most
complicated of the respiratory chain enzyme complexes. The molecular mechanism
whereby it couples electron transfer to proton extrusion has remained mysterious
until very recently. Low-resolution X-ray structures of complex I have,
surprisingly, suggested that electron transfer in the hydrophilic arm, protruding
into the mitochondrial matrix, causes movement of a coupling rod that influences
three putative proton pumps within the hydrophobic arm embedded in the inner
mitochondrial membrane. In this Primer, we will briefly introduce the recent
progress made in this area and highlight the road ahead that likely will unravel
the detailed molecular mechanisms of complex I function.
PMID- 21886482
TI - Why worry about how many species and their loss?
AB - We are astonishingly ignorant about how many species are alive on earth today,
and even more ignorant about how many we can lose yet still maintain ecosystem
services that humanity ultimately depends upon. Mora et al.'s paper is important
in offering an imaginative new approach to assessing total species numbers, both
on land and in the sea.
PMID- 21886483
TI - Evolution of sex-specific traits through changes in HOX-dependent doublesex
expression.
AB - Almost every animal lineage is characterized by unique sex-specific traits,
implying that such traits are gained and lost frequently in evolution. However,
the genetic mechanisms responsible for these changes are not understood. In
Drosophila, the activity of the sex determination pathway is restricted to
sexually dimorphic tissues, suggesting that spatial regulation of this pathway
may contribute to the evolution of sex-specific traits. We examine the regulation
and function of doublesex (dsx), the main transcriptional effector of the sex
determination pathway, in the development and evolution of Drosophila sex combs.
Sex combs are a recent evolutionary innovation and show dramatic diversity in the
relatively few Drosophila species that have them. We show that dsx expression in
the presumptive sex comb region is activated by the HOX gene Sex combs reduced
(Scr), and that the male isoform of dsx up-regulates Scr so that both genes
become expressed at high levels in this region in males but not in females.
Precise spatial regulation of dsx is essential for defining sex comb position and
morphology. Comparative analysis of Scr and dsx expression reveals a tight
correlation between sex comb morphology and the expression patterns of both
genes. In species that primitively lack sex combs, no dsx expression is observed
in the homologous region, suggesting that the origin and diversification of this
structure were linked to the gain of a new dsx expression domain. Two other,
distantly related fly lineages that independently evolved novel male-specific
structures show evolutionary gains of dsx expression in the corresponding
tissues, where dsx may also be controlled by Scr. These findings suggest that
changes in the spatial regulation of sex-determining genes are a key mechanism
that enables the evolution of new sex-specific traits, contributing to some of
the most dramatic examples of phenotypic diversification in nature.
PMID- 21886484
TI - Three distinct roles for notch in Drosophila R7 photoreceptor specification.
AB - Receptor tyrosine kinases (RTKs) and Notch (N) proteins are different types of
transmembrane receptors that transduce extracellular signals and control cell
fate. Here we examine cell fate specification in the Drosophila retina and ask
how N acts together with the RTKs Sevenless (Sev) and the EGF receptor (DER) to
specify the R7 photoreceptor. The retina is composed of many hundred ommatidia,
each of which grows by recruiting surrounding, undifferentiated cells and
directing them to particular fates. The R7 photoreceptor derives from a cohort of
three cells that are incorporated together following specification of the R2-R5
and R8 photoreceptors. Two cells of the cohort are specified as the R1/6
photoreceptor type by DER activation. These cells then activate N in the third
cell (the R7 precursor). By manipulation of N and RTK signaling in diverse
combinations we establish three roles for N in specifying the R7 fate. The first
role is to impose a block to photoreceptor differentiation; a block that DER
activation cannot overcome. The second role, paradoxically, is to negate the
first; Notch activation up-regulates Sev expression, enabling the presumptive R7
cell to receive an RTK signal from R8 that can override the block. The third role
is to specify the cell as an R7 rather than an R1/6 once RTK signaling has
specified the cells as a photoreceptor. We speculate why N acts both to block and
to facilitate photoreceptor differentiation, and provide a model for how N and
RTK signaling act combinatorially to specify the R1/6 and R7 photoreceptors as
well as the surrounding non-neuronal cone cells.
PMID- 21886485
TI - Corporate social responsibility and access to policy elites: an analysis of
tobacco industry documents.
AB - BACKGROUND: Recent attempts by large tobacco companies to represent themselves as
socially responsible have been widely dismissed as image management. Existing
research supports such claims by pointing to the failings and misleading nature
of corporate social responsibility (CSR) initiatives. However, few studies have
focused in depth on what tobacco companies hoped to achieve through CSR or
reflected on the extent to which these ambitions have been realised. METHODS AND
FINDINGS: Iterative searching relating to CSR strategies was undertaken of
internal British American Tobacco (BAT) documents, released through litigation in
the US. Relevant documents (764) were indexed and qualitatively analysed. In the
past decade, BAT has actively developed a wide-ranging CSR programme. Company
documents indicate that one of the key aims of this programme was to help the
company secure access to policymakers and, thereby, increase the company's
chances of influencing policy decisions. Taking the UK as a case study, this
paper demonstrates the way in which CSR can be used to renew and maintain
dialogue with policymakers, even in ostensibly unreceptive political contexts. In
practice, the impact of this political use of CSR is likely to be context
specific; depending on factors such as policy elites' understanding of the
credibility of companies as a reliable source of information. CONCLUSIONS: The
findings suggest that tobacco company CSR strategies can enable access to and
dialogue with policymakers and provide opportunities for issue definition. CSR
should therefore be seen as a form of corporate political activity. This
underlines the need for broad implementation of Article 5.3 of the Framework
Convention on Tobacco Control. Measures are needed to ensure transparency of
interactions between all parts of government and the tobacco industry and for
policy makers to be made more aware of what companies hope to achieve through
CSR.
PMID- 21886486
TI - Government inaction on ratings and government subsidies to the US film industry
help promote youth smoking.
PMID- 21886487
TI - Four arguments against the adult-rating of movies with smoking scenes.
PMID- 21886488
TI - Building the field of health policy and systems research: social science matters.
PMID- 21886490
TI - Revolutions.
PMID- 21886491
TI - Report on the ASCO 2010 Provider-Payer Initiative Meeting.
AB - The ASCO Provider-Payer Initiative meeting was convened to explore ways in which
providers and payers could work together to improve patient care.
PMID- 21886492
TI - Current hepatitis B screening practices and clinical experience of reactivation
in patients undergoing chemotherapy for solid tumors: a nationwide survey of
medical oncologists.
AB - PURPOSE: Universal screening for chronic hepatitis B virus (HBV) before
chemotherapy has been recommended by the Centers for Disease Control. We sought
to determine the practice of Australian oncologists with regard to HBV screening
in patients with solid tumors (STs) and their clinical experience of HBV
reactivation (HBVR). METHODS: A survey was sent to all consultant members of the
Medical Oncology Group of Australia. One hundred eighty-eight responses (63%
response rate) were received. We also reviewed the incidence of HBV in patients
with STs screened at the Peter MacCallum Cancer Centre (Melbourne, Australia).
RESULTS: Fifty-three percent of medical oncologists screen for HBV, but only 19%
screen all patients. The most common reasons given for performing screening were
anecdotal experience of HBVR (46%) and perceived sufficient evidence for
screening of some patient subgroups (42%). Sixty-five percent of those who
screened did so only in subgroups, usually selecting patients on the basis of
ethnicity (82%). Oncologists who did not screen most commonly cited inadequate
evidence for a benefit of screening (72%). Twenty-two percent of oncologists had
witnessed one or more HBVR events, representing one event per 45 years of
respondents' practice. HBVR events reported (n = 54) consisted of asymptomatic
liver test abnormalities only (44%), symptomatic hepatitis (28%), decompensated
liver failure (19%), and death (7%). In 206 patients with STs screened for HBV,
1.0% (n = 2) were HBV surface antigen positive, and 14.9% hepatitis B core
antibody positive. CONCLUSION: The majority of Australian medical oncologists
have not adopted universal HBV screening before chemotherapy. Further evidence of
the benefit and cost effectiveness of universal screening in patients with STs
will be required to alter practice.
PMID- 21886493
TI - Characteristics and outcomes of patients with advanced gastric cancer who
declined to participate in a randomized clinical chemotherapy trial.
AB - PURPOSE: There is insufficient data to verify whether participation in clinical
trials in itself can lead to better clinical outcomes. We have analyzed the
characteristics and outcomes of patients who declined to participate in a
randomized trial in comparison with those who participated in the trial. PATIENTS
AND METHODS: A randomized trial for naive advanced gastric cancer was offered to
286 patients. The trial investigated the superiority of irinotecan plus cisplatin
and the noninferiority of S-1 compared with continuous fluorouracil infusion. We
retrospectively reviewed the characteristics and outcomes for both participants
and nonparticipants in this trial. RESULTS: Of the 286 patients, 98 (34%)
declined to participate in the trial. The rate of declining was significantly
higher among younger patients (P = .003), and it varied significantly between
attending physicians (range, 23% to 58%; P = .004). There were no other
significant correlations between rate of declining and patient characteristics.
No significant differences were observed in the clinical outcomes between the
participants and nonparticipants, for whom the median survival times were 367
versus 347 days, respectively. The hazard ratio for overall survival, adjusted
for other confounding variables, was 1.21 (95% CI, 0.91 to 1.60). No interaction
was observed between participation and the various regimens. CONCLUSION: There
was no difference in clinical outcomes between participants and nonparticipants.
However, the patient's age and the doctor-patient relationship may have an effect
on patient accrual to randomized trials.
PMID- 21886494
TI - Commentary: clinical trials represent the best cancer care. Or do they?
PMID- 21886495
TI - Diagnostic imaging and biopsy use among elderly medicare beneficiaries with
hepatocellular carcinoma.
AB - PURPOSE: Diagnostic imaging is effective for evaluating patients suspected of
having hepatocellular carcinoma (HCC). Although the diagnosis can be established
with imaging alone, diagnostic biopsy may be useful for patients with tumors
measuring 1 to 2 cm. To date, biopsy and imaging use among patients with HCC has
not been evaluated in the general community. PATIENTS AND METHODS: This cohort
study used Surveillance, Epidemiology, and End Results (SEER) -Medicare data
(2002-2005) evaluating biopsy, imaging modalities (ultrasound, computed
tomography [CT] scan, and/or magnetic resonance imaging [MRI]), and HCC risk
factors. RESULTS: Of 3,696 patients, 1,197 (32.4%) underwent one or more
biopsies, with no change in yearly biopsy rate (trend test, P = .64). Patients
with tumors > 5 cm were most likely to receive biopsies (35.3%), with increasing
rates of biopsy for larger tumors (P = .001). Patients who received biopsies
underwent more imaging than those who did not (P < .001) and were more likely to
have an HCC risk factor. Tumor size > 5 cm in the setting of a concurrent HCC
risk factor increased the odds of biopsy. In 47.8% of patients, the diagnostic
sequence was not consistent with contemporary evidence-based guidelines.
CONCLUSIONS: Despite widespread availability and use of CT scan and MRI, one
third of HCC patients undergo biopsy, suggesting a problem with the performance
and/or quality of diagnostic imaging or that providers do not believe imaging
alone is sufficient to establish the diagnosis. Understanding factors that drive
biopsy use may help improve the care of patients with HCC.
PMID- 21886497
TI - Spanish as a primary language and its effect on breast cancer presentation.
AB - PURPOSE: It is well documented that patients without health insurance tend to
present at more advanced cancer stages than those with insurance. What has not
been well documented is the effect that primary language has on cancer stage
presentation. Given the significant number uninsured patients and patients not
fluent in English who are treated at our institution, we sought to identify how
these parameters affect cancer staging at presentation using breast cancer as a
model. METHODS: We conducted a retrospective chart review over a 36-month period
at an urban community hospital. Patients who received their initial surgical
treatment at this facility were included. One hundred seventy patients were
identified. Definitive breast cancer surgery, breast cancer stage, and type were
recorded for all subjects. We analyzed patient demographics including ethnicity,
primary language spoken, and insurance status. RESULTS: All patients were female.
Patient populations were evenly distributed among three major ethnicities: 39%
were African American, 36% were white, 23% were Hispanic, and 2% were listed as
"other." Seventy percent of Hispanic patients noted that English was not their
primary language. Ten percent of the white population presented at stage III or
greater compared with 16% of African Americans and 22% of Hispanics. Twenty-seven
percent of non-English-speaking Hispanics presented with advanced-stage disease.
CONCLUSION: Non-English-speaking Hispanic patients presented at more advanced
stages than their English-speaking counterparts. Health care reform must address
the non-English-speaking Hispanic to effectively improve the health of all groups
in the United States.
PMID- 21886496
TI - A screening tool to enhance clinical trial participation at a community center
involved in a radiation oncology disparities program.
AB - PURPOSE: To investigate the effectiveness of a screening tool to enhance clinical
trial participation at a community radiation oncology center involved in a
National Cancer Institute-funded disparities program but lacking on-site clinical
trials personnel. PATIENTS AND METHODS: The screening form was pasted to the
front of the charts and filled out for all new patients over the 9-month period
of the study, during which time five external beam radiation therapy (EBRT)
trials and a patient perception study were open for accrual. Patient consent was
obtained by assorted personnel at several different sites. Patients potentially
eligible for a trial were identified and approached by one of the clinic staff.
Patients who were under- or uninsured, age > 80 years, members of an
racial/ethnic minority, or recipients of medical assistance were identified as at
risk for health care disparities and were offered patient navigator services.
RESULTS: Of 196 patients consulted during the study, 144 were treated with EBRT.
Of the 24 patients eligible for EBRT trials, 23 were approached (one had an
incomplete screening form), and 15 accepted. Of 77 patients eligible for a
patient perception trial, 72 were approached (five had incomplete forms), and 45
accepted. The eligibility and acceptance rates for EBRT trials were similar for
disparities and nondisparities patients. Screening was completed for 96 patients
(67%). CONCLUSION: When completed, the screening tool ensured clinical trial
accrual. The major factor limiting overall accrual was a shortage of available
trials.
PMID- 21886498
TI - A model for rural oncology.
AB - Small rural hospitals in the United States have had challenging issues developing
sustainable oncology programs. This is a report on the development of a
successful rural oncology program. In 2006, the Tahoe Forest Health System in
Truckee, CA, a remote mountain resort town, started a cancer program that was
focused on addressing patient and family fears that are common to all cancer
patients but more frightening in the rural setting. Four years later, it is a
thriving program with significant community support, a creative academic
affiliation, and a central focus of the future of the hospital. The Tahoe Forest
Cancer Center developed a sustainable model for high quality cancer care that
overcomes geographic, cultural and financial barriers. This structure may serve
as a model for national rural health care.
PMID- 21886499
TI - Barriers to recruitment of rural patients in cancer clinical trials.
AB - PURPOSE: The National Cancer Institute estimates that less than 5% of adult
patients with cancer participate in clinical trials. This statistic has to
improve in order for clinical trials to be more accurate and generalizable.
Several studies have looked into the barriers to accrual among various patient
subgroups. However, there are scant data regarding factors that act as barriers
to accrual of rural patients. Our study aims to identify these barriers. PATIENTS
AND METHODS: Among patients seen at the Mary Babb Randolph Cancer Center at West
Virginia University, 1,000 were randomly selected to receive a questionnaire by
mail. Data obtained consisted of demographic and clinical information, as well as
awareness about clinical trials, willingness to participate, and factors
influencing participation. Patients had 6 weeks to respond. RESULTS: Two hundred
forty-one (24.1%) patients responded to the survey. Of these, 66.9% had heard
about clinical trials, 19.6% reported that their health care team had discussed
clinical trials, and 9.1% had participated in clinical trials. Respondents were
more likely to be willing to participate in cancer prevention/screening trials
than therapeutic trials. Regarding the decision not to participate in a clinical
trial, patients cited discouragement from their oncologist, monetary burden,
discouragement from family physician, commute, and lack of information as
strongly or extremely influential factors. CONCLUSION: Our findings specify the
need for patient and physician education through community outreach programs.
Oncologists should be trained to discuss clinical trials and to address concerns
regarding their availability, utility, and accessibility. Financial counseling
may play an important role in improving accrual rates as well.
PMID- 21886500
TI - National cancer institute conference on treating tobacco dependence at cancer
centers.
AB - The National Cancer Institute cancer centers possess the credibility to help
smokers quit. With the greater life expectancies forecast for patients with
cancer, addressing smoking at cancer centers has taken on greater importance.
PMID- 21886501
TI - Physicians' current practices and opportunities for DNA banking of dying patients
with cancer.
AB - PURPOSE: The availability of genetic tests for cancer susceptibility is
increasing. Current tests, however, have limited clinical sensitivity. Even when
clinically valid tests are available, the genetic counseling and informed consent
process might not be feasible for dying patients with cancer. DNA banking
preserves the opportunity for future research or clinical testing and may provide
critical opportunities for surviving relatives. This study explored the current
practices and potential for DNA banking for cancer susceptibility among
oncologists specializing in palliative care. METHODS: Palliative care oncologists
actively providing clinical care for dying patients with cancer were recruited
for an online survey. Descriptive statistics for DNA banking practices, perceived
qualification to recommend banking, and potential predictors were assessed.
RESULTS: Data were collected from 49 physicians (37% recruitment rate). Eighty
percent reported assessing at least some patients for genetic cancer
susceptibility in the past 12 months. No participants reported banking DNA for
patients in the past 12 months. Only 5% reported feeling at least somewhat
qualified to order DNA banking. A Web-based risk assessment tool and genetic
counselor on staff were perceived as the most helpful potential resources.
CONCLUSION: Despite its potential, DNA banking is not being used by palliative
care oncologists.
PMID- 21886502
TI - The clinical research team.
AB - Developing and maintaining an exemplary research team is essential to the success
of a quality clinical research program.
PMID- 21886503
TI - Partners and partnerships: trends in private oncology practice.
AB - There are approximately 6,500 medical oncologists in private practice in the
United States. Regional vacancy rates can range from 30% to 50%, putting enormous
stress on existing providers. Regulatory, financial and emotional burdens
continue to restructure the medical marketplace.
PMID- 21886504
TI - Subspecialization in community oncology: option or necessity?
AB - Specializing in a particular type of cancer is one way to manage the explosion of
knowledge in oncology. What would subspecializing mean for practitioners,
patients, and the community?
PMID- 21886505
TI - ASCO Provisional Clinical Opinion: Epidermal Growth Factor Receptor Mutation
Testing in Practice.
AB - ASCO has recently provided guidance on emerging data on EGFR testing for the
purpose of selecting first-line therapy for persons with advanced NSCLC through
its Provisional Clinical Opinion.
PMID- 21886506
TI - Racial variation in the cost-effectiveness of chemotherapy for prostate cancer.
AB - PURPOSE: Heterogeneity of treatment effects and expenditures impacts the cost
effectiveness of health interventions. This study investigates the variation in
costs, effects, and incremental cost-effectiveness ratios (ICERs) associated with
chemotherapy in elderly patients with metastatic (M1) prostate cancer (PC) across
race/ethnicity subgroups (non-Hispanic whites, non-Hispanic blacks, and others).
STUDY DESIGN: Retrospective observational analysis. METHODS: We examined patients
age 66 years or older, identified by using the linked Surveillance, Epidemiology,
and End Results-Medicare data set, who were diagnosed with M1 PC between 2000 and
2005. Cost data on the basis of Medicare reimbursements were available for 36
months after diagnosis. Mean costs and effects (life-years gained [LYG]) were
adjusted for censoring. The baseline scenario examined PC-specific medical costs
at 24 months and required survival of at least three months. Sensitivity analysis
considered sampling uncertainty, selection into treatment, and adjustments to
initial model assumptions. RESULTS: We identified 3,888 patients with M1 PC, of
whom 24% (n = 930) received chemotherapy (primarily docetaxel and mitoxantrone).
Twenty percent of observations were censored. The full sample ICER was $99,146
per LYG (95% CI, $75,042 to $130,195). Estimates for whites (ICER, $107,095; 95%
CI, $78,391 to $148,272), blacks (ICER, $59,887; 95% CI, $22,860 to $121,509),
and others (ICER, $123,909; 95% CI, $37,782 to $366,376) suggest considerable
variation in the likelihood of chemotherapy being cost-effective. Results were
similar in sensitivity analysis. CONCLUSION: Chemotherapy use in elderly patients
with M1 PC is associated with an ICER of $99,146 per LYG. Subgroup analysis
revealed heterogeneity in point estimates and considerable statistical
uncertainty. To generate a reliable evidence base, efforts to increase the
representation of minorities in health care data sets need to continue.
PMID- 21886507
TI - Genomic testing and therapies for breast cancer in clinical practice.
AB - PURPOSE: Given the likely proliferation of targeted testing and treatment
strategies for cancer, a better understanding of the utilization patterns of
human epidermal growth factor receptor 2 (HER2) testing and trastuzumab and newer
gene expression profiling (GEP) for risk stratification and chemotherapy decision
making are important. STUDY DESIGN: Cross-sectional. METHODS: We performed a
medical record review of women age 35 to 65 years diagnosed between 2006 and 2007
with invasive localized breast cancer, identified using claims from a large
national health plan (N = 775). RESULTS: Almost all women received HER2 testing
(96.9%), and 24.9% of women with an accepted indication received GEP. Unexplained
socioeconomic differences in GEP use were apparent after adjusting for age and
clinical characteristics; specifically, GEP use increased with income. For
example, those in the lowest income category (< $40,000) were less likely than
those with an income of $125,000 or more to receive GEP (odds ratio, 0.34; 95%
CI, 0.16 to 0.73). A majority of women (57.7%) with HER2-positive disease
received trastuzumab; among these women, differences in age and clinical
characteristics were not apparent, although surprisingly, those in the lowest
income category were more likely than those in the high-income category to
receive trastuzumab (P = .02). Among women who did not have a positive HER2 test,
3.9% still received trastuzumab. Receipt of adjuvant chemotherapy increased as
GEP score indicated greater risk of recurrence. CONCLUSION: Identifying and
eliminating unnecessary variation in the use of these expensive tests and
treatments should be part of quality improvement and efficiency programs.
PMID- 21886508
TI - Impact of clinical oral chemotherapy program on wastage and hospitalizations.
AB - PURPOSE: The oral chemotherapy cycle management program (CMP) provides clinical
management support to patients receiving certain oral chemotherapies. The CMP
includes a dose-monitoring (ie, split-fill) plan for early identification and
management of adverse effects. If serious adverse effects are identified mid
cycle, the remainder of the monthly supply is withheld, thus avoiding potential
waste associated with early therapy discontinuation. This study investigated
medication wastage and estimated potential cost savings for patients who were
enrolled in the CMP, as compared with those who were not enrolled in the program.
STUDY DESIGN: Retrospective test-control study. PATIENTS AND METHODS: Patients
whose oral chemotherapy was initiated between June 2008 and February 2010 and who
were enrolled in the CMP were included as the test group. Patient whose oral
chemotherapy was initiated between June 2007 and May 2008 and who were not part
of the CMP were included as the control group. RESULTS: Medication wastage
associated with early therapy discontinuation was found to be lower in the CMP
group. Approximately 34% of patients in the CMP group could have avoided
medication wastage if split-fill plans had been available, potentially realizing
savings of approximately $934.20 per patient. Linear probability regression
models showed that the CMP group had a 2.9% probability for reduction in hospital
admissions (P < .05), resulting in additional savings of approximately $440.0 per
patient. Combined savings resulting from reduced wastage and hospital admissions
was approximately $1,374 per patient. CONCLUSION: Dose-monitoring programs such
as the CMP effectively reduce wastage and serious adverse effects associated with
oral chemotherapeutic agents, realizing potential cost savings for both payers
and patients.
PMID- 21886509
TI - Impact of new drugs and biologics on colorectal cancer treatment and costs.
AB - PURPOSE: To compare medical expenditures of patients receiving old and new
colorectal cancer (CRC) regimens. STUDY DESIGN: USING CLAIMS DATA, WE IDENTIFIED
TWO COHORTS OF PRIVATELY INSURED PATIENTS DIAGNOSED WITH CRC: first, those
diagnosed before new treatment introduction (January 1, 2002, to December 31,
2002), and second, those diagnosed after new treatment introduction (June 1,
2004, to May 31, 2005). CRC diagnosis was identified using International
Classification of Diseases-9 codes 153.xx, 154.xx, and 159.0. First- and second
line chemotherapy regimens were identified. Treatments and expenditures were then
observed for up to 2 years after initial diagnosis. METHODS: We estimated
multivariate models to measure changes in cost with changes in treatment regimen.
Approval dates of new regimens were used as natural experiments. RESULTS: New
regimens, such as fluorouracil, leucovorin, and oxaliplatin (FOLFOX), have
rapidly replaced the most prevalent preperiod product (ie,
fluorouracil/leucovorin). Changes in treatment have caused large increases in
total expenditure, primarily through increases in chemotherapy prices. FOLFOX
alone has increased total average cost by 14%. New treatments have not
substituted other medical services; rather, they have indirectly raised costs
through nonstandard regimen use and increases in second-line treatment use. We
found no evidence that expenditure effects were driven by changes in follow-up
duration. CONCLUSION: New CRC treatments have increased both regimen choice and
expenditures. New regimens have primarily increased expenditures through direct
treatment costs; we observed no offsetting expenditure reductions.
PMID- 21886510
TI - US Insurance Program's Experience With a Multigene Assay for Early-Stage Breast
Cancer.
AB - PURPOSE: National guidelines recommend a 21-gene recurrence score (RS) to aid in
adjuvant treatment decision in patients with estrogen receptor (ER) -positive,
lymph node (LN) -negative early-stage breast cancer (ESBC). This study was
performed to assess the economic implication of the assay in community practices
from the perspective of a US payer. METHODS: The study analyzed 952 women with
ESBC enrolled with Humana (Louisville, KY) who were tested with the 21-gene RS
between June 2006 and June 2010. The proportion of women classified by the assay
according to RS risk category, use, and costs of chemotherapy regimens and
supportive care, and costs of adverse events were obtained from Humana. We
adopted a validated Markov model to compute the cost implications of RS for a
representative patient. The probability of risk of recurrence, the chemotherapy
benefit, and the decision impact of RS were derived from published studies.
RESULTS: Two hundred fifty-five patients within the tested population received
adjuvant chemotherapy. Adjuvant chemotherapy was administered to 10% of women at
low risk, 36% of women at intermediate risk, and 72% of women at high risk of
recurrence. On the basis of a meta-analysis in the reduction of chemotherapy
after RS, the model estimated an average test saving of $1,160 per patient. The
immediate direct savings for chemotherapy drugs, supportive care, and management
of adverse events were $1,885, $2,578, and $472, respectively. Prevention of
recurrence through appropriate treatment of patients at high risk resulted in
additional savings of $199. CONCLUSION: The adoption of the 21-gene RS led to
targeted management of women with ER-positive, LN-negative ESBC and consequently
directed savings to the payer.
PMID- 21886511
TI - Oncology management programs for payers and physicians: evaluating current models
and diagnosing successful strategies for payers and physicians.
PMID- 21886512
TI - Journey forward: the new face of cancer survivorship care.
PMID- 21886514
TI - Developing dialogue in health care.
PMID- 21886513
TI - Effect of the Pay-for-Performance Program for Breast Cancer Care in Taiwan.
AB - PURPOSE: To evaluate the impact of the nationwide pay-for-performance (P4P)
program for breast cancer care (BC-P4P) in Taiwan on care quality, patient
survival, and recurrence. STUDY DESIGN: A population-based observational study
with cross-sectional design. METHODS: Retrospective analysis of population-based
cancer registration and claims data was used in this study. A total of 4,528
patients with stage I or II breast cancer diagnosed in 2002 or 2003 who received
curative surgery were observed until the end of 2008. This study applied
multivariate linear regression to explore the association between BC-P4P
enrollment and quality of care. Cox regression was applied to examine the effect
of BC-P4P enrollment on 5-year recurrence and overall survival among patients
with breast cancer. RESULTS: After controlling for age, stage, type of surgery,
and other factors, BC-P4P enrollees were found to have received better quality
care than nonenrollees (P = .001). Cox regression models also indicated that
after controlling for patient characteristics, quality of care was related to
better 5-year overall survival (odds ratio [OR], 0.212; P = .001) and recurrence
(OR, 0.289; P < .001). Even when controlled by quality of care provided to
patients and its interaction with status of BC-P4P enrollment, BC-P4P enrollment
remained statistically significant regarding 5-year overall survival (OR, 0.167;
P < .001) and recurrence (OR, 0.370; P = .002). CONCLUSION: Patients with breast
cancer enrolled in the BC-P4P program received better quality care and had better
outcome than nonenrolled patients. Evidence from this study indicates that
financial incentives in the payment design had a positive impact on outcome of
breast cancer care.
PMID- 21886515
TI - Health technology assessment and private payers' coverage of personalized
medicine.
AB - PURPOSE: Health technology assessment (HTA) plays an increasing role in
translating emerging technologies into clinical practice and policy. Private
payers are important users of HTA whose decisions impact adoption and use of new
technologies. We examine the current use of HTA by private payers in coverage
decisions for personalized medicine, a field that is increasingly impacting
oncology practice. STUDY DESIGN: Literature review and semistructured interviews.
METHODS: We reviewed seven HTA organizations used by private payers in decision
making and explored how HTA is used by major US private payers (n = 11) for
coverage of personalized medicine. RESULTS: All payers used HTA in coverage
decisions, but the number of HTA organizations used by an individual payer ranged
from one (n = 1) to all seven (n = 1), with the majority of payers (n = 8) using
three or more. Payers relied more extensively on HTAs for reviews of personalized
medicine (64%) than for other technologies. Most payers (82%) equally valued
expertise of reviewers and rigor of evaluation as HTA strengths, whereas genomic
specific methodology was less important. Key reported shortcomings were limited
availability of reviews (73%) and limited inclusion of nonclinical factors (91%),
such as cost-effectiveness or adoption of technology in clinical practice.
CONCLUSION: Payers use a range of HTAs in their coverage decisions related to
personalized medicine, but the current state of HTA to comprehensively guide
those decisions is limited. HTA organizations should address current gaps to
improve their relevance to payers and clinicians. Current HTA shortcomings may
also inform the national HTA agenda.
PMID- 21886516
TI - Characterizing medical care by disease phase in metastatic colorectal cancer.
AB - PURPOSE: To characterize patterns of medical care by disease phase in patients
with newly diagnosed metastatic colorectal cancer (mCRC). METHODS: Patients with
mCRC newly diagnosed between 2004 and 2008 were selected from a large US national
commercially insured claims database and were observed from initial mCRC
diagnosis to death, disenrollment, or end of study period (July 31, 2009),
whichever occurred first. The observation period was divided into three distinct
phases of disease: diagnostic, treatment, and death. Within each phase, patterns
of medical care were examined by the mutually exclusive service categories of
inpatient, emergency room (ER), outpatient office and facility, outpatient
pharmacy, chemotherapy, and biologic therapy, as measured by estimation of
aggregate and category costs per patient per month. RESULTS: A total of 6,675
patients with newly diagnosed mCRC were analyzed. Mean age was 64.1 years; 55.5%
were males. Mean costs per patient per month for diagnostic, treatment, and death
phases were $16,895, $8,891, and $27,554, respectively. Inpatient care was the
primary driver of medical care for both the diagnostic (41.7% of costs) and death
(71.4% of costs) phases. The largest category of medical care for the treatment
phase was outpatient care (45.0% of costs). Chemotherapy and biologic therapy
accounted for 15.6% and 17.6% of costs in the treatment phase, respectively.
CONCLUSION: Substantial differences in patterns of medical care were found
between mCRC disease phases. Inpatient care was the key driver of medical care in
the diagnostic and death phases compared with outpatient care in the treatment
phase.
PMID- 21886517
TI - Advancing performance measurement in oncology: quality oncology practice
initiative participation and quality outcomes.
AB - The American health care system, including the cancer care system, is under
pressure to improve patient outcomes and lower the cost of care. Government
payers have articulated an interest in partnering with the private sector to
create learning communities to measure quality and improve the value of health
care. In 2006, the American Society for Clinical Oncology (ASCO) unveiled the
Quality Oncology Practice Initiative (QOPI), which has become a key component of
the measurement system to promote quality cancer care. QOPI is a physician-led,
voluntary, practice-based, quality-improvement program, using performance
measurement and benchmarking among oncology practices across the United States.
Since its inception, ASCO's QOPI has grown steadily to include 973 practices as
of November 2010. One key area that QOPI has addressed is end-of-life care.
During the most recent data collection cycle in the Fall of 2010, those practices
completing multiple data collection cycles had better performance on care of pain
compared with sites participating for the first time (62.61% v 46.89%).
Similarly, repeat QOPI participants demonstrated meaningfully better performance
than their peers in the rate of documenting discussions of hospice and palliative
care (62.42% v 54.65%) and higher rates of hospice enrollment. QOPI demonstrates
how a strong performance measurement program can lead to improved quality and
value of care for patients.
PMID- 21886519
TI - Patient and plan characteristics affecting abandonment of oral oncolytic
prescriptions.
AB - PURPOSE: To calculate the abandonment rate of oral oncolytic medications and
identify factors that may affect likelihood of abandonment. STUDY DESIGN: Cross
sectional cohort study using administrative claims data. METHODS: We analyzed a
nationally representative pharmacy claims database and identified 10,508 patients
with Medicare and commercial insurance for whom oral oncolytic therapy was
initiated between 2007 and 2009. We calculated the abandonment rate for the
initial claim, in which abandonment was defined as reversal of an adjudicated
pharmacy claim without a subsequent paid claim for any oncolytic (oral or
intravenous) within the ensuing 90 days. We assessed likelihood of abandonment
using bivariate and multivariate logistic regression analyses including patient
demographics, plan type, drug type, cost sharing, and concurrent prescription
activity. RESULTS: The abandonment rate of newly initiated oral oncolytics was
10.0%. Unadjusted bivariate analyses found that high cost sharing, increased
prescription activity, lower income, and Medicare coverage were associated with a
higher abandonment rate (P < .05). In the logistic regression model, claims with
cost sharing greater than $500 were four times more likely to be abandoned than
claims with cost sharing of $100 or less (odds ratio [OR], 4.46; P < .001).
Patients with five or more prescription claims processed within in the previous
month had 50% higher likelihood of abandonment than patients with no other
prescription activity (OR, 1.50; P < .001). CONCLUSION: Abandonment of newly
prescribed oral oncolytic therapy is not uncommon, and the likelihood increases
for patients enrolled in plans with pharmacy benefit designs that require high
cost sharing. Increased concurrent prescription activity was also associated with
a higher abandonment rate. These factors should be taken into account when
considering likely adherence to cancer therapy.
PMID- 21886518
TI - Do economic evaluations of targeted therapy provide support for decision makers?
AB - PURPOSE: Decision makers must make decisions without complete information. That
uncertainty can be decreased when economic evaluations use local data and can be
quantified by considering the variability of all model inputs concurrently per
international evaluation guidelines. It is unclear how these recommendations have
been implemented in evaluations of targeted cancer therapy. By using economic
evaluations of adjuvant trastuzumab, we have assessed the extent to which
decision support recommendations were adopted. STUDY DESIGN: Systematic review.
METHODS: Published economic evaluations of adjuvant trastuzumab treatment in
early-stage breast cancer were examined as an established example of targeted
therapy. Canadian, United Kingdom, and US economic evaluation guidelines were
reviewed to establish extraction criteria. Extraction characterized the use of
effectiveness evidence and local data sources for model parameters, sensitivity
analysis methods (scenario, univariate, multivariate, and probabilistic) and
uncertainty representation (ie, cost-effectiveness plane, scatterplot, confidence
ellipses, tornado diagrams, cost-effectiveness acceptability curve). RESULTS:
Fifteen economic evaluations of adjuvant trastuzumab were identified in the
literature. Local data were used to estimate costs (15 of 15) and utilities
rarely (two of 15) but not trastuzumab efficacy. Univariate sensitivity analysis
was most common (12 of 15), whereas probabilistic analysis was less frequent (10
of 15). Two thirds of all studies provided visual representation of results and
decision uncertainty. CONCLUSION: Authors of adjuvant trastuzumab economic
evaluations rarely use local data beyond costs. Quantification of uncertainty and
its representation also fell short of guideline recommendations. This review
demonstrates that economic evaluations of adjuvant trastuzumab, as an example of
targeted cancer therapy, can be improved for decision-making support.
PMID- 21886521
TI - Trying something new: episode payments for cancer therapy.
PMID- 21886520
TI - Pathways, outcomes, and costs in colon cancer: retrospective evaluations in two
distinct databases.
AB - PURPOSE: The goal of this study was to use two separate databases to evaluate the
clinical outcomes and the economic impact of adherence to Level I Pathways, an
evidence-based oncology treatment program in the treatment of colon cancer.
PATIENTS AND METHODS: The first study used clinical records from an electronic
health record (EHR) database to evaluate survival according to pathway status in
patients with colon cancer. Disease-free survival in patients receiving adjuvant
treatment and overall survival in patients receiving first-line therapy for
metastatic disease was calculated. The second study used claims data from a
national administrative claims database to examine direct medical costs and use,
including the cost of chemotherapy and of chemotherapy-related hospitalizations
according to pathway status. RESULTS: Overall costs from the national claims
database-including total cost per case and chemotherapy costs-were lower for
patients treated according to Level I Pathways (on-Pathway) compared with
patients not treated according to Level I Pathways. Use of pathways was also
associated with a shorter duration of therapy and lower rate of chemotherapy
related hospital admissions. Survival for patients on-Pathway in the EHR database
was comparable with those in the published literature. CONCLUSION: Results from
two distinct databases suggest that treatment of patients with colon cancer on
Pathway costs less; use of these pathways demonstrates clinical outcomes
consistent with published evidence.
PMID- 21886522
TI - Are we winning the war on cancer?
PMID- 21886523
TI - Giving back to your country, the people, and your family.
PMID- 21886524
TI - The Hospital for Special Surgery 1972-1989; Philip D. Wilson, Jr., Eighth Surgeon
in-Chief.
AB - After nearly a decade as the seventh Surgeon-in-Chief (1963-1972) of The Hospital
for Special Surgery (HSS), Robert Lee Patterson, Jr., MD (1907-1994) retired,
having repaired adverse relations between HSS and the New York Hospital-Cornell
Medical Center. Patterson, who had first joined the staff of The Hospital for the
Ruptured and Crippled in 1936 as a Visiting Surgeon, was able to accomplish this
very challenging task mainly through his close relationship with Preston Wade, MD
(1901-1982), a general surgeon who had served with Patterson as Co-Chief of the
combined New York Hospital-HSS Fracture service. The Board of Trustees of the New
York Society for the Relief of the Ruptured and Crippled appointed Philip D.
Wilson, Jr. MD, as the eighth Surgeon-in-Chief of The Hospital for Special
Surgery. He assumed that office on July 1, 1972. Wilson, who had joined the staff
as an Orthopaedic Surgeon to the Out-Patient Department in 1951, had trained as
an orthopaedic resident at HSS from 1948 to 1950 and in 1951, finished his
residency at the University of California Hospital Medical Center, San Francisco.
During his 17 years as Surgeon-in-Chief, he led the hospital into the advanced
field of implant research and development and building a world-class center for
patient care. Additionally, many other orthopaedic services such as Sports
Medicine, Scoliosis and Metabolic Bone Diseases became the leaders in their
fields. Supporting Departments of Rheumatology, Anesthesia and others were
likewise recognized foremost in the country.
PMID- 21886525
TI - Failure of the Patellar Tendon with the Patella Everted versus Noneverted in a
Matched-Pair Cadaver Model.
AB - Avoidance of patellar eversion during total knee arthroplasty may help to prevent
injury to the patellar tendon. The purpose of this study was to compare the load
to-failure of the everted versus the noneverted patella in a cadaveric model.
Fourteen cadaver knees (seven pairs) were loaded to failure with the patella
everted in one knee and not everted in the other. Mean load-at-ultimate failure
in the patella-everted group was 1,111 +/- 572 N, and in the patella-noneverted
group was 1,621 +/- 683 N (p = 0.01). Additionally, loads-at-initial-partial
failure were lower (p = 0.04) in the patella-everted compared to the patella
noneverted group, 573 +/- 302 N versus 1,115 +/- 358 N, respectively. A partial
failure of the patellar tendon occurred in 100% of the everted specimens, whereas
only 57% of the noneverted specimens had partial failure. These findings suggest
patella eversion may lead to failure of the patellar insertion at lower loads
than when the patella is not everted.
PMID- 21886527
TI - Arthroscopic capsular plication in the treatment of shoulder pain in competitive
swimmers.
AB - Shoulder pain is a common and difficult problem in competitive swimmers due to
cumulative loads from repetitive overhead motion. Capsular laxity has been
implicated as a potential etiology for shoulder pain in competitive swimmers. No
study has examined the role of capsular plication in addressing recurrent
shoulder pain in competitive swimmers. The purpose of this study is to
retrospectively describe our series of competitive swimmers treated with
arthroscopic capsular plication with a primary outcome of return to competitive
swimming. Eighteen shoulders in 15 patients underwent arthroscopic capsular
plication from 2003 to 2007. Patients were contacted at an average follow-up of
29 months (range, 8-42) and a swimming history, American Shoulder and Elbow
(ASES) scores, and L'Insalata scores were obtained. At time of surgery, all
patients demonstrated laxity under examination under anesthesia. All patients had
a positive drive-through sign. Eighty percent (12/15) of patients returned to
competitive swimming although only 20% (3/15) were able to return to their pre
injury training regimen volume. All patients subjectively reported improved pain
after surgery. The average ASES score was 78 +/- 16 (average, standard
deviation). The average L'Insalata score was 82 +/- 11. Although our results
demonstrate that arthroscopic capsular plication has utility in the treatment of
shoulder pain in swimmers who have failed non-operative treatment, the inability
of some athletes to return to pre-injury training volume illustrates the
difficult nature of shoulder pain in swimmers. LEVEL OF EVIDENCE: Retrospective
case series, Level IV.
PMID- 21886526
TI - High-flexion total knee replacement: functional outcome at one year.
AB - Implants designed for enhanced flexion offer the prospect of improved function
after total knee replacement (TKR). Whereas most studies evaluating these
implants have focused on the range of knee flexion achieved, this study
investigated the quality of function in deep knee flexion. The influences of
residual pain and maximum flexion angle on function in deep knee flexion were
also examined. Eighty-three patients (100 knees) were prospectively followed for
1 year after TKR with a rotating-platform posterior-stabilized high-flexion
prosthesis. Range of motion was measured and Knee Society scores were calculated.
A questionnaire evaluated residual knee pain and function in high-flexion
activities. Mean Knee Society score was 95, and mean knee flexion was 125 degrees
, yet 20% of patients could neither kneel, nor squat, nor sit on their heels.
Fifty-seven percent were able to kneel without significant difficulty; 69% were
able to squat without significant difficulty; and 46% were able to sit on their
heels without significant difficulty. Function in deep flexion correlated with
pain scores but did not correlate with knee flexion angles or Knee Society
scores. Results 1 year after TKR with a rotating-platform posterior-stabilized
high-flexion prosthesis are encouraging, but one in five patients remain
significantly limited in high-flexion activities.
PMID- 21886528
TI - Early Post-operative Periprosthetic Femur Fracture in the Presence of a Non
cemented Tapered Wedge Femoral Stem.
AB - Non-cemented femoral fixation in hip arthroplasty has become the standard of
practice in the USA. However, recent literature has brought attention to an
increasing incidence of periprosthetic femur fractures with certain stem designs.
This study examines reasons for early periprosthetic femur fractures in patients
with a hip arthroplasty performed using a non-cemented tapered wedge stem design.
A multivariate analysis using a matched-cohort design was performed to assess any
potential risk factors that may predispose to such fractures. Six of 2,220 hips
(0.3%) suffered a periprosthetic femur fracture within the first year after
surgery; five of six were Vancouver Type B2. The average time to fracture was 9
weeks. This group of patients had a significantly higher canal-flare index and
lower canal-calcar ratio. This complication may be preventable by having a better
appreciation of the fit between the implant and the bone during pre-operative
planning, with the goal of avoiding a proximal-distal mismatch.
PMID- 21886529
TI - A randomized controlled trial of intraarticular ropivacaine for pain management
immediately following total knee arthroplasty.
AB - Total knee arthroplasty (TKA) is a commonly performed procedure for the treatment
of end-stage arthritis of the knee. Pain control following TKA is difficult to
manage in some patients. We examined the use of a postoperative intraarticular
injection of 100 mL of 0.2% (200 mg) ropivacaine in a double-blind, prospective,
placebo-controlled pilot study to evaluate its use as a pain control modality.
All patients received general anesthesia. Postoperatively, patients were placed
on intravenous patient-controlled analgesia with morphine. The ropivacaine group
showed an early trend in lower visual analog scale (VAS) scores when compared
with the placebo group. Patients receiving ropivacaine used a similar amount of
narcotics compared with the placebo group. Intraarticular ropivacaine used for
pain control after TKA demonstrated no statistically significant difference in
lowering VAS scores or narcotic usage; therefore, intraarticular ropivacaine as a
single modality is not recommended for effective pain management.
PMID- 21886530
TI - The utility of urine desmosine as a marker of lung injury in spine surgery.
AB - The objective of this prospective observational study was to determine if urine
desmosine levels, a marker of lung injury, increase in response to the
periopreative insults of anterior and posterior spine surgery. Desmosine, a
stable breakdown product of elastin, has been proposed as a surrogate marker of
lung injury in patients with COPD, tobacco use, and ARDS. We recently evaluated
this marker in patients undergoing knee surgery, but the utility of desmosine as
a marker of lung injury in patients undergoing spine surgery remains unstudied.
In this study, we enrolled ten consecutive patients, who underwent
anterior/posterior spine surgery. Patient demographics and perioperative data
were recorded. Urine samples were collected at baseline, 1 day, and 3 days
postoperatively and analyzed for levels of desmosine using a previously validated
radioimmunoassay. Desmosine levels were 35.9 +/- 18.2 pmol/mg creatinine at
baseline, 38.7 +/- 11 pmol/mg creatinine on postoperative day 1, and 70.5 +/-
49.1 pmol/mg creatinine on postoperative day 3, respectively.
Desmosine/creatinine ratios measured on day 3 postoperatively were significantly
elevated compared to levels at baseline, and represented a 96.3% increase. No
difference was seen between levels at baseline and day 1 postoperatively. In
conclusion, we were able to show a significant increase in urine desmosine levels
associated with anterior/posterior spine surgery. In the context of previous
studies, our findings suggest that desmosine may be a marker of lung injury in
this setting. However, further research is warranted for validation and
correlation of desmosine levels to clinical markers and various degrees of lung
injury.
PMID- 21886531
TI - Histologic stages of healing correlate with restoration of tensile strength in a
model of experimental tendon repair.
AB - Much current research is focused on biologic enhancement of the tendon repair
process. To evaluate the different methods, which include a variety of gene
therapy and tissue engineering techniques, histological and biomechanical testing
is often employed. Both modalities offer information on the progress and quality
of repair; however, they have been historically considered as two separate
entities. Histological evaluation is a less costly undertaking; however, there is
no validated scoring scale to compare the results of different studies or even
the results within a given study. Biomechanical testing can provide validated
outcome measures; however, it is associated with increased cost and is more labor
intensive. We hypothesized that a properly developed, objective histological
scoring system would provide a validated outcome measure to compare histological
results and correlate with biomechanics. In an Achilles tendon model, we have
developed a histological scoring scale to assess tendon repair. The system grades
collagen orientation, angiogenesis, and cartilage induction. In this study,
histology scores were plotted against biomechanical testing results of healing
tendons which indicated that a strong linear correlation exists between the
histological properties of repaired tendons and their biomechanical
characteristics. Concordantly, this study provides a pragmatic and financially
feasible means of evaluating repair while accounting for both the histology and
biomechanical properties observed in surgically repaired, healing tendon.
PMID- 21886533
TI - Sonographic evaluation and sonographic-guided therapeutic options of lateral
ankle pain: peroneal tendon pathology associated with the presence of an os
peroneum.
AB - Clinical implications of acute injuries of the os peroneum have been described,
with the recommendation in some cases being the excision of the bone fragments.
We describe the spectrum of sonographic appearances associated with pain in the
region of the os peroneum, document associated peroneal tendon pathology, and
describe the use of sonography to direct and guide therapeutic and/or diagnostic
injections. All sonographic examinations in our ultrasound database from Jan 1,
2001-Jan 30, 2007 with the words "os peroneum" were reviewed. Patients were cross
referenced in our radiology database to find relevant foot or ankle radiographs
for correlation. There were 47 patients (18 men and 29 women, age range 16 to 83)
referred for sonographic evaluation of lateral foot and/or ankle pain who had an
os peroneum identified during the sonographic evaluation. Eighteen patients were
referred specifically for targeted injection of the lateral ankle, including
peroneal tendon sheath injections (N = 10), calcaneocuboid joint injections (N =
1), and injections around symptomatic os peroneum (N = 7). All 47 patients had
tendinosis of the peroneus longus, in varying degrees of severity. Radiographs
were available for correlation in 28 patients. The causes of lateral ankle pain
with a co-existent os peroneum are multifactorial and may not directly relate to
the presence of an os peroneum. Ultrasound can be of value in separating out the
specific etiology for pain, as well as provide a method for problem solving by
the performance of targeted diagnostic or therapeutic injections in the lateral
ankle.
PMID- 21886532
TI - Aggrecan and cartilage oligomeric matrix protein in serum and synovial fluid of
patients with knee osteoarthritis.
AB - Aggrecan and cartilage oligomeric matrix protein (COMP) which are important
degradation products of articular cartilage may be promising diagnostic markers
in serum and/or synovial fluid for diagnosis of knee osteoarthritis (OA). Our
objective was to measure serum and synovial fluid levels of aggrecan and COMP in
patients with OA of the knee joint to find out if they could be of diagnostic
value in OA and if their levels correlate with the clinical and radiological
manifestations of the disease. Sixty-six patients suffering from primary knee OA
with effusion (26 males and 40 females) were studied. Twenty individuals (six
males and 14 females) with recent traumatic knee effusion matched for age and sex
were chosen to serve as a control group. All subjects had thorough clinical and
radiological (X-ray and MRI) evaluation. Aggrecan and COMP in serum and synovial
fluid were measured by ELISA. Serum and synovial fluid aggrecan and COMP levels
were significantly higher than the control. Serum and synovial fluid aggrecan and
COMP levels were positively correlated with age, body mass index, disease
duration, plain X-ray and MRI scores. In OA, serum and synovial fluid aggrecan
and COMP levels are elevated and represent useful markers in the diagnosis.
Moreover, these elevated levels positively correlated with radiological joint
damage but not with clinical disease parameters. These markers have the potential
to be used for monitoring articular cartilage destruction and response to
different therapeutic modalities.
PMID- 21886534
TI - The management of patients on dual antiplatelet therapy undergoing orthopedic
surgery.
AB - Cardiovascular disease is prevalent in patients undergoing orthopedic surgery.
Many patients who have undergone previous percutaneous coronary intervention
(PCI) with stenting are on dual antiplatelet therapy in order to minimize the
risk of stent thrombosis. The optimal management of these patients in the
perioperative setting remains unclear. We aim to provide information about the
management of patients who have undergone a PCI with stents who are subsequently
indicated for an orthopedic procedure. We will review the concerns from a
cardiologist's and orthopedic surgeon's perspective in regards to the management
of these patients in the perioperative setting. In addition, the current American
Heart Association, American College of Cardiology, Society for Cardiovascular
Angiography and Interventions, and American College of Surgeons guidelines are
reviewed. The decision to discontinue dual antiplatelet therapy in a patient who
has undergone a PCI with stent should be made only after careful review of the
risks for thrombosis and bleeding. Best practice suggests that these risks should
be jointly assessed by the orthopedic surgeon and cardiologist. Those patients
with stents at high risk of thrombosis should have surgery delayed if possible.
There is little data supporting a significantly increased bleeding risk
associated with mortality in orthopedic patients when antiplatelet therapy is
continued perioperatively.
PMID- 21886535
TI - Alternative procedures for reducing allogeneic blood transfusion in elective
orthopedic surgery.
AB - Perioperative blood loss is a major problem in elective orthopedic surgery.
Allogeneic transfusion is the standard treatment for perioperative blood loss
resulting in low postoperative hemoglobin, but it has a number of well-recognized
risks, complications, and costs. Alternatives to allogeneic blood transfusion
include preoperative autologous donation and intraoperative salvage with
postoperative autotransfusion. Orthopedic surgeons are often unaware of the
different pre- and intraoperative possibilities of reducing blood loss and leave
the management of coagulation and use of blood products completely to the
anesthesiologists. The goal of this review is to compare alternatives to
allogeneic blood transfusion from an orthopedic and anesthesia point of view
focusing on estimated costs and acceptance by both parties.
PMID- 21886537
TI - Large-vessel granulomatous vasculitis in Crohn's disease: a clinical pathology
conference held by the division of rheumatology at hospital for special surgery.
PMID- 21886536
TI - Parsonage-turner syndrome.
AB - Parsonage-Turner Syndrome (PTS), also referred to as idiopathic brachial
plexopathy or neuralgic amyotrophy, is a rare disorder consisting of a complex
constellation of symptoms with abrupt onset of shoulder pain, usually
unilaterally, followed by progressive neurologic deficits of motor weakness,
dysesthesias, and numbness. Although the etiology of the syndrome is unclear, it
is reported in various clinical situations, including postoperatively,
postinfectious, posttraumatic, and postvaccination. The identification of the
syndrome in the postoperative patient remains a challenge as symptoms may easily
be attributed to sequelae of surgical positioning, postoperative recovery, or
postanesthetic block pain. The purpose of this review is to bring forth salient,
identifiable factors which may assist the surgical clinician in identifying the
condition sooner. An early and proper diagnosis affords the opportunity to treat
the patient accordingly and to the satisfaction of both surgeon and patient.
PMID- 21886538
TI - Traumatic Lateral Plantar Artery Pseudoaneurysm and the Use of Time-Resolved MR
Angiography.
AB - Vascular injury resulting in pseudoaneurysm formation in the plantar aspect of
the foot is an uncommon injury after trauma. Such injuries are more often
reported in the lateral plantar artery rather than the medial plantar artery,
most likely because of its more superficial location. Traditional modalities in
diagnosis have included ultrasound and digital subtraction angiography. We
present a case of traumatic pseudoaneurysm of the lateral plantar artery
following a foot laceration. Diagnosis was made by the use of high-resolution,
time-resolved contrast-enhanced 3D magnetic resonance angiography, also referred
to as "TRICKS" (time-resolved imaging of contrast kinetics). This technique
provided high spatial resolution for the arterial anatomy as well as temporal
resolution which allowed better delineation of the hemodynamic characteristics of
the pseudoaneurysm. ELECTRONIC SUPPLEMENTARY MATERIAL: The online version of this
article (doi:10.1007/s11420-010-9170-3) contains supplementary material, which is
available to authorized users.
PMID- 21886539
TI - Revision knee arthroplasty including reconstruction of the lateral collateral
ligament by allograft: a case report.
AB - A 53-year-old woman presented with an unstable and painful total knee
arthroplasty 6 months after the index procedure. Disruption of both collateral
ligaments as a young adult and the subsequent development of traumatic arthritis
required repeated surgical and extensive conservative treatment before a
prosthesis was implanted. Examination disclosed marked instability of the lateral
collateral ligament (LCL) and loosening of the tibial and the femoral components.
Review of the MRI obtained prior to the total knee replacement revealed
discontinuity of the LCL with intense scarring of the posterolateral ligament
complex. Definitive management of this twofold problem was not helped by
literature review, which failed to reveal a gold standard or a broad consensus as
a rationale for treatment. Consequently, an individual approach to the problem
was defined: A one-stage revision arthroplasty was performed using a modular non
articulated constrained prosthesis and a bone-tendon-bone allograft to
reconstruct the LCL in a one-stage operation. Postoperative rehabilitation
included continuous passive motion, which was begun immediately after surgery and
was gradually increased to 90 degrees of flexion. The LCL reconstruction was
initially protected in a hinged knee brace and weight-bearing was initially
limited to toe-touch and gradually increased over 6 weeks. Pain-free motion to 0
115 degrees flexion was achieved at 3 months after surgery and the patient had
returned to her normal activities. The 4-year-follow-up displayed a stable and
functional knee with no evidence of loosening or wear.
PMID- 21886540
TI - Arthroscopic anterior and posterior labral repair after traumatic hip
dislocation: case report and review of the literature.
AB - With the improvements in flexible instrumentation, hip arthroscopy is being
increasingly used to treat a variety of hip pathology, including labral tears.
However, up to this point, there has not been a case report of an anterior and a
posterior labral tear successfully repaired arthroscopically. We present a case
report of a 27-year-old male firefighter who presented to our institution with an
anterior and posterior labral tear, as well as a cam lesion and loose body,
following a traumatic hip dislocation. The purpose of this case report is to
illustrate that both anterior and posterior labral tears can be repaired using
hip arthroscopy. Anterior and posterior labral tears can be caused by a traumatic
hip dislocation, and both can be successfully repaired using arthroscopic
techniques.
PMID- 21886541
TI - Traumatic osteochondral injury of the femoral head treated by mosaicplasty: a
report of two cases.
AB - The increased risk of symptomatic progression towards osteoarthritis after
chondral damage has led to the development of multiple treatment options for
cartilage repair. These procedures have evolved from arthroscopic lavage and
debridement, to marrow stimulation techniques, and more recently, to
osteochondral autograft and allograft transplants, and autogenous chondrocyte
implantation. The success of mosaicplasty procedures in the knee has led to its
application to other surfaces, including the talus, tibial plateau, patella, and
humeral capitellum. In this report, we present two cases of a chondral defect to
the femoral head after a traumatic hip dislocation, treated with an osteochondral
autograft (OATS) from the ipsilateral knee, and the inferior femoral head,
respectively, combined with a surgical dislocation of the hip. At greater than 1
year and greater than 5 years of follow-up, MRI studies have demonstrated good
autograft incorporation with maintenance of articular surface conformity, and
both patients clinically continue to have no pain and full active range of motion
of their respective hips. In our opinion, treatment of osteochondral defects in
the femoral head surface using a surgical dislocation combined with an OATS
procedure is a promising approach, as full exposure of the femoral head can be
obtained while preserving its vasculature, thus enabling adequate restoration of
the articular cartilage surface.
PMID- 21886542
TI - A modified surgical technique for lengthening of a metatarsal using an external
fixator.
AB - Brachymetatarsia is a congenital or developmental condition that results in a
short metatarsal. Problems that arise from this condition can include pain,
difficulty with shoe wear, and cosmetic concerns. Multiple techniques have been
described that successfully correct the deformity, including both acute and
gradual distraction. We describe a modification of the technique of gradual
lengthening by way of distraction osteogenesis in which an axial transarticular K
wire is incorporated into a monolateral frame, thereby increasing the stability
of the construct and potentially minimizing complications.
PMID- 21886543
TI - Estimators of The Magnitude-Squared Spectrum and Methods for Incorporating SNR
Uncertainty.
AB - Statistical estimators of the magnitude-squared spectrum are derived based on the
assumption that the magnitude-squared spectrum of the noisy speech signal can be
computed as the sum of the (clean) signal and noise magnitude-squared spectra.
Maximum a posterior (MAP) and minimum mean square error (MMSE) estimators are
derived based on a Gaussian statistical model. The gain function of the MAP
estimator was found to be identical to the gain function used in the ideal binary
mask (IdBM) that is widely used in computational auditory scene analysis (CASA).
As such, it was binary and assumed the value of 1 if the local SNR exceeded 0 dB,
and assumed the value of 0 otherwise. By modeling the local instantaneous SNR as
an F-distributed random variable, soft masking methods were derived incorporating
SNR uncertainty. The soft masking method, in particular, which weighted the noisy
magnitude-squared spectrum by the a priori probability that the local SNR exceeds
0 dB was shown to be identical to the Wiener gain function. Results indicated
that the proposed estimators yielded significantly better speech quality than the
conventional MMSE spectral power estimators, in terms of yielding lower residual
noise and lower speech distortion.
PMID- 21886544
TI - Role of the HLA System in the Pathogenesis of Dupuytren's Disease.
AB - Dupuytren's disease (DD) is a familial, fibroproliferative, irreversible, and
progressive disease of the palmar fascia, yet with unknown etiology. However,
there is compelling evidence which has consistently suggested a genetic
ethiopathogenesis given the high occurrence among the Northern European
extraction, familial nature, and demonstration of concordance in twins. DD is an
incurable, recurrent, and potentially debilitating disease with limited and
ineffective treatments. Although a number of possible candidate genes have been
investigated including matrix metalloproteinases (MMPs) and transforming growth
factor-beta (TGF-beta) genes, as yet, no consistent genetic biomarker has been
identified for DD. The highly polymorphic human leukocyte antigen (HLA) region is
an ideal biomarker target. There have been some coherent data within the
literature to suggest a genotype to phenotype association between certain HLA
loci and a number of fibrotic disorders such as keloid and scleroderma, markedly
with class II molecules and disease pervasiveness and clinical progression. The
aim of this review, therefore, was to investigate the evidence indicative of both
positive and negative associations between particular HLA alleles and DD. There
is a clear association with specific HLA alleles and predilection or protection
to DD, though there is a pressing need for further supportive data. The most
promising of links to the HLA region in terms of a definitive genetic biomarker
is with the class II HLA-DR loci. This paper presents a detailed account of the
immunogenetic component of DD and explores the possible mechanisms of association
between specific HLA molecules and susceptibility to DD.
PMID- 21886545
TI - Kinematic Analysis of Six Different Insertion Sites for FDS Opponensplasty.
AB - Six variations of opponensplasty were biomechanically studied to determine if
there is a significant difference in the amount of opposition produced by
altering the insertion site of the tendon transfer while keeping the tendon
source and pulley location constant. Five cadaveric arms were used to simulate
six described insertion sites for opponensplasty tendon transfers. The arms were
mounted through the ulna onto a specially designed stand. The stand was equipped
with a calibrated stylus which could be turned 90 degrees in order to accurately
measure points in X, Y, and Z planes. Metacarpal-phalangeal flexion and thumb
abduction were measured before and after application of a 550-g load. Axial
rotation (pronation) was mathematically calculated using reference points on the
thumb which were measured with the stylus before and after application of the
load. The Bunnell transfer insertion site provided the greatest amount of palmar
abduction, the Brand transfer insertion site the greatest metacarpophalangeal
flexion, and the Royle transfer insertion site the greatest rotation. Although
each of these transfers produced the greatest motion in one plane, they performed
relatively poorly in the other two. The Riordan transfer insertion site into the
extensor pollicis longus in line with the abductor pollicis brevis was, in our
study, the overall best transfer, providing the second greatest change in motion
in each of the three planes measured.
PMID- 21886546
TI - Measurement of ulnar variance on uncalibrated digital radiographic images.
AB - Uncalibrated digital radiographs used in multicenter trials hinder quantitative
measures such as articular step and ulnar variance. This investigation tested the
reliability of alternative measures of ulnar variance that are scaled to the
length of the capitate. A sample of 30 sets of radiographs from patients enrolled
in a prospective study of operative treatment of fractures of the distal radius
were blinded and randomized. Five observers measured the ulnar variance (UV) and
longitudinal length of the capitate (CH) on two separate occasions with greater
than 2 weeks between measurements. During each measurement session, the observers
made the measurements on both a calibrated and a noncalibrated workstation. The
ratio of the ulnar variance to the length of capitate was calculated (UV/CH
ratio). Paired t tests were used to compare two rounds of measurements for both
methods. Intra- and interobserver reliability was assessed by the Pearson product
moment correlation coefficients. The ratios were compared using analysis of
variance with a Bonferroni correction. The intraobserver reliability was
excellent for each of the three variables (UV, CH, UV/CH ratio) for each
workstation. The interobserver reliability of the UV/CH ratios obtained for each
workstation was moderate to excellent as judged by the Pearson correlations
between observers. The Bland-Altman method indicated a mean difference in UV/CH
between calibrated and uncalibrated measurement techniques of 0.002 with limits
of agreement of -0.11 to 0.11. Measurements of ulnar variance that are scaled to
the length of the capitate may be useful measures of deformity in studies that
utilize uncalibrated digital radiographs.
PMID- 21886547
TI - Referring physicians' knowledge of hand surgery.
AB - Hand surgeons rely on referrals from general providers. Appropriate referral is
dependent upon referring physicians having an understanding of the problem and
available treatments. This study evaluates the referring physicians' knowledge
and perceptions of basic hand problems and their treatment. This study also
evaluates the impact of a brief lecture on our referring physicians'
understanding of hand issues. A survey instrument was administered to referring
physicians. The instrument addressed general hand knowledge and perceptions
toward hand surgery. The physicians also attended a lecture on general hand
problems and their treatments. The survey was repeated 2 weeks post-lecture.
Subjects had a pre-lecture knowledge score of 65% correct and post-lecture a
score of 85%, p < 0.05. The participants were knowledgeable about common hand
problems, such as carpal tunnel syndrome. Knowledge gaps did exist, for example,
only 37% recognized the symptoms of basilar thumb arthritis. Initially, the
referring physicians had less positive views about surgical interventions, such
as surgery to help the pain of basilar thumb arthritis. After the lecture, the
responders had significantly more favorable attitudes toward surgery. This study
found that referring physicians had variable knowledge about common hand
problems, and they had doubts relating to the efficacy of some hand surgeries.
This study also found that a directed lecture improved these providers' knowledge
and their perceptions of hand surgical interventions. Hand surgeons can improve
their referring physicians understanding and perceptions of hand surgery through
a directed grand rounds type lecture.
PMID- 21886548
TI - Multiple modality treatment regimen in an aggressive resistant fungal hand
infection: a case report.
AB - Cutaneous fungal infections are a rare but significant complication associated
with immunocompromised states. Lesions allowed to progress to disseminated
fungemia are associated with a near 80% mortality rate. Treatment guidelines
aimed at local control are vague, centering on wide local excision with systemic
antifungal medications. We present the case of a 3-year-old female who, while
receiving induction chemotherapy, developed a progressive Aspergillus flavus
infection. Involvement included the distal palm and common and proper
neurovascular bundles to two fingers. Initial treatments with serial debridement
and topical Dakin's solution were unsuccessful in eliminating this fungal
infection. A novel treatment using topical voriconazole mixed with Aquaphor(r)
(Beiersdorf AG; Hamburg, Germany) was compounded in the hospital pharmacy to
maintain a moist wound healing environment followed by the use of the Vacuum
Assisted Closure (VAC(r), Kinetic Concepts, San Antonio, TX). Significant
improvement was noted within 4 days with this new dressing regimen. Topical
voriconazole therapy followed by VAC allowed progressive healing and eventual
closure with a split thickness skin graft. The wound was then durably closed,
allowing critical chemotherapy to resume. No evidence of systemic fungemia
developed, and her clinical recovery preceded laboratory evidence of immune
system recovery. Fungal skin infections can be a threat to both life and limb in
immunocompromised patients. The armamentarium available to combat this rare but
difficult problem is imperfect. In certain infections not responsive to other
therapies, the therapeutic regimen described herein should be considered if wide
local excision carries significant functional morbidity.
PMID- 21886549
TI - The dorsal metacarpal artery perforator flap: a case report utilizing a quaba
flap harvested from a previously skin-grafted area for dorsal 5th digit coverage.
AB - Burns to the hand are common in burn victims. These burns often leave complex
wounds that require local flaps for coverage. Local flaps are often excluded
because they lie within the zone of thermal injury. The purpose of this case
report is to report the successful use of a Quaba flap harvested from a
previously burned and skin-grafted area. The patient's medical record including
pre-operative, intra-operative, and post-operative photographs were reviewed and
utilized as sources of data. The patient tolerated the procedure well and was
able to return to his previous hand therapy regimen without adverse event and
with an acceptable cosmetic result. The Quaba flap can be a safe and effective
option for local hand coverage even in previously burned and skin-grafted areas.
PMID- 21886550
TI - Supraclavicular approach for thoracic outlet syndrome.
AB - The authors' experience with the supraclavicular approach for the treatment of
patients with primary thoracic outlet syndrome (TOS) and for patients with
recurrent TOS or iatrogenic brachial plexus injury after prior transaxillary
first rib resection is presented. The records of 33 patients (34 plexuses) with
TOS who presented for evaluation and treatment were analyzed. Of these, 12 (35%)
plexuses underwent surgical treatment, and 22 (65%) plexuses were managed non
operatively. The patients who were treated non-operatively and had an adequate
follow-up (n = 11) were used as a control group. Of the 12 surgically treated
patients, five patients underwent primary surgery; four patients had secondary
surgery for recurrent TOS; and three patients had surgery for iatrogenic brachial
plexus injury. All patients presented with severe pain, and most of them had
neurologic symptoms. All nine (100%) patients who underwent primary surgery (n =
5) and secondary surgery for recurrent TOS (n = 4) demonstrated excellent or good
results. On the other hand, six (54%) of the 11 patients from the control group
had some benefit from the non-operative treatment. Reoperation in three patients
with iatrogenic brachial plexus injury resulted in good result in one case and in
fair results in two patients; however, all patients were pain-free. No
complications were encountered. Supraclavicular exploration of the brachial
plexus enables precise assessment of the contents of the thoracic inlet area. It
allows for safe identification and release of all abnormal anatomical structures
and complete first rib resection with minimal risk to neurovascular structures.
Additionally, this approach allows for the appropriate nerve reconstruction in
cases of prior transaxillary iatrogenic plexus injury. ELECTRONIC SUPPLEMENTARY
MATERIAL: The online version of this article (doi:10.1007/s11552-009-9253-0)
contains supplementary material, which is available to authorized users.
PMID- 21886551
TI - Understanding the Global Problem of Drug Addiction is a Challenge for IDARS
Scientists.
AB - IDARS is an acronym for the International Drug Abuse Research Society. Apart from
our scientific and educational purposes, we communicate information to the
general and scientific community about substance abuse and addiction science and
treatment potential. Members of IDARS are research scientists and clinicians from
around the world, with scheduled meetings across the globe. IDARS is developing a
vibrant and exciting international mechanism not only for scientific interactions
in the domain of addiction between countries but also ultimately as a resource
for informing public policy across nations. Nonetheless, a lot more research
needs to be done to better understand the neurobiological basis of drug addiction
- A challenge for IDARS scientists.
PMID- 21886552
TI - Exploring mechanisms underlying extinction of cue-elicited cocaine seeking.
AB - A prominent feature of drug addiction is that drug-associated cues can elicit
drug-seeking behaviors and contribute significantly to the high propensity to
relapse. We have been investigating the notion that the dopamine D1 receptor and
the immediate early gene product c-Fos expressed in D1 receptor-bearing neurons
mediate the development of persistent neuroadaptation in the brain dopamine
system by regulating cell signaling and gene expression. We generated and
analyzed genetically engineered mouse models and found that the D1 receptor and c
Fos expressed in D1 receptor-bearing neurons mediate the locomotor sensitization
and reinforcing effects of cocaine. Moreover, these molecules regulate cocaine
induced dendritic remodeling, electrophysiological responses, and changes in cell
signaling and gene expression in the brain. Notably, a lack of Fos expression in
D1 receptor-bearing neurons in mice results in no change in the induction but a
significantly delayed extinction of cocaine-induced conditioned place preference.
These findings suggest that D1 receptor-mediated and c-Fos-regulated changes in
cell signaling and gene expression may play key roles in the extinction process,
and they provide a foundation for further exploring mechanisms underlying
extinction of cue-elicited cocaine seeking.
PMID- 21886553
TI - CART Peptides Regulate Psychostimulants and May be Endogenous Antidepressants.
AB - CART peptides are endogenous neurotransmitters that are involved in a variety of
physiologic functions. Injection of CART 55-102 into the nucleus accumbens
produces no effect, but when co-administered with cocaine, it reduces the
locomotor and rewarding properties of cocaine. In a human study, subjects
carrying a missense mutation of the CART gene exhibited increased anxiety and
depression. Also, several animal studies support the idea that CART is involved
in anxiety and depression, and they also suggest several possible mechanisms by
which this may occur. Thus, there is interesting evidence that CART peptides play
a role in anxiety and depression, and that CART peptides may be endogenous
antidepressants.
PMID- 21886554
TI - Parthenolide Blocks Cocaine's Effect on Spontaneous Firing Activity of
Dopaminergic Neurons in the Ventral Tegmental Area.
AB - Chronic cocaine administration leads to catecholamine reuptake inhibition which
enhances reward and motivational behaviors. Ventral Tegmental Area dopaminergic
(VTA DA) neuronal firing is associated with changes in reward predictive signals.
Acute cocaine injections inhibit putative VTA DA cell firing in vertebrates.
Parthenolide, a compound isolated from the feverfew plant (Tanacetum parthenium),
has been shown to substantially inhibit cocaine's locomotion effects in a
planarian animal model (Pagan et al., 2008). Here we investigated the effects of
parthenolide on the spontaneous firing activity of putative VTA DA neurons in
anesthetized male rats (250-300g). Single-unit recordings were analyzed after
intravenous (i.v.) parthenolide administration followed by 1mg/kg i.v. cocaine
injection. Results showed that parthenolide at 0.125 mg/kg and 0.250mg/kg
significantly blocked cocaine's inhibitory effect on DA neuronal firing rate and
bursting activity (p< 0.05, two way ANOVA). We propose that parthenolide might
inhibit cocaine's effects on VTA DA neurons via its interaction with a common
binding site at monoamine transporters. It is suggested that parthenolide could
have a potential use as an overdose antidote or therapeutic agent to cocaine
intoxication.
PMID- 21886555
TI - The inhibition of histone deacetylases reduces the reinstatement of cocaine
seeking behavior in rats.
AB - Drug addiction is a chronic brain disease characterized by a persistent risk of
relapse, even after a long period of abstinence. A current hypothesis states that
relapse results from lasting neuroadaptations that are induced in response to
repeated drug administration. The adaptations require gene expression, some of
which being under the control of stable epigenetic regulations. We have
previously demonstrated that pretreatment with histone deacetylase (HDAC)
inhibitors reduces the cocaine reinforcing properties as well as the motivation
of rats for cocaine. We show here that the same HDAC inhibitors, trichostatin A
and phenylbutyrate, significantly reduced the cocaine-seeking behavior induced by
the combination of a cocaine injection together with the exposure to a light cue
previously associated with cocaine taking. Reinstatement of drug-seeking behavior
was carried out after a 3-week withdrawal period, which came after ten daily
sessions of cocaine intravenous self-administration. Our results suggest that
pharmacological treatment aimed at modulating epigenetic regulation, and
particularly treatment that would inhibit HDAC activity, could reduce the risk of
relapse, a major drawback in the treatment of drug addiction.
PMID- 21886556
TI - Gastrodia Elata Bl Attenuates Cocaine-Induced Conditioned Place Preference and
Convulsion, but not Behavioral Sensitization in Mice: Importance of GABA(A)
Receptors.
AB - It has been suggested that GABAergic neurotransmission can modulate cocaine
dependence and seizure activity. Since Gastrodia elata Bl (GE), an oriental herb
agent, has been shown to enhance GABAergic transmission, we examined whether GE
affects cocaine-induced seizures, conditioned place preference (CPP), and
behavioral sensitization in mice. Treatment with GE (500 or 1000 mg/kg, p.o.)
significantly delayed seizure onset time and significantly shortened seizure
duration induced by cocaine (90 mg/kg, i.p.). In addition, cocaine (15 mg/kg,
i.p.)-induced CPP was significantly attenuated by GE in a dose-dependent manner.
However, GE did not significantly alter behavioral sensitization induced by
cocaine (15 mg/kg, i.p.). In order to understand whether GABAergic receptors are
implicated in GE-mediated pharmacological action in response to cocaine, GABA(A)
receptor antagonist bicuculline and GABA(B) receptor antagonist SCH 50911 were
employed in the present study. GE-mediated attenuations on the cocaine-induced
seizures and CPP were significantly reversed by bicuculline (0.25 or 0.5 mg/kg,
i.p.), but not by SCH 50911 (1.5 or 3.0 mg/kg, i.p.). Therefore, our results
suggest that GE attenuates cocaine-induced seizures and CPP via, at least in
part, GABA(A) receptor activation.
PMID- 21886557
TI - Liquiritigenin decreases selective molecular and behavioral effects of cocaine in
rodents.
AB - Cocaine, as an indirect dopamine agonist, induces selective behavioral and
physiological events such as hyperlocomotion and dopamine release. These changes
are considered as consequences of cocaine-induced molecular adaptation such as
CREB and c-Fos. Recently, methanolic extracts from licorice was reported to
decrease cocaine-induced dopamine release and c-Fos expression in the nucleus
accumbens. In the present study, we investigated the effects of liquiritigenin
(LQ), a main compound of licorice, on acute cocaine-induced behavioral and
molecular changes in rats. LQ attenuated acute cocaine-induced hyperlocomotion in
dose-dependent manner. In addition, LQ inhibited CREB phosphorylation and c-Fos
expression in the striatum and the nucleus accumbens induced by acute cocaine.
Results provide strong evidence that LQ effectively attenuates the acute
behavioral effects of cocaine exposure and prevents the induction of selective
neuroadaptive changes in dopaminergic signaling pathways. Further investigation
of LQ from licorice extract might provide a novel therapeutic strategy for the
treatment of cocaine addiction.
PMID- 21886558
TI - Long-term protective effects of methamphetamine preconditioning against single
day methamphetamine toxic challenges.
AB - Methamphetamine (METH) use is associated with neurotoxic effects which include
decreased levels of dopamine (DA), serotonin (5-HT) and their metabolites in the
brain. We have shown that escalating METH dosing can protect against METH induced
neurotoxicity in rats sacrificed within 24 hours after a toxic METH challenge.
The purpose of the current study was to investigate if the protective effects of
METH persisted for a long period of time. We also tested if a second challenge
with a toxic dose of METH would cause further damage to monoaminergic terminals.
Saline-pretreated rats showed significant METH-induced decreases in striatal DA
and 5-HT levels in rats sacrificed 2 weeks after the challenge. Rats that
received two METH challenges showed no further decreases in striatal DA or 5-HT
levels in comparison to the single METH challenge. In contrast, METH-pretreated
rats showed significant protection against METH-induced striatal DA and 5-HT
depletion. In addition, the METH challenge causes substantial decreases in
cortical 5-HT levels which were not further potentiated by a second drug
challenge. METH preconditioning provided almost complete protection against METH
induced 5-HT depletion. These results are consistent with the idea that METH
pretreatment renders the brain refractory to METH-induced degeneration of brain
monoaminergic systems.
PMID- 21886559
TI - Markers associated with sex differences in methamphetamine-induced striatal
dopamine neurotoxicity.
AB - Three different approaches were employed to assess various markers associated
with sex differences in responses to methamphetamine (MA). Bioassay measures
reveal that MA treatment results in significantly greater reductions in body
weight and increases in body temperature in male mice. Protein and mRNA
determinations show significant increases in Bcl-2 and PAI-1 in male mice, while
females show significant increases in GFAP and decreases in IGF-1R following
treatment with MA. In mice with a heterozygous mutation of their dopamine
transporter (+/- DAT), only female mice show significant differences in dopamine
transporter binding and mRNA and associated reductions in striatal dopamine
content along with increases in MA-evoked striatal dopamine output. The
identification of these sex-dependent differences in markers provides a
foundation for more exhaustive evaluation of their impact upon, and treatment of,
disorders/neurotoxicity of the nigrostriatal dopaminergic system and the bases
for the differences that exist between females and males.
PMID- 21886560
TI - May exercise prevent addiction?
AB - Amphetamines exert their persistent addictive effects by activating brain's
reward pathways, perhaps through the release of dopamine in the nucleus accumbens
(and/or in other places). On the other hand, there is a relationship between
dopamine and all behavioural aspects that involve motor activity and it has been
demonstrated that exercise leads to an increase in the synthesis and release of
dopamine, stimulates neuroplasticity and promotes feelings of well-being.
Moreover, exercise and drugs of abuse activate overlapping neural systems. Thus,
our aim was to study the influence of chronic exercise in the mechanism of
addiction using an amphetamine-induced conditioned-place-preference in rats.Adult
male Sprague-Dawley rats were randomly separated in groups with and without
chronic exercise. Chronic exercise consisted in a 8 week treadmill running
program, with increasing intensity. The conditioned place preference test was
performed in both groups using a procedure and apparatus previously established.
A 2 mg.kg(-1) amphetamine or saline solution was administered intraperitonially
according to the schedule of the conditioned place preference. Before
conditioning none of the animals showed preference for a specific compartment of
the apparatus. The used amphetamine dose in the conditioning phase was able to
produce a marked preference towards the drug-associated compartment in the group
without exercise. In the animals with exercise a significant preference by the
compartment associated with saline was observed. These results lead us to
conclude that a previous practice of regular physical activity may help
preventing amphetamine addiction in the conditions used in this test.
PMID- 21886562
TI - Role of sigma receptors in methamphetamine-induced neurotoxicity.
AB - Methamphetamine (METH) is a widely abused substance world over. Currently, there
is no effective pharmacotherapy to treat its effects. This necessitates
identification of potential novel therapeutic targets. METH interacts with sigma
(sigma) receptors at physiologically relevant micromolar concentrations. In
addition, sigma receptors are present in organs like the brain, heart, and lungs
at which METH acts. Additionally, sigma receptors have been implicated in various
acute and subchronic effects like locomotor stimulation, development of
sensitization and neurotoxicity, where sigma receptor antagonists attenuate these
effects. sigma Receptors may also have a role in METH-induced psychiatric
complications such as depression, psychosis, cognitive and motor deficits. The
neurotoxic effects of METH, which are cause for concern, can be prevented by
sigma receptor antagonists in mice. Mechanistically, METH-induced neurotoxicity
involves factors like dopamine release, oxidative stress, endoplasmic reticulum
stress, activation of mitochondrial death cascades, glutamate release, apoptosis,
microglial activation, and hyperthermia. This review compiles studies from the
literature that suggests an important role for sigma receptors in many of the
mechanisms of METH-induced neurotoxicity.
PMID- 21886561
TI - Neuroprotective effect of resveratrol against methamphetamine-induced
dopaminergic apoptotic cell death in a cell culture model of neurotoxicity.
AB - A growing body of evidence suggests that oxidative stress-mediated cell death
signaling mechanisms may exert neurotoxic effects of methamphetamine (MA)-induced
dopaminergic neuronal loss. However, the means by which oxidative stress induced
by MA causes neurodegeneration remains unclear. In recent years, resveratrol has
garnered considerable attention owing to its antioxidant, anti-inflammatory, anti
aging, and neuroprotective properties. In the present study, we sought to
investigate the neuroprotective effects of resveratrol against apoptotic cell
death in a mesencephalic dopaminergic neuronal cell culture model of MA
neurotoxicity. MA treatment in the N27 dopaminergic neuronal cell model produced
a time-dependent activation of the apoptotic cascade involving caspase-3 and DNA
fragmentation. We found that the caspase-3 activation preceded DNA fragmentation.
Notably, treatment with resveratrol almost completely attenuated MA-induced
caspase-3 activity, but only partially reduced apoptotic cell death. We conclude
that the neuroprotective effect of resveratrol is at least in part mediated by
suppression of caspase-3 dependent cell death pathways. Collectively, our results
demonstrate that resveratrol can attenuate MA-induced apoptotic cell death and
suggest that resveratrol or its analogs may have therapeutic benefits in
mitigating MA-induced dopaminergic neurodegeneration.
PMID- 21886563
TI - Methylone and monoamine transporters: correlation with toxicity.
AB - Methylone (2-methylamino-1-[3,4-methylenedioxyphenyl]propane-1-one) is a
synthetic hallucinogenic amphetamine analog, like MDMA (3,4-methylenedioxy-
methamphetamine), considered to act on monoaminergic systems. However, the
psychopharmacological profile of its cytotoxicity as a consequence of
monoaminergic deficits remains unclear. We examined here the effects of methylone
on the transporters for dopamine (DAT), norepinephrine (NET), and serotonin
(SERT), using a heterologous expression system in CHO cells, in association with
its cytotoxicity. Methylone inhibited the activities of DAT, NET, and SERT, but
not GABA transporter-1 (GAT1), in a concentration-dependent fashion with a rank
order of NET > DAT > SERT. Methylone was less effective at inhibiting DAT and
NET, but more effective against SERT, than was methamphetamine. Methylone alone
was not toxic to cells except at high concentrations, but in combination with
methamphetamine had a synergistic effect in CHO cells expressing the monoamine
transporters but not in control CHO cells or cells expressing GAT1. The ability
of methylone to inhibit monoamine transporter function, probably by acting as a
transportable substrate, underlies the synergistic effect of methylone and
methamphetamine.
PMID- 21886564
TI - Decreases in brain reward function reflect nicotine- and methamphetamine
withdrawal aversion in rats.
AB - The purpose of the present study was to investigate whether brain reward function
decreases during withdrawal from nicotine and methamphetamine, and whether
decreased reward function is related to aversion during withdrawal from these
drugs. For that purpose, male Sprague-Dawley rats were chronically infused
subcutaneously with 9 mg/kg per day nicotine, or with 6 mg/kg per day
methamphetamine using osmotic minipumps. In an intracranial self-stimulation
(ICSS) paradigm, chronic infusion of nicotine and methamphetamine decreased the
thresholds for lateral hypothalamic ICSS, whereas their antagonists, mecamylamine
and haloperidol increased the ICSS thresholds in the rats treated with nicotine
and methamphetamine, respectively. In a conditioned place aversion paradigm,
mecamylamine and haloperidol produced place aversion in nicotine- and
methamphetamine-infused rats, respectively. Interestingly, elevations in ICSS
reward thresholds and place aversion during mecamylamine-precipitated nicotine
withdrawal were almost the same in magnitude as those observed during haloperidol
precipitated methamphetamine withdrawal. The present study indicates that 1)
brain reward function decreased during nicotine and methamphetamine withdrawal,
and 2) a decrease in reward function may reflect the negative affective state
(aversion) during withdrawal from nicotine and methamphetamine.
PMID- 21886565
TI - The Selective Serotonin Reuptake Inhibitor Paroxetine, but not Fluvoxamine,
Decreases Methamphetamine Conditioned Place Preference in Mice.
AB - Monoamine transporters are the main targets of methamphetamine (METH). Recently,
we showed that fluoxetine, a selective serotonin reuptake inhibitor (SSRI),
decreased METH conditioned place preference (CPP), suggesting that serotonin
transporter (SERT) inhibition reduces the rewarding effects of METH. To further
test this hypothesis, in the present study we investigated the effects of
additional SSRIs, paroxetine and fluvoxamine, on METH CPP in C57BL/6J mice. In
the CPP test, pretreatment with 20 mg/kg paroxetine abolished the CPP for METH,
whereas pretreatment with 100 mg/kg fluvoxamine prior to administration of METH
failed to inhibit METH CPP. These results suggest that paroxetine, a medication
widely used to treat depression, may be a useful tool for treating METH
dependence. Further, these data suggest that molecules other than the SERT [such
as G protein-activated inwardly rectifying K+ (GIRK) channels] whose activities
are modulated by paroxetine and fluoxetine, but not by fluvoxamine, are involved
in reducing METH CPP by paroxetine and fluoxetine.
PMID- 21886566
TI - MOP Reduction During Long-Term Methamphetamine Withdrawal was Restored by Chronic
Post-Treatment with Fluoxetine.
AB - Previously, we found fluoxetine reduces methamphetamine preference in mice.
However, effects of fluoxetine on developed methamphetamine preference and on
methamphetamine induced gene expression changes have been largely unknown. The
present study investigates effects of post-treatment with fluoxetine on
methamphetamine dependence and on gene expressions after long-term withdrawal in
mice. First, we examined whether chronic post-treatment with fluoxetine
attenuated methamphetamine-conditioned place preference. Next, we examined the
changes in gene expression levels after long-term withdrawal (with saline or
fluoxetine treatment) following chronic methamphetamine treatment. Using mRNA
from the pooled frontal cortices of 10 mice per group, gene expression analyses
were performed using a custom-developed cDNA array and a real-time quantitative
reverse transcription-PCR. Chronic post-treatments with fluoxetine abolished the
conditioned place preference developed by methamphetamine administrations. Even
after long-term withdrawal from repeated methamphetamine administration, u-opioid
receptor (MOP) gene expression was significantly reduced in the frontal cortex.
The reduced MOP gene expression in the frontal cortex was restored by chronic
administration with fluoxetine. These changes were confirmed by Western blot
analyses. These findings suggest that the chronic post-treatments with fluoxetine
might be effective for restoring the reduction of MOP levels in the frontal
cortex following long-term abstinence from methamphetamine.
PMID- 21886567
TI - Methamphetamine induces striatal cell death followed by the generation of new
cells and a second round of cell death in mice.
AB - Our laboratory has been investigating the impact of a neurotoxic exposure to
methamphetamine (METH) on cellular components of the striatum post-synaptic to
the dopaminergic terminals. A systemic bolus injection of METH (30 mg/kg, ip)
induces the production of new cells in the striatum during a period lasting from
24-48 hours after METH. The newly generated cells arise from dormant striatal
progenitors and not from the subventricular zone. The newly generated cells
display glial phenotypes and begin to die 24 hours after birth, or 2.5 days post
METH. The protracted phase of cell death lasts for at least three months post
METH at which time the bulk of the newly generated cells have disappeared. The
METH-induced production of new cells is associated with enlarged striatal volume
(up to 50% larger than controls in some animals). As the newly generated cells
die over a period of three months, the enlarged striatal volume normalizes. In
conclusion, a neurotoxic dose of METH induces the generation of new cells in the
striatum associated with enlarged striatal volume. The new cells die over three
months post-METH and the enlarged striatal volume returns to control levels. This
observation is significant because studies involving METH users show striatal
enlargement and the normalization of striatal volume in METH users who have been
abstinent for up to 20 months.
PMID- 21886568
TI - The Nature of 3, 4-Methylenedioxymethamphetamine (MDMA)-Induced Serotonergic
Dysfunction: Evidence for and Against the Neurodegeneration Hypothesis.
AB - High doses of the recreational drug 3,4-methylenedioxymethamphetamine (MDMA,
"Ecstasy") have been well-documented to reduce the expression of serotonergic
markers in several forebrain regions of rats and nonhuman primates. Neuroimaging
studies further suggest that at least one of these markers, the plasma membrane
serotonin transporter (SERT), may also be reduced in heavy Ecstasy users. Such
effects, particularly when observed in experimental animal models, have generally
been interpreted as reflecting a loss of serotonergic fibers and terminals
following MDMA exposure. This view has been challenged, however, based on the
finding that MDMA usually does not elicit glial cell reactions known to occur in
response to central nervous system (CNS) damage. The aim of this review is to
address both sides of the MDMA-neurotoxicity controversy, including recent
findings from our laboratory regarding the potential of MDMA to induce
serotonergic damage in a rat binge model. Our data add to the growing literature
implicating neuroregulatory mechanisms underlying MDMA-induced serotonergic
dysfunction and questioning the need to invoke a degenerative response to explain
such dysfunction.
PMID- 21886569
TI - Effects of MDMA on Extracellular Dopamine and Serotonin Levels in Mice Lacking
Dopamine and/or Serotonin Transporters.
AB - 3,4-Methylendioxymethamphetamine (MDMA) has both stimulatory and hallucinogenic
properties which make its psychoactive effects unique and different from those of
typical psychostimulant and hallucinogenic agents. The present study investigated
the effects of MDMA on extracellular dopamine (DA(ex)) and serotonin (5-HT(ex))
levels in the striatum and prefrontal cortex (PFC) using in vivo microdialysis
techniques in mice lacking DA transporters (DAT) and/or 5-HT transporters (SERT).
subcutaneous injection of MDMA (3, 10 mg/kg) significantly increased striatal
DA(ex) in wild-type mice, SERT knockout mice, and DAT knockout mice, but not in
DAT/SERT double-knockout mice. The MDMA-induced increase in striatal DA(ex) in
SERT knockout mice was significantly less than in wildtype mice. In the PFC, MDMA
dose-dependently increased DA(ex) levels in wildtype, DAT knockout, SERT knockout
and DAT/SERT double-knockout mice to a similar extent. In contrast, MDMA markedly
increased 5-HT(ex) in wildtype and DAT knockout mice and slightly increased 5
HT(ex) in SERT-KO and DAT/SERT double-knockout mice. The results confirm that
MDMA acts at both DAT and SERT and increases DA(ex) and 5-HT(ex).
PMID- 21886570
TI - Enhanced Hyperthermia Induced by MDMA in Parkin Knockout Mice.
AB - MDMA (3,4-methylenedioxymethamphetamine) is reportedly severely toxic to both
dopamine (DA) and serotonin neurons. MDMA significantly reduces the number of DA
neurons in the substantia nigra, but not in the nucleus accumbens, indicating
that MDMA causes selective destruction of DA neurons in the nigrostriatal
pathway, sparing the mesolimbic pathway. Parkinson's disease (PD) is a
neurodegenerative disorder of multifactorial origin. The pathological hallmark of
PD is the degeneration of DA neurons in the nigrostriatal pathway. Mutations in
the parkin gene are frequently observed in autosomal recessive parkinsonism in
humans. Parkin is hypothesized to protect against neurotoxic insult, and we
attempted to clarify the role of parkin in MDMA-induced hyperthermia, one of the
causal factors of neuronal damage, using parkin knockout mice. Body temperature
was measured rectally before and 15, 30, 45, and 60 min after intraperitoneal
injection of MDMA (30 mg/kg) at an ambient temperature of 22 +/- 2 degrees C.
Significantly enhanced hyper-thermia after MDMA injection was observed in
heterozygous and homozygous parkin knockout mice compared with wildtype mice,
suggesting that parkin plays a protective role in MDMA neurotoxicity.
PMID- 21886571
TI - Developing biomarkers for methamphetamine addiction.
AB - There are an estimated 11.7 million methamphetamine (MA) abusers in the United
States and epidemics of MA addiction are occurring worldwide. In our human
laboratory and outpatient clinical trials we use innovative methods to quantify
the severity of MA addiction and test biomarkers that may predict response to
therapy or risk of relapse. One potential biomarker of addiction is the quantity
of abused drug intake. Qualitative urinalysis is used in clinical trials and
during treatment but provides only a binary outcome measure of abuse. Using non
pharmacologic doses of deuterium labeled l-MA we have developed a continuous
quantitative measure to estimate the bioavailable amount of MA addicts ingest.
Brain Derived Neurotrophic Factor is a neurotrophin that encourages growth and
differentiation of new neurons and synapses. Low BDNF levels are seen in many
addictive disorders and BDNF is elevated in recovering MA addicts, suggesting
BDNF may be a marker of MA addiction. We are investigating the effects of
controlled doses of MA on BDNF levels and gene regulation and measuring BDNF in
our clinical trials. We believe both patients and clinical researches will
benefit from the addition of new, objective and quantifiable outcome measures
that reflect disease severity and recovery from addiction.
PMID- 21886572
TI - Roles of a novel molecule 'shati' in the development of methamphetamine-induced
dependence.
AB - The ability of drugs of abuse to cause dependence can be viewed as a form of
neural plasticity. Recently, we have demonstrated that tumor necrosis factor
alpha (TNF-alpha) increases dopamine uptake and inhibits methamphetamine-induced
dependence. Moreover, we have identified a novel molecule 'shati' in the nucleus
accumbens of mice treated with methamphetamine using the PCR-select cDNA
subtraction method and clarified that it is involved in the development of
methamphetamine dependence: Treatment with the shati antisense oligonucleotide
(shati-AS), which inhibits the expression of shati mRNA, enhanced the
methamphetamine-induced hyperlocomotion, sensitization, and conditioned place
preference. Further, blockage of shati mRNA by shati-AS potentiated the
methamphetamine-induced increase of dopamine overflow and the methamphetamine
induced decrease in dopamine uptake in the nucleus accumbens. Interestingly,
treatment with shati-AS also inhibited expression of TNF-alpha. Transfection of
the vector containing shati cDNA into PC12 cells, dramatically induced the
expression of shati and TNF-alpha mRNA, accelerated dopamine uptake, and
inhibited the methamphetamine-induced decrease in dopamine uptake. These effects
were blocked by neutralizing TNF-alpha. These results suggest that the functional
roles of shati in methamphetamine-induced behavioral changes are mediated through
the induction of TNF-alpha expression which inhibits the methamphetamine-induced
increase of dopamine overflow and decrease in dopamine uptake.
PMID- 21886573
TI - Pharmacologic Treatment with GABA(B) Receptor Agonist of Methamphetamine-Induced
Cognitive Impairment in Mice.
AB - Methamphetamine (METH) is a highly addictive drug, and addiction to METH has
increased to epidemic proportions worldwide. Chronic use of METH causes
psychiatric symptoms, such as hallucinations and delusions, and long-term
cognitive deficits, which are indistinguishable from paranoid schizophrenia. The
GABA receptor system is known to play a significant role in modulating the
dopaminergic neuronal system, which is related to behavioral changes induced by
drug abuse. However, few studies have investigated the effects of GABA receptor
agonists on cognitive deficits induced by METH. In the present review, we show
that baclofen, a GABA receptor agonist, is effective in treating METH-induced
impairment of object recognition memory and prepulse inhibition (PPI) of the
startle reflex, a measure of sensorimotor gating in mice. Acute and repeated
treatment with METH induced a significant impairment of PPI. Furthermore,
repeated but not acute treatment of METH resulted in a long-lasting deficit of
object recognition memory. Baclofen, a GABA(B) receptor agonist, dose-dependently
ameliorated the METH-induced PPI deficits and object recognition memory
impairment in mice. On the other hand, THIP, a GABA(A) receptor agonist, had no
effect on METH-induced cognitive deficits. These results suggest that GABA(B)
receptors may constitute a putative new target in treating cognitive deficits in
chronic METH users.
PMID- 21886574
TI - Identification of selective agonists and antagonists to g protein-activated
inwardly rectifying potassium channels: candidate medicines for drug dependence
and pain.
AB - G protein-activated inwardly rectifying K(+) (GIRK) channels have been known to
play a key role in the rewarding and analgesic effects of opioids. To identify
potent agonists and antagonists to GIRK channels, we examined various compounds
for their ability to activate or inhibit GIRK channels. A total of 503 possible
compounds with low molecular weight were selected from a list of fluoxetine
derivatives at Pfizer Japan Inc. We screened these compounds by a Xenopus oocyte
expression system. GIRK1/2 and GIRK1/4 heteromeric channels were expressed on
Xenopus laevis oocytes at Stage V or VI. A mouse IRK2 channel, which is another
member of inwardly rectifying potassium channels with similarity to GIRK
channels, was expressed on the oocytes to examine the selectivity of the
identified compounds to GIRK channels. For electrophysiological analyses, a two
electrode voltage clamp method was used. Among the 503 compounds tested, one
compound and three compounds were identified as the most effective agonist and
antagonists, respectively. All of these compounds induced only negligible current
responses in the oocytes expressing the IRK2 channel, suggesting that these
compounds were selective to GIRK channels. These effective and GIRK-selective
compounds may be useful possible therapeutics for drug dependence and pain.
PMID- 21886575
TI - Gastrodia elata bl attenuates methamphetamine-induced dopaminergic toxicity via
inhibiting oxidative burdens.
AB - It has been recognized that Gastrodia elata Bl (GE), an oriental herb medicine,
ameliorates various neurological disorders, that GE modulates the monoaminergic
and GABAergic systems, and that GE possess antioxidant activities. We examined
whether GE affects methamphetamine (MA)-induced striatal dopaminergic toxicity in
mice. Treatment with MA (7.5 mg/kg, i.p. * 4) resulted in significant decreases
in behavioural activity (as shown by locomotor activity and rota rod
performance), dopamine level, tyrosine hydroxylase (TH) activity, and TH protein
expression (as evaluated by immunocytochemistry and western blot analysis). In
addition, MA treatment showed significant increases in lipid peroxidation [as
evaluated by 4-hydroxy-2-nonenal (4-HNE) expression and malondialdehyde
formation], protein oxidation (as shown by protein carbonyl expression and its
formation), and reactive oxygen species (ROS) formation. Treatment with GE
significantly attenuates MA-induced behavioural and dopaminergic impairments, and
oxidative stresses in a dose-dependent manner. Our results suggest that GE
treatment shows anti-dopaminergic effects in response to MA insult via, at least
in part, inhibiting oxidative stresses in the striatum of the mice.
PMID- 21886576
TI - Identifying changes in the synaptic proteome of cirrhotic alcoholic superior
frontal gyrus.
AB - Hepatic complications are a common side-effect of alcoholism. Without the
detoxification capabilities of the liver, alcohol misuse induces changes in gene
and protein expression throughout the body. A global proteomics approach was used
to identify these protein changes in the brain. We utilised human autopsy tissue
from the superior frontal gyrus (SFG) of six cirrhotic alcoholics, six alcoholics
without comorbid disease, and six non-alcoholic non-cirrhotic controls. Synaptic
proteins were isolated and used in two-dimensional differential in-gel
electrophoresis coupled with mass spectrometry. Many expression differences were
confined to one or other alcoholic sub-group. Cirrhotic alcoholics showed 99
differences in protein expression levels from controls, of which half also
differed from non-comorbid alcoholics. This may reflect differences in disease
severity between the sub-groups of alcoholics, or differences in patterns of
harmful drinking. Alternatively, the protein profiles may result from differences
between cirrhotic and non-comorbid alcoholics in subjects' responses to alcohol
misuse. Ten proteins were identified in at least two spots on the 2D gel; they
were involved in basal energy metabolism, synaptic vesicle recycling, and
chaperoning. These post-translationally modified isoforms were differentially
regulated in cirrhotic alcoholics, indicating a level of epigenetic control not
previously observed in this disorder.
PMID- 21886577
TI - Association Analysis of Nuclear Receptor Rev-erb Alpha Gene (NR1D1) and Japanese
Methamphetamine Dependence.
AB - Several investigations suggested abnormalities in circadian rhythms are related
to the pathophysiology of psychiatric disorders, including drug addiction.
Recently, orphan nuclear receptor rev-erb alpha and glycogen synthase kinase-3
beta (GSK-3beta) were shown to be important circadian components. In addition,
the orphan nuclear receptor rev-erb alpha is a key negative feedback regulator of
the circadian clock. These evidences indicate that rev-erb alpha gene (NR1D1) is
a good candidate gene for the pathogenesis of methamphetamine dependence. To
evaluate the association between NR1D1 and methamphetamine dependence, we
conducted a case-control study of Japanese samples (215 methamphetamine
dependence and 232 controls) with three tagging SNPs selected by HapMap database.
Written informed consent was obtained from each subject. This study was approved
by the ethics committees at Fujita Health University, Nagoya University Graduate
School of Medicine and each participating member of the Institute of the Japanese
Genetics Initiative for Drug Abuse (JGIDA). We did not detect an association
between NR1D1 and Japanese methamphetamine dependence patients in allele/genotype
wise analysis, or the haplotype analysis. Our findings suggest that NR1D1 does
not play a major role in the pathophysiology of methamphetamine dependence in the
Japanese population.
PMID- 21886578
TI - Lack of association between prokineticin 2 gene and Japanese methamphetamine
dependence.
AB - Disruption of circadian rhythms may be involved in the pathophysiology of
psychiatric disorders, including drug addiction. Recently, we detected the
significant association between prokineticin 2 receptor gene (PROKR2) and
Japanese methamphetamine dependence patients. Also, prokineticin 2 (PK2) gene
deficient mice showed reduced physiological and behavioral parameters, including
circadian locomotor activity, circulating glucocorticoid, glucose levels and the
expression of peripheral clock genes compared with WT mice. These evidences
indicate that PK2 gene (PROK2) is a good candidate gene for the pathogenesis of
methamphetamine dependence. To evaluate the association between PROK2 and
methamphetamine dependence, we conducted a case-control study of Japanese samples
(215 methamphetamine dependence and 232 controls) with four tagging SNPs selected
by HapMap database. The age and sex of the control subjects did not differ from
those of the methamphetamine dependence patients. Written informed consent was
obtained from each subject. This study was approved by the ethics committees at
Fujita Health University, Nagoya University Graduate School of Medicine and each
participating member of the Institute of the Japanese Genetics Initiative for
Drug Abuse (JGIDA). We did not detect an association between PROK2 and Japanese
methamphetamine dependence patients in allele/genotype-wise analysis, or the
haplotype analysis. Our findings suggest that PROK2 does not play a major role in
the pathophysiology of methamphetamine dependence in the Japanese population.
PMID- 21886579
TI - Association analysis of the adenosine A1 receptor gene polymorphisms in patients
with methamphetamine dependence/psychosis.
AB - Several lines of evidence suggest that the dopaminergic nervous system
contributes to methamphetamine (METH) dependence, and there is increasing
evidence of antagonistic interactions between dopamine and adenosine receptors in
METH abusers. We therefore hypothesized that variations in the A1 adenosine
receptor (ADORA1) gene modify genetic susceptibility to METH
dependence/psychosis. In this study, we identified 7 single nucleotide
polymorphisms (SNPs) in exons and exon-intron boundaries of the ADORA1 gene in a
Japanese population. A total of 171 patients and 229 controls were used for an
association analysis between these SNPs and METH dependence/psychosis. No
significant differences were observed in either the genotypic or allelic
frequencies between METH dependent/psychotic patients and controls. A global test
of differentiation among samples based on haplotype frequencies showed no
significant association. In the clinical feature analyses, no significant
associations were observed among latency of psychosis, prognosis of psychosis,
and spontaneous relapse. These results suggest that the ADORA1 gene variants may
make little or no contribution to vulnerability to METH dependence/psychosis.
PMID- 21886581
TI - Genetic Association Analysis of NOS3 and Methamphetamine-Induced Psychosis Among
Japanese.
AB - Endothelial nitric oxide synthase (NOS3) is one of the enzymes influencing nitric
oxide (NO) function in the human brain. NO is a gaseous neurotransmitter that is
involved in a variety of mechanisms in the central nervous system, such as N
methyl-D-aspartate receptor activation and oxidative stress. The evidence from
animal pharmacological studies and postmortem studies supports an association
between NO and psychotic disorders. Methamphetamine (METH) use disorder is a
known psychotic disorder, and we therefore conducted a gene-based case-control
study between tagging single nucleotide polymorphisms (SNPs) (rs2070744,
rs1799983) in NOS3 and METH-induced psychosis in Japanese subjects (183 with METH
induced psychosis and 267 controls). Written informed consent was obtained from
each subject. No significant association was found between any tagging SNP in
NOS3 and METH-induced psychosis in the allele/genotype-wise or haplotype-wise
analyses. In conclusion, we suggest that NOS3 might not contribute to the risk of
METH-induced psychosis in the Japanese population.
PMID- 21886580
TI - Differential gene expression in the nucleus accumbens and frontal cortex of lewis
and Fischer 344 rats relevant to drug addiction.
AB - Drug addiction results from the interplay between social and biological factors.
Among these, genetic variables play a major role. The use of genetically related
inbred rat strains that differ in their preference for drugs of abuse is one
approach of great importance to explore genetic determinants. Lewis and Fischer
344 rats have been extensively studied and it has been shown that the Lewis
strain is especially vulnerable to the addictive properties of several drugs when
compared with the Fischer 344 strain. Here, we have used microarrays to analyze
gene expression profiles in the frontal cortex and nucleus accumbens of Lewis and
Fischer 344 rats. Our results show that only a very limited group of genes were
differentially expressed in Lewis rats when compared with the Fischer 344 strain.
The genes that were induced in the Lewis strain were related to oxygen transport,
neurotransmitter processing and fatty acid metabolism. On the contrary genes that
were repressed in Lewis rats were involved in physiological functions such as
drug and proton transport, oligodendrocyte survival and lipid catabolism.These
data might be useful for the identification of genes which could be potential
markers of the vulnerability to the addictive properties of drugs of abuse.
PMID- 21886582
TI - Genetic Association Analysis of NOS1 and Methamphetamine-Induced Psychosis Among
Japanese.
AB - The neuronal nitric oxide synthase gene (NOS1) is located at 12q24, a
susceptibility region for schizophrenia, and produces nitric oxide (NO). NO has
been reported to play important roles as a gaseous neurotransmitter in brain. NO
is a second messenger for the N-methyl-D aspartate (NMDA) receptor and is related
to the dopaminergic system. Because the symptomatology of methamphetamine (METH)
use disorder patients with psychosis is similar to that of patients with
schizophrenia, NOS1 is a good candidate gene for METH-induced psychosis.
Therefore, we conducted a case-control association study between NOS1 and METH
induced psychosis with Japanese subjects (183 with METH-induced psychosis
patients and 519 controls). We selected seven SNPs (rs41279104, rs3782221,
rs3782219, rs561712, rs3782206, rs6490121, rs2682826) in NOS1 from previous
reports. Written informed consent was obtained from each subject. This study was
approved by the Ethics Committee at Fujita Health University School of Medicine
and each participating institute of the Japanese Genetics Initiative for Drug
Abuse (JGIDA). No significant association was found between NOS1 and METH-induced
psychosis in the allele/genotype-wise or haplotype-wise analyses. In conclusion,
we suggest that NOS1 might not contribute to the risk of METH-induced psychosis
in the Japanese population.
PMID- 21886583
TI - No Association Between GRM3 and Japanese Methamphetamine-Induced Psychosis.
AB - Several investigations have suggested that abnormalities in glutamate neural
transmission play a role in the pathophysiology of psychiatric disorders,
including schizophrenia. The metabotropic glutamate 3 receptor (mGluR3) gene was
reported to be associated with schizophrenia, and paranoid type schizophrenia has
symptoms that are similar to those of methamphetamine-induced psychosis. This
suggests that mGluR3 gene (GRM3) is a good candidate gene for the pathogenesis of
methamphetamine-induced psychosis. To evaluate the association between GRM3 and
methamphetamine-induced psychosis, we conducted a case-control study of Japanese
samples (181 methamphetamine-induced psychosis and 232 controls). METHODS: We
selected one functional SNP (rs6465084), reported to be associated with
prefrontal brain functioning, for an association analysis. Written informed
consent was obtained from each subject. This study was approved by the ethics
committees at Fujita Health University, Nagoya University Graduate School of
Medicine and each participating member of the Institute of the Japanese Genetics
Initiative for Drug Abuse (JGIDA). RESULTS: We did not detect an association
between rs6465084 in GRM3 and Japanese methamphetamine-induced psychosis.
CONCLUSION: Our findings suggest that rs6465084 in GRM3 does not play a major
role in the pathophysiology of methamphetamine-induced psychosis in the Japanese
population. However, because we did not perform an association analysis based on
linkage disequilibrium (LD) or a mutation scan of GRM3, a replication study using
a larger sample and based on LD may be required for conclusive results.
PMID- 21886584
TI - Association Between 5HT1b Receptor Gene and Methamphetamine Dependence.
AB - Several lines of evidence implicate serotonergic dysfunction in diverse
psychiatric disorders including anxiety, depression, and drug abuse. Mice with a
knock-out of the 5HT1b receptor gene (HTR1B) displayed increased locomotor
response to cocaine and elevated motivation to self-administer cocaine and
alcohol. Previous genetic studies showed significant associations of HTR1B with
alcohol dependence and substance abuse, but were followed by inconsistent
results. We examined a case-control genetic association study of HTR1B with
methamphetamine-dependence patients in a Japanese population. The subjects were
231 patients with methamphetamine dependence, 214 of whom had a co-morbidity of
methamphetamine psychosis, and 248 age- and sex-matched healthy controls. The
three single nucleotide polymorphisms (SNPs), rs130058 (A-165T), rs1228814 (A
700C) and rs1228814 (A+1180G) of HTR1B were genotyped. There was no significant
difference in allelic and genotypic distributions of the SNPs between
methamphetamine dependence and the control. Genetic associations of HTR1B were
tested with several clinical phenotypes of methamphetamine dependence and/or
psychosis, such as age at first abuse, duration of latency from the first abuse
to onset of psychosis, prognosis of psychosis after therapy, and complication of
spontaneous relapse of psychotic state. There was, however, no asscocation
between any SNP and the clinical phenotypes. Haplotype analyses showed the three
SNPs examined were within linkage disequilibrium, which implied that the three
SNPs covered the whole HTR1B, and distribution of estimated haplotype frequency
was not different between the groups. The present findings may indicate that
HTR1B does not play a major role in individual susceptibility to methamphetamine
dependence or development of methamphetamine-induced psychosis.
PMID- 21886585
TI - Association study of serine racemase gene with methamphetamine psychosis.
AB - Experimental studies have demonstrated that not only dopaminergic signaling but
also glutamatergic/NMDA receptor signaling play indispensable roles in the
development of methamphetamine psychosis. Our recent genetic studies provided
evidence that genetic variants of glutamate-related genes such as DTNBP1, GLYT1,
and G72, which are involved in glutamate release and regulation of co-agonists
for NMDA receptors, conferred susceptibility to methamphetamine psychosis. Serine
racemase converts l-serine to d-serine, which is an endogenous co-agonist for
NMDA receptors. Three single nucleotide polymorphisms (SNPs) in the promoter
region of the serine racemase gene (SRR), rs224770, rs3760229, and rs408067, were
proven to affect the transcription activity of SRR. Therefore, we examined these
SNPs in 225 patients with methamphetamine psychosis and 291 age- and sex-matched
controls. There was no significant association between methamphetamine psychosis
and any SNP examined or between the disorder and haplotypes comprising the three
SNPs. However, rs408067 was significantly associated with the prognosis for
methamphetamine psychosis and multi-substance abuse status. The patients with C
positive genotypes (CC or CG) of rs408067 showed better prognosis of psychosis
after therapy and less abuse of multiple substances than the patients with GG
genotypes. Because the C allele of rs408067 reduces the expression of SRR, a
lower d-serine level or reduced NMDA receptor activation may affect the prognosis
of methamphetamine psychosis and multiple substance abuse. Our sample size is,
however, not large enough to eliminate the possibility of a type I error, our
findings must be confirmed by replicate studies with larger samples.
PMID- 21886587
TI - Association Study of Two Cannabinoid Receptor Genes, CNR1 and CNR2, with
Methamphetamine Dependence.
AB - Several studies have suggested that the endocannabinoid system plays significant
roles in the vulnerability to psychiatric disorders including drug abuse. To
examine the possible association of the CNR1 and CNR2 genes, which encode
cannabinoid receptors CB1 and CB2, with methamphetamine dependence, we
investigated three single nucleotide polymorphisms (SNPs) (rs806379, rs1535255,
rs2023239) in intron 2 of the CNR1 gene and a nonsynonymous SNP, Q63R, in the
CNR2 gene. The study samples consisted of 223 patients with methamphetamine
dependence and 292 age- and sex- matched controls. There were no significant
differences between the patients and controls in genotypic or allelic
distribution of any SNP of the CNR1 and CNR2 genes. We also analyzed the clinical
features of methamphetamine dependence. Rs806379 of the CNR1 gene showed a
significant association with the phenotype of latency of psychosis after the
first consumption of methamphetamine. Patients with the T allele or T-positive
genotypes (T/T or A/T) may develop a rapid onset of psychosis after
methamphetamine abuse. The present study suggests a possibility that genetic
variants of the CNR1 gene may produce a liability to the complication of
psychotic state after abuse of methamphetamine; however, our findings need to be
confirmed by future replications.
PMID- 21886586
TI - Association analysis of the tryptophan hydroxylase 2 gene polymorphisms in
patients with methamphetamine dependence/psychosis.
AB - There is a growing evidence that serotoninergic systems modulate dopaminergic
neurotransmission. We analyzed the association between the variations in the
brain tryptophan hydroxylase 2 (TPH2) gene, a rate limiting enzyme for serotonin
biosynthesis, and methamphetamine (METH) dependence/psychosis in a Japanese
population. We found ten single nucleotide polymorphisms (SNPs) and two
polynucleotide polymorphisms in TPH2 gene exons and exon-intron boundaries. A
total of 162 patients and 243 controls were used for the association analysis
between these polymorphisms and METH dependence/psychosis. No significant
differences were observed in either genotypic or allelic frequencies between METH
dependent/psychotic patients and controls. A global test of differentiation among
samples based on haplotype frequencies showed no significant association. With
respect to latency of psychosis, prognosis of psychosis, and spontaneous relapse,
we found no significant association with these SNPs. These results suggest that
the TPH2 gene variants may not be a factor in vulnerability to METH
dependence/psychosis.
PMID- 21886588
TI - Association between the Regulator of G-protein Signaling 9 Gene and Patients with
Methamphetamine Use Disorder and Schizophrenia.
AB - The regulator of G-protein signaling (RGS) modulates the functioning of
heterotrimeric G protein. RGS9-2 is highly expressed in the striatum and plays a
role in modulating dopaminergic receptor-mediated signaling cascades. Previous
studies suggested that the RGS9 gene might contribute to the susceptibility to
psychotic diseases. Therefore, we investigated the association between the RGS9
gene and two related dopamine psychoses, schizophrenia and methamphetamine use
disorders. The subjects comprised 487 patients of schizophrenia and 464 age- and
sex-matched healthy controls and 220 patients of methamphetamine use disorder and
289 controls. We genotyped two nonsynonymous polymorphisms, rs12452285
(Leu225Ser) and rs34797451 (His498Arg), of the RGS9 gene. Rs34797451 showed
monomorphism in the present Japanese population, but rs12452285 showed
polymorphism. There were no significant differences in genotypic or allelic
distributions of rs12452285 between patients with schizophrenia and the
corresponding control or between patients with methamphetamine use disorder and
the corresponding control. We also analyzed the clinical features of
methamphetamine use disorder. We found a significant association in allelic
distribution with the phenotypes of age at first consumption (p=0.047). The
present study suggested that the RGS9 gene is unlikely to play a major role in
schizophrenia and methamphetamine dependence liability and/or the development of
methamphetamine induced psychosis, at least in a Japanese population.
PMID- 21886589
TI - Acetyl-L-Carnitine Modulates TP53 and IL10 Gene Expression Induced by 3-NPA
Evoked Toxicity in PC12 Cells.
AB - The neurotoxicity induced by the mitochondrial inhibitor 3-nitropropionic acid (3
NPA) is associated with a decrease of ATP synthesis and an increase of free
radical production which can lead to apoptosis or necrosis. We have used the
PC12, neuron-like rat pheochromocytoma cell line, to study further the mechanism
of 3-NPA-evoked neurotoxicity and the effects of acetyl-L-carnitine (ALC) which
has neuroprotective actions against various types of mitochondrial
inhibitors.Cultured PC 12 cells were exposed to a low dose of 3-NPA 50 (microM)
in the presence or absence of 5 mM ALC. The dose of 3-NPA was sub toxic and no
changes in pro-apoptotic Bax or anti-apoptotic Bcl-2 gene expression were
observed. We followed specific genetic markers to look for changes evoked by 3
NPA toxicity and also changes associated with neuroprotection exerted by the ALC
treatment, using RT-PCR arrays (delta-delta method). 3-NPA exposure evoked a
decrease in expression of the Tp53 gene. This down regulation was prevented by
pretreatment of the cells with ALC. The Tp53 gene responds to cellular stresses
and the effects seen here are possibly associated with the 3-NPA evoked changes
in mitochondrial metabolism. Other genes associated with stress and apoptosis,
Parp-1, Bcl-2, and Bax were not affected by 3-NPA or ALC. The decrease of
inflammatory response Il-10 gene expression due to 3-NPA was further lowered by
presence of ALC. Other inflammation related genes, Il1rn, Nr3c1 and Cxcr4 were
not affected. Interestingly, the glutamate transporter slc17a7, carnitine
acylcarnitine translocase Slc25a20 and heat shock proteins genes, Hsp27, Hmox1
(Hsp32, HO1) as well as Hspa 1a (Hsp 70) increased only when both ALC and small
dose of 3-NPA were present. The alterations in gene expression detected in this
study suggest role of several intracellular pathways in the neurotoxicity of 3
NPA and the neuroprotection against 3-NPA-induced neurotoxicity by ALC.
PMID- 21886590
TI - Altered Mesolimbic Dopamine System in THC Dependence.
AB - To explore the functional consequences of cannabinoid withdrawal in the rat
mesolimbic dopamine system, we investigated the anatomical morphology of the
mesencephalic, presumed dopaminergic, neurons and their main post-synaptic target
in the Nucleus Accumbens. We found that TH-positive neurons shrink and Golgi
stained medium spiny neurons loose dendritic spines in withdrawal rats after
chronic cannabinoids administration. Similar results were observed after
administration of the cannabinoid antagonist rimonabant to drug-naive rats
supporting a role for endocannabinoids in neurogenesis, axonal growth and
synaptogenesis. This evidence supports the tenet that withdrawal from addictive
compounds alters functioning of the mesolimbic system. The data add to a growing
body of work which indicates a hypodopaminergic state as a distinctive feature of
the "addicted brain".
PMID- 21886591
TI - Commentary: Functional Neuronal CB2 Cannabinoid Receptors in the CNS.
AB - Cannabinoids are the constituents of the marijuana plant (Cannabis sativa). There
are numerous cannabinoids and other natural compounds that have been reported in
the cannabis plant. The recent progress in marijuana-cannabinoid research include
the discovery of an endocannabinoid system with specific genes coding for
cannabinoid receptors (CBRs) that are activated by smoking marijuana, and that
the human body and brain makes its own marijuana-like substances called
endocannabinoids that also activate CBRs. This new knowledge and progress about
cannabinoids and endocannabinoids indicate that a balanced level of
endocannabinoids is important for pregnancy and that the breast milk in animals
and humans has endocannabinoids for the growth and development of the new born.
There are two well characterized cannabinoid receptors termed CB1-Rs and CB2-Rs
and these CBRs are perhaps the most abundant G-protein coupled receptors that are
expressed at high levels in many regions of the mammalian brain. The expression
of CB1-Rs in the brain and periphery and the identification of CB2-Rs in immune
cells and during inflammation has been extensively studied and characterized.
However, the expression of functional neuronal CB2-Rs in the CNS has been much
less well established and characterized in comparison to the expression of
abundant brain CB1-Rs and functional neuronal CB2-Rs has ignited debate and
controversy. While the issue of the specificity of CB2-R antibodies remains, many
recent studies have reported the discovery and functional characterization of
functional neuronal CB2-Rs in the CNS beyond neuro-immuno cannabinoid activity.
PMID- 21886592
TI - Consequences of cannabinoid and monoaminergic system disruption in a mouse model
of autism spectrum disorders.
AB - Autism spectrum disorders (ASDs) are heterogenous neurodevelopmental disorders
characterized by impairment in social, communication skills and stereotype
behaviors. While autism may be uniquely human, there are behavioral
characteristics in ASDs that can be mimicked using animal models. We used the
BTBR T+tf/J mice that have been shown to exhibit autism-like behavioral
phenotypes to 1). Evaluate cannabinoid-induced behavioral changes using forced
swim test (FST) and spontaneous wheel running (SWR) activity and 2). Determine
the behavioral and neurochemical changes after the administration of MDMA (20
mg/kg), methamphetamine (10 mg/kg) or MPTP (20 mg/kg). We found that the BTBR
mice exhibited an enhanced basal spontaneous locomotor behavior in the SWR test
and a reduced depressogenic profile. These responses appeared to be enhanced by
the prototypic cannabinoid, Delta(9)-THC. MDMA and MPTP at the doses used did not
modify SWR behavior in the BTBR mice whereas MPTP reduced SWR activity in the
control CB57BL/6J mice. In the hippocampus, striatum and frontal cortex, the
levels of DA and 5-HT and their metabolites were differentially altered in the
BTBR and C57BL/6J mice. Our data provides a basis for further studies in
evaluating the role of the cannabinoid and monoaminergic systems in the etiology
of ASDs.
PMID- 21886593
TI - Involvement of u-Opioid Receptor in Methamphetamine-Induced Behavioral
Sensitization.
AB - Methamphetamine is a potent addictive stimulant drug that activates certain
systems in the brain. It is a member of the amphetamine family, but the effects
of methamphetamine are much more potent, longer lasting, and more harmful to the
central nervous system. Repeated administration of methamphetamine induces
behavioral sensitization, which is considered to be related to compulsive drug
seeking behavior. Although the mechanism responsible for methamphetamine-induced
behavioral sensitization remains unclear, it is believed that the mesolimbic
dopaminergic system in the central nervous system plays a critical role in the
development of behavioral sensitization. Our previous studies indicate that the
involvement of the MU-opioid receptor system underlies the development of
methamphetamine-induced behavioral sensitization. Understanding the mechanisms of
behavioral sensitization that are regulated by the MU-opioid receptor system
would be helpful in developing therapeutic programs against methamphetamine
addiction. This review briefly discusses the neural circuitry and cellular
mechanisms that are known to play a central role in methamphetamine-induced
behavioral sensitization and outlines the role of the MU-opioid receptor system
in the development of methamphetamine-induced sensitization.
PMID- 21886594
TI - Quantitative Detection of u Opioid Receptor: Western Blot Analyses Using u Opioid
Receptor Knockout Mice.
AB - Increasing evidence suggests that u opioid receptor (MOP) expression is altered
during the development of and withdrawal from substance dependence. Although anti
MOP antibodies have been hypothesized to be useful for estimating MOP expression
levels, inconsistent MOP molecular weights (MWs) have been reported in studies
using anti-MOP antibodies. In the present study, we generated a new anti-MOP
antibody (N38) against the 1-38 amino acid sequence of the mouse MOP N-terminus
and conducted Western blot analysis with wildtype and MOP knockout brain lysates
to determine the MWs of intrinsic MOP. The N38 antibody detected migrating bands
with relative MWs of 60-67 kDa in the plasma membrane fraction isolated from
wildtype brain, but not from the MOP knockout brain. These migrating bands
exhibited semi-linear density in the range of 3-30 ug membrane proteins/lane. The
N38 antibody may be useful for quantitatively detecting MOP.
PMID- 21886596
TI - Analysis of electrical brain waves in neurotoxicology: gamma-hydroxybutyrate.
AB - Advances in computer technology have allowed quantification of the
electroencephalogram (EEG) and expansion of quantitative EEG (qEEG) analysis in
neurophysiology, as well as clinical neurology, with great success. Among the
variety of techniques in this field, frequency (spectral) analysis using Fast
Fourier Transforms (FFT) provides a sensitive tool for time-course studies of
different compounds acting on particular neurotransmitter systems. Studies
presented here include Electrocorticogram (ECoG) analysis following exposure to a
glutamic acid analogue - domoic acid (DOM), psychoactive indole alkaloid -
ibogaine, as well as cocaine and gamma-hydroxybutyrate (GHB). The ECoG was
recorded in conscious rats via a tether and swivel system. The EEG signal
frequency analysis revealed an association between slow-wave EEG activity delta
and theta and the type of behavioral seizures following DOM administration.
Analyses of power spectra obtained in rats exposed to cocaine alone or after
pretreatment with ibogaine indicated the contribution of the serotonergic system
in ibogaine mediated response to cocaine (increased power in alpha(1) band).
Ibogaine also lowered the threshold for cocaine-induced electrographic seizures
(increased power in the low-frequency bands, delta and theta). Daily
intraperitoneal administration of cocaine for two weeks was associated with a
reduction in slow-wave ECoG activity 24 hrs following the last injection when
compared with controls. Similar decreased cortical activity in low-frequency
bands observed in chronic cocaine users has been associated with reduced
metabolic activity in the frontal cortex. The FFT analyses of power spectra
relative to baseline indicated a significant energy increase over all except
beta(2) frequency bands following exposure to 400 and 800 mg/kg GHB. The EEG
alterations detected in rats following exposure to GHB resemble absence seizures
observed in human petit mal epilepsy. Spectral analysis of the EEG signals
combined with behavioral observations may prove to be a useful approach in
studying chronic exposure to drugs of abuse and treatment of drug dependence.
PMID- 21886595
TI - Cerebrolysin Attenuates Heat Shock Protein (HSP 72 KD) Expression in the Rat
Spinal Cord Following Morphine Dependence and Withdrawal: Possible New Therapy
for Pain Management.
AB - The possibility that pain perception and processing in the CNS results in
cellular stress and may influence heat shock protein (HSP) expression was
examined in a rat model of morphine dependence and withdrawal. Since activation
of pain pathways result in exhaustion of growth factors, we examined the
influence of cerebrolysin, a mixture of potent growth factors (BDNF, GDNF, NGF,
CNTF etc,) on morphine induced HSP expression. Rats were administered morphine
(10 mg/kg, s.c. /day) for 12 days and the spontaneous withdrawal symptoms were
developed by cessation of the drug administration on day 13(th) that were
prominent on day 14(th) and continued up to day 15(th) (24 to 72 h periods). In a
separate group of rats, cerebrolysin was infused intravenously (5 ml/kg) once
daily from day one until day 15(th). In these animals, morphine dependence and
withdrawal along with HSP immunoreactivity was examined using standard protocol.
In untreated group mild HSP immunoreaction was observed during morphine
tolerance, whereas massive upregulation of HSP was seen in CNS during withdrawal
phase that correlated well with the withdrawal symptoms and neuronal damage.
Pretreatment with cerebrolysin did not affect morphine tolerance but reduced the
HSP expression during this phase. Furthermore, cerebrolysin reduced the
withdrawal symptoms on day 14(th) to 15(th). Taken together these observations
suggest that cellular stress plays an important role in morphine induced pain
pathology and exogenous supplement of growth factors, i.e. cerebrolysin
attenuates HSP expression in the CNS and induce neuroprotection. This indicates a
new therapeutic role of cerebrolysin in the pathophysiology of drugs of abuse,
not reported earlier.
PMID- 21886598
TI - Inhibition of g protein-activated inwardly rectifying k channels by
phencyclidine.
AB - Addictive drugs, such as opioids, ethanol, cocaine, amphetamine, and
phencyclidine (PCP), affect many functions of the nervous system and peripheral
organs, resulting in severe health problems. G protein-activated inwardly
rectifying K(+) (GIRK, Kir3) channels play an important role in regulating
neuronal excitability through activation of various Gi/o protein-coupled
receptors including opioid and CB(1) cannabinoid receptors. Furthermore, the
channels are directly activated by ethanol and inhibited by cocaine at toxic
levels, but not affected by methylphenidate, methamphetamine, and 3,4
methylenedioxymethamphetamine (MDMA) at toxic levels. The primary pharmacological
action of PCP is blockade of N-methyl-D-aspartate (NMDA) receptor channels that
are associated with its psychotomimetic effects. PCP also interacts with several
receptors and channels at relatively high concentrations. However, the molecular
mechanisms underlying the various effects of PCP remain to be clarified. Here, we
investigated the effects of PCP on GIRK channels using the Xenopus oocyte
expression system. PCP weakly but significantly inhibited GIRK channels at
micromolar concentrations, but not Kir1.1 and Kir2.1 channels. The PCP
concentrations effective in inhibiting GIRK channels overlap clinically relevant
brain concentrations in severe intoxication. The results suggest that partial
inhibition of GIRK channels by PCP may contribute to some of the toxic effects
after overdose.
PMID- 21886597
TI - GHB-Induced Cognitive Deficits During Adolescence and the Role of NMDA Receptor.
AB - We have earlier reported that gamma-hydroxybutyric acid (GHB) disrupts the
acquisition of spatial learning and memory in adolescent rats. GHB is known to
interact with several neurotransmitter systems that have been implicated in
cognitive functioning. The N-methyl-D-aspartate receptor (NR) -type of glutamate
receptor is considered to be an important target for spatial learning and memory.
Molecular mechanisms governing the neuroadptations following repeated GHB
treatment in adolecent rats remain unknown. We examined the role of NMDA receptor
in adolescent GHB-induced cognitive deficit. Adolescent rats were administered
with GHB on 6 consecutive days, and surface-expressed NMDA receptor subunits
levels were measured. GHB significantly decreased NR1 levels in the frontal
cortex. Adolescent GHB also significantly reduced cortical NR2A subunit levels.
Our findings support the hypothesis that adolescent GHB-induced cogntive deficits
are associated with neuroadaptations in glutamatergic transmission, particulaly
NR functioning in the frontal cortex.
PMID- 21886599
TI - Effects of gastrodia elata bl on phencyclidine-induced schizophrenia-like
psychosis in mice.
AB - It has been demonstrated that 5-HT(1A) receptors play an important role in the
pathophysiology of schizophrenia. Because Gastrodia elata Bl (GE) modulates the
serotonergic system, we examined whether GE could affect phencyclidine (PCP)
induced abnormal behavior in mice. Repeated treatment with PCP increased
immobility time, while it decreased social interaction time and recognition
memory. PCP-induced abnormal behaviors were significantly attenuated by GE, and
these effects were comparable to those of 8-OH-DPAT, a 5-HT(1A) receptor agonist.
Furthermore, GE-mediated effects were counteracted by WAY 100635, a 5-HT(1A)
receptor antagonist. Our results suggest that the antipsychotic effects of GE
are, at least in part, mediated via activation of 5-HT(1A) in mice.
PMID- 21886600
TI - Impaired spatial memory after ketamine administration in chronic low doses.
AB - Ketamine is a noncompetitive antagonist of the NMDA-receptors, used as a
dissociative anesthetic, presently included in the category of the psychoactive
substances known as "club drugs". Ketamine administration was associated with
impaired working memory and increased psychopathological symptoms, but there is a
lack of information regarding the effects of chronic sub-anesthetic doses. Adult
Wistar rats were administered ketamine, 5 and 10 mg/kg twice daily,
subcutaneously for 14 days. One week later, rats were tested in an object
recognition/object location task and in the open field arena. There was altered
performance in both the object recognition/location and in the open field tests
by the group chronically exposed to the lower dose of ketamine. These animals
displayed a decreased discrimination index (p<0.05) in the object recognition
task, were unable to recognize the displacement of a familiar object and
displayed decreased activity across open filed sessions. Importantly, these
alterations were not observed in animals administered a higher dose of ketamine.
Collectively, these results consistently show that chronic administration of
ketamine in sub-anesthetic doses may lead to decreased habituation and inability
to update spatial representations.
PMID- 21886601
TI - Ketamine-induced neurotoxicity and changes in gene expression in the developing
rat brain.
AB - Ketamine, an N-methyl-D-aspartate (NMDA) receptor antagonist, is widely used for
analgesia and anesthesia in obstetric and pediatric practice. Recent reports
indicate that ketamine causes neuronal cell death in developing rodents and
nonhuman primates. The present study assessed the potential dose- and time
dependent neurotoxic effects and associated changes in gene expression after
ketamine administration to postnatal day 7 (PND-7) rat pups. Pups were exposed to
ketamine subcutaneously at doses of 5, 10, or 20 mg/kg, in one, three or six
injections respectively. Control animals received the same volume of saline at
the same time points. The animals were sacrificed 6 h after the last ketamine or
saline administration and brain tissues were collected for RNA isolation and
histochemical examination. Six injections of 20 mg/kg ketamine significantly
increased neuronal cell death in frontal cortex, while lower doses and fewer
injections did not show significant effects. The ketamine induced cell death
seemed to be apoptotic in nature. In situ hybridization demonstrated that NMDA
receptor NR1 subunit expression was dramatically increased in the frontal cortex
of ketamine treated rats. Microarray analysis revealed altered expression of
apoptotic relevant genes and increased NMDA receptor gene expression in brains
from ketamine treated animals. Quantitative RT-PCR confirmed the microarray
results. These data suggest that repeated exposures to high doses of ketamine can
cause compensatory up-regulation of NMDA receptors and subsequently trigger
apoptosis in developing neurons.
PMID- 21886603
TI - A Copper Binding Site within the Pathological Conformer Epitope of Mutant SOD1.
PMID- 21886602
TI - microRNAs, Gap Junctional Intercellular Communication and Mesenchymal Stem Cells
in Breast Cancer Metastasis.
AB - The failed outcome of autologous bone marrow transplantation for breast cancer
opens the field for investigations. This is particularly important because the
bone marrow could be a major source of cancer cells during tertiary metastasis.
This review discusses subsets of breast cancer cells, including those that enter
the bone marrow at an early period of disease development, perhaps prior to
clinical detection. This population of cells evades chemotherapeutic damage even
at high doses. An understanding of this population might be crucial for the
success of bone marrow transplants for metastatic breast cancer and for the
eradication of cancer cells in bone marrow. In vivo and in vitro studies have
demonstrated gap junctional intercellular communication (GJIC) between bone
marrow stroma and breast cancer cells. This review discusses GJIC in cancer
metastasis, facilitating roles of mesenchymal stem cells (MSCs). In addition, the
review addresses potential roles for miRNAs, including those already linked to
cancer biology. The literature on MSCs is growing and their links to metastasis
are beginning to be significant leads for the development of new drug targets for
breast cancer. In summary, this review discusses interactions among GJIC, miRNAs
and MSCs as future consideration for the development of cancer therapies.
PMID- 21886604
TI - Genome-Wide Gene Expression Profiling of Nucleus Accumbens Neurons Projecting to
Ventral Pallidum Using both Microarray and Transcriptome Sequencing.
AB - The cellular heterogeneity of brain poses a particularly thorny issue in genome
wide gene expression studies. Because laser capture microdissection (LCM) enables
the precise extraction of a small area of tissue, we combined LCM with neuronal
track tracing to collect nucleus accumbens shell neurons that project to ventral
pallidum, which are of particular interest in the study of reward and addiction.
Four independent biological samples of accumbens projection neurons were
obtained. Approximately 500 pg of total RNA from each sample was then amplified
linearly and subjected to Affymetrix microarray and Applied Biosystems sequencing
by oligonucleotide ligation and detection (SOLiD) transcriptome sequencing (RNA
seq). A total of 375 million 50-bp reads were obtained from RNA-seq.
Approximately 57% of these reads were mapped to the rat reference genome (Baylor
3.4/rn4). Approximately 11,000 unique RefSeq genes and 100,000 unique exons were
identified from each sample. Of the unmapped reads, the quality scores were 4.74
+/- 0.42 lower than the mapped reads. When RNA-seq and microarray data from the
same samples were compared, Pearson correlations were between 0.764 and 0.798.
The variances in data obtained for the four samples by microarray and RNA-seq
were similar for medium to high abundance genes, but less among low abundance
genes detected by microarray. Analysis of 34 genes by real-time polymerase chain
reaction showed higher correlation with RNA-seq (0.66) than with microarray
(0.46). Further analysis showed 20-30 million 50-bp reads are sufficient to
provide estimates of gene expression levels comparable to those produced by
microarray. In summary, this study showed that picogram quantities of total RNA
obtained by LCM of ~700 individual neurons is sufficient to take advantage of the
benefits provided by the transcriptome sequencing technology, such as low
background noise, high dynamic range, and high precision.
PMID- 21886605
TI - GSK-3 as a Target for Lithium-Induced Neuroprotection Against Excitotoxicity in
Neuronal Cultures and Animal Models of Ischemic Stroke.
AB - The mood stabilizer lithium inhibits glycogen synthase kinase-3 (GSK-3) directly
or indirectly by enhancing serine phosphorylation of both alpha and beta
isoforms. Lithium robustly protected primary brain neurons from glutamate-induced
excitotoxicity; these actions were mimicked by other GSK-3 inhibitors or
silencing/inhibiting GSK-3alpha and/or beta isoforms. Lithium rapidly activated
Akt to enhance GSK-3 serine phosphorylation and to block glutamate-induced Akt
inactivation. Lithium also up-regulated Bcl-2 and suppressed glutamate-induced
p53 and Bax. Induction of brain-derived neurotrophic factor (BDNF) was required
for lithium's neuroprotection to occur. BDNF promoter IV was activated by GSK-3
inhibition using lithium or other drugs, or through gene silencing/inactivation
of either isoform. Further, lithium's neuroprotective effects were associated
with inhibition of NMDA receptor-mediated calcium influx and down-stream
signaling. In rodent ischemic models, post-insult treatment with lithium
decreased infarct volume, ameliorated neurological deficits, and improved
functional recovery. Up-regulation of heat-shock protein 70 and Bcl-2 as well as
down-regulation of p53 likely contributed to lithium's protective effects.
Delayed treatment with lithium improved functional MRI responses, which was
accompanied by enhanced angiogenesis. Two GSK-3-regulated pro-angiogenic factors,
matrix metalloproteinase-9 (MMP-9) and vascular endothelial growth factor were
induced by lithium. Finally, lithium promoted migration of mesenchymal stem cells
(MSCs) by up-regulation of MMP-9 through GSK-3beta inhibition. Notably,
transplantation of lithium-primed MSCs into ischemic rats enhanced MSC migration
to the injured brain regions and improved the neurological performance. Several
other GSK-3 inhibitors have also been reported to be beneficial in rodent
ischemic models. Together, GSK-3 inhibition is a rational strategy to combat
ischemic stroke and other excitotoxicity-related brain disorders.
PMID- 21886606
TI - Glycogen synthase kinase-3 in the etiology and treatment of mood disorders.
AB - The mood disorders major depressive disorder and bipolar disorder are prevalent,
are inadequately treated, and little is known about their etiologies. A better
understanding of the causes of mood disorders would benefit from improved animal
models of mood disorders, which now rely on behavioral measurements. This review
considers the limitations in relating measures of rodent behaviors to mood
disorders, and the evidence from behavioral assessments indicating that glycogen
synthase kinase-3 (GSK3) dysregulation promotes mood disorders and is a potential
target for treating mood disorders. The classical mood stabilizer lithium was
identified by studying animal behaviors and later was discovered to be an
inhibitor of GSK3. Several mood-relevant behavioral effects of lithium in rodents
have been identified, and most have now been shown to be due to its inhibition of
GSK3. An extensive variety of pharmacological and molecular approaches for
manipulating GSK3 are discussed, the results of which strongly support the
proposal that inhibition of GSK3 reduces both depression-like and manic-like
behaviors. Studies in human postmortem brain and peripheral cells also have
identified correlations between alterations in GSK3 and mood disorders. Evidence
is reviewed that depression may be associated with impaired inhibitory control of
GSK3, and mania by hyper-stimulation of GSK3. Taken together, these studies
provide substantial support for the hypothesis that inhibition of GSK3 activity
is therapeutic for mood disorders. Future research should identify the causes of
dysregulated GSK3 in mood disorders and the actions of GSK3 that contribute to
these diseases.
PMID- 21886607
TI - Identification of a specific assembly of the g protein golf as a critical and
regulated module of dopamine and adenosine-activated cAMP pathways in the
striatum.
AB - In the principal neurons of striatum (medium spiny neurons, MSNs), cAMP pathway
is primarily activated through the stimulation of dopamine D1 and adenosine A(2A)
receptors, these receptors being mainly expressed in striatonigral and
striatopallidal MSNs, respectively. Since cAMP signaling pathway could be altered
in various physiological and pathological circumstances, including drug addiction
and Parkinson's disease, it is of crucial importance to identify the molecular
components involved in the activation of this pathway. In MSNs, cAMP pathway
activation is not dependent on the classical Gs GTP-binding protein but requires
a specific G protein subunit heterotrimer containing Galphaolf/beta2/gamma7 in
particular association with adenylyl cyclase type 5. This assembly forms an
authentic functional signaling unit since loss of one of its members leads to
defects of cAMP pathway activation in response to D1 or A(2A) receptor
stimulation, inducing dramatic impairments of behavioral responses dependent on
these receptors. Interestingly, D1 receptor (D1R)-dependent cAMP signaling is
modulated by the neuronal levels of Galphaolf, indicating that Galphaolf
represents the rate-limiting step in this signaling cascade and could constitute
a critical element for regulation of D1R responses. In both Parkinsonian patients
and several animal models of Parkinson's disease, the lesion of dopamine neurons
produces a prolonged elevation of Galphaolf levels. This observation gives an
explanation for the cAMP pathway hypersensitivity to D1R stimulation, occurring
despite an unaltered D1R density. In conclusion, alterations in the highly
specialized assembly of Galphaolf/beta2/gamma7 subunits can happen in
pathological conditions, such as Parkinson's disease, and it could have important
functional consequences in relation to changes in D1R signaling in the striatum.
PMID- 21886609
TI - How does the Visual Cortex of the Blind Acquire Auditory Responsiveness?
PMID- 21886610
TI - Emotion and time perception: effects of film-induced mood.
AB - Previous research into emotion and time perception has been designed to study the
time perception of emotional events themselves (e.g., facial expression). Our aim
was to investigate the effect of emotions per se on the subsequent time judgment
of a neutral, non-affective event. In the present study, the participants were
presented with films inducing a specific mood and were subsequently given a
temporal bisection task. More precisely, the participants were given two temporal
bisection tasks, one before and the other after viewing the emotional film. Three
emotional films were tested: one eliciting fear, another sadness, and a neutral
control film. In addition, the direct mood experience was assessed using the
Brief Mood Introspective Scale that was administered to the participants at the
beginning and the end of the session. The results showed that the perception of
time did not change after viewing either the neutral control films or the sad
films although the participants reported being sadder and less aroused after than
before watching the sad film clips. In contrast, the stimulus durations were
judged longer after than before viewing the frightening films that were judged to
increase the emotion of fear and arousal level. In combination with findings from
previous studies, our data suggest that the selective lengthening effect after
watching frightening films was mediated by an effect of arousal on the speed of
the internal clock system.
PMID- 21886611
TI - The SMAs: Neural Substrate of the Temporal Accumulator?
PMID- 21886608
TI - Striatal Signaling in L-DOPA-Induced Dyskinesia: Common Mechanisms with Drug
Abuse and Long Term Memory Involving D1 Dopamine Receptor Stimulation.
AB - Parkinson's disease is a common neurodegenerative disorder caused by the
degeneration of midbrain substantia nigra dopaminergic neurons that project to
the striatum. Despite extensive investigation aimed at finding new therapeutic
approaches, the dopamine precursor molecule, 3,4-dihydroxyphenyl-l-alanine (l
DOPA), remains the most effective and commonly used treatment. However, chronic
treatment and disease progression lead to changes in the brain's response to l
DOPA, resulting in decreased therapeutic effect and the appearance of
dyskinesias. l-DOPA-induced dyskinesia (LID) interferes significantly with normal
motor activity and persists unless l-DOPA dosages are reduced to below
therapeutic levels. Thus, controlling LID is one of the major challenges in
Parkinson's disease therapy. LID is the result of intermittent stimulation of
supersensitive D1 dopamine receptors located in the very severely denervated
striatal neurons. Through increased coupling to Galpha(olf), resulting in greater
stimulation of adenylyl-cyclase, D1 receptors phosphorylate DARPP-32, and other
protein kinase A targets. Moreover, D1 receptor stimulation activates
extracellular signal-regulated kinase and triggers a signaling pathway involving
mammalian target for rapamycin and modifications of histones that results in
changes in translation, chromatin modification, and gene transcription. In turn,
sensitization of D1 receptor signaling causes a widespread increase in the
metabolic response to D1 agonists and changes in the activity of basal ganglia
neurons that correlate with the severity of LID. Importantly, different studies
suggest that dyskinesias may share mechanisms with drug abuse and long term
memory involving D1 receptor activation. Here we review evidence implicating D1
receptor signaling in the genesis of LID, analyze mechanisms that may translate
enhanced D1 signaling into dyskinetic movements, and discuss the possibility that
the mechanisms underlying LID are not unique to the Parkinson's disease brain.
PMID- 21886612
TI - Exploring the 4th dimension: hippocampus, time, and memory revisited.
PMID- 21886613
TI - Neural representation of temporal duration: coherent findings obtained with the
"lossy integration" model.
PMID- 21886614
TI - A Data-Driven Investigation of Gray Matter-Function Correlations in Schizophrenia
during a Working Memory Task.
AB - The brain is a vastly interconnected organ and methods are needed to investigate
its long range structure(S)-function(F) associations to better understand
disorders such as schizophrenia that are hypothesized to be due to distributed
disconnected brain regions. In previous work we introduced a methodology to
reduce the whole brain S-F correlations to a histogram and here we reduce the
correlations to brain clusters. The application of our approach to sMRI [gray
matter (GM) concentration maps] and functional magnetic resonance imaging data
(general linear model activation maps during Encode and Probe epochs of a working
memory task) from patients with schizophrenia (SZ, n = 100) and healthy controls
(HC, n = 100) presented the following results. In HC the whole brain correlation
histograms for GM-Encode and GM-Probe overlap for Low and Medium loads and at
High the histograms separate, but in SZ the histograms do not overlap for any of
the load levels and Medium load shows the maximum difference. We computed GM-F
differential correlation clusters using activation for Probe Medium, and they
included regions in the left and right superior temporal gyri, anterior
cingulate, cuneus, middle temporal gyrus, and the cerebellum. Inter-cluster GM
Probe correlations for Medium load were positive in HC but negative in SZ. Within
group inter-cluster GM-Encode and GM-Probe correlation comparisons show no
differences in HC but in SZ differences are evident in the same clusters where HC
vs. SZ differences occurred for Probe Medium, indicating that the S-F integrity
during Probe is aberrant in SZ. Through a data-driven whole brain analysis
approach we find novel brain clusters and show how the S-F differential
correlation changes during Probe and Encode at three memory load levels.
Structural and functional anomalies have been extensively reported in
schizophrenia and here we provide evidences to suggest that evaluating S-F
associations can provide important additional information.
PMID- 21886615
TI - Widespread Brain Areas Engaged during a Classical Auditory Streaming Task
Revealed by Intracranial EEG.
AB - The auditory system must constantly decompose the complex mixture of sound
arriving at the ear into perceptually independent streams constituting accurate
representations of individual sources in the acoustic environment. How the brain
accomplishes this task is not well understood. The present study combined a
classic behavioral paradigm with direct cortical recordings from neurosurgical
patients with epilepsy in order to further describe the neural correlates of
auditory streaming. Participants listened to sequences of pure tones alternating
in frequency and indicated whether they heard one or two "streams." The
intracranial EEG was simultaneously recorded from sub-dural electrodes placed
over temporal, frontal, and parietal cortex. Like healthy subjects, patients
heard one stream when the frequency separation between tones was small and two
when it was large. Robust evoked-potential correlates of frequency separation
were observed over widespread brain areas. Waveform morphology was highly
variable across individual electrode sites both within and across gross brain
regions. Surprisingly, few evoked-potential correlates of perceptual organization
were observed after controlling for physical stimulus differences. The results
indicate that the cortical areas engaged during the streaming task are more
complex and widespread than has been demonstrated by previous work, and that, by
and-large, correlates of bistability during streaming are probably located on a
spatial scale not assessed - or in a brain area not examined - by the present
study.
PMID- 21886616
TI - Value and prediction error in medial frontal cortex: integrating the single-unit
and systems levels of analysis.
AB - The role of the anterior cingulate cortex (ACC) in cognition has been extensively
investigated with several techniques, including single-unit recordings in rodents
and monkeys and EEG and fMRI in humans. This has generated a rich set of data and
points of view. Important theoretical functions proposed for ACC are value
estimation, error detection, error-likelihood estimation, conflict monitoring,
and estimation of reward volatility. A unified view is lacking at this time,
however. Here we propose that online value estimation could be the key function
underlying these diverse data. This is instantiated in the reward value and
prediction model (RVPM). The model contains units coding for the value of cues
(stimuli or actions) and units coding for the differences between such values and
the actual reward (prediction errors). We exposed the model to typical
experimental paradigms from single-unit, EEG, and fMRI research to compare its
overall behavior with the data from these studies. The model reproduced the ACC
behavior of previous single-unit, EEG, and fMRI studies on reward processing,
error processing, conflict monitoring, error-likelihood estimation, and
volatility estimation, unifying the interpretations of the role performed by the
ACC in some aspects of cognition.
PMID- 21886617
TI - A learning-style theory for understanding autistic behaviors.
AB - Understanding autism's ever-expanding array of behaviors, from sensation to
cognition, is a major challenge. We posit that autistic and typically developing
brains implement different algorithms that are better suited to learn, represent,
and process different tasks; consequently, they develop different interests and
behaviors. Computationally, a continuum of algorithms exists, from lookup table
(LUT) learning, which aims to store experiences precisely, to interpolation (INT)
learning, which focuses on extracting underlying statistical structure
(regularities) from experiences. We hypothesize that autistic and typical brains,
respectively, are biased toward LUT and INT learning, in low- and high
dimensional feature spaces, possibly because of their narrow and broad tuning
functions. The LUT style is good at learning relationships that are local,
precise, rigid, and contain little regularity for generalization (e.g., the name
number association in a phonebook). However, it is poor at learning relationships
that are context dependent, noisy, flexible, and do contain regularities for
generalization (e.g., associations between gaze direction and intention, language
and meaning, sensory input and interpretation, motor-control signal and movement,
and social situation and proper response). The LUT style poorly compresses
information, resulting in inefficiency, sensory overload (overwhelm), restricted
interests, and resistance to change. It also leads to poor prediction and
anticipation, frequent surprises and over-reaction (hyper-sensitivity), impaired
attentional selection and switching, concreteness, strong local focus, weak
adaptation, and superior and inferior performances on simple and complex tasks.
The spectrum nature of autism can be explained by different degrees of LUT
learning among different individuals, and in different systems of the same
individual. Our theory suggests that therapy should focus on training autistic
LUT algorithm to learn regularities.
PMID- 21886618
TI - Unmixing binocular signals.
AB - Incompatible images presented to the two eyes lead to perceptual oscillations in
which one image at a time is visible. Early models portrayed this binocular
rivalry as involving reciprocal inhibition between monocular representations of
images, occurring at an early visual stage prior to binocular mixing. However,
psychophysical experiments found conditions where rivalry could also occur at a
higher, more abstract level of representation. In those cases, the rivalry was
between image representations dissociated from eye-of-origin information, rather
than between monocular representations from the two eyes. Moreover,
neurophysiological recordings found the strongest rivalry correlate in
inferotemporal cortex, a high-level, predominantly binocular visual area involved
in object recognition, rather than early visual structures. An unresolved issue
is how can the separate identities of the two images be maintained after
binocular mixing in order for rivalry to be possible at higher levels? Here we
demonstrate that after the two images are mixed, they can be unmixed at any
subsequent stage using a physiologically plausible non-linear signal-processing
algorithm, non-negative matrix factorization, previously proposed for parsing
object parts during object recognition. The possibility that unmixed left and
right images can be regenerated at late stages within the visual system provides
a mechanism for creating various binocular representations and interactions de
novo in different cortical areas for different purposes, rather than inheriting
then from early areas. This is a clear example how non-linear algorithms can lead
to highly non-intuitive behavior in neural information processing.
PMID- 21886620
TI - Cataract surgery in a patient with amyotrophic lateral sclerosis: a case report.
AB - We report a cataract operation with complications in a patient with amyotrophic
lateral sclerosis (ALS). The patient had a grade 4 mature brown cataract.
Phacoemulsification with intraocular lens (IOL) implantation was planned;
however, due to unexpected complications occurring during surgery, the operating
technique was revised to an intracapsular cataract extraction. A very high
vitreous pressure was found and therefore scleral fixating IOL was not implanted
after anterior vitrectomy because of the possibility of choroidal effusion. The
postoperative visual acuity improved sufficiently for the patient to communicate.
Visual communication is of vital importance for an ALS patient and his
caregivers. Therefore, surgery may be advisable in patients at a terminal stage
with an advanced cataract, even if their general health condition may not seem
appropriate for such an operation. Nevertheless, the intra- and postoperative
course of the surgery may show unexpected complications and the surgeon should be
prepared for such conditions.
PMID- 21886619
TI - Exploiting Trial-to-Trial Variability in Multimodal Experiments.
PMID- 21886621
TI - Neurosyphilis Masquerading as an Acute Adie's Tonic Pupil: Report of a Case.
AB - We describe the case of a male patient who presented with anisocoria, and was
initially diagnosed with an acute Adie's tonic pupil. On subsequent laboratory
testing, he was found to have neurosyphilis. Magnetic resonance imaging
demonstrated enhancement of the right oculomotor nerve. This case underscores the
importance of considering this diagnosis in patients with acute onset internal
ophthalmoplegia and hypersensitivity to dilute pilocarpine, even in the absence
of other oculomotor nerve findings.
PMID- 21886622
TI - Cardiac electromechanical models: from cell to organ.
AB - The heart is a multiphysics and multiscale system that has driven the development
of the most sophisticated mathematical models at the frontiers of computational
physiology and medicine. This review focuses on electromechanical (EM) models of
the heart from the molecular level of myofilaments to anatomical models of the
organ. Because of the coupling in terms of function and emergent behaviors at
each level of biological hierarchy, separation of behaviors at a given scale is
difficult. Here, a separation is drawn at the cell level so that the first half
addresses subcellular/single-cell models and the second half addresses organ
models. At the subcellular level, myofilament models represent actin-myosin
interaction and Ca-based activation. The discussion of specific models emphasizes
the roles of cooperative mechanisms and sarcomere length dependence of
contraction force, considered to be the cellular basis of the Frank-Starling law.
A model of electrophysiology and Ca handling can be coupled to a myofilament
model to produce an EM cell model, and representative examples are summarized to
provide an overview of the progression of the field. The second half of the
review covers organ-level models that require solution of the electrical
component as a reaction-diffusion system and the mechanical component, in which
active tension generated by the myocytes produces deformation of the organ as
described by the equations of continuum mechanics. As outlined in the review,
different organ-level models have chosen to use different ionic and myofilament
models depending on the specific application; this choice has been largely
dictated by compromises between model complexity and computational tractability.
The review also addresses application areas of EM models such as cardiac
resynchronization therapy and the role of mechano-electric coupling in
arrhythmias and defibrillation.
PMID- 21886623
TI - Aquaporin evolution in fishes.
AB - Aquaporins represent a primordial group of transmembrane solvent channels that
have been documented throughout the living biota. This facet alone emphasizes the
positive selection pressure for proteins associated with intracellular fluid
homeostasis. Amongst extant Eukaryota the highest gene copy number can be found
in plants and teleosts, a feature that reflects the genomic duplication history
in both groups. In this minireview we discuss the discovery, structure,
duplication, and diversification of the aquaporin superfamily. We focus on
teleosts as the main models, but include data available for other organisms to
provide a broader perspective.
PMID- 21886624
TI - Impaired Wheel Running Exercise in CLC-1 Chloride Channel-Deficient Myotonic
Mice.
AB - BACKGROUND: Genetic deficiency of the muscle CLC-1 chloride channel leads to
myotonia, which is manifested most prominently by slowing of muscle relaxation.
Humans experience this as muscle stiffness upon initiation of contraction,
although this can be overcome with repeated efforts (the "warm-up" phenomenon).
The extent to which CLC-1 deficiency impairs exercise activity is controversial.
We hypothesized that skeletal muscle CLC-1 chloride channel deficiency leads to
severe reductions in spontaneous exercise. METHODOLOGY/PRINCIPAL FINDINGS: To
examine this quantitatively, myotonic CLC-1 deficient mice were provided access
to running wheels, and their spontaneous running activity was quantified
subsequently. Differences between myotonic and normal mice in running were not
present soon after introduction to the running wheels, but were fully established
during week 2. During the eighth week, myotonic mice were running significantly
less than normal mice (322 +/- 177 vs 5058 +/- 1253 m/day, P = 0.025).
Furthermore, there were considerable reductions in consecutive running times
(18.8 +/- 1.5 vs 59.0 +/- 3.7 min, P < 0.001) and in the distance per consecutive
running period (58 +/- 38 vs 601 +/- 174 m, P = 0.048) in myotonic compared with
normal animals. CONCLUSION/SIGNIFICANCE: These findings indicate that CLC-1
chloride deficient myotonia in mice markedly impairs spontaneous exercise
activity, with reductions in both total distance and consecutive running times.
PMID- 21886625
TI - Role of MicroRNAs in Insect Host-Microorganism Interactions.
AB - MicroRNAs (miRNAs) have appeared as important regulators of various biological
processes including development, cancer, immunity, and host-microorganism
interactions. Accumulating evidence demonstrates the differential expression of
host miRNAs upon infection by various microorganisms and the involvement of
microorganism-encoded miRNAs in host manipulation. Some of these alterations
could be part of a host response to an infection to limit replication and
dissemination of the microorganism or, conversely, due to manipulation of the
host miRNA pathway by the microorganism to facilitate its replication. Insights
into the role of miRNAs in host defense responses and host manipulation by
microorganisms will enable a better understanding of host-microorganism
interactions.
PMID- 21886626
TI - Social experience does not abolish cultural diversity in eye movements.
AB - Adults from Eastern (e.g., China) and Western (e.g., USA) cultural groups display
pronounced differences in a range of visual processing tasks. For example, the
eye movement strategies used for information extraction during a variety of face
processing tasks (e.g., identification and facial expressions of emotion
categorization) differs across cultural groups. Currently, many of the
differences reported in previous studies have asserted that culture itself is
responsible for shaping the way we process visual information, yet this has never
been directly investigated. In the current study, we assessed the relative
contribution of genetic and cultural factors by testing face processing in a
population of British Born Chinese adults using face recognition and expression
classification tasks. Contrary to predictions made by the cultural differences
framework, the majority of British Born Chinese adults deployed "Eastern" eye
movement strategies, while approximately 25% of participants displayed "Western"
strategies. Furthermore, the cultural eye movement strategies used by individuals
were consistent across recognition and expression tasks. These findings suggest
that "culture" alone cannot straightforwardly account for diversity in eye
movement patterns. Instead a more complex understanding of how the environment
and individual experiences can influence the mechanisms that govern visual
processing is required.
PMID- 21886627
TI - Modeling Single-Trial ERP Reveals Modulation of Bottom-Up Face Visual Processing
by Top-Down Task Constraints (in Some Subjects).
AB - We studied how task constraints modulate the relationship between single-trial
event-related potentials (ERPs) and image noise. Thirteen subjects performed two
interleaved tasks: on different blocks, they saw the same stimuli, but they
discriminated either between two faces or between two colors. Stimuli were two
pictures of red or green faces that contained from 10 to 80% of phase noise, with
10% increments. Behavioral accuracy followed a noise dependent sigmoid in the
identity task but was high and independent of noise level in the color task. EEG
data recorded concurrently were analyzed using a single-trial ANCOVA: we assessed
how changes in task constraints modulated ERP noise sensitivity while regressing
out the main ERP differences due to identity, color, and task. Single-trial ERP
sensitivity to image phase noise started at about 95-110 ms post-stimulus onset.
Group analyses showed a significant reduction in noise sensitivity in the color
task compared to the identity task from about 140 ms to 300 ms post-stimulus
onset. However, statistical analyses in every subject revealed different results:
significant task modulation occurred in 8/13 subjects, one showing an increase
and seven showing a decrease in noise sensitivity in the color task. Onsets and
durations of effects also differed between group and single-trial analyses: at
any time point only a maximum of four subjects (31%) showed results consistent
with group analyses. We provide detailed results for all 13 subjects, including a
shift function analysis that revealed asymmetric task modulations of single-trial
ERP distributions. We conclude that, during face processing, bottom-up
sensitivity to phase noise can be modulated by top-down task constraints, in a
broad window around the P2, at least in some subjects.
PMID- 21886628
TI - Orthographic influences when processing spoken pseudowords: theoretical
implications.
AB - When we hear an utterance, is the orthographic representation of that utterance
activated when it is being processed? Orthographic influences have been
previously examined in relation to spoken pseudoword processing in three
different paradigms. Unlike real word processing, no orthographic effects with
pseudowords have been observed in a phoneme goodness ratings task, and there is a
mixed outcome in studies looking for spelling-sound consistency effects. In
contrast, the orthography of spoken pseudohomographs has been shown to be
activated, given that they prime their homographic base word. Explanations are
sought for the findings in these three paradigms, leading to an exploration of
theoretical models of spoken word recognition.
PMID- 21886629
TI - Native experience with a tone language enhances pitch discrimination and the
timing of neural responses to pitch change.
AB - Native tone language experience has been linked with alterations in the
production and perception of pitch in language, as well as with the brain
response to linguistic and non-linguistic tones. Here we use two experiments to
address whether these changes apply to the discrimination of simple pitch changes
and pitch intervals. Event related potentials (ERPs) were recorded from native
Mandarin speakers and a control group during a same/different task with pairs of
pure tones differing only in pitch height, and with pure tone pairs differing
only in interval distance. Behaviorally, Mandarin speakers were more accurate
than controls at detecting both pitch and interval changes, showing a sensitivity
to small pitch changes and interval distances that was absent in the control
group. Converging evidence from ERPs obtained during the same tasks revealed an
earlier response to change relative to no-change trials in Mandarin speakers, as
well as earlier differentiation of trials by change direction relative to
controls. These findings illustrate the cross-domain influence of language
experience on the perception of pitch, suggesting that the native use of tonal
pitch contours in language leads to a general enhancement in the acuity of pitch
representations.
PMID- 21886630
TI - Younger but Not Older Adults Benefit from Salient Feedback during Learning.
AB - Older adults are impaired in reinforcement learning (RL) when feedback is
partially ambiguous (e.g., Eppinger and Kray, 2011). In this study we examined
whether older adults benefit from salient feedback information during learning.
We used an electrophysiological approach and investigated 15 younger and 15 older
adults with a RL task in which they had to learn stimulus-response associations
under two learning conditions. In the positive learning conditions, participants
could gain 50 Cents for a correct response but did not gain or lose money (*00
Cent) for an incorrect response. In negative learning conditions, they could lose
50 Cents for an incorrect response but did not gain or lose money (*00 Cent) for
a correct response. As the identical outcome "00 Cent" is either better or worse
than the alternative outcome depending on the learning condition, this feedback
type is ambiguous. To examine the influence of feedback salience we compared this
condition with a condition in which positive and negative outcomes were color
coded and thereby clearly separable. The behavioral results indicated that
younger adults reached higher accuracy levels under salient feedback conditions.
Moreover, the error-related negativity and the feedback-related negativity for
losses were larger if the good-bad dimension of feedback was salient. Hence, in
younger adults salient feedback facilitates the rapid evaluation of outcomes on a
good-bad dimension and by this supports learning. In contrast, for older adults
we obtained neither behavioral nor electrophysiological effects of feedback
salience. The older adults' performance monitoring system therefore appears less
flexible in integrating additional information in this evaluation process.
PMID- 21886631
TI - Global properties of natural scenes shape local properties of human edge
detectors.
AB - Visual cortex analyzes images by first extracting relevant details (e.g., edges)
via a large array of specialized detectors. The resulting edge map is then
relayed to a processing pipeline, the final goal of which is to attribute meaning
to the scene. As this process unfolds, does the global interpretation of the
image affect how local feature detectors operate? We characterized the local
properties of human edge detectors while we manipulated the extent to which the
statistical properties of the surrounding image conformed to those encountered in
natural vision. Although some aspects of local processing were unaffected by
contextual manipulations, we observed significant alterations in the operating
characteristics of the detector which were solely attributable to a higher-level
semantic interpretation of the scene, unrelated to lower-level aspects of image
statistics. Our results suggest that it may be inaccurate to regard early feature
detectors as operating outside the domain of higher-level vision; although there
is validity in this approach, a full understanding of their properties requires
the inclusion of knowledge-based effects specific to the statistical regularities
found in the natural environment.
PMID- 21886632
TI - The Bodily Expressive Action Stimulus Test (BEAST). Construction and Validation
of a Stimulus Basis for Measuring Perception of Whole Body Expression of
Emotions.
AB - Whole body expressions are among the main visual stimulus categories that are
naturally associated with faces and the neuroscientific investigation of how body
expressions are processed has entered the research agenda this last decade. Here
we describe the stimulus set of whole body expressions termed bodily expressive
action stimulus test (BEAST), and we provide validation data for use of these
materials by the community of emotion researchers. The database was composed of
254 whole body expressions from 46 actors expressing 4 emotions (anger, fear,
happiness, and sadness). In all pictures the face of the actor was blurred and
participants were asked to categorize the emotions expressed in the stimuli in a
four alternative-forced-choice task. The results show that all emotions are well
recognized, with sadness being the easiest, followed by fear, whereas happiness
was the most difficult. The BEAST appears a valuable addition to currently
available tools for assessing recognition of affective signals. It can be used in
explicit recognition tasks as well as in matching tasks and in implicit tasks,
combined either with facial expressions, with affective prosody, or presented
with affective pictures as context in healthy subjects as well as in clinical
populations.
PMID- 21886633
TI - Attentional inhibition in bilingual naming performance: evidence from delta-plot
analyses.
AB - It has been argued that inhibition is a mechanism of attentional control in
bilingual language performance. Evidence suggests that effects of inhibition are
largest in the tail of a response time (RT) distribution in non-linguistic and
monolingual performance domains. We examined this for bilingual performance by
conducting delta-plot analyses of naming RTs. Dutch-English bilingual speakers
named pictures using English while trying to ignore superimposed neutral Xs or
Dutch distractor words that were semantically related, unrelated, or
translations. The mean RTs revealed semantic, translation, and lexicality
effects. The delta plots leveled off with increasing RT, more so when the mean
distractor effect was smaller as compared with larger. This suggests that the
influence of inhibition is largest toward the distribution tail, corresponding to
what is observed in other performance domains. Moreover, the delta plots
suggested that more inhibition was applied by high- than low-proficiency
individuals in the unrelated than the other distractor conditions. These results
support the view that inhibition is a domain-general mechanism that may be
optionally engaged depending on the prevailing circumstances.
PMID- 21886634
TI - Two words, one meaning: evidence of automatic co-activation of translation
equivalents.
AB - Research on the processing of translations offers important insights on how
bilinguals negotiate the representation of words from two languages in one mind
and one brain. Evidence so far has shown that translation equivalents effectively
activate each other as well as their shared concept even when translations lack
of any formal overlap (i.e., non-cognates) and even when one of them is presented
subliminally, namely under masked priming conditions. In the lexical decision
studies testing masked translation priming effects with unbalanced bilinguals a
remarkably stable pattern emerges: larger effects in the dominant (L1) to the non
dominant (L2) translation direction, than vice versa. Interestingly, this
asymmetry vanishes when simultaneous and balanced bilinguals are tested,
suggesting that the linguistic profile of the bilinguals could be determining the
pattern of cross-language lexico-semantic activation across the L2 learning
trajectory. The present study aims to detect whether L2 proficiency is the
critical variable rendering the otherwise asymmetric cross-language activation of
translations obtained in the lexical decision task into symmetric. Non-cognate
masked translation priming effects were examined with three groups of Greek (L1)
English (L2) unbalanced bilinguals, differing exclusively at their level of L2
proficiency. Although increased L2 proficiency led to improved overall L2
performance, masked translation priming effects were virtually identical across
the three groups, yielding in all cases significant but asymmetric effects (i.e.,
larger effects in the L1 -> L2 than in the L2 -> L1 translation direction). These
findings show that proficiency does not modulate masked translation priming
effects at intermediate levels, and that a native-like level of L2 proficiency is
needed for symmetric effects to emerge. They furthermore, pose important
constraints on the operation of the mechanisms underlying the development of
cross-language lexico-semantic links.
PMID- 21886635
TI - Negative emotion impairs conflict-driven executive control.
AB - Cognition and emotion interact in important ways to shape ongoing behaviors. In
this study, we investigated the interaction between conflict-driven executive
control adjustments and emotion during a face-word Stroop-like paradigm. Neutral
and negative images were employed to manipulate emotion. We were particularly
interested in contrasting two hypotheses of the impact of emotion on conflict
adaptation effects. On the one hand, resource accounts of cognitive-emotional
interactions predict that behavioral adjustments following incongruent trials
would be decreased when participants also have to process a negative stimulus. On
the other hand, affect regulation models predict that negative emotion should
increase behavioral adjustments. We found that task-irrelevant negative stimuli
significantly reduced conflict-driven control effects (i.e., conflict adaptation)
compared to neutral images. We interpret the findings in terms of shared
resources between proactive control mechanisms and emotional processing. Our
findings demonstrate that emotion interacts with executive mechanisms responsible
for dynamic behavioral adjustments that are tied to environmental demands, a
central facet of flexible, goal-directed behavior.
PMID- 21886636
TI - Identification of new signaling components in the sensory epithelium of human
saccule.
AB - OBJECTIVE: To locate components and target proteins of relevance for the cAMP and
cGMP signaling networks including cAMP and cGMP phosphodiesterases (PDEs), salt
inducible kinases (SIKs), subunits of Na+, K+-ATPases, and aquaporins (AQPs) in
the human saccule. METHODS: The human saccule was dissected out during the
removal of vestibular schwannoma via the translabyrinthine approach and
immediately fixed. Immunohistochemistry was performed using PDE, SIK, Na(+), K(+)
ATPase, and AQP antibodies. RESULTS: PDEs selective for cAMP (PDE4A, PDE4D, and
PDE8A) and cGMP (PDE9A) as well a dual specificity PDE (PDE10A) were detected in
the sensory epithelium of the saccule. Furthermore, AQP2, 4, and 9, SIK1 and the
alpha-1 subunit of the Na(+), K(+)-ATPase were detected. CONCLUSION: cAMP and
cGMP are important regulators of ion and water homeostasis in the inner ear. The
identification of PDEs and SIK1 in the vestibular system offers new treatment
targets for endolymphatic hydrops. Exactly how the PDEs are connected to SIK1 and
the SIK1 substrate Na(+), K(+)-ATPase and to AQPs 2, 4, 9 remains to be
elucidated. The dissection of the signaling networks utilizing these components
and evaluating their roles will add new basic knowledge regarding inner ear
physiology.
PMID- 21886638
TI - Iron limitation of a springtime bacterial and phytoplankton community in the ross
sea: implications for vitamin b(12) nutrition.
AB - The Ross Sea is home to some of the largest phytoplankton blooms in the Southern
Ocean. Primary production in this system has previously been shown to be iron
limited in the summer and periodically iron and vitamin B(12) colimited. In this
study, we examined trace metal limitation of biological activity in the Ross Sea
in the austral spring and considered possible implications for vitamin B(12)
nutrition. Bottle incubation experiments demonstrated that iron limited
phytoplankton growth in the austral spring while B(12), cobalt, and zinc did not.
This is the first demonstration of iron limitation in a Phaeocystis antarctica
dominated, early season Ross Sea phytoplankton community. The lack of B(12)
limitation in this location is consistent with previous Ross Sea studies in the
austral summer, wherein vitamin additions did not stimulate P. antarctica growth
and B(12) was limiting only when bacterial abundance was low. Bottle incubation
experiments and a bacterial regrowth experiment also revealed that iron addition
directly enhanced bacterial growth. B(12) uptake measurements in natural water
samples and in an iron fertilized bottle incubation demonstrated that bacteria
serve not only as a source for vitamin B(12), but also as a significant sink, and
that iron additions enhanced B(12) uptake rates in phytoplankton but not
bacteria. Additionally, vitamin uptake rates did not become saturated upon the
addition of up to 95 pM B(12). A rapid B(12) uptake rate was observed after 13
min, which then decreased to a slower constant uptake rate over the next 52 h.
Results from this study highlight the importance of iron availability in limiting
early season Ross Sea phytoplankton growth and suggest that rates of vitamin
B(12) production and consumption may be impacted by iron availability.
PMID- 21886637
TI - Stepwise approach to myopathy in systemic disease.
AB - Muscle diseases can constitute a large variety of both acquired and hereditary
disorders. Myopathies in systemic disease results from several different disease
processes including endocrine, inflammatory, paraneoplastic, infectious, drug-
and toxin-induced, critical illness myopathy, metabolic, and myopathies with
other systemic disorders. Patients with systemic myopathies often present acutely
or sub acutely. On the other hand, familial myopathies or dystrophies generally
present in a chronic fashion with exceptions of metabolic myopathies where
symptoms on occasion can be precipitated acutely. Most of the inflammatory
myopathies can have a chance association with malignant lesions; the incidence
appears to be specifically increased only in patients with dermatomyositis. In
dealing with myopathies associated with systemic illnesses, the focus will be on
the acquired causes. Management is beyond the scope of this chapter. Prognosis is
based upon the underlying cause and, most of the time, carries a good prognosis.
In order to approach a patient with suspected myopathy from systemic disease, a
stepwise approach is utilized.
PMID- 21886639
TI - Fungal polysaccharides: biological activity beyond the usual structural
properties.
AB - Studies on structure and function of polysaccharides in biological systems
classically involve sequence and compositional analyses, anomeric configuration,
type of glycosidic linkage, and presence of substituents. Recent studies,
however, indicates that other structural parameters, so far little explored, can
directly influence the biological activity of microbial polysaccharides. Among
these parameters, we highlight the molecular dimensions of Cryptococcus
neoformans polysaccharides, which appear to be inversely correlated with their
immunobiological activity. These recent observations raise new concepts about the
structure and function of polysaccharides, which stimulates the design of new
experimental approaches and suggests previously unknown applications.
PMID- 21886641
TI - Spontaneous rupture of the spleen associated with Legionella pneumonia.
AB - Spontaneous rupture of the spleen associated with Legionella pneumonia is a rare
and life-threatening complication; only three cases have been reported to date.
The authors describe a case of a 47-year-old man who presented with pneumonia and
abdominal pain. He underwent a splenectomy, and was successfully treated with
clarithromycin and levofloxacin.
PMID- 21886640
TI - Bacterial Catabolism of Dimethylsulfoniopropionate (DMSP).
AB - Dimethylsulfoniopropionate (DMSP) is a metabolite produced primarily by marine
phytoplankton and is the main precursor to the climatically important gas
dimethylsulfide (DMS). DMS is released upon bacterial catabolism of DMSP, but it
is not the only possible fate of DMSP sulfur. An alternative
demethylation/demethiolation pathway results in the eventual release of
methanethiol, a highly reactive volatile sulfur compound that contributes little
to the atmospheric sulfur flux. The activity of these pathways control the
natural flux of sulfur released to the atmosphere. Although these biochemical
pathways and the factors that regulate them are of great interest, they are
poorly understood. Only recently have some of the genes and pathways responsible
for DMSP catabolism been elucidated. Thus far, six different enzymes have been
identified that catalyze the cleavage of DMSP, resulting in the release of DMS.
In addition, five of these enzymes appear to produce acrylate, while one produces
3-hydroxypropionate. In contrast, only one enzyme, designated DmdA, has been
identified that catalyzes the demethylation reaction producing
methylmercaptopropionate (MMPA). The metabolism of MMPA is performed by a series
of three coenzyme-A mediated reactions catalyzed by DmdB, DmdC, and DmdD.
Interestingly, CandidatusPelagibacter ubique, a member of the SAR11 clade of
Alphaproteobacteria that is highly abundant in marine surface waters, possessed
functional DmdA, DmdB, and DmdC enzymes. Microbially mediated transformations of
both DMS and methanethiol are also possible, although many of the biochemical and
molecular genetic details are still unknown. This review will focus on the recent
discoveries in the biochemical pathways that mineralize and assimilate DMSP
carbon and sulfur, as well as the areas for which a comprehensive understanding
is still lacking.
PMID- 21886642
TI - Successful treatment of uncomplicated gonococcal urethritis in HIV-infected
patients with single-dose oral cefpodoxime.
AB - Fluoroquinolones are no longer recommended for the treatment of gonococcal
infections in the United States. Cephalosporins - ceftriaxone and cefixime - are
the treatment of choice, as suggested by the Centers for Disease Control and
Prevention (USA). There are limited data on the efficacy of cefpodoxime for the
treatment of uncomplicated gonococcal infections. Two cases of HIV-infected
homosexual men who were successfully treated with cefpodoxime for urethritis
caused by Neisseria gonorrhoeae are described in the present study.
PMID- 21886643
TI - The relationship among antibiotic consumption, socioeconomic factors and climatic
conditions.
AB - BACKGROUND: Antibiotic consumption in human populations is one of the factors
responsible for the emergence of resistant organisms. It is important to track
population-based data on an ongoing basis, and to explore the determinants of
regional variation in antibiotic consumption. METHODS: Population-level data were
obtained on all outpatient oral antibiotic prescriptions dispensed within British
Columbia (BC) between 1996 and 2007. Prescriptions were expressed as the defined
daily dose per 1000 inhabitants. Geographical information systems mapping was
used to display the spatial variations of antibiotic consumption in BC. The
relationships among antibiotic consumption, socioeconomic factors and climatic
conditions were explored using Pearson's correlation and regression modelling.
RESULTS: Overall antibiotic consumption was highest in the northern regions of
BC. Higher rates of consumption were associated with a greater proportion of the
Aboriginal population, lower levels of education and individuals younger than 15
years of age. An inverse correlation was found between some classes of
antibiotics and the following factors: individuals older than 65 years of age,
mortality rate, doctor-to-population ratio, household size and higher July
temperatures. The adjusted regression analyses indicated that higher antibiotic
consumption was associated with a higher proportion of Aboriginals and household
income. CONCLUSION: Different rates of antibiotic consumption exist within BC.
The use of antibiotics is correlated with several socioeconomic factors and
climatic conditions. It may be useful to consider these factors when designing
policies to address antibiotic consumption in the community.
PMID- 21886644
TI - A new publishing model for The Canadian Journal of Infectious Diseases & Medical
Microbiology.
PMID- 21886645
TI - A new publishing model for The Canadian Journal of Infectious Diseases & Medical
Microbiology.
PMID- 21886646
TI - The 'One Health' paradigm: Time for infectious diseases clinicians to take note?
PMID- 21886647
TI - Invasive group A streptococcal disease: Management and chemoprophylaxis.
AB - Given the potentially devastating consequences of severe invasive group A
streptococcal disease, attention has been directed toward the role of
chemoprophylaxis and the optimization of management strategies. In response to
this issue, Canadian guidelines were previously developed. However, the uptake of
these recommendations is variable across Canada. The present document summarizes
key components of the recommendations for use by Canadian physicians. The
importance of penicillin in the treatment of group A streptococcal disease is
reaffirmed, and the role of clindamycin is discussed. In addition, in situations
in which chemoprophylaxis may be considered, the preferred agents are summarized.
PMID- 21886648
TI - Population-level interventions to reduce the development and transmission of
community-associated antimicrobial resistance: A perspective from the National
Collaborating Centre for Infectious Diseases.
PMID- 21886650
TI - A review of alternative practices to antimicrobial use for disease control in the
commercial feedlot - executive summary.
PMID- 21886649
TI - Antimicrobial use and resistance in pigs and chickens: A review of the science,
policy and control practices from farm to slaughter - executive summary.
PMID- 21886651
TI - Strategies to control community-associated antimicrobial resistance among enteric
bacteria and methicillin-resistant Staphylococcus aureus in Canada - executive
summary.
PMID- 21886652
TI - Ceftobiprole: First reported experience in osteomyelitis.
AB - A 74-year-old man with long-standing diabetes presented with advanced infection
of the right forefoot associated with septic arthritis and osteomyelitis
involving the second and third metatarsophalangeal joints. Polymicrobial
infection, which included methicillin-resistant Staphylococcus aureus, was
documented. First-line antibiotic therapy, which included vancomycin, was not
tolerated. A durable cure was obtained following a six-week course of intravenous
ceftobiprole medocaril combined with local surgery. The present report is the
first to administer intravenous ceftobiprole medocaril to a patient with
methicillin-resistant S aureus-associated septic arthritis and osteomyelitis.
PMID- 21886653
TI - Natural killer cell leukaemia.
AB - A 42-year-old white woman, who was a general practitioner referral to the medical
team, presented with a 3-day history of left upper quadrant pain; an urgent
private ultrasound scan had showed splenomegaly. She was initially admitted with
sepsis without an obvious cause but with a differential diagnosis of a
haematological malignancy. Her admission blood tests showed a mildly reduced
white cell count and low platelets. Her symptoms progressed and she developed
right upper quadrant pain. Her blood counts deteriorated showing a disseminated
intravascular coagulation (DIC) picture and mildly deranged liver function tests.
Blood films were non-diagnostic. A CT scan of the abdomen/pelvis showed
splenomegaly and also hepatomegaly and ascites, not seen in her initial
ultrasound scan. Multiple cultures of blood/urine/ascites and infective serology
were unremarkable.She was transferred to a larger tertiary centre under the care
of the surgeons with presumed abdominal sepsis and underwent an open laparotomy,
which showed a big firm liver and spleen but no obvious cause for sepsis. The
infectious disease team were unable to find a cause, and haematology became
involved to investigate the possibility of a haematological malignancy. The
patient underwent two bone marrow biopsies, a percutaneous liver biopsy and had
flow cytometry of her ascitic fluid, which revealed the diagnosis of a natural
killer cell leukaemia. After some slight improvement on steroids, the patient was
given cyclophosphamide, doxorubicin, vincristine, prednisone, rituximab (CHOP-R)
chemotherapy. The patient had an initial response to chemotherapy, with reduction
in ascitic volume and hepatosplenomegaly, and normalisation of her coagulation.
This was accompanied by an overall improvement in her physical condition. She had
a second cycle of CHOP-R, but unfortunately approximately 2 weeks after that, she
deteriorated rapidly. She was too weak for salvage chemotherapy, so she was put
on comfort care. She died peacefully.
PMID- 21886654
TI - Rare case of "red man" syndrome in a female patient treated with oral vancomycin
for Clostridium difficile diarrhoea.
AB - A 58-year-old Caucasian woman was admitted for knee replacement but during the
postoperative period she developed sepsis due to pneumonia, which was treated
with coamoxiclav and then piperacillin (for 2 weeks). She had renal failure,
which needed haemofiltration. During her recovery she had diarrhoea due to
Clostridium difficile, which was not controlled with metronidazole. Vancomycin
was therefore given, but she developed urticarial erythematous skin rash and
hence it was stopped. She was not on any other new medications and a vasculitic
screen was negative. A dermatologist reviewed her file as well. The skin rash
subsided after 2 weeks with topical emollients and chlorphenamine tablets. Her
diarrhoea eventually settled and she went home well. Though classically described
in men, this "red man" syndrome (features of urticarial erythematous rash due to
oral vancomycin) has been previously reported in case reports and in literature
reviews.
PMID- 21886655
TI - Very late bare metal stent thrombosis with concomitant patent drug eluting stent
in the same vessel: a case for a suggestive hypothesis.
AB - We report a case of very late stent thrombosis of a bare metal stent with a
concurrent drug eluting stent's patency in the same coronary vessel, in a patient
undergoing primary angioplasty who discontinued his clopidogrel regimen a few
weeks after successful deployment of the stents.
PMID- 21886656
TI - Meconium-induced periorchitis.
AB - A testicular tumour-like lesion or a solid extratesticular mass are serious
postnatal problems with a broad spectrum of inflammatory or tumorous causes and
possible differential diagnoses. In this case report, an extraordinary case of a
newborn boy with a rare cause of a periorchitis is described.A premature boy
infant (25-year-old mother with obesity) was diagnosed as having a disturbance of
prosperity. A plain film of the abdomen showed a dilated intestine (no
pathological findings in abdominal ultrasound, in particular, no ascites). After
3 postnatal months of clinical observation at the hospital including temporary
parenteral nutrition, hydrocele at both sides was diagnosed. Therefore, the boy
underwent surgical exploration. Intraoperatively, the processus vaginalis
peritonei was surprisingly filled with meconium; because of that, the situs was
extensively rinsed. In addition, the boy underwent an operation for the right and
left hydrocele. The testes were preserved (on follow-up investigation there were
no further problems).Pathohistological investigation confirmed meconium
periorchitis, which is a rare postnatal disease and which can only develop in
cases of former meconium peritonitis and if the processus vaginalis peritonei is
open. The precise details of cause and pathophysiology in this case may
significantly help in avoiding unnecessary orchiectomy.
PMID- 21886657
TI - Improvement in sleep apnoea associated with switch from simvastatin to
pravastatin.
AB - Sleep problems have been reported as an adverse effect of statins. In a
randomised trial, simvastatin at 20 mg produced significantly worse sleep quality
than either placebo or pravastatin 40 mg. A possible relation to sleep apnoea was
hypothesised. Here, the case of a 67-year-old man who experienced sleep apnoea on
simvastatin 20 mg is presented. Objective nightly testing showed a prompt,
marked, sustained and statistically significant improvement in the obstructive
apnoea index when the patient switched to pravastatin 20 mg.
PMID- 21886658
TI - A case report of pulmonary alveolar proteinosis.
AB - This is a case of pulmonary alveolar proteinosis that presented in an inner city
hospital in Birmingham, UK. The patient was a previously well 42-year-old man,
who went on to experience unusual cerebral complications of the disease. The
presentation, imaging findings and diagnostic histology findings are described.
Pulmonary alveolar proteinosis is a rare but important diagnosis. Characteristic
high-resolution CT findings include diffuse ground glass density with
superimposed interlobular septal thickening, which is described as the "crazy
paving" pattern. Diagnosis is made by bronchoalveolar lavage. Pathologically the
disease is characterised by alveolar filling with a lipid rich, proteinaceous
material (positive to periodic acid-Schiff stain) while the lung interstitium
remains relatively normal. Morbidity and mortality can be improved by treatment
with whole lung lavage.
PMID- 21886659
TI - Cerebral venous sinus thrombosis presenting in pregnancy and puerperium.
AB - Cerebral venous sinus thrombosis (CVST) during pregnancy and puerperium is an
uncommon diagnosis. CVST can present with wide range of symptoms and signs, and
most of the time it is left undiagnosed. Here, three cases of CVST in early
pregnancy and puerperium in young women who presented with seizure attacks,
altered sensorium and severe headache, respectively, are reported. Subsequent
imaging with MRI and magnetic resonance venography (MRV) showed CVST in the first
two cases, whereas in the third case it showed a venous infarction. All were
treated with low molecular weight heparin followed by warfarin. They all made
excellent recoveries and are in regular follow-up.
PMID- 21886660
TI - Liver abscess within the first week of life in a very low birthweight infant.
AB - Liver abscess is rare in neonates. The present report concerns a preterm neonate
with history of antenatal laser ablation therapy (to prevent twin to twin
transfusion syndrome), who developed liver abscesses within a few days of life.
Conservative treatment with antibiotics led to the normalisation of inflammatory
markers, leaving an echogenic (calcified) area in the liver.
PMID- 21886661
TI - A ventricular thrombus mimicking a tumour.
AB - Primary cardiac tumours are a rare occurrence in clinical practice. Mural or
pedunculated thrombi are other infrequent findings. These are usually associated
with underlying heart disease, present in the left atrium or occupying aneurysms
in the ventricular wall, usually the apex. The case of a 33-year-old woman with a
pedunculated cardiac mass not having these latter characteristics is reported.
She had experienced dyspnoea and lower extremity oedema for 3 years on referral.
Echocardiography revealed a mass emerging from the interventricular septum, and a
myxoma was suspected. Heart surgery was performed and the findings were a
thrombus and large extent of septal and apical mural fibrosis. An
endoaneurysmorraphy was performed with exclusion of fibrotic walls from the
ventricular cavity.
PMID- 21886662
TI - Onset and recovery of hepatic and renal injury after deliberate acute paracetamol
overdose.
AB - A 54-year-old woman presented to hospital after deliberate acute ingestion of
paracetamol 20 g. Despite early administration of a standardised acetylcysteine
regimen, the patient developed acute liver impairment and acute renal impairment.
Prolonged acetylcysteine administration and supportive measures allowed
restoration of normal liver and renal function. Early presentation to hospital
and prolonged duration of follow-up gave an unusual opportunity to examine the
onset and duration of paracetamol-induced hepatic and renal impairment.
PMID- 21886663
TI - Intraparenchymal haematoma related to true middle meningeal artery aneurysm: a
case report.
AB - Non-traumatic middle meningeal artery aneurysm and rupture resulting in
intracranial haemorrhage is rare. In the present case, a 73-year-old man was
admitted to hospital with a history of a minor head trauma. A CT scan of the head
revealed a 2*2 cm intraparenchymal haematoma without significant mass effect in
the left temporal lobe. The cerebral angiogram revealed a middle meningeal artery
aneurysm. Intracerebral haematoma due to extracranial aneurysm is extremely rare,
but should be considered when the history is suspicious and cranial CT is non
contributory.
PMID- 21886664
TI - A case of severe visceral leishmaniasis resulting from travel to Greece.
AB - Visceral leishmaniasis is rare in the developed world, particularly in
immunocompetent hosts. It must be considered, however, in patients who are
unwell, febrile and unresponsive to conventional antibiotics with a history of
travel to areas where leishmaniasis is endemic. A case of more severe clinical
manifestations than have been previously reported in Australia is presented here.
The recent introduction of PCR technology for the detection of Leishmania in
Australia has improved the diagnosis and management of leishmaniasis. Treatment
with liposomal amphotericin B proved to be very effective in this severe case of
visceral leishmaniasis.
PMID- 21886665
TI - The morphological and molecular diagnosis of lung cancer.
AB - BACKGROUND: In Germany, lung cancer causes more deaths than any other malignant
disease. Its main etiology is smoking, but other risk factors need to be
considered as well. The morphological, molecular and biological phenotype is
complex and should no longer be just categorized as either small-cell or non
small cell lung cancer. METHODS: This review article is based on the authors'
longstanding involvement in the scientific investigation and diagnostic
evaluation of lung cancer, including contributions to the current WHO
classification and collaboration in the new interdisciplinary classification of
adenocarcinoma. The relevant literature was selectively reviewed. RESULTS: Lung
cancer is morphologically classified into four main subtypes-small-cell
carcinoma, squamous-cell carcinoma, adenocarcinoma, and large-cell carcinoma.
Genetic and molecular analyses have revealed distinct differences within
subtypes; in particular, adenocarcinomas can be further subdivided. Complex
techniques of genomic analysis are now available, but clinicopathological data
are still the most important determinants of prognosis and are clearly better for
this purpose than molecular classification alone. Nonetheless, the assessment of
specific molecular markers is becoming increasingly important. CONCLUSION: The
morphological and molecular classification of lung cancer is undergoing a re
evaluation which will lead to more accurate assessment of individual prognoses
and to improved prediction of the response to specific treatment regimens.
PMID- 21886668
TI - Paradoxical reaction in ADHD.
PMID- 21886666
TI - Hemoglobinopathies: clinical manifestations, diagnosis, and treatment.
AB - BACKGROUND: Hemoglobinopathies are among the most common inherited diseases
around the world. They have become much more common recently in northern and
central Europe, including Germany, due to immigration. METHOD: Selective review
of the literature with consideration of national guidelines. RESULTS: The
hemoglobinopathies encompass all genetic diseases of hemoglobin. They fall into
two main groups: thalassemia syndromes and structural hemoglobin variants
(abnormal hemoglobins). alpha- and beta-thalassemia are the main types of
thalassemia; the main structural hemoglobin variants are HbS, HbE and HbC. There
are many subtypes and combined types in each group. The highly variable clinical
manifestations of the hemoglobinopathies range from mild hypochromic anemia to
moderate hematological disease to severe, lifelong, transfusion-dependent anemia
with multiorgan involvement. Stem-cell transplantation is the preferred treatment
for the severe forms of thalassemia. Supportive, rather than curative, treatment
consists of periodic blood transfusions for life, combined with iron chelation.
Drugs to treat the symptoms of sickle-cell disease include analgesics,
antibiotics, ACE inhibitors and hydroxyurea. Blood transfusions should be given
only when strictly indicated. More than 90% of patients currently survive into
adulthood. Optimally treated patients have a projected life span of 50 to 60
years. CONCLUSION: Hemoglobinopathies are a public health issue in today's
multiethnic German population. Adequate care of the affected patients requires a
wide variety of diagnostic and therapeutic measures.
PMID- 21886669
TI - Cognitive neurodynamics in china.
PMID- 21886670
TI - Visual pattern recognition based on spatio-temporal patterns of retinal ganglion
cells' activities.
AB - Neural information is processed based on integrated activities of relevant
neurons. Concerted population activity is one of the important ways for retinal
ganglion cells to efficiently organize and process visual information. In the
present study, the spike activities of bullfrog retinal ganglion cells in
response to three different visual patterns (checker-board, vertical gratings and
horizontal gratings) were recorded using multi-electrode arrays. A measurement of
subsequence distribution discrepancy (MSDD) was applied to identify the spatio
temporal patterns of retinal ganglion cells' activities in response to different
stimulation patterns. The results show that the population activity patterns were
different in response to different stimulation patterns, such difference in
activity pattern was consistently detectable even when visual adaptation occurred
during repeated experimental trials. Therefore, the stimulus pattern can be
reliably discriminated according to the spatio-temporal pattern of the neuronal
activities calculated using the MSDD algorithm.
PMID- 21886671
TI - Visual saliency: a biologically plausible contourlet-like frequency domain
approach.
AB - In this paper we propose a fast frequency domain saliency detection method that
is also biologically plausible, referred to as frequency domain divisive
normalization (FDN). We show that the initial feature extraction stage, common to
all spatial domain approaches, can be simplified to a Fourier transform with a
contourlet-like grouping of coefficients, and saliency detection can be achieved
in frequency domain. Specifically, we show that divisive normalization, a model
of cortical surround inhibition, can be conducted in frequency domain. Since
Fourier coefficients are global in space, we extend to this model by conducting
piecewise FDN (PFDN) using overlapping local patches to provide better biological
plausibility. Not only do FDN and PFDN outperform current state-of-the-art
methods in eye fixation prediction, they are also faster. Speed and simplicity
are advantages of our frequency domain approach, and its biological plausibility
is the main contribution of our paper.
PMID- 21886672
TI - Using interspike intervals to quantify noise effects on spike trains in
temperature encoding neurons.
AB - This paper examines how noise interacts with the non-linear dynamical mechanisms
of neuronal stimulus. We study the spike trains generated by a minimal Hodgkin
Huxley type model of a cold receptor neuron. The distributions of interspike
intervals(ISIs) of purely deterministic simulations exhibit considerable
differences compared to the noisy ones. We quantify the effect of noise using ISI
return plots and the ISI-distance recently proposed by Kreuz et al. (J Neurosci
Meth, 165:151-161, 2007). It is shown that the spike trains of a cold receptor
neuron are more strongly affected by noise for low temperatures than for high
temperatures. This trend is also observed in both regimes of cold receptors:
tonic firing(which occurs for low and high temperatures) and bursting (which
occurs for intermediate temperatures).
PMID- 21886673
TI - A semi-supervised support vector machine approach for parameter setting in motor
imagery-based brain computer interfaces.
AB - Parameter setting plays an important role for improving the performance of a
brain computer interface (BCI). Currently, parameters (e.g. channels and
frequency band) are often manually selected. It is time-consuming and not easy to
obtain an optimal combination of parameters for a BCI. In this paper, motor
imagery-based BCIs are considered, in which channels and frequency band are key
parameters. First, a semi-supervised support vector machine algorithm is proposed
for automatically selecting a set of channels with given frequency band. Next,
this algorithm is extended for joint channel-frequency selection. In this
approach, both training data with labels and test data without labels are used
for training a classifier. Hence it can be used in small training data case.
Finally, our algorithms are applied to a BCI competition data set. Our data
analysis results show that these algorithms are effective for selection of
frequency band and channels when the training data set is small.
PMID- 21886674
TI - Model based generalization analysis of common spatial pattern in brain computer
interfaces.
AB - In the motor imagery based Brain Computer Interface (BCI) research, Common
Spatial Pattern (CSP) algorithm is used widely as a spatial filter on multi
channel electroencephalogram (EEG) recordings. Recently the overfitting effect of
CSP has been gradually noticed, but what influence the overfitting is still
unclear. In this work, the generalization of CSP is investigated by a simple
linear mixing model. Several factors in this model are discussed, and the
simulation results indicate that channel numbers and the correlation between
signals influence the generalization of CSP significantly. A larger number of
training trials and a longer time length of the trial would prevent overfitting.
The experiments on real data also verify our conclusion.
PMID- 21886675
TI - Analyzing inner and outer synchronization between two coupled discrete-time
networks with time delays.
AB - This paper studies two kinds of synchronization between two discrete-time
networks with time delays, including inner synchronization within each network
and outer synchronization between two networks. Based on Lyapunov stability
theory and linear matrix inequality (LMI), sufficient conditions for two discrete
time networks to be asymptotic stability are derived in terms of LMI. Finally
numerical examples are given to illustrate the effectiveness of our derived
results. The theoretical understanding provides insights into the dynamics of two
or more neural networks with appropriate couplings.
PMID- 21886676
TI - Feature extraction and recognition of epileptiform activity in EEG by combining
PCA with ApEn.
AB - This paper proposes a new method for feature extraction and recognition of
epileptiform activity in EEG signals. The method improves feature extraction
speed of epileptiform activity without reducing recognition rate. Firstly,
Principal component analysis (PCA) is applied to the original EEG for dimension
reduction and to the decorrelation of epileptic EEG and normal EEG. Then discrete
wavelet transform (DWT) combined with approximate entropy (ApEn) is performed on
epileptic EEG and normal EEG, respectively. At last, Neyman-Pearson criteria are
applied to classify epileptic EEG and normal ones. The main procedure is that the
principle component of EEG after PCA is decomposed into several sub-band signals
using DWT, and ApEn algorithm is applied to the sub-band signals at different
wavelet scales. Distinct difference is found between the ApEn values of epileptic
and normal EEG. The method allows recognition of epileptiform activities and
discriminates them from the normal EEG. The algorithm performs well at
epileptiform activity recognition in the clinic EEG data and offers a flexible
tool that is intended to be generalized to the simultaneous recognition of many
waveforms in EEG.
PMID- 21886677
TI - Approximate expressions of the bifurcating periodic solutions in a neuron model
with delay-dependent parameters by perturbation approach.
AB - This paper is interested in gaining insights of approximate expressions of the
bifurcating periodic solutions in a neuron model. This model shares the property
of involving delay-dependent parameters. The presence of such dependence requires
the use of suitable criteria which usually makes the analytical work so harder.
Most existing methods for studying the nonlinear dynamics fail when applied to
such a class of delay models. Although Xu et al. (Phys Lett A 354:126-136, 2006)
studied stability switches, Hopf bifurcation and chaos of the neuron model with
delay-dependent parameters, the dynamics of this model are still largely
undetermined. In this paper, a detailed analysis on approximation to the
bifurcating periodic solutions is given by means of the perturbation approach.
Moreover, some examples are provided for comparing approximations with numerical
solutions of the bifurcating periodic solutions. It shows that the dynamics of
the neuron model with delay-dependent parameters is quite different from that of
systems with delay-independent parameters only.
PMID- 21886678
TI - Unconditional global exponential stability in Lagrange sense of genetic
regulatory networks with SUM regulatory logic.
AB - In this paper, the global exponential stability in Lagrange sense for genetic
regulatory networks (GRNs) with SUM regulatory logic is firstly studied. By
constructing appropriate Lyapunov-like functions, several criteria are presented
for the boundedness, ultimate boundedness and global exponential attractivity of
GRNs. It can be obtained that GRNs with SUM regulatory logic are unconditionally
globally exponentially stable in Lagrange sense. These results can be applied to
analyze monostable as well as multistable networks. Furthermore, to analyze the
stability for GRNs more comprehensively, the existence of equilibrium point of
GRNs is proved, and some sufficient conditions of the global exponential
stability in Lyapunov sense for GRNs are derived. Finally two numerical examples
are given to illustrate the application of the obtained results.
PMID- 21886679
TI - Synthetic biology gains momentum in Europe.
PMID- 21886680
TI - Synthetic biology of minimal living cells: primitive cell models and semi
synthetic cells.
AB - This article summarizes a contribution presented at the ESF 2009 Synthetic
Biology focused on the concept of the minimal requirement for life and on the
issue of constructive (synthetic) approaches in biological research. The attempts
to define minimal life within the framework of autopoietic theory are firstly
described, and a short report on the development of autopoietic chemical systems
based on fatty acid vesicles, which are relevant as primitive cell models is
given. These studies can be used as a starting point for the construction of more
complex systems, firstly being inspired by possible origins of life scenarioes
(and therefore by considering primitive functions), then by considering an
approach based on modern biomacromolecular-encoded functions. At this aim, semi
synthetic minimal cells are defined as those man-made vesicle-based systems that
are composed of the minimal number of genes, proteins, biomolecules and which can
be defined as living. Recent achievements on minimal sized semi-synthetic cells
are then discussed, and the kind of information obtained is recognized as being
distinctively derived by a constructive approach. Synthetic biology is therefore
a fundamental tool for gaining basic knowledge about biosystems, and it should
not be confined at all to the engineering side.
PMID- 21886681
TI - A computational study of liposome logic: towards cellular computing from the
bottom up.
AB - In this paper we propose a new bottom-up approach to cellular computing, in which
computational chemical processes are encapsulated within liposomes. This
"liposome logic" approach (also called vesicle computing) makes use of supra
molecular chemistry constructs, e.g. protocells, chells, etc. as minimal cellular
platforms to which logical functionality can be added. Modeling and simulations
feature prominently in "top-down" synthetic biology, particularly in the
specification, design and implementation of logic circuits through bacterial
genome reengineering. The second contribution in this paper is the demonstration
of a novel set of tools for the specification, modelling and analysis of "bottom
up" liposome logic. In particular, simulation and modelling techniques are used
to analyse some example liposome logic designs, ranging from relatively simple
NOT gates and NAND gates to SR-Latches, D Flip-Flops all the way to 3 bit ripple
counters. The approach we propose consists of specifying, by means of P systems,
gene regulatory network-like systems operating inside proto-membranes. This P
systems specification can be automatically translated and executed through a
multiscaled pipeline composed of dissipative particle dynamics (DPD) simulator
and Gillespie's stochastic simulation algorithm (SSA). Finally, model selection
and analysis can be performed through a model checking phase. This is the first
paper we are aware of that brings to bear formal specifications, DPD, SSA and
model checking to the problem of modeling target computational functionality in
protocells. Potential chemical routes for the laboratory implementation of these
simulations are also discussed thus for the first time suggesting a potentially
realistic physiochemical implementation for membrane computing from the bottom
up.
PMID- 21886682
TI - Variable production windows for porcine trypsinogen employing synthetic inducible
promoter variants in Pichia pastoris.
AB - Natural tools for recombinant protein production show technological limitations.
Available natural promoters for gene expression in Pichia pastoris are either
constitutive, weak or require the use of undesirable substances or procedures for
induction. Here we show the application of deletion variants based on the well
known methanol inducible AOX1 promoter and small synthetic promoters, where cis
acting elements were fused to core promoter fragments. They enable differently
regulated target protein expression and at the same time to replace methanol
induction by a glucose or glycerol feeding strategy. Trypsinogen, the precursor
of the serine protease trypsin, was expressed using these different promoters.
Depending on the applied promoter the production window (i.e. the time of
increasing product concentration) changed significantly. In fedbatch processes
trypsinogen yields before induction with methanol were up to 10 times higher if
variants of the AOX1 promoter were applied. In addition, the starting point of
autoproteolytic product degradation can be predetermined by the promoter choice.
PMID- 21886683
TI - Thermobifida fusca exoglucanase Cel6B is incompatible with the cellulosomal mode
in contrast to endoglucanase Cel6A.
AB - Cellulosomes are efficient cellulose-degradation systems produced by selected
anaerobic bacteria. This multi-enzyme complex is assembled from a group of
cellulases attached to a protein scaffold termed scaffoldin, mediated by a high
affinity protein-protein interaction between the enzyme-borne dockerin module and
the cohesin module of the scaffoldin. The enzymatic complex is attached as a
whole to the cellulosic substrate via a cellulose-binding module (CBM) on the
scaffoldin subunit. In previous works, we have employed a synthetic biology
approach to convert several of the free cellulases of the aerobic bacterium,
Thermobifida fusca, into the cellulosomal mode by replacing each of the enzymes'
CBM with a dockerin. Here we show that although family six enzymes are not a part
of any known cellulosomal system, the two family six enzymes of the T. fusca
system (endoglucanase Cel6A and exoglucanase Cel6B) can be converted to work as
cellulosomal enzymes. Indeed, the chimaeric dockerin-containing family six
endoglucanase worked well as a cellulosomal enzyme, and proved to be more
efficient than the parent enzyme when present in designer cellulosomes. In stark
contrast, the chimaeric family six exoglucanase was markedly less efficient than
the wild-type enzyme when mixed with other T. fusca cellulases, thus indicating
its incompatibility with the cellulosomal mode of action.
PMID- 21886684
TI - Trends in the Use of Evidence-based Therapies Early in the Course of Acute
Myocardial Infarction and its Influence on Short Term Patient Outcomes.
AB - AIM: To evaluate changes in management practices and its influence on short term
hospital outcomes in patients with acute myocardial infarction (AMI) admitted
during two different time periods, 2007 and 2004. METHODS AND RESULTS: We studied
AMI patients from two acute coronary syndrome registries carried out in Kuwait in
2007 and 2004. We included 1872 and 1197 patients from the 2007 and 2004
registries, respectively. When compared with 2004, patients from the 2007
registry had similar baseline clinical characteristics. In 2007 compared to 2004,
during the in-hospital period, patients with AMI received significantly more
statins (94% vs. 73%%, p<0.0001), Angiotensin converting enzyme (ACE) inhibitors
and angiotensin receptor blockers (ARB) (70% vs. 47%, p<0.001), and Clopidogrel
(38% vs. 4%, p<0.001), while beta-blockers use dropped in 2007 compared to 2004
(63% vs. 68%, p=0.0066). The rates of in-hospital mortality and recurrent
ischemia were significantly lower in the 2007 cohort compared with the 2004
cohort (for mortality 2.2% vs. 3.9%, P=0.0008, for recurrent ischemia 13.7% vs.
20.4%, P=0<0.0001).Higher utilization of angiotensin converting enzyme
inhibitors, angiotensin receptor blockers and statins were the main contributors
to the improved in-hospital mortality and morbidity. IN CONCLUSION: In the acute
management of AMI, there was a significant increase in the use of statins, ACE
inhibitors and Clopidogrel in 2007 compared to 2004. This was associated with a
significant decrease in the in-hospital mortality and recurrent ischemia.
Adherence to guidelines recommended therapies improved in-hospital outcomes.
PMID- 21886685
TI - The influence in airforce soldiers through wearing certain types of army-issue
footwear on muscle activity in the lower extremities.
AB - The objective of the study was to analyse the influence of the shape and material
of the military footwear worn by soldiers on muscle activity in the lower
extremities, and whether such footwear could explain specific strain complaints
and traumatic lesions in the region of the lower extremities.37 soldiers (one
woman, 36 men) aged between 20 and 53 years underwent a dynamic electromyography
(EMG) analysis. Wearing - one pair at a time - five different types of shoes, the
subjects were asked to walk on a treadmill, where an EMG of the following muscles
was taken: M. tibialis anterior, M. gastrocnemius mediales, M. gastrocnemius
laterales, M. peroneus longus and M. rectus femoris.When the subjects wore old
fashioned outdoor jogging shoes increased muscle activity was observed in the
region of the M. peroneus longus. This can be interpreted as a sign of the upper
ankle joint requiring increased support and thus explain the higher
susceptibility to sprains experienced in connection with these shoes. When the
subjects wore combat boots, increased activity was observed in the region of the
Mm. tibialis anterior and rectus femoris. The specific activity differences that
were observed in particular muscles may have influence in the occurrence of
certain disorders, especially in untrained recruits. This can be linked to
various strain-related disorders such as shin splints and patellofemoral pain.
The data obtained using EMG can provide information about the likelihood of a
clustering of the complaints experienced by soldiers during training or active
service.
PMID- 21886687
TI - Simultaneous Bilateral Flexion-Type Salter-Harris II Fractures of the Proximal
Tibia: A Case Report and Review of the Literature.
AB - A rare case is reported of bilateral physeal lesions of the proximal tibia
classified as Salter-Harris type II, which occurred simultaneously after a
"flexion type" injury in a 14-year-old boy. Treatment was conservative on the
nondisplaced side and surgical, by closed reduction and internal fixation, on the
displaced side. There was no previous diagnosis of Osgood-Schlatter disease.
After reviewing all the cases described previously, which occurred either
consecutively or simultaneously, we conclude that less resistance of the growth
plate, typical of late adolescence, likely represents the cause of this type of
lesion.
PMID- 21886686
TI - Pre-operative status and quality of life following total joint replacement in a
developing country: a prospective pilot study.
AB - BACKGROUND: An increasing number of medical relief organizations have launched
programs to perform total joint replacements in the developing world. There is a
paucity of data on the clinical outcomes of these procedures. We documented pre-
and post-operative pain and functional status in a group of low income Dominicans
who underwent total hip or knee replacement performed by an American relief
organization. METHODS: In March 2009 and 2010, we surveyed patients participating
in Operation Walk Boston, a medical relief organization that provides total joint
replacements to patients in the Dominican Republic. Questionnaires included the
Western Ontario and McMaster University Osteoarthritis (WOMAC) Index scales and
the Short-Form 36 (SF-36) scales for physical activity and mental health. Scores
were transformed to a 0 - 100 point scale (100 is best). RESULTS: 81 individuals
(mean age 61 years, 60% female) completed the pre-operative questionnaires.
Twenty eight of the 35 who completed preoperative forms in 2009 also completed
follow up forms in 2010 (follow-up rate 80%). Patients reported poor pre
operative WOMAC function (mean = 33.6, sd = 22.0) and WOMAC pain (mean = 38.4, sd
= 22.9) scores preoperatively. Mean post-operative WOMAC pain and function scores
were 86.4 (sd = 13.1) and 88.1 (sd = 11.4) respectively. Improvement in pain and
function was similar for patients undergoing hip (n=11) and knee (n=17)
replacements. CONCLUSION: Total joint replacement was effective in relieving pain
and restoring function in this program. These results are useful for comparison
to outcomes in developed countries and for establishing benchmarks for future
programs.
PMID- 21886688
TI - Tissue engineering in orthopaedics and musculoskeletal sciences.
PMID- 21886689
TI - Sources of adult mesenchymal stem cells applicable for musculoskeletal
applications - a systematic review of the literature.
AB - Mesenchymal stem cells (MSCs) were first discovered by Friedenstein and his
colleagues in 1976 from bone marrow. The unique property of these cells was their
potential to develop into fibroblastic colony forming cells. Since Friedenstein's
discovery of these cells the interest in adult MSCs has been progressively
growing. Nowadays MSCs are defined as undeveloped biological cells capable of
proliferation, self renewal and regenerating tissues. All these properties of
MSCs have been discovered in the past 35 years. MSCs can play a crucial role in
tissue engineering, organogenesis, gene therapy, transplants as well as tissue
injuries. These cells were mainly extracted from bone marrow but there have been
additional sources for MSCs discovered in the laboratories including: muscle,
dermis, trabecular bone, adipose tissue, periosteum, pericyte, blood, synovial
membrane and so forth. The discovery of the alternative sources of MSCs helps
widen the application of these cells in different areas of medicine. By way of
illustration, they can be used in various therapeutic purposes such as tissue
regeneration and repair in musculoskeletal diseases including osteonecrosis of
femoral head, stimulating growth in children with osteogenesis imperfecta, disc
regeneration, osteoarthritis and duchenne muscular dystrophy. In order to fully
comprehend the characteristics and potential of MSCs future studies in this field
are essential.
PMID- 21886690
TI - The use of scaffolds in musculoskeletal tissue engineering.
AB - The use of bioengineering scaffolds remains an integral part of the tissue
engineering concept. A significant amount of basic science and clinical research
has been focused on the regeneration of musculoskeletal tissues including bone,
articular cartilage, meniscus, ligament and tendon. This review aims to provide
the reader with a summary of the principals of using material scaffolds in
musculoskeletal tissue engineering applications and how these materials may
eventually come to be incorporated in clinical practice.
PMID- 21886691
TI - The role of bioreactors in tissue engineering for musculoskeletal applications.
AB - Tissue engineering involves using the principles of biology, chemistry and
engineering to design a 'neotissue' that augments a malfunctioning in vivo
tissue. The main requirements for functional engineered tissue include reparative
cellular components that proliferate on a biocompatible scaffold grown within a
bioreactor that provides specific biochemical and physical signals to regulate
cell differentiation and tissue assembly. We discuss the role of bioreactors in
tissue engineering and evaluate the principles of bioreactor design. We evaluate
the methods of cell stimulation and review the bioreactors in common use today.
PMID- 21886692
TI - The use of growth factors and mesenchymal stem cells in orthopaedics.
AB - Stem cell therapy is an exciting and upcoming branch of tissue engineering with
application in the field of orthopaedics. The most commonly used type of stem
cells, mesenchymal stem cells (MSCs), can be easily isolated from bone marrow or
synovium and cultured in vitro. Newer techniques using tissue engineering to
regenerate musculoskeletal tissue by using biomimetic materials are now being
studied. These osteoconductive three dimensional constructs seeded with MSCs are
highly porous, biodegradable and biomechanically stable scaffolds which do not
evoke an immunogenic host cell response. Research has shown the importance of
growth factors in guiding and modulating the differentiation of MSCs in order to
obtain the required cell type. Gene-based delivery systems have aided the
delivery of sustained quantities of these growth factors. The evidence from
growth factor enhanced tissue engineering studies for tissue healing looks very
positive. This is a multi-disciplinary approach that integrates molecular,
biochemical and clinical techniques with developmental and engineering processes.
Initial studies indicate an immense potential for cell based strategies to
enhance current orthopaedic approaches in skeletal tissue reconstruction.
Ultimately, there is a need for randomised controlled trials on human populations
to apply these findings to a clinical setting. Nevertheless, stem cell based
tissue engineering in orthopaedics shows a promising future.
PMID- 21886693
TI - Tissue Engineering Stem Cells - An e-Governance Strategy.
AB - The rules of governance are changing. They are necessarily becoming more
stringent as interventions offered to treat conditions carry unpredictable side
effects, often associated with novel therapeutic vectors. The clinical relevance
of this relates to the obligations of those involved in research, to ensure the
best protection for subjects whilst encouraging the development of the field.
Existing evidence supports the concept of e-Governance both in operational health
research and more broadly in the strategic domain of policy formation. Building
on the impact of the UK Comprehensive Research Network and recent EU Directives,
it is now possible to focus on the issues of regulation for cell therapies in
musculoskeletal science through the development of the Advanced Therapeutic
Medicinal Products (ATMP) category of research products. This article reviews the
framework that has borne this and the need for more detailed Virtual Research
Integration and Collaboration (VRIC) systems to ensure regulatory compliance.
Technology research and development plans must develop in close association
between tissue engineering and treating clinicians. The scope of this strategy
relates to the handling of human tissues the transport and storage of specimens
in accordance with current EU directives and the Human Tissue Authority (HTA)
regulations.
PMID- 21886694
TI - Dupuytren's Disease: Review of the Current Literature.
AB - Dupuytren's disease is one of the most common condition seen by hand surgeons. It
is not only prevalent but can also be a most debilitating condition resulting in
significant loss of function of the fingers involved. The cause of this disease,
however still remains largely unknown although some recent evidence suggests a
stem cell etiology. This review article summarizes the current known knowledge of
Dupuytren's as well as the clinical findings, investigations and treatments
available.
PMID- 21886695
TI - Tissue engineering for bone production- stem cells, gene therapy and scaffolds.
AB - A bone graft has been the gold standard treatment for repairing bone defects.
However, due to bone grafts associated donor site morbidity several alternative
bone substitutes options have been made available but with their added expense
and limited osteoinductive properties they are not ideal. Therefore, research has
begun in tissue engineering to investigate stem cells, which are one of the
body's own mechanisms used to repair bone. Stem cells are clonogenic
undifferentiated cells capable of self-renewal. Readily available from numerous
of sources stem cells have the potential to differentiate in osteoblasts and
chrondrocytes showing capability to repair both bone and cartilage. The known
immunologic properties of stem cells further enhance their therapeutic appeal.
Stem cells have shown to be excellent carriers for gene transfer having the
capability to be transduced. Gene transfer could enable growth factors and bone
morphogentic proteins to enhance bone repair. Stem cells are implanted onto
scaffolds, which are structures capable of supporting tissue formation by
allowing cell migration, proliferation and differentiation. Research aims to
produce scaffolds that deliver and retain cells, allow for cell attachment has
adequate biodegradability, biocompatibility and non-immunogenicity. However,
having tried and testing numerous materials including synthetic and natural
products research into the perfect scaffold product continues. This review aims
to explain how stem cells were discovered, the techniques used to isolate stem
cells, identify and manipulate them down different cell lineages and discuss the
research into using stem cells to reconstruct bone using genetic modification and
scaffolds.
PMID- 21886696
TI - Mesenchymal stem cells and articular cartilage repair: clinical studies and
future direction.
AB - Cartilage is frequently injured but shows little capacity for repair. Current
treatment options include the use of procedures that stimulate repair through the
stimulation of subchondral bone marrow and result in the formation of
fibrocartilage. There is considerable interest in the use of cell-based treatment
strategies and there are limited studies describing the use of mesenchymal stem
cells for cartilage repair with promising early results. This paper reviews the
current treatment strategies for articular cartilage, describes use of
mesenchymal stem cells for articular cartilage repair along with the results of
clinical studies, and describes the future direction that these strategies are
likely to take.
PMID- 21886697
TI - Slow-growing melanoma: Report of five cases.
AB - BACKGROUND: Epidemiologic data on melanoma reveal a considerable increase in
incidence, especially of the early forms (melanoma in situ and early invasive
melanoma), but the mortality rates are relatively stable. These data suggest the
hypothesis of the existence of a melanoma with less aggressive biological
behaviour. This hypothesis is, however, hard to be proven if the assumption is
true that more and less aggressive melanomas very often exhibit overlapping
clinical and histopathologic features. Digital dermoscopic imaging techniques
permit today a detailed documentation of lesions over time and, therefore,
represent an optimal tool to disclose the natural evolution of a given lesion. We
present five case of slow-growing melanomas observed during a long-term period of
follow-up. MAIN OBSERVATION: Five pigmented skin lesions from five patients with
multiple atypical melanocytic nevi were examined at the baseline consultation and
digital pictures were taken for monitoring purposes. The lesions have been
followed-up for a long time because of the absence of significant changes over
time. After a variable period of follow-up (1 to 10 years) all lesions were
finally removed and subsequent histopathologic examination revealed early stage
melanoma in all cases. CONCLUSION: Dermoscopy and digital follow up might be the
key factors to improve the knowledge about the natural evolution of nevi and
melanoma and the spectrum of undefined melanocytic proliferations.
PMID- 21886699
TI - Rotundiform morphology during the first episode of pityriasis versicolor - a
retrospective case-control study on a distinct clinical presentation.
AB - We report a retrospective case-control study to investigate whether the
rotundiform variant of pityriasis versicolor (PV) is significantly associated
with the first episodes of PV. Our setting was a dermatology clinic run by a
consultant dermatologist. We retrieved medical records of all patients with PV
between April 1, 2002 and March 31, 2005. We identified patients with lesions
forming closed round circles. We excluded patients with uncertain diagnosis or
diagnosis not substantiated by Wood's light examination or skin scrapings for
potassium hydroxide examination. For each patient, we retrieved the medical
record of the next patient of the same sex and similar age (+/- three years) with
documented non-rotundiform PV and diagnosis substantiated by investigations as
the control subject.80 patients were diagnosed as having PV during the record
retrieval period. 18 had clinical descriptions or clinical photographs
documenting rotundiform PV. Five were excluded owing to uncertain diagnosis,
unclear clinical descriptions, or diagnoses unsubstantiated by examination under
Wood's light or skin scrapings for potassium hydroxide examination. 13 had
definite diagnosis of rotundiform PV. Ten agreed to attend clinic. The response
rate was 76.9%. Ten age-and-sex pair-matched control subjects with non
rotundiform PV were recruited. Nine patients with rotundiform PV and two controls
with non-rotundiform PV had their episode of PV representing their first episode
of PV (p = 0.01; OR = 36.0, 95% CI: 2.2 - 866.9). We conclude that rotundiform PV
is significantly associated with the first episode of PV. This phenomenon might
be analogous to the herald patch being the primary lesion in pityriasis rosea.
PMID- 21886700
TI - Milia-like idiopathic calcinosis cutis in an adult without Down's syndrome.
AB - BACKGROUND: Milia-like idiopathic calcinosis cutis is a rare entity. Only 19
cases have been reported so far, the majority of them developed in children with
Down's syndrome. The mean age of the patients is 10.3 years, with a sex ratio of
nine girls to ten boys. Hands are most commonly affected. MAIN OBSERVATION: We
report a case of a 69-year-old, otherwise healthy woman, who developed milia-like
idiopathic calcinosis cutis on her forehead. CONCLUSION: To our knowledge, we
report the seventh case occurring in a patient without Down's syndrome, and the
first case occurring in an elderly person.
PMID- 21886698
TI - Cylindroma transforming into basal cell carcinoma in a patient with Brooke
Spiegler syndrome.
AB - BACKGROUND: Brooke-Spiegler syndrome is a rare condition with a predisposition to
develop cutaneous adnexal neoplasms, especially cylindromas, trichoepitheliomas
and spiradenomas. Malignant transformation of cylindromas is rare. In such cases
usually cylindrocarcinomas develop within these lesions. We present an unusual
case of basal cell carcinoma developing within a preexisting cylindroma. MAIN
OBSERVATIONS: 58-year-old woman with a 30-year history of multiple dermal
cylindromas extensively involving her scalp was referred for dermatological
treatment. The patient reported that one of the long-lasting lesions, 5.5 cm in
size, ulcerated within the foregoing few weeks. Histopathology confirmed
cylindromas and basal cell carcinoma within the ulcerating tumor. Surgical
excision of largest cylindroma tumors led to cosmetic and functional improvement.
Magnetic resonance and computed tomography showed tumor infiltration into the
skull lamina externa. Metastases were excluded by chest radiography and abdominal
ultrasound examination. CONCLUSION: Patients with Brooke-Spiegler syndrome should
be followed-up for malignant transformation of skin tumors to prevent deep
penetration and possible metastases.
PMID- 21886701
TI - Response of ocular pemphigus vulgaris to therapy. Case report and review of
literature.
AB - BACKGROUND: Pemphigus vulgaris is an autoimmune bullous disease characterized by
blistering and erosions within skin and mucous membranes. Lesions appear most
commonly on mucosal surfaces of the oral cavity. Ocular involvement in patients
with PV has rarely been reported. MAIN OBSERVATION: A 47-year-old male patient
with a 2 month history of oral erosions and dysphagia developed severe
conjunctivitis with periodical presence of purulent discharge, photophobia and
burning sensations. The diagnosis of pemphigus vulgaris was confirmed by
histopathology, direct immunofluorescence and detection of anti-desmogelin 3
antibodies in patients' serum. Treatment was introduced with prednisone at a dose
of 80 mg per day (1 mg/kg) and cyclophosphamide at a dose of 100 mg daily (1.25
mg/kg). After 7 days of therapy a significant reduction of eye symptoms was
observed and after 4 weeks of treatment full clinical remission was achieved.
CONCLUSIONS: The grounds for rare involvement of conjunctiva in pemphigus
vulgaris is unclear. We hypothesize that inactivation of conjunctival desmoglein
3 may be compensated by other desmosomal proteins. Severe conjunctivitis may be
the dominating clinical manifestation in pemphigus vulgaris. This implies a need
of establishing distinct severity criteria and therapeutic standards for ocular
pemphigus. In our patient rapid clinical response was achieved after introducing
combined treatment with prednisone and oral cyclophosphamide.
PMID- 21886702
TI - Rituximab therapy of recalcitrant bullous dermatoses.
AB - BACKGROUND: Rituximab is a monoclonal antibody directed against CD20 cell surface
antigen of B-lymphocytes. Recent studies have demonstrated effectivity in
recalcitrant bullous pemphigoid. The data available on other types of autoimmune
bullous disease is more scant. MAIN OBSERVATION: Here we report on the successful
adjuvant use of rituximab in mucous membrane pemphigoid and pemphigus vulgaris in
two patients with the most refractory course of disease. Both patients achieved a
good clinical response. CONCLUSIONS: Rituximab is a third line treatment of
patients with pemphigus vulgaris and mucous membrane pemphigoid. In contrast to
bullous pemphigoid, other bullous diseases do not always respond to a monotherapy
with this monoclonal antibody. Nevertheless, biological therapy seems to work
faster than established treatment in such cases. Risks and benefits of the
treatment are discussed.
PMID- 21886703
TI - Palmoplantar lichen planus: A rare presentation of a common disease.
AB - BACKGROUND: Lichen planus (LP) is a chronic inflammatory skin disease commonly
seen by dermatologists. MAIN OBSERVATION: The current case describes palmoplantar
lichen planus, a rare variant of this disease that can easily be misdiagnosed.
This case describes a patient that presented with multiple papules on his hands
and plantar feet that had previously been diagnosed as tinea manum and psoriasis.
A biopsy from the patient, however, was diagnostic of lichen planus. The patient
was subsequently treated successfully with topical clobetasol propionate 0.05%
ointment applied twice daily. CONCLUSIONS: Palmoplantar lichen planus is a rare
disease that can be easily misdiagnosed. This case report emphasizes the role of
the biopsy when presented with such patients.
PMID- 21886704
TI - Giant pilomatricoma (pilomatrixoma) following an intramuscular injection.
AB - We describe a young Indian male patient who developed a large solitary tumor
following an intramuscular injection at the same location. The tumor was
histologically proven to be a pilomatricoma. It was treated by surgical excision
and there was no recurrence until one year after the operation. An alarming
phenomenon following intramuscular injection is presented here for its novelty.
PMID- 21886706
TI - Pilomatrixoma of the eyelid.
AB - BACKGROUND: Pilomatrixoma is a benign tumor of the hair follicle that can
transform into a malignant lesion, the pilomatrix carcinoma. We present an
unusual case of an eyelid pilomatrixoma. MAIN OBSERVATION: A 37-year-old white
male presented with rapidly growing, pedunculated lesion located at the superior
right eyelid of five months duration. The lesion was excised under local
anaesthesia. The sample was fixed in 10% formalin and histopathological as well
as immunohistochemical analyses were performed. Results of both examinations were
consistent with a benign pilomatrixoma. CONCLUSIONS: Even though the lesion had
malignant clinical appearance, histopathology confirmed the diagnosis of a benign
pilomatrixoma, supporting the decision not to make a more extensive surgery.
PMID- 21886705
TI - Trichoscopy in genetic hair shaft abnormalities.
AB - BACKGROUND: Diagnosis of hair shaft abnormalities is based on light microscopic
examination of more than 50 plucked hairs. The aim of this study was to verify
whether hair shaft abnormalities may be visualized by trichoscopy (hair and scalp
videodermoscopy) and to analyze trichoscopic features of common genetic hair
shaft dysplasias. METHOD: Patients with known genetic hair shaft disorders were
included into the study. Trichoscopy was performed with the use of Fotofinder II
videodermoscope. Images performed at 20-fold and 70-fold magnification were
analysed. In selected cases 160-fold magnification was used for better
visualization of hair shafts. RESULTS: Our results show that characteristic light
microscopy features of Netherton syndrome, monilethrix, woolly hair syndrome,
pili torti, pili annulati and trichothiodystrophy may be visualized by
trichoscopy. CONCLUSION: Genetic hair shaft abnormalities may be diagnosed by
trichoscopy in a single diagnostic session without the need of plucking or
cutting them for diagnostic purposes.
PMID- 21886707
TI - Multiple glomus tumors.
AB - BACKGROUND: Solitary and multiple glomus tumors are vascular tumors arising from
glomus cells. These two forms have distinct clinical and histopathological
features, suggesting that they might have a different pathogenesis. The multiple
form is less frequent than the solitary form. Its diagnosis and treatment are
more problematic and often delayed. MAIN OBSERVATION: We present the case of a 40
year-old patient, with a 20-year history of numerous non-tender disseminated blue
papules. At the age of 30 years one of the lesions was excised and than regrew
and became painful. The histological exam of the lesions was consistent with
glomangioma, also known as glomus tumor. We performed surgical resection of the
nodular and painful lesions. CONCLUSION: The diagnosis of glomus tumor is easily
suspected when the lesion is painful located in the subungual region. However, if
the lesions are multiple and extradigitally located, the clinical diagnosis may
be difficult and requires having in mind this differential diagnosis. Our case
also shows that glomus tumors may regrow after excision in the same location.
PMID- 21886708
TI - Isolated plexiform schwannoma of the hand.
AB - BACKGROUND: The plexiform schwannoma, a peripheral nerve sheath tumor, is a very
rare entity. But dermatologists should be familiar with since they may be the
first who make diagnosis possible by taking a deep biopsy. MAIN OBSERVATION: A 24
year-old male presented with multiple asymptomatic subcutaneous nodules of the
palmar side of his right hand. Histologic investigations revealed a plexiform
schwannoma with numerous Antoni-A areas. There was no evidence of
neurofibromatosis type 1 or 2. CONCLUSIONS: Plexiform schwannoma of the hand is a
rare nerve sheath tumor. In individual (symptomatic) cases hand surgery is an
option that needs a critical indication. In every case histologic investigations
are mandatory to confirm the diagnosis and not to overlook the malignant variant
of this disease.
PMID- 21886709
TI - Primary cutaneous CD30+ anaplastic large cell lymphoma: report of a rare case.
AB - Primary cutaneous anaplastic large cell lymphoma (PCALCL) is a rare type of non
Hodgkin's lymphoma comprising approximately 0.9-9.0% of all cutaneous lymphomas.
PCALCL is characterized by the absence of systemic involvement, spontaneous
regression and low recurrence rate especially in localized lesions.We present a
47-year-old female with a 11/2-year history of two asymptomatic erythematous
indurated plaques on the right arm. Skin punch biopsy revealed dense infiltrates
of non-epidermotropic, large, irregularly-shaped lymphocytes with hyperchromatic
and pyknotic nuclei. Immunohistochemistry revealed that these atypical cells are
anaplastic lymphoma kinase (ALK) positive, CD30+, CD3-, CD20- and epithelial
membrane antigen (EMA) negative. Clinical, histopathological and
immunohistochemical findings are consistent with PCALCL. Work-ups revealed no
systemic involvement. Short course CHOP (Cyclophosphamide, Doxorubicin,
Vincristine, and Prednisone) chemotherapy resulted in total resolution of skin
lesions; however, recurrence was noted 12 months after treatment. She then
underwent radiotherapy and achieved complete remission.Because the clinical
presentation of PCALCL can be variable, a high index of suspicion is necessary in
patients presenting with chronic plaques and nodules unresponsive to topical or
oral medications.
PMID- 21886710
TI - Bilateral extensive linear nodules on upper extremities in a child - a rare
presentation of neurofibromatosis type 1 (Recklinghausen disease).
AB - BACKGROUND: Type 1 neurofibromatosis is a common neurocutaneous disease
characterised by cafe-au-lait macules, neurofibromas, plexiform neurofibromas,
axillary freckling, optic glioma, and Lisch nodules. MAIN OBSERVATIONS: We report
a 10-year-old boy with a rare presentation of type 1 neurofibromatosis,
presenting with bilateral, multiple linear asymptomatic nodules extending from
the axillae to the wrists, bilateral thickening of ulnar and supraorbital nerves,
and 16 cafe cafe-au-lait macules over 0.5 cm in diameter on trunk and
extremities, and bilateral axillary freckles. We diagnosed neurofibromatosis 1
clinically on the basis of NIH diagnostic criteria. CONCLUSION: As the clinical
criteria were fulfilled, we believe that excisional biopsy as an invasive
procedure was not clinically indicated for a 10-year-old child in this scenario.
The patient has to be followed-up for possible malignant transformation of
tumors.
PMID- 21886711
TI - An unusual case of DRESS syndrome.
AB - BACKGROUND: DRESS syndrome (drug reaction with eosinophilia and systemic
symptoms) is a rare but severe drug reaction. OBSERVATIONS: A 27-year-old male
with paranoid schizophrenia was hospitalized with all three diagnostic criteria
of DRESS syndrome: cutaneous drug eruption, hematological abnormalities (presence
of atypical lymphocytes on blood smear) and systemic involvement (generalized
lymphadenopathy and hepatitis). On hospitalization the patient exhibited an
unusual fever pattern of high temperatures in the morning hours and lower
temperatures towards evening. In this case of a patient who needs life-long
therapy, we demonstrated the value of the IFN-gamma release test, which showed
positive reactivity to 3 out of 9 suspicious drugs: paracetamol, phenytoin and
dypirone, allowing for more therapeutic options. After therapy, at 6-month follow
up the patient is doing well under haloperidol treatment, laboratory values
including liver function tests are normal and his skin condition is good.
CONCLUSION: We suggest that clinicians take the fever pattern of high
temperatures in the morning hours and lower temperatures towards evening into
account in a patient presenting with a severe cutaneous drug eruption. An
interferone-gamma release test may facilitate identification of drugs responsible
for the drug reaction.
PMID- 21886712
TI - Dermoscopy of pigmented oral lesions.
AB - Dermoscopy is a noninvasive diagnostic technique that permits the visualization
of morphologic features that are not visible to the naked eye. It is currently
widely used for examination of pigmented skin lesions and early detection of
cutaneous melanoma. Dermoscopy of mucous membranes is significantly less
investigated and less popular among clinicians.This article reviews current
knowledge about characteristic dermoscopy features of pigmented lesions of the
oral mucous membranes and lips. It has to emphasized that a major advantage of
dermoscopy is the capability to exclude melanoma and avoid unnecessary excisional
biopsies and extensive surgery, often resulting in significant disfigurement of
face and oral cavity.It has to be pointed out that there is a necessity to
intensify research, which would result in clear-cut dermoscopy criteria for
mucosal melanoma and the need for constructing thin, flexible dermoscopes
suitable for investigation of oral mucous membranes.
PMID- 21886713
TI - Fixed drug eruption followed by lichen aureus during abatacept add-on therapy of
rheumatoid arthritis.
AB - BACKGROUND: Abatacept is a soluble fusion protein approved as add-on drug in
rheumatoid arthritis. MAIN OBSERVATIONS: Here we report the first case of fixed
drug eruption followed by lichen aureus due to abatacept in a 67-year-old woman.
CONCLUSION: Fixed drug eruption is an unexpected drug reaction for abatacept,
since T-cell activation is inhibited.
PMID- 21886714
TI - Dermoscopy findings of alopecia areata in an African-American patient.
AB - BACKGROUND: Dermoscopy has been established as an indispensable tool in the
diagnosis and follow up of hair disorders. In alopecia areata, dermoscopy of
active disease shows yellow dots, dystrophic hairs, as well as cadaverized (black
dots) and exclamation mark hairs. Alopecia areata has been reported to occur
equally among races, however, until date, there are no published data regarding
dermoscopic findings in African-American patient. MAIN OBSERVATION: We report a
case of scalp dermoscopy of alopecia areata in an African-American patient that
shows a diffuse honeycomb-like pigmented network, few yellow dots and white dots.
CONCLUSION: This case shows that skin color may affect dermoscopic findings in
alopecia areata. In our African-American patient with alopecia areata dermoscopy
showed a diffuse honeycomb-like pigmented network, which was previously
considered characteristic for androgenic alopecia and white dots, which were
considered characteristic for cicatricial alopecia. Further studies are needed to
elucidate the presence of white dots in alopecia areata.
PMID- 21886715
TI - In vivo reflectance confocal microscopy: usefulness for diagnosing hair diseases.
AB - BACKGROUND: Reflectance confocal laser scanning microscopy (R-CSLM) is a new
diagnostic technique which allows visualization of "optical intersections" within
the epidermis and superficial layers of the dermis. Outlines of cells and their
architecture are imaged and may be analyzed both horizontally and vertically to
the skin surface. The method proved useful in early melanoma detection. We
evaluated the potential usefulness of this method in a short series of patients
with hair diseases. MAIN OBSERVATIONS: Two healthy persons and 6 patients with
hair diseases (1 with alopecia areata, 1 with androgenic alopecia and 4 with
genetic hair shaft abnormalities) were examined with the use of Vivascope 1500.
In all patients one scalp location and one location in the mid forearm were
evaluated. R-CSLM examination gave in all cases high quality images of the hair
shaft intersections, at 1um intervals, which allowed detailed analysis of the
hair structure. Hair follicles could be partly visualized at a depth of up to
200um, which allowed analysis of only superficial parts of the hair follicles. An
additional hurdle was bright reflection within the follicular ostia, which
decreased the perception of details in these images. Hair could be best
visualized, when analyzed on flat surfaces. Receiving good quality images from
convex surfaces on the scalp required additional effort from the patient (to not
move) and from the physician (to obtain best possible fit of the "optic window"
to the scalp). CONCLUSIONS: These preliminary data show that R-CSLM may develop
into a valuable tool in evaluation of hair shaft diseases. Further development is
needed to apply this technique in abnormalities of the hair follicle and the
perifollicular area.
PMID- 21886716
TI - Buschke-Lowenstein tumor.
AB - BACKGROUND: Verrucous carcinoma of the skin and mucosa is an uncommon type of
well-differentiated squamous cell carcinoma. When it is present in the genitoanal
region the term used is Buschke-Lowenstein tumor. The human papillomavirus seems
to be implicated in its aetiology. Treatment is controversial. Topical
chemotherapy, radiotherapy, immunotherapy and radical surgery have been employed.
MAIN OBSERVATIONS: We report a rapidly progressing penile verrucous carcinoma
which was treated sucessfuly with conservative surgery and CO2 laser. CONCLUSION:
Treatment with CO2 laser in combination with conservative surgery may be a
therapeutic option in Buschke-Lowenstein tumor.
PMID- 21886717
TI - Efficacy of ribavirin in a case of long lasting and disabling Gianotti-Crosti
syndrome.
AB - BACKGROUND: Gianotti-Crosti syndrome, also known as papular acrodermatitis of
childhood, is an acrally distributed papular eruption occurring mostly in infants
and young children. MAIN OBSERVATIONS: A six-year-old girl presented to us with
four-month history of a generalized intensely pruritic rash, clinically
consistent with Gianotti-Crosti syndrome, following a febrile illness with common
cold symptoms. Clinical remission was not achieved despite of several
medications. With reluctance and parent's informed consent, we commenced a course
of oral ribavirin syrup at a dose of 300mg daily for five days. Dramatic
symptomatic remission was noted five days later. CONCLUSION: Further studies are
needed to confirm the efficacy of ribavirin in Gianotti-Crosti syndrome.
PMID- 21886718
TI - Erythromelalgia accompanying rosuvastatin-associated myopathy.
AB - BACKGROUND: Secondary erythromelalgia can occur due to various underlying medical
disorders or drug toxicity. MAIN OBSERVATIONS: A 75-year old male developed acute
secondary erythromelalgia following the onset of rosuvastatin use and associated
myopathy. The illness was reversible after discontinuation of the pharmacological
agent. CONCLUSION: Secondary erythromelalgia may occur after rosuvastatin use,
but this and other dermatological toxicities are rare.
PMID- 21886720
TI - Chronic venous ulceration with associated angiosarcoma.
AB - BACKGROUND: Angiosarcoma is a malignant tumor of the blood vessels' endothelial
lining. The tumor is very aggressive and tends to recur locally and metastasize
to distant organs. Commonly, it is associated with different risk factors like
lymphoedema, prior radiotherapy but rarely, it may also be associated with
chronic venous ulceration. MAIN OBSERVATION: We present a patient with a chronic
venous ulceration who developed angiosarcoma of the ulcerated leg with good
outcome after treatment. CONCLUSION: It is very important to follow patients with
chronic wounds and recognize changes which may be life threatening. In addition
to squamous cell carcinoma (Marjolin's tumor), which is most common, angiosarcoma
can develop within a venous ulcer.
PMID- 21886719
TI - Malignant histiocytosis of the skin: a case report and review of the literature.
AB - BACKGROUND: Malignant histiocytosis is a rare neoplasm composed of abnormal
histiocytes typically affecting the liver, spleen, lymph nodes, and bone marrow.
This entity has been rarely documented involving the skin and has never been
reported confined to the skin. MAIN OBSERVATIONS: A 74-year-old white man
presented to the dermatology clinic with complaints of a non-healing ulcerated
lesion on his cheek of several months duration. Histopathological examination
revealed a poorly circumscribed neoplasm consisting of pleomorphic epithelioid
cells with abundant foamy cytoplasm. Immunohistochemistry was positive for CD-43,
CD-68, and lysozyme, but negative for CD-3, CD-20, CD-30, CD-34, SMA, CD-1a or S
100. The prominent CD-68 and lysozyme staining along with the histological
features, the clinical presentation of erythematous nodules with diffuse
erythematous plaques, and absence of bone marrow findings, led to the diagnosis
of malignant histiocytosis confined to the skin. CONCLUSION: Malignant
histiocytosis involving the skin is rare. The presence of large pleomorphic
epithelioid cells with foamy cytoplasm, with or without engulfed erythrocytes
should alert the dermatopathologist to the possibility of malignant
histiocytosis. Appropriate immunohistochemical evaluation, including CD-43, CD
68, CD-1a, S-100, and lysozyme, should be completed to confirm the diagnosis.
PMID- 21886721
TI - Herpes zoster - associated erythema multiforme.
AB - BACKGROUND: Erythema multiforme is a cutaneous reaction that has only rarely been
described in varicella zoster virus infection. MAIN OBSERVATIONS: We describe a
76-year old immunocompetent male patient with thoracic herpes zoster. While
treated with oral brivudin he developed a widespread cutaneous erythema
multiforme. The lesions completely cleared with two weeks with systemic
corticosteroids. CONCLUSION: Varicella zoster infections are possible triggers of
erythema multiforme and this is the oldest patient reported with such an
association. Brivudin itself has not been reported to induce erythema multiforme
and is an unlikely cause of disease in our patient.
PMID- 21886722
TI - Trichoscopy (hair and scalp videodermoscopy) in the healthy female. Method
standardization and norms for measurable parameters.
AB - BACKGROUND: Trichoscopy is a newly developed method of hair image analysis, based
on videodermoscopy of hair and scalp. OBJECTIVE: The aim of the study was to
establish normal values and set the standard for trichoscopy in female
population. PATIENTS AND METHODS: A total of 60 healthy females with no symptoms
of hair or scalp diseases in anamnesis, upon clinical examination and in classic
hair diagnostic techniques were included into the study. Mean age of these
females was 36.5 (19-64) years. Trichoscopy was performed with the use of
Fotofinder II. In all patients trichoscopy was performed in four locations
(frontal area, occipital area, left and right temporal area). Hair and
perifollicular area were evaluated. Measurements were performed with the
application of the MoleAnalyzer software. RESULTS: Mean hair thickness was
0.061mm+/-0.008mm in frontal area vs. 0.057mm+/-0.007mm in occiput (p<0,001) and
vs. 0.058mm+/-0.008mm in left temporal area and 0.059mm+/-0.008mm in right
temporal area (p>0.005). The percentage of thin hairs (below 0.03mm) was 5%+/-4.3
in frontal area vs. 5.5%+/-4.8 in occiput vs. 6.4%+/-5.7 in right temporal area.
The highest proportion of single-hair pilosebaceous units was observed in the
temporal areas (29.1+/-16.2 vs. 23.2+/-13.5 in frontal and 18.4+/-12.1 in
occipital areas; p<0.005). Based on study results, the norms for parameters
measured in trichoscopy were assessed: mean hair thickness bigger than 0,053mm in
frontal area and bigger than 0,050mm in others; percentage of thin hairs should
be less than 10% in frontal and occipital area and less than 13% in temporal
areas. The percentage of pilosebaceous units with single hair should be less than
35% in frontal area, 30% in occiput and 40% in temporal areas. Yellow dots were
seen sporadically and they shouldn't be in a higher number than 3 in 4 fields of
vision with 70-fold magnification in frontal area and only 1 in others.
Perifollicular discoloration should be lower than 25% for frontal area, lower
than 15% in occiput and 20% for temporal areas. CONCLUSION: A standard procedure
to perform trichoscopy (hair and scalp videodermoscopy) for diagnostic purposes
was developed. Norms of measurable parameters were established for the population
of adult white females.
PMID- 21886723
TI - Development of pyoderma gangrenosum during therapy with infliximab.
AB - BACKGROUND: Pyoderma gangrenosum is a rare inflammatory disease of unknown
etiology and a poorly understood pathogenesis. Its clinical presentation is
variable and a large percentage of cases are associated with inflammatory bowel
diseases. Peristomal pyoderma gangrenosum represents a variant of the diseases,
occurring in patients with colostomy. Multiple cases demonstrate efficacy of
infliximab and other anti-TNF-alpha drugs in treatment of pyoderma gangrenosum.
MAIN OBSERVATIONS: A 47-year-old male with ulcerative colitis and proctocolectomy
with ileal pouch reconstruction protected by ileostomy in the course of diseases
received infliximab therapy together with azathioprine for his inflammatory bowel
diseases. Six months after initiation of infliximab therapy the patient developed
multiple pyoderma gangrenosum lesions on the trunk, abdomen, genitalia, gluteus,
extremities, left preauricular region and peristomal area. After systemic
corticosteroid therapy, combined with topical tacrolimus, the lesions slowly
improved. Seven months later, during ongoing infliximab therapy, the patient
developed a sepsis with fatal outcome. CONCLUSION: Constant trauma generated by
colostomy may be a contributing factor to the development and persistence of
pyoderma gangrenosum. It may be hypothesized that this patient developed pyoderma
gangrenosum despite infliximab or that pyoderma gangrenosum may represent a rare
adverse effect of the drug.
PMID- 21886724
TI - Painful, slow developing abscesses. Furuncular miyasis due to double skin
infestation by Dermatobia hominis.
AB - BACKGROUND: Myiasis is defined as invasion of tissues by Diptera flies. The
condition is endemic in the forested areas of Mexico, Central and South America.
MAIN OBSERVATIONS: A 61-year-old woman presented with two boil-like inflammatory
and painful lesions on her back. She had been travelling in Central America.
Biopsies revealed a myiasis with mature third instar larvae of Dermatobia
hominis, a diptera fly endemic in this region. Complete surgical excision and
systemic antibiosis led to a delayed but complete healing. CONCLUSION: We
presented a patient with a double infestation by Dermatobia hominis.
Dermatologists should be aware of this disease, which has become increasingly
common in travellers and is seen now also in unusual regions, other than Central
and South America.
PMID- 21886725
TI - Cutaneous cholesterol embolization.
AB - BACKGROUND: Cutaneous cholesterol embolization syndrome occurs as a result of
cholesterol embolization from atherosclerotic plaques lining the walls of
arteries and arterioles. It can occur sporadically but is more commonly
associated with iatrogenic manipulation via invasive vascular procedures or
therapies (anticoagulation or thrombolytics) The three most common organ systems
afflicted by cholesterol emboli include the kidneys, gastrointestinal system, and
skin. MAIN OBSERVATIONS: We present two patients with cutaneous cholesterol
embolization A 74-year-old with no prior disease or trauma was referred to the
hospital with the acute chest pain. After 24-48 he developed livedo reticularis,
followed by necrotic areas like bilaterally on hips and in the lumbar region. The
second patient, 69-year-old man with a history of hyperglycemia, hyperlipidaemia,
and hypertension was referred with weight loss, bilateral livedo reticularis,
severe pain of lower extremities and impaired renal function. The toes first
became cyanotic and than skin necrosis developed. Skin biopsy revealed presence
of cholesterol clefts in the lumina of small arteries and arterioles. In both
patients the necrotic skin and subcutaneous tissue had been debrided. Daily
dressing was applied and tissue defects underwent secondary healing. CONCLUSION:
The diagnosis of cholesterol embolization relies on clinical and histologic
examination. Both, diagnosis and treatment needs a multidisciplinary approach,
especially in cases of multi-organ involvement.
PMID- 21886726
TI - Mycosis fungoides and CD30+ cutaneous T-cell lymphoma simulating pyoderma
gangrenosum in a patient with ulcerative colitis.
AB - BACKGROUND: Pyoderma gangrenosum is estimated to occur in 5% to 12% of ulcerative
colitis patients. Primary CD30+ cutaneous large cell lymphoma is the second most
common cutaneous lymphoma. It may coexists with mycosis fungoides. MAIN
OBSERVATIONS: We report a 38-years-old female patient with 12 year history of
ulcerative colitis, treated previously with 5-aminosalicylic acid and systemic
corticosteroids. The disease has been in remission for the past 1.5 years, with
no maintenance treatment. The patient then developed a rapidly progressing
ulcerated lesion clinically simulating pyoderma gangrenosum. Physical examination
revealed also a small number of hairless hypopigmented patches on the upper and
lower limbs which she claimed to have appeared 4 years ago. Surprisingly a
histological evaluation of the ulcer revealed a CD30+ primary cutaneous large
cell lymphoma, while histology of hypopigmented lesions revealed mycosis
fungoides, patch stage. T-cell receptor gene rearrangement from the two lesions
didn't reveal the same T Cell clonalitiy and the patients lymphoma was stable.
CONCLUSION: Our case presents the rare coexistence of primary mycosis fungoides
and primary CD30+ cutaneous large cell lymphoma, rather than the CD30+ cutaneous
large cell lymphoma developing from mycosis fungoides. This case also presents
the development of a pyoderma gangrenosum-like lesion of CD30+ cutaneous large
cell lymphoma in a patient with ulcerative colitis. An observation that
emphasizes the need for a high index of suspicion in cases diagnosed as pyoderma
gangrenosum based solely on clinical appearance.
PMID- 21886727
TI - Neonatal Norwegian scabies: three cooperating causes.
AB - BACKGROUND: Norwegian or crusted scabies is seldom reported in infancy, usually
in immune deficient patients. MAIN OBSERVATIONS: We report a case of an infant
affected by atopic dermatitis since birth. The patient was ineffectively treated
with topical and systemic steroids for several weeks for the insurgence of
cutaneous xerosis and erithema. Clinical inspection and optical microscopic
examination of skin scraped scales leaded to the diagnosis of crusted scabies.
The physiological inability to scrapping reaction, the immunological profile
deriving from atopy and finally iatrogenic immunodepression cooperating to
conduce to crusted scabies. CONCLUSION: In our case iatrogenic immunosuppression,
atopic dermatitis and the absence of skin scraping reaction because of the very
young age might have contributed to the unusual presentation of scabies. This
case suggests considering possible alternative diagnosis of scabies in the
failure of the treatment for atopic eczema.
PMID- 21886728
TI - Dermoscopy of eccrine poroma with calcification.
AB - BACKGROUND: Eccrine poromas are relatively common slow-growing benign solitary
adnexal tumors originating from the intraepidermal portion of the eccrine sweat
duct (acrosyringium). Dystrophic calcification is rarely found in lesions of
eccrine poroma, and only 2 cases of eccrine poroma with calcification have been
reported thus far. In the present report, we describe another case of eccrine
poroma with calcification occurring in the palm of the hand. Also, we show
dermoscopic features of this case. MAIN OBSERVATIONS: A 73-year-old man with
hemiparesis, who had a 10-year history of tumor on his right palm, which was
occasionally injured by a walking crutch, causing bleeding and ulceration.
Physical examination revealed a pigmented dome-shaped tumor. Dermoscopic analysis
revealed glomerular vessels, multiple pink-white structureless areas, and
lacunae. Histological examination revealed that the tumor was composed of cords
of tumor cells extending from the epidermis into the dermis. These were uniformly
cuboidal cells with round, basophilic nuclei and dense vascular stromas with
telangiectasia. The tumor showed cystic structures and calcification. The patient
was diagnosed with Pinkus-type eccrine poroma on the basis of histological
findings. CONCLUSIONS: Although cutaneous neoplasms commonly associated with
calcification are of follicular origin, it is known that dystrophic calcification
may be triggered also in tumors of eccrine origin by multiple factors, including
mechanical injury. Dermoscopy may be helpful in establishing clinical diagnosis
of calcified eccrine poromas.
PMID- 21886729
TI - Acquired acrodermatitis enteropathica due to zinc deficiency in a patient with
pre-existing Darier's disease.
AB - BACKGROUND: Acrodermatitis enteropathica is characterised by inflamed patches of
dry red skin which then become crusted and blistered before revealing a pustulent
eroded lesion. Typically these patches start near the body's orifices before
migrating to other sites, however in this patient the presentation was atypical
thus delaying the diagnosis. OBSERVATIONS: We report a case of an atypical
presentation of acrodermatitis enteropathica (AE) due to acquired zinc deficiency
in a 65 year old female patient with a previous diagnosis of histologically
confirmed Darier's disease. This patient's rash began on the limbs and trunk
before progressing to include the perineum, oral mucosa and nose. Diffuse loss of
hair on scalp, eyebrows and eyelashes was observed. Acrodermatitis enteropathica
typically presents in infants, either due to an autosomal recessive genetic
disorder, or after the cessation of breast feeding. In adults acquired zinc
deficiency can be caused by glucagonoma syndrome, poor nutritional state,
intestinal malabsorption, nephrotic syndrome and after major trauma (i.e. burns
or significant surgery). In our patient low zinc levels confirmed hypozincaemia
and the diagnosis of acrodermatitis enteropathica. The patient started oral zinc
replacement. Within a few days her symptoms began to improve, and her hair has
started to grow. CONCLUSION: We believe this to be an unusual presentation of
acrodermatitis enteropathica due to a probable dietary zinc deficiency in a lady
with pre-existing Darier's disease which may possibly have influenced the
uncharacteristic clinical presentation.
PMID- 21886730
TI - Erythema multiforme-like lesions in the course of infectious mononucleosis.
AB - BACKGROUND: The rash in infectious mononucleosis is usually diffusely macular.
MAIN OBSERVATIONS: A 15-year-old boy presented to us with high grade fever, sore
throat, malaise, body aches, and polyarthralgia. He developed annular,
erythematous, and non-scaly eruptions on chest and right arm. Blanching erythema
was noted on his trunk. He had bilateral tender cervical lymph nodes, severe
pharyngeal congestion, petechiae on soft palate, uvular edema, infraorbital
edema, and marginal tender hepatomegaly. Investigations revealed lymphocytosis
and activated atypical lymphocytes in the peripheral smear, and positive monospot
test. The boy subsequently recovered in one week with total disappearance of his
rash. Epstein-Barr virus-related infectious mononucleosis was considered the most
likely diagnosis for our patient. CONCLUSIONS: To our knowledge, this atypical
case is the third reported case of annular lesions in infectious mononucleosis.
Dermatologists and other clinicians should be alerted to this special
presentation of primary EBV infection.
PMID- 21886732
TI - Psoriasis of the lips.
AB - BACKGROUND: Psoriasis is a frequently occurring disorder with a chronic and
relapsing course. Common sites of involvement are the extremities, trunk, scalp
and nails. The disease may occasionally involve genitalia and the anus. Although
cutaneous lesions are generally sufficiently distinct to make a diagnosis,
diagnostic difficulties can be found in cases of unusual involvement. MAIN
OBSERVATIONS: We report a 38-year-old man who presented scaling and cracking of
the lips without intraoral involvement as a first manifestation of psoriasis.
Three years later he developed erythematous plaques with desquamation on fingers,
characteristic of psoriasis. CONCLUSION: Psoriasis should be considered in the
differential diagnosis of chronic or recurrent labial lesions.
PMID- 21886731
TI - Chronic desquamative gingivitis and oral health-related quality of life.
AB - BACKGROUND: Chronic desquamative gingivitis is a clinical description of the
gingiva which is often a presenting manifestation of dermatoses or mucocutaneous
disorders. The patients often experience oral discomfort and pain, which can
significantly compromise their life qualities. MAIN OBSERVATIONS: Here we present
a case of chronic desquamative gingivitis in a 77-year-old woman with
periodontitis. After establishment of oral hygiene, initial periodontal therapy
including topical steroid application was performed. Subsequent periodontal
surgery resulted in further reduction of local inflammation. These interventions
lead to a significant improvement in gingival lesions as well as oral health
related quality of life. CONCLUSIONS: Chronic desquamative gingivitis is often a
presenting manifestation of dermatoses or mucocutaneous disorders. Correct and
early diagnosis is important. Management of inflammatory conditions including
periodontitis can contribute to the clinical resolution of chronic desquamative
gingivitis and improve life quality of the patient. A greater awareness of this
condition among dentists and dermatologists would be helpful in providing patient
centered care.
PMID- 21886733
TI - Papillon-lefevre syndrome.
AB - BACKGROUND: Papillon-Lefevre syndrome is a rare autosomal recessive disorder
caused by cathepsin C gene mutation leading to the deficiency of cathepsin C
enzymatic activity. The disease is characterized by palmoplantar hyperkeratosis,
loss of deciduous and permanent teeth and increased susceptibility to infections.
Onset of palmoplantar hyperkeratosis and periodontopathy is most commonly before
the age of 4 years. MAIN OBSERVATIONS: A 15 year old boy with a history of
frequent infections presented with hyperkeratosis of palms and soles, which
worsened during winter season. Examination of the oral cavity revealed missing
mandibular central incisors and left lateral incisors. Most remaining permanent
teeth were mobile. Fibrosis and scarring of gingival and labial mucosa restricted
opening of the mouth. CONCLUSION: Early diagnosis of Papillon-Lefevre syndrome
may help preserve the teeth. We present a case of a late diagnosis of this
syndrome.
PMID- 21886734
TI - Klippel-Trenaunay Syndrome: a case report with brief review of literature.
AB - BACKGROUND: Klippel-Tranuanay Syndrome is a rare sporadic disease characterized
by clinical triad of capillary malformation; soft tissue and bony hypertrophy;
and atypical varicosity. Although this syndrome was first described more than
hundred years ago, exact incidence has not been estimated yet. Several theories
have been postulated to describe its pathogenesis. Clinical presentation of this
syndrome is protean ranging from minimal asymptomatic disease to life threatening
bleeding and embolism. Management of this syndrome includes careful diagnosis,
prevention and treatment of complications. MAIN OBSERVATION: We are presenting a
case of Klippel-Tranuanay Syndrome in a 19-year-old male patient presenting with
varicosity in lateral aspect of right leg. On careful examination other
components of the syndrome were found. Patient was kept on yearly follow up.
CONCLUSION: Usually patients with Klippel-Tranuanay Syndrome present with
complication. This case shows that there is also a need for medical solutions in
patients who seek advise for cosmetic reasons.
PMID- 21886735
TI - A case of psoriasis worsened by atorvastatin.
AB - Statins are known to have a number of cutaneous adverse effects including the
induction of autoimmune diseases like systemic and subacute lupus erythematosus,
dermatomyositis, polymyositis, lichen planus pemphigoides and the drug reaction
with eosinophilia and systemic symptoms (DRESS). Statins have been also reported
as a triggering factor of psoriasis. We report a case of psoriasis vulgaris that
worsened three months after atorvastatin was introduced and improved after its
discontinuance.
PMID- 21886736
TI - A case of atrophoderma vermiculatum responding to systemic isotretinoin.
AB - BACKGROUND: Atrophoderma vermiculatum is a rare, benign follicular disorder that
primarily affects children. It is characterized by symmetric reticular or
honeycomb atrophy of the cheeks that may extend to the ears and forehead. The
defect is believed to be due to abnormal keratinization in the pilosebaceous
follicle. Management of atrophoderma vermiculatum is challenging. MAIN
OBSERVATIONS: We report the case of a 10-year-old boy, diagnosed as atrophoderma
vermiculatum. The boy underwent two 6-month treatment courses with isotretinoin
at a dose of 0.50mg/kg/day, which resulted in partial remission of the lesions.
Even though the disease did not completely regressed, isotretinoin stopped
atrophy progression and improved cosmetic appearance of the skin. CONCLUSIONS: In
this case of a child with atrophoderma vermiculatum isotretinoin stopped atrophy
progression and improved cosmetic appearance of the skin. No adverse events were
observed. However, always, when applying isotretinoin in children, it has to be
considered that, apart from possible common adverse events, this treatment may
promote premature epiphyseal plate closure and may stop normal growth in some
children.
PMID- 21886737
TI - Unilateral aquagenic keratoderma treated with botulinum toxin A.
AB - BACKGROUND: Aquagenic keratoderma is a rare transient disease that occurs after
water immersion and disappears shortly after drying. Most cases involve the palms
and fingers bilaterally but it can also affect the soles. Few cases have been
associated with drugs but its pathogenesis remains unclear. MAIN OBSERVATION: We
report a 60-year-old man with a 30-year-history of aquagenic keratoderma of the
right palm without associated hyperhidrosis or history of drug intake. After
unsuccessful treatment with 15% aluminium chloride hexahydrate gel, botulinum
toxin A injections led to significant improvement within 2 weeks. CONCLUSIONS: To
our knowledge, this case is the first report of idiopathic unilateral aquagenic
keratoderma in the medical literature. It is the third report of successful
treatment with botulinum toxin A which is in favor of a role of sweat glands in
the pathogenesis of aquagenic keratoderma.
PMID- 21886738
TI - Sporadic familial ulnar hexadactyly of all four limbs.
AB - BACKGROUND: Polydactyly belongs to skeletal anomalies and may be a symptom of
more complex genetic syndromes. MAIN OBSERVATION: We observed an index case of
ulnar hexadactyly of all four limbs in a 20-year-old man from India with three
more brothers affected in his family. No additional anomalies were observed. The
diagnosis of a sporadic (ulnar) postaxial hexadactyly was made. CONCLUSION:
Sporadic postaxial hexadactyly is one of the most common polydactylies in humans.
In contrast, in the dermatologic literature the condition has only rarely been
described. CONCLUSION: Presence of supernummary digits or toes, i.e. polydactyly,
is seen occasionally in the dermatologic practise. The present case is
extraordinary since all limbs were involved and the same was true for three of
his brothers. If treatment is asked, hand surgery would be necessary.
PMID- 21886739
TI - Stevens-Johnson syndrome associated with cytomegalovirus infection in a child
with ependymoma.
AB - BACKGROUND: Stevens-Johnson syndrome is an uncommon, acute life-threatening
disease characterized by extensive epidermal sloughing and mucositis. In
childhood, as in adulthood, this condition is mostly related to drugs, in
particular antibiotics. Only a few cases reported were firmly attributed to
infectious agents, mainly Mycoplasma pneumonia but the causative role of
infectious microorganisms seems particularly relevant in pediatric patients. The
seriousness of this condition imposes a prompt recognition and the early
withdrawal of the potential causative drugs or the institution of directed
measures against infectious agents (depending on the suspected etiology), as well
as a supportive and more specific therapy. Some treatments claim to halt the
progression of skin detachment, but remain of unproven benefit due to the lack of
prospective, well controlled, randomized clinical trials. MAIN OBSERVATIONS: We
report a case of a 2-year-old boy admitted in our hospital for the treatment of
an ependymoma of the posterior fossa, who developed a Stevens-Johnson syndrome
associated most probably with a cytomegalovirus infection. He was successfully
treated with high dose intravenous immunoglobulin and gancyclovir. CONCLUSION: To
the best of our knowledge, this is the first case of SJS associated with CMV
infection.
PMID- 21886740
TI - Alopecia areata developing paralell to improvement of psoriasis during
ustekinumab therapy.
AB - BACKGROUND: Ustekinumab is a new immunosuppressive anti-psoriasis agent. The drug
targets the p40 subunit of IL-12 and IL-23 and indirectly inhibits cytokine
production by Th17 cells. MAIN OBSERVATIONS: We present a case of a 36-year-old
male patient with psoriasis, who received ustekinumab therapy, applied in 45mg
subcutaneous injections at week 0, 4 and than every 12 weeks. After 7 months of
therapy PASI decreased from 10,1 to 0,9. At this phase of therapy he developed
two patches of alopecia areata on the scalp. The diagnosis was made based on
clinical appearance and was confirmed by trichoscopy (hair and scalp dermoscopy)
and reflectance confocal microscopy. The development of alopecia areata was
preceded by emotional stress and dental infection. CONCLUSIONS: Ustekinumab seems
an unlikely cause of alopecia areata in this patient. However, lack of efficacy
is preventing hair loss may indicate that interleukin- 12 cytokine family is not
a key player in pathogenesis of alopecia areata.
PMID- 21886741
TI - A case of atypical pyoderma gangrenosum.
AB - BACKGROUND: Pyoderma gangrenosum is a rare inflammatory disease of unknown
etiology and unspecific histopathology. There are no clear-cut criteria for the
diagnosis of this disease. The diagnosis is usually made based on clinical
appereance, course of disease and possible, commonly coexisting disorders. In
atypical cases the diagnosis is based on exclusion of other causes of similar
appearing cutaneous ulcerations. MAIN OBSERVATIONS: The 67-year-old male patient,
presented with a 15-year history of painful ulcers and vegetative lesions covered
with sero-hemorrhagic and purulent secretions, localized on the dorsal surface of
both hands leading to self-amputation of distal phalanges. We report a step-by
step The patient refers to have these complaints for more than 15 years. An
extensive diagnostic procedure led to the diagnosis of pyoderma gangrenosum as a
diagnosis of exclusion. CONCLUSION: This report shows an atypical variant of an
ulcerative disease. Pyoderma gangrenosum, a diagnosis of exclusion, was sustained
based on an extensive diagnostic procedure. In this article we describe the step
by-step approach which let to this diagnosis.
PMID- 21886742
TI - A huge infiltrating squamous cell carcinoma of the neck 46 years after
radiotherapy of a haemangioma.
AB - BACKGROUND: There is a higher risk for malignant tumours in patients who
underwent any kind of radiotherapy. MAIN OBSERVATIONS: An 87-year-old patient
with an extended squamous cell carcinoma of the neck was referred to our
hospital. The large ulcerated tumour showed a deep infiltration of the para
vertebral muscles and the spinal processes C6-C7. The tumour was known since
about six years and appeared about 46 years after low-dose radiotherapy of a
cervical haemangioma of the neck in 1961. The large dimension of the tumour
leaded to a radical surgical removal and a sufficient fast reconstruction
strategy. The extended defect was covered by a pedicled lower musculocutaneous
trapezius flap. No postoperative complications like partial necrosis, infection
or movement disorders of the shoulder or a shoulder drop syndrome were seen. Ten
weeks after the operation the patient showed a good functional and aesthetic
result with no limitation of arm mobility. Two and a half years after radical
intended surgery the patient was still alive and showed no recurrence of the
tumour. CONCLUSIONS: In conclusion there is a need for a life-time lasting
dermatological supervision in patients with a history of radiotherapy in the
past, because the handling of patients with such an enlarged tumour is
complicated.
PMID- 21886743
TI - Multiple eruptive clear cell acanthoma.
AB - BACKGROUND: Clear cell acanthoma is a rare solitary benign epidermal tumor of
unknown etiology. The disease arises in the middle-age, with no sex predominance.
It appears as a single reddish papule or papule-nodule and a peripheral scaling
collarette is characteristic. Although solitary lesions are the rule, less than
30 cases of multiple Clear cell acanthoma have been described in the literature
to date. MAIN OBSERVATIONS: We report an unusual case of a healthy 74-year-old
male with multiple clear cell acanthoma on the lower extremities treated
successfully with cryotherapy. CONCLUSIONS: Despite significant progress in
treatment of clear cell acanthoma, cryotherapy, based on liquid nitrogen, remains
an important alternative in treating multiple clear cell acanthomas.
PMID- 21886744
TI - Cinnamon contact stomatitis.
AB - BACKGROUND: Cinnamon contact stomatitis (CCS) is a rare reaction to the use of
products containing artificial cinnamon flavor ingredients. Such products are
gums, toothpastes and mouthwashes. MAIN OBSERVATIONS: A 20-year-old female
patient presented with white elevated mucosal patches in the right lateral board
of her tongue. Based on anamnesis, the intitial diagnosis of allergy to cinnamon
gum was established. Clinical differential diagnosis included hairy leukoplakia,
leukoplakia and lichenoid reaction. The patient was advised to completely avoid
the use of cinnamon flavoured chewing gums. On re-examination later she had a
normal tongue appearance. CONCLUSIONS: Clinicians who treat patients with oral
conditions should be aware of CCS in order to be able to correctly diagnose and
manage this condition.
PMID- 21886745
TI - Parry-Romberg syndrome.
AB - BACKGROUND: Parry-Romberg syndrome (PRS) or idiopathic hemifacial atrophy is a
rare neurocutaneous syndrome. It is characterized by slowly progressive atrophy,
located on one side of the face, primarily involving the skin, fat and connective
tissue. PRS seems to overlap with "en coupe de sabre" morphea. MAIN OBSERVATIONS:
We present a case of hemifacial atrophy in a 14-year-old boy treated with topical
calcipotriol-betamethasone ointment. The diagnosis of PRS was established mainly
based on the clinical findings and histological picture. The time to diagnosis
was almost 9 years, similar to the mean time reported in the literature.
CONCLUSIONS: Understanding the pathogenesis and stopping disease progression is
important as it can cause severe disfigurement and has neurological and
psychiatric complications. Not much is known about the efficacy of agents used in
the treatment of this syndrome making treatment decision very difficult. Possible
complications, pathophysiology and therapeutic options are being discussed.
PMID- 21886746
TI - Childhood linear IgA bullous disease induced by trimethoprim-sulfamethoxazole.
AB - BACKGROUND: Linear IgA bullous disease (LABD) is a rare mucocutaneous autoimmune
subepidermal blistering disease that can affect children mostly of pre-school
age. As many as two-thirds of LABD are related to drug ingestion, particularly
certain antibiotics, non-steroidal anti-inflammatory drugs and diuretics. MAIN
OBSERVATION: We describe a 3-year-old boy who presented a CMV infection followed
by LABD induced by trimtheporim-sulfametoxazole. To our knowledge, this is the
first reported case of trimethoprim-sulfamethoxazole that was confirmed by a
rechallenge. CONCLUSIONS: Most cases of drug-induced LABD are patients being
treated with multiple systemic drugs that could induce the LABD. In the lack of
suitable alternative treatment, the identification of the causative drug can be
achieved by a rechallenge under close medical surveillance.
PMID- 21886747
TI - Atypical "allergic crease".
AB - BACKGROUND: The allergic crease (nasal crease) is a transverse line, common among
patients who suffer from allergic rhinitis. Although it is a very prevalent
condition, the skin manifestations which accompany this condition have been only
sparsely reported. MAIN OBSERVATION: We describe an 18-year-old man with allergic
rhinitis, who developed cornified papules along an allergic crease. Dermoscopic
examination revealed oval, slightly raised, gray, sharply demarcated papules.
Histopathology revealed seborrheic keratosis like hyperplasia, accompanied by
horn cysts. CONCLUSIONS: To our knowledge, cornified papules have not been
reported previously along the allergic crease. Considering the fact that allergic
rhinitis is a common chronic condition of childhood, increased awareness of the
skin manifestations which accompany this condition is essential.
PMID- 21886748
TI - Lichen sclerosus of the oral cavity. Case report and review of literature.
AB - BACKGROUND: Lichen sclerosus is a chronic muco-cutaneous inflammatory disorder of
uncertain etiology. The prevalence of lichen sclerosus affecting only the oral
mucosa is extremely rare and only 26 cases with histologically verified oral
lichen sclerosus have been reported. MAIN OBSERVATIONS: A 60-year-old man was
referred to our hospital for complaints of white lesions in the oral cavity,
which was otherwise asymptomatic and did not have any cutaneous or anogenital
lesions. Clinical examination revealed white patches with reddish areas on the
buccal mucosa bilaterally and on the maxillary posterior gingiva. Microscopic
analysis of the lesion showed atrophied epithelium with basal cell degeneration,
hyalinized connective tissue stroma with minimal chronic inflammatory cell
infiltrate. Verhoeff's staining revealed scantiness of elastic fibers in the
connective tissue stroma. On the basis of these histological findings, the final
diagnosis was given as Lichen Sclerosus. LS is rare in the oral cavity,
particularly in the absence of simultaneous cutaneous and anogenital lesions.
CONCLUSIONS: Only 7 cases of oral LS have been reported involving the gingiva. To
our knowledge, this is the eigth case to be reported with gingival involvement
and the first case to be reported with bilateral involvement of buccal mucosa and
gingiva.
PMID- 21886749
TI - Multiple eruptive periungual pyogenic granulomas during anti-CD20 monoclonal
antibody therapy for rheumatoid arthritis.
AB - BACKGROUND: New targeted therapies have been developed for inflammatory and
neoplastic diseases. MAIN OBSERVATION: We report on a 73-year-old woman who
developed multiple eruptive periungual and subungual pyogenic granulomas. Because
of severe rheumatoid arthritis the patient was treated with monoclonal anti-CD20
antibodies. Eruptive granuloma pyogenicum developed after the second antibody
application and remained more than 8 weeks after targeted therapy was over. New
lesions, however, did not appear. CONCLUSION: Eruptive granuloma pyogenicum of
the nail apparatus is a possible new rare adverse effect of targeted therapies.
To the best of our knowledge this is the first case in association with anti-CD20
antibody treatment.
PMID- 21886750
TI - Using an advanced radiation therapy technique for T4 squamous cell carcinoma of
the face.
AB - BACKGROUND: Patients with locally advanced skin cancer often present an uncommon
and unique treatment challenge. Surgical resection and reconstruction with an
acceptable cosmetic outcome is difficult for larger lesions with deep
infiltration into subcutaneous tissues. Radiation therapy has been shown to be an
effective treatment modality for advanced non-melanoma skin cancers, with cure
rates ranging 50-100%. In this case report, we discuss the efficacy and outcome
of treatment using an advanced radiation therapy technique to a large T4 squamous
cell carcinoma of the face. MAIN OBSERVATIONS: The patient responded favorably to
the advanced radiation treatment course, and achieved a clinical complete
response to therapy. No further intervention was required. Advanced radiation
therapy techniques offered treatment advantages that resulted in greater tumor
dose escalation and minimizing of patient morbidity. CONCLUSIONS: Patients with
advanced skin cancers of the head and neck should be considered for definitive
radiation therapy using advanced treatment techniques. The use of definitive RT
only for tumors deemed unresectable, or for inoperable patients at presentation
deserves reconsideration. Further investigation is warranted.
PMID- 21886751
TI - Schnitzler syndrome with cold-induced urticaria.
AB - BACKGROUND: Schnitzler syndrome encompasses monoclonal gammopathy, urticaria,
inflammation, recurrent fever, bone pain and arthralgia, with occasional
lymphadenopathy and/or hepatosplenomegaly. It is a rare condition with
approximately 100 cases reported in the literature. To our knowledge, this is the
first reported case of cold-induced physical urticaria with Schnitzler syndrome.
MAIN OBSERVATIONS: A 43-year-old woman presented to an allergy and immunology
clinic with a 7 year history of chronic urticaria, angioedema with anaphylaxis,
monoclonal gammopathy and bone pain. Her urticaria was triggered by cooler
temperatures and an ice cube test for cold induced urticaria was positive. In
spite of aggressive therapies this patient remains symptomatic. CONCLUSIONS:
Schnitzler syndrome is under-recognized, particularly variants of the classical
description of Schnitzler syndrome. Other diseases, especially those of
hematologic origin, should be ruled out. This condition is largely refractory to
conventional therapies, although novel treatments, such as interleukin-1 receptor
inhibitor, may show promise.
PMID- 21886752
TI - Unilateral pityriasis rosea in a child.
AB - BACKGROUND: Various atypical variants of pityriasis rosea were published in the
literature. There are very few reports abour pityriasis rosea being unilateral.
MAIN OBSERVATIONS: We present a female child who presented with acute onset of
scaly eruptions clinically consistent with a diagnosis of pityriasis rosea,
affecting only one side of the body involving waist, thigh, forearm and leg on
right side. CONCLUSIONS: It is extremely unusual for pityriasis rosea to present
the eruptions only on one side of the body. This case is presented here for its
rarity in clinical practice.
PMID- 21886753
TI - Geographic tongue treated with topical tacrolimus.
AB - BACKGROUND: Geographic tongue, or benign migratory glossitis, is usually an
asymptomatic inflammatory disorder of the tongue mucosa of unknown etiology. It
is characterized by circinate, erythematous, ulcer-like lesions of the dorsum and
lateral border of the tongue due to loss of filiform papillae of the tongue
epithelium. Symptomatic treatments have not been evaluated rigorously. MAIN
OBSERVATION: We describe herein two cases of adult patients with persistent and
painful geographic tongue successfully treated with topical application of 0.1%
tacrolimus ointment. CONCLUSION: To our knowledge, this is the first report of
successful treatment with topical 0.1% tacrolimus for symptomatic geographic
tongue. Clinical trials are needed to confirm the efficacy and the safety of
topical tacrolimus in treating geographic tongue.
PMID- 21886754
TI - Generalized molluscum contagiosum in an HIV patient treated with diphencyprone.
AB - BACKGROUND: Diphencyprone is a universal contact immunotherapy. The mechanism of
action is based on an induction of the delayed-type hypersensitivity.
Diphencyprone has been used in various forms for treatments of recalcitrant and
facial warts, and alopecia areata. However, this treatment modality has not been
generally used in immunocompromised patients. MAIN OBSERVATION: The present
report demonstrated the efficacy of diphencyprone immunotherapy on the treatment
of generalized molluscum contagiosum in a human immunodeficiency virus (HIV)
infected patient. Minimal and transient side effects including pruritus,
postinflammatory hyperpigmentation and irritation were noted. CONCLUSION:
Diphencyprone contact immunotherapy appears to be a possible alternative
treatment of widespread molluscum contagiosum in immunocompromised patients.
PMID- 21886755
TI - Cytological diagnosis of molluscum contagiosum with an unusual clinical
presentation at an unusual site.
AB - BACKGROUND: Molluscum contagiosum, is a common skin infection caused by a pox
virus usually present as raised nodule with umbilicated centre. Cytologic
diagnosis has been documented in very few case reports as the lesion is subjected
to fine needle aspiration rarely and awareness of the cytological features of
Molluscum contagiosum is limited. The cytological diagnosis is further
challenging in clinically unsuspected lesions. MAIN OBSERVATIONS: A 45-year-old
female patient presented with 10 months history of a nodular nipple lesion which
ulcerated after local application of caustic pencil. There was no associated
breast lump. Cheesy material was expressed from the ulcer on the nipple and crush
smeared on slides for cytological evaluation. Cytology smears revealed nucleate
and anucleate squames in an inflammatory background. Characteristic
intracytopalsmic and extracytopalsmic molluscum bodies were seen. CONCLUSION: The
nipple is an unusual site of presentation for Molluscum contagiosum. Ulcerated
lesions are rarer. In the present case, the cytological examination facilitated
the diagnosis in a clinically unsuspected case. Cytology can be a useful rapid
diagnostic aid in planning the management of these patients and help in avoiding
unnecessary biopsies.
PMID- 21886756
TI - Homozygous frame shift mutation in ECM1 gene in two siblings with lipoid
proteinosis.
AB - BACKGROUND: The extracellular matrix protein 1 (ECM1) is a glycoprotein,
expressed in skin and other tissues. Loss-of-function mutation in ECM1 causes a
rare autosomal recessive disorder called lipoid proteinosis. Lipoid proteinosis
is presented by varying degrees of skin scars, beaded papules along the eyelid
margins, variable signs of hoarseness of voice and respiratory disorders. More
than 250 cases of this disorder have been described in the literature, but
occurrence of lipoid proteinosis in siblings is very rare. This study was
designed to investigate the possible mutation causing lipoid proteinosis in a
Pakistani family and to elaborate the scope of possible genetic changes, causing
the genodermatosis in Pakistan. MAIN OBSERVATIONS: In this study, two siblings
(12 and 9-years sisters) were presented with scaly itchy lesions on whole body,
hoarse voice and macroglossia. Their deceased father had similar clinical
manifestations but mother and younger brother were unaffected. Blood samples from
clinically affected and unaffected family members were collected with informed
consent. The coding region of ECM1 gene containing 10 exons were amplified and
sequenced. Both the affected siblings were shown to have homozygous frame shift
mutation by deletion of the nucleotide T at 507, codon 169, exon 6. This resulted
in a frame shift from codon 169 and appearance of a premature stop codon at 177,
causing formation of a mutated protein (176 amino acids) instead of normal ECM1
protein (540 amino acids). CONCLUSION: A case of homozygous 62-bp insertion in
ECM1 gene causing lipoid proteinosis has been reported in another Pakistani
family. The current study presents a homozygous frame shift mutation supporting
an unusual function of ECM1 protein and broadens the spectrum of disease-linked
mutations in this rare case of genodermatosis in this region.
PMID- 21886757
TI - Respiratory function in patients with pemphigus vulgaris - a small clinical
study.
AB - BACKGROUND: Pemphigus vulgaris belongs to a group of rare, autoimmune, blistering
disorders, requiring intensive immunosuppressive therapy. Lung infections are
common in patients with pemphigus vulgaris. OBJECTIVE: To evaluate pulmonary
function in patients with pemphigus vulgaris, in order to detect possible factors
that might predispose PV patients to lung damage. METHODS: Ten patients first
diagnosed with severe pemphigus vulgaris and 10 healthy individuals,were included
in the study. Pulmonary function testing, blood gas analysis and quantification
of alpha1-antitrypsin serum levels were performed. RESULTS: Mild reduction of CO
diffusing capacity was recorded, compared to the mean predicted normal value.
DLCOSB (single-breath carbon monoxide diffusing capacity test) values did not
significantly differ between patients with pemphigus vulgaris and healthy
controls, while differences regarding DLCO/VA (VA: Alveolar Volume) were
statistically significant. Alpha 1-antitrypsin serum levels were decreased
(<2.0g/L) in 60% (6/10) of patients with pemphigus vulgaris and were found normal
in none of the healthy controls. CONCLUSION: A mild reduction of pulmonary
diffusing capacity was observed in patients with pemphigus vulgaris.
PMID- 21886758
TI - Pyogenic granulomas during isotretinoin therapy.
AB - BACKGROUND: A review of scientific literature reveals a sparse documentation of
periungual pyogenic granulomas as an adverse effect of isotretinoin therapy MAIN
OBSERVATIONS: Periungual pyogenic granulomas appeared in four patients receiving
isotretinoin therapy for severe acne. Oral and topical antibiotic treatments were
ineffective and all cases spontaneously resolved once isotretinoin is
discontinued. CONCLUSION: This report demonstrates the idiosyncratic nature of
this side effect. We suggest that, although infection is possible due to the
transformed nature of the normally protective skin barrier, oral and topical
antibiotic treatments appear ineffective. Pyogenic granulomas spontaneously
resolve once isotretinoin is discontinued.
PMID- 21886759
TI - Cowden syndrome.
AB - BACKGROUND: Cowden syndrome is a rare genodermatosis charactarized by presence of
multiple hamartomas. The aim of the study was to specify the clinical,
therapeutic and prognostic aspects of Cowden syndrome. CASES REPORT: Our study
included 4 patients with Cowden syndrome, 2 males and 2 females between 14 and 46
years old. Clinical examination of the skin revealed facials papules (4 cases),
acral keratosis (1 case), translucent keratotic papules (2 cases). Oral
examination revealed papules (4 cases), papillomatosis (4 cases), gingival
hypertrophy (4 cases) and scrotal tongue (2 cases). Investigations revealed
thyroid lesions (2 cases), fibrocystic disease and lipoma of the breast in 1
case, "glycogenic acanthosis" (1 case), macrocephaly (2 cases), dysmorphic face
(1 case) and lichen nitidus (1 case). Oral etretinate and acitretine were
temporary efficient in 2 patients. Topical treatment with tretinoin lotion
resulted in some improvement in cutaneous, but not mucosal lesions in one
patient. No cancer was revealed. CONCLUSION: The pathognomonic mucocutaneous
lesions were found in all patients. However, no degenerative lesions have been
revealed. A new association of Cowden syndrome with lichen nitidus was found.
Treatment with oral retinoids was efficient on cutaneous lesions.
PMID- 21886760
TI - Photoletter to the editor: A new variant of ichthyosis follicularis with alopecia
and photophobia (IFAP) syndrome with coexisting psoriasiform lesions and
palmoplantar keratoderma. IFAP-PPK syndrome?
AB - IFAP is an acronym for a rare congenital ectodermal disorder characterized by
ichthyosis follicularis, alopecia and photophobia. A recessive X-linked mode of
inheritance was initially proposed but recent reports in girls suggested genetic
heterogeneity of this syndrome. We herein describe a 1-year-old boy with clinical
features typical of IFAP syndrome plus psoriasis-like lesions and palmoplantar
keratoderma (PPK).
PMID- 21886761
TI - Photoletter to the editor: Cutaneous myxoid fibroblastoma.
AB - Cutaneous myxoid fibroblastoma is an extremely rare soft tissue tumor, diagnosed
based on histopathology. The histopathological triad for the diagnosis of the
disease includes 1) spindle-shaped and/or stellate cells with elongated nuclei
and eosinophilic cytoplasm 2) distribution of cell in amyxoid stroma containing
very fewcollagen fibers 3) cellular atypiawithout marked nuclear polymorphism in
some cells. To date, only single cases of this disease have been reported. We
report a new case and discuss the disease.
PMID- 21886765
TI - Anticancer activities of six selected natural compounds of some Cameroonian
medicinal plants.
AB - BACKGROUND: Natural products are well recognized as sources of drugs in several
human ailments. In the present work, we carried out a preliminary screening of
six natural compounds, xanthone V(1) (1); 2-acetylfuro-1,4-naphthoquinone (2);
physcion (3); bisvismiaquinone (4); vismiaquinone (5); 1,8-dihydroxy-3-geranyloxy
6-methylanthraquinone (6) against MiaPaCa-2 pancreatic and CCRF-CEM leukemia
cells and their multidrug-resistant subline, CEM/ADR5000. Compounds 1 and 2 were
then tested in several other cancer cells and their possible mode of action were
investigated. METHODOLOGY/FINDINGS: The tested compounds were previously isolated
from the Cameroonian medicinal plants Vismia laurentii (1, 3, 4, 5 and 6) and
Newbouldia laevis (2). The preliminary cytotoxicity results allowed the selection
of xanthone V(1) and 2-acetylfuro-1,4-naphthoquinone, which were then tested on a
panel of cancer cell lines. The study was also extended to the analysis of cell
cycle distribution, apoptosis induction, caspase 3/7 activation and the anti
angiogenic properties of xanthone V(1) and 2-acetylfuro-1,4-naphthoquinone.
IC(50) values around or below 4 ug/ml were obtained on 64.29% and 78.57% of the
tested cancer cell lines for xanthone V(1) and 2-acetylfuro-1,4-naphthoquinone,
respectively. The most sensitive cell lines (IC(50)<1 ug/ml) were breast MCF-7
(to xanthone V(1)), cervix HeLa and Caski (to xanthone V(1) and 2-acetylfuro-1,4
naphthoquinone), leukemia PF-382 and melanoma colo-38 (to 2-acetylfuro-1,4
naphthoquinone). The two compounds showed respectively, 65.8% and 59.6%
inhibition of the growth of blood capillaries on the chorioallantoic membrane of
quail eggs in the anti-angiogenic assay. Upon treatment with two fold IC(50) and
after 72 h, the two compounds induced cell cycle arrest in S-phase, and also
significant apoptosis in CCRF-CEM leukemia cells. Caspase 3/7 was activated by
xanthone V(1). CONCLUSIONS/SIGNIFICANCE: The overall results of the present study
provided evidence for the cytotoxicity of compounds xanthone V(1) and 2
acetylfuro-1,4-naphthoquinone, and bring supportive data for future
investigations that will lead to their use in cancer therapy.
PMID- 21886766
TI - Evidence that gene activation and silencing during stem cell differentiation
requires a transcriptionally paused intermediate state.
AB - A surprising portion of both mammalian and Drosophila genomes are
transcriptionally paused, undergoing initiation without elongation. We tested the
hypothesis that transcriptional pausing is an obligate transition state between
definitive activation and silencing as human embryonic stem cells (hESCs) change
state from pluripotency to mesoderm. Chromatin immunoprecipitation for trimethyl
lysine 4 on histone H3 (ChIP-Chip) was used to analyze transcriptional
initiation, and 3' transcript arrays were used to determine transcript
elongation. Pluripotent and mesodermal cells had equivalent fractions of the
genome in active and paused transcriptional states (~48% each), with ~4%
definitively silenced (neither initiation nor elongation). Differentiation to
mesoderm changed the transcriptional state of 12% of the genome, with roughly
equal numbers of genes moving toward activation or silencing. Interestingly,
almost all loci (98-99%) changing transcriptional state do so either by entering
or exiting the paused state. A majority of these transitions involve either loss
of initiation, as genes specifying alternate lineages are archived, or gain of
initiation, in anticipation of future full-length expression. The addition of
chromatin dynamics permitted much earlier predictions of final cell fate compared
to sole use of conventional transcript arrays. These findings indicate that the
paused state may be the major transition state for genes changing expression
during differentiation, and implicate control of transcriptional elongation as a
key checkpoint in lineage specification.
PMID- 21886767
TI - Early adaptive humoral immune responses and virus clearance in humans recently
infected with pandemic 2009 H1N1 influenza virus.
AB - Few studies on the humoral immune responses in human during natural influenza
infection have been reported. Here, we used serum samples from pandemic 2009 H1N1
influenza infected patients to characterize the humoral immune responses to
influenza during natural infection in humans. We observed for the first time that
the pandemic 2009 H1N1 influenza induced influenza A-specific IgM within days
after symptoms onset, whereas the unit of IgG did not changed. The magnitude of
influenza A-specific IgM antibodies might have a value in predicting the rate of
virus clearance to some degree. However, the newly developed IgM was not
associated with hemagglutination inhibition (HI) activities in the same samples
but correlated with HI activities of subsequently collected sera which were
mediated by IgG antibodies, indicating that IgM was critical for influenza
infection and influences subsequent IgG antibody responses. These findings
provide new important insights on the human immunity to natural influenza
infection.
PMID- 21886768
TI - B cell depletion in HIV-1 subtype A infected Ugandan adults: relationship to CD4
T cell count, viral load and humoral immune responses.
AB - To better understand the nature of B cell dysfunctions in subjects infected with
HIV-1 subtype A, a rural cohort of 50 treatment-naive Ugandan patients
chronically infected with HIV-1 subtype A was studied, and the relationship
between B cell depletion and HIV disease was assessed. B cell absolute counts
were found to be significantly lower in HIV-1+ patients, when compared to
community matched negative controls (p<0.0001). HIV-1-infected patients displayed
variable functional and binding antibody titers that showed no correlation with
viral load or CD4+ T cell count. However, B cell absolute counts were found to
correlate inversely with neutralizing antibody (NAb) titers against subtype A (p
= 0.05) and subtype CRF02_AG (p = 0.02) viruses. A positive correlation was
observed between subtype A gp120 binding antibody titers and NAb breadth (p =
0.02) and mean titer against the 10 viruses (p = 0.0002). In addition, HIV-1
subtype A sera showed preferential neutralization of the 5 subtype A or CRF02_AG
pseudoviruses, as compared with 5 pseudoviruses from subtypes B, C or D
(p<0.001). These data demonstrate that in patients with chronic HIV-1 subtype A
infection, significant B cell depletion can be observed, the degree of which does
not appear to be associated with a decrease in functional antibodies. These
findings also highlight the potential importance of subtype in the specificity of
cross-clade neutralization in HIV-1 infection.
PMID- 21886769
TI - Characterisation and carriage ratio of Clostridium difficile strains isolated
from a community-dwelling elderly population in the United Kingdom.
AB - BACKGROUND: Community-associated Clostridium difficile infection (CDI) appears to
be an increasing problem. Reported carriage rates by C. difficile are debatable
with suggestions that primary asymptomatic carriage is associated with decreased
risk of subsequent diarrhoea. However, knowledge of potential reservoirs and
intestinal carriage rates in the community, particularly in the elderly, the most
susceptible group, is limited. We have determined the presence of C. difficile in
the faeces of a healthy elderly cohort living outside of long-term care
facilities (LCFs) in the United Kingdom. METHODS: Faecal samples from 149
community-based healthy elderly volunteers (median age 81 years) were screened
for C. difficile using direct (Brazier's CCEY) and enrichment (Cooked Meat broth)
culture methods and a glutamate dehydrogenase (GDH) immunoassay. Isolates were
PCR-ribotyped and analysed for toxin production and the presence of toxin genes.
RESULTS: Of 149 faecal samples submitted, six (4%) were found to contain C.
difficile. One particular sample was positive by both the GDH immunoassay and
direct culture, and concurrently produced two distinct strain types: one
toxigenic and the other non-toxigenic. The other five samples were only positive
by enrichment culture method. Overall, four C. difficile isolates were non
toxigenic (PCR-ribotypes 009, 026 (n = 2) and 039), while three were toxigenic
(PCR-ribotypes 003, 005 and 106). All individuals who had a positive culture were
symptom-free and none of them had a history of CDI and/or antibiotics use in the
3 month period preceding recruitment. CONCLUSIONS: To our knowledge, this is the
first study of the presence of C. difficile in healthy elderly community-dwelling
individuals residing outside of LCFs. The observed carriage rate is lower than
that reported for individuals in LCFs and interestingly no individual carried the
common epidemic strain PCR-ribotype 027 (NAP1/BI). Further follow-up of
asymptomatic carriers in the community, is required to evaluate host
susceptibility to CDI and identify dynamic changes in the host and microbial
environment that are associated with pathogenicity.
PMID- 21886770
TI - Temporal associations between daytime physical activity and sleep in children.
AB - OBJECTIVES: We examined temporal associations between objectively-measured
physical activity (PA) during the day and in the evening, and sleep quantity and
quality. STUDY DESIGN: PA and sleep were measured by actigraphs for an average of
one week in an epidemiological cohort study of 275 eight-year-old children.
RESULTS: For each one standard deviation (SD) unit of increased PA during the
day, sleep duration was decreased by 0.30, sleep efficiency by 0.16, and sleep
fragmentation increased by 0.08 SD units that night. For each one SD unit
increase in sleep duration and efficiency the preceding night, PA the following
day decreased by 0.09 and 0.16 SD units, respectively. When we contrasted days
with a high amount of moderate to vigorous activity during the day or in the
evening to days with a more sedentary profile, the results were essentially
similar. However, moderate to vigorous PA in the evening shortened sleep latency.
CONCLUSIONS: The relationship between a higher level of PA and poorer sleep is
bidirectional. These within-person findings challenge epidemiological findings
showing that more active people report better sleep. Since only a few studies
using objective measurements of both PA and sleep have been conducted in
children, further studies are needed to confirm/refute these results.
PMID- 21886771
TI - Protein diffusion in mammalian cell cytoplasm.
AB - We introduce a new method for mesoscopic modeling of protein diffusion in an
entire cell. This method is based on the construction of a three-dimensional
digital model cell from confocal microscopy data. The model cell is segmented
into the cytoplasm, nucleus, plasma membrane, and nuclear envelope, in which
environment protein motion is modeled by fully numerical mesoscopic methods.
Finer cellular structures that cannot be resolved with the imaging technique,
which significantly affect protein motion, are accounted for in this method by
assigning an effective, position-dependent porosity to the cell. This porosity
can also be determined by confocal microscopy using the equilibrium distribution
of a non-binding fluorescent protein. Distinction can now be made within this
method between diffusion in the liquid phase of the cell (cytosol/nucleosol) and
the cytoplasm/nucleoplasm. Here we applied the method to analyze fluorescence
recovery after photobleach (FRAP) experiments in which the diffusion coefficient
of a freely-diffusing model protein was determined for two different cell lines,
and to explain the clear difference typically observed between conventional FRAP
results and those of fluorescence correlation spectroscopy (FCS). A large
difference was found in the FRAP experiments between diffusion in the
cytoplasm/nucleoplasm and in the cytosol/nucleosol, for all of which the
diffusion coefficients were determined. The cytosol results were found to be in
very good agreement with those by FCS.
PMID- 21886772
TI - Fukutin-related protein resides in the Golgi cisternae of skeletal muscle fibres
and forms disulfide-linked homodimers via an N-terminal interaction.
AB - Limb-Girdle Muscular Dystrophy type 2I (LGMD2I) is an inheritable autosomal,
recessive disorder caused by mutations in the FuKutin-Related Protein (FKRP) gene
(FKRP) located on chromosome 19 (19q13.3). Mutations in FKRP are also associated
with Congenital Muscular Dystrophy (MDC1C), Walker-Warburg Syndrome (WWS) and
Muscle Eye Brain disease (MEB). These four disorders share in common an
incomplete/aberrant O-glycosylation of the membrane/extracellular matrix (ECM)
protein alpha-dystroglycan. However, further knowledge on the FKRP structure and
biological function is lacking, and its intracellular location is controversial.
Based on immunogold electron microscopy of human skeletal muscle sections we
demonstrate that FKRP co-localises with the middle-to-trans-Golgi marker MG160,
between the myofibrils in human rectus femoris muscle fibres. Chemical cross
linking experiments followed by pairwise yeast 2-hybrid experiments, and co
immune precipitation, demonstrate that FKRP can exist as homodimers as well as in
large multimeric protein complexes when expressed in cell culture. The FKRP
homodimer is kept together by a disulfide bridge provided by the most N-terminal
cysteine, Cys6. FKRP contains N-glycan of high mannose and/or hybrid type;
however, FKRP N-glycosylation is not required for FKRP homodimer or multimer
formation. We propose a model for FKRP which is consistent with that of a Golgi
resident type II transmembrane protein.
PMID- 21886773
TI - HIV-1 Nef induces proinflammatory state in macrophages through its acidic cluster
domain: involvement of TNF alpha receptor associated factor 2.
AB - BACKGROUND: HIV-1 Nef is a virulence factor that plays multiple roles during HIV
replication. Recently, it has been described that Nef intersects the CD40
signalling in macrophages, leading to modification in the pattern of secreted
factors that appear able to recruit, activate and render T lymphocytes
susceptible to HIV infection. The engagement of CD40 by CD40L induces the
activation of different signalling cascades that require the recruitment of
specific tumor necrosis factor receptor-associated factors (i.e. TRAFs). We
hypothesized that TRAFs might be involved in the rapid activation of NF-kappaB,
MAPKs and IRF-3 that were previously described in Nef-treated macrophages to
induce the synthesis and secretion of proinflammatory cytokines, chemokines and
IFNbeta to activate STAT1, -2 and -3. METHODOLOGY/PRINCIPAL FINDINGS: Searching
for possible TRAF binding sites on Nef, we found a TRAF2 consensus binding site
in the AQEEEE sequence encompassing the conserved four-glutamate acidic cluster.
Here we show that all the signalling effects we observed in Nef treated
macrophages depend on the integrity of the acidic cluster. In addition, Nef was
able to interact in vitro with TRAF2, but not TRAF6, and this interaction
involved the acidic cluster. Finally silencing experiments in THP-1 monocytic
cells indicate that both TRAF2 and, surprisingly, TRAF6 are required for the Nef
induced tyrosine phosphorylation of STAT1 and STAT2. CONCLUSIONS: Results
reported here revealed TRAF2 as a new possible cellular interactor of Nef and
highlighted that in monocytes/macrophages this viral protein is able to
manipulate both the TRAF/NF-kappaB and TRAF/IRF-3 signalling axes, thereby
inducing the synthesis of proinflammatory cytokines and chemokines as well as
IFNbeta.
PMID- 21886774
TI - Phenothiourea sensitizes zebrafish cranial neural crest and extraocular muscle
development to changes in retinoic acid and IGF signaling.
AB - 1-Phenyl 2-thiourea (PTU) is a tyrosinase inhibitor commonly used to block
pigmentation and aid visualization of zebrafish development. At the standard
concentration of 0.003% (200 uM), PTU inhibits melanogenesis and reportedly has
minimal other effects on zebrafish embryogenesis. We found that 0.003% PTU
altered retinoic acid and insulin-like growth factor (IGF) regulation of neural
crest and mesodermal components of craniofacial development. Reduction of
retinoic acid synthesis by the pan-aldehyde dehydrogenase inhibitor
diethylbenzaldehyde, only when combined with 0.003% PTU, resulted in extraocular
muscle disorganization. PTU also decreased retinoic acid-induced teratogenic
effects on pharyngeal arch and jaw cartilage despite morphologically normal
appearing PTU-treated controls. Furthermore, 0.003% PTU in combination with
inhibition of IGF signaling through either morpholino knockdown or pharmacologic
inhibition of tyrosine kinase receptor phosphorylation, disrupted jaw development
and extraocular muscle organization. PTU in and of itself inhibited neural crest
development at higher concentrations (0.03%) and had the greatest inhibitory
effect when added prior to 22 hours post fertilization (hpf). Addition of 0.003%
PTU between 4 and 20 hpf decreased thyroxine (T4) in thyroid follicles in the
nasopharynx of 96 hpf embryos. Treatment with exogenous triiodothyronine (T3) and
T4 improved, but did not completely rescue, PTU-induced neural crest defects.
Thus, PTU should be used with caution when studying zebrafish embryogenesis as it
alters the threshold of different signaling pathways important during
craniofacial development. The effects of PTU on neural crest development are
partially caused by thyroid hormone signaling.
PMID- 21886775
TI - Mannosidase 2, alpha 1 deficiency is associated with ricin resistance in
embryonic stem (ES) cells.
AB - Host gene products required for mediating the action of toxins are potential
targets for reversing or controlling their pathogenic impact following exposure.
To identify such targets libraries of insertional gene-trap mutations generated
with a PiggyBac transposon in Blm-deficient embryonic stem cells were exposed to
the plant toxin, ricin. Resistant clones were isolated and genetically
characterised and one was found to be a homozygous mutant of the mannosidase 2,
alpha 1 (Man2alpha1) locus with a matching defect in the homologous allele. The
causality of the molecular lesion was confirmed by removal of the transposon
following expression of PB-transposase. Comparative glycomic and lectin binding
analysis of the Man2alpha1 (-/-) ricin resistant cells revealed an increase in
the levels of hybrid glycan structures and a reduction in terminal beta-galactose
moieties, potential target receptors for ricin. Furthermore, naive ES cells
treated with inhibitors of the N-linked glycosylation pathway at the mannosidase
2, alpha 1 step exhibited either full or partial resistance to ricin. Therefore,
we conclusively identified mannosidase 2, alpha 1 deficiency to be associated
with ricin resistance.
PMID- 21886776
TI - The depolarizing action of GABA in cultured hippocampal neurons is not due to the
absence of ketone bodies.
AB - Two recent reports propose that the depolarizing action of GABA in the immature
brain is an artifact of in vitro preparations in which glucose is the only energy
source. The authors argue that this does not mimic the physiological environment
because the suckling rats use ketone bodies and pyruvate as major sources of
metabolic energy. Here, we show that availability of physiologically relevant
levels of ketone bodies has no impact on the excitatory action of GABA in
immature cultured hippocampal neurons. Addition of beta-hydroxybutyrate (BHB),
the primary ketone body in the neonate rat, affected neither intracellular
calcium elevation nor membrane depolarizations induced by the GABA-A receptor
agonist muscimol, when assessed with calcium imaging or perforated patch-clamp
recording, respectively. These results confirm that the addition of ketone bodies
to the extracellular environment to mimic conditions in the neonatal brain does
not reverse the chloride gradient and therefore render GABA hyperpolarizing. Our
data are consistent with the existence of a genuine "developmental switch"
mechanism in which GABA goes from having a predominantly excitatory role in
immature cells to a predominantly inhibitory one in adults.
PMID- 21886777
TI - Cochlin induced TREK-1 co-expression and annexin A2 secretion: role in trabecular
meshwork cell elongation and motility.
AB - Fluid flow through large interstitial spaces is sensed at the cellular level, and
mechanistic responses to flow changes enables expansion or contraction of the
cells modulating the surrounding area and brings about changes in fluid flow. In
the anterior eye chamber, aqueous humor, a clear fluid, flows through trabecular
meshwork (TM), a filter like region. Cochlin, a secreted protein in the
extracellular matrix, was identified in the TM of glaucomatous patients but not
controls by mass spectrometry. Cochlin undergoes shear induced multimerization
and plays a role in mechanosensing of fluid shear. Cytoskeletal changes in
response to mechanosensing in the ECM by cochlin will necessitate transduction of
mechanosensing. TREK-1, a stretch activated outward rectifying potassium channel
protein known to act as mechanotransducer was found to be expressed in TM.
Cochlin expression results in co-expression of TREK-1 and filopodia formation.
Prolonged cochlin expression results in expression and subsequent secretion of
annexin A2, a protein known to play a role in cytoskeletal remodeling. Cochlin
interacts with TREK-1 and annexin A2. Cochlin-TREK-1 interaction has functional
consequences and results in changes in cell shape and motility. Annexin A2
expression and secretion follows cochlin-TREK-1 syn-expression and correlates
with cell elongation. Thus cytoskeleton changes in response to fluid shear sensed
by cochlin are further mediated by TREK-1 and annexin A2.
PMID- 21886778
TI - Treatment of tuberculosis in a region with high drug resistance: outcomes, drug
resistance amplification and re-infection.
AB - INTRODUCTION: Emerging antituberculosis drug resistance is a serious threat for
tuberculosis (TB) control, especially in Eastern European countries. METHODS: We
combined drug susceptibility results and molecular strain typing data with
treatment outcome reports to assess the influence of drug resistance on TB
treatment outcomes in a prospective cohort of patients from Abkhazia (Georgia).
Patients received individualized treatment regimens based on drug susceptibility
testing (DST) results. Definitions for antituberculosis drug resistance and
treatment outcomes were in line with current WHO recommendations. First and
second line DST, and molecular typing were performed in a supranational
laboratory for Mycobacterium tuberculosis (MTB) strains from consecutive sputum
smear-positive TB patients at baseline and during treatment. RESULTS: At
baseline, MTB strains were fully drug-susceptible in 189/326 (58.0%) of patients.
Resistance to at least H or R (PDR-TB) and multidrug-resistance (MDR-TB) were
found in 69/326 (21.2%) and 68/326 (20.9%) of strains, respectively. Three MDR-TB
strains were also extensively resistant (XDR-TB). During treatment, 3/189 (1.6%)
fully susceptible patients at baseline were re-infected with a MDR-TB strain and
2/58 (3.4%) PDR-TB patients became MDR-TB due to resistance amplification. 5/47
(10.6%) MDR- patients became XDR-TB during treatment. Treatment success was
observed in 161/189 (85.2%), 54/69 (78.3%) and 22/68 (32.3%) of patients with
fully drug susceptible, PDR- and MDR-TB, respectively. Development of ofloxacin
resistance was significantly associated with a negative treatment outcome.
CONCLUSION: In Abkhazia, a region with high prevalence of drug resistant TB, the
use of individualized MDR-TB treatment regimens resulted in poor treatment
outcomes and XDR-TB amplification. Nosocomial transmission of MDR-TB emphasizes
the importance of infection control in hospitals.
PMID- 21886779
TI - HIV drug resistance (HIVDR) in antiretroviral therapy-naive patients in Tanzania
not eligible for WHO threshold HIVDR survey is dramatically high.
AB - BACKGROUND: The World Health Organization (WHO) has recommended guidelines for a
HIV drug resistance (HIVDR) survey for resource-limited countries. Eligibility
criteria for patients include age below 25 years in order to focus on the
prevalence of transmitted HIVDR (tHIVDR) in newly-infected individuals. Most of
the participating sites across Africa have so far reported tHIVDR prevalences of
below 5%. In this study we investigated whether the rate of HIVDR in patients <25
years is representative for HIVDR in the rest of the therapy-naive population.
METHODS AND FINDINGS: HIVDR was determined in 88 sequentially enrolled ART-naive
patients from Mwanza, Tanzania (mean age 35.4 years). Twenty patients were aged
<25 years and 68 patients were aged 25-63 years. The frequency of HIVDR in the
study population was 14.8% (95%; CI 0.072-0.223) and independent of NVP
resistance induced by prevention of mother-to-child transmission programs.
Patients >25 years had a significantly higher HIVDR frequency than younger
patients (19.1%; 95% CI 0.095-0.28) versus 0%, P = 0.0344). In 2 out of the 16
patients with HIVDR we found traces of antiretrovirals (ARVs) in plasma.
CONCLUSIONS: ART-naive patients aged over 25 years exhibited significantly higher
HIVDR than younger patients. Detection of traces of ARVs in individuals with
HIVDR suggests that besides transmission, undisclosed misuse of ARVs may
constitute a significant factor in the generation of the observed high HIVDR
rate. The current WHO tHIVDR survey that is solely focused on the transmission of
HIVDR and that excludes patients over 25 years of age may therefore result in
substantial underestimation of the prevalence of HIVDR in the therapy-naive
population. Similar studies should be performed also in other areas to test
whether the so far reported optimistic picture of low HIVDR prevalence in young
individuals is really representative for the rest of the ART-naive HIV-infected
population.
PMID- 21886780
TI - Hepcidin levels and their determinants in different types of myelodysplastic
syndromes.
AB - Iron overload may represent an additional clinical problem in patients with
Myelodysplastic Syndromes (MDS), with recent data suggesting prognostic
implications. Beyond red blood cells transfusions, dysregulation of hepcidin, the
key iron hormone, may play a role, but studies until now have been hampered by
technical problems. Using a recently validated assay, we measured serum hepcidin
in 113 patients with different MDS subtypes. Mean hepcidin levels were
consistently heterogeneous across different MDS subtypes, with the lowest levels
in refractory anemia with ringed sideroblasts (RARS, 1.43 nM) and the highest in
refractory anemia with excess blasts (RAEB, 11.3 nM) or in chronic myelomonocytic
leukemia (CMML, 10.04 nM) (P = 0.003 by ANOVA). MDS subtypes remained significant
predictors of hepcidin in multivariate analyses adjusted for ferritin and
transfusion history. Consistently with current knowledge on hepcidin
action/regulation, RARS patients had the highest levels of toxic non-transferrin
bound-iron, while RAEB and CMML patients had substantial elevation of C-Reactive
Protein as compared to other MDS subtypes, and showed lost of homeostatic
regulation by iron. Growth differentiation factor 15 did not appear as a primary
hepcidin regulator in this series. If confirmed, these results may help to
calibrate future treatments with chelating agents and/or hepcidin modulators in
MDS patients.
PMID- 21886781
TI - Working memory training using mental calculation impacts regional gray matter of
the frontal and parietal regions.
AB - Training working memory (WM) improves performance on untrained cognitive tasks
and alters functional activity. However, WM training's effects on gray matter
morphology and a wide range of cognitive tasks are still unknown. We investigated
this issue using voxel-based morphometry (VBM), various psychological measures,
such as non-trained WM tasks and a creativity task, and intensive adaptive
training of WM using mental calculations (IATWMMC), all of which are typical WM
tasks. IATWMMC was associated with reduced regional gray matter volume in the
bilateral fronto-parietal regions and the left superior temporal gyrus. It
improved verbal letter span and complex arithmetic ability, but deteriorated
creativity. These results confirm the training-induced plasticity in
psychological mechanisms and the plasticity of gray matter structures in regions
that have been assumed to be under strong genetic control.
PMID- 21886782
TI - P38 mitogen-activated protein kinase inhibitor, FR167653, inhibits parathyroid
hormone related protein-induced osteoclastogenesis and bone resorption.
AB - p38 mitogen-activated protein kinase (MAPK) acts downstream in the signaling
pathway that includes receptor activator of NF-kappaB (RANK), a powerful inducer
of osteoclast formation and activation. We investigated the role of p38 MAPK in
parathyroid hormone related protein (PTHrP)-induced osteoclastogenesis in vitro
and PTHrP-induced bone resorption in vivo. The ability of FR167653 to inhibit
osteoclast formation was evaluated by counting the number of tartrate-resistant
acid phosphatase positive multinucleated cells (TRAP-positive MNCs) in in vitro
osteoclastgenesis assays. Its mechanisms were evaluated by detecting the
expression level of c-Fos and nuclear factor of activated T cells c1 (NFATc1) in
bone marrow macrophages (BMMs) stimulated with sRANKL and M-CSF, and by detecting
the expression level of osteoprotegerin (OPG) and RANKL in bone marrow stromal
cells stimulated with PTHrP in the presence of FR167653. The function of FR167653
on bone resorption was assessed by measuring the bone resorption area
radiographically and by counting osteoclast number per unit bone tissue area in
calvaria in a mouse model of bone resorption by injecting PTHrP subcutaneously
onto calvaria. Whole blood ionized calcium levels were also recorded. FR167653
inhibited PTHrP-induced osteoclast formation and PTHrP-induced c-Fos and NFATc1
expression in bone marrow macrophages, but not the expression levels of RANKL and
OPG in primary bone marrow stromal cells treated by PTHrP. Furthermore, bone
resorption area and osteoclast number in vivo were significantly decreased by the
treatment of FR167653. Systemic hypercalcemia was also partially inhibited.
Inhibition of p38 MAPK by FR167653 blocks PTHrP-induced osteoclastogenesis in
vitro and PTHrP-induced bone resorption in vivo, suggesting that the p38 MAPK
signaling pathway plays a fundamental role in PTHrP-induced osteoclastic bone
resorption.
PMID- 21886783
TI - The disequilibrium of nucleosomes distribution along chromosomes plays a
functional and evolutionarily role in regulating gene expression.
AB - To further understand the relationship between nucleosome-space occupancy (NO)
and global transcriptional activity in mammals, we acquired a set of genome-wide
nucleosome distribution and transcriptome data from the mouse cerebrum and testis
based on ChIP (H3)-seq and RNA-seq, respectively. We identified a nearly
consistent NO patterns among three mouse tissues--cerebrum, testis, and ESCs--and
found, through clustering analysis for transcriptional activation, that the NO
variations among chromosomes are closely associated with distinct expression
levels between house-keeping (HK) genes and tissue-specific (TS) genes. Both TS
and HK genes form clusters albeit the obvious majority. This feature implies that
NO patterns, i.e. nucleosome binding and clustering, are coupled with gene
clustering that may be functionally and evolutionarily conserved in regulating
gene expression among different cell types.
PMID- 21886784
TI - Ablation of TSC2 enhances insulin secretion by increasing the number of
mitochondria through activation of mTORC1.
AB - AIM: We previously found that chronic tuberous sclerosis protein 2 (TSC2)
deletion induces activation of mammalian target of rapamycin Complex 1 (mTORC1)
and leads to hypertrophy of pancreatic beta cells from pancreatic beta cell
specific TSC2 knockout (betaTSC2(-/-)) mice. The present study examines the
effects of TSC2 ablation on insulin secretion from pancreatic beta cells.
METHODS: Isolated islets from betaTSC2(-/-) mice and TSC2 knockdown insulin 1
(INS-1) insulinoma cells treated with small interfering ribonucleic acid were
used to investigate insulin secretion, ATP content and the expression of
mitochondrial genes. RESULTS: Activation of mTORC1 increased mitochondrial DNA
expression, mitochondrial density and ATP production in pancreatic beta cells of
betaTSC2(-/-) mice. In TSC2 knockdown INS-1 cells, mitochondrial DNA expression,
mitochondrial density and ATP production were increased compared with those in
control INS-1 cells, consistent with the phenotype of betaTSC2(-/-) mice. TSC2
knockdown INS-1 cells also exhibited augmented insulin secretory response to
glucose. Rapamycin inhibited mitochondrial DNA expression and ATP production as
well as insulin secretion in response to glucose. Thus, betaTSC2(-/-) mice
exhibit hyperinsulinemia due to an increase in the number of mitochondria as well
as enlargement of individual beta cells via activation of mTORC1. CONCLUSION:
Activation of mTORC1 by TSC2 ablation increases mitochondrial biogenesis and
enhances insulin secretion from pancreatic beta cells.
PMID- 21886785
TI - Comparative analysis of bacterial communities in a potato field as determined by
pyrosequencing.
AB - BACKGROUND: Plants selectively attract particular soil microorganisms, in
particular consumers of root-excreted compounds. It is unclear to what extent
cultivar type and/or growth stage affect this process. METHODOLOGY/PRINCIPAL
FINDINGS: DNA-based pyrosequencing was used to characterize the structure of
bacterial communities in a field cropped with potato. The rhizospheres of six
cultivars denoted Aveka, Aventra, Karnico, Modena, Premiere and Desiree, at three
growth stages (young, flowering and senescence) were examined, in addition to
corresponding bulk soils. Around 350,000 sequences were obtained (5,700 to 38,000
per sample). Across all samples, rank abundance distributions best fitted the
power law model, which indicates a community composed of a few highly dominant
species next to numerous rare species. Grouping of the sequences showed that
members of the Actinobacteria, Alphaproteobacteria, next to as-yet-unclassified
bacteria, dominated. Other groups that were consistently found, albeit at lower
abundance, were Beta-, Gamma- and Deltaproteobacteria and Acidobacteria.
Principal components analyses revealed that rhizosphere samples were
significantly different from corresponding bulk soil in each growth stage.
Furthermore, cultivar effects were found in the young plant stage, whereas these
became insignificant in the flowering and senescence stages. Besides, an effect
of time of season was observed for both rhizosphere and bulk soils. The analyzed
rhizosphere samples of the potato cultivars were grouped into two groups, in
accordance with the allocation of carbon to starch in their tubers, i.e. Aveka,
Aventra and Karnico (high) versus Premiere and Desiree (low) and thus replicates
per group were established. CONCLUSIONS: Across all potato cultivars, the young
plant stages revealed cultivar-dependent bacterial community structures, which
disappeared in the flowering and senescence stages. Furthermore, Pseudomonas,
Beta-, Alpha- and Deltaproteobacteria flourished under different ecological
conditions than the Acidobacteria.
PMID- 21886786
TI - Monoclonal antibodies recognizing the non-tandem repeat regions of the human
mucin MUC4 in pancreatic cancer.
AB - The MUC4 mucin is a high molecular weight, membrane-bound, and highly
glycosylated protein. It is a multi-domain protein that is putatively cleaved
into a large mucin-like subunit (MUC4alpha) and a C-terminal growth-factor like
subunit (MUC4beta). MUC4 plays critical roles in physiological and pathological
conditions and is aberrantly overexpressed in several cancers, including those of
the pancreas, cervix, breast and lung. It is also a potential biomarker for the
diagnosis, prognosis and progression of several malignancies. Further, MUC4 plays
diverse functional roles in cancer initiation and progression as evident from its
involvement in oncogenic transformation, proliferation, inhibition of apoptosis,
motility and invasion, and resistance to chemotherapy in human cancer cells. We
have previously generated a monoclonal antibody 8G7, which is directed against
the TR region of MUC4, and has been extensively used to study the expression of
MUC4 in several malignancies. Here, we describe the generation of anti-MUC4
antibodies directed against the non-TR regions of MUC4. Recombinant glutathione-S
transferase (GST)-fused MUC4alpha fragments, both upstream (MUC4alpha-N-Ter) and
downstream (MUC4alpha-C-Ter) of the TR domain, were used as immunogens to
immunize BALB/c mice. Following cell fusion, hybridomas were screened using the
aforementioned recombinant proteins ad lysates from human pancreatic cell lines.
Three anti MUC4alpha-N-Ter and one anti-MUC4alpha-C-Ter antibodies were
characterized by several inmmunoassays including enzyme-linked immunosorbent
assay (ELISA), immunoblotting, immunofluorescene, flow cytometry and
immunoprecipitation using MUC4 expressing human pancreatic cancer cell lines. The
antibodies also reacted with the MUC4 in human pancreatic tumor sections in
immunohistochemical analysis. The new domain-specific anti-MUC4 antibodies will
serve as important reagents to study the structure-function relationship of MUC4
domains and for the development of MUC4-based diagnostics and therapeutics.
PMID- 21886787
TI - Identification of a highly conserved H1 subtype-specific epitope with diagnostic
potential in the hemagglutinin protein of influenza A virus.
AB - Subtype specificity of influenza A virus (IAV) is determined by its two surface
glycoproteins, hemagglutinin (HA) and neuraminidase (NA). For HA, 16 distinct
subtypes (H1-H16) exist, while nine exist for NA. The epidemic strains of H1N1
IAV change frequently and cause annual seasonal epidemics as well as occasional
pandemics, such as the notorious 1918 influenza pandemic. The recent introduction
of pandemic A/H1N1 IAV (H1N1pdm virus) into humans re-emphasizes the public
health concern about H1N1 IAV. Several studies have identified conserved epitopes
within specific HA subtypes that can be used for diagnostics. However, immune
specific epitopes in H1N1 IAV have not been completely assessed. In this study,
linear epitopes on the H1N1pdm viral HA protein were identified by peptide
scanning using libraries of overlapping peptides against convalescent sera from
H1N1pdm patients. One epitope, P5 (aa 58-72) was found to be immunodominant in
patients and to evoke high titer antibodies in mice. Multiple sequence alignments
and in silico coverage analysis showed that this epitope is highly conserved in
influenza H1 HA [with a coverage of 91.6% (9,860/10,767)] and almost completely
absent in other subtypes [with a coverage of 3.3% (792/23,895)]. This previously
unidentified linear epitope is located outside the five well-recognized antigenic
sites in HA. A peptide ELISA method based on this epitope was developed and
showed high correlation (chi(2) = 51.81, P<0.01, Pearson correlation coefficient
R = 0.741) with a hemagglutination inhibition test. The highly conserved H1
subtype-specific immunodominant epitope may form the basis for developing novel
assays for sero-diagnosis and active surveillance against H1N1 IAVs.
PMID- 21886788
TI - Lhx2 is required for patterning and expansion of a distinct progenitor cell
population committed to eye development.
AB - Progenitor cells committed to eye development become specified in the prospective
forebrain and develop subsequently into the optic vesicle and the optic cup. The
optic vesicle induces formation of the lens placode in surface ectoderm from
which the lens develops. Numerous transcription factors are involved in this
process, including the eye-field transcription factors. However, many of these
transcription factors also regulate the patterning of the anterior neural plate
and their specific role in eye development is difficult to discern since eye
committed progenitor cells are poorly defined. By using a specific part of the
Lhx2 promoter to regulate Cre recombinase expression in transgenic mice we have
been able to define a distinct progenitor cell population in the forebrain solely
committed to eye development. Conditional inactivation of Lhx2 in these
progenitor cells causes an arrest in eye development at the stage when the optic
vesicle induces lens placode formation in the surface ectoderm. The eye-committed
progenitor cell population is present in the Lhx2(-/-) embryonic forebrain
suggesting that commitment to eye development is Lhx2-independent. However, re
expression of Lhx2 in Lhx2(-/-) progenitor cells only promotes development of
retinal pigment epithelium cells, indicating that Lhx2 promotes the acquisition
of the oligopotent fate of these progenitor cells. This approach also allowed us
to identify genes that distinguish Lhx2 function in eye development from that in
the forebrain. Thus, we have defined a distinct progenitor cell population in the
forebrain committed to eye development and identified genes linked to Lhx2's
function in the expansion and patterning of these progenitor cells.
PMID- 21886789
TI - E4orf1: a novel ligand that improves glucose disposal in cell culture.
AB - Reducing dietary fat intake and excess adiposity, the cornerstones of behavioral
treatment of insulin resistance (IR), are marginally successful over the long
term. Ad36, a human adenovirus, offers a template to improve IR, independent of
dietary fat intake or adiposity. Ad36 increases cellular glucose uptake via a Ras
mediated activation of phosphatidyl inositol 3-kinase(PI3K), and improves
hyperglycemia in mice, despite a high-fat diet and without reducing adiposity. Ex
vivo studies suggest that Ad36 improves hyperglycemia in mice by increasing
glucose uptake by adipose tissue and skeletal muscle, and by reducing hepatic
glucose output. It is impractical to use Ad36 for therapeutic action. Instead, we
investigated if the E4orf1 protein of Ad36, mediates its anti-hyperglycemic
action. Such a candidate protein may offer an attractive template for therapeutic
development. Experiment-1 determined that Ad36 'requires' E4orf1 protein to up
regulate cellular glucose uptake. Ad36 significantly increased glucose uptake in
3T3-L1 preadipocytes, which was abrogated by knocking down E4orf1 with siRNA.
Experiment-2 identified E4orf1 as 'sufficient' to up-regulate glucose uptake. 3T3
L1 cells that inducibly express E4orf1, increased glucose uptake in an induction
dependent manner, compared to null vector control cells. E4orf1 up-regulated PI3K
pathway and increased abundance of Ras--the obligatory molecule in Ad36-induced
glucose uptake. Experiment-3: Signaling studies of cells transiently transfected
with E4orf1 or a null vector, revealed that E4orf1 may activate Ras/PI3K pathway
by binding to Drosophila discs-large (Dlg1) protein. E4orf1 activated total Ras
and, particularly the H-Ras isoform. By mutating the PDZ domain binding motif
(PBM) of E4orf1, Experiment-4 showed that E4orf1 requires its PBM to increase Ras
activation or glucose uptake. Experiment-5: In-vitro, a transient transfection by
E4orf1 significantly increased glucose uptake in preadipocytes, adipocytes, or
myoblasts, and reduced glucose output by hepatocytes. Thus, the highly attractive
anti-hyperglycemic effect of Ad36 is mirrored by E4orf1 protein, which may offer
a novel ligand to develop anti-hyperglycemic drugs.
PMID- 21886790
TI - Rapid detection and subtyping of human influenza A viruses and reassortants by
pyrosequencing.
AB - BACKGROUND: Given the continuing co-circulation of the 2009 H1N1 pandemic
influenza A viruses with seasonal H3N2 viruses, rapid and reliable detection of
newly emerging influenza reassortant viruses is important to enhance our
influenza surveillance. METHODOLOGY/PRINCIPAL FINDINGS: A novel pyrosequencing
assay was developed for the rapid identification and subtyping of potential human
influenza A virus reassortants based on all eight gene segments of the virus.
Except for HA and NA genes, one universal set of primers was used to amplify and
subtype each of the six internal genes. With this method, all eight gene segments
of 57 laboratory isolates and 17 original specimens of seasonal H1N1, H3N2 and
2009 H1N1 pandemic viruses were correctly matched with their corresponding
subtypes. In addition, this method was shown to be capable of detecting
reassortant viruses by correctly identifying the source of all 8 gene segments
from three vaccine production reassortant viruses and three H1N2 viruses.
CONCLUSIONS/SIGNIFICANCE: In summary, this pyrosequencing assay is a sensitive
and specific procedure for screening large numbers of viruses for reassortment
events amongst the commonly circulating human influenza A viruses, which is more
rapid and cheaper than using conventional sequencing approaches.
PMID- 21886791
TI - Area disease estimation based on sentinel hospital records.
AB - BACKGROUND: Population health attributes (such as disease incidence and
prevalence) are often estimated using sentinel hospital records, which are
subject to multiple sources of uncertainty. When applied to these health
attributes, commonly used biased estimation techniques can lead to false
conclusions and ineffective disease intervention and control. Although some
estimators can account for measurement error (in the form of white noise, usually
after de-trending), most mainstream health statistics techniques cannot generate
unbiased and minimum error variance estimates when the available data are biased.
METHODS AND FINDINGS: A new technique, called the Biased Sample Hospital-based
Area Disease Estimation (B-SHADE), is introduced that generates space-time
population disease estimates using biased hospital records. The effectiveness of
the technique is empirically evaluated in terms of hospital records of disease
incidence (for hand-foot-mouth disease and fever syndrome cases) in Shanghai
(China) during a two-year period. The B-SHADE technique uses a weighted summation
of sentinel hospital records to derive unbiased and minimum error variance
estimates of area incidence. The calculation of these weights is the outcome of a
process that combines: the available space-time information; a rigorous
assessment of both, the horizontal relationships between hospital records and the
vertical links between each hospital's records and the overall disease situation
in the region. In this way, the representativeness of the sentinel hospital
records was improved, the possible biases of these records were corrected, and
the generated area incidence estimates were best linear unbiased estimates
(BLUE). Using the same hospital records, the performance of the B-SHADE technique
was compared against two mainstream estimators. CONCLUSIONS: The B-SHADE
technique involves a hospital network-based model that blends the optimal
estimation features of the Block Kriging method and the sample bias correction
efficiency of the ratio estimator method. In this way, B-SHADE can overcome the
limitations of both methods: Block Kriging's inadequacy concerning the correction
of sample bias and spatial clustering; and the ratio estimator's limitation as
regards error minimization. The generality of the B-SHADE technique is further
demonstrated by the fact that it reduces to Block Kriging in the case of unbiased
samples; to ratio estimator if there is no correlation between hospitals; and to
simple statistic if the hospital records are neither biased nor space-time
correlated. In addition to the theoretical advantages of the B-SHADE technique
over the two other methods above, two real world case studies (hand-foot-mouth
disease and fever syndrome cases) demonstrated its empirical superiority, as
well.
PMID- 21886792
TI - Influenza and pneumonia mortality in 66 large cities in the United States in
years surrounding the 1918 pandemic.
AB - The 1918 influenza pandemic was a major epidemiological event of the twentieth
century resulting in at least twenty million deaths worldwide; however, despite
its historical, epidemiological, and biological relevance, it remains poorly
understood. Here we examine the relationship between annual pneumonia and
influenza death rates in the pre-pandemic (1910-17) and pandemic (1918-20)
periods and the scaling of mortality with latitude, longitude and population
size, using data from 66 large cities of the United States. The mean pre-pandemic
pneumonia death rates were highly associated with pneumonia death rates during
the pandemic period (Spearman rho = 0.64-0.72; P<0.001). By contrast, there was a
weak correlation between pre-pandemic and pandemic influenza mortality rates.
Pneumonia mortality rates partially explained influenza mortality rates in 1918
(rho = 0.34, P = 0.005) but not during any other year. Pneumonia death counts
followed a linear relationship with population size in all study years,
suggesting that pneumonia death rates were homogeneous across the range of
population sizes studied. By contrast, influenza death counts followed a power
law relationship with a scaling exponent of ~0.81 (95%CI: 0.71, 0.91) in 1918,
suggesting that smaller cities experienced worst outcomes during the pandemic. A
linear relationship was observed for all other years. Our study suggests that
mortality associated with the 1918-20 influenza pandemic was in part
predetermined by pre-pandemic pneumonia death rates in 66 large US cities,
perhaps through the impact of the physical and social structure of each city.
Smaller cities suffered a disproportionately high per capita influenza mortality
burden than larger ones in 1918, while city size did not affect pneumonia
mortality rates in the pre-pandemic and pandemic periods.
PMID- 21886793
TI - Intraspecific aflatoxin inhibition in Aspergillus flavus is thigmoregulated,
independent of vegetative compatibility group and is strain dependent.
AB - Biological control of preharvest aflatoxin contamination by atoxigenic stains of
Aspergillus flavus has been demonstrated in several crops. The assumption is that
some form of competition suppresses the fungus's ability to infect or produce
aflatoxin when challenged. Intraspecific aflatoxin inhibition was demonstrated by
others. This work investigates the mechanistic basis of that phenomenon. A
toxigenic and atoxigenic isolate of A. flavus which exhibited intraspecific
aflatoxin inhibition when grown together in suspended disc culture were not
inhibited when grown in a filter insert-plate well system separated by a .4 or 3
um membrane. Toxigenic and atoxigenic conidial mixtures (50?50) placed on both
sides of these filters restored inhibition. There was ~50% inhibition when a 12
um pore size filter was used. Conidial and mycelial diameters were in the 3.5-7.0
um range and could pass through the 12 um filter. Larger pore sizes in the
initially separated system restored aflatoxin inhibition. This suggests isolates
must come into physical contact with one another. This negates a role for
nutrient competition or for soluble diffusible signals or antibiotics in
aflatoxin inhibition. The toxigenic isolate was maximally sensitive to inhibition
during the first 24 hrs of growth while the atoxigenic isolate was always
inhibition competent. The atoxigenic isolate when grown with a green fluorescent
protein (GFP) toxigenic isolate failed to inhibit aflatoxin indicating that there
is specificity in the touch inhibiton. Several atoxigenic isolates were found
which inhibited the GFP isolate. These results suggest that an unknown signaling
pathway is initiated in the toxigenic isolate by physical interaction with an
appropriate atoxigenic isolate in the first 24 hrs which prevents or down
regulates normal expression of aflatoxin after 3-5 days growth. We suspect thigmo
downregulation of aflatoxin synthesis is the mechanistic basis of intraspecific
aflatoxin inhibition and the major contributor to biological control of aflatoxin
contamination.
PMID- 21886794
TI - Knockdown of dystrophin Dp71 impairs PC12 cells cycle: localization in the
spindle and cytokinesis structures implies a role for Dp71 in cell division.
AB - The function of dystrophin Dp71 in neuronal cells remains to be established.
Previously, we revealed the involvement of this protein in both nerve growth
factor (NGF)-induced neuronal differentiation and cell adhesion by isolation and
characterization of PC12 neuronal cells with depleted levels of Dp71. In this
work, a novel phenotype of Dp71-knockdown cells was characterized, which is their
delayed growth rate. Cell cycle analyses revealed an altered behavior of Dp71
depleted cells, which consists of a delay in G0/G1 transition and an increase in
apoptosis during nocodazole-induced mitotic arrest. Dp71 associates with lamin B1
and beta-dystroglycan, proteins involved in aspects of the cell division cycle;
therefore, we compared the distribution of Dp71 with that of lamin B1 and beta
dystroglycan in PC12 cells at mitosis and cytokinesis by means of
immunofluorescence and confocal microscopy analysis. All of these three proteins
exhibited a similar immunostaining pattern, localized at mitotic spindle,
cleavage furrow, and midbody. It is noteworthy that a drastic decreased staining
in mitotic spindle, cleavage furrow, and midbody was observed for both lamin B1
and beta-dystroglycan in Dp71-depleted cells. Furthermore, we demonstrated the
interaction of Dp71 with lamin B1 in PC12 cells by immunoprecipitation and pull
down assays, and importantly, we revealed that knockdown of Dp71 expression
caused a marked reduction in lamin B1 levels and altered localization of the
nuclear envelope protein emerin. Our data indicate that Dp71 is a component of
the mitotic spindle and cytokinesis multi-protein apparatuses that might modulate
the cell division cycle by affecting lamin B1 and beta-dystroglycan levels.
PMID- 21886795
TI - Modulation of syndecan-1 shedding after hemorrhagic shock and resuscitation.
AB - The early use of fresh frozen plasma as a resuscitative agent after hemorrhagic
shock has been associated with improved survival, but the mechanism of protection
is unknown. Hemorrhagic shock causes endothelial cell dysfunction and we
hypothesized that fresh frozen plasma would restore endothelial integrity and
reduce syndecan-1 shedding after hemorrhagic shock. A prospective, observational
study in severely injured patients in hemorrhagic shock demonstrated
significantly elevated levels of syndecan-1 (554+/-93 ng/ml) after injury, which
decreased with resuscitation (187+/-36 ng/ml) but was elevated compared to normal
donors (27+/-1 ng/ml). Three pro-inflammatory cytokines, interferon-gamma,
fractalkine, and interleukin-1beta, negatively correlated while one anti
inflammatory cytokine, IL-10, positively correlated with shed syndecan-1. These
cytokines all play an important role in maintaining endothelial integrity. An in
vitro model of endothelial injury then specifically examined endothelial
permeability after treatment with fresh frozen plasma orlactated Ringers. Shock
or endothelial injury disrupted junctional integrity and increased permeability,
which was improved with fresh frozen plasma, but not lactated Ringers. Changes in
endothelial cell permeability correlated with syndecan-1 shedding. These data
suggest that plasma based resuscitation preserved endothelial syndecan-1 and
maintained endothelial integrity, and may help to explain the protective effects
of fresh frozen plasma after hemorrhagic shock.
PMID- 21886796
TI - High density lipoprotein (HDL) promotes glucose uptake in adipocytes and glycogen
synthesis in muscle cells.
AB - BACKGROUND: High density lipoprotein (HDL) was reported to decrease plasma
glucose and promote insulin secretion in type 2 diabetes patients. This
investigation was designed to determine the effects and mechanisms of HDL on
glucose uptake in adipocytes and glycogen synthesis in muscle cells. METHODS AND
RESULTS: Actions of HDL on glucose uptake and GLUT4 translocation were assessed
with 1-[(3)H]-2-deoxyglucose and plasma membrane lawn, respectively, in 3T3-L1
adipocytes. Glycogen analysis was performed with amyloglucosidase and glucose
oxidase-peroxidase methods in normal and palmitate-treated L6 cells. Small
interfering RNA was used to observe role of scavenger receptor type I (SR-BI) in
glucose uptake of HDL. Corresponding signaling molecules were detected by
immunoblotting. HDL stimulated glucose uptake in a time- and concentration
dependent manner in 3T3-L1 adipocytes. GLUT4 translocation was significantly
increased by HDL. Glycogen deposition got enhanced in L6 muscle cells paralleling
with elevated glycogen synthase kinase3 (GSK3) phosphorylation. Meanwhile,
increased phosphorylations of Akt-Ser473 and AMP activated protein kinase (AMPK)
alpha were detected in 3T3-L1 adipocytes. Glucose uptake and Akt-Ser473
activation but not AMPK-alpha were diminished in SR-BI knock-down 3T3-L1 cells.
CONCLUSIONS: HDL stimulates glucose uptake in 3T3-L1 adipocytes through enhancing
GLUT4 translocation by mechanisms involving PI3K/Akt via SR-BI and AMPK signaling
pathways, and increases glycogen deposition in L6 muscle cells through promoting
GSK3 phosphorylation.
PMID- 21886797
TI - Functional insight into the C-terminal extension of halolysin SptA from
haloarchaeon Natrinema sp. J7.
AB - Halolysin SptA from haloarchaeon Natrinema sp. J7 consists of a subtilisin-like
catalytic domain and a C-terminal extension (CTE) containing two cysteine
residues. In this report, we have investigated the function of the CTE using
recombinant enzymes expressed in Haloferax volcanii WFD11. Deletion of the CTE
greatly reduced but did not abolish protease activity, which suggests that the
CTE is not essential for enzyme folding. Mutational analysis suggests that
residues Cys303 and Cys338 within the CTE form a disulfide bond that make this
domain resistant to autocleavage and proteolysis under hypotonic conditions.
Characterization of full-length and CTE-truncation enzymes indicates the CTE not
only confers extra stability to the enzyme but also assists enzyme activity on
protein substrates by facilitating binding at high salinities. Interestingly,
homology modeling of the CTE yields a beta-jelly roll-like structure similar to
those seen in Claudin-binding domain of Clostridium perfringens enterotoxin
(clostridial C-CPE) and collagen binding domain (CBD), and the CTE also possesses
collagen-binding activity, making it a potential candidate as an anchoring unit
in drug delivery systems.
PMID- 21886798
TI - Opiate sensitization induces FosB/DeltaFosB expression in prefrontal cortical,
striatal and amygdala brain regions.
AB - Sensitization to the effects of drugs of abuse and associated stimuli contributes
to drug craving, compulsive drug use, and relapse in addiction. Repeated opiate
exposure produces behavioral sensitization that is hypothesized to result from
neural plasticity in specific limbic, striatal and cortical systems. DeltaFosB
and FosB are members of the Fos family of transcription factors that are
implicated in neural plasticity in addiction. This study examined the effects of
intermittent morphine treatment, associated with motor sensitization, on
FosB/DeltaFosB levels using quantitative immunohistochemistry. Motor
sensitization was tested in C57BL/6 mice that received six intermittent pre
treatments (on days 1, 3, 5, 8, 10, 12) with either subcutaneous morphine (10
mg/kg) or saline followed by a challenge injection of morphine or saline on day
16. Mice receiving repeated morphine injections demonstrated significant
increases in locomotor activity on days 8, 10, and 12 of treatment (vs. day 1),
consistent with development of locomotor sensitization. A morphine challenge on
day 16 significantly increased locomotor activity of saline pre-treated mice and
produced even larger increases in motor activity in the morphine pre-treated
mice, consistent with the expression of opiate sensitization. Intermittent
morphine pre-treatment on these six pre-treatment days produced a significant
induction of FosB/DeltaFosB, measured on day 16, in multiple brain regions
including prelimbic (PL) and infralimbic (IL) cortex, nucleus accumbens (NAc)
core, dorsomedial caudate-putamen (CPU), basolateral amygdala (BLA) and central
nucleus of the amygdala (CNA) but not in a motor cortex control region. Opiate
induced sensitization may develop via Fos/DeltaFosB plasticity in motivational
pathways (NAc), motor outputs (CPU), and associative learning (PL, IL, BLA) and
stress pathways (CNA).
PMID- 21886799
TI - Can interactions between timing of vaccine-altered influenza pandemic waves and
seasonality in influenza complications lead to more severe outcomes?
AB - Vaccination can delay the peak of a pandemic influenza wave by reducing the
number of individuals initially susceptible to influenza infection. Emerging
evidence indicates that susceptibility to severe secondary bacterial infections
following a primary influenza infection may vary seasonally, with peak
susceptibility occurring in winter. Taken together, these two observations
suggest that vaccinating to prevent a fall pandemic wave might delay it long
enough to inadvertently increase influenza infections in winter, when primary
influenza infection is more likely to cause severe outcomes. This could
potentially cause a net increase in severe outcomes. Most pandemic models
implicitly assume that the probability of severe outcomes does not vary
seasonally and hence cannot capture this effect. Here we show that the
probability of intensive care unit (ICU) admission per influenza infection in the
2009 H1N1 pandemic followed a seasonal pattern. We combine this with an influenza
transmission model to investigate conditions under which a vaccination program
could inadvertently shift influenza susceptibility to months where the risk of
ICU admission due to influenza is higher. We find that vaccination in advance of
a fall pandemic wave can actually increase the number of ICU admissions in
situations where antigenic drift is sufficiently rapid or where importation of a
cross-reactive strain is possible. Moreover, this effect is stronger for
vaccination programs that prevent more primary influenza infections. Sensitivity
analysis indicates several mechanisms that may cause this effect. We also find
that the predicted number of ICU admissions changes dramatically depending on
whether the probability of ICU admission varies seasonally, or whether it is held
constant. These results suggest that pandemic planning should explore the
potential interactions between seasonally varying susceptibility to severe
influenza outcomes and the timing of vaccine-altered pandemic influenza waves.
PMID- 21886800
TI - Evaluating health workers' potential resistance to new interventions: a role for
discrete choice experiments.
AB - BACKGROUND: The currently recommended approach for preventing malaria in
pregnancy (MiP), intermittent preventive treatment with sulphadoxine
pyrimethamine (SP-IPT), has been questioned due to the spread of resistance to
SP. Whilst trials are underway to test the efficacy of future alternative
approaches, it is important to start exploring the feasibility of their
implementation. METHODS AND FINDINGS: This study uses a discrete choice
experiment (DCE) method to assess the potential resistance of health workers to
changing strategies for control of MiP. In Ashanti region in Ghana, 133 antenatal
clinic health workers were presented with 16 choice sets of two alternative
policy options, each consisting of a bundle of six attributes representing
certain clinical guidelines for controlling MiP (type of approach and drug used),
possible associated maternal and neo-natal outcomes, workload and financial
incentives. The data were analysed using a random effects logit model. Overall,
staff showed a preference for a curative approach with pregnant women tested for
malaria parasites and treated only if positive, compared to a preventive approach
(OR 1.6; p = 0.001). Increasing the incidence of low birth weight or severe
anaemia by 1% would reduce the odds of preferring an approach by 18% and 10%
respectively. Midwives were more resistant to potential changes to current
guidelines than lower-level cadres. CONCLUSIONS: In Ashanti Region, resistance to
change by antenatal clinic workers from a policy of SP-IPT to IST would generally
be low, and it would disappear amongst midwives if health outcomes for the mother
and baby were improved by the new strategy. DCEs are a promising approach to
identifying factors that will increase the likelihood of effective implementation
of new interventions immediately after their efficacy has been proven.
PMID- 21886801
TI - The neuronal transition probability (NTP) model for the dynamic progression of
non-REM sleep EEG: the role of the suprachiasmatic nucleus.
AB - Little attention has gone into linking to its neuronal substrates the dynamic
structure of non-rapid-eye-movement (NREM) sleep, defined as the pattern of time
course power in all frequency bands across an entire episode. Using the spectral
power time-courses in the sleep electroencephalogram (EEG), we showed in the
typical first episode, several moves towards-and-away from deep sleep, each
having an identical pattern linking the major frequency bands beta, sigma and
delta. The neuronal transition probability model (NTP)--in fitting the data well-
successfully explained the pattern as resulting from stochastic transitions of
the firing-rates of the thalamically-projecting brainstem-activating neurons,
alternating between two steady dynamic-states (towards-and-away from deep sleep)
each initiated by a so-far unidentified flip-flop. The aims here are to identify
this flip-flop and to demonstrate that the model fits well all NREM episodes, not
just the first. Using published data on suprachiasmatic nucleus (SCN) activity we
show that the SCN has the information required to provide a threshold-triggered
flip-flop for TIMING the towards-and-away alternations, information provided by
sleep-relevant feedback to the SCN. NTP then determines the PATTERN of spectral
power within each dynamic-state. NTP was fitted to individual NREM episodes 1-4,
using data from 30 healthy subjects aged 20-30 years, and the quality of fit for
each NREM measured. We show that the model fits well all NREM episodes and the
best-fit probability-set is found to be effectively the same in fitting all
subject data. The significant model-data agreement, the constant probability
parameter and the proposed role of the SCN add considerable strength to the
model. With it we link for the first time findings at cellular level and detailed
time-course data at EEG level, to give a coherent picture of NREM dynamics over
the entire night and over hierarchic brain levels all the way from the SCN to the
EEG.
PMID- 21886802
TI - Assessing Google flu trends performance in the United States during the 2009
influenza virus A (H1N1) pandemic.
AB - BACKGROUND: Google Flu Trends (GFT) uses anonymized, aggregated internet search
activity to provide near-real time estimates of influenza activity. GFT estimates
have shown a strong correlation with official influenza surveillance data. The
2009 influenza virus A (H1N1) pandemic [pH1N1] provided the first opportunity to
evaluate GFT during a non-seasonal influenza outbreak. In September 2009, an
updated United States GFT model was developed using data from the beginning of
pH1N1. METHODOLOGY/PRINCIPAL FINDINGS: We evaluated the accuracy of each U.S. GFT
model by comparing weekly estimates of ILI (influenza-like illness) activity with
the U.S. Outpatient Influenza-like Illness Surveillance Network (ILINet). For
each GFT model we calculated the correlation and RMSE (root mean square error)
between model estimates and ILINet for four time periods: pre-H1N1, Summer H1N1,
Winter H1N1, and H1N1 overall (Mar 2009-Dec 2009). We also compared the number of
queries, query volume, and types of queries (e.g., influenza symptoms, influenza
complications) in each model. Both models' estimates were highly correlated with
ILINet pre-H1N1 and over the entire surveillance period, although the original
model underestimated the magnitude of ILI activity during pH1N1. The updated
model was more correlated with ILINet than the original model during Summer H1N1
(r = 0.95 and 0.29, respectively). The updated model included more search query
terms than the original model, with more queries directly related to influenza
infection, whereas the original model contained more queries related to influenza
complications. CONCLUSIONS: Internet search behavior changed during pH1N1,
particularly in the categories "influenza complications" and "term for
influenza." The complications associated with pH1N1, the fact that pH1N1 began in
the summer rather than winter, and changes in health-seeking behavior each may
have played a part. Both GFT models performed well prior to and during pH1N1,
although the updated model performed better during pH1N1, especially during the
summer months.
PMID- 21886803
TI - Reverse transcriptase-coupled quantitative real time PCR analysis of cell-free
transcription on the chromatin-assembled p21 promoter.
AB - BACKGROUND: Cell-free eukaryotic transcription assays have contributed
tremendously to the current understanding of the molecular mechanisms that govern
transcription at eukaryotic promoters. Currently, the conventional G-less
cassette transcription assay is one of the simplest and fastest methods for
measuring transcription in vitro. This method requires several components,
including the radioisotope labelling of RNA product during the transcription
reaction followed by visualization of transcripts using autoradiography.
METHODOLOGY/PRINCIPAL FINDINGS: To further simplify and expedite the conventional
G-less cassette transcription assay, we have developed a method to incorporate a
reverse transcriptase-coupled quantitative real time PCR (RT-qPCR). By using DNA
template depletion steps that include DNA template immobilization, Trizol
extraction and DNase I treatment, we have successfully enriched p21 promoter
driven transcripts over DNA templates. The quantification results of RNA
transcripts using the RT-qPCR assay were comparable to the results of the
conventional G-less cassette transcription assay both in naked DNA and chromatin
assembled templates. CONCLUSIONS: We first report a proof-of-concept
demonstration that incorporating RT-qPCR in cell-free transcription assays can be
a simpler and faster alternative method to the conventional radioisotope-mediated
transcription assays. This method will be useful for developing high throughput
in vitro transcription assays and provide quantitative data for RNA transcripts
generated in a defined cell-free transcription reaction.
PMID- 21886804
TI - In vivo induction of Tr1 cells via mucosal dendritic cells and AHR signaling.
AB - BACKGROUND: Type 1 regulatory T (Tr1) cells, characterized by the secretion of
high levels of the anti-inflammatory cytokine interleukin-10 (IL-10), play an
important role in the regulation of autoimmune diseases and transplantation.
However, effective strategies that specifically induce Tr1 cells in vivo are
limited. Furthermore, the pathways controlling the induction of these cells in
vivo are not well understood. METHODOLOGY/PRINCIPAL FINDINGS: Here we report that
nasal administration of anti-CD3 antibody induces suppressive Tr1 cells in mice.
The in vivo induction of Tr1 cells by nasal anti-CD3 is dependent on IL-27
produced by upper airway resident dendritic cells (DCs), and is controlled by the
transcription factors aryl hydrocarbon receptor (AHR) and c-Maf. Subsequently, IL
21 acts in an autocrine fashion to expand and maintain the Tr1 cells induced in
vivo by nasally administered anti-CD3. CONCLUSIONS/SIGNIFICANCE: Our findings
identify a unique approach to generate Tr1 cells in vivo and provide insights
into the mechanisms by which these cells are induced.
PMID- 21886805
TI - Nasopharyngeal colonization and invasive disease are enhanced by the cell wall
hydrolases LytB and LytC of Streptococcus pneumoniae.
AB - BACKGROUND: Streptococcus pneumoniae is a common colonizer of the human
nasopharynx and one of the major pathogens causing invasive disease worldwide.
Dissection of the molecular pathways responsible for colonization, invasion, and
evasion of the immune system will provide new targets for antimicrobial or
vaccine therapies for this common pathogen. METHODOLOGY/PRINCIPAL FINDINGS: We
have constructed mutants lacking the pneumococcal cell wall hydrolases (CWHs)
LytB and LytC to investigate the role of these proteins in different phases of
the pneumococcal pathogenesis. Our results show that LytB and LytC are involved
in the attachment of S. pneumoniae to human nasopharyngeal cells both in vitro
and in vivo. The interaction of both proteins with phagocytic cells demonstrated
that LytB and LytC act in concert avoiding pneumococcal phagocytosis mediated by
neutrophils and alveolar macrophages. Furthermore, C3b deposition was increased
on the lytC mutant confirming that LytC is involved in complement evasion. As a
result, the lytC mutant showed a reduced ability to successfully cause
pneumococcal pneumonia and sepsis. Bacterial mutants lacking both LytB and LytC
showed a dramatically impaired attachment to nasopharyngeal cells as well as a
marked degree of attenuation in a mouse model of colonization. In addition, C3b
deposition and phagocytosis was more efficient for the double lytB lytC mutant
and its virulence was greatly impaired in both systemic and pulmonary models of
infection. CONCLUSIONS/SIGNIFICANCE: This study confirms that the CWHs LytB and
LytC of S. pneumoniae are essential virulence factors involved in the
colonization of the nasopharynx and in the progress of invasive disease by
avoiding host immunity.
PMID- 21886806
TI - Search for specific biomarkers of IFNbeta bioactivity in patients with multiple
sclerosis.
AB - Myxovirus A (MxA), a protein encoded by the MX1 gene with antiviral activity, has
proven to be a sensitive measure of IFNbeta bioactivity in multiple sclerosis
(MS). However, the use of MxA as a biomarker of IFNbeta bioactivity has been
criticized for the lack of evidence of its role on disease pathogenesis and the
clinical response to IFNbeta. Here, we aimed to identify specific biomarkers of
IFNbeta bioactivity in order to compare their gene expression induction by type I
IFNs with the MxA, and to investigate their potential role in MS pathogenesis.
Gene expression microarrays were performed in PBMC from MS patients who developed
neutralizing antibodies (NAB) to IFNbeta at 12 and/or 24 months of treatment and
patients who remained NAB negative. Nine genes followed patterns in gene
expression over time similar to the MX1, which was considered the gold standard
gene, and were selected for further experiments: IFI6, IFI27, IFI44L, IFIT1,
HERC5, LY6E, RSAD2, SIGLEC1, and USP18. In vitro experiments in PBMC from healthy
controls revealed specific induction of selected biomarkers by IFNbeta but not
IFNgamma, and several markers, in particular USP18 and HERC5, were shown to be
significantly induced at lower IFNbeta concentrations and more selective than the
MX1 as biomarkers of IFNbeta bioactivity. In addition, USP18 expression was
deficient in MS patients compared with healthy controls (p = 0.0004). We propose
specific biomarkers that may be considered in addition to the MxA to evaluate
IFNbeta bioactivity, and to further explore their implication in MS pathogenesis.
PMID- 21886807
TI - The homolog of the five SH3-domain protein (HOFI/SH3PXD2B) regulates lamellipodia
formation and cell spreading.
AB - Motility of normal and transformed cells within and across tissues requires
specialized subcellular structures, e.g. membrane ruffles, lamellipodia and
podosomes, which are generated by dynamic rearrangements of the actin
cytoskeleton. Because the formation of these sub-cellular structures is complex
and relatively poorly understood, we evaluated the role of the adapter protein
SH3PXD2B [HOFI, fad49, Tks4], which plays a role in the development of the eye,
skeleton and adipose tissue. Surprisingly, we find that SH3PXD2B is requisite for
the development of EGF-induced membrane ruffles and lamellipodia, as well as for
efficient cellular attachment and spreading of HeLa cells. Furthermore, SH3PXD2B
is present in a complex with the non-receptor protein tyrosine kinase Src,
phosphorylated by Src, which is consistent with SH3PXD2B accumulating in Src
induced podosomes. Furthermore, SH3PXD2B closely follows the subcellular
relocalization of cortactin to Src-induced podosomes, EGF-induced membrane
ruffles and lamellipodia. Because SH3PXD2B also forms a complex with the C
terminal region of cortactin, we propose that SH3PXD2B is a scaffold protein that
plays a key role in regulating the actin cytoskeleton via Src and cortactin.
PMID- 21886808
TI - Impact of collection method on assessment of semen HIV RNA viral load.
AB - BACKGROUND: The blood HIV RNA viral load is the best-defined predictor of HIV
transmission, in part due to ease of measurement and the correlation of blood and
genital tract (semen or cervico-vaginal) viral load, although recent studies
found semen HIV RNA concentration to be a stronger predictor of HIV transmission.
There is currently no standardized method for semen collection when measuring HIV
RNA concentration. Therefore, we compared two collection techniques in order to
study of the impact of antiretroviral therapy on the semen viral load.
METHODOLOGY/PRINCIPAL FINDINGS: Semen was collected by masturbation from HIV
infected, therapy-naive men who have sex with men (MSM) either undiluted (Visit
1) or directly into transport medium (Visit 2). Seminal plasma was then isolated,
and the HIV RNA concentration obtained with each collection technique was
measured and corrected for dilution if necessary. Collection of semen directly
into transport medium resulted in a median HIV RNA viral load that was 0.4 log10
higher than undiluted samples. CONCLUSIONS/SIGNIFICANCE: The method of semen
collection is an important consideration when quantifying the HIV RNA viral load
in this compartment.
PMID- 21886809
TI - Efficient non-viral reprogramming of myoblasts to stemness with a single small
molecule to generate cardiac progenitor cells.
AB - The current protocols for generation of induced pluripotent stem (iPS) cells
involve genome integrating viral vectors which may induce tumorgenesis. The aim
of this study was to develop and optimize a non-viral method without genetic
manipulation for reprogramming of skeletal myoblasts (SMs) using small molecules.
METHODS AND RESULTS: SMs from young male Oct3/4-GFP(+) transgenic mouse were
treated with DNA methyltransferase (DNMT) inhibitor, RG108. Two weeks later,
GFP(+) colonies of SM derived iPS cells (SiPS) expressing GFP and with
morphological similarity of mouse embryonic stem (ESCs) were formed and
propagated in vitro. SiPS were positive for alkaline phosphatase activity,
expressed SSEA1, displayed ES cell specific pluripotency markers and formed
teratoma in nude mice. Optimization of culture conditions for embryoid body (EBs)
formation yielded spontaneously contracting EBs having morphological, molecular,
and ultra-structural similarities with cardiomyocytes and expressed early and
late cardiac markers. miR profiling showed abrogation of let-7 family and
upregulation of ESCs specific miR-290-295 cluster thus indicating that SiPS were
similar to ESCs in miR profile. Four weeks after transplantation into the
immunocompetent mice model of acute myocardial infarction (n = 12 per group),
extensive myogenesis was observed in SiPS transplanted hearts as compared to DMEM
controls (n = 6 per group). A significant reduction in fibrosis and improvement
in global heart function in the hearts transplanted with SiPS derived cardiac
progenitor cells were observed. CONCLUSIONS: Reprogramming of SMs by DNMT
inhibitor is a simple, reproducible and efficient technique more likely to
generate transgene integration-free iPS cells. Cardiac progenitors derived from
iPS cells propagated extensively in the infarcted myocardium without tumorgenesis
and improved cardiac function.
PMID- 21886810
TI - APC(cdh1) mediates degradation of the oncogenic Rho-GEF Ect2 after mitosis.
AB - BACKGROUND: Besides regulation of actin cytoskeleton-dependent functions, Rho
GTPase pathways are essential to cell cycle progression and cell division. Rho,
Rac and Cdc42 regulate G1 to S phase progression and are involved in cytokinesis.
RhoA GDP/GTP cycling is required for normal cytokinesis and recent reports have
shown that the exchange factor Ect2 and the GTPase activating protein MgcRacGAP
regulate RhoA activity during mitosis. We previously showed that the
transcription factors E2F1 and CUX1 regulate expression of MgcRacGAP and Ect2 as
cells enter S-phase. METHODOLOGY/PRINCIPAL FINDINGS: We now report that Ect2 is
subject to proteasomal degradation after mitosis, following ubiquitination by the
APC/C complex and its co-activator Cdh1. A proper nuclear localization of Ect2 is
necessary for its degradation. APC-Cdh1 assembles K11-linked poly-ubiquitin
chains on Ect2, depending upon a stretch of ~25 amino acid residues that contain
a bi-partite NLS, a conventional D-box and two TEK-like boxes. Site-directed
mutagenesis of target sequences generated stabilized Ect2 proteins. Furthermore,
such degradation-resistant mutants of Ect2 were found to activate RhoA and
subsequent signalling pathways and are able to transform NIH3T3 cells.
CONCLUSIONS/SIGNIFICANCE: Our results identify Ect2 as a bona fide cell cycle
regulated protein and suggest that its ubiquitination-dependent degradation may
play an important role in RhoA regulation at the time of mitosis. Our findings
raise the possibility that the overexpression of Ect2 that has been reported in
some human tumors might result not only from deregulated transcription, but also
from impaired degradation.
PMID- 21886811
TI - Functional assessment of EnvZ/OmpR two-component system in Shewanella oneidensis.
AB - EnvZ and OmpR constitute the bacterial two-component signal transduction system
known to mediate osmotic stress response in a number of gram-negative bacteria.
In an effort to understand the mechanism through which Shewanella oneidensis
senses and responds to environmental osmolarity changes, structure of the ompR
envZ operon was determined with Northern blotting assay and roles of the
EnvZ/OmpR two-component system in response to various stresses were investigated
with mutational analysis, quantitative reverse transcriptase PCR (qRT-PCR), and
phenotype microarrays. Results from the mutational analysis and qRT-PCR suggested
that the EnvZ/OmpR system contributed to osmotic stress response of S. oneidensis
and very likely engaged a similar strategy employed by E. coli, which involved
reciprocal regulation of two major porin coding genes. Additionally, the ompR
envZ system was also found related to cell motility. We further showed that the
ompR-envZ dependent regulation of porin genes and motility resided almost
completely on ompR and only partially on envZ, indicating additional mechanisms
for OmpR phosphorylation. In contrast to E. coli lacking ompR-envZ, however,
growth of S. oneidensis did not show a significant dependence on ompR-envZ even
under osmotic stress. Further analysis with phenotype microarrays revealed that
the S. oneidensis strains lacking a complete ompR-envZ system displayed
hypersensitivities to a number of agents, especially in alkaline environment.
Taken together, our results suggest that the function of the ompR-envZ system in
S. oneidensis, although still connected with osmoregulation, has diverged
considerably from that of E. coli. Additional mechanism must exist to support
growth of S. oneidensis under osmotic stress.
PMID- 21886812
TI - Transduction of SIV-specific TCR genes into rhesus macaque CD8+ T cells conveys
the ability to suppress SIV replication.
AB - BACKGROUND: The SIV/rhesus macaque model for HIV/AIDS is a powerful system for
examining the contribution of T cells in the control of AIDS viruses. To better
our understanding of CD8(+) T-cell control of SIV replication in CD4(+) T cells,
we asked whether TCRs isolated from rhesus macaque CD8(+) T-cell clones that
exhibited varying abilities to suppress SIV replication could convey their
suppressive properties to CD8(+) T cells obtained from an uninfected/unvaccinated
animal. PRINCIPAL FINDINGS: We transferred SIV-specific TCR genes isolated from
rhesus macaque CD8(+) T-cell clones with varying abilities to suppress SIV
replication in vitro into CD8(+) T cells obtained from an uninfected animal by
retroviral transduction. After sorting and expansion, transduced CD8(+) T-cell
lines were obtained that specifically bound their cognate SIV tetramer. These
cell lines displayed appropriate effector function and specificity, expressing
intracellular IFNgamma upon peptide stimulation. Importantly, the SIV suppression
properties of the transduced cell lines mirrored those of the original TCR donor
clones: cell lines expressing TCRs transferred from highly suppressive clones
effectively reduced wild-type SIV replication, while expression of a non
suppressing TCR failed to reduce the spread of virus. However, all TCRs were able
to suppress the replication of an SIV mutant that did not downregulate MHC-I,
recapitulating the properties of their donor clones. CONCLUSIONS: Our results
show that antigen-specific SIV suppression can be transferred between allogenic T
cells simply by TCR gene transfer. This advance provides a platform for examining
the contributions of TCRs versus the intrinsic effector characteristics of T-cell
clones in virus suppression. Additionally, this approach can be applied to
develop non-human primate models to evaluate adoptive T-cell transfer therapy for
AIDS and other diseases.
PMID- 21886813
TI - The targeting of plasmalemmal ceramide to mitochondria during apoptosis.
AB - Ceramide is a key lipid mediator of cellular processes such as differentiation,
proliferation, growth arrest and apoptosis. During apoptosis, ceramide is
produced within the plasma membrane. Although recent data suggest that the
generation of intracellular ceramide increases mitochondrial permeability, the
source of mitochondrial ceramide remains unknown. Here, we determine whether a
stress-mediated plasmalemmal pool of ceramide might become available to the
mitochondria of apoptotic cells. We have previously established annexin A1--a
member of a family of Ca(2+) and membrane-binding proteins--to be a marker of
ceramide platforms. Using fluorescently tagged annexin A1, we show that, upon its
generation within the plasma membrane, ceramide self-associates into platforms
that subsequently invaginate and fuse with mitochondria. An accumulation of
ceramide within the mitochondria of apoptotic cells was also confirmed using a
ceramide-specific antibody. Electron microscopic tomography confirmed that upon
the formation of ceramide platforms, the invaginated regions of the plasma
membrane extend deep into the cytoplasm forming direct physical contacts with
mitochondrial outer membranes. Ceramide might thus be directly transferred from
the plasma membrane to the mitochondrial outer membrane. It is conceivable that
this "kiss-of-death" increases the permeability of the mitochondrial outer
membrane thereby triggering apoptosis.
PMID- 21886815
TI - Circulating endoglin concentration is not elevated in chronic kidney disease.
AB - BACKGROUND: Soluble endoglin, a TGF-beta receptor, plays a key role in
cardiovascular physiology. Whether circulating concentrations of soluble endoglin
are elevated in CKD or underlie the high risk of cardiovascular death associated
with chronic kidney disease (CKD) is unknown. METHODS: Individuals with and
without CKD were recruited at a single center. Estimated glomerular filtration
rate (eGFR) was estimated using the modified MDRD study equation and the serum
creatinine at the time of recruitment, and patients were assigned to specific CKD
stage according to usual guidelines. Serum endoglin concentration was measured by
ELISA and univariate and multivariable regression was used to analyze the
association between eGFR or CKD stage and the concentration of soluble endoglin.
RESULTS: Serum endoglin was measured in 216 patients including 118 with stage 3
or higher CKD and 9 individuals with end stage renal disease (ESRD). Serum
endoglin concentration did not vary significantly with CKD stage (increase of
0.16 ng/mL per 1 stage increase in CKD, P = 0.09) or eGFR (decrease -0.06 ng/mL
per 10 mL/min/1.73 m(2) increase in GFR, P = 0.12), and was not higher in
individuals with ESRD than in individuals with preserved renal function (4.2+/
1.1 and 4.3+/-1.2 ng/mL, respectively). Endoglin concentration was also not
significantly associated with urinary albumin excretion. CONCLUSIONS: Renal
function is not associated with the circulating concentration of soluble
endoglin. Elevations in soluble endoglin concentration are unlikely to contribute
to the progression of CKD or the predisposition of individuals with CKD to
develop cardiovascular disease.
PMID- 21886814
TI - Novel inducers of the envelope stress response BaeSR in Salmonella Typhimurium:
BaeR is critically required for tungstate waste disposal.
AB - The RpoE and CpxR regulated envelope stress responses are extremely important for
Salmonella Typhimurium to cause infection in a range of hosts. Until now the role
for BaeSR in both the Salmonella Typhimurium response to stress and its
contribution to infection have not been fully elucidated. Here we demonstrate
stationary phase growth, iron and sodium tungstate as novel inducers of the
BaeRregulon, with BaeR critically required for Salmonella resistance to sodium
tungstate. We show that functional overlap between the resistance nodulation-cell
division (RND) multidrug transporters, MdtA, AcrD and AcrB exists for the waste
disposal of tungstate from the cell. We also point to a role for
enterobactinsiderophores in the protection of enteric organisms from tungstate,
akin to the scenario in nitrogen fixing bacteria. Surprisingly, BaeR is the first
envelope stress response pathway investigated in S. Typhimurium that is not
required for murine typhoid in either ity(S) or ity(R) mouse backgrounds. BaeR is
therefore either required for survival in larger mammals such as pigs or calves,
an avian host such as chickens, or survival out with the host altogether where
Salmonella and related enterics must survive in soil and water.
PMID- 21886816
TI - Pilot, randomized study assessing safety, tolerability and efficacy of simplified
LPV/r maintenance therapy in HIV patients on the 1 PI-based regimen.
AB - OBJECTIVES: To compare the efficacy and safety of an individualized treatment
simplification strategy consisting of switching from a highly-active anti
retroviral treatment (HAART) with a ritonavir-boosted protease inhibitor (PI/r)
and 2 nucleoside reverse-transcriptase inhibitors (NRTIs) to lopinavir/ritonavir
(LPV/r) monotherapy, with intensification by 2 NRTIs if necessary, to that of
continuing their HAART. METHODS: This is a one-year, randomized, open-label,
multi-center study in virologically-suppressed HIV-1-infected adults on their
first PI/r-containing treatment, randomized to either LPV/r-monotherapy or
continue their current treatment. Treatment efficacy was determined by plasma HIV
1 RNA viral load (VL), time-to-virologic rebound, patient-reported outcomes
(PROs) and CD4+T-cell-count changes. Safety was assessed with the incidence of
treatment-emergent adverse events (AE). RESULTS: Forty-one patients were
randomized to LPV/r and 39 to continue their HAART. No statistically-significant
differences between the two study groups in demographics and baseline
characteristics were observed. At day-360, 71(39:LPV/r;32:HAART) patients
completed treatment, while 9(2:LPV/r;7:HAART) discontinued. In a Last Observation
Carried Forward Intent-to-Treat analysis, 40(98%) patients on LPV/r and 37(95%)
on HAART had VL<200 copies/mL (P = 0.61). Time-to-virologic rebound, changes in
PROs, CD4+ T-cell-count and VL from baseline, also exhibited no statistically
significant between-group differences. Most frequent AEs were diarrhea (19%),
headache (18%) and influenza (16%). Four (10%) patients on LPV/r were intensified
with 2 NRTIs, all regaining virologic control. Eight serious AEs were reported by
5(2:LPV/r;3:HAART) patients. CONCLUSION: At day-360, virologic efficacy and
safety of LPV/r appears comparable to that of a PI+2NRTIs HAART. These results
suggest that our individualized, simplified maintenance strategy with LPV/r
monotherapy and protocol-mandated NRTI re-introduction upon viral rebound, in
virologically-suppressed patients merits further prospective long-term
evaluation. TRIAL REGISTRATION: ClinicalTrials.gov NCT00159224.
PMID- 21886817
TI - A plant DJ-1 homolog is essential for Arabidopsis thaliana chloroplast
development.
AB - Protein superfamilies can exhibit considerable diversification of function among
their members in various organisms. The DJ-1 superfamily is composed of proteins
that are principally involved in stress response and are widely distributed in
all kingdoms of life. The model flowering plant Arabidopsis thaliana contains
three close homologs of animal DJ-1, all of which are tandem duplications of the
DJ-1 domain. Consequently, the plant DJ-1 homologs are likely pseudo-dimeric
proteins composed of a single polypeptide chain. We report that one A. thaliana
DJ-1 homolog (AtDJ1C) is the first DJ-1 homolog in any organism that is required
for viability. Homozygous disruption of the AtDJ1C gene results in non-viable,
albino seedlings that can be complemented by expression of wild-type or epitope
tagged AtDJ1C. The plastids from these dj1c plants lack thylakoid membranes and
granal stacks, indicating that AtDJ1C is required for proper chloroplast
development. AtDJ1C is expressed early in leaf development when chloroplasts
mature, but is downregulated in older tissue, consistent with a proposed role in
plastid development. In addition to its plant-specific function, AtDJ1C is an
atypical member of the DJ-1 superfamily that lacks a conserved cysteine residue
that is required for the functions of most other superfamily members. The
essential role for AtDJ1C in chloroplast maturation expands the known functional
diversity of the DJ-1 superfamily and provides the first evidence of a role for
specialized DJ-1-like proteins in eukaryotic development.
PMID- 21886818
TI - The Candida albicans Ku70 modulates telomere length and structure by regulating
both telomerase and recombination.
AB - The heterodimeric Ku complex has been shown to participate in DNA repair and
telomere regulation in a variety of organisms. Here we report a detailed
characterization of the function of Ku70 in the diploid fungal pathogen Candida
albicans. Both ku70 heterozygous and homozygous deletion mutants have a wild-type
colony and cellular morphology, and are not sensitive to MMS or UV light.
Interestingly, we observed complex effects of KU70 gene dosage on telomere
lengths, with the KU70/ku70 heterozygotes exhibiting slightly shorter telomeres,
and the ku70 null strain exhibiting long and heterogeneous telomeres. Analysis of
combination mutants suggests that the telomere elongation in the ku70 null mutant
is due mostly to unregulated telomerase action. In addition, elevated levels of
extrachromosomal telomeric circles were detected in the null mutant, consistent
with activation of aberrant telomeric recombination. Altogether, our observations
point to multiple mechanisms of the Ku complex in telomerase regulation and
telomere protection in C. albicans, and reveal interesting similarities and
differences in the mechanisms of the Ku complex in disparate systems.
PMID- 21886819
TI - The magnitude and kinetics of the mucosal HIV-specific CD8+ T lymphocyte response
and virus RNA load in breast milk.
AB - BACKGROUND: The risk of postnatal HIV transmission is associated with the
magnitude of the milk virus load. While HIV-specific cellular immune responses
control systemic virus load and are detectable in milk, the contribution of these
responses to the control of virus load in milk is unknown. METHODS: We assessed
the magnitude of the immunodominant GagRY11 and subdominant EnvKY9-specific CD8+
T lymphocyte response in blood and milk of 10 A*3002+, HIV-infected Malawian
women throughout the period of lactation and correlated this response to milk
virus RNA load and markers of breast inflammation. RESULTS: The magnitude and
kinetics of the HIV-specific CD8+ T lymphocyte responses were discordant in blood
and milk of the right and left breast, indicating independent regulation of these
responses in each breast. However, there was no correlation between the magnitude
of the HIV-specific CD8+ T lymphocyte response and the milk virus RNA load.
Further, there was no correlation between the magnitude of this response and
markers of breast inflammation. CONCLUSIONS: The magnitude of the HIV-specific
CD8+ T lymphocyte response in milk does not appear to be solely determined by the
milk virus RNA load and is likely only one of the factors contributing to
maintenance of low virus load in milk.
PMID- 21886820
TI - Structural brain changes related to disease duration in patients with asthma.
AB - Dyspnea is the impairing, cardinal symptom patients with asthma repeatedly
experience over the course of the disease. However, its accurate perception is
also crucial for timely initiation of treatment. Reduced perception of dyspnea is
associated with negative treatment outcome, but the underlying brain mechanisms
of perceived dyspnea in patients with asthma remain poorly understood. We
examined whether increasing disease duration in fourteen patients with mild-to
moderate asthma is related to structural brain changes in the insular cortex and
brainstem periaqueductal grey (PAG). In addition, the association between
structural brain changes and perceived dyspnea were studied. By using magnetic
resonance imaging in combination with voxel-based morphometry, gray matter
volumes of the insular cortex and the PAG were analysed and correlated with
asthma duration and perceived affective unpleasantness of resistive load induced
dyspnea. Whereas no associations were observed for the insular cortex, longer
duration of asthma was associated with increased gray matter volume in the PAG.
Moreover, increased PAG gray matter volume was related to reduced ratings of
dyspnea unpleasantness. Our results demonstrate that increasing disease duration
is associated with increased gray matter volume in the brainstem PAG in patients
with mild-to-moderate asthma. This structural brain change might contribute to
the reduced perception of dyspnea in some patients with asthma and negatively
impact the treatment outcome.
PMID- 21886821
TI - Fine-scale variation in vector host use and force of infection drive localized
patterns of West Nile virus transmission.
AB - The influence of host diversity on multi-host pathogen transmission and
persistence can be confounded by the large number of species and biological
interactions that can characterize many transmission systems. For vector-borne
pathogens, the composition of host communities has been hypothesized to affect
transmission; however, the specific characteristics of host communities that
affect transmission remain largely unknown. We tested the hypothesis that vector
host use and force of infection (i.e., the summed number of infectious mosquitoes
resulting from feeding upon each vertebrate host within a community of hosts),
and not simply host diversity or richness, determine local infection rates of
West Nile virus (WNV) in mosquito vectors. In suburban Chicago, Illinois, USA, we
estimated community force of infection for West Nile virus using data on Culex
pipiens mosquito host selection and WNV vertebrate reservoir competence for each
host species in multiple residential and semi-natural study sites. We found host
community force of infection interacted with avian diversity to influence WNV
infection in Culex mosquitoes across the study area. Two avian species, the
American robin (Turdus migratorius) and the house sparrow (Passer domesticus),
produced 95.8% of the infectious Cx. pipiens mosquitoes and showed a significant
positive association with WNV infection in Culex spp. mosquitoes. Therefore,
indices of community structure, such as species diversity or richness, may not be
reliable indicators of transmission risk at fine spatial scales in vector-borne
disease systems. Rather, robust assessment of local transmission risk should
incorporate heterogeneity in vector host feeding and variation in vertebrate
reservoir competence at the spatial scale of vector-host interaction.
PMID- 21886822
TI - Expression of cystathionine beta-synthase and cystathionine gamma-lyase in human
pregnant myometrium and their roles in the control of uterine contractility.
AB - BACKGROUND: Human uterus undergoes distinct molecular and functional changes
during pregnancy and parturition. Hydrogen sulfide (H(2)S) has recently been
shown to play a key role in the control of smooth muscle tension. The role of
endogenous H(2)S produced locally in the control of uterine contractility during
labour is unknown. METHODOLOGY/PRINCIPAL FINDINGS: Human myometrium biopsies were
obtained from pregnant women undergoing cesarean section at term.
Immunohistochemistry analysis showed that cystathionine-gamma-lyase (CSE) and
cystathionine-beta-synthetase (CBS), the principle enzymes responsible for H(2)S
generation, were mainly localized to smooth muscle cells of human pregnant
myometrium. The mRNA and protein expression of CBS as well as H(2)S production
rate were down-regulated in labouring tissues compared to nonlabouring tissues.
Cumulative administration of L-cysteine (10(-7)-10(-2) mol/L), a precursor of
H(2)S, caused a dose-dependent decrease in the amplitude of spontaneous
contractions in nonlabouring and labouring myometrium strips. L-cysteine at high
concentration (10(-3) mol/L) increased the frequency of spontaneous contractions
and induced tonic contraction. These effects of L-cysteine were blocked by the
inhibitors of CBS and CSE. Pre-treatment of myometrium strips with glibenclamide,
an inhibitor of ATP-sensitive potassium (K(ATP)) channels, abolished the
inhibitory effect of L-cysteine on spontaneous contraction amplitude. The effects
of L-cysteine on the amplitude of spontaneous contractions and baseline muscle
tone were less potent in labouring tissues than that in nonlabouring strips.
CONCLUSION/SIGNIFICANCE: H(2)S generated by CSE and CBS locally exerts dual
effects on the contractility of pregnant myometrium. Expression of H(2)S
synthetic enzymes is down-regulated during labour, suggesting that H(2)S is one
of the factors involved in the transition of pregnant uterus from quiescence to
contractile state after onset of parturition.
PMID- 21886823
TI - Iron uptake mediated by binding of H-ferritin to the TIM-2 receptor in mouse
cells.
AB - Ferritin binds specifically and saturably to a variety of cell types, and
recently several ferritin receptors have been cloned. TIM-2 is a specific
receptor for H ferritin (HFt) in the mouse. TIM-2 is a member of the T cell
immunoglobulin and mucin domain containing (TIM) protein family and plays an
important role in immunity. The expression of TIM-2 outside of the immune system
indicates that this receptor may have broader roles. We tested whether ferritin
binding to TIM-2 can serve as an iron delivery mechanism. TIM-2 was transfected
into normal (TCMK-1) mouse kidney cells, where it was appropriately expressed on
the cell surface. HFt was labeled with (55)Fe and (55)Fe-HFt was incubated with
TIM-2 positive cells or controls. (55)Fe-HFt uptake was observed only in TIM-2
positive cells. HFt uptake was also seen in A20 B cells, which express endogenous
TIM-2. TIM-2 levels were not increased by iron chelation. Uptake of (55)Fe-HFt
was specific and temperature-dependent. HFt taken up by TIM-2 positive cells
transited through the endosome and eventually entered a lysosomal compartment,
distinguishing the HFt pathway from that of transferrin, the classical vehicle
for cellular iron delivery. Iron delivered following binding of HFt to TIM-2
entered the cytosol and became metabolically available, resulting in increased
levels of endogenous intracellular ferritin. We conclude that TIM-2 can function
as an iron uptake pathway.
PMID- 21886824
TI - Analysis of factors lowering sensitivity of interferon-gamma release assay for
tuberculosis.
AB - BACKGROUND: Imperfect sensitivity of interferon-gamma release assay (IGRA) is a
potential problem to detect tuberculosis. We made a thorough investigation of the
factors that can lead to false negativity of IGRA. METHODS: We recruited 543
patients with new smear-positive pulmonary tuberculosis in Hanoi, Viet Nam. At
diagnosis, peripheral blood was collected and IGRA (QuantiFERON-TB Gold In-Tube)
was performed. Clinical and epidemiological information of the host and pathogen
was collected. The test sensitivity was calculated and factors negatively
influencing IGRA results were evaluated using a logistic regression model in 504
patients with culture-confirmed pulmonary tuberculosis. RESULTS: The overall
sensitivity of IGRA was 92.3% (95% CI, 89.6%-94.4%). The proportions of IGRA
negative and -indeterminate results were 4.8% (95% CI, 3.1%-7.0%) and 3.0% (95%
CI, 1.7%-4.9%). Age increased by year, body mass index <16.0, HIV co-infection
and the increased number of HLA-DRB1*0701 allele that patients bear showed
significant associations with IGRA negativity (OR = 1.04 [95% CI, 1.01-1.07],
5.42 [1.48-19.79], 6.38 [1.78-22.92] and 5.09 [2.31-11.22], respectively). HIV co
infection and the same HLA allele were also associated with indeterminate results
(OR = 99.59 [95% CI, 15.58-625.61] and 4.25 [1.27-14.16]). CONCLUSIONS: Aging,
emaciation, HIV co-infection and HLA genotype affected IGRA results. Assessment
of these factors might contribute to a better understanding of the assay.
PMID- 21886825
TI - Very low tidal volume ventilation with associated hypercapnia--effects on lung
injury in a model for acute respiratory distress syndrome.
AB - BACKGROUND: Ventilation using low tidal volumes with permission of hypercapnia is
recommended to protect the lung in acute respiratory distress syndrome. However,
the most lung protective tidal volume in association with hypercapnia is unknown.
The aim of this study was to assess the effects of different tidal volumes with
associated hypercapnia on lung injury and gas exchange in a model for acute
respiratory distress syndrome. METHODOLOGY/PRINCIPAL FINDINGS: In this randomized
controlled experiment sixty-four surfactant-depleted rabbits were exposed to 6
hours of mechanical ventilation with the following targets: Group 1: tidal volume
= 8-10 ml/kg/PaCO(2) = 40 mm Hg; Group 2: tidal volume = 4-5 ml/kg/PaCO(2) = 80
mm Hg; Group 3: tidal volume = 3-4 ml/kg/PaCO(2) = 120 mm Hg; Group 4: tidal
volume = 2-3 ml/kg/PaCO(2) = 160 mm Hg. Decreased wet-dry weight ratios of the
lungs, lower histological lung injury scores and higher PaO(2) were found in all
low tidal volume/hypercapnia groups (group 2, 3, 4) as compared to the group with
conventional tidal volume/normocapnia (group 1). The reduction of the tidal
volume below 4-5 ml/kg did not enhance lung protection. However, oxygenation and
lung protection were maintained at extremely low tidal volumes in association
with very severe hypercapnia and no adverse hemodynamic effects were observed
with this strategy. CONCLUSION: Ventilation with low tidal volumes and associated
hypercapnia was lung protective. A tidal volume below 4-5 ml/kg/PaCO(2) 80 mm Hg
with concomitant more severe hypercapnic acidosis did not increase lung
protection in this surfactant deficiency model. However, even at extremely low
tidal volumes in association with severe hypercapnia lung protection and
oxygenation were maintained.
PMID- 21886826
TI - Elevated plasma corticosterone decreases yolk testosterone and progesterone in
chickens: linking maternal stress and hormone-mediated maternal effects.
AB - Despite considerable research on hormone-mediated maternal effects in birds, the
underlying physiology remains poorly understood. This study investigated a
potential regulation mechanism for differential accumulation of gonadal hormones
in bird eggs. Across vertebrates, glucocorticoids can suppress reproduction by
downregulating gonadal hormones. Using the chicken as a model species, we
therefore tested whether elevated levels of plasma corticosterone in female birds
influence the production of gonadal steroids by the ovarian follicles and thus
the amount of reproductive hormones in the egg yolk. Adult laying hens of two
different strains (ISA brown and white Leghorn) were implanted subcutaneously
with corticosterone pellets that elevated plasma corticosterone concentrations
over a period of nine days. Steroid hormones were subsequently quantified in
plasma and yolk. Corticosterone-implanted hens of both strains had lower plasma
progesterone and testosterone levels and their yolks contained less progesterone
and testosterone. The treatment also reduced egg and yolk mass. Plasma estrogen
concentrations decreased in white Leghorns only whereas in both strains yolk
estrogens were unaffected. Our results demonstrate for the first time that
maternal plasma corticosterone levels influence reproductive hormone
concentrations in the yolk. Maternal corticosterone could therefore mediate
environmentally induced changes in yolk gonadal hormone concentrations. In
addition, stressful situations experienced by the bird mother might affect the
offspring via reduced amounts of reproductive hormones present in the egg as well
as available nutrients for the embryo.
PMID- 21886827
TI - Genetic variants of human granzyme B predict transplant outcomes after HLA
matched unrelated bone marrow transplantation for myeloid malignancies.
AB - Serine protease granzyme B plays important roles in infections, autoimmunity,
transplant rejection, and antitumor immunity. A triple-mutated granzyme B variant
that encodes three amino substitutions (Q48R, P88A, and Y245H) has been reported
to have altered biological functions. In the polymorphism rs8192917 (2364A>G),
the A and G alleles represent wild type QPY and RAH mutant variants,
respectively. In this study, we analyzed the impact of granzyme B polymorphisms
on transplant outcomes in recipients undergoing unrelated HLA-fully matched T
cell-replete bone marrow transplantation (BMT) through the Japan Donor Marrow
Program. The granzyme B genotypes were retrospectively analyzed in a cohort of
613 pairs of recipients with hematological malignancies and their unrelated
donors. In patients with myeloid malignancies consisting of acute myeloid
leukemia and myelodysplastic syndrome, the donor G/G or A/G genotype was
associated with improved overall survival (OS; adjusted hazard ratio [HR], 0.60;
95% confidence interval [CI], 0.41-0.89; P = 0.01) as well as transplant related
mortality (TRM; adjusted HR, 0.48; 95% CI, 0.27-0.86, P = 0.01). The recipient
G/G or A/G genotype was associated with a better OS (adjusted HR, 0.68; 95% CI,
0.47-0.99; P = 0.05) and a trend toward a reduced TRM (adjusted HR, 0.61; 95% CI,
0.35-1.06; P = 0.08). Granzyme B polymorphism did not have any effect on the
transplant outcomes in patients with lymphoid malignancies consisting of acute
lymphoid leukemia and malignant lymphoma. These data suggest that there is an
association between the granzyme B genotype and better clinical outcomes in
patients with myeloid malignancies after unrelated BMT.
PMID- 21886828
TI - Characterisation of genome-wide association epistasis signals for serum uric acid
in human population isolates.
AB - Genome-wide association (GWA) studies have identified a number of loci underlying
variation in human serum uric acid (SUA) levels with the SLC2A9 gene having the
largest effect identified so far. Gene-gene interactions (epistasis) are largely
unexplored in these GWA studies. We performed a full pair-wise genome scan in the
Italian MICROS population (n = 1201) to characterise epistasis signals in SUA
levels. In the resultant epistasis profile, no SNP pairs reached the Bonferroni
adjusted threshold for the pair-wise genome-wide significance. However, SLC2A9
was found interacting with multiple loci across the genome, with NFIA-SLC2A9 and
SLC2A9-ESRRAP2 being significant based on a threshold derived for interactions
between GWA significant SNPs and the genome and jointly explaining 8.0% of the
phenotypic variance in SUA levels (3.4% by interaction components). Epistasis
signal replication in a CROATIAN population (n = 1772) was limited at the SNP
level but improved dramatically at the gene ontology level. In addition, gene
ontology terms enriched by the epistasis signals in each population support links
between SUA levels and neurological disorders. We conclude that GWA epistasis
analysis is useful despite relatively low power in small isolated populations.
PMID- 21886829
TI - Metastasis of tumor cells is enhanced by downregulation of Bit1.
AB - BACKGROUND: Resistance to anoikis, which is defined as apoptosis induced by loss
of integrin-mediated cell attachment to the extracellular matrix, is a
determinant of tumor progression and metastasis. We have previously identified
the mitochondrial Bit1 (Bcl-2 inhibitor of transcription) protein as a novel
anoikis effector whose apoptotic function is independent from caspases and is
uniquely controlled by integrins. In this report, we examined the possibility
that Bit1 is suppressed during tumor progression and that Bit1 downregulation may
play a role in tumor metastasis. METHODOLOGY/PRINCIPAL FINDINGS: Using a human
breast tumor tissue array, we found that Bit1 expression is suppressed in a
significant fraction of advanced stages of breast cancer. Targeted disruption of
Bit1 via shRNA technology in lowly aggressive MCF7 cells conferred enhanced
anoikis resistance, adhesive and migratory potential, which correlated with an
increase in active Extracellular kinase regulated (Erk) levels and a decrease in
Erk-directed phosphatase activity. These pro-metastasis phenotypes were also
observed following downregulation of endogenous Bit1 in Hela and B16F1 cancer
cell lines. The enhanced migratory and adhesive potential of Bit1 knockdown cells
is in part dependent on their high level of Erk activation since down-regulating
Erk in these cells attenuated their enhanced motility and adhesive properties.
The Bit1 knockdown pools also showed a statistically highly significant increase
in experimental lung metastasis, with no differences in tumor growth relative to
control clones in vivo using a BALB/c nude mouse model system. Importantly, the
pulmonary metastases of Bit1 knockdown cells exhibited increased phospho-Erk
staining. CONCLUSIONS/SIGNIFICANCE: These findings indicate that downregulation
of Bit1 conferred cancer cells with enhanced anoikis resistance, adhesive and
migratory properties in vitro and specifically potentiated tumor metastasis in
vivo. These results underscore the therapeutic importance of restoring Bit1
expression in cancer cells to circumvent metastasis at least in part through
inhibition of the Erk pathway.
PMID- 21886830
TI - Sex-specific dynamics of global chromatin changes in fetal mouse germ cells.
AB - Mammalian germ cells undergo global reprogramming of DNA methylation during their
development. Global DNA demethylation occurs around the time when the primordial
germ cells colonize the embryonic gonads and this coincides with dynamic changes
in chromatin composition. Global de novo DNA methylation takes place with
remarkably different dynamics between the two sexes, prospermatogonia attaining
methylation during fetal stages and oocytes attaining methylation postnatally.
Our hypothesis was that dynamic changes in chromatin composition may precede or
accompany the wave of global DNA de novo methylation as well. We used
immunocytochemistry to measure global DNA methylation and chromatin components in
male and female mouse fetal germ cells compared to control somatic cells of the
gonad. We found that global DNA methylation levels sharply increased in male germ
cells at 17.5 days post coitum, but remained low in female germ cells at all
fetal stages. Global changes in chromatin composition: i, preceded global DNA
methylation in fetal germ cells; ii, sex specifically occurred in male but not in
female germ cells; iii, affected active and repressive histone marks and iv,
included histone tail and histone globular domain modifications. Our data suggest
that dynamic changes of chromatin composition may provide a framework for the
pattern of male-specific de novo DNA methylation in prospermatogonia.
PMID- 21886832
TI - Hepatitis C virus infection suppresses the interferon response in the liver of
the human hepatocyte chimeric mouse.
AB - BACKGROUND AND AIMS: Recent studies indicate that hepatitis C virus (HCV) can
modulate the expression of various genes including those involved in interferon
signaling, and up-regulation of interferon-stimulated genes by HCV was reported
to be strongly associated with treatment outcome. To expand our understanding of
the molecular mechanism underlying treatment resistance, we analyzed the direct
effects of interferon and/or HCV infection under immunodeficient conditions using
cDNA microarray analysis of human hepatocyte chimeric mice. METHODS: Human serum
containing HCV genotype 1b was injected into human hepatocyte chimeric mice. IFN
alpha was administered 8 weeks after inoculation, and 6 hours later human
hepatocytes in the mouse livers were collected for microarray analysis. RESULTS:
HCV infection induced a more than 3-fold change in the expression of 181 genes,
especially genes related to Organismal Injury and Abnormalities, such as fibrosis
or injury of the liver (P = 5.90E-16~3.66E-03). IFN administration induced more
than 3-fold up-regulation in the expression of 152 genes. Marked induction was
observed in the anti-fibrotic chemokines such as CXCL9, suggesting that IFN
treatment might lead not only to HCV eradication but also prevention and repair
of liver fibrosis. HCV infection appeared to suppress interferon signaling via
significant reduction in interferon-induced gene expression in several genes of
the IFN signaling pathway, including Mx1, STAT1, and several members of the CXCL
and IFI families (P = 6.0E-12). Genes associated with Antimicrobial Response and
Inflammatory Response were also significantly repressed (P = 5.22*10(
10)~1.95*10(-2)). CONCLUSIONS: These results provide molecular insights into
possible mechanisms used by HCV to evade innate immune responses, as well as
novel therapeutic targets and a potential new indication for interferon therapy.
PMID- 21886831
TI - Down-regulated NOD2 by immunosuppressants in peripheral blood cells in patients
with SLE reduces the muramyl dipeptide-induced IL-10 production.
AB - BACKGROUND: Pattern recognition receptors (PRRs) such as Toll-like receptors are
aberrantly expressed of peripheral blood mononuclear cells (PBMCs) in systemic
lupus erythematosus (SLE) patients, for playing immunopathological roles.
METHODOLOGY/PRINCIPAL FINDINGS: We investigated the expression and function of
the PRR nucleotide-binding oligomerization domain (NOD2) in SLE. NOD2 expression
in T, B lymphocytes, monocytes, myeloid dendritic cells (mDCs) and plasmacytoid
dendritic cells (pDCs) was assessed in SLE patients and healthy controls (HCs)
using flow cytometric analysis. Ex vivo production of cytokines from PBMCs upon
NOD2 agonist muramyl dipeptide (MDP) stimulation was assessed using Cytometric
Bead Array. Over-expression of NOD2 in monocytes was observed in
immunosuppressant naive SLE patients, and was positively associated with longer
disease duration. Immunosuppressive therapy was an independent explanatory
variable for downregulating NOD2 expression in CD8+ T, monocytes, mDCs and pDCs.
Ex vivo basal productions of cytokines (IL-6, IL-8 and IL-10) were significantly
increased in immunosuppressant naive patients and patients with active disease
despite immunosuppressants compared with HCs. Upon MDP stimulaiton, relative
induction (%) of cytokines (IL-1beta) from PBMC was significantly increased in
immunosuppressant naive patients with inactive disease, and patients with active
disease despite immunosuppressant treatment compared with HCs. Immunosuppressant
usage was associated with a decreased basal production and MDP induced relative
induction (%) of IL-10 in patients with inactive disease compared with
immunosuppressant naive patients and HCs. CONCLUSIONS/SIGNIFICANCE: Bacterial
exposure may increase the NOD2 expression in monocytes in immunosuppressant naive
SLE patients which can subsequently lead to aberrant activation of PBMCs to
produce proinflammatory cytokines, implicating the innate immune response for
extracellular pathogens in the immunopathological mechanisms in SLE.
Immunosuppressant therapy may downregulate NOD2 expression in CD8+ T lymphocytes,
monocytes, and DCs in SLE patients which subsequently IL-10 reduction,
contributing towards the regulation of immunopathological mechanisms of SLE, at
the expense of increasing risk of bacterial infection.
PMID- 21886834
TI - Structural and dynamical patterns on online social networks: the Spanish May 15th
movement as a case study.
AB - The number of people using online social networks in their everyday life is
continuously growing at a pace never saw before. This new kind of communication
has an enormous impact on opinions, cultural trends, information spreading and
even in the commercial success of new products. More importantly, social online
networks have revealed as a fundamental organizing mechanism in recent country
wide social movements. In this paper, we provide a quantitative analysis of the
structural and dynamical patterns emerging from the activity of an online social
network around the ongoing May 15th (15M) movement in Spain. Our network is made
up by users that exchanged tweets in a time period of one month, which includes
the birth and stabilization of the 15M movement. We characterize in depth the
growth of such dynamical network and find that it is scale-free with communities
at the mesoscale. We also find that its dynamics exhibits typical features of
critical systems such as robustness and power-law distributions for several
quantities. Remarkably, we report that the patterns characterizing the spreading
dynamics are asymmetric, giving rise to a clear distinction between information
sources and sinks. Our study represents a first step towards the use of data from
online social media to comprehend modern societal dynamics.
PMID- 21886833
TI - Mapping functional brain activation using [14C]-iodoantipyrine in male serotonin
transporter knockout mice.
AB - BACKGROUND: Serotonin transporter knockout mice have been a powerful tool in
understanding the role played by the serotonin transporter in modulating
physiological function and behavior. However, little work has examined brain
function in this mouse model. We tested the hypothesis that male knockout mice
show exaggerated limbic activation during exposure to an emotional stressor,
similar to human subjects with genetically reduced transcription of the serotonin
transporter. METHODOLOGY/PRINCIPAL FINDINGS: Functional brain mapping using
[(14)C]-iodoantipyrine was performed during recall of a fear conditioned tone.
Regional cerebral blood flow was analyzed by statistical parametric mapping from
autoradiographs of the three-dimensionally reconstructed brains. During recall,
knockout mice compared to wild-type mice showed increased freezing, increased
regional cerebral blood flow of the amygdala, insula, and barrel field
somatosensory cortex, decreased regional cerebral blood flow of the ventral
hippocampus, and conditioning-dependent alterations in regional cerebral blood
flow in the medial prefrontal cortex (prelimbic, infralimbic, and cingulate).
Anxiety tests relying on sensorimotor exploration showed a small (open field) or
paradoxical effect (marble burying) of loss of the serotonin transporter on
anxiety behavior, which may reflect known abnormalities in the knockout animal's
sensory system. Experiments evaluating whisker function showed that knockout mice
displayed impaired whisker sensation in the spontaneous gap crossing task and
appetitive gap cross training. CONCLUSIONS: This study is the first to
demonstrate altered functional activation in the serotonin transporter knockout
mice of critical nodes of the fear conditioning circuit. Alterations in whisker
sensation and functional activation of barrel field somatosensory cortex extend
earlier reports of barrel field abnormalities, which may confound behavioral
measures relying on sensorimotor exploration.
PMID- 21886835
TI - Modeling two-oscillator circadian systems entrained by two environmental cycles.
AB - Several experimental studies have altered the phase relationship between photic
and non-photic environmental, 24 h cycles (zeitgebers) in order to assess their
role in the synchronization of circadian rhythms. To assist in the interpretation
of the complex activity patterns that emerge from these "conflicting zeitgeber"
protocols, we present computer simulations of coupled circadian oscillators
forced by two independent zeitgebers. This circadian system configuration was
first employed by Pittendrigh and Bruce (1959), to model their studies of the
light and temperature entrainment of the eclosion oscillator in Drosophila.
Whereas most of the recent experiments have restricted conflicting zeitgeber
experiments to two experimental conditions, by comparing circadian oscillator
phases under two distinct phase relationships between zeitgebers (usually 0 and
12 h), Pittendrigh and Bruce compared eclosion phase under 12 distinct phase
relationships, spanning the 24 h interval. Our simulations using non-linear
differential equations replicated complex non-linear phenomena, such as "phase
jumps" and sudden switches in zeitgeber preferences, which had previously been
difficult to interpret. Our simulations reveal that these phenomena generally
arise when inter-oscillator coupling is high in relation to the zeitgeber
strength. Manipulations in the structural symmetry of the model indicated that
these results can be expected to apply to a wide range of system configurations.
Finally, our studies recommend the use of the complete protocol employed by
Pittendrigh and Bruce, because different system configurations can generate
similar results when a "conflicting zeitgeber experiment" incorporates only two
phase relationships between zeitgebers.
PMID- 21886836
TI - Arabidopsis ovate family proteins, a novel transcriptional repressor family,
control multiple aspects of plant growth and development.
AB - BACKGROUND: The Arabidopsis genome contains 18 genes that are predicted to encode
Ovate Family Proteins (AtOFPs), a protein family characterized by a conserved
OVATE domain, an approximately 70-amino acid domain that was originally found in
tomato OVATE protein. Among AtOFP family members, AtOFP1 has been shown to
suppress cell elongation, in part, by suppressing the expression of AtGA20ox1,
AtOFP4 has been shown to regulate secondary cell wall formation by interact with
KNOTTED1-LIKE HOMEODOMAIN PROTEIN 7 (KNAT7), and AtOFP5 has been shown to
regulate the activity of a BEL1-LIKEHOMEODOMAIN 1(BLH1)-KNAT3 complex during
early embryo sac development, but little is known about the function of other
AtOFPs. METHODOLOGY/PRINCIPAL FINDINGS: We demonstrated here that AtOFP proteins
could function as effective transcriptional repressors in the Arabidopsis
protoplast transient expression system. The analysis of loss-of-function alleles
of AtOFPs suggested AtOFP genes may have overlapping function in regulating plant
growth and development, because none of the single mutants identified, including
T-DNA insertion mutants in AtOFP1, AtOFP4, AtOFP8, AtOFP10, AtOFP15 and AtOFP16,
displayed any apparent morphological defects. Further, Atofp1 Atofp4 and Atofp15
Atofp16 double mutants still did not differ significantly from wild-type. On the
other hand, plants overexpressing AtOFP genes displayed a number of abnormal
phenotypes, which could be categorized into three distinct classes, suggesting
that AtOFP genes may also have diverse functions in regulating plant growth and
development. Further analysis suggested that AtOFP1 regulates cotyledon
development in a postembryonic manner, and global transcript profiling revealed
that it suppress the expression of many other genes. CONCLUSIONS/SIGNIFICANCE:
Our results showed that AtOFPs function as transcriptional repressors and they
regulate multiple aspects of plant growth and development. These results provided
the first overview of a previously unknown transcriptional repressor family, and
revealed their possible roles in plant growth and development.
PMID- 21886837
TI - B cell signature during inactive systemic lupus is heterogeneous: toward a
biological dissection of lupus.
AB - Systemic lupus erythematosous (SLE) is an autoimmune disease with an important
clinical and biological heterogeneity. B lymphocytes appear central to the
development of SLE which is characterized by the production of a large variety of
autoantibodies and hypergammaglobulinemia. In mice, immature B cells from
spontaneous lupus prone animals are able to produce autoantibodies when
transferred into immunodeficient mice, strongly suggesting the existence of
intrinsic B cell defects during lupus. In order to approach these defects in
humans, we compared the peripheral B cell transcriptomas of quiescent lupus
patients to normal B cell transcriptomas. When the statistical analysis is
performed on the entire group of patients, the differences between patients and
controls appear quite weak with only 14 mRNA genes having a false discovery rate
ranging between 11 and 17%, with 6 underexpressed genes (PMEPA1, TLR10, TRAF3IP2,
LDOC1L, CD1C and EGR1). However, unforced hierarchical clustering of the
microarrays reveals a subgroup of lupus patients distinct from both the controls
and the other lupus patients. This subgroup has no detectable clinical or
immunological phenotypic peculiarity compared to the other patients, but is
characterized by 1/an IL-4 signature and 2/the abnormal expression of a large set
of genes with an extremely low false discovery rate, mainly pointing to the
biological function of the endoplasmic reticulum, and more precisely to genes
implicated in the Unfolded Protein Response, suggesting that B cells entered an
incomplete BLIMP1 dependent plasmacytic differentiation which was undetectable by
immunophenotyping. Thus, this microarray analysis of B cells during quiescent
lupus suggests that, despite a similar lupus phenotype, different biological
roads can lead to human lupus.
PMID- 21886838
TI - Calcineurin inhibitor-induced and Ras-mediated overexpression of VEGF in renal
cancer cells involves mTOR through the regulation of PRAS40.
AB - Malignancy is a major problem in patients treated with immunosuppressive agents.
We have demonstrated that treatment with calcineurin inhibitors (CNIs) can induce
the activation of proto-oncogenic Ras, and may promote a rapid progression of
human renal cancer through the overexpression of vascular endothelial growth
factor (VEGF). Interestingly, we found that CNI-induced VEGF overexpression and
cancer cell proliferation was inhibited by rapamycin treatment, indicating
potential involvement of the mammalian target of rapamycin (mTOR) pathway in this
tumorigenic process. Here, we examined the role of mTOR pathway in mediating CNI-
and Ras-induced overexpression of VEGF in human renal cancer cells (786-0 and
Caki-1). We found that the knockdown of raptor (using siRNA) significantly
decreased CNI-induced VEGF promoter activity as observed by promoter-luciferase
assay, suggesting the role of mTOR complex1 (mTORC1) in CNI-induced VEGF
transcription. It is known that mTOR becomes activated following phosphorylation
of its negative regulator PRAS40, which is a part of mTORC1. We observed that CNI
treatment and activation of H-Ras (through transfection of an active H-Ras
plasmid) markedly increased the phosphorylation of PRAS40, and the transfection
of cells using a dominant-negative plasmid of Ras, significantly decreased PRAS40
phosphorylation. Protein kinase C (PKC)-zeta and PKC-delta, which are critical
intermediary signaling molecules for CNI-induced tumorigenic pathway, formed
complex with PRAS40; and we found that the CNI treatment increased the complex
formation between PRAS40 and PKC, particularly (PKC)-zeta. Inhibition of PKC
activity using pharmacological inhibitor markedly decreased H-Ras-induced
phosphorylation of PRAS40. The overexpression of PRAS40 in renal cancer cells
significantly down-regulated CNI- and H-Ras-induced VEGF transcriptional
activation. Finally, it was observed that CNI treatment increased the expression
of phosho-PRAS40 in renal tumor tissues in vivo. Together, the phosphorylation of
PRAS40 is critical for the activation of mTOR in CNI-induced VEGF overexpression
and renal cancer progression.
PMID- 21886839
TI - FrzS regulates social motility in Myxococcus xanthus by controlling
exopolysaccharide production.
AB - Myxococcus xanthus Social (S) motility occurs at high cell densities and is
powered by the extension and retraction of Type IV pili which bind ligands
normally found in matrix exopolysaccharides (EPS). Previous studies showed that
FrzS, a protein required for S-motility, is organized in polar clusters that show
pole-to-pole translocation as cells reverse their direction of movement. Since
the leading cell pole is the site of both the major FrzS cluster and type IV
pilus extension/retraction, it was suggested that FrzS might regulate S-motility
by activating pili at the leading cell pole. Here, we show that FrzS regulates
EPS production, rather than type IV pilus function. We found that the frzS
phenotype is distinct from that of Type IV pilus mutants such as pilA and pilT,
but indistinguishable from EPS mutants, such as epsZ. Indeed, frzS mutants can be
rescued by the addition of purified EPS, 1% methylcellulose, or co-culturing with
wildtype cells. Our data also indicate that the cell density requirement in S
motility is likely a function of the ability of cells to construct functional
multicellular clusters surrounding an EPS core.
PMID- 21886840
TI - Lymphoid organ-resident dendritic cells exhibit unique transcriptional
fingerprints based on subset and site.
AB - Lymphoid organ-resident DC subsets are thought to play unique roles in
determining the fate of T cell responses. Recent studies focusing on a single
lymphoid organ identified molecular pathways that are differentially operative in
each DC subset and led to the assumption that a given DC subset would more or
less exhibit the same genomic and functional profiles throughout the body.
Whether the local milieu in different anatomical sites can also influence the
transcriptome of DC subsets has remained largely unexplored. Here, we
interrogated the transcriptional relationships between lymphoid organ-resident DC
subsets from spleen, gut- and skin-draining lymph nodes, and thymus of C57BL/6
mice. For this purpose, major resident DC subsets including CD4 and CD8 DCs were
sorted at high purity and gene expression profiles were compared using microarray
analysis. This investigation revealed that lymphoid organ-resident DC subsets
exhibit divergent genomic programs across lymphoid organs. Interestingly, we also
found that transcriptional and biochemical properties of a given DC subset can
differ between lymphoid organs for lymphoid organ-resident DC subsets, but not
plasmacytoid DCs, suggesting that determinants of the tissue milieu program
resident DCs for essential site-specific functions.
PMID- 21886841
TI - Myc localizes to histone locus bodies during replication in Drosophila.
AB - Myc is an important protein at the center of multiple pathways required for
growth and proliferation in animals. The absence of Myc is lethal in flies and
mice, and its over-production is a potent inducer of over-proliferation and
cancer. Myc protein is localized to the nucleus where it executes its many
functions, however the specific sub-nuclear localization of Myc has rarely been
reported. The work we describe here began with an observation of unexpected,
punctate spots of Myc protein in certain regions of Drosophila embryos. We
investigated the identity of these puncta and demonstrate that Myc is co
localized with coilin, a marker for sub-nuclear organelles known as Cajal Bodies
(CBs), in embryos, larvae and ovaries. Using antibodies specific for U7 snRNP
component Lsm11, we show that the majority of Myc and coilin co-localization
occurs in Histone Locus Bodies (HLBs), the sites of histone mRNA transcription
and processing. Furthermore, Myc localizes to HLBs only during replication in
mitotic and endocycling cells, suggesting that its role there relates to
replication-dependent canonical histone gene transcription. These results provide
evidence that sub-nuclear localization of Myc is cell-cycle dependent and
potentially important for histone mRNA production and processing.
PMID- 21886842
TI - Air trapping on chest CT is associated with worse ventilation distribution in
infants with cystic fibrosis diagnosed following newborn screening.
AB - BACKGROUND: In school-aged children with cystic fibrosis (CF) structural lung
damage assessed using chest CT is associated with abnormal ventilation
distribution. The primary objective of this analysis was to determine the
relationships between ventilation distribution outcomes and the presence and
extent of structural damage as assessed by chest CT in infants and young children
with CF. METHODS: Data of infants and young children with CF diagnosed following
newborn screening consecutively reviewed between August 2005 and December 2009
were analysed. Ventilation distribution (lung clearance index and the first and
second moment ratios [LCI, M(1)/M(0) and M(2)/M(0), respectively]), chest CT and
airway pathology from bronchoalveolar lavage were determined at diagnosis and
then annually. The chest CT scans were evaluated for the presence or absence of
bronchiectasis and air trapping. RESULTS: Matched lung function, chest CT and
pathology outcomes were available in 49 infants (31 male) with bronchiectasis and
air trapping present in 13 (27%) and 24 (49%) infants, respectively. The presence
of bronchiectasis or air trapping was associated with increased M(2)/M(0) but not
LCI or M(1)/M(0). There was a weak, but statistically significant association
between the extent of air trapping and all ventilation distribution outcomes.
CONCLUSION: These findings suggest that in early CF lung disease there are weak
associations between ventilation distribution and lung damage from chest CT.
These finding are in contrast to those reported in older children. These findings
suggest that assessments of LCI could not be used to replace a chest CT scan for
the assessment of structural lung disease in the first two years of life. Further
research in which both MBW and chest CT outcomes are obtained is required to
assess the role of ventilation distribution in tracking the progression of lung
damage in infants with CF.
PMID- 21886843
TI - Circulating microRNAs in patients with chronic hepatitis C and non-alcoholic
fatty liver disease.
AB - MicroRNAs miR-122, miR-34a, miR-16 and miR-21 are commonly deregulated in liver
fibrosis and hepatocellular carcinoma. This study examined whether circulating
levels of these miRNAs correlate with hepatic histological disease severity in
patients with chronic hepatitis C infection (CHC) or non-alcoholic fatty-liver
disease (NAFLD) and can potentially serve as circulating markers for disease
stage assessment. We first used an in vitro model of hepatitis C virus (HCV)
infection to measure the extracellular levels of these four miRNAs. Whereas miR
21 extracellular levels were unchanged, extracellular levels of miR-122, miR-34a
and to a lesser extent miR-16, steadily increased during the course of HCV
infection, independently of viral replication and production. Similarly, in CHC
patients, serum levels of miR-122, miR-34a and miR-16 were significantly higher
than in control individuals, while miR-21 levels were unchanged. There was no
correlation between the serum levels of any of these microRNAs and HCV viral
loads. In contrast, miR-122 and miR-34a levels positively correlated with disease
severity. Identical results were obtained in an independent cohort of CHC
patients. We extended the study to patients with NAFLD. As observed in CHC
patients, serum levels of miR-122, miR-34a and miR-16 were significantly higher
in NAFLD patients than in controls, while miR-21 levels were unchanged. Again,
miR-122 and miR-34a levels positively correlated with disease severity from
simple steatosis to steatohepatitis. In both CHC and NAFLD patient groups, serum
levels of miR-122 and miR-34a correlated with liver enzymes levels, fibrosis
stage and inflammation activity. miR-122 levels also correlated with serum lipids
in NAFLD patients. CONCLUSION: Serum levels of miR-34a and miR-122 may represent
novel, noninvasive biomarkers of diagnosis and histological disease severity in
patients with CHC or NAFLD.
PMID- 21886844
TI - Improved immunodetection of endogenous alpha-synuclein.
AB - alpha-Synuclein is a key molecule in understanding the pathogenesis of
neurodegenerative alpha-synucleinopathies such as Parkinson's disease. Despite
extensive research, however, its precise function remains unclear partly because
of a difficulty in immunoblotting detection of endogenous alpha-synuclein. This
difficulty has largely restricted the progress for alpha-synucleinopathy
research. Here, we report that alpha-synuclein monomers tend to easily detach
from blotted membranes, resulting in no or very poor detection. To prevent this
detachment, a mild fixation of blotted membranes with paraformaldehyde was
applied to the immunoblotting method. Amazingly, this fixation led to clear and
strong detection of endogenous alpha-synuclein, which has been undetectable by a
conventional immunoblotting method. Specifically, we were able to detect
endogenous alpha-synuclein in various human cell lines, including SH-SY5Y,
HEK293, HL60, HeLa, K562, A375, and Daoy, and a mouse cell line B16 as well as in
several mouse tissues such as the spleen and kidney. Moreover, it should be noted
that we could clearly detect endogenous alpha-synuclein phosphorylated at Ser-129
in several human cell lines. Thus, in some tissues and cultured cells, endogenous
alpha-synuclein becomes easily detectable by simply fixing the blotted membranes.
This improved immunoblotting method will allow us to detect previously
undetectable endogenous alpha-synuclein, thereby facilitating alpha-synuclein
research.
PMID- 21886845
TI - One carbon metabolism in SAR11 pelagic marine bacteria.
AB - The SAR11 Alphaproteobacteria are the most abundant heterotrophs in the oceans
and are believed to play a major role in mineralizing marine dissolved organic
carbon. Their genomes are among the smallest known for free-living heterotrophic
cells, raising questions about how they successfully utilize complex organic
matter with a limited metabolic repertoire. Here we show that conserved genes in
SAR11 subgroup Ia (Candidatus Pelagibacter ubique) genomes encode pathways for
the oxidation of a variety of one-carbon compounds and methyl functional groups
from methylated compounds. These pathways were predicted to produce energy by
tetrahydrofolate (THF)-mediated oxidation, but not to support the net
assimilation of biomass from C1 compounds. Measurements of cellular ATP content
and the oxidation of (14)C-labeled compounds to (14)CO(2) indicated that
methanol, formaldehyde, methylamine, and methyl groups from glycine betaine
(GBT), trimethylamine (TMA), trimethylamine N-oxide (TMAO), and
dimethylsulfoniopropionate (DMSP) were oxidized by axenic cultures of the SAR11
strain Ca. P. ubique HTCC1062. Analyses of metagenomic data showed that genes for
C1 metabolism occur at a high frequency in natural SAR11 populations. In short
term incubations, natural communities of Sargasso Sea microbial plankton
expressed a potential for the oxidation of (14)C-labeled formate, formaldehyde,
methanol and TMAO that was similar to cultured SAR11 cells and, like cultured
SAR11 cells, incorporated a much larger percentage of pyruvate and glucose (27
35%) than of C1 compounds (2-6%) into biomass. Collectively, these genomic,
cellular and environmental data show a surprising capacity for demethylation and
C1 oxidation in SAR11 cultures and in natural microbial communities dominated by
SAR11, and support the conclusion that C1 oxidation might be a significant
conduit by which dissolved organic carbon is recycled to CO(2) in the upper
ocean.
PMID- 21886846
TI - Co-regulation of histone-modifying enzymes in cancer.
AB - Cancer is characterized by aberrant patterns of expression of multiple genes.
These major shifts in gene expression are believed to be due to not only genetic
but also epigenetic changes. The epigenetic changes are communicated through
chemical modifications, including histone modifications. However, it is unclear
whether the binding of histone-modifying proteins to genomic regions and the
placing of histone modifications efficiently discriminates corresponding genes
from the rest of the genes in the human genome. We performed gene expression
analysis of histone demethylases (HDMs) and histone methyltransferases (HMTs),
their target genes and genes with relevant histone modifications in normal and
tumor tissues. Surprisingly, this analysis revealed the existence of correlations
in the expression levels of different HDMs and HMTs. The observed HDM/HMT gene
expression signature was specific to particular normal and cancer cell types and
highly correlated with target gene expression and the expression of genes with
histone modifications. Notably, we observed that trimethylation at lysine 4 and
lysine 27 separated preferentially expressed and underexpressed genes, which was
strikingly different in cancer cells compared to normal cells. We conclude that
changes in coordinated regulation of enzymes executing histone modifications may
underlie global epigenetic changes occurring in cancer.
PMID- 21886847
TI - Genetic variants in MARCO are associated with the susceptibility to pulmonary
tuberculosis in Chinese Han population.
AB - BACKGROUND: Susceptibility to tuberculosis is not only determined by
Mycobacterium tuberculosis infection, but also by the genetic component of the
host. Macrophage receptor with a collagenous structure (MARCO) is essential
components required for toll like receptor-signaling in macrophage response to
Mycobacterium tuberculosis, which may contribute to tuberculosis risk. PRINCIPAL
FINDINGS: To specifically investigated whether single nucleotide polymorphisms
(SNPs) in MARCO gene are associated with pulmonary tuberculosis in Chinese Han
population. By selecting tagging SNPs in MARCO gene, 17 tag SNPs were identified
and genotyped in 923 pulmonary tuberculosis patients and 1033 healthy control
subjects using a hospital based case-control association study. Single-point and
haplotype analysis revealed an association in intron and exon region of MARCO
gene. One SNP (rs17009726) was associated with susceptibility to pulmonary
tuberculosis, where the carriers of the G allele had a 1.65 fold (95% CI = 1.32
2.05, p(corrected) = 9.27E-5) increased risk of pulmonary tuberculosis. Haplotype
analysis revealed that haplotype GC containing G allele of 17009726 and haplotype
TGCC (rs17795618T/A, rs1371562G/T, rs6761637T/C, rs2011839C/T) were also
associated with susceptibility to pulmonary tuberculosis (p(corrected) = 0.0001
and 0.029, respectively). CONCLUSIONS: Our study suggested that genetic variants
in MARCO gene were associated with pulmonary tuberculosis susceptibility in
Chinese Han population, and the findings emphasize the importance of MARCO
mediated immune responses in the pathogenesis of tuberculosis.
PMID- 21886848
TI - The value of educational messages embedded in a community-based approach to
combat dengue Fever: a systematic review and meta regression analysis.
AB - BACKGROUND: The effects of various dengue control measures have been investigated
in previous studies. The aim of this review was to investigate the relative
effectiveness (RE) of different educational messages embedded in a community
based approach on the incidence of Aedes aegypti larvae using entomological
measures as outcomes. METHODS AND FINDINGS: A systematic electronic search using
Medline, Embase, Web of Science and the Cochrane Library was carried out to March
2010. Previous systematic reviews were also assessed. Data concerning
interventions, outcomes, effect size and study design were extracted. Basic meta
analyses were done for pooled effect size, heterogeneity and publication bias
using Comprehensive Meta-analysis. Further analysis of heterogeneitity was done
by multi-level modelling using MLwiN. 21 publications with 22 separate studies
were included in this review. Meta-analysis of these 22 pooled studies showed an
RE of 0.25 (95% CI 0.17-0.37), but with substantial heterogeneity (Cochran's Q =
1254, df = 21, p = < 0.001,). Further analysis of this heterogeneity showed
that over 60% of between study variance could be explained by just two variables;
whether or not studies used historic or contemporary controls and time from
intervention to assessment. When analyses were restricted to those studies using
contemporary control, there was a polynomial relationship between effectiveness
and time to assessment. Whether or not chemicals or other control measures were
used did not appear have any effect on intervention effectiveness. CONCLUSION:
The results suggest that such measures do appear to be effective at reducing
entomological indices. However, those studies that use historical controls almost
certainly overestimate the value of interventions. There is evidence that
interventions are most effective some 18 to 24 months after the intervention but
then subsequently decline.
PMID- 21886849
TI - Genetic diversity and population structure of the secondary symbiont of tsetse
flies, Sodalis glossinidius, in sleeping sickness foci in Cameroon.
AB - BACKGROUND: Previous studies have shown substantial differences in Sodalis
glossinidius and trypanosome infection rates between Glossina palpalis palpalis
populations from two Cameroonian foci of human African trypanosomiasis (HAT),
Bipindi and Campo. We hypothesized that the geographical isolation of the two
foci may have induced independent evolution in the two areas, resulting in the
diversification of symbiont genotypes. METHODOLOGY/PRINCIPAL FINDINGS: To test
this hypothesis, we investigated the symbiont genetic structure using the allelic
size variation at four specific microsatellite loci. Classical analysis of
molecular variance (AMOVA) and differentiation statistics revealed that most of
the genetic diversity was observed among individuals within populations and
frequent haplotypes were shared between populations. The structure of genetic
diversity varied at different geographical scales, with almost no differentiation
within the Campo HAT focus and a low but significant differentiation between the
Campo and Bipindi HAT foci. CONCLUSIONS/SIGNIFICANCE: The data provided new
information on the genetic diversity of the secondary symbiont population
revealing mild structuring. Possible interactions between S. glossinidius
subpopulations and Glossina species that could favor tsetse fly infections by a
given trypanosome species should be further investigated.
PMID- 21886850
TI - Dextran fractional clearance studies in acute dengue infection.
AB - BACKGROUND: Although increased capillary permeability is the major clinical
feature associated with severe dengue infections the mechanisms underlying this
phenomenon remain unclear. Dextran clearance methodology has been used to
investigate the molecular sieving properties of the microvasculature in clinical
situations associated with altered permeability, including during pregnancy and
in various renal disorders. In order to better understand the characteristics of
the vascular leak associated with dengue we undertook formal dextran clearance
studies in Vietnamese dengue patients and healthy volunteers.
METHODOLOGY/PRINCIPAL FINDINGS: We carried out serial clearance studies in 15
young adult males with acute dengue and evidence of vascular leakage a) during
the phase of maximal leakage and b) one and three months later, as well as in 16
healthy control subjects. Interestingly we found no difference in the clearance
profiles of neutral dextran solutions among the dengue patients at any time-point
or in comparison to the healthy volunteers. CONCLUSIONS/SIGNIFICANCE: The surface
glycocalyx layer, a fibre-matrix of proteoglycans, glycosaminoglycans, and plasma
proteins, forms a complex with the underlying endothelial cells to regulate
plasma volume within circumscribed limits. It is likely that during dengue
infections loss of plasma proteins from this layer alters the permeability
characteristics of the complex; physical and/or electrostatic interactions
between the dextran molecules and the glycocalyx structure may temporarily
restore normal function, rendering the technique unsuitable for assessing
permeability in these patients. The implications for resuscitation of patients
with dengue shock syndrome (DSS) are potentially important. It is possible that
continuous low-dose infusions of dextran may help to stabilize the permeability
barrier in patients with profound or refractory shock, reducing the need for
repeated boluses, limiting the total colloid volume required. Formal clinical
studies should help to assess this strategy as an alternative to conventional
fluid resuscitation for severe DSS.
PMID- 21886851
TI - The impact of a filariasis control program on Lihir Island, Papua New Guinea.
AB - BACKGROUND: Annual mass drug administration (MDA) over five years is the WHO's
recommended strategy to eliminate lymphatic filariasis (LF). Some experts,
however, consider that longer periods of treatment might be necessary in certain
high prevalence and transmission environments based upon past unsuccessful field
experience and modelling. METHODOLOGY/PRINCIPAL FINDINGS: To evaluate predictors
of success in a LF control program we conducted an ecological study during a pre
existing MDA program. We studied 27 villages in Lihir Island, Papua New Guinea,
from two areas with different infection rates before MDA. We undertook surveys to
collect information on variables potentially having an influence on the outcome
of the program, including epidemiological (baseline prevalence of infection,
immigration rate), entomological (vector density) and operational (treatment
coverage, vector control strategies) variables. The success in a village was
defined using variables related to the infection (circulating filarial
antigenemia prevalence < 1%) and transmission (antigenemia prevalence < 1 in 1000
children born since start of MDA). 8709 people were involved in the MDA program
and average coverage rates were around 70%. The overall prevalence of filariasis
fell from an initial 17.91% to 3.76% at round 5 (p < 0.001). Viewed on a village
by village basis, 12/27 (44%) villages achieved success. In multivariate
analysis, low baseline prevalence was the only factor predicting both success in
reducing infection rates (OR 19,26; CI 95% 1,12 to 331,82) and success in
preventing new infections (OR 27,44; CI 95% 1,05 to 719,6). Low vector density
and the use of an optimal vector control strategy were also associated with
success in reducing infection rates, but this did not reach statistical
significance. CONCLUSIONS/SIGNIFICANCE: Our results provide the data that
supports the recommendation that high endemic areas may require longer duration
MDA programs, or alternative control strategies.
PMID- 21886852
TI - Infection parameters in the sand fly vector that predict transmission of
Leishmania major.
AB - To identify parameters of Leishmania infection within a population of infected
sand flies that reliably predict subsequent transmission to the mammalian host,
we sampled groups of infected flies and compared infection intensity and degree
of metacyclogenesis with the frequency of transmission. The percentage of
parasites within the midgut that were metacyclic promastigotes had the highest
correlation with the frequency of transmission. Meta-analysis of multiple
transmission experiments allowed us to establish a percent-metacyclic "cutoff"
value that predicted transmission competence. Sand fly infections initiated with
variable doses of parasites resulted in correspondingly altered percentages of
metacyclic promastigotes, resulting in altered transmission frequency and disease
severity. Lastly, alteration of sand fly oviposition status and environmental
conditions at the time of transmission also influenced transmission frequency.
These observations have implications for transmission of Leishmania by the sand
fly vector in both the laboratory and in nature, including how the number of
organisms acquired by the sand fly from an infection reservoir may influence the
clinical outcome of infection following transmission by bite.
PMID- 21886853
TI - Diagnosis, clinical features, and self-reported morbidity of Strongyloides
stercoralis and hookworm infection in a Co-endemic setting.
AB - BACKGROUND: Infections with Strongyloides stercoralis and other helminths
represent important, yet often neglected issues in developing countries. Indeed,
strongyloidiasis can be fatal, but only a few studies provide information
regarding its health relevance in Africa. Moreover, clinical data on
symptomatology and typical recognition patterns mainly originate from Western
travel clinics. METHODOLOGY: A cross-sectional epidemiological survey was carried
out in a rural part of south-central Cote d'Ivoire. Stool samples from 292
randomly selected individuals were examined for intestinal helminths, using a
suite of diagnostic techniques (i.e., Kato-Katz, Baermann funnel, and Koga agar
plate). Participants were interviewed with a pre-tested questionnaire and
clinically examined. Multivariate logistic regression analysis was done to relate
perceived morbidity and clinical findings to helminth infection status. PRINCIPAL
FINDINGS: The prevalence of hookworm and S. stercoralis was 51.0% and 12.7%,
respectively. Both infections were strongly associated with each other (adjusted
odds ratio, 6.73; P < 0.001) and higher prevalences were observed with age. S.
stercoralis-infected individuals expressed self-reported morbidity considerably
more often than those with hookworm infection. Clinical examination identified
high prevalences of various pathologies and detected tendencies to worse health
conditions in helminth-infected subjects. CONCLUSIONS/SIGNIFICANCE: The use of
multiple diagnostic tools showed that S. stercoralis and hookworm are co-endemic
in rural Cote d'Ivoire and that each infection causes clinical symptoms and
sequelae. Our findings are important for (re-)estimating the burden of helminth
infections, and highlight the need for integrating epidemiological surveys,
rigorous diagnostic approaches, and clinical assessments in the developing world.
PMID- 21886854
TI - Increased CD4+/CD8+ double-positive T cells in chronic Chagasic patients.
AB - BACKGROUND: CD4+/CD8+ double positive (DP) T cells have been described in healthy
individuals as well as in patients with autoimmune and chronic infectious
diseases. In chronic viral infections, this cell subset has effector memory
phenotype and displays antigen specificity. No previous studies of double
positive T cells in parasite infections have been carried out.
METHODOLOGY/PRINCIPAL FINDINGS: Seventeen chronic chagasic patients (7
asymptomatic and 10 symptomatic) and 24 non-infected donors, including 12 healthy
and 12 with non-chagasic cardiomyopathy donors were analyzed. Peripheral blood
was stained for CD3, CD4, CD8, HLA-DR and CD38, and lymphocytes for intracellular
perforin. Antigen specificity was assessed using HLA*A2 tetramers loaded with T.
cruzi K1 or influenza virus epitopes. Surface expression of CD107 and
intracellular IFN-gamma production were determined in K1-specific DP T cells from
11 chagasic donors. Heart tissue from a chronic chagasic patient was stained for
both CD8 and CD4 by immunochemistry. Chagasic patients showed higher frequencies
of DP T cells (2.1% +/- 0.9) compared with healthy (1.1% +/- 0.5) and non
chagasic cardiomyopathy (1.2% +/- 0.4) donors. DP T cells from Chagasic patients
also expressed more HLA-DR, CD38 and perforin and had higher frequencies of T.
cruzi K1-specific cells. IFN-gamma production in K1-specific cells was higher in
asymptomatic patients after polyclonal stimulation, while these cells tended to
degranulate more in symptomatic donors. Immunochemistry revealed that double
positive T cells infiltrate the cardiac tissue of a chagasic donor. CONCLUSIONS:
Chagasic patients have higher percentages of circulating double positive T cells
expressing activation markers, potential effector molecules and greater class I
antigenic specificity against T. cruzi. Although K1 tetramer positive DP T cell
produced little IFN-gamma, they displayed degranulation activity that was
increased in symptomatic patients. Moreover, K1-specific DP T cells can migrate
to the heart tissue.
PMID- 21886855
TI - The susceptibility of trypanosomatid pathogens to PI3/mTOR kinase inhibitors
affords a new opportunity for drug repurposing.
AB - BACKGROUND: Target repurposing utilizes knowledge of "druggable" targets obtained
in one organism and exploits this information to pursue new potential drug
targets in other organisms. Here we describe such studies to evaluate whether
inhibitors targeting the kinase domain of the mammalian Target of Rapamycin
(mTOR) and human phosphoinositide-3-kinases (PI3Ks) show promise against the
kinetoplastid parasites Trypanosoma brucei, T. cruzi, Leishmania major, and L.
donovani. The genomes of trypanosomatids encode at least 12 proteins belonging to
the PI3K protein superfamily, some of which are unique to parasites. Moreover,
the shared PI3Ks differ greatly in sequence from those of the human host, thereby
providing opportunities for selective inhibition. METHODOLOGY/PRINCIPAL FINDINGS:
We focused on 8 inhibitors targeting mTOR and/or PI3Ks selected from various
stages of pre-clinical and clinical development, and tested them against in vitro
parasite cultures and in vivo models of infection. Several inhibitors showed
micromolar or better efficacy against these organisms in culture. One compound,
NVP-BEZ235, displayed sub-nanomolar potency, efficacy against cultured parasites,
and an ability to clear parasitemia in an animal model of T. brucei rhodesiense
infection. CONCLUSIONS/SIGNIFICANCE: These studies strongly suggest that
mammalian PI3/TOR kinase inhibitors are a productive starting point for anti
trypanosomal drug discovery. Our data suggest that NVP-BEZ235, an advanced
clinical candidate against solid tumors, merits further investigation as an agent
for treating African sleeping sickness.
PMID- 21886856
TI - Complete genome sequence of Rhodospirillum rubrum type strain (S1).
AB - Rhodospirillum rubrum (Esmarch 1887) Molisch 1907 is the type species of the
genus Rhodospirillum, which is the type genus of the family Rhodospirillaceae in
the class Alphaproteobacteria. The species is of special interest because it is
an anoxygenic phototroph that produces extracellular elemental sulfur (instead of
oxygen) while harvesting light. It contains one of the most simple photosynthetic
systems currently known, lacking light harvesting complex 2. Strain S1(T) can
grow on carbon monoxide as sole energy source. With currently over 1,750 PubMed
entries, R. rubrum is one of the most intensively studied microbial species, in
particular for physiological and genetic studies. Next to R. centenum strain SW,
the genome sequence of strain S1(T) is only the second genome of a member of the
genus Rhodospirillum to be published, but the first type strain genome from the
genus. The 4,352,825 bp long chromosome and 53,732 bp plasmid with a total of
3,850 protein-coding and 83 RNA genes were sequenced as part of the DOE Joint
Genome Institute Program DOEM 2002.
PMID- 21886857
TI - Complete genome sequence of the thermophilic sulfur-reducer Hippea maritima type
strain (MH(2)).
AB - Hippea maritima (Miroshnichenko et al. 1999) is the type species of the genus
Hippea, which belongs to the family Desulfurellaceae within the class
Deltaproteobacteria. The anaerobic, moderately thermophilic marine sulfur-reducer
was first isolated from shallow-water hot vents in Matipur Harbor, Papua New
Guinea. H. maritima was of interest for genome sequencing because of its isolated
phylogenetic location, as a distant next neighbor of the genus Desulfurella.
Strain MH(2) (T) is the first type strain from the order Desulfurellales with a
completely sequenced genome. The 1,694,430 bp long linear genome with its 1,723
protein-coding and 57 RNA genes consists of one circular chromosome and is a part
of the Genomic Encyclopedia of Bacteria and Archaea project.
PMID- 21886858
TI - Complete genome sequence of the extremely halophilic Halanaerobium praevalens
type strain (GSL).
AB - Halanaerobium praevalens Zeikus et al. 1984 is the type species of the genus
Halanaerobium, which in turn is the type genus of the family Halanaerobiaceae.
The species is of interest because it is able to reduce a variety of nitro
substituted aromatic compounds at a high rate, and because of its ability to
degrade organic pollutants. The strain is also of interest because it functions
as a hydrolytic bacterium, fermenting complex organic matter and producing
intermediary metabolites for other trophic groups such as sulfate-reducing and
methanogenic bacteria. It is further reported as being involved in carbon removal
in the Great Salt Lake, its source of isolation. This is the first completed
genome sequence of a representative of the genus Halanaerobium and the second
genome sequence from a type strain of the family Halanaerobiaceae. The 2,309,262
bp long genome with its 2,110 protein-coding and 70 RNA genes is a part of the
Genomic Encyclopedia of Bacteria and Archaea project.
PMID- 21886859
TI - Complete genome sequence of Nitratifractor salsuginis type strain (E9I37-1).
AB - Nitratifractor salsuginis Nakagawa et al. 2005 is the type species of the genus
Nitratifractor, a member of the family Nautiliaceae. The species is of interest
because of its high capacity for nitrate reduction via conversion to N(2) through
respiration, which is a key compound in plant nutrition. The strain is also of
interest because it represents the first mesophilic and facultatively anaerobic
member of the Epsilonproteobacteria reported to grow on molecular hydrogen. This
is the first completed genome sequence of a member of the genus Nitratifractor
and the second sequence from the family Nautiliaceae. The 2,101,285 bp long
genome with its 2,121 protein-coding and 54 RNA genes is a part of the Genomic
Encyclopedia of Bacteria and Archaea project.
PMID- 21886860
TI - Complete genome sequence of Mahella australiensis type strain (50-1 BON).
AB - Mahella australiensis Bonilla Salinas et al. 2004 is the type species of the
genus Mahella, which belongs to the family Thermoanaerobacteraceae. The species
is of interest because it differs from other known anaerobic spore-forming
bacteria in its G+C content, and in certain phenotypic traits, such as carbon
source utilization and relationship to temperature. Moreover, it has been
discussed that this species might be an indigenous member of petroleum and oil
reservoirs. This is the first completed genome sequence of a member of the genus
Mahella and the ninth completed type strain genome sequence from the family
Thermoanaerobacteraceae. The 3,135,972 bp long genome with its 2,974 protein
coding and 59 RNA genes is a part of the Genomic Encyclopedia of Bacteria and
Archaea project.
PMID- 21886861
TI - Complete genome sequence of Tsukamurella paurometabola type strain (no. 33).
AB - Tsukamurella paurometabola corrig. (Steinhaus 1941) Collins et al. 1988 is the
type species of the genus Tsukamurella, which is the type genus to the family
Tsukamurellaceae. The species is not only of interest because of its isolated
phylogenetic location, but also because it is a human opportunistic pathogen with
some strains of the species reported to cause lung infection, lethal meningitis,
and necrotizing tenosynovitis. This is the first completed genome sequence of a
member of the genus Tsukamurella and the first genome sequence of a member of the
family Tsukamurellaceae. The 4,479,724 bp long genome contains a 99,806 bp long
plasmid and a total of 4,335 protein-coding and 56 RNA genes, and is a part of
the Genomic Encyclopedia of Bacteria and Archaea project.
PMID- 21886862
TI - Complete genome sequence of Haliscomenobacter hydrossis type strain (O).
AB - Haliscomenobacter hydrossis van Veen et al. 1973 is the type species of the genus
Haliscomenobacter, which belongs to order "Sphingobacteriales". The species is of
interest because of its isolated phylogenetic location in the tree of life,
especially the so far genomically uncharted part of it, and because the organism
grows in a thin, hardly visible hyaline sheath. Members of the species were
isolated from fresh water of lakes and from ditch water. The genome of H.
hydrossis is the first completed genome sequence reported from a member of the
family "Saprospiraceae". The 8,771,651 bp long genome with its three plasmids of
92 kbp, 144 kbp and 164 kbp length contains 6,848 protein-coding and 60 RNA
genes, and is a part of the Genomic Encyclopedia of Bacteria and Archaea project.
PMID- 21886863
TI - Complete genome sequence of Treponema succinifaciens type strain (6091).
AB - Treponema succinifaciens Cwyk and Canale-Parola 1981 is of interest because this
strictly anaerobic, apathogenic member of the genus Treponema oxidizes
carbohydrates and couples the Embden-Meyerhof pathway via activity of a pyruvate
formate lyase to the production of acetyl-coenzyme A and formate. This feature
separates this species from most other anaerobic spirochetes. The genome of T.
succinifaciens 6091(T) is only the second completed and published type strain
genome from the genus Treponema in the family Spirochaetaceae. The 2,897,425 bp
long genome with one plasmid harbors 2,723 protein-coding and 63 RNA genes and is
a part of the Genomic Encyclopedia of Bacteria and Archaea project.
PMID- 21886864
TI - Complete genome sequence of Syntrophobotulus glycolicus type strain (FlGlyR).
AB - Syntrophobotulus glycolicus Friedrich et al. 1996 is currently the only member of
the genus Syntrophobotulus within the family Peptococcaceae. The species is of
interest because of its isolated phylogenetic location in the genome-sequenced
fraction of tree of life. When grown in pure culture with glyoxylate as carbon
source the organism utilizes glyoxylate through fermentative oxidation, whereas,
when grown in syntrophic co-culture with homoacetogenic or methanogenic bacteria,
it is able to oxidize glycolate to carbon dioxide and hydrogen. No other organic
or inorganic carbon source is utilized by S. glycolicus. The subdivision of the
family Peptococcaceae into genera does not reflect the natural relationships,
particularly regarding the genera most closely related to Syntrophobotulus. Both
Desulfotomaculum and Pelotomaculum are paraphyletic assemblages, and the
taxonomic classification is in significant conflict with the 16S rRNA data. S.
glycolicus is already the ninth member of the family Peptococcaceae with a
completely sequenced and publicly available genome. The 3,406,739 bp long genome
with its 3,370 protein-coding and 69 RNA genes is a part of the Genomic
Encyclopedia of Bacteria and Archaea project.
PMID- 21886865
TI - Complete genome sequence of the hyperthermophilic chemolithoautotroph Pyrolobus
fumarii type strain (1A).
AB - Pyrolobus fumarii Blochl et al. 1997 is the type species of the genus Pyrolobus,
which belongs to the crenarchaeal family Pyrodictiaceae. The species is a
facultatively microaerophilic non-motile crenarchaeon. It is of interest because
of its isolated phylogenetic location in the tree of life and because it is a
hyperthermophilic chemolithoautotroph known as the primary producer of organic
matter at deep-sea hydrothermal vents. P. fumarii exhibits currently the highest
optimal growth temperature of all life forms on earth (106 degrees C). This is
the first completed genome sequence of a member of the genus Pyrolobus to be
published and only the second genome sequence from a member of the family
Pyrodictiaceae. Although Diversa Corporation announced the completion of
sequencing of the P. fumarii genome on September 25, 2001, this sequence was
never released to the public. The 1,843,267 bp long genome with its 1,986 protein
coding and 52 RNA genes is a part of the Genomic Encyclopedia of Bacteria and
Archaea project.
PMID- 21886866
TI - Complete genome sequence of the acetate-degrading sulfate reducer Desulfobacca
acetoxidans type strain (ASRB2).
AB - Desulfobacca acetoxidans Elferink et al. 1999 is the type species of the genus
Desulfobacca, which belongs to the family Syntrophaceae in the class
Deltaproteobacteria. The species was first observed in a study on the competition
of sulfate-reducers and acetoclastic methanogens for acetate in sludge. D.
acetoxidans is considered to be the most abundant acetate-degrading sulfate
reducer in sludge. It is of interest due to its isolated phylogenetic location in
the 16S rRNA-based tree of life. This is the second completed genome sequence of
a member of the family Syntrophaceae to be published and only the third genome
sequence from a member of the order Syntrophobacterales. The 3,282,536 bp long
genome with its 2,969 protein-coding and 54 RNA genes is a part of the Genomic
Encyclopedia of Bacteria and Archaea project.
PMID- 21886867
TI - TheViral MetaGenome Annotation Pipeline(VMGAP):an automated tool for the
functional annotation of viral Metagenomic shotgun sequencing data.
AB - In the past few years, the field of metagenomics has been growing at an
accelerated pace, particularly in response to advancements in new sequencing
technologies. The large volume of sequence data from novel organisms generated by
metagenomic projects has triggered the development of specialized databases and
tools focused on particular groups of organisms or data types. Here we describe a
pipeline for the functional annotation of viral metagenomic sequence data. The
Viral MetaGenome Annotation Pipeline (VMGAP) pipeline takes advantage of a number
of specialized databases, such as collections of mobile genetic elements and
environmental metagenomes to improve the classification and functional prediction
of viral gene products. The pipeline assigns a functional term to each predicted
protein sequence following a suite of comprehensive analyses whose results are
ranked according to a priority rules hierarchy. Additional annotation is provided
in the form of enzyme commission (EC) numbers, GO/MeGO terms and Hidden Markov
Models together with supporting evidence.
PMID- 21886868
TI - Effects of Floor Level and Building Type on Residential Levels of Outdoor and
Indoor Polycyclic Aromatic Hydrocarbons, Black Carbon, and Particulate Matter in
New York City.
AB - Consideration of the relationship between residential floor level and
concentration of traffic-related airborne pollutants may predict individual
residential exposure among inner city dwellers more accurately. Our objective was
to characterize the vertical gradient of residential levels of polycyclic
aromatic hydrocarbons (PAH; dichotomized into Sigma(8)PAH(semivolatile) (MW 178
206), and Sigma(8)PAH(nonvolatile) (MW 228-278), black carbon (BC), PM(2.5)
(particulate matter) by floor level (FL), season and building type. We
hypothesize that PAH, BC and PM(2.5) concentrations may decrease with higher FL
and the vertical gradients of these compounds would be affected by heating season
and building type. PAH, BC and PM(2.5) were measured over a two-week period
outdoor and indoor of the residences of a cohort of 5-6 year old children (n =
339) living in New York City's Northern Manhattan and the Bronx. Airborne
pollutant levels were analyzed by three categorized FL groups (0-2nd, 3rd-5th,
and 6th-32nd FL) and two building types (low-rise versus high-rise apartment
building). Indoor Sigma(8)PAH(nonvolatile) and BC levels declined with increasing
FL. During the nonheating season, the median outdoor Sigma(8)PAH(nonvolatile,)
but not Sigma(8)PAH(semivolatile), level at 6th-2nd FL was 1.5-2 times lower than
levels measured at lower FL. Similarly, outdoor and indoor BC concentrations at
6th-32nd FL were significantly lower than those at lower FL only during the
nonheating season (p < 0.05). In addition, living in a low-rise building was
associated significantly with higher levels of Sigma(8)PAH(nonvolatile) and BC.
These results suggest that young inner city children may be exposed to varying
levels of air pollutants depending on their FL, season, and building type.
PMID- 21886870
TI - Telecare system for cardiac surgery patients: implementation and effectiveness.
AB - OBJECTIVES: To manage a patient's blood pressure and recovery, and to reduce
unnecessary hospital visits after heart surgery, we developed and established a
telecare service. METHODS: We established and test-operated the system that
enabled biometric data to be measured and monitored at home, and directed
connections to the video consultation with monitoring personnel and medical staff
when abnormal symptoms were detected. RESULTS: As a result of using the telecare
service with patients discharged from the hospital after undergoing heart
surgery, the patients were mostly satisfied with the service and use of the
equipment, and some patients wanted to actually receive the service continuously
along with a device which could be more easily used. CONCLUSIONS: Telecare
services are greatly needed for patients discharged after heart surgery for a
certain period of time. A model should be developed which provides devices
necessary for each disease in package form and customizes the content and
services in one package.
PMID- 21886871
TI - Development of an electronic claim system based on an integrated electronic
health record platform to guarantee interoperability.
AB - OBJECTIVES: We design and develop an electronic claim system based on an
integrated electronic health record (EHR) platform. This system is designed to be
used for ambulatory care by office-based physicians in the United States. This is
achieved by integrating various medical standard technologies for
interoperability between heterogeneous information systems. METHODS: The
developed system serves as a simple clinical data repository, it automatically
fills out the Centers for Medicare and Medicaid Services (CMS)-1500 form based on
information regarding the patients and physicians' clinical activities. It
supports electronic insurance claims by creating reimbursement charges. It also
contains an HL7 interface engine to exchange clinical messages between
heterogeneous devices. RESULTS: The system partially prevents physician
malpractice by suggesting proper treatments according to patient diagnoses and
supports physicians by easily preparing documents for reimbursement and
submitting claim documents to insurance organizations electronically, without
additional effort by the user. To show the usability of the developed system, we
performed an experiment that compares the time spent filling out the CMS-1500
form directly and time required create electronic claim data using the developed
system. From the experimental results, we conclude that the system could save
considerable time for physicians in making claim documents. CONCLUSIONS: The
developed system might be particularly useful for those who need a reimbursement
specialized EHR system, even though the proposed system does not completely
satisfy all criteria requested by the CMS and Office of the National Coordinator
for Health Information Technology (ONC). This is because the criteria are not
sufficient but necessary condition for the implementation of EHR systems. The
system will be upgraded continuously to implement the criteria and to offer more
stable and transparent transmission of electronic claim data.
PMID- 21886869
TI - The Role of Proteomics in the Diagnosis and Treatment of Women's Cancers: Current
Trends in Technology and Future Opportunities.
AB - Technological and scientific innovations over the last decade have greatly
contributed to improved diagnostics, predictive models, and prognosis among
cancers affecting women. In fact, an explosion of information in these areas has
almost assured future generations that outcomes in cancer will continue to
improve. Herein we discuss the current status of breast, cervical, and ovarian
cancers as it relates to screening, disease diagnosis, and treatment options.
Among the differences in these cancers, it is striking that breast cancer has
multiple predictive tests based upon tumor biomarkers and sophisticated,
individualized options for prescription therapeutics while ovarian cancer lacks
these tools. In addition, cervical cancer leads the way in innovative, cancer
preventative vaccines and multiple screening options to prevent disease
progression. For each of these malignancies, emerging proteomic technologies
based upon mass spectrometry, stable isotope labeling with amino acids, high
throughput ELISA, tissue or protein microarray techniques, and click chemistry in
the pursuit of activity-based profiling can pioneer the next generation of
discovery. We will discuss six of the latest techniques to understand proteomics
in cancer and highlight research utilizing these techniques with the goal of
improvement in the management of women's cancers.
PMID- 21886872
TI - An Automated Measurement of Ciliary Beating Frequency using a Combined Optical
Flow and Peak Detection.
AB - OBJECTIVES: The mucociliary transport system is a major defense mechanism of the
respiratory tract. The performance of mucous transportation in the nasal cavity
can be represented by a ciliary beating frequency (CBF). This study proposes a
novel method to measure CBF by using optical flow. METHODS: To obtain objective
estimates of CBF from video images, an automated computer-based image processing
technique is developed. This study proposes a new method based on optical flow
for image processing and peak detection for signal processing. We compare the
measuring accuracy of the method in various combinations of image processing
(optical flow versus difference image) and signal processing (fast Fourier
transform [FFT] vs. peak detection [PD]). The digital high-speed video method
with a manual count of CBF in slow motion video play, is the gold-standard in CBF
measurement. We obtained a total of fifty recorded ciliated sinonasal epithelium
images to measure CBF from the Department of Otolaryngology. The ciliated
sinonasal epithelium images were recorded at 50-100 frames per second using a
charge coupled device camera with an inverted microscope at a magnification of
*1,000. RESULTS: The mean square errors and variance for each method were 1.24,
0.84 Hz; 11.8, 2.63 Hz; 3.22, 1.46 Hz; and 3.82, 1.53 Hz for optical flow (OF) +
PD, OF + FFT, difference image [DI] + PD, and DI + FFT, respectively. Of the four
methods, PD using optical flow showed the best performance for measuring the CBF
of nasal mucosa. CONCLUSIONS: The proposed method was able to measure CBF more
objectively and efficiently than what is currently possible.
PMID- 21886873
TI - Evaluation of Term Ranking Algorithms for Pseudo-Relevance Feedback in MEDLINE
Retrieval.
AB - OBJECTIVES: The purpose of this study was to investigate the effects of query
expansion algorithms for MEDLINE retrieval within a pseudo-relevance feedback
framework. METHODS: A number of query expansion algorithms were tested using
various term ranking formulas, focusing on query expansion based on pseudo
relevance feedback. The OHSUMED test collection, which is a subset of the MEDLINE
database, was used as a test corpus. Various ranking algorithms were tested in
combination with different term re-weighting algorithms. RESULTS: Our
comprehensive evaluation showed that the local context analysis ranking
algorithm, when used in combination with one of the reweighting algorithms -
Rocchio, the probabilistic model, and our variants - significantly outperformed
other algorithm combinations by up to 12% (paired t-test; p < 0.05). In a pseudo
relevance feedback framework, effective query expansion would be achieved by the
careful consideration of term ranking and re-weighting algorithm pairs, at least
in the context of the OHSUMED corpus. CONCLUSIONS: Comparative experiments on
term ranking algorithms were performed in the context of a subset of MEDLINE
documents. With medical documents, local context analysis, which uses co
occurrence with all query terms, significantly outperformed various term ranking
methods based on both frequency and distribution analyses. Furthermore, the
results of the experiments demonstrated that the term rank-based re-weighting
method contributed to a remarkable improvement in mean average precision.
PMID- 21886874
TI - The uses of the smartphone for doctors: an empirical study from samsung medical
center.
AB - OBJECTIVES: In healthcare, mobile computing made possible by smartphones is
becoming an important tool among healthcare professionals. However, currently
there is very little research into the effectiveness of such applications of
technology. This study aims to present a framework for a smartphone application
to give doctors mobile access to patient information, then review the
consequences of its use and discuss its future direction. METHODS: Since 2003
when Samsung Medical Center introduced its first mobile application, a need to
develop a new application targeting the latest smartphone technology was
identified. To that end, an application named Dr. SMART S was officially launched
on December 22nd, 2010. RESULTS: We analyzed the usage data of the application
for a month until April 25th, 2011. On average, 170 doctors (13% of the entire
body of doctors) logged on 2.4 times per day and that number keeps growing. The
number was uniformly distributed across all working hours, with exceptions of
heavy accesses around 6-8 AM and 4-6 PM when doctors do their regular rounds to
see the patients. The most commonly accessed content was inpatient information,
this constituted 78.6% of all accesses, within this 50% was to accesses lab
results. CONCLUSIONS: Looking at the usage data, we can see the use of Dr. SMART
S by doctors is growing in sync with the popularity of smartphones. Since u
Health seem an inevitable future trend, a more rigorous study needs to be
conducted on how such mobile applications as Dr. SMART S affect the quality of
care and patient safety to derive directions for further improvements.
PMID- 21886875
TI - Transfer of flexor carpi ulnaris branches to selectively restore AIN function in
median nerve sections: Anatomical feasibility study and case report.
AB - BACKGROUND: In recent years, distal nerve transfers have become a valid tool for
nerve reconstruction. Though grafts remain the gold standard for proximal median
nerve injuries, a new distal transfer of flexor carpi ulnaris branches of the
ulnar nerve to selectively restore anterior interosseous nerve function,
concomitant with median nerve graft repair, could enhance outcomes. The objective
of this paper is to anatomically analyze a technique to selectively reinnervate
the thumb and index flexors. METHODS: Both the median and ulnar nerves were
dissected in 10 cadavers. First and second branches to the flexor carpi ulnaris
(FCU) were measured for length at its emergence from the ulnar nerve, and for
width. The emergence of the AIN, just proximal to the arch of the flexor
digitorum superficialis, was dissected, and the distance measured from this point
to its motor entry at the long flexor pollicis and its branch to the long index
flexor. A tensionless repair was performed between one FCU branch and the AIN.
RESULTS: The mean AIN length was 32.3+/-8.20 mm and width 2.4+/-0.49 mm. The
first branch from the ulnar nerve to the FCU measured 20.8+/-2.04 mm and 1.52+/
0.44 mm, while the second, more distal branch measured 24.3+/-6.71 and 1.9+/-0.17
mm, respectively. In all dissections, it was possible to contact both the
proximal and distal branches of the ulnar nerve to the FCU with the distal stump
of the divided AIN, with no tension or need for interposed nerve grafts.
CONCLUSIONS: Though proximal reconstruction remains the gold standard, new distal
nerve transfer techniques may improve outcomes.
PMID- 21886877
TI - QR codes in neurosurgery.
PMID- 21886876
TI - Anomalous vertebral artery compression of the spinal cord at the cervicomedullary
junction.
AB - BACKGROUND: Myelopathy from ectatic vertebral artery compression of the spinal
cord at the cervicomedullary junction is a rare condition. CASE DESCRIPTION: A 63
year-old female was originally diagnosed with occult hydrocephalus syndrome after
presenting with symptoms of ataxia and urinary incontinence. Ventriculoperitoneal
shunting induced an acute worsening of the patient's symptoms as she immediately
developed a sensory myelopathy. An MR scan demonstrated multiple congenital
abnormalities including cervicomedullary stenosis with anomalous vertebral artery
compression of the dorsal spinal cord at the cervicomedullary junction. The
patient was taken to surgery for a suboccipital craniectomy, C1-2 laminectomy,
vertebral artery decompression, duraplasty, and shunt ligation. Intraoperative
findings confirmed preoperative radiography with ectactic vertebral arteries
deforming the dorsal aspect of the spinal cord. There were no procedural
complications and at a 6-month follow-up appointment, the patient had experienced
a marked improvement in her preoperative signs and symptoms. CONCLUSION:
Myelopathy from ectatic vertebral artery compression at the cervicomedullary
junction is a rare disorder amenable to operative neurovascular decompression.
PMID- 21886878
TI - Predictors of inpatient complications and outcomes following surgical resection
of hypothalamic hamartomas.
AB - BACKGROUND: Our aim was to identify the preoperative factors associated with a
greater risk of poor inpatient outcomes in those undergoing resection of
hypothalamic hamartomas. METHODS: We performed a multi-institutional
retrospective cohort analysis via the Nationwide Inpatient Sample (1998 - 2007).
Patients of any age who underwent resection of hypothalamic hamartomas were
identified by ICD-9 coding. The primary outcomes included inpatient
complications, length of stay (LOS), and total charges. Multivariate regression
models were constructed to analyze the outcomes. RESULTS: Two hundred and eighty
two patients were identified with a mean age of 27.7 years, with most being male
(53.2%), Caucasian (78.9%), privately insured (69.3%), and treated electively
(74.7%) at academic centers (91.7%). A majority (82.2%) had Elixhauser
comorbidity scores of < 1, indicating few comorbidities. No inpatient deaths were
reported. Mean LOS was 7.39 days and the mean total hospital charges were
$53,935. Overall, 19.5% developed an inpatient complication, primarily stroke
(16.7%). Female gender, ethnic / racial minorities, higher comorbidity scores,
private insurance, and non-academic hospitals were associated with greater LOS
and total charges. Private insurance (Odds Ratio, OR: 1.59, P = 0.045) and
academic hospitals (OR: 1.43, P = 0.008) were associated with significantly
higher odds of any complication. Minority race / ethnicity was associated with a
minimal increase in the odds of postoperative stroke (OR: 1.02, P < 0.001)
relative to Caucasians. CONCLUSIONS: Through an analysis of a 10-year multi
institutional database, we have described the surgical outcomes of patients
undergoing resection of hypothalamic hamartomas. Results demonstrate significant
inpatient morbidity, particularly postoperative stroke. Patient- and institution
level factors should be considered in determining the perioperative risk for such
patients.
PMID- 21886879
TI - MRS findings in electrical status epilepticus in sleep: Report of two cases.
AB - BACKGROUND: To evaluate the changes in brain metabolites by H1 magnetic resonance
spectroscopy in two patients with electrical status epilepticus. CASE
DESCRIPTION: Two boys (aged 6 and 7 years) with electrical status epilepticus in
sleep have been evaluated. N-acetyl aspartate levels were slightly elevated, and
showed no decline in the postictal period. Creatine and choline levels were
similar to that in controls. No evidence of neuronal cell damage was seen.
CONCLUSION: Electrical status epilepticus is a balanced condition of
hypermetabolism, when not accompanied with seizure.
PMID- 21886881
TI - Response to "Unnecessary spinal surgery".
PMID- 21886882
TI - Safety and efficacy of rhBMP2 in posterior cervical spinal fusion for subaxial
degenerative spine disease: Analysis of outcomes in 204 patients.
AB - BACKGROUND: Many studies offer excellent demonstration of the ability of bone
morphogenic protein (BMP) to enhance fusion rates in anterior as well as
posterior lumbar surgery. Recently, BMP has also been shown to increase
arthrodesis rates in anterior cervical surgery, albeit with concomitant increases
in complication rates. To date, however, few studies have investigated the safety
and efficacy of BMP in cervical surgeries approached posteriorly. METHODS: We
retrospectively reviewed 204 consecutive patients with degenerative cervical
spinal conditions necessitating posterior cervical fusion at a single institution
over the past 4 years. The incidence of postoperative mechanical neck pain,
fusion rates, as well as neurologic outcomes were compared between patients who
received BMP vs those who did not receive BMP intraoperatively. RESULTS: There
were no significant differences in preoperative variables between the non-BMP vs
the BMP cohorts. Over an average follow-up of 24.2 months, there were no
significant differences between the two cohorts in duration of hospitalization,
cerebrospinal fluid leakage, deep vein thrombosis, pulmonary embolism,
hyperostosis, infection, pneumonia, hematoma, C5 palsy, wound dehiscence,
reoperation rates, or Nurick/ASIA scores. Eleven (7.1%) patients in the non-BMP
group experienced instrumentation failure vs none in the BMP group (P=0.06).
Patients receiving BMP had a significantly increased rate of fusion by the chi
square test (P=0.01) and the log-rank test (P=0.02). However, patients receiving
BMP also had the highest rates of recurrent/persistent neck pain by the chi
square test (P=0.003) and the log-rank test (P=0.01). CONCLUSIONS: To date, few
studies have evaluated the safety and efficacy of BMP in the posterior cervical
spine. Here, we show that BMP usage does not increase complication rates, but it
significantly increases arthrodesis rates and also may increase the rate of
recurrent/persistent neck pain.
PMID- 21886883
TI - Trends over time in the management of 2253 patients with cerebral aneurysms: A
single practice experience.
AB - BACKGROUND: To better understand the longitudinal trend in the proportion of
techniques employed for cerebral aneurysm treatment, we reviewed our experience
with 2253 patients over the last 11 years. METHODS: We reviewed data in our
prospective aneurysm database for all consecutive patients treated from January
1998 through December 2009. Data regarding age, sex, aneurysm location, presence
or absence of hemorrhage, Fisher grade, clinical grade, treatment methods, length
of hospitalization, and mortality rates by the time of discharge were retrieved
and retrospectively analyzed. The most common aneurysm types were subsequently
classified and analyzed separately. RESULTS: The patient population included 663
males (29%) and 1590 females (71%). A total of 2253 patients presented with 3413
aneurysms; 1523 (63%) of the aneurysms were diagnosed as aneurysmal subarachnoid
hemorrhage. A total of 2411 (71%) aneurysms were treated. Overall, 645 (27%) of
the 2411 aneurysms underwent endosaccular coiling and 1766 (73%) underwent clip
ligation; 69 (3%) of these aneurysms required both treatment modalities. The
percentage of all aneurysms treated by endosaccular coiling increased from 8%
(21) in 1998 to 28% (87) in 2009. There was no statistical difference between the
average length of hospitalization for patients who underwent endosaccular coiling
and clip ligation for their ruptured (P = 0.19) and unruptured (P = 0.80)
aneurysms during this time period. CONCLUSIONS: In our practice, endovascular
treatment has continued to be more frequently employed to treat cerebral
aneurysms. This technique has had the greatest proportional increase in the
treatment of posterior circulation aneurysms.
PMID- 21886884
TI - Sensitivity and specificity in transcranial motor-evoked potential monitoring
during neurosurgical operations.
AB - BACKGROUND: Intraoperative transcranial motor-evoked potential (TCMEP) monitoring
is widely performed during neurosurgical operations. Sensitivity and specificity
in TCMEP during neurosurgical operations were examined according to the type of
operation. METHODS: TCMEP monitoring was performed during 283 neurosurgical
operations for patients without preoperative motor palsy, including 121 spinal
operations, 84 cerebral aneurysmal operations, and 31 brain tumor operations.
Transcranial stimulation at 100-600 V was applied by screw electrodes placed in
the scalp and electromyographic responses were recorded with surface electrodes
placed on the affected muscles. To exclude the effects of muscle relaxants on
TCMEP, compound muscle action potential (CMAP) by supramaximal stimulation of the
peripheral nerve immediately after transcranial stimulation was used for
compensation of TCMEP. RESULTS: In spinal operations, with an 80% reduction in
amplitude as the threshold for motor palsy, the sensitivity and specificity with
CMAP compensation were 100% and 96.4%, respectively. In aneurysmal operations,
with a 70% reduction in amplitude as the threshold for motor palsy, the
sensitivity and specificity with CMAP compensation were 100% and 94.8%,
respectively. Compensation by CMAP was especially useful in aneurysmal
operations. In all neurosurgical operations, with a 70% reduction in amplitude as
the threshold for motor palsy, the sensitivity and specificity with CMAP
compensation were 95.0% and 90.9%, respectively. CONCLUSIONS: Intraoperative
TCMEP monitoring is a significantly reliable method for preventing postoperative
motor palsy in both cranial and spinal surgery. A 70% reduction in the
compensated amplitude is considered to be a suitable alarm point in all
neurological operations.
PMID- 21886880
TI - Immunoexcitotoxicity as a central mechanism in chronic traumatic encephalopathy-A
unifying hypothesis.
AB - Some individuals suffering from mild traumatic brain injuries, especially
repetitive mild concussions, are thought to develop a slowly progressive
encephalopathy characterized by a number of the neuropathological elements shared
with various neurodegenerative diseases. A central pathological mechanism
explaining the development of progressive neurodegeneration in this subset of
individuals has not been elucidated. Yet, a large number of studies indicate that
a process called immunoexcitotoxicity may be playing a central role in many
neurodegenerative diseases including chronic traumatic encephalopathy (CTE). The
term immunoexcitotoxicity was first coined by the lead author to explain the
evolving pathological and neurodevelopmental changes in autism and the Gulf War
Syndrome, but it can be applied to a number of neurodegenerative disorders. The
interaction between immune receptors within the central nervous system (CNS) and
excitatory glutamate receptors trigger a series of events, such as extensive
reactive oxygen species/reactive nitrogen species generation, accumulation of
lipid peroxidation products, and prostaglandin activation, which then leads to
dendritic retraction, synaptic injury, damage to microtubules, and mitochondrial
suppression. In this paper, we discuss the mechanism of immunoexcitotoxicity and
its link to each of the pathophysiological and neurochemical events previously
described with CTE, with special emphasis on the observed accumulation of
hyperphosphorylated tau.
PMID- 21886885
TI - Spinal cord injury: From inflammation to glial scar.
AB - BACKGROUND: Glial scar (GS) is the most important inhibitor factor to
neuroregeneration after spinal cord injury (SCI) and behaves as a tertiary
lesion. The present review of the literature searched for representative studies
concerning GS and therapeutic strategies to neuroregeneration. METHODS: The
author used the PubMed database and Google scholar to search articles published
in the last 20 years. Key words used were SCI, spinal cord (SC) inflammation, GS,
and SCI treatment. RESULTS: Both inflammation and GS are considered important
events after SCI. Despite the fact that firstly they seem to cause benefit, in
the end they cause more harm than good to neuroregeneration. Each stage has its
own aspects under the influence of the immune system causing inflammation, from
the primary to secondary lesion and from those to GS (tertiary lesion).
CONCLUSION: Future studies should stress the key points where and when GS
presents itself as an inhibitory factor to neuroregeneration. Considering GS as
an important event after SCI, the author defends GS as being a tertiary lesion.
Current strategies are presented with emphasis on stem cells and drug therapy. A
better understanding will permit the development of a therapeutic basis in the
treatment of the SCI patients considering each stage of the lesion, with emphasis
on GS and neuroregeneration.
PMID- 21886886
TI - Intraventricular trigonal meningioma: Neuronavigation? No, thanks!
AB - BACKGROUND: Most of the time meningiomas are benign brain tumors and surgical
removal ensures cure in the vast majority of the cases. Thus, whenever possible,
complete surgical resection should be the goal of the treatment. METHODS: This is
a report of our surgical technique for the operative resection of a trigonal
meningioma in a resource-limited setting. The necessity of accurate and deep
knowledge of the regional anatomy is outlined. RESULTS: A 44-year-old male
presented to our outpatient clinic complaining of cephalalgia increasing in
frequency and intensity over the last month. His neurological exam was normal,
yet a brain computed tomography scan revealed a lesion in the right trigone of
the ventricular system. The diagnosis of possible meningioma was set. After
thoroughly informing the patient, tumor resection was decided. An intraparietal
sulcus approach was favored without the use of any modern technological aids such
as intraoperative magnetic resonance imaging or neuronavigation. The
postoperative course was uneventful and a postoperative computed tomography scan
demonstrated the complete resection of the tumor. The patient was discharged two
days later with no neurological deficits. In a two-year-follow-up he remains
recurrence-free. CONCLUSION: In the current cost-effective era it is still
possible to safely remove an intraventricular trigonal meningioma without the
convenience of neuronavigation. Since the best neuronavigator is the profound
neuroanatomical knowledge, no technological advancement could replace a well
educated and trained neurosurgeon.
PMID- 21886888
TI - Costs and frequency of "off-label" use of INFUSE for spinal fusions at one
institution in 2010.
AB - BACKGROUND: INFUSE, bone morphogenetic protein-2 combined with bovine Type I
collagen in the lumbar tapered fusion device (LT Cage), is used to promote
anterior lumbar interbody fusion (ALIF). In spinal surgery, INFUSE is only
Federal Drug Administration (FDA) approved for this "on-label" use. While the
efficacy and possible complications due to INFUSE have been debated, we know less
about the costs and frequency of "on-label" versus "off-label" use of INFUSE to
perform spinal fusions. METHODS: At one institution, we determined the costs
(with overhead) and frequency of utilizing INFUSE "on-label" and "off-label" in
performing spinal fusions during 2010. RESULTS: During 2010, 177 spinal fusions
utilized INFUSE. Ninety-six percent, or 170 of 177 spinal fusions, utilized
INFUSE in an "off-label" capacity at a cost of $4,547,822. Only 4%, or seven of
177 cases, utilized INFUSE in an "on-label" capacity (ALIF); the total cost was
$296,419. CONCLUSIONS: In 2010, at one institution, 96% of the spinal fusions
utilized INFUSE in an off-label capacity (cost $4,547,822), while only 4% were
performed on-label (cost $296,4194).
PMID- 21886887
TI - Trigeminal neuralgia as the initial manifestation of temporal glioma: Report of
three cases and a review of the literature.
AB - BACKGROUND: It is almost an accepted scenario that trigeminal neuralgia (TN)
occurs when there is a kind of vascular compression on the root entry zone of
trigeminal nerve at pons. There are occasional reports about trigeminal neuralgia
as the presenting sign of intracranial tumors but temporal glioma has rarely been
included in the list. CASE DESCRIPTION: We report three cases of temporal lobe
glioma which presented with trigeminal neuralgia as the initial manifestation and
review the relevant literature briefly. The patients were 19-, 20-, and 31-year
old males who presented with partially controlled TN. The tumor mass could be
detected in paraclinical evaluations when the usual modalities of therapy for
facial pain in our community were not effective. Excisional surgery led in full
pain control in all the cases. Two of the patients died because of tumor
recurrence after a year and the other one is being treated by adjuvants.
CONCLUSION: We add these types of intracranial tumors to the list of the
etiologies for TN and the possible mechanisms for the initiation of pain in these
types of intracranial tumors are discussed.
PMID- 21886889
TI - Spindle cell oncocytoma of the pituitary and pituicytoma: Two tumors mimicking
pituitary adenoma.
AB - BACKGROUND: Spindle cell oncocytoma (SCO) and pituicytoma are rare nonfunctioning
tumors of the pituitary. Both tumors are low grade and macroscopically
indistinguishable from a nonfunctioning pituitary adenoma.We report one case of
SCO and one case of pituicytoma and review the previous literature. CASE
DESCRIPTION: One patient was a 39-year-old man who presented with progressive
headache, visual blurring, and polyuria of 3-year duration. He underwent partial
resection (30% of the tumor) and postoperative adjuvant radiation therapy.
Histopathology revealed SCO. However, after 9 months, the residual tumor grew and
partial resection (70% of the tumor) was performed again. Four months after the
second surgery, the tumor recurred again and he underwent transsphenoidal
resection of the tumor with stable residual tumor to date. The other patient was
a 59-year-old man who presented with a 3-month history of visual decline,
fatigue, difficulty in writing, and polyuria. He underwent transsphenoidal
resection (total) of the tumor. Histopathology revealed pituicytoma. He has been
stable without evidence of recurrence for 1 year and 4 months. CONCLUSION: To
date, there are 15 reported cases of SCO and 45 reported cases of pituicytoma
including our cases. An incomplete resection of the tumor was a significant risk
factor for recurrence in both SCO and pituicytoma (P = 0.0014 and P = 0.019,
respectively). These tumors have a tendency to be hypervascular, which may hamper
total resection. Epithelial membrane antigen (EMA) and mitochondria positivity is
characteristic to SCO and they are considered to be important immunomarkers to
distinguish these tumors.
PMID- 21886890
TI - A review of radio frequency identification technology for the anatomic pathology
or biorepository laboratory: Much promise, some progress, and more work needed.
AB - Patient safety initiatives throughout the anatomic laboratory and in
biorepository laboratories have mandated increasing emphasis on the need for
accurately identifying and tracking biospecimen assets throughout their
production lifecycle and for archiving/retrieval purposes. However, increasing
production volume along with complex workflow characteristics, reliance on manual
production processes, and required asset movement to disparate destinations
throughout asset lifecycles continue to challenge laboratory efforts. Radio
Frequency Identification (RFID) technology, use of radio waves to communicate
data between electronic tags attached to objects and a reader, shows significant
potential to facilitate and overcome these hurdles. Advantages over traditional
barcode labeling include readability without direct line-of-sight alignment to
the reader, ability to read multiple tags simultaneously, higher data storage
capacity, faster data transmission rate, and capacity to perform multiple read
writes of data to the tag. Most importantly, use of radio waves decreases the
need to manually scan each asset, and at each step, identification or tracking
event is needed. Temperature monitoring by on-board sensors and three-dimensional
position tracking are additional potential benefits of using RFID technology. To
date, barriers to implementation of RFID systems in the anatomic laboratory
include increased associated costs of tags and readers, system software, data
security concerns, lack of specific data standards for stored information, and
potential for technological obsolescence during decades of specimen storage.
Novel RFID production techniques and increased production capacity are projected
to lower costs of some tags to a few cents each. Potentially, information
security concerns can be addressed by techniques such as shielding, data
encryption, and tag pseudonyms. Commitment by stakeholder groups to develop RFID
tag data standards for anatomic pathology and biorepository laboratories could
avoid or mitigate the "islands of data" dilemma presented by barcode usage where
there are innumerable standards and a consequent paucity of hardware or software
"plug and play" interoperability. Work remains to be done to establish the
durability and appropriate shielding of individual tag types for use in harsh
laboratory environmental conditions, and for long-term archival storage. Finally,
given the requirements for long-term storage of biospecimen assets, consideration
should be given to ways of mitigating data isolation due to eventual
technological obsolescence of a particular RFID technology or software.
PMID- 21886891
TI - Computerized provider order entry in the clinical laboratory.
AB - Clinicians have traditionally ordered laboratory tests using paper-based orders
and requisitions. However, paper orders are becoming increasingly incompatible
with the complexities, challenges, and resource constraints of our modern
healthcare systems and are being replaced by electronic order entry systems.
Electronic systems that allow direct provider input of diagnostic testing or
medication orders into a computer system are known as Computerized Provider Order
Entry (CPOE) systems. Adoption of laboratory CPOE systems may offer institutions
many benefits, including reduced test turnaround time, improved test utilization,
and better adherence to practice guidelines. In this review, we outline the
functionality of various CPOE implementations, review the reported benefits, and
discuss strategies for using CPOE to improve the test ordering process. Further,
we discuss barriers to the implementation of CPOE systems that have prevented
their more widespread adoption.
PMID- 21886892
TI - Review of the current state of whole slide imaging in pathology.
AB - Whole slide imaging (WSI), or "virtual" microscopy, involves the scanning
(digitization) of glass slides to produce "digital slides". WSI has been
advocated for diagnostic, educational and research purposes. When used for remote
frozen section diagnosis, WSI requires a thorough implementation period coupled
with trained support personnel. Adoption of WSI for rendering pathologic
diagnoses on a routine basis has been shown to be successful in only a few
"niche" applications. Wider adoption will most likely require full integration
with the laboratory information system, continuous automated scanning, high
bandwidth connectivity, massive storage capacity, and more intuitive user
interfaces. Nevertheless, WSI has been reported to enhance specific pathology
practices, such as scanning slides received in consultation or of legal cases, of
slides to be used for patient care conferences, for quality assurance purposes,
to retain records of slides to be sent out or destroyed by ancillary testing, and
for performing digital image analysis. In addition to technical issues,
regulatory and validation requirements related to WSI have yet to be adequately
addressed. Although limited validation studies have been published using WSI
there are currently no standard guidelines for validating WSI for diagnostic use
in the clinical laboratory. This review addresses the current status of WSI in
pathology related to regulation and validation, the provision of remote and
routine pathologic diagnoses, educational uses, implementation issues, and the
cost-benefit analysis of adopting WSI in routine clinical practice.
PMID- 21886893
TI - Automated vector selection of SIVQ and parallel computing integration MATLABTM:
Innovations supporting large-scale and high-throughput image analysis studies.
AB - INTRODUCTION: Spatially invariant vector quantization (SIVQ) is a texture and
color-based image matching algorithm that queries the image space through the use
of ring vectors. In prior studies, the selection of one or more optimal vectors
for a particular feature of interest required a manual process, with the user
initially stochastically selecting candidate vectors and subsequently testing
them upon other regions of the image to verify the vector's sensitivity and
specificity properties (typically by reviewing a resultant heat map). In carrying
out the prior efforts, the SIVQ algorithm was noted to exhibit highly scalable
computational properties, where each region of analysis can take place
independently of others, making a compelling case for the exploration of its
deployment on high-throughput computing platforms, with the hypothesis that such
an exercise will result in performance gains that scale linearly with increasing
processor count. METHODS: An automated process was developed for the selection of
optimal ring vectors to serve as the predicate matching operator in defining
histopathological features of interest. Briefly, candidate vectors were generated
from every possible coordinate origin within a user-defined vector selection area
(VSA) and subsequently compared against user-identified positive and negative
"ground truth" regions on the same image. Each vector from the VSA was assessed
for its goodness-of-fit to both the positive and negative areas via the use of
the receiver operating characteristic (ROC) transfer function, with each
assessment resulting in an associated area-under-the-curve (AUC) figure of merit.
RESULTS: Use of the above-mentioned automated vector selection process was
demonstrated in two cases of use: First, to identify malignant colonic
epithelium, and second, to identify soft tissue sarcoma. For both examples, a
very satisfactory optimized vector was identified, as defined by the AUC metric.
Finally, as an additional effort directed towards attaining high-throughput
capability for the SIVQ algorithm, we demonstrated the successful incorporation
of it with the MATrix LABoratory (MATLABTM) application interface. CONCLUSION:
The SIVQ algorithm is suitable for automated vector selection settings and high
throughput computation.
PMID- 21886895
TI - Design, Synthesis and Biological Evaluation of Novel Pyrimido[4,5-d]pyrimidine
CDK2 Inhibitors as Anti-Tumor Agents.
AB - A series of 2,5,7-trisubstituted pyrimido[4,5-d]pyrimidine cyclin-dependent
kinase (CDK2) inhibitors is designed and synthesized. 6-Amino-2-thiouracil is
reacted with an aldehyde and thiourea to prepare the pyrimido[4,5-d]-pyrimidines.
Alkylation and amination of the latter ones give different amino derivatives.
These compounds show potent and selective CDK inhibitory activities and inhibit
in vitro cellular proliferation in cultured human tumor cells.
PMID- 21886894
TI - Neglected disease - african sleeping sickness: recent synthetic and modeling
advances.
AB - Human African Trypanosomiasis (HAT) also called sleeping sickness is caused by
subspecies of the parasitic hemoflagellate Trypanosoma brucei that mostly occurs
in sub-Saharan Africa. The current chemotherapy of the human trypanosomiases
relies on only six drugs, five of which have been developed more than 30 years
ago, have undesirable toxic side effects and most of them show drug-resistance.
Though development of new anti-trypanosomal drugs seems to be a priority area
research in this area has lagged far behind. The given review mainly focus upon
the recent synthetic and computer based approaches made by various research
groups for the development of newer anti-trypanosomal analogues which may have
improved efficacy and oral bioavailability than the present ones. The given paper
also attempts to investigate the relationship between the various physiochemical
parameters and anti-trypanosomal activity that may be helpful in development of
potent anti-trypanosomal agents against sleeping sickness.
PMID- 21886896
TI - Design, synthesis and biological evaluation of new 5,5-diarylhydantoin
derivatives as selective cyclooxygenase-2 inhibitors.
AB - A new group of 5,5-diarylhydantoin derivatives bearing a methylsulfonyl COX-2
pharmacophore at the para position of the C-5 phenyl ring were designed and
synthesized as selective COX-2 inhibitors. In vitro COX-1/COX-2 inhibition
structure-activity relationships identified 5-[4-(methylsulfonyl)phenyl]-5-phenyl
hydantoin (4) as a highly potent and selective COX-2 inhibitor (COX-2 IC(50) =
0.077 MUM; selectivity index > 1298). It was more selective than the reference
drug celecoxib (COX-2 IC(50) = 0.060 MUM; selectivity index = 405). A molecular
modeling study where 4 was docked in the binding site of COX-2 indicated that the
p-MeSO(2) COX-2 pharmacophore group on the C-5 phenyl ring is oriented in the
vicinity of the COX-2 secondary pocket. The results of this study showed that the
type of substituent on the N-3 hydantoin ring substituent is important for COX-2
inhibitory activity.
PMID- 21886897
TI - Molecular modeling on structure-function analysis of human progesterone receptor
modulators.
AB - Considering the significance of progesterone receptor (PR) modulators, the
present study is explored to envisage the biophoric signals for binding to
selective PR subtype-A using ligand-based quantitative structure activity
relationship (QSAR) and pharmacophore space modeling studies on nonsteroidal
substituted quinoline and cyclocymopol monomethyl ether derivatives. Consensus
QSAR models (Training set (Tr): n(Tr)=100, R(2) (pred)=0.702; test set (Ts):
n(Ts)=30, R(2) (pred)=0.705, R(2) (m)=0.635; validation set (Vs): n(Vs)=40, R(2)
(pred)=0.715, R(2) (m)=0.680) suggest that molecular topology, atomic
polarizability and electronegativity, atomic mass and van der Waals volume of the
ligands have influence on the presence of functional atoms (F, Cl, N and O) and
consequently contribute significant relations on ligand binding affinity.
Receptor independent space modeling study (Tr: n(Tr)=26, Q(2)=0.927; Ts:
n(Ts)=60, R(2) (pred)=0.613, R(2) (m)=0.545; Vs: n(Vs)=84, R(2) (pred)=0.611,
R(2) (m)=0.507) indicates the importance of aromatic ring, hydrogen bond donor,
molecular hydrophobicity and steric influence for receptor binding. The structure
function characterization is adjudged with the receptor-based docking study,
explaining the significance of the mapped molecular attributes for ligand
receptor interaction in the catalytic cleft of PR-A.
PMID- 21886898
TI - Preformulation studies of Zidovudine derivatives: Acid dissociation constants,
differential scanning calorimetry, thermogravimetry, x-ray powder diffractometry
and aqueous stability studies.
AB - As part as of the preformulation studies of new 5'-OH derivatives of zidovudine,
compounds 2-6, their acid dissociation constants, Differential Scanning
Calorimetry (DSC) and Thermogravimetry (TG) curves, X-Ray Powder diffractograms
and aqueous stability are reported. A sensitive technique such as differential
scanning potentiometry was used to determine the pKa constants of the above
mentioned compounds. In addition, pKa values were calculated from theoretical
methods, and no significant differences with those of experimental ones were
observed. X-Ray Powder Diffractometry data demonstrated that compounds 2-4 were
crystalline while 5 and 6 were amorphous. DSC analysis indicated that all of them
presented an exothermic decomposition peak above 150 degrees C which is
accompanied by a weight loss in the respective TG curves. The stability of these
compounds in aqueous medium at different pH values was investigated, using a
validated High Performance Liquid Chromatography (HPLC) method, which
demonstrated to be rapid, selective, sensitive, accurate and stability
indicating. Good recovery, linearity and precision were also achieved. For all
compounds the aqueous hydrolysis followed a pseudo-first-order kinetics,
depending on pH and the union existing between AZT and the associate moiety. The
hydrolysis was catalyzed by hydroxide ion in the 7.4-13.2 pH range, while all
compounds exhibited pH-independent stability from acidic to neutral media (pHs
1.0-7.4).
PMID- 21886899
TI - Feed forward artificial neural network: tool for early detection of ovarian
cancer.
AB - Pathological changes in an organ or tissue may be reflected in proteomic patterns
in serum. The early detection of cancer is crucial for successful treatment. Some
cancers affect the concentration of certain molecules in the blood, which allows
early diagnosis by analyzing the blood mass spectrum. It is possible that
exclusive serum proteomic patterns could be used to differentiate cancer samples
from non-cancer ones. Several techniques have been developed for the analysis of
mass-spectrum curve, and use them for the detection of prostate, ovarian, breast,
bladder, pancreatic, kidney, liver, and colon cancers. In present study, we
applied data mining to the diagnosis of ovarian cancer and identified the most
informative points of the mass-spectrum curve, then used student t-test and
neural networks to determine the differences between the curves of cancer
patients and healthy people. Two serum SELDI MS data sets were used in this
research to identify serum proteomic patterns that distinguish the serum of
ovarian cancer cases from non-cancer controls. Statistical testing and genetic
algorithm-based methods are used for feature selection respectively. The results
showed that (1) data mining techniques can be successfully applied to ovarian
cancer detection with a reasonably high performance; (2) the discriminatory
features (proteomic patterns) can be very different from one selection method to
another.
PMID- 21886900
TI - Synthesis of Diarylpyrazoles Containing a Phenylsulphone or Carbonitrile Moiety
and their Chalcones as Possible Anti-Inflammatory Agents.
AB - A series of chalcone-based diarylpyrazoles containing a phenylsulphone or
carbonitrile moiety was synthesized. Thus, 3-acetylpyrazoles 6a-c and 10a-c were
used as useful substrates in facile synthesis of functional pyrazoles 7a-f and
11a-f, respectively. The anti-inflammatory activity and ulcerogenic effect were
evaluated and some of the obtained products possessed a significant anti
inflammatory activity. 1-[1-(3-Methylphenyl)-5-phenyl-4-(phenylsulfonyl)-1H
pyrazol-3-yl]ethanone (6b) showed a high activity when compared with indomethacin
as reference drug with lower gastrointestinal (GI) profile. Furthermore,
molecular docking studies were performed in order to rationalize the obtained
biological results.
PMID- 21886901
TI - A Rapid, Stability Indicating RP-UPLC Method for Simultaneous Determination of
Ambroxol Hydrochloride, Cetirizine Hydrochloride and Antimicrobial Preservatives
in Liquid Pharmaceutical Formulation.
AB - A stability indicating reversed phase ultra performance liquid chromatography (RP
UPLC) method was developed for simultaneous determination of ambroxol
hydrochloride (AMB), cetirizine hydrochloride (CTZ), methylparaben (MP) and
propylparaben (PP) in liquid pharmaceutical formulation. The desired
chromatographic separation was achieved on an Agilent Eclipse plus C18, 1.8 MUm
(50 * 2.1 mm) column using gradient elution at 237 nm detector wavelength. The
optimized mobile phase consists of a mixture of 0.01 M phosphate buffer and 0.1 %
triethylamine as a solvent-A and acetonitrile as a solvent-B. The developed
method separates AMB, CTZ, MP and PP in presence of twelve known
impurities/degradation products and one unknown degradation product within 3.5
min. Stability indicating capability was established by forced degradation
experiments and seperation of known and unknown degradation products. The lower
limit of quantification was established for AMB, CTZ, MP and PP. The developed RP
UPLC method was validated according to the International Conference on
Harmonization (ICH) guidelines. This validated method is applied for simultaneous
estimation of AMB, CTZ, MP and PP in commercially available syrup samples.
Further, the method can be extended for estimation of AMB, CTZ, MP, PP and levo
cetirizine (LCTZ) in various commercially available dosage forms.
PMID- 21886902
TI - Validated RP-HPLC Method for Simultaneous Quantitation of Losartan Potassium and
Metolazone in Bulk Drug and Formulation.
AB - A HPLC method has been described for simultaneous determination of Losartan
potassium and Metolazone in formulation. This method is based on a HPLC
separation of the two drugs on the Thermo Hypersil BDS-C(18) (250 mm * 4.6 mm,
5.0 MUm) with isocratic conditions and a simple mobile phase containing
acetonitrile:water (60:40) at a flow rate of 0.8 mL/min using UV detection at 237
nm. This method has been applied to a marketed formulation without interference
of excipients. The linear regression analysis data for the calibration plots
showed a good linear relationship over the concentration range of 2-12 MUg/mL for
Losartan potassium and 0.2-1.2 MUg/mL for Metolazone, respectively. The method
was validated for precision, robustness and recovery. Statistical analysis showed
that the method is repeatable and selective for the estimation of Losartan
potassium and Metolazone.
PMID- 21886903
TI - Detection, isolation and characterization of principal synthetic route indicative
impurities in verapamil hydrochloride.
AB - Two unknown impurities were detected in verapamil hydrochloride bulk drug using
isocratic reversed-phase high performance liquid chromatography (HPLC). These
impurities were isolated by preparative HPLC. Spectral data for the isolated
impurities were collected. Based on the spectral data derived from two
dimensional nuclear magnetic resonance (2D-NMR) spectroscopy and mass
spectrometry (MS), impurity-1 and impurity-2 were characterized as 2-(3,4
dimethoxyphenyl)-3-methylbut-2-enenitrile and 2-(3,4-dimethoxyphenyl)-2-isopropyl
3-methylbutanenitrile, respectively.
PMID- 21886904
TI - Validation of a flow cytometry based binding assay for evaluation of monoclonal
antibody recognizing EGF receptor.
AB - An ideal test used to characterize a product must be appropriate for the
measurement of product quality, manufacturing consistency, product stability, and
comparability studies. Flow cytometry has been successfully applied to the
examination of antibodies and receptors on membrane surfaces; however, to date,
the analytical validation of cytometry based assays is limited. Here we report on
the validation of a flow cytometry-based assay used in the evaluation of
nimotuzumab binding to cells over-expressing EGFR on cell surface. The assay was
validated by examining, assay robustness, specificity, repeatability and
intermediate precision. The assay was highly specific, robust for all studied
factors except for cell fixation with 1% paraformaldehyde and met criteria for
precision with RSD < 2%. In addition the assay has stability-indicating
properties evidenced by the ability to detect changes in mAb degraded samples.
Most importantly, the assay demonstrated to be useful for its intended use.
PMID- 21886905
TI - Development and validation of amisulpride in human plasma by HPLC coupled with
tandem mass spectrometry and its application to a pharmacokinetic study.
AB - In this study, authors developed a simple, sensitive and specific liquid
chromatography-tandem mass spectrometry (LC-MS/MS) method for quantification of
Amisulpride in human plasma using Amisulpride-d(5) as an internal standard (IS).
Chromatographic separation was performed on Zorbax Bonus-RP C18, 4.6 * 75 mm, 3.5
MUm column with an isocratic mobile phase composed of 0.2% formic acid:methanol
(35:65 v/v), at a flow-rate of 0.5 mL/min. Amisulpride, Amisulpride-d(5) was
detected at m/z 370.1->242.1 and 375.1->242.1. The drug and the IS were extracted
by a liquid-liquid extraction method. The method was validated over a linear
concentration range of 2.0-2500.0 ng/mL for Amisulpride with a correlation
coefficient of (r(2)) >= 0.9982. This method demonstrated intra- and inter-day
precision within 0.9 to 1.7 and 1.5 to 2.8 % and intra- and inter-day accuracy
within 98.3 to 101.5 and 96.0 to 101.0 % for Amisulpride. Amisulpride was found
to be stable at 3 freeze-thaw cycles, bench top and auto sampler stability
studies. The developed method was successfully applied to a pharmacokinetic
study.
PMID- 21886906
TI - Synthesis, characterization and quantification of simvastatin metabolites and
impurities.
AB - Simvastatin is used in treatment of hypercholesterolemia because it regulates
cholesterol synthesis as a result of its beta-hydroxy acid acting as an inhibitor
of 3-hydroxy-methylglutaryl coenzyme A (HMG-CoA). The present communication deals
with synthesis, characterization and development of accurate, precise and
sensitive Reverse Phase High Performance Liquid Chromatography (RP-HPLC) method
for simultaneous estimation of simvastatin and its synthetic impurities. The
impurities methyl ether and beta-hydroxy acid of simvastatin were synthesized in
the laboratory and characterized by MS, NMR and FT-IR spectroscopy. The
separation of simvastatin and its impurities was carried out on an isocratic
JASCO RP-HPLC system using KYA TECH HIQ SIL C(18) column (150 * 4.6 mm internal
diameter, particle size 5 MUm) operating at ambient temperature using
acetonitrile:water (80:20 v/v) with 0.1% orthophosphoric acid as mobile phase.
The method developed for HPLC analysis of three impurities along with simvastatin
was validated using ICH Q2B (R1) guidelines and it complied with these
guidelines. The results of analysis were found to be in the range of 98.14% to
101.89% for all analytes with acceptable accuracy and precision. The method can
be used for detection and quantification of synthetic impurities in bulk or
formulations of simvastatin.
PMID- 21886907
TI - In Vitro and In Vivo Effects of Natural Putative Secretagogues of Glucagon-Like
Peptide-1 (GLP-1).
AB - Glucagon-like peptide-1 (GLP-1) is an intestinal hormone with well-established
glucose-lowering activity. The in vitro and in vivo actions of natural putative
secretagogues of GLP-1 were investigated. The acute GLP-1 releasing activity of
olive leaf extract (OLE), glutamine (GLN), alpha casein (ACAS), beta casein
(BCAS) and chlorogenic acid (CGA) were assessed in STC-1 cells and C57BL/6 mice.
All compounds except ACAS significantly increased acute in vitro GLP-1 secretion
(66-386%; P<0.05-0.001). Oral gavage of OLE and GLN modestly increased plasma GLP
1 concentrations (48% and 41%, respectively), but did not lower glycaemic
excursions. OLE and GLN are potent stimulators of GLP-1 secretion both in vitro
and in vivo and chronic studies should assess their suitability as nutritional
therapies for type 2 diabetes.
PMID- 21886908
TI - Type 2 Diabetes Mellitus-Induced Hyperglycemia in Patients with NAFLD and Normal
LFTs: Relationship to Lipid Profile, Oxidative Stress and Pro-Inflammatory
Cytokines.
AB - Type 2 diabetes mellitus is associated with dyslipdemia, insulin resistance and
non alcoholic fatty liver disease. The purpose of the current study was to assess
whether type 2 diabetes mellitus-induced hyperglycemia has an effect on the lipid
profile and release of oxidative stress markers and inflammatory mediators in
patients with non alcoholic fatty liver disease and normal liver function tests
which may in turn lead to enhancing the pathogenicity of this liver disease. For
this purpose, one hundred and five outpatients, matched in age and weight, were
classified into two groups: the first group consisted of patients with non
alcoholic fatty liver disease and the second group consisted of patients with non
alcoholic fatty liver disease in conjunction with hyperglycemia due to the
presence of type 2 diabetes mellitus. In all patients, lipid profile, oxidative
stress, and inflammatory mediators were assessed by measuring serum
concentrations of triglycerides, low density lipoprotein, hydrogen preroxide,
malondialdehyde, tumor necrosis factor-alpha and interleukin-6, respectively. In
the studied population, it was found that the presence of type 2 diabetes
mellitus-induced hyperglycemia significantly impaired lipid profile, and
significantly enhanced the formation of hydrogen preroxide and malondialdehyde as
well as significantly increased the release of tumor necrosis factor-alpha and
interleukin-6 in the second group of patients. In addition, plasma glucose level
showed significant positive correlation with hydrogen peroxide, malondialdehyde,
tumor necrosis factor-alpha and interleukin-6. From the previous results, it was
concluded that the presence of type 2 diabetes mellitus-induced hyperglycemia
results in significant increase in lipid profile, oxidative stress markers and
inflammatory mediators in patients with non alcoholic fatty liver disease and
normal liver function tests. For this reason, further research studies may be
essential to evaluate the benefit of adding suitable antioxidant and anti
inflammatory drugs to the treatment regimen for this group of patients. In
addition, regular monitoring of blood glucose levels and liver function tests
should be advised to this category of patients to reduce liver fat deposition and
avoid the development of non alcoholic steatohepatitis, cirrhosis or liver cancer
and their related complications.
PMID- 21886909
TI - Enhanced antihypertensive activity of candesartan cilexetil nanosuspension:
formulation, characterization and pharmacodynamic study.
AB - The objective of the present investigation was to enhance the oral
bioavailability of practically insoluble Candesartan cilexetil [CC] by preparing
nanosuspension. The nanosuspension was prepared by media milling using zirconium
oxide beads and converted to solid state by spray drying. The spray dried
nanosuspension of CC [SDCN] was evaluated for particle size, zeta potential,
saturation solubility, crystallanity, surface morphology and dissolution
behavior. SDCN showed particle size of 223.5+/-5.4 nm and zeta potential of
32.2+/-0.6 mV while saturation solubility of bulk CC and SDCN were 125+/-6.9
MUg/ml and 2805+/-29.5 MUg/ml respectively, showing more than 20 times increase
in solubility. Differential Scanning Calorimetry [DSC] and X-ray diffraction
[XRD] analysis showed that crystalline state of CC remained unchanged in SDCN.
Dissolution studies in phosphate buffer pH 6.5 containing 0.7% Tween 20 showed
that 53+/-5% of bulk drug dissolved in 15 min whereas SDCN was almost completely
dissolved exhibiting higher dissolution velocity and solubility. Transmission
electron microscopy [TEM] revealed that nanocrystals were not of uniform size,
and approximately of oval shape. Pharmacodynamic study based on
deoxycorticosterone acetate [DOCA] salt model was performed in rats to evaluate
in-vivo performance, which showed 26.75+/-0.33% decrease in systolic blood
pressure for nanosuspension while plain drug suspension showed 16.0+/-0.38%
reduction, indicating that increase in dissolution velocity and saturation
solubility leads to enhancement of bioavailability of SDCN when compared to bulk
CC suspension. Thus, the results conclusively demonstrated a significant
enhancement in antihypertensive activity of candesartan when formulated as
nanosuspension.
PMID- 21886910
TI - Taste masked microspheres of ofloxacin: formulation and evaluation of
orodispersible tablets.
AB - Ofloxacin is a synthetic chemotherapeutic antibiotic used for treatment of a
variety of bacterial infections, but therapy suffers from low patients'
compliance due to its unpleasant taste. This study was aimed to develop taste
masked microspheres of ofloxacin using Eudragit and to prepare orodispersible
tablets of the formulated microspheres using natural superdisintegrant. Taste
masking Eudragit E100 microspheres were prepared by solvent evaporation technique
with an entrapment efficiency ranging from 69.54 +/- 1.98 to 86.52 +/- 2.25%. DSC
revealed no interaction between the drug and polymer. Microspheres prepared at a
drug/polymer ratio of 1:4 and 1:5 revealed sufficient flow properties and better
taste masking as compared to other ratios. Drug loaded microspheres were
formulated as orodispersible tablets using locust bean gum as a natural
superdisintegrant offering the advatages of biocompatibility and biodegrad
ability. The wetting time, water absorption ratio and in-vitro disintegration
time of the tablets were found to range between 19 +/- 2 to 10 +/- 3 seconds,
59.11 +/- 0.65 to 85.76 +/- 0.96 and 22 +/- 2 to 10 +/- 2 seconds, respectively.
The in-vitro ofloxacin release was about 97.25% within 2h. The results obtained
from the study suggested the use of eudragit polymer for preparing ofloxacin
loaded microspheres with an aim to mask the bitter taste of the drug and
furthermore orodispersible tablets could be formulated using locust bean gum as a
natural superdisintegrant.
PMID- 21886912
TI - The successful relaunch of scientia pharmaceutica continues achieving success.
PMID- 21886911
TI - Amoxicillin loaded chitosan-alginate polyelectrolyte complex nanoparticles as
mucopenetrating delivery system for h. Pylori.
AB - The present study has been undertaken to apply the concept of nanoparticulate
mucopenetrating drug delivery system for complete eradication of Helicobacter
pylori (H. pylori), colonised deep into the gastric mucosal lining. Most of the
existing drug delivery systems have failed on account of either improper
mucoadhesion or mucopenetration and no dosage form with dual activity of adhesion
and penetration has been designed till date for treating H. pylori induced
disorders. In the present study, novel chitosan-alginate polyelectrolyte complex
(CS-ALG PEC) nanoparticles of amoxicillin have been designed and optimized for
various variables such as pH and mixing ratio of polymers, concentrations of
polymers, drug and surfactant, using 3(3) Box-Behnken design. Various studies
like particle size, surface charge, percent drug entrapment, in-vitro
mucoadhesion and in-vivo mucopenetration of nanoparticles on rat models were
conducted. The optimised FITC labelled CS-ALG PEC nanoparticles have shown
comparative low in-vitro mucoadhesion with respect to plain chitosan
nanoparticles, but excellent mucopenetration and localization as observed with
increased fluorescence in gastric mucosa continuously over 6 hours, which
clinically can help in eradication of H. pylori.
PMID- 21886913
TI - Pharmacological activation/inhibition of the cannabinoid system affects alcohol
withdrawal-induced neuronal hypersensitivity to excitotoxic insults.
AB - Cessation of chronic ethanol consumption can increase the sensitivity of the
brain to excitotoxic damages. Cannabinoids have been proposed as neuroprotectants
in different models of neuronal injury, but their effect have never been
investigated in a context of excitotoxicity after alcohol cessation. Here we
examined the effects of the pharmacological activation/inhibition of the
endocannabinoid system in an in vitro model of chronic ethanol exposure and
withdrawal followed by an excitotoxic challenge. Ethanol withdrawal increased N
methyl-D-aspartate (NMDA)-evoked neuronal death, probably by altering the ratio
between GluN2A and GluN2B NMDA receptor subunits. The stimulation of the
endocannabinoid system with the cannabinoid agonist HU-210 decreased NMDA-induced
neuronal death exclusively in ethanol-withdrawn neurons. This neuroprotection
could be explained by a decrease in NMDA-stimulated calcium influx after the
administration of HU-210, found exclusively in ethanol-withdrawn neurons. By
contrast, the inhibition of the cannabinoid system with the CB1 receptor
antagonist rimonabant (SR141716) during ethanol withdrawal increased death of
ethanol-withdrawn neurons without any modification of NMDA-stimulated calcium
influx. Moreover, chronic administration of rimonabant increased NMDA-stimulated
toxicity not only in withdrawn neurons, but also in control neurons. In summary,
we show for the first time that the stimulation of the endocannabinoid system is
protective against the hyperexcitability developed during alcohol withdrawal. By
contrast, the blockade of the endocannabinoid system is highly counterproductive
during alcohol withdrawal.
PMID- 21886914
TI - Nutritional asymmetries are related to division of labor in a queenless ant.
AB - Eusocial species exhibit pronounced division of labor, most notably between
reproductive and non-reproductive castes, but also within non-reproductive castes
via morphological specialization and temporal polyethism. For species with
distinct worker and queen castes, age-related differences in behavior among
workers (e.g. within-nest tasks versus foraging) appear to result from
physiological changes such as decreased lipid content. However, we know little
about how labor is divided among individuals in species that lack a distinct
queen caste. In this study, we investigated how fat storage varied among
individuals in a species of ant (Dinoponera australis) that lacks a distinct
queen caste and in which all individuals are morphologically similar and capable
of reproduction (totipotent at birth). We distinguish between two hypotheses, 1)
all individuals are physiologically similar, consistent with the possibility that
any non-reproductive may eventually become reproductive, and 2) non-reproductive
individuals vary in stored fat, similar to highly eusocial species, where
depletion is associated with foraging and non-reproductives have lower lipid
stores than reproducing individuals. Our data support the latter hypothesis.
Location in the nest, the probability of foraging, and foraging effort, were all
associated with decreased fat storage.
PMID- 21886915
TI - A sample-saving method for heat capacity measurements on powders using relaxation
calorimetry.
AB - An experimental method is described for determining the low-temperature heat
capacity (C(p)) of mg-sized powder samples using the Quantum Design "Physical
Properties Measurement System" (PPMS). The powder is contained in an Al pan as an
~1 mm thick compressed layer. The sample is not mixed with Apiezon N grease, as
compared to other methods. Thus, it is not contaminated and can be used for
further study. This is necessary for samples that are only available in tiny
amounts. To demonstrate the method various samples, all insulating in nature,
were studied including benzoic acid, sapphire and different silicate minerals.
The measurements show that the method has an accuracy in C(p) to better than 1%
at T above 30-50 K and +/-3-5% up to +/-10% below. The experimental procedure is
based on three independent PPMS and three independent differential scanning
calorimetry (DSC) measurements. The DSC C(p) data are used to slightly adjust the
PPMS C(p) data by a factor CpDSC/CpPPMSat298K. This is done because heat
capacities measured with a DSC device are more accurate around ambient T (?0.6%)
than PPMS values and is possible because the deviation of PPMS heat capacities
from reference values is nearly constant between about 50 K and 300 K. The
resulting standard entropies agree with published reference values within 0.21%
for the silicates, by 0.34% for corundum, and by 0.9% for powdered benzoic acid.
The method thus allows entropy determinations on powders with an accuracy of
better than 1%. The advantage of our method compared to other experimental
techniques is that the sample powder is not contaminated with grease and that
heat capacity values show less scatter at high temperatures.
PMID- 21886916
TI - Art and science of Orthopedics.
PMID- 21886917
TI - The 3-min appraisal of a diagnostic test.
PMID- 21886918
TI - Aperture in coronoid-olecranon septum: A radiological evaluation.
AB - BACKGROUND: The humerus bone with coronoid-olecranon septal aperture have very
narrow medullary canal and extreme anterolateral bowing proximally and/or extreme
anterolateral compressed surface at distal part. Septal perforation might make
nailing through a retrograde technique more difficult or possibly with an
increased risk of iatrogenic fracture at the site of insertion. Coronoid
olecranon perforation might be very important in preoperative planning for
successful nailing. Since the data available has been obtained from the ancient
studies using the skeletal material, the present study is aimed at investigating
originally the presence of the coronoid-olecranon septum perforation in the
living subjects, using a radiographic method. MATERIALS AND METHODS: The study
was performed on direct radiograms and computed tomography of the elbow obtained
from the 709 volunteers (367 males, 342 females). RESULTS: The incidence of the
perforation was found to be 8.6 %. Bilateral occurrence was found to be more
common significantly. The highest incidence occurred in second decade.
CONCLUSION: The present study suggests female prepronounced and left asymmetry in
case of septal perforation. Bilateral occurrence from our study might be peculiar
to the clinician.
PMID- 21886919
TI - Spinaplasty following lumbar laminectomy for multilevel lumbar spinal stenosis to
prevent iatrogenic instability.
AB - BACKGROUND: Iatrogenic instability following laminectomy occurs in patients with
degenerative lumbar canal stenosis. Long segment fusions to obviate postoperative
instability result in loss of motion of lumbar spine and predisposes to adjacent
level degeneration. The best alternative would be an adequate decompressive
laminectomy with a nonfusion technique of preserving the posterior ligament
complex integrity. We report a retrospective analysis of multilevel lumbar canal
stenosis that were operated for posterior decompression and underwent spinaplasty
to preserve posterior ligament complex integrity for outcome of decompression and
iatrogenic instability. MATERIALS AND METHODS: 610 patients of degenerative
lumbar canal stenosis (n=520) and development spinal canal stenosis (n=90), with
a mean age 58 years (33-85 years), underwent multilevel laminectomies and
spinaplasty procedure. At followup, changes in the posture while walking,
increase in the walking distance, improvement in the dysesthesia in lower limb,
the motor power, capability to negotiate stairs and sphincter function were
assessed. Forward excursion of vertebrae more than 4 mm in flexion-extension
lateral X-ray of the spine as compared to the preoperative movements was
considered as the iatrogenic instability. Clinical assessment was done in
standing posture regarding active flexion-extension movement, lateral bending and
rotations RESULTS: All patients were followed up from 3 to 10 years. None of the
patients had neurological deterioration or pain or catch while movement. Walking
distance improved by 5-10 times, with marked relief (70-90%) in neurogenic
claudication and preoperative stooping posture, with improvement in sensation and
motor power. There was no significant difference in the sagittal alignment as
well as anterior translation. Two patients with concomitant scoliosis and one
with cauda equine syndrome had incomplete recovery. Two patients who developed
disc protrusion, underwent a second operation for a symptomatic disc prolapse.
CONCLUSION: Spinaplasty following posterior decompression for multilevel lumbar
canal stenosis is a simple operation, without any serious complications,
retaining median structures, maintaining the tension band and the strength with
least disturbance of kinematics, mobility, stability and lordosis of the lumbar
spine.
PMID- 21886921
TI - Intramedullary fixation of forearm fractures with new locked nail.
AB - BACKGROUND: Lack of availability of interlocked nails made plate osteosynthesis
the first choice of treatment of forearm fractures inspite of more surgical
exposure, periosteal stripping and big skin incision subsequent scar along with
higher risk of refracture on implant removal. We hereby report the first 12 cases
with 19 forearm bone fractures internally fixed by indegenous interlocked nail.
MATERIALS AND METHODS: Existing square nails were modified to have a broad
proximal end of 5.5 mm with a hole for locking screw of 2.5 mm. The nail has a
distal hole of 1/1.2/1.5 mm in 2.5/3/3.5 mm diameter nail, respectively. A new
method of distal locking with a clip made of k wire is designed. The clip after
insertion into the bone and hole in nail and opposite cortex snuggly fits the
bone providing a secure locking system. Twelve skeletally mature patients, mean
age 32 years (range 24-45 years) with 19 diaphyseal fractures of the forearm were
treated with this indigenously made new nail. The patient were evaluated for
fracture union, functional recovery and complications. The functional outcome was
assessed by disabilities of arm, shoulder and hand questionnaire (DASH score).
RESULTS: Time to radiographic union ranged between 12 and 28 weeks, with a 100%
union rate. Complications were minimal, with mild infection in open fracture
(n=1) and delayed union (n=1) in patient with comminuted fracture of the ulna
only. The clinical results were excellent. The DASH score ranged between 0 and 36
points. CONCLUSION: This new interlocking nail may be considered as an
alternative to plate osteosynthesis for fractures of the forearm in adults. The
advantages are benefit of closed reduction, smaller residual scar, reduced cost
and early union with allowance of immediate movements.
PMID- 21886920
TI - Outcome of radial head preserving operations in missed Monteggia fracture in
children.
AB - BACKGROUND: The dislocated radial head in missed Monteggia fracture loses its
concave articular surface and displays hypertrophic changes and flattened humeral
capitellum configuration, thereby limiting the range of motion. We evaluated the
results of open reduction in missed Monteggia fractures by various techniques.
MATERIALS AND METHODS: Sixty-three missed Monteggia fractures were included in
the analysis. We performed four combinations of operation: Group I: 22 patients
treated with modified Hirayama ulnar osteotomy plus annular ligament
reconstruction with free Palmaris longus grafting; Group II:18 patients treated
with modified Hirayama ulnar osteotomy plus annular ligament reconstruction by
the Bell Tawse's procedure; Group III-9: patients treated with only modified
Hirayama's osteotomy; and Group IV: 14 patients treated with transverse osteotomy
of ulna and annular ligament reconstruction by the Bell Tawse's procedure. During
followup these cases were assessed for the following parameters: 1) range of
motion and 2) mayo elbow performance index (MEPI). Results were noted on follow
ups at 3, 6, 12 months and then on yearly basis. Sixty-three patients were
followed up for an average duration of 5.6 years (range 3-8 years). RESULTS: The
mean range of motion was increased by 45 degrees , 30 degrees , 45 degrees , 20
degrees for Group I, II, III and IV respectively. The average increase in MEPI
scores was also almost on the same lines. There was one case of frank dislocation
in group III and six cases of subluxation, two each in Groups II, III, and IV.
For Annular ligament reconstruction, amongst two procedures, Groups II and IV
(Bell Tawse group), had a significant extension lag contributing to the lower
increase in the range of motion as compared to the Palmaris longus reconstruction
group (group I). CONCLUSION: Hirayama's osteotomy is inherently more stable than
the simple transverse osteotomy and it should be combined with annular ligament
reconstruction. Palmaris longus graft for ligament reconstruction provides more
stability as compare to Bell Towse's procedure.
PMID- 21886922
TI - Internal fixation of fractures of both bones forearm: Comparison of locked
compression and limited contact dynamic compression plate.
AB - BACKGROUND: The locking compression plate (LCP) with combination holes is a newer
device in fracture fixation. We undertook a study comparing the LCP with limited
contact dynamic compression plate (LC-DCP) in the treatment of diaphyseal
fractures of both bones of the forearm. MATERIALS AND METHODS: This is a
prospective comparative study, 36 patients (18 in each group) with fractures of
both the forearm bones (72 fractures) were treated with one of the two devices.
The average age of the patients was 30.5 years (range 16-60 years) with mean
followup of 2.1 years (range 1.5-2.8 years). The patients were assessed for
fracture union and function and complications and by Disabilities of the Arm,
Shoulder and Hand (DASH) score for patient related outcome at the latest
followup. RESULTS: There was no significant difference in two groups with respect
to the range of movements or grip strength. One case had delayed union (LC-DCP
group) and another had synostosis (LCP group). Plate removal was done in four
cases within the study period with no refracture till the presentation of this
report. CONCLUSION: LC plating is an effective treatment option for fractures of
both bones of forearm. The present study could not prove its superiority over LC
DCP.
PMID- 21886923
TI - Percutaneous pinning for non-comminuted extra-articular fractures of distal
radius.
AB - BACKGROUND: Various treatment modalities have been described for the treatment of
extra-articular distal radius fractures each with its own merits and demerits.
Most of the work done with percutaneous pinning has shown a significant residual
stiffness of the hand and wrist. Our technique involves percutaneous pinning of
the fracture and immobilization in neutral position of the wrist for three weeks.
This study's aim was to examine the functional outcome of percutaneous K-wiring
of these extra-articular distal radius fractures with immobilization in neutral
position of the wrist. MATERIALS AND METHODS: This is a prospective study of 32
patients aged between 18 and 70 years with extra-articular distal radius
fracture. Patients were treated with closed reduction and percutaneous pinning
using two or three K-wires. A below- elbow plaster of paris dorsoradial slab was
applied in neutral position of the wrist for 3 weeks. At the end of 3 weeks, the
slab was removed and wrist physiotherapy started. The radiographs were taken
postoperatively, at 3 weeks, 6 weeks and 6 months. The functional evaluation of
the patients was done at 6 months follow-up. We used Sarmiento's modification of
Lindstrom criteria and Gartland and Werley's criteria for evaluation of results.
RESULTS: Excellent to good results were seen in 93.75% of the cases while 6.25%
had fair results. The complications observed were pin loosening (n=13), pin tract
infection (n=2), malunion (n=2), wrist joint stiffness (n=2), reduced grip
strength (n=2) and injury to the superficial radial nerve (n=1). CONCLUSION:
Percutaneous pinning followed by immobilization of the wrist in neutral position
is a simple and effective method to maintain reduction and prevent stiffness of
wrist and hand.
PMID- 21886924
TI - Functional outcome of neglected perilunate dislocations treated with open
reduction and internal fixation.
AB - INTRODUCTION: Management of neglected perilunate dislocations is controversial.
The various procedures such as open reduction and internal fixation (ORIF),
proximal row carpectomy, lunate excision, and wrist arthrodesis have been
advocated. The aim of our study was to evaluate the functional outcome of
neglected perilunate dislocations managed by ORIF. MATERIALS AND METHODS: Over a
period of 10 years (1996 to 2006), 14 patients with neglected perilunate
dislocations (undiagnosed or untreated for 6 weeks or more) were managed by ORIF.
Six patients had dorsal trans-scaphoid perilunate dislocation, 6 patients had
volar lunate dislocation while the remaining two had a dorsal perilunate
dislocation The results were evaluated by clinical scoring system of Cooney et
al. RESULTS: The average followup was 4.1 years (range 2-12 years). All except
one of the patients operated earlier than 5 months had good results. Of the four
patients operated after 5 months, two had a fair result while two had a poor
outcome. Chondral damage to the capitate was noted intraoperatively in both the
cases with poor outcomes. The two patients were found to have avascular necrosis
(AVN) of the lunate; however, functional outcome was fair in both, and both were
able to return to their profession. CONCLUSION: We observed favorable functional
results of ORIF in neglected perilunate dislocations up to 5 months after injury.
The development of AVN or midcarpal arthritis was not a major disabling factor as
long as stability of wrist has been restored. Beyond 5 months, an alternative
surgical procedure such as proximal row carpectomy should be contemplated as
results of ORIF have not been good uniformly.
PMID- 21886925
TI - Outcome of closed proximal phalangeal fractures of the hand.
AB - BACKGROUND: The proximal phalanx (PP) of the fingers is fractured more frequently
than the middle or even distal phalanges. The problems of malunion, stiffness and
sometimes loss of skin or other soft tissues associated with PP fracture
increases the disability. The optimum treatment depends on fracture location,
fracture geometry and fracture stability. The objective of the study was to
analyse the treatment outcome in a series of closed proximal phalangeal fractures
of the hand. MATERIALS AND METHODS: Eighty-four proximal phalangeal fractures in
68 patients were enrolled from 2007 to 2009. The treatment modalities were
broadly categorised into two groups, Group A consisted of conservative treatment,
and Group B consisted of surgical treatment. Group A included 47 digits treated
with closed reduction (CR) with immobilization (n=43), extension block cast and
dynamic traction (n=4), while 37 digits were treated in Group B, which included
closed or open reduction (OR) and internal fixation (IF) with K-wires (n=31), OR
and IF with stainless-steel wiring (n=2), and mini external-fixator (n=4).
Belsky's criteria and Gingrass' criteria were used for assessment of finger
injuries and to assess the efficacy of conservative and surgical modalities for
closed proximal phalangeal fractures of the hand. RESULTS: Average period of
follow-up was 1 year (range 10-14 months). The excellent to good results seen in
Groups A and B were 89% and 92%, respectively. Six complications were seen in
Group A, which included four cases with malunion and two cases with digital
stiffness. Three complications were seen in Group B, which included one each of
malunion, digital stiffness and extensor lag. Overall, maximum poor results (n=4)
were seen with CR and buddy strapping. CONCLUSION: Conservative treatment is an
inexpensive method, particularly suitable for stable fractures, and in patients
who are poor candidates for surgery, Surgical modalities have distinct advantage
of stable fixation, but with added risk of digital stiffness. Percutaneous
pinning is a reliable, most commonly used surgical modality and technically
easier. Both conservative and surgical modalities have good efficacy when used
judiciously.
PMID- 21886926
TI - Medium term results of Avon patellofemoral joint replacement.
AB - BACKGROUND: Ten to fifteen percent of knee arthritis is reported to be isolated
patellofemoral arthritis. Total knee arthroplasty is not recommended for isolated
patella femoral arthritis particularly in young patients. We present the
retrospective review of 45 consecutive patellofemoral replacements performed in
41 such patients, between June 2002 and January 2007. MATERIALS AND METHODS: All
patients were operated by single surgeon (SM) or under his supervision. All forty
five patients had minimum three year followup and had the data collected
prospectively. No patient was lost to followup. This data was later collated by
review of notes, radiographs, and a clinical followup. The patients were assessed
using knee function score and Melbourne patellofemoral score. RESULTS: The
average followup was 4.5 years. The preoperative average Melbourne (Bartlett)
score was 10 (range 5-21). Preoperative knee functional score averaged 57 (range
23-95). The average range of movement was 116 degrees (range 100 degrees -140
degrees ). Postoperatively, the average Melbourne knee score improved to 25
(range 11-30), while the knee function score was 85 (range 28 - 100). The
difference was statistically significant (P<0.05). Eighty-five percent rated the
result as good or excellent, while 12% rated it as fair. Five percent thought the
result was poor. The most common complaint was clicking at 40 degrees of flexion
(n=7). Six patients underwent arthroscopic lateral release, which improved the
symptoms in four patients. Two knees were revised one due to progression of
tibiofemoral arthritis and the other due to persistent clicking, yielding a
survival rate of 95.6% at an average five year followup. CONCLUSION: The Avon
patellofemoral joint replacement provides predictably good results and excellent
survivorship in the medium term, for isolated patellofemoral arthritis. However,
progression of tibiofemoral arthritis remains unpredictable and therefore patient
selection is crucial to ensure success. Clicking remains a potential problem and
can compromise the postoperative results in upto 15% of the cases.
PMID- 21886927
TI - Midterm results of biologic fixation or mosaicplasty and drilling in
osteochondritis dissecans.
AB - BACKGROUND: Osteochondritis dissecans (OCD) primarily affects subchondral bone.
Multiple drilling, fixation implant or autogenous osteochondral grafts are
reported as treatment options. We present the midterm results of cases in which
an OCD lesion was treated by osteochondral autograft transfer and drilling.
MATERIALS AND METHODS: Between 2002 and 2006, 14 knees with International
Cartilage Repair Society (ICRS-OCD) type II and III lesions were treated in our
clinic using osteochondral autograft transfer and drilling by arthroscopic or
open surgery. The average age of our patients was 22.14 years (range 17-29 years)
and average followup was of 24.3 months (range 11-40 months). Lesion type was
ICRS type II in five patients (35.7%) and ICRS type III in nine patients (64.3%).
In cases with ICRS-OCD type II lesions, in situ fixation was applied following
circumferential multiple drilling, while mosaicplasty was done following
debridement and multiple drilling in cases with ICRS-OCD type III lesion.
Mosaicplasty was performed in the lesion area by an average of 2.5 (range 1-3)
cylindrical osteochondral autografts. Patients were not allowed to perform
loading activities for 3 weeks in the postoperative period; movement was
initiated by using CPM device in the early phase; full range of motion was
achieved in third week, and full weight bearing was permitted in 6 to 8 weeks
RESULTS: While 6 and 8 patients were classified preoperatively as fair and poor,
respectively, according to Hughston scale, excellent and good results were
obtained postoperatively in 10 and 4 patients, respectively. During the followup,
no problems were detected in any of the patients in the regions where
osteochondral graft was harvested. CONCLUSION: Biologic fixation or mosaicplasty
and drilling as a technique to treatment of the lesion in OCD by osteochondral
autograft transfer has resulted in good and excellent clinical outcomes in our
patients and it is considered that providing blood flow to subchondral bone by
circumferencial drilling leads to an increase in the robustness of biological
internal fixation and shortens the duration of recovery.
PMID- 21886928
TI - Deep vein thrombosis and thromboprophylaxis in arthroscopic anterior cruciate
ligament reconstruction.
AB - BACKGROUND: There is no clear evidence in the literature regarding the incidence
of deep vein thrombosis (DVT) in patients undergoing arthroscopic anterior
cruciate ligament (ACL) reconstruction. Literature also lacks on the
recommendations on thromboprophylaxis in patients undergoing elective
arthroscopic ACL reconstruction. We conducted a prospective analysis to assess
incidence of DVT in patients undergoing arthroscopic ACL reconstruction.
MATERIALS AND METHODS: 120 consecutive patients with MRI proven ACL injury who
were operated for arthroscopic ACL reconstruction were enrolled in this
prospective study. None of the patients had risk factors (on history) for DVT,
and all were below the age of 45 years. All cases were operated upon by a single
surgeon and a standard rehabilitation regime was followed. The patients underwent
clinical examination and screening (Doppler ultrasonography/venous scan) for any
DVT, on the day prior to surgery, day of discharge (Day 3) and at 4 weeks
postsurgery. None of the patients received any form of thromboprophylaxis against
DVT. RESULTS: One hundred and twelve patients (61 males and 51 females) completed
the study. The average age was 31.6 years (range 24-42 years). All patients
underwent arthroscopic assisted ACL reconstruction surgery within 3 weeks of the
injury. Two patients (males) in the series had Doppler venous scan proven DVT.
One patient was asymptomatic but the screening Doppler picked up the DVT on the
third postoperative day. The other patient was symptomatic at 12 weeks with pain
and swelling in the leg and had ultrasound -proven DVT. CONCLUSION: In our study
the incidence of deep vein thrombosis in patients undergoing arthroscopic ACL
reconstruction is 1.78%. We do not recommend routine thromboprophylaxis in
patients, who are not high risk candidates for thrombosis and are of less than 45
years, in patients undergoing arthroscopic ACL reconstruction, with early
postoperative rehabilitation.
PMID- 21886929
TI - Evaluation of the syndesmotic-only fixation for Weber-C ankle fractures with
syndesmotic injury.
AB - BACKGROUND: With the length of the fibula restored and the syndesmosis reduced
anatomically, internal fixation using a plating device may not be necessary for
supra-syndesmotic fibular fractures combined with diastasis of inferior tibio
fibular joint. A retrospective observational study was performed in patients who
had this injury pattern treated with syndesmosis-only fixation. MATERIALS AND
METHODS: 12 patients who had Weber type-C injury pattern were treated with
syndesmosis only fixation. The treatment plan was followed only if the fibular
length could be restored and if the syndesmosis could be anatomically reduced.
Through a percutaneous or mini-open reduction and clamp stabilization of the
syndesmosis, all but one patient had a single tricortical screw fixation across
the syndesmosis. Patients were kept non-weight-bearing for 6 weeks, followed by
screw removal at an average of 8 weeks. Outcomes were assessed using an objective
ankle scoring system (Olerud and Molander scale) and by radiographic assessment
of the ankle mortise. RESULTS: At a mean follow-up of 13 months, the functional
outcome score was 75. Excellent to good outcomes were noted in 83% of the
patients. Ankle mortise was reduced in all cases, and all but one fibular
fracture united without loss of fixation. Six patients had more than one
malleolar injury, needing either screw or anchor fixations. One patient had late
diastasis after removal of the syndesmotic screw and underwent revision surgery
with bone grafting of the fibula. This was probably due to early screw removal,
before union of the fibular fracture had occurred. CONCLUSION: We recommend
syndesmosis-only fixation as an effective treatment option for a combination of
syndesmosis disruption and Weber type-C lateral malleolar fractures.
PMID- 21886930
TI - Management of thromboangiitis obliterans using distraction osteogenesis: A
retrospective study.
AB - BACKGROUND: Thromboangiitis obliterans (TAO), also known as Buerger disease, is
characterized by thrombosis in medium-sized arteries and veins along with a
marked inflammatory response. TAO can be managed by using the principle of
distraction osteogenesis to induce neoangiogenesis. We report thirty patients of
TAO in the age-group of 20-50 years were treated with the Ilizarov method.
MATERIALS AND METHODS: Patients with severe rest pain (n=30), absent distal
pulsation (n=30) and ulcer (n=6) and/or gangrene of the toes (n=4), who had
failed the conservative pharmacological modalities of treatment were included. A
lateral tibial corticotomy was performed and distraction applied by use of olive
wire. A two-ring frame was used for all cases. RESULTS: Of the 30 cases, 25
patients became pain free while 1 had partial relief of pain. The four patients
worsened on treatment and developed frank infection were amputed. The average
distraction was 1.8+/-0.3 mm (range: 1.5-2.2 cm). The mean consolidation period
was 98+/-11 days, after which the fixator was removed. The total duration of
treatment was 122+/-23 days. There were two cases of osteomyelitis in our series;
both healed after debridement and both patients had good result, with relief of
pain. The ulcers started healing by 4-6 weeks. CONCLUSION: The principle of the
distraction osteogenesis including neoangiogenesis can be used for treatment of
TAO and has an acceptable complication rate.
PMID- 21886931
TI - Computer navigation assisted fixation in neglected C2-C3 dislocation in an adult.
AB - A 49-year-old male presented with neck pain and deformity following an industrial
accident sustained two months back. His neurology was normal except for a minimal
weakness in left biceps (grade 4/5). Radiographs, magnetic resonance imaging and
computed tomographic scan revealed fracture dislocation of C2-C3 with significant
lateral translation of C2 over C3 without disc herniation. In view of
unsuccessful closed reduction and absent disc herniation at the level of
dislocation, a posterior only reduction, stabilisation and fusion with Iso-C 3D
computer navigation-assisted cervical pedicle screw fixation with transverse rod
screw construct was performed. At 6 months followup the patient was completely
relieved of his symptoms and was able to return to his previous occupation. The
rare case is reported for the management by Iso-C 3D computer navigation assisted
cervical pedicle screw fixation and reduction with transverse rod-screw construct
at each involved level.
PMID- 21886932
TI - Atlantoaxial arthrodesis using C1-C2 transarticular screw fixation in a case of
Morquio syndrome.
AB - Prophylactic or therapeutic arthrodesis is recommended for atlantoaxial
instability in Morquio syndrome. Occipitocervical fusion, the common approach for
upper cervical fusion in Morquio syndrome sacrifices the movements at the
occipitoatlantal joints. The use of C1-C2 transarticular screws for achieving C1
C2 arthrodesis, without compromising mobility at the occipitoatlantal joint in
Morquio syndrome has not been reported. We report a case of Morquio syndrome with
atlantoaxial instability and odontoid hypoplasia, where we successfully achieved
C1-C2 arthrodesis using transarticular screws and bone graft. The advantages of
this method over other methods of atlantoaxial arthrodesis in Morquio syndrome
have also been discussed.
PMID- 21886933
TI - Radial nerve entrapement in osseous tunnel without clinical symptoms.
AB - Entrapment of a nerve in the callus of a healing fracture is not a common entity,
but it does exist. The entrapment usually presents without neurological deficit.
It is difficult to suspect the radial nerve injury if we need to operate on the
same site. We present a case of entrapment of radial nerve in the callus of a
supracondylar humerus fracture with cubitus varus deformity. The surgery for
correction of the deformity led to the damage of the nerve. In retrospect a
careful assessment of the x-rays showed two 3-4 mm diameter holes. Awareness of
this finding would have given us sufficient indication of nerve entrapment to
prevent this mishap.
PMID- 21886934
TI - Giant cell tumor of the metacarpal bones.
AB - Giant cell tumor (GCT) of hand bones is rare. We present two cases of GCT of
metacarpal bone. One case was treated successfully with ray amputation. The
second patient underwent wide resection and tricortical iliac crest bone
grafting. Till the last follow-up (at 26 and 70 months respectively) both
patients have been recurrence free and have returned to their previous
occupational activities.
PMID- 21886935
TI - Forearm gangrene following distraction injury at elbow in a neonate.
AB - Gangrene of limbs in newborn is extremely rare. A number of aetiological factors
may account for such type of situation. We describe herewith a case of gangrene
of forearm in newborn following distraction injury to the physis of elbow and
vessels of forearm by excessive pull on upper limb during difficult delivery.
PMID- 21886936
TI - The separate or combined evaluation of co-morbidities have a different effect on
the role of surgical delay on 1 year mortality.
PMID- 21886937
TI - Authors' reply.
PMID- 21886938
TI - Comment on "Tranexamic acid for control of blood loss in bilateral total knee
replacement in a single stage".
PMID- 21886939
TI - Authors' reply.
PMID- 21886940
TI - Depth-Encoded Spectral Domain Phase Microscopy for Simultaneous Multi-Site
Nanoscale Optical Measurements.
AB - Spectral domain phase microscopy (SDPM) is an extension of spectral domain
optical coherence tomography (SDOCT) that exploits the extraordinary phase
stability of spectrometer-based systems with common-path geometry to resolve sub
wavelength displacements within a sample volume. This technique has been
implemented for high resolution axial displacement and velocity measurements in
biological samples, but since axial displacement information is acquired serially
along the lateral dimension, it has been unable to measure fast temporal dynamics
in extended samples. Depth-Encoded SDPM (DESDPM) uses multiple sample arms with
unevenly spaced common path reference reflectors to multiplex independent SDPM
signals from separate lateral positions on a sample simultaneously using a single
interferometer, thereby reducing the time required to detect unique optical
events to the integration period of the detector. Here, we introduce DESDPM and
demonstrate the ability to acquire useful phase data concurrently at two
laterally separated locations in a phantom sample as well as a biological
preparation of spontaneously beating chick cardiomyocytes. DESDPM may be a useful
tool for imaging fast cellular phenomena such as nervous conduction velocity or
contractile motion.
PMID- 21886941
TI - Synthetic Studies Toward Providencin: Efficient Construction of a Furanyl
Cyclobutanone Fragment.
AB - Described is the construction of a furanyl-cylcobutanone fragment suited for
incorporation into a synthesis of the naturally occurring anti-cancer agent
Providencin.
PMID- 21886942
TI - Forward-Thinking Teens: The Effects of College Costs on Adolescent Risky
Behavior.
AB - This paper analyzes the effect of college costs on teenagers' engagement in risky
behaviors before they are old enough to attend college. Individuals with brighter
prospects for future schooling attainment may engage in less drug and alcohol use
and risky sexual activity because they have more to lose if such behaviors have
harmful effects in their lives. If teens correctly predict that higher college
costs make future college enrollment less likely, then adolescents facing
different expected costs may choose different levels of risky behavior. I find
that lower college costs in teenagers' states of residence raise their subjective
expectations regarding college attendance and deter teenage substance use and
sexual partnership. Specifically, a $1,000 reduction in tuition and fees at two
year colleges in a youth's state of residence (roughly a 50% difference at the
mean) is associated with a decline in the number of sexual partners the youth had
in the past year (by 26%), the number of days in the past month the youth smoked
(by 14%), and the number of days in the past month the youth used marijuana (by
23%). These findings suggest that the often-studied correlation between schooling
and health habits emerges in adolescence because teenagers with brighter college
prospects curb their risky behavior in accordance with their expectations. The
results also imply that policies that improve teenagers' educational prospects
may be effective tools for reducing youthful involvement in such behaviors.
PMID- 21886943
TI - Brief Intervention for Emergency Department Patients with Alcohol Misuse:
Implications for Current Practice.
AB - This article reviews studies and current practices of brief motivational
intervention in the emergency department and identifies factors related to the
effectiveness of brief intervention. Studies of brief intervention in the
emergency department have had mixed results with most studies showing
improvements in both intervention and control groups. Most report brief
intervention reducing alcohol's negative consequences without reducing
consumption. Clinical practice is incorporating brief intervention as part of
emergency treatment and further research is needed to determine the factors most
responsible for the improvements noted in most studies.
PMID- 21886944
TI - MEMS Sensors and Microsystems for Cell Mechanobiology.
AB - Forces generated by cells play a vital role in many cellular processes like cell
spreading, motility, differentiation and apoptosis. Understanding the mechanics
of single cells is essential to delineate the link between cellular force
generation/sensing and function. MEMS sensors, because of their small size and
fine force/displacement resolution, are ideal for force and displacement sensing
at the single cell level. In addition, the amenability of MEMS sensors to batch
fabrication methods allows the study of large cell populations simultaneously,
leading to robust statistical studies. In this review, we discuss various
microsystems used for studying cell mechanics and the insights on cell mechanical
behavior that have resulted from their use. The advantages and limitations of
these microsystems for biological studies are also outlined.
PMID- 21886945
TI - Development of Microfabricated Magnetic Actuators for Removing Cellular
Occlusion.
AB - Here we report on the development of torsional magnetic microactuators for
displacing biological materials in implantable catheters. Static and dynamic
behaviors of the devices were characterized in air and in fluid using optical
experimental methods. The devices were capable of achieving large deflections
(>60 degrees ) and had resonant frequencies that ranged from 70 Hz to 1.5 kHz in
fluid. The effect of long-term actuation (>2.5 . 10(8) cycles) was quantified
using resonant shift as the metric (Deltaf < 2%). Cell-clearing capabilities of
the devices were evaluated by examining the effect of actuation on a layer of
aggressively growing adherent cells. On average, actuated microdevices removed
37.4% of the adherent cell layer grown over the actuator surface. The effect of
actuation time, deflection angle, and beam geometry were evaluated. The
experimental results indicate that physical removal of adherent cells at the
microscale is feasible using magnetic microactuation.
PMID- 21886946
TI - Can his research become my publication?
PMID- 21886947
TI - Management of tuberculosis: From drug treatment to control program.
PMID- 21886948
TI - Evaluation of revised national tuberculosis control program, district Kangra,
Himachal Pradesh, India, 2007.
AB - BACKGROUND: THE PRESENT EVALUATION STUDY HAS BEEN CONDUCTED WITH THE FOLLOWING
OBJECTIVES: (i) To assess the treatment outcomes of revised national tuberculosis
control program (RNTCP) in five microscopic centers of Kangra district under five
tuberculosis units and (ii) To identify gaps and underlying contributing factors.
Based upon the findings of (i) and (ii) we suggest appropriate measures to narrow
down the existing gaps. MATERIALS AND METHODS: We identified and interviewed
health personnel involved, reviewed the documents and records pertaining to
evaluation plan/guidelines, training records and reports generated by five
tuberculosis units. We assessed the inputs, processes and outputs of the program
across five tuberculosis units. We calculated the proportion of staff of various
categories trained and internal quality control (case detection); availability of
drugs, directly observed treatment short course (DOTS) providers, and supervision
(case management) and information, education and communication (IEC), and funds
distribution. (logic model). RESULT: Around 60%-88% of staffs of various
categories trained with overall 25% gap of supervisory visits. In tuberculosis
unit (TU) Nurpur, the discordant slides while cross-checking were 8% and 25%. The
total proportions of sputum positivity are 5.1%; the highest in Kangra, i.e.,
2.3% (national norms of 10-15%.). There was no full cross-checking of the
positive slides despite internal quality in place. Increased numbers of the extra
pulmonary tuberculosis cases (EPTB) are present in all TUs, as high as 61% in TU
Dharamshala (Normal range 15%-20%). A gap of 20% DOT center exists-the least in
(58%) in TU Nurpur. The awareness level in the TU Dehra is minimum (51%); more so
in females and rural set up. CONCLUSION: RNTCP has successfully achieved all its
targets in all the five TUs of Kangra District as per national norms despite
several gaps. We recommend (i) filling of vacancies of medics and paramedics with
reorientation trainings/refresher courses; (ii) conduction of supportive
supervision by the seniors; (iii) investigation of cause of increased number of
the extra pulmonary cases, and (iv) need of aggressive IEC activities.
PMID- 21886949
TI - Effects of upper body resistance training on pulmonary functions in sedentary
male smokers.
AB - BACKGROUND: Cigarette smoking is well correlated with lung diseases such as
chronic obstructive pulmonary disease. It is common among men than women in
India. In addition, sedentary lifestyle is associated with less efficient
pulmonary function. Effectiveness of upper body resistance training (UBRT) in
improving pulmonary function is unclear. Keeping all these factors in view, this
study aims to examine the effect of UBRT on pulmonary function in male sedentary
smokers. MATERIALS AND METHODS: This study recruited 36 sedentary male smokers,
of which 30 were randomized into two groups after fulfilling eligibility criteria
an exercising experimental group (EG) (N=15) or non-exercising control group (CG)
(N=15). The EG group were assigned to exercise for 4 weeks, 3 times weekly on non
consecutive days using UBRT program and breathing exercise. In the CG, only
breathing exercise was given for 10 min. Both groups were equivalent in baseline
characteristics. RESULTS: The improvement in forced expiratory volume in one
second (FEV(1)) and FEV(1)/forced vital capacity (FVC) values were seen
significant in EG after 4 weeks of UBRT: from 3.62+/-0.56 to 3.96+/-0.51
(P=0.000) and 0.88+/-0.11 to 0.96+/-0.13 (P<0.001), respectively. But FVC did not
show significant change in the EG (P=0.430). There were no significant changes in
FEV(1), FVC, and FEV(1)/FVC values in CG after 4 weeks of intervention. On
intergroup comparison, significant difference was found between CG and EG for
FEV(1) and FEV(1)/FVC values. CONCLUSION: Four weeks of UBRT program brought
about significant changes in the pulmonary function in male sedentary smokers.
PMID- 21886950
TI - An alternative therapy for idiopathic pulmonary fibrosis by doxycycline through
matrix metalloproteinase inhibition.
AB - BACKGROUND: Idiopatiic pulmonary fibrosis (IPF) is a disease of dysregulated
fibrogenesis with abnormal matrix metalloproteinase (MMPs) activity,
angiogenesis, and profibrotic milieu wherein MMPs inhibition appears to be target
based therapy. We evaluated the role of doxycycline as a nonspecific inhibitor of
MMPs in IPF patients. MATERIALS AND METHODS: Patients of IPF diagnosed on the
basis of ATS-ERS consensus criteria were put on oral doxycycline in an open
prospective trial. They were followed up for long term with spirometry, 6 min
walk test (6MWT), St. Georges respiratory questionnaire (SGRQ), forced vital
capacity (FVC), and repeat bronchoscopy while on doxycycline monotherapy for over
24 weeks. Both the initial and follow-up broncho alveolar lavage fluids (BALF)
from IPF patients (n = 6) and control subjects (n = 6) were looked for MMP-9, -3,
tissue inhibitor of metalloproteinase (TIMP)-1 and vascular endothelial growth
factor (VEGF) expression. Additionally, doxycycline's action on MMP activities in
vitro was tested in BALF of IPF patients. RESULTS: Doxycycline intervention
showed significant improvement in IPF patients in terms of change in 6MWT, SGRQ,
FVC, and quality of life. The level of MMP-9, -3, TIMP-1 and VEGF in the BALF
were found significantly higher in the IPF patients compared to the controls
while doxycycline therapy reduced those parameters nearer to control value.
Doxycycline also showed a significant dose-dependent reduction in the in vitro
MMPs activities in BALF. CONCLUSION: Doxycycline shows significant prospect in
the treatment of IPF through its anti MMPs activities. This is the first report
on a case series of long-term doxycycline monotherapy in IPF patients.
PMID- 21886951
TI - Clinical and demographic characteristics of tracheobronchial variations.
AB - BACKGROUND: There are various anatomic variations in tracheobronchial system
(tracheal bronchus, ectopic bronchus, and accessory bronchus). We aimed to
investigate the bronchoscopic findings of the patients with tracheobronchial
variations (TBVs) during bronchoscopy and to describe their clinical
characteristics. MATERIALS AND METHODS: A total of 3322 records of bronchoscopic
examinations in university hospital and 1560 in chest disease hospital total 4882
were retrospectively analyzed and 198 (134 male, 64 female) patients were
diagnosed as TBV. RESULTS: Mean age of patients was 48.5 +/- 17.8 (range, 15-78)
years. Most of the tracheobronchial variations (n = 68, 33.1%) were localized at
the right upper lobe bronchus. The most common type of TBVs at this region was
right upper lobe with two segments. Symptoms were found in 21 (10.2%) patients
with TBVs (7 accessory cardiac bronchus, 5 tracheal bronchus, 5 accessory
segmental bronchus in left main bronchus and 4 accessory segmental bronchus in
right main bronchus). Their symptoms cough, hemoptysis and recurrent pneumonia
with unknown etiologies were thought as related to TBVs. No other potential
causes leading these symptoms were found in these patients. CONCLUSION: According
to our best of knowledge our study population is one of the largest series of
bronchoscopy for investigate of TBVs. Although TBVs were usually reported as
asymptomatic, nearly 10% of our patients with TBVs had symptoms such as recurrent
pneumonia, cough and hemoptysis. TBVs should be taken into consideration in
symptomatic patients before fiber-optic bronchoscopic examination.
PMID- 21886952
TI - Prevalence of osteoporosis and osteopenia in advanced chronic obstructive
pulmonary disease patients.
AB - BACKGROUND: Reduction of bone mineral density (BMD) is a known and established
phenomenon in chronic obstructive pulmonary disease (COPD). However, there have
been no data regarding osteoporosis/osteopenia in COPD patients in India. AIM: To
look for the degree and frequency of osteoporosis/osteopenia in our OPD patients
being diagnosed as COPD. MATERIALS AND METHODS: Thirty-seven randomly selected
patients with COPD were assessed for BMD with commercially available ultrasound
bone densitometer (HOLOGIC SAHARA) in a pulmonary OPD. Some cofactors for reduced
BMD were also noted. RESULTS: Out of the 37 COPD (all belonging to the GOLD
III/IV category) patients studied, the BMD was found to be normal in 10 (27%)
patients, while 27 (73%) patients were found to have osteopenia/osteoporosis [19
(51.35%) and 8 (21.62%) patients having osteopenia and osteoporosis,
respectively]. CONCLUSION: Frequency of osteoporosis and osteopenia was found to
be very high (73%) in our population of advanced COPD. The data suggest a need
for further in-depth study regarding the issue.
PMID- 21886953
TI - Effect of age on presentation with diabetes: Comparison of nondiabetic patients
with new smear-positive pulmonary tuberculosis patients.
AB - BACKGROUND: Diabetes mellitus (DM) has been reported to modify the presenting
features of pulmonary tuberculosis (PTB), but data regarding the effect of
diabetes on the presentation of PTB are highly variable. OBJECTIVE: To determine
whether DM alters the demographic, clinical, and radiological manifestations of
tuberculosis and whether the effect of diabetes varies with the age group of PTB
patients. MATERIALS AND METHODS: This prospective observational study was
conducted on new smear-positive PTB patients with DM (PTB-DM group) and non
diabetic PTB patients (PTB group). Patients of both groups were again divided
into six age groups (15-29, 30-39, 40-49, 50-59, 60-69, and >70 rears) to analyze
and compare the impact of age on clinicoradiological presentations of PTB.
RESULTS: Patients in the PTB-DM group were significantly older (53.34 +/- 14.06
year) in comparison to their nondiabetic counterparts (PTB group) (44.35 +/-
18.14 year) (P < 0.001). The former group also had a lower male:female ratio,
although the difference was not statistically significant (1.16:1 vs. 2.05:1, P =
0.101). Tuberculin positivity was significantly higher in the PTB group, compared
with patients in the PTB-DM group (P < 0.004). The proportion of patients with
lower lung field involvement (P = 0.003) and cavitations (P = 0.005) was also
higher in the former group compared with the latter. CONCLUSION: Diabetic
patients with tuberculosis were relatively older, had lower tuberculin
positivity, and higher proportion of lower lung field involvement and cavitation
in comparison to nondiabetic patients.
PMID- 21886954
TI - Diabetes, aging, and tuberculosis.
PMID- 21886955
TI - Tuberculosis chemotherapy in the 21 century: Back to the basics.
AB - The key to successful elimination of tuberculosis (TB) is treatment of cases with
optimum chemotherapy. Poor chemotherapy over time has led to drug-resistant
disease. Drug resistance of Mycobacterium tuberculosis develops by the selective
growth of resistant mutants. The incidence of drug-resistant cases depends on the
number of bacilli and the drug-resistant mutants in the lesion. The latter is low
for individual drugs and even lower for two and three drugs. Therefore, use of
combination chemotherapy with three or more drugs results in cure. However,
irregular treatment, inadequate drugs, inadequate drug doses or addition of a
single drug to a failing regimen allows selective growth of resistant mutants and
acquired drug-resistant TB. Contacts of these resistant cases develop primary
drug resistant TB. Thus, drug resistance in tuberculosis is a "man-made problem".
Anti-TB chemotherapy must be given optimally by (i) ensuring adequate absorption
of drugs, (ii) timely diagnosis and management of drug toxicities and (iii)
treatment adherence. New classes of anti-TB drugs are needed; but are unlikely to
become available soon. It is vital that the 21(st) century physicians understand
the basic principles of TB chemotherapy to ensure efficient use of available
drugs to postpone or even reverse epidemics drug-resistant TB.
PMID- 21886956
TI - Retraction notice.
PMID- 21886957
TI - Diffuse interstitial lung infiltrates in a smoker with human immunodeficiency
virus infection.
AB - Pulmonary Langerhans cell histiocytosis is a rare interstitial lung disease
characteristically affecting middle-aged smokers. It has unpredictable clinical
course and may be associated with malignant neoplasms. Opportunistic lung
infections are frequently considered when patients with Human immunodeficiency
virus (HIV) infection present with respiratory symptoms and an abnormal chest X
ray. Though fiberoptic bronchoscopy with bronchoalveolar lavage is diagnostic for
infectious etiologies, surgical lung biopsies are preferred to diagnose
noninfectious lung diseases and to help guide appropriate therapy. In the present
study, we report a case of progressive bilateral lung infiltrates in a smoker
with HIV infection which presented a diagnostic dilemma in view of coexistent HIV
infection. Analysis of clinical symptomatology aided by surgical lung biopsy
helped in diagnosis.
PMID- 21886958
TI - An unusual case of fatal pulmonary hemorrhage in pregnancy.
AB - Rickettsial diseases are common in Srilanka. The spotted fever group of
rickettsiae presents in many ways, including very severe disease causing
significant morbidity and mortality. A regional variation of the Rickettsia
conorii subspecies and differences in clinical presentations are reported. This
case describes disseminated Rickettsia conorii infection in a pregnant woman
presenting with endocarditis.
PMID- 21886959
TI - Pulmonary sequestration cyst in a patient of cerebral palsy.
AB - Pulmonary sequestration cyst is a rare entity in pediatric patients. Most of the
time, it is diagnosed as an incidental finding. It is associated with other
congenital anomalies, especially congenital diaphragmatic hernia. We report a
patient of cerebral palsy presented with vomiting and recurrent chest infections.
He was diagnosed to have hiatal hernia on computed tomography scan of chest. At
operation, a pulmonary sequestration cyst along with hiatal hernia, malrotation,
and meckel's diverticulum was encountered. The sequestration cyst was managed
through transhiatal approach.
PMID- 21886960
TI - Bilateral blunt diaphragmatic rupture.
AB - Blunt diaphragmatic rupture is an uncommon injury and even less common is the
bilateral form. This entity poses diagnostic and therapeutic challenges to the
treating team. Despite the advances in diagnostic modalities, it remains a
difficult diagnosis leading to missed or late presentations with increased risk
of morbidity and mortality. We report a case of a 12-year-old girl who sustained
a blunt abdominal trauma and found to have left hemidiaphragmatic rupture for
which she underwent laparotomy and repair. Postoperatively, persistent elevation
of the right hemidaiphragm was noticed, and right-side rupture was suspected and
confirmed by collar sign on repeated computed tomography scan. The second repair
was done successfully through a right posteriolateral thoracotomy. She improved
dramatically and was discharged in an optimal state to be followed in the
surgical outpatient department.
PMID- 21886961
TI - A suspected case of transfusion-related acute lung injury.
AB - Transfusion-related acute lung injury (TRALI) is a rare but serious complication
of blood transfusion. We present a suspected case of TRALI in a 39-year-old
female patient who underwent total abdominal hysterectomy under uneventful
general anesthesia. The patient developed acute desaturation due to
noncardiogenic pulmonary edema while receiving compatible blood transfusion on
the second postoperative day. As her symptoms were refractory to supportive
treatment, she was mechanically ventilated for 3 days and successfully extubated
on the fourth day. By exclusion, a clinical diagnosis of TRALI was made. The
treatment for TRALI requires discontinuing transfusion and giving respiratory and
cardiovascular support. Most cases show clinical improvement in first few hours
and resolve completely within 96 h.
PMID- 21886962
TI - Desmoplastic malignant mesothelioma of the pericardium: Description of a case and
review of the literature.
AB - Desmoplastic mesothelioma (DMM) is a rare and highly lethal subtype of diffuse
malignant mesothelioma and is often difficult to distinguish from reactive
pleural fibrosis. The term "desmoplastic" refers to the growth of fibrous or
connective tissue. We report the clinical, radiological, and pathological
features of a primary DMM of the pericardium and a short review of the
literature. A 72-year-old man was admitted presenting shortness of breath, cough,
and asthenia. Computed tomography scan showed thickenings and effusions both in
the pleura and in the pericardium. Histopathological diagnosis was performed by
surgical pericardial biopsy and confirmed by autopsy. The patient had a history
of asbestos exposure. Primary mesothelioma of the pericardium is a rare tumor
occurring in the fourth to seventh decades with nonspecific symptoms and a rapid
clinical course. The diagnosis is difficult and often needing a surgical
pericardial biopsy. The prognosis is poor although newer antiblastic drugs seem
to prolong survival times.
PMID- 21886963
TI - A cavitary lesion in the lung crossing the fissure.
PMID- 21886964
TI - An unusual presentation of a common disease.
PMID- 21886965
TI - Evaluation of a simple bedside tool developed to measure different parameters of
clubbing.
PMID- 21886966
TI - Cutaneous tuberculosis.
PMID- 21886967
TI - New therapeutic approach for latent tuberculosis infection.
PMID- 21886968
TI - Magnitude of malnutrition amongst hospitalized TB patients.
PMID- 21886969
TI - Gift authorship - A cause for concern.
PMID- 21886970
TI - Isoniazid and alopecia.
PMID- 21886971
TI - Indian commentary on the 2009 KDIGO clinical practice guideline for the
diagnosis, evaluation, and treatment of chronic kidney disease-mineral and bone
disorders.
AB - THIS COMMENTARY PRESENTS THE VIEW OF AN EXPERT GROUP OF INDIAN NEPHROLOGISTS ON
ADAPTATION AND IMPLEMENTATION OF THE KIDNEY DISEASE: Improving Global Outcomes
(KDIGO) guidelines for evaluation and management of mineral and bone disorder in
chronic kidney disease (CKD-MBD) for practice in India. Zonal meetings of
nephrologists drawn from the cross-section were convened to discuss the KDIGO
guidelines. Recommendations were presented in a central meeting of zonal
representatives. The finalized recommendations were reviewed by all the
participants. There was a broad agreement on most of the recommendations made by
the KDIGO workgroup. Significant departures in the current guidelines from the
previous Kidney Disease Outcome Quality Initiative (KDOQI) guidelines were also
noted. The participants agreed that the available evidence did not allow more
precise recommendations, and the recommended best practice suggestions were often
based on relatively weak evidence. There is a remarkable lack of data from Indian
patients. We comment on specific areas and amplify certain concepts where we feel
that further guidance that goes beyond what is stated in the document might help
Indian nephrologists in appropriate implementation of the KDIGO guidelines. This
commentary is intended to help define practically implementable best practices
based on current disease concepts and available research evidence, thereby
positively affecting the quality of management of CKD-MBD in India, and
eventually improving patient outcomes.
PMID- 21886972
TI - Urinary indices in nephrotic syndrome.
PMID- 21886973
TI - Effect of aqueous extract of Tribulus terrestris on oxalate-induced oxidative
stress in rats.
AB - The present study was aimed at studying the effect of Tribulus terrestris on
different parameters of oxidative stress and gene expression profiles of
antioxidant enzymes in renal tissues of male wistar rats after induction of
hyperoxaluria. The animals were divided into three groups. The animals in group I
(control) were administered vehicle only. In group II, the animals were treated
with ethylene glycol (hyperoxaluric agent) and those in group III were
administered T. terrestris plant extract in addition to ethylene glycol. All
treatments were continued for a period of seven weeks. Ethylene glycol feeding
resulted in hyperoxaluria as well as increased excretion of calcium and
phosphate. Serum creatinine, uric acid and blood urea nitrogen levels were also
altered in hyperoxaluric animals. Various oxidative stress parameters viz. lipid
peroxidation and activity of antioxidant enzymes were used to confirm the
peroxidant state. Reverse transcription-polymerase chain reaction (RT-PCR)
analysis was used to confirm whether steady-state transcription level of
different antioxidant enzymes was altered. T. terrestris significantly reduced
the excretion of oxalate, calcium, and phosphate along with decreased levels of
blood urea nitrogen, uric acid and creatinine in serum. T. terrestris also
reduced hyperoxaluria- caused oxidative stress, and restored antioxidant enzyme
activity and their expression profile in kidney tissue. Histological analysis
depicted that T. terrestris treatment decreased renal epithelial damage,
inflammation, and restored normal glomerular morphology.
PMID- 21886974
TI - The outcome of proliferative lupus nephritis with pulse cyclophosphamide therapy.
AB - Proliferative lupus nephritis deserves aggressive therapy and cyclophosphamide
plays a pivotal role. Thirty nine patients with proliferative lupus nephritis
(Class III-7 patients and Class IV- 32 patients) with a median follow up of 38
months were considered for this observational study. All the patients received
induction therapy with intravenous methylprednisolone. Cyclophosphamide was given
intravenously initially in monthly pulses for six months and later quarterly
pulses until remission was achieved or until the target dose (200 mg/kg) was
reached. The treatment with intravenous methylprednisolone was repeated in the
event of a nephritic flare. Later the corticosteroid was reduced to a minimum
effective dose and cyclophosphamide was changed to either azathioprine or
mycophenolate mofetil. At the time of the last follow up, 82.05% of the patients
were in remission (complete remission 51.28% and partial remission 30.77%). The
median interval to achieve remission in responders was 15 months. Early diagnosis
(P=0.04), a higher creatinine clearance at presentation (P=0.02), and concurrent
use of an ACEI or an ARB (P=007) significantly favored attaining remission. Five
patients experienced a doubling of serum creatinine and one of them became
dialysis dependent. Risk of doubling of serum creatinine correlated with a low
Ccr (P=0.03) at presentation, occurrence of renal flares (P=0.034) and failure to
achieve remission (P=0.0001). The parameters like serum creatinine, serum C3,
serum C4, activity and chronicity indices on renal biopsy, hypertension were not
statistically significant. Therapy with cyclophosphamide, if initiated early,
helps in inducing remission and hence can retard the progression to CKD.
PMID- 21886975
TI - Increased excretion of urinary podocytes in lupus nephritis.
AB - Podocytes are highly specialized epithelial cells that form part of the
filtration barrier in the kidney, and their loss reflects a malfunction in
glomerular filtration, which is usually associated with the progression of the
disease. Glomerulonephritis is a serious complication that develops in about 50%
of the lupus patients and is characterized by proteinuria arising from direct or
indirect podocyte injury. To assess the possible role of podocytes in the
pathogenesis of lupus nephritis (LN). Urinary and glomerular podocytes were
detected in the kidney biopsies of patients (n = 17) with lupus nephritis, and
from control biopsies obtained during autopsies. The WT-1 protein was used as a
podocyte marker. The cumulative excretion of urinary podocytes was detected in
the urinary sediments of LN patients and normal healthy controls, and the
specimens were analyzed by immunohistochemistry, immunofluorescence, and enzyme
linked immunosorbent assay. The apoptotic index was determined by terminal
deoxynucleotidyl transferase-mediated dUTP nick end labeling. Gross proteinuria
in lupus patients was determined via 24-hour urine samples, and the results were
analyzed by Student t test. Biopsy specimens from 17 patients with class-III or
IV LN had lower levels of glomerular WT-1 expression than the levels found in
normal kidneys (P < 0.0001). The reduction of glomerular podocytes in patients
with lupus nephritis correlated with the cumulative excretion of urinary
podocytes (P < 0.0001) and proteinuria. There was no correlation between the
urinary podocytes and the apoptotic index in the LN urinary sediments. A decrease
in glomerular podocytes is associated with their cumulative excretion in urinary
sediments; therefore, such findings correlate with proteinuria in lupus nephritis
patients.
PMID- 21886976
TI - Urinary indices during relapse of childhood nephrotic syndrome.
AB - Sodium retention is the hallmark of idiopathic nephrotic syndrome (INS). Sodium
retention could be secondary to activation of renin-angiotensin-aldosterone axis
or due to an intrinsic activation of Na(+)K(+) ATPase in the cortical collecting
duct. Urine potassium/urine potassium + urine sodium (UK(+)/UK(+) + UNa(+)) is a
surrogate marker for aldosterone activity and can be useful in differentiating
primary sodium retention from secondary sodium retention in children with INS.
This was a cross-sectional study of children with INS, presenting to our center
from June 2007 to June 2008. Children were categorized into those with steroid
responsive and steroid nonresponsive nephrotic syndrome. One hundred and thirty
four children with nephrotic syndrome were analyzed. The FeNa(+) was
significantly lower during relapse than in remission but no such difference was
observed with UK(+)/UK(+) + UNa(+). The values of FeNa(+) and UK(+)/UK(+) +
UNa(+) across various categories of nephrotic syndrome were similar. Correlating
FeNa(+) and UK(+)/UK(+) + UNa(+) with cut-off of 0.5 and 60%, respectively, we
found 50% of steroid responsive children and 36% of steroid nonresponders having
a corresponding UK(+)/UK(+) + UNa(+) of <60% along with low FeNa(+) of <0.5%,
favoring primary sodium retention. Urinary indices did not vary with the type of
steroid response. In early relapse, the urinary indices revealed an overlap of
both primary and secondary sodium retention in most stable edematous children
with nephrotic syndrome.
PMID- 21886977
TI - Anxiety, locus of control, and coping strategies among end-stage renal disease
patients undergoing maintenance hemodialysis.
AB - End-stage kidney disease (ESKD) patients on maintenance hemodialysis (MHD) have a
lot of anxiety. Anxiety and coping are associated with the locus of control; the
present investigation aimed to study the state and trait anxiety, locus of
control, and active and passive coping among patients on MHD. Thirty MHD patients
and 30 controls were administered State-Trait Anxiety Inventory, Rotter's Locus
of Control Scale, and Coping Responses Inventory. There were significantly higher
scores on state and trait anxiety, respectively (67.53 +/- 10.89 vs. 59.40 +/-
6.97, P < 0.01, and 62.97 +/- 8.45 vs. 58.07 +/- 7.06, P < 0.05), and locus of
control (11.27 +/- 3.55 vs. 9.04 +/- 1.86, P < 0.01) in patients as compared to
controls. On coping responses, patients and controls differed on positive
reappraisal (54.33 +/- 4.67 vs. 51.17 +/- 3.12, P < 0.01), seeking guidance and
support (58.07 +/- 5.51 vs. 53.27 +/- 4.22, P < 0.01), problem solving (51.03 +/-
4.70 vs. 47.57 +/- 4.73, P < 0.01), cognitive avoidance (60.27 +/- 6.76 vs. 56.80
+/- 4.08, P < 0.05), acceptance or resignation (61.67 +/- 6.30 vs. 58.83 +/-
4.23, P < 0.01), emotional discharge (68.07 +/- 6.78 vs. 64.30 +/- 4.50, P <
0.05), approach coping (205.57 +/- 10.55 vs. 189.70 +/- 11.37, P < 0.01), and
avoidance coping (255.30 +/- 16.45 vs. 241.10 +/- 10.50, P < 0.01). A higher
prevalence of anxiety trait could be the cause of anxiety in MHD patients besides
the medical problems. The locus of control among patients though a mixed one was
significantly more toward externalism. Thus, there is a need to identify this
group well in advance and prepared not only medically but also psychologically
for MHD.
PMID- 21886978
TI - Deceased donor organ transplantation: A single center experience.
AB - Renal transplantation (RTx) is the best therapeutic modality for patient
suffering from end-stage renal disease (ESRD). Deceased donor organ
transplantation (DDOT) accounts for <4% of RTx in India. We report 4 years single
centre experience on DDOT vis-a-vis patient/graft survival, graft function in
terms of serum creatinine (SCr), rejection episodes, and delayed graft function
in 160 DDOT. Between January 2006 to December 2009, 160 RTx from 89 donors were
performed, of which 25.2% were expanded criteria donors. Majority of the donors
were brain dead due to road traffic/cerebrovascular accidents. The commonest
recipient diseases leading to ESRD were chronic glomerulonephritis (49%),
diabetes mellitus (10%), and benign nephrosclerosis (10%). Mean recipient/donor
age was 35.6+/-14.68 and 44.03+/-18.19 years. Mean dialysis duration
pretransplantation was 15.37+/-2.82 months. Mean cold ischemia time was 5.56+/
2.04 hours. All recipients received single dose rabbit-anti-thymocyte globulin
induction and steroids, mycophenolate mofetil/calcinueurin inhibitor for
maintenance of immunosuppression. Delayed graft function was observed in 30.6%
patients and 14% had biopsy proven acute rejection. Over mean follow-up of 2.35+/
1.24 years, patient and graft survival rates were 77.5% and 89.3% with mean SCr
of 1.40+/-0.36 mg/dl. DDOT has acceptable graft/patient survival over 4 years
follow-up and should be encouraged in view of organ shortage.
PMID- 21886979
TI - Prediction of steroid response in nephrotic syndrome by humoral immunity
assessment.
AB - The purpose of this study was to estimate the serum levels of IgG, IgM, and IgA
in nephrotic syndrome (NS) cases, in activity or in remission, and to detect
their levels in relation to steroid response by evelautingthe relationship
between IgG/IgM ratio and response to steroids. We investigated 27 cases with NS
in activity and in remission and 20 healthy children as controls. Group A
included 16 NS patients (12.3+/-1.4 years) who were steroid-resistant, frequent
relapsers, or steroid dependent. Group B included 11 steroid-sensitive NS
patients with a mean age of 11.6+/-2.1 years. Group C included 20 healthy
children with a mean age of 12.1+/-2.3 years who were the control group. We found
lower serum IgG level in NS cases compared with the control group; and it was
lower in activity than in remission. The levels were lower in Group A compared
with those of Group B. Serum IgG levels in Group A were as follows: in activity,
2.29+/-1.13 g/L and in remission, 4.3+/-2 g/L. In Group B, they were 6.2+/-1.2
g/L and 6.5+/-1.15 g/L in activity and in remission, respectively, and 11.8+/-2.5
g/L in the healthy control group (P<0.05). There was a direct correlation between
serum albumin and serum IgG. We found no significant difference in serum IgM and
IgA levels among studied groups whether in activity or in remission. Serum
IgG/IgM ratio was lower in activity and in remission in the patient groups than
in the control group as it was 9.3+/-4.7 in healthy subjects. It was 1.8+/-1.5 in
Group A in activity and 3.2+/-2 in remission, and in Group B 4.8+/-2.39 in
activity and 4.8+/-2.4 in remission. We conclude that IgM and IgA show no
significant difference in NS patients. Serum IgG is lower in NS than in the
control group and is much lower in activity than in remission. It is lower in
patients with poor steroid response. We propose a predictive value of IgG/IgM
ratio in activity, that is, the higher the IgG/IgM ratio in activity, the better
the prognosis.
PMID- 21886980
TI - Hypokalemic quadriparesis in Sjogren syndrome.
AB - We report a 58-year-old woman who presented with acute quadriparesis, bulbar
weakness, atrial fibrillation, ventricular ectopics, and distal renal tubular
acidosis with severe hypokalemia. She recovered completely on potassium and
alkali supplementation. The patient also had hypergammaglobulinemia with probable
primary Sjogren syndrome.
PMID- 21886981
TI - Prerenal azotemia from excessive sweating in an adult with a cystic fibrosis gene
mutation.
AB - We present the case of a 58-year-old male with chronic kidney disease who was
admitted to the hospital multiple times with extracellular fluid volume depletion
and prerenal azotemia. Some episodes were associated with gastrointestinal fluid
losses and others with profuse diaphoresis in the absence of gastrointestinal
fluid losses. At the age of 57 years, a common cystic fibrosis transmembrane
conductance regulator protein mutation and a family history of cystic fibrosis
were documented. We hypothesize that the abnormal cystic fibrosis transmembrane
conductance regulator resulted in repeated bouts of excessive sweating,
extracellular fluid volume depletion, and acute renal failure. This case is
unique because of the prolonged period of time over which multiple documented
episodes of prerenal acute renal failure occurred and because of the onset of the
episodes in adulthood.
PMID- 21886982
TI - Pheochromocytoma of urinary bladder.
AB - Pheochromocytoma of urinary bladder are rare tumors. They present with
nonspecific clinical signs and symptoms, so imaging plays an important role in
diagnosing and localizing the tumor. We present two cases of bladder
pheochromocytoma, one of them presented with vague abdominal pain and the other
with hematuria. Biphasic CT in both the cases showed hypervascular intravesical
mass suggestive of bladder pheochromocytoma. The lesions were confirmed
biochemically or on postoperative histopathology. A brief review of the imaging
in bladder pheochromocytoma is also presented.
PMID- 21886983
TI - Schistosoma hematobium-associated glomerulopathy.
AB - Schistosomiasis is the second most devastating tropical parasitic disease
worldwide and is responsible for many urological complications. However,
glomerular injury is a rare complication mainly described with Schistosoma
mansoni. We report a case of membranoproliferative glomerulonephritis (MPGN)
associated with Schistosoma hematobium infection in a young Senegalese boy living
in a rural area. Clinical presentation was with steroid-resistant with nephrotic
syndrome. Renal biopsy showed type 1 MPGN with the presence of S. hematobium eggs
surrounded by a gigantocellular granuloma. Despite therapy with antihelminthic
and immunosuppressive drugs, evolution was characterized by progression to end
stage renal disease over 1 year. More efforts should be made on the prevention
and early detection of schistosomiasis among at-risk populations.
PMID- 21886984
TI - Brachiocephalic artery thrombosis in adult nephrotic syndrome.
AB - Thrombotic events are known to occur in nephrotic syndrome, as these patients
have a hypercoagulable state. Venous thrombosis is well recognized, but arterial
thrombosis is rare and is mainly noted in pediatric population. In the present
study, we report a case of thrombosis of right brachiocephalic artery, embolic
occlusion of right axillary artery, and right middle cerebral artery territory
infarction due to thromboembolism in an adult patient with nephrotic syndrome
(Minimal change disease). Patient underwent thromboembolectomy in the right
axillary artery followed by anticoagulation therapy.
PMID- 21886985
TI - Successful renal transplantation following treatment of Aspergillus terreus
peritonitis in a continuous ambulatory peritoneal dialysis patient.
AB - Peritoneal dialysis (PD) related peritonitis caused by fungi is a potentially
life-threatening complication. It diminishes prospects of continuing PD. We
report a patient with Aspergillus terreus peritonitis treated successfully with
catheter removal and antifungal therapy and subsequently had a live-related renal
transplantation. There was no recurrence of the infection in 3 years of follow
up.
PMID- 21886986
TI - Megacystis, microcolon, intestinal hypoperistalsis syndrome and bilateral streak
gonads.
AB - Megacystis, microcolon, intestinal hypoperistalsis is an uncommon condition
presenting in neonatal age with features of intestinal obstruction and bladder
evacuation abnormalities. We present here an infant girl with the diagnosis
consistent with this entity.
PMID- 21886987
TI - Thrombotic microangiopathy as a complication of recurrent pancreatitis.
AB - Acute pancreatitis as a cause of thrombotic microangiopathy is very rare. We
report a case of 40-year-old woman with idiopathic recurrent pancreatitis, who
presented with acute pancreatitis complicated by thrombotic microangiopathy.
Although thrombotic thrombocytopenic purpura/hemolytic uremic syndrome (TTP/HUS)
has been reported as causing acute pancreatitis, the induction of TTP/HUS by
pancreatitis is rare. As far as we are aware this is the first reported case of
TTP/HUS in association with pancreatitis in India. Our patient had a complete
recovery of her thrombotic microangiopathy following plasma exchange therapy.
PMID- 21886988
TI - Do we need luxurious facilities for exchange of knowledge?
PMID- 21886989
TI - Candida and calcofluor white: Study in precancer and cancer.
AB - BACKGROUND: The interest in oral candidosis has waxed and waned from the period
of Hippocrates. The acquired immune deficiency syndrome (AIDS) epidemic has
certainly bolstered these figures on oral candidosis, with diabetes and oral
cancer being no exception. A need for rapid detection of Candida is made possible
by the use of Calcofluor - White (CFW) stain when examined under a fluorescence
microscope. The present study was aimed at assessing the efficacy of CFW is
compared to Gram stain and periodic acid Schiff (PAS) in detection of Candida in
oral precancer and cancer. MATERIALS AND METHODS: The study group consisted of
patients with precancer (n=45), cancer (n=45), and control group (n=45). Presence
of Candida was confirmed by culture inoculation along with a germ tube and
carbohydrate fermentation test. The cytopathological smears were analyzed by
papanicolaou - CFW and Gram staining, whereas, tissue sections were stained by
PAS and CFW staining. RESULTS: Candida albicans was the predominant species
identified. A highly significant association of Candida was seen more often in
cancer than in precancer. Both in cytology and histopathology Candida detection
by CFW was higher. In precancer it was 48.88% in smears and 40% in tissue
sections, whereas, in cancer 60% in smears and 55.55% in histopathology.
CONCLUSION: Among the various diagnostic tools used in the present study, the use
of CFW is seen to be a simple, effective, rapid, and reliable method, both in
cytopathology and histopathology.
PMID- 21886990
TI - Intraosseous calcifying epithelial odontogenic tumor in a case with multiple
myeloma.
AB - Calcifying epithelial odontogenic tumor (CEOT), also known as Pindborg tumor, is
a rare benign odontogenic tumor of locally aggressive behavior. It is more common
in the posterior part of the mandible of adults, typically in the fourth to fifth
decades. Its origin as well as its true malignant potential is not clearly known.
It usually starts as a painless swelling and is often concurrent with an impacted
tooth. A case of CEOT in a 55-year-old man with multiple myeloma is presented.
Clinical, radiological, and pathologic findings are discussed.
PMID- 21886991
TI - Rhinomaxillary mucormycosis with cerebral extension.
AB - Mucormycosis is a rare opportunistic infection caused by fungus belonging to the
order Mucorales. A case of a controlled diabetic male with rhino maxillary
mucormycosis, with cerebral extension, is described. The patient presented with
hemifacial swelling, a nasal twang in his voice, fever, ocular signs, gross
tissue destruction, and was sluggish. Early recognition of mucormycosis is
necessary to limit the spread of infection, which can lead to high morbidity and
mortality. Therefore, health practitioners should be familiar with the signs and
symptoms of the disease.
PMID- 21886992
TI - Ewing's sarcoma of zygoma.
AB - Ewing's sarcoma is a malignant, small, round cell neoplasm that normally affects
the long bones of the limbs or the pelvis. It is a relatively frequent malignant
bone tumor in children. The occurrence of the primary tumor in the facial region
is approximately 2%, with most of the cases affecting the mandible. Primary
zygoma involvement is rare and as per the available literature only three cases
have been reported.A case of Ewing's sarcoma that originated in the zygoma of a
15-year-old girl in reported. Bearing in mind its neuroectodermal origin,
immunohistochemical studies are essential to distinguish Ewing's sarcoma from
other small round cell tumors.
PMID- 21886993
TI - Central schwannoma of mandible.
AB - Intraoral schwannomas are uncommon and intraosseous ones are even rarer. The
intrabony lesions account for less than 1% of the central neoplasms.According to
the literature, there have been reports of 44 cases of intrabony neurilemmomas
that occurred in either of the jaws. In theis case report. we report a case of
central schwannoma of the mandible in a 23-year-old female, who presented with a
swelling involving the right body of the mandible. Radiographs revealed a well
defined, unilocular radiolucency with bicortical expansion. Histopathology and
immunohistochemistry confirmed the diagnosis of schwannoma arising from right
inferior alveolar nerve. The lesion was treated by segmental resection and
reconstructed with autogenous iliac bone graft.
PMID- 21886994
TI - Intraosseous calcifying cystic odontogenic tumor.
AB - The calcifying odontogenic cyst was first reported by Gorlin et al. in 1962. It
had been classified as a neoplasm related to the odontogenic apparatus because of
its histological complexity and morphological diversity until it was renamed as a
calcifying cystic odontogenic tumor by the WHO, in 2005. Here we describe a case
of mandibular calcifying cystic odontogenic tumor in a 75-year-old male, which
was present since five years, with a history of occurrence after the extraction
of teeth in the involved region. The lesion was surgically removed and a
histopathological examination revealed a cystic tumor with predominance of ghost
cells and some amount of dentinoid tissue.
PMID- 21886995
TI - Respiratory implantation cyst of the mandible following orthognathic surgery.
AB - A cyst following implantation of respiratory epithelium during surgical
procedures has been documented only rarely. A variety of names have been attached
to this lesion: respiratory mucocele, respiratory implantation cyst, surgical
ciliated cyst, and surgical (implantation) cyst. In seven prior case reports, the
interval between the initiating surgical procedure and diagnostic biopsy of the
resultant well-circumscribed radiolucency and histopathological demonstration of
distinctive pseudostratified ciliated columnar epithelial lining has varied from
4 to 40 years. In the case reported here, chin augmentation used "residual
maxillary bone" as the donor tissue and likely transplanted sinonasal mucosa into
the mandible during orthognathic surgery, resulting in a painful anterior
mandibular cyst lined by respiratory epithelium that was ablated 16 years later.
PMID- 21886996
TI - Necrotizing sialometaplasia of tongue.
AB - Necrotizing sialometaplasia, is a benign inflammatory lesion primarily involving
the minor salivary glands of the hard palate. The lesion often presents itself as
a deep-seated palatal ulcer with clinical and histological features similar to
those of a malignant neoplasm. Here we report a case of necrotizing
sialometaplasia in a 40-year-old female, present on the lateral border of the
tongue, mimicking squamous cell carcinoma, clinically. A correct diagnosis to
avoid mutilant surgical treatments is essential, considering that it is a self
limiting disease.
PMID- 21886997
TI - Metastasis from breast cancer presenting as an epulis in the upper gingiva.
AB - Oral metastasis of breast cancer is less common than metastasis to other sites
like the lung and liver. Breast cancer can metastasize to the oral cavity, with
presentation like a benign oral lesion. We present an interesting case of breast
cancer involving the gingiva with sparing of the underlying bone.
PMID- 21886998
TI - Natal teeth: Case report and review of literature.
AB - The presence of teeth at birth or within a month post-delivery is a rare
condition. A newborn, a 2 days old female, with two mandibular incisor natal
teeth was examined. The teeth were mobile and were extracted because of the fear
of aspiration and refusal to feed. The purpose of this report is to review the
literature related to natal teeth epidemiology and discuss their possible
etiology and treatment.
PMID- 21886999
TI - Erupted compound odontome.
AB - Odontomas are considered to be hamartomas rather than a true neoplasm. They
consist chiefly of enamel and dentin, with variable amount of pulp and cementum
when fully developed. They are generally asymptomatic and are included under the
benign calcified odontogenic tumors. They are usually discovered on routine
radiographic examination. Eruption of an odontoma in the oral cavity is rare.
Peripheral compound odontomas arise extraosseously and have a tendency to
exfoliate. In this article we are reporting a case of a 15-year-old girl with
peripheral compound odontoma, with a single rudimentary tooth-like structure in
the mandibular right second molar region, which is about to be exfoliated. Its
eruption in the oral cavity and location in the mandibular posterior region is
associated with aplasia of the mandibular right second molar, making it an
interesting case for reporting.
PMID- 21887000
TI - Living legends: dr. Vinay k. Hazarey.
PMID- 21887001
TI - Journal reviews.
PMID- 21887002
TI - From the Editor's Desk.
PMID- 21887003
TI - Regional odontodysplasia: An unusual case report.
AB - Regional odontodysplasia is an uncommon developmental anomaly affecting a
localized area of dentition, with distinctive clinical, radiographic, and
histological findings. This article reviews a case of a 14-year-old female who
reported with unerupted maxillary anterior teeth. This case was rare in that it
involved maxillary dentition with unerupted maxillary anterior teeth on the right
side, but the left central incisor was missing. The molars on the right side were
showing some amount of abnormality. Radiographically, the affected teeth had a
'ghostly' appearance, showing a marked reduction in radiodensity. Both enamel and
dentin appeared to be very thin, the pulp chamber was exceedingly large, and the
roots were short with wide open apices. All the characteristics were consistent
with the diagnosis of regional odontodysplasia. The care and treatment of this
patient required a multidisciplinary approach. The unerupted maxillary anterior
teeth were surgically removed, following which temporary prosthetic restoration
was provided to improve esthetics and to restore the function.
PMID- 21887004
TI - Oral traumatic neuroma with mature ganglion cells: A case report and review of
the literature.
AB - Traumatic neuromas are characterized by the presence of pain, burning, or
paresthesia, associated with a history of trauma, normally surgery, in the same
site. In the oral cavity, the most commonly affected sites are the lip, tongue,
and mental nerve area. Pressure on the suspected area usually provokes pain. They
microscopically consist of a proliferation of nerve fascicles embedded in a
background of collagen. We present a case of a 42-year-old Latin American female
patient complaining of a painful solitary nodule erupting on the lingual surface
of the mandibular body. Histopathological analysis showed a traumatic neuroma
associated with mature ganglion cells, which is an extremely unusual finding.
After complete removal of the lesion the symptoms disappeared. To the best of our
knowledge, this is the first case of a unique lesion with unusual clinical and
histopathological features reported in the English language literature.
PMID- 21887005
TI - Amelogenesis imperfecta: Report of a case and review of literature.
AB - Amelogenesis imperfecta (AI) is a diverse collection of inherited diseases that
exhibit quantitative or qualitative tooth enamel defects in the absence of
systemic manifestations. Also known by varied names such as Hereditary enamel
dysplasia, Hereditary brown enamel, Hereditary brown opalescent teeth, this
defect is entirely ectodermal, since mesodermal components of the teeth are
basically normal. The AI trait can be transmitted by either autosomal dominant,
autosomal recessive, or X-linked modes of inheritance. Genes implicated in
autosomal forms are genes encoding enamel matrix proteins, namely: enamelin and
ameloblastin, tuftelin, MMP-20 and kallikrein - 4. This article presents a case
reported to Dr. D. Y. Patil, Dental College and Hospital, Pune, India, along with
a review of this often seen clinical entity.
PMID- 21887006
TI - Multiple congenital epulis in newborn - A rare presentation.
AB - A newborn infant with multiple congenital oral swellings can be a striking sight
for both parents and healthcare professionals involved in neonatal care. Neumann
first described congenital epulis of a newborn in 1871, hence it is also known as
Neumann's tumor. Congenital epulis occurs at birth and has a predilection for
females. Congenital epulis clinically appears as a pedunculated protuberant mass.
In cases with large lesions, mechanical, oral, and nasal obstruction can impair
fetal deglutition or interfering with feeding and / or respiratory impairment. We
are reporting a case of a six-day-old, 3.5 kg newborn female, who had reported to
our institution with multiple congenital oral swellings arising from the maxilla
and mandible.
PMID- 21887007
TI - Lipoid proteinosis.
AB - Lipoid proteinosis is a rare disorder with autosomal recessive inheritance,
characterized by progressive deposition of hyaline material in the skin, mucous
membrane, and different organs of the body, resulting in a multitude of clinical
manifestations. A 62-year-old male presented with hoarseness of voice since
infancy, eyelid beading, and generalized acneiform scars on the facial skin and
extremities, and yellowish papules on his tongue and buccal mucose. The patient
was diagnosed clinically as a case of Lipoid proteinosis, which was confirmed by
skin and mucosal biopsy. The objective of the present work is to describe this
rare entity, with approximately 250 cases found in medical literature. This case
report also illustrates that Lipoid proteinosis may show protean clinical
features and yet may remain undiagnosed for many years. This report will
hopefully spawn further studies that will lead to early diagnosis.
PMID- 21887008
TI - Salivary duct carcinoma of parotid gland.
AB - A 40-year old male presented with rapidly growing swelling in the right parotid
region. Based on the fine needle aspiration cytology report of adenocarcinoma not
otherwise specified, superficial parotidectomy was performed, which showed the
features of salivary duct carcinoma by histopathological examination. The smears
were reviewed to identify the potential pitfalls in the cytological diagnosis of
salivary duct carcinoma.
PMID- 21887009
TI - Gorlin-Goltz syndrome.
AB - Gorlin-Goltz syndrome is an autosomal dominant inherited disorder characterized
by the presence of multiple odontogenic keratocysts along with various cutaneous,
dental, osseous, ophthalmic, neurological, and sex organ abnormalities. Early
diagnosis is essential as it may progress to aggressive basal cell carcinomas and
neoplasias. Gorlin-Goltz syndrome has rarely been reported from India. We report
here one such patient, diagnosed at a rural hospital.
PMID- 21887010
TI - Bilateral fusion of permanent mandibular incisors with Talon's cusp: A rare case
report.
AB - Whenever nature diverts from the "normal or usual" it gives rise to something
called "abnormal or unusual," which we call "a Disorder". Fusion of two teeth is
a common developmental disorder that is seen by a clinician. However, bilateral
fusion along with Talon's cusp is very rarely seen and reported in literature.
This article describes a rare case of the bilateral fusion of permanent
mandibular central and lateral incisors, along with presence of Talon's cusp on
the left fused teeth.
PMID- 21887011
TI - Dentinogenic ghost cell tumor.
AB - Dentinogenic ghost cell tumor (DGCT) is a rare tumorous form of calcifying
odontogenic cyst and only a small number of cases have been described. It is a
locally invasive neoplasm that is characterized by ameloblastoma-like epithelial
islands, ghost cells and dentinoid. The present report describes a case of a 21
year-old male with a tumor in the posterior region of the mandible, showing
features of DGCT.
PMID- 21887012
TI - Basal cell adenoma in a relatively rare site.
AB - Basal cell adenoma (BCA) of the salivary glands is an uncommon type of
monomorphic adenoma. Its most frequent location is the parotid gland. It usually
appears as a firm and mobile slow-growing mass. Histologically, it is seen as
nests of isomorphic cells and interlaced trabeculae with a prominent basal
membrane. There is also slack, hyaline stroma with absence of a myxoid or
chondroid component. We describe a case of BCA of palatal minor salivary glands,
a rare site for its occurrence. We also briefly review the literature on the
same.
PMID- 21887013
TI - AnimalLectinDb: An integrated animal lectin database.
AB - Lectins, a class of carbohydrate-binding proteins and widely recognized to play a
range of crucial roles in many cell-cell recognition events triggering several
important cellular processes encompass different members that are diverse in
their protein structures, carbohydrate affinities and specificities, their larger
biological roles and potential applications. To attain an effective use of all
the diverse data initially an animal lectin database 'AnimalLectinDb' with
information pertaining to taxonomic, structural, domain architecture, molecular
sequence, carbohydrate structure and blood group specificity has been developed.
It is expected to be of high value not only for basic study in lectin biology but
also for advanced research in pursuing several applications in biotechnology,
immunology, and clinical practice. AVAILABILITY: The database is available for
free at http://www.research-bioinformatics.in.
PMID- 21887014
TI - An ANN-GA model based promoter prediction in Arabidopsis thaliana using tilling
microarray data.
AB - Identification of promoter region is an important part of gene annotation.
Identification of promoters in eukaryotes is important as promoters modulate
various metabolic functions and cellular stress responses. In this work, a novel
approach utilizing intensity values of tilling microarray data for a model
eukaryotic plant Arabidopsis thaliana, was used to specify promoter region from
non-promoter region. A feed-forward back propagation neural network model
supported by genetic algorithm was employed to predict the class of data with a
window size of 41. A dataset comprising of 2992 data vectors representing both
promoter and non-promoter regions, chosen randomly from probe intensity vectors
for whole genome of Arabidopsis thaliana generated through tilling microarray
technique was used. The classifier model shows prediction accuracy of 69.73% and
65.36% on training and validation sets, respectively. Further, a concept of
distance based class membership was used to validate reliability of classifier,
which showed promising results. The study shows the usability of micro-array
probe intensities to predict the promoter regions in eukaryotic genomes.
PMID- 21887015
TI - The integrated web service and genome database for agricultural plants with
biotechnology information.
AB - The National Agricultural Biotechnology Information Center (NABIC) constructed an
agricultural biology-based infrastructure and developed a Web based relational
database for agricultural plants with biotechnology information. The NABIC has
concentrated on functional genomics of major agricultural plants, building an
integrated biotechnology database for agro-biotech information that focuses on
genomics of major agricultural resources. This genome database provides annotated
genome information from 1,039,823 records mapped to rice, Arabidopsis, and
Chinese cabbage.
PMID- 21887016
TI - miRTour: Plant miRNA and target prediction tool.
AB - MicroRNAs (miRNAs) are important negative regulators of gene expression in plant
and animals, which are endogenously produced from their own genes. Computational
comparative approach based on evolutionary conservation of mature miRNAs has
revealed a number of orthologs of known miRNAs in different plant species. The
homology-based plant miRNA discovery, followed by target prediction, comprises
several steps, which have been done so far manually. Here, we present the
bioinformatics pipeline miRTour which automates all the steps of miRNA similarity
search, miRNA precursor selection, target prediction and annotation, each of them
performed with the same set of input sequences. AVAILABILITY: The database is
available for free at http://bio2server.bioinfo.uni-plovdiv.bg/miRTour/
PMID- 21887017
TI - What's new in Emergencies, Trauma, and Shock? Pragmatic possibilities of
predicting post-STEMI complications using TIMI scores and leukocyte counts.
PMID- 21887018
TI - Experience of using local flaps to cover open lower limb injuries at an Indian
trauma center.
AB - BACKGROUND: Optimal care of open, high-velocity, lower limb injury requires
surgical skills in debridement, skeletal stabilization, and in providing
appropriate soft tissue cover. Timely coordination between orthopedic and plastic
surgeons, though ideal, is often difficult. In our center, orthopedic surgeons
undertake comprehensive treatment of open fractures including soft tissue cover.
We reviewed the results of the local flaps of lower limb, done by orthopedic
surgeons. MATERIALS AND METHODS: We retrospectively reviewed the results of the
lower limb flaps done between January 2005 and December 2006. All flaps done at
and below the level of knee were included. RESULTS: There were 105 patients with
120 flaps during this period. Two patients with two flaps were lost to follow-up.
The average age was 32 years. Sixty-four patients had Type IIIB Gustilo and
Anderson injuries. Thirty-nine patients had isolated soft tissue injuries. The
indications for flaps were exposed bone, tendon, and joint in 45, 11, and 12,
respectively, or a combination in 35 patients. The flaps done were 51 reverse
sural artery, 35 gastrocnemius, 25 local fasciocutaneous, and seven foot flaps.
The flap dimensions ranged from 2 * 2 to 30 * 15 cm. Ninety-three flaps (79%)
healed primarily. Among 25 flaps (21%) with necrosis, 14 flaps required secondary
split skin graft for healing, while the other nine flaps healed without further
surgery. CONCLUSION: Appropriate soft tissue cover provided by orthopedic
surgeons can help in providing independent, composite care of lower limb
injuries.
PMID- 21887019
TI - Comparing the results penetrating colon injuries based on intervention by
surgeons with different levels of experience in West Indies.
AB - BACKGROUND: Numerous studies have established the safety of primary repair for
civilian penetrating colonic injuries with little data exploring the experience
of surgeon performing the procedure. Owing to financial, staff and administrative
constraints in the developing world, surgeons-in-training sometimes find
themselves faced with having to perform major surgery for penetrating colonic
injuries with no experienced surgeon in attendance, but available for advice via
phone. With this thought, we collected retrospective data to analyse our outcomes
based on this practice. MATERIALS AND METHODS: Over a 10-year period 62 patients
with penetrating colonic trauma underwent laparotomies with analysis done on 53
cases. Severity of injury, grade of operating surgical staff and outcome were
noted. Outcomes of "inexperienced surgeons" and "experienced surgeons" were
compared to determine if a difference exists in outcome based on experience or
grade of surgeon. RESULTS: A total of 53 patients with penetrating colon injures
underwent primary repair and/or anastomosis with 18 (34%) performed by
"inexperienced surgeons" and 35 (66%) by "experienced surgeons". There was one
death unrelated to colon trauma with an inexperienced surgeon and one anastomotic
leak in a patient operated on by an experienced surgeon. CONCLUSION: This data
supports previous reports on the safety of primary repair for penetrating colonic
injuries and raises the point that in cases of lower severity of injury
inexperienced surgeons have similar results to experienced surgeons with regard
to primary repair.
PMID- 21887020
TI - Profiling pediatric patients involved in automobile crashes in Japan.
AB - INTRODUCTION: There is little data concerning the injuries induced by being run
over in children. PROBLEM: Characteristics of injuries suffered in children by
being run over were investigated. MATERIALS AND METHODS: Between January 1998 and
December 2007, the medical charts were retrospectively reviewed to investigate
characteristics of the injuries in pediatric patients run over by a car. Patients
meeting the following criteria were included: (1) age <= 12 years old; (2) the
patient was struck by an automobile. The subjects were divided into three groups
by the mechanisms of injury: (1) run over; (2) carried away; (3) contact.
RESULTS: Twelve patients had been run over, 44 patients were carried away and 44
patients had been hit by a car (contact). The average age in the run over group
was the lowest, followed by that in the carried away group and that in the
contact group was the highest. The children's coma score and injury severity
score were not significantly different among the three groups; however, the
average chest abbreviated injury score was the highest in the run over group,
followed by that in the carried away group and that in the contact group was the
lowest. The average duration of admission and survival rate among three groups,
were not significantly different. CONCLUSION: The patients who were injured due
to being run over by a car, tended to be younger and to have severe chest injury.
PMID- 21887021
TI - Analyzing fat embolism syndrome in trauma patients at AIIMS Apex Trauma Center,
New Delhi, India.
AB - BACKGROUND: Fat embolism syndrome (FES) is a constellation of symptoms and signs
subsequent to orthopedic trauma. MATERIALS AND METHODS: The clinical profile of
FES in the trauma population was studied over 2 years and 8 months. RESULTS: The
incidence of FES among all patients with long bone and pelvic fractures was 0.7%
(12). The mean injury severity score was 10.37 (SD 1.69) (range 9-14). The
diagnosis of FES was made by clinical and laboratory criteria. Hypoxia was the
commonest presentation (92%). The average days of onset of symptoms were 3.5
(SD1.29) days. Management included ventilator support in 75%, average ventilator
days being 7.8 (SD 4.08) days. The average ICU stay and hospital stay were 9.1
days and 29.7 days, respectively. A mortality of 8.3% (1) was observed.
CONCLUSION: Fat embolism remains a diagnosis of exclusion and is a clinical
dilemma. Clinically apparent FES is unusual and needs high index of suspicion,
especially in long bone and pelvic fractures.
PMID- 21887022
TI - Profiling genitourinary injuries in United Arab Emirates.
AB - BACKGROUND: The epidemiology of genitourinary (GU) organ injury following general
trauma is not well-studied especially in the Middle East. PATIENTS AND METHODS:
All patients with GU injuries from the Trauma Registry of Al-Ain Hospital were
studied. The registry data was prospectively collected from March 2003 to March
2006. RESULTS: Out of 2573 patients in the registry, 22 had GU injuries
(incidence: 0.9%, 2.0 per 100,000 inhabitants per year). Road traffic collision
was the most frequent mechanism of injury (50% of all cases). 41% of injuries
were renal. In 73% of patients, GU injuries were associated with other organ
injuries, the most frequent of which were injuries to the other abdominal and
pelvic organs (94%). The mean Injury Severity Score, mean total hospital stay,
the percentage of patients who required intensive care unit (ICU) admission were
higher in patients with GU injuries compared to non-GU patients (17.1 vs. 5.5 (P
0.001), 15.4 vs. 9.2 days (P 0.040) and 43% vs. 8%, (P 0.0001), respectively.
CONCLUSIONS: The incidence of trauma-related GU injuries in the current study
appears to be comparable to those reported from the West. Patients with GU organ
injuries tend to have more severe trauma compared to other patients. Road traffic
collision was the most common mechanism of injury and the kidney was the most
frequently injured organ.
PMID- 21887023
TI - Neurological outcomes of neurosurgical operations for multiple trauma elderly
patients in Hong Kong.
AB - BACKGROUND: We aimed to investigate neurological outcomes in elderly patients
with multiple trauma, and to review their clinical outcomes following
neurosurgical operations. PATIENTS AND METHODS: The study was conducted in a
regional trauma center in Hong Kong. We collected prospective data on consecutive
trauma patients from January 2001 to December 2008. Patients with multiple trauma
(as defined by Injury Severity Score of 15 or more), with both head injury and
extracranial injury, were included for analysis. RESULTS: Age over 65 years,
admission Glasgow Coma Scale (GCS), and Injury Severity Score were significantly
poor prognostic factors in logistic regression analysis. Eleven (32%) of the 34
patients aged over 65 who underwent neurosurgical operations attained favorable
neurological outcomes (GCS 4-5) at 6 months. CONCLUSIONS: Age was an important
prognostic factor in multiple trauma patients requiring neurosurgical operations.
Future randomized controlled clinical trials should be designed to recruit
elderly patients (such as age between 65 and 75 years) at clinical equipoise for
traumatic hematoma (such as subdural hematoma or traumatic intracerebral
hematoma) evacuation and assess the quality of life, neurological, and cognitive
outcomes.
PMID- 21887024
TI - Combining white blood cell count and thrombosis for predicting in-hospital
outcomes after acute myocardial infraction.
AB - INTRODUCTION: Admission white blood cell (WBC) count and thrombosis in myocardial
infarction (TIMI) risk score have been associated with adverse outcomes after
acute myocardial infarction (AMI). This study investigated the joint effect of
WBC count and TIMI risk score on predicting in-hospital outcomes in patients with
AMI. MATERIALS AND METHODS: WBC count and TIMI risk score were measured at the
time of hospital admission in 70 patients with AMI. Echocardiogram was done on
prior to discharge by a cardiologist and ejection fraction (EF) was determined
according to the Simpson formula. Patients were stratified into tertiles (low and
high) based on WBC count and TIMI risk score. RESULTS: Patients with a high WBC
count had a 5.0-fold increase in-hospital congestive heart failure and 2.2
increases in mortality compared with those with a low WBC count. Patients with a
high TIMI risk score had a 10-fold increase in congestive heart failure
presentation and mortality compared with those with a low TIMI risk score. When a
combination of different strata for each variable was analyzed, a stepwise
increase in mortality was seen. There were a few number of patients with a high
WBC count and low TIMI risk score or with a low WBC count and high TIMI risk
score. These patients had an intermediate risk, whereas those with a high WBC
count and TIMI risk score had the highest risk. CONCLUSION: These findings
suggested that a simple combination of WBC count and TIMI risk score might
provide further information for predicting outcomes in patients with AMI.
PMID- 21887025
TI - Studying patients of severe traumatic brain injury with severe abdominal injury
in Japan.
AB - BACKGROUND: Characteristics of extracranial injury in patients with diffuse
axonal injury (DAI) have not been clarified. MATERIALS AND METHODS: This
retrospective study reviewed medical records from January 2003 to December 2007.
Subjects comprised 35 patients meeting the following criteria: 1) head injury
without mass lesion; 2) Glasgow coma scale (GCS) on arrival <15; and 3) magnetic
resonance imaging (MRI) examination including T2*-weighted imaging. Subjects were
divided into two groups: severe traumatic brain injury (TBI) group (patients with
GCS <=8, n=19) and moderate TBI group (patients with GCS >8, n=16). RESULTS:
Shock index (heart rate/systolic blood pressure) was significantly higher in the
severe TBI group than in the moderate TBI group, while base excess on arrival was
significantly lower in the severe TBI group than in the moderate TBI group. The
abbreviated injury scale (AIS) for the face, thorax, extremities and external
structures showed no significant differences between the severe TBI group, but
AIS for the abdomen and the sum of extracranial AIS was greater in the severe TBI
group than in the moderate TBI group. Duration of hospitalization was longer and
outcomes were worse in the severe TBI group than in the moderate TBI group.
CONCLUSION: Because patients with severe TBI are more likely to have abdominal
injury than patients with moderate TBI, physicians should be aware of the
potential for such complications when treating severe TBI.
PMID- 21887026
TI - Tight blood glucose control in trauma patients: Who really benefits?
AB - BACKGROUND: This study was designed to evaluate the effect of intensive insulin
control (IIT) on outcomes for traumatically injured patients as a function of
injury severity score (ISS) and age. PATIENTS AND METHODS: A retrospective review
of 2028 adult trauma patients admitted to the surgical intensive care unit (SICU)
in a Level I trauma center was performed. Data were collected from a 48-month
period before (Pre-IIT) (goal blood glucose 80-200 mg/dL) and after (Post-IIT)
(goal blood glucose level 80-110 mg/dL), an IIT protocol was initiated. Patients
were stratified by age and ISS. The primary endpoint was mortality. RESULTS:
There were 784 Pre-IIT and 1244 Post-IIT patients admitted. There was no
significant difference between Pre-IIT vs. Post-IIT for the mechanism of injury
or ISS. Values for the Pre-IIT group were significantly higher for mortality
(21.5% vs. 14.7%, P<0.001) and hospital, but not ICU length of stay were
decreased. A significant improvement in mortality was demonstrated between Pre
IIT vs. Post-IIT stratified within the age groups of 41-50, 51-60, and 61 but not
the groups 18-30 and 31-40. Mean glucose levels (mg/dL) decreased significantly
after the institution of IIT (144.7+/-1.4 vs. 130.9+/-0.9; P<0.001). In addition,
the occurrence per patient of blood glucose levels <40 mg/dL increased (0.77% vs.
2.86%; P=0.001) and blood glucose levels greater than 200 mg/dL was similar
(39.1% vs. 38.8%; P=0.892) in the Pre-IIT and Post-IIT groups, respectively.
Glycemic variability, reflected by the standard deviation of each patient's mean
glucose level during ICU stay, as well as mean glucose level were lower in
survivors than in nonsurvivors. Finally, multivariable logistic regression
analysis identified both mean glucose level and glycemic variability as
independent contributors to the risk of mortality. CONCLUSIONS: The
implementation of IIT has been associated with a decrease in both hospital length
of stay as well as mortality. Average glucose value and glucose variability are
independent predictors of survival. Trauma patients with moderate, severe, and
very severe injuries benefit most from IIT. These observational data suggest that
patients over 40 years of age benefited a great deal more than their younger
counterparts from IIT. This study supports the need for a randomized controlled
trial to investigate the role of IIT in traumatically injured patients.
PMID- 21887027
TI - Correlates of new onset peripheral nerve injury in comatose psychotropic drug
overdose patients.
AB - AIMS: To investigate the relationship between the duration of comatose state,
severity of rhabdomyolysis and frequency of peripheral nerve injury (PNI) in
patients following psychotropic drug overdose. MATERIALS AND METHODS: Medical
charts were retrospectively reviewed for 41 patients admitted for disturbance of
consciousness induced by an overdose of psychotropic drugs with rhabdomyolysis
between October 2004 and February 2010. Subjects were divided into PNI group
(n=9) and non-PNI control group (n=32). RESULTS: Mean interval between drug
ingestion and arrival, frequency of pressure ulcers, CK level at the time of
patient's arrival and maximum CK level during hospitalization, duration of
hospitalization and morbidity rate were all significantly higher in the PNI group
than in the control group. CONCLUSION: In patients with a psychotropic drug
overdose leading to a comatose state, the longer the comatose state, the more
likely that pressure ulcers and PNI will occur.
PMID- 21887028
TI - Characteristic of victims of family violence seeking care at health centers in
Maputo, Mozambique.
AB - BACKGROUND: Family violence (FV) is a common, yet often invisible, cause of
violence. To date, most literature on risk factors for family, interpersonal and
sexual violence is from high-income countries and might not apply to Mozambique.
AIMS: To determine the individual risk factors for FV in a cohort of patients
seeking care for injuries at three health centers in Maputo, Mozambique. SETTING
AND DESIGN: A prospective multi-center study of patients presenting to the
emergency department for injuries from violence inflicted by a direct family
member in Maputo, Mozambique, was carried out. MATERIALS AND METHODS: Patients
who agreed to participate and signed the informed consent were verbally
administered a pilot-tested blank-item questionnaire to ascertain demographic
information, perpetrator of the violence, historical information regarding prior
abuse, and information on who accompanied the victim and where they received
their initial evaluation. De-identified data were entered into SPSS 13.0 (SPSS,
version 13.0) and analyzed for frequencies. RESULTS: During the 8-week study
period, 1206 assault victims presented for care, of whom 216 disclosed the
relationship of the assailant, including 92 being victims of FV (42.6%). The
majority of FV victims were women (63.0%) of age group 15-34 years (76.1%) and
were less educated (84%) compared to national averages. Of the patients who
reported assault on a single occasion, most were single (58.8%), while patients
with multiple assaults were mostly married (63.2%). Most commonly, the spouse was
the aggressor (50%) and a relative accompanied the victim seeking care (54.3%).
Women most commonly sought police intervention prior to care (63.2%) in
comparison to men (35.3%). CONCLUSION: In Mozambique, FV affects all ages, sexes
and cultures, but victims seeking care for FV were more commonly women who were
less educated and poorer.
PMID- 21887029
TI - Indicators of potential for rupture for ectopics seen in the emergency
department.
AB - BACKGROUND: Emergency departments (ED) frequently evaluate patients with probable
ectopic pregnancies who go home and may rupture. It would be beneficial to know
which patient factors are associated with rupture and which are not. OBJECTIVES:
The purpose of this study was to determine which ED patients with ectopic
pregnancies are at risk for rupture. MATERIALS AND METHODS: This study was a
retrospective chart review of all women aged >=18 years during a 5-year period
who were diagnosed with ectopic pregnancy to a level I ED. Data collected
included basic demographic information, medical, surgical, obstetric and
gynecologic history, social and sexual history, findings on physical examination,
and laboratory values such as urine pregnancy test, beta-hCG, and complete blood
count. RESULTS: There was a significant difference using a multivariate
regression analysis with 95% CI in history findings of abdominal pain, nausea,
vomiting, and urinary tract symptoms. There was a significant difference in
physical examination of pulse, diastolic pressure, abdominal tenderness,
peritoneal signs, cervical motion tenderness, and adnexal tenderness. There was
also a significant difference in beta-hCG, hemoglobin and hematocrit results and
ultrasound findings of free peritoneal fluid, intrauterine pregnancy and cardiac
findings between those who ruptured and those who did not. None of these tests
was able to differentiate those that would go on to rupture. CONCLUSION: The
result of the study did not find any single sign, symptom, or test that could
reliably differentiate patients who have a ruptured ectopic from those who do
not. However, beta-hCG over 1500 mIU was the best variable in explaining the
variation between those who would or would not go on to rupture after their ED
visit.
PMID- 21887031
TI - A 31-year-old female with fever and back pain.
AB - Primary pyomyositis is a suppurative infection of striated muscle, the diagnosis
of which is overlooked or delayed due to its rarity and vague clinical
presentation. Though rare in the United States and temperate zones, pyomyositis
is more frequently reported from tropical countries. The exact pathogenesis of
pyomyositis is uncertain in most cases. The disease progresses through three
stages with characteristic features and require a high index of suspicion to
institute stage-wise treatment. Newer imaging methods, particularly magnetic
resonance imaging, have facilitated the accurate diagnosis of the infection and
of the extent of involvement. Early recognition with appropriate antibiotics in
the pre-suppurative stage and prompt surgical intervention in the late stages
form the corner stone of treatment. Delay in diagnosis can result in increased
morbidity and mortality, especially in diabetics and immunocompromised state.
Here, we report a case of primary paraspinal pyomyositis in a middle-aged female
and emphasize the importance of early diagnosis and treatment.
PMID- 21887030
TI - Managing aluminum phosphide poisonings.
AB - Aluminum phosphide (AlP) is a cheap, effective and commonly used pesticide.
However, unfortunately, it is now one of the most common causes of poisoning
among agricultural pesticides. It liberates lethal phosphine gas when it comes in
contact either with atmospheric moisture or with hydrochloric acid in the
stomach. The mechanism of toxicity includes cellular hypoxia due to the effect on
mitochondria, inhibition of cytochrome C oxidase and formation of highly reactive
hydroxyl radicals. The signs and symptoms are nonspecific and instantaneous. The
toxicity of AlP particularly affects the cardiac and vascular tissues, which
manifest as profound and refractory hypotension, congestive heart failure and
electrocardiographic abnormalities. The diagnosis of AlP usually depends on
clinical suspicion or history, but can be made easily by the simple silver
nitrate test on gastric content or on breath. Due to no known specific antidote,
management remains primarily supportive care. Early arrival, resuscitation,
diagnosis, decrease the exposure of poison (by gastric lavage with KMnO(4),
coconut oil), intensive monitoring and supportive therapy may result in good
outcome. Prompt and adequate cardiovascular support is important and core in the
management to attain adequate tissue perfusion, oxygenation and physiologic
metabolic milieu compatible with life until the tissue poison levels are reduced
and spontaneous circulation is restored. In most of the studies, poor prognostic
factors were presence of acidosis and shock. The overall outcome improved in the
last decade due to better and advanced intensive care management.
PMID- 21887032
TI - Caring for cerebral venous sinus thrombosis in children.
AB - Cerebral venous sinus thrombosis in children is increasingly recognized as
diagnostic tools and clinical awareness has improved. It is a multifactorial
disease where prothrombotic risk factors and predisposing clinical conditions
usually in combination constitute the underlying etiology. Clinical features
range from headache, seizures to comatose state. Although symptomatic treatment
involving control of infections, seizures and intracranial hypertension is
uniform, use of anticoagulation and local thrombolytic therapy is still
controversial. Morbidity and mortality can be significant and long-term
neurological sequelae include developmental delay, sensorimotor and visual
deficits and epilepsy.
PMID- 21887033
TI - Management of penetrating brain injury.
AB - Penetrating brain injury (PBI), though less prevalent than closed head trauma,
carries a worse prognosis. The publication of Guidelines for the Management of
Penetrating Brain Injury in 2001, attempted to standardize the management of PBI.
This paper provides a precise and updated account of the medical and surgical
management of these unique injuries which still present a significant challenge
to practicing neurosurgeons worldwide. The management algorithms presented in
this document are based on Guidelines for the Management of Penetrating Brain
Injury and the recommendations are from literature published after 2001. Optimum
management of PBI requires adequate comprehension of mechanism and
pathophysiology of injury. Based on current evidence, we recommend computed
tomography scanning as the neuroradiologic modality of choice for PBI patients.
Cerebral angiography is recommended in patients with PBI, where there is a high
suspicion of vascular injury. It is still debatable whether craniectomy or
craniotomy is the best approach in PBI patients. The recent trend is toward a
less aggressive debridement of deep-seated bone and missile fragments and a more
aggressive antibiotic prophylaxis in an effort to improve outcomes. Cerebrospinal
fluid (CSF) leaks are common in PBI patients and surgical correction is
recommended for those which do not close spontaneously or are refractory to CSF
diversion through a ventricular or lumbar drain. The risk of post-traumatic
epilepsy after PBI is high, and therefore, the use of prophylactic
anticonvulsants is recommended. Advanced age, suicide attempts, associated
coagulopathy, Glasgow coma scale score of 3 with bilaterally fixed and dilated
pupils, and high initial intracranial pressure have been correlated with worse
outcomes in PBI patients.
PMID- 21887035
TI - Infantile trauma due to a rat bite.
AB - We present two cases of extreme neglect with injuries. These are perfect examples
of gender bias. Our first case is a 20-day-old female neonate was brought to the
pediatric emergency department with multiple rat bites to the face. A 9-month-old
female infant was brought to the emergency care division with multiple rat bites
on the eyes and upper extremities. These cases point towards the existing gender
bias and extreme social neglect of females in the Indian society.
PMID- 21887034
TI - Pediatric head trauma.
AB - Head injury in children accounts for a large number of emergency department
visits and hospital admissions. Falls are the most common type of injury,
followed by motor-vehicle-related accidents. In the present study, we discuss the
evaluation, neuroimaging and management of children with head trauma.
Furthermore, we present the specific characteristics of each type of pediatric
head injury.
PMID- 21887036
TI - Bitemporal compression injury to the head.
AB - Most cranio-cerebral injuries seen in the emergency department are caused by
acceleration-deceleration or a direct impact mechanism. Compression or crush
injury to the head is less commonly seen. A crush injury occurs when a body part
is subjected a degree of force or pressure, usually after being trapped between
two heavy objects or hard surfaces. We describe here a case of accidental
bitemporal and facial compression injury in a young lady.
PMID- 21887037
TI - Nasal leech infestation causing persistent epistaxis.
AB - Foreign bodies in the nasal cavity are commonly encountered as a cause of
epistaxis; however, nasal leech infestation as a cause of unilateral persistent
epistaxis is very rare. Examination of nasal cavity revealed fleshy material in
the left nostril, which was identified as leech. The leech was removed with the
help of an artery forceps following irrigation of the left nostril with normal
saline and adopting wait-and-watch policy. In developing countries, leech
infestation as a cause of epistaxis should be suspected in patients with lower
socioeconomic status or in those living in rural areas who give history of
drinking polluted water from, or bathing in, stagnant ponds and puddles.
PMID- 21887039
TI - A rare complication of laparoscopic surgery.
AB - Gallstone disease is one of the most common problems affecting the digestive
tract. Symptomatic patients are advised to undergo laparoscopic cholecystectomy
(LC), which is considered the gold standard of care in these patients. LC has
clear advantages over traditional surgery such as a shorter hospital stay, an
earlier return to work and better patient satisfaction. Despite LC being a common
surgical procedure, it is not totally free from complications. These include
cardiorespiratory problems, biliary leakage, peritonitis, hemorrhage and superior
mesenteric artery (SMA) occlusion. We report an unusual and fatal complication of
LC, being SMA thrombosis complicated by multiple intra-abdominal collections,
abdominal compartment syndrome, multiorgan failure and septic shock.
PMID- 21887038
TI - Management of paroxysmal hypertension due to incidental pheochromocytoma in
pregnancy.
AB - A 25-year-old, full-term pregnant woman diagnosed with pre-eclampsia was referred
to our tertiary care hospital with severe resistant hypertension. Her blood
pressure remained labile despite the usual medications, which led to the
suspicion of an underlying endocrinological problem. Further biochemical and
radiological investigations confirmed the diagnosis of pheochromocytoma. The
patient was invasively monitored and treated with alpha blockade, beta blocker,
and vasodilators. The primary goals for the management of pheochromocytoma in
pregnancy are early diagnosis, avoidance of a hypertensive crisis during
delivery, and definitive surgical treatment. This case illustrates that one needs
to be cautious when such a presentation of paroxysmal hypertension is present.
With a multidisciplinary team approach, proper planning, and adequate
preoperative medical management, pheochromocytoma in pregnancy can be managed
successfully.
PMID- 21887040
TI - Severe hypothermia in a patient with spinal cord injury without radiological
abnormality.
AB - We report a case of a 64-year-old caucasian male who was transported to the
emergency department (ED) after being found unconscious on the side of the road.
On arrival to the ED the patient went into ventricular fibrillation and advanced
cardiac life support was started at that time. Thirty minutes into the
resuscitation, after multiple rounds of code drugs and defibrillation attempts,
the patient was found to be severely hypothermic with a rectal temperature of
24.9 degrees C (76.9 degrees F). Through the use of passive and active re-warming
measures the patient's temperature increased enough to allow successful
cardioversion and stabilization. Within minutes of cardiac stabilization the
patient regained consciousness and was able to follow commands, but was found to
be paralyzed from the neck down. Subsequent CT scans revealed no acute fractures,
subluxations or acute spinal cord injury. This case represents the rare finding
of severe hypothermia secondary to occult spinal cord injury. Case report was
taken from case at Bayfront Hospital, St. Petersburg, Florida.
PMID- 21887041
TI - Surviving a delayed trans-diaphragmatic hepatic rupture complicated by an acute
superior vena cava and thoracic compartment syndromes.
AB - We describe the first reported survivor of a delayed trans-diaphragmatic hepatic
rupture complicated by acute superior vena cava (SVCS) and thoracic compartment
syndromes (TCS). A thirty one year old male was involved in a boating accident.
The patient was diagnosed with a grade IV liver laceration, which was initially
managed with both angio-embolization and open surgical repair. Exactly one month
from admission, the patient presented with an abrupt cardiac arrest, which was
further complicated by a SVCS and TCS. The SVCS was managed with bilateral
thoracostomies which revealed a delayed trans-diaphragmatic hepatic rupture into
the right chest cavity. The TCS was managed with a decompressive thoraco
abdominal incision. The patient survived and is now leading a normal life. Our
success was largely due to an integrated trauma system of physicians, nurses and
technicians that prompted the early recognition of two potentially life
threatening complications of a delayed trans-diaphragmatic hepatic rupture.
PMID- 21887042
TI - Utilization of alteplase in trauma victim with an open abdomen.
AB - Trauma victims with multisystem injuries are at risk for the development of deep
vein thrombosis and pulmonary embolus (PE). The use of thrombolytic therapy
remains very controversial and not well-documented in both the postsurgical and
trauma subset of patients. Major trauma, surgery or head injury have been noted
as absolute contraindications to thrombolysis in acute myocardial infarction. The
decision to utilize thrombolytic therapy cannot be algorithmic; it must be based
on the assessment findings for each individual patient. The risk to benefit ratio
should be the major consideration to ensure the best possible outcome is granted.
Treating injured patients experiencing high-risk PE causing an immediate threat
to life may necessitate forming a comparative view of the adverse events
associated with thrombolytic medications.
PMID- 21887043
TI - Adult necrotizing enterocolitis and non occlusive mesenteric ischemia.
AB - Adult necrotizing enterocolitis and non occlusive mesenteric ischemia are rare
causes of acute abdomen in adults. Accurate preoperative diagnosis is often
difficult in these cases. Here, four cases of massive bowel necrosis with varying
segments of small and large bowel involvement are described, all of whom
underwent surgery. These cases give an opportunity to review the literature on
such lethal diseases including non occlusive intestinal necrosis, neonatal
necrotizing enterocolitis and adult necrotizing enterocolitis. The similarities
and differences in etiology, pathophysiology, clinical and radiological findings
are discussed.
PMID- 21887044
TI - Organophosphate acetylcholine esterase inhibitor poisoning from a home-made
shampoo.
AB - Organophosphate acetylcholine esterase inhibitor poisoning is a major health
problem in children. We report an unusual cause of organophosphate acetylcholine
esterase inhibitor poisoning. Two children were admitted to the pediatric
intensive care unit due to organophosphate acetylcholine esterase inhibitor
poisoning after exposure from a home-made shampoo that was used for the treatment
of head lice. Owing to no obvious source of poisoning, the diagnosis of
organophosphate acetylcholine esterase inhibitor poisoning in one of these
patients was delayed. Both patients had an uneventful recovery. Organophosphate
acetylcholine esterase inhibitor poisoning from home-made shampoo is possible. In
cases where the mode of poisoning is unclear, direct questioning about the use of
home-made shampoo is warranted, in these cases the skin and particularly the
scalp should be rinsed thoroughly as soon as possible.
PMID- 21887045
TI - DORMEX-hydrogen cyanamide poisoning.
AB - Case reports of acute and chronic exposure to hydrogen cyanamide (DORMEX((r)))
have been reported but mainly as a result of occupational or accidental exposure
and without any mortality. We report a case of acute hydrogen cyanamide poisoning
in a young male due to suicidal intent. The patient was managed under intensive
care with all the standard protocols for detoxification. However, in spite of
aggressive management, patient could not be rescued. An extensive literature
search did not yield any similar case reports. Hence, we report this case to the
medical community to be aware of the entity.
PMID- 21887046
TI - Double-lumen endobronchial tube and alternatives in massive hemoptysis: How do
you want to save lives?
PMID- 21887047
TI - Atraumatic spontaneous rupture of the non-gravid uterus.
PMID- 21887049
TI - State of the Globe: The Immunological Quest for an HIV/AIDS Vaccine Continues.
PMID- 21887048
TI - The falciform ligament sign of pneumoperitoneum.
PMID- 21887050
TI - Extended-spectrum Beta-lactamase Orthopedic Wound Infections in Nigeria.
AB - BACKGROUND: Extended-spectrum beta-lactamase (ESBL)-producing Gram-negative
bacteria are emerging and impacting significantly on the management of patients
and hospital costs. Besides, they are not being routinely sought after in
diagnostic laboratories thus contributing to treatment failure. MATERIALS AND
METHODS: Bacterial isolates from wounds of 45 patients were identified using
commercial identification kits and antibiotic susceptibility was evaluated by the
Bauer-Kirby method. Screening and phenotypic confirmation of ESBL production were
done as prescribed by the Clinical and Laboratory Standards Institute. The
conjugation experiment was performed by the mating assay in broth between the
ESBL producers and E. coli ATCC 25922 as the recipient. RESULTS: Out of 102 Gram
negative bacteria isolated, 36 were positive for ESBL mainly of the
Enterobacteriaceae family (33) and the rest were oxidase-positive bacilli (3).
The predominant bacteria were Klebsiella spp. and E. coli. Others were Serratia
rubidae, Citrobacter freundii, Morganella morgannii, Proteus spp., Providencia
stuartii, and Enterobacter spp. There was a significant association between
treatment with third-generation cephalosporins (3GCs) and isolation of ESBLs
(P=0.0020). The ESBL producers were multiply resistant and moderately sensitive
to colistin. The conjugation experiment showed that the ESBL gene was transferred
horizontally and tetracycline, cotrimoxazole, nitrofurantoin, gentamicin, and
aztreonam resistance genes were co-transferred. No mortality was recorded but the
mean length of stay in the hospital was 82 days. CONCLUSION: The development and
spread of ESBL among Gram-negative bacteria and possible horizontal transfer
calls for concern, especially in view of treatment failure, high treatment cost,
and consequent discomfort to patients.
PMID- 21887051
TI - Acute Chlamydia trachomatis respiratory infection in infants.
AB - OBJECTIVES: This designed was designed to estimate the prevalence of Chlamydia
trachomatis infection in infants of up to 6 months of age and to assess clinical
and laboratory indicators as predictors of Chlamydia etiology. MATERIALS AND
METHODS: A hospital-based study was conducted in Department of Pediatrics,
Maharani Laxmi Bai Medical College, Jhansi, Uttar Pradesh, India, where infants
up to 6 months of age (n=50) with features of lower respiratory tract infection
of at least 1 week duration and fulfilling the inclusion criteria were assessed
clinically and underwent laboratory investigations using hemogram, Chest X-ray,
and IgM ELISA. RESULTS: Out of 50 infants, 12 (24%) were tested positive by IgM
ELISA test for C. trachomatis infection. In age group of up to 2 months 25%
positivity was seen whereas it was found to be 31.81% in age group of 2-4 months
and 15% in age group 4-6 months. With the 'P' value less than 0.05, it was found
that there may be an association of seropositivity of C. trachomatis with
duration of cough and absolute eosinophil count. CONCLUSION: Chlamydia
trachomatis is an important cause of lower respiratory tract infection in infants
below six months of age. The prolonged duration of cough and increased absolute
eosinophil count may be good indicator of its etiology.
PMID- 21887052
TI - Clinical Profile of Chikungunya Patients during the Epidemic of 2007 in Kerala,
India.
AB - BACKGROUND: The association of the present Chikungunya pandemic with a mutation
in the Chik virus is already established in many parts of the world, including
Kerala. Kerala was one of the worst-affected states of India in the Chikungunya
epidemic of 2006-2007. It is important to discuss the clinical features of
patients affected by Chikungunya fever in the context of this change in the
epidemiology of the disease. AIM: This study tries to analyze the clinical
picture of the Chikungunya patients in Kerala during the epidemic of 2007.
SETTING AND DESIGN: A cross-sectional survey was carried out in five of the most
affected districts in Kerala, India. MATERIALS AND METHODS: A two-stage cluster
sampling technique was used to collect the information. Ten clusters each were
selected from all the five districts, and the size of the clusters were 18 houses
each. A structured interview schedule was used for data collection. Diagnosis
based on clinical signs and symptoms was the major case-finding strategy. RESULTS
AND CONCLUSION: Of the 3623 residents in the surveyed households, 1913 (52.8%)
had Chikungunya clinically. Most of the affected were in the adult age group
(73.4%). Swelling of the joints was seen in 69.9% of the patients, followed by
headache (64.1%) and itching (50.3%). The knee joint was the most common joint
affected (52%). The number of patients with persistence of any of the symptoms
even after 1 month of illness was 1388 (72.6%). Taking bed rest till the relief
of joint pain was found to be a protective factor for the persistence of the
symptoms. Recurrence of symptoms with a period of disease-free interval was
complained by 669 (35.0%) people. Older age (>40 years), a presentation of high
grade fever with shivering, involvement of the small joints of the hand, presence
of rashes or joint swelling during the first week of fever and fever lasting for
more than 1 week were the significant risk factors for recurrence of symptoms
predicted by a binary logistic regression model. In conclusion, we found that
there is substantial acute and chronic morbidity associated with the Chikungunya
epidemic of 2007.
PMID- 21887053
TI - Impact of basic lymphedema management and antifilarial treatment on acute
dermatolymphangioadenitis episodes and filarial antigenaemia.
AB - BACKGROUND: A major factor in the progression of lymphedema is acute
dermatolymphangioadenitis (ADLA). AIMS: To study ADLA episodes and antigenaemia
in patients with different grades of filarial lymphedema at pre- and two years
post-treatment. SETTING AND DESIGN: A prospectively conducted study from May 2008
through May 2010. PATIENTS AND METHODS: Forty five patients complaining of limb
swelling with present or past history of limb redness suggestive of ADLA attacks
were included. Patients were clinically examined for lymphedema grading,
detection of potential entry points and diagnosis of microfilaraemia. Wuchereria
bancrofti antigen titer was estimated by "Trop-Ag W. Bancrofti" ELISA kit. Basic
lymphedema management and treatment with antifilarial drugs were applied.
STATISTICAL ANALYSIS: Mann-Whitney test and Chi-square test were used. RESULTS:
The number of ADLA attacks in the pretreatment period, ranged from one to three
per year. Mean duration of the attacks was 3.87+/-0.79 days. Entry points were
detected in 82% of cases. The study revealed statistical significance between
extension and grade of lymphedema and number of ADLA attacks per year (P=0.018
and 0.022, respectively). Microfilaraemia was detected in four cases and positive
filarial antigenaemia were detected in 29 patients (64.4). The number of ADLA
attacks per year significantly decreased from the pre-treatment period (mean:
2.05+/-0.560) to be 1.23+/-0.706 after one year and 0.89+/-0.575 after two years
post treatment. There was a significant decrease in the mean antigen titer one
year and two years after treatment. CONCLUSION: Basic lymphedema management is
effective for controlling ADLA attacks in areas where lymphatic filariasis is
endemic.
PMID- 21887054
TI - Development of ASSURE Dengue IgA Rapid Test for the Detection of Anti-dengue IgA
from Dengue Infected Patients.
AB - BACKGROUND: Rapid and early dengue diagnosis is essential for patient management
and early disease intervention. MP Diagnostics ASSURE((r)) Dengue IgA Rapid Test
(Dengue IgA RT) was developed for the rapid detection of anti-dengue IgA in
patients' biological samples. The performance of Dengue IgA RT was examined using
multiple categories of well-characterized samples. MATERIALS AND METHODS: Dengue
IgA RT was designed and developed. Following characterization of samples by
reference ELISAs, the performance of the kit was evaluated. RESULTS: The overall
sensitivity and specificity of Dengue IgA RT were 86.70% (n=233) and 86.05%
(n=681) respectively; in which Dengue IgA RT detected 77.42% primary and 92.86%
secondary cases; compared to 70.97% and 72.14% by IgM-Cap ELISA and 89.25% and
20% by Non-Structural Protein 1 (NS1) Ag ELISA respectively. Using 125 paired
samples, Dengue IgA RT showed 84.80% sensitivity at acute phase and 99.20%
sensitivity at convalescent phase; with 92% specificity at both phases. Dengue
IgA RT also demonstrated a consistent performance (sensitivity: 85.53%,
specificity: 80%) with 76 whole blood samples. In detecting all four serotypes of
DENV (n=162), the performance of Dengue IgA RT was comparable with in-house IgM
Cap ELISA. Kinetics of anti-dengue IgA production was elucidated with 42.86%
detection level as early as one-two days after fever onset, which increased to
83.33% between five and seven days after fever onset. CONCLUSION: Dengue IgA RT
demonstrated a good performance and is applicable as one of the dengue early
diagnostic tools at all levels of health care system.
PMID- 21887055
TI - Anti-infective Potential of Hot-spring Bacteria.
AB - AIM AND BACKGROUND: Antibiotic resistance currently spans most of the known
classes of natural and synthetic antibiotics; limiting our options for treatment
of infections and demanding discovery of new classes of antibiotics. Much effort
is being directed towards developing new antibiotics to overcome this problem.
Success in getting novel chemical entities from microbial sources depends
essentially on novelty of its habitat. The diversity of geographical location
decides the type of micro-flora. In the past various terrestrial and aqueous
microorganisms have provided several novel bioactive secondary metabolites of
pharmaceutical importance. Hot-springs have not been as extensively exploited as
other terrestrial resources. However, perseverance with such microbes augment the
probability of getting novel bioactive compounds. MATERIALS AND METHODS: Hot
springs soil samples were collected from Hot-springs in Maharashtra.
Actinomycetes and other eubacteria were isolated from these soil samples by
selective methods and purified. They were classified based on gram's nature and
morphology. Six representative morphological strains were screened for their anti
infective potential by agar well diffusion method as reported by Nathan P. et al
(1974). The bioactivity of the active microbes was confirmed. RESULTS: Seventy
three strains of bacteria encompassing eight actinomycetes, and 65 eubacteria
were isolated and purified. Among the actives eubacteria PPVWK106001 showed broad
spectrum antibacterial activity encompassing both gram positive and gram negative
bacterial test models. The extract was active against resistant bacteria such as
MRSA and VREs. Activity was very specific as there was no activity against fungi
even at 100 fold concentration. The active principle was extractable in butanol.
CONCLUSIONS: The study showed that Hot-springs exhibit diverse bacteria and it
serves as potential reservoirs for bacteria of antimicrobial importance with
diverse facet of activities. Thus Hot-springs microbes have ability to address
issue of resistant bugs.
PMID- 21887056
TI - T-cell Epitopes Identified by BALB/c Mice Immunized with Vaccinia Expressing HIV
1 Gag lie within immunodominant Regions Recognized by HIV-infected Indian
Patients.
AB - BACKGROUND: Human immunodeficiency virus (HIV) antigens from transmitted strains
of HIV would prove crucial in vaccine designing for prevention of HIV infection.
Immune response generated by Vaccinia construct expressing the HIV-1 gag gene
from transmitted Indian HIV-1 subtype C strain (Vgag) in BALB/c mice is reported
in the present study along with the identification of epitopes responsible for
induction of the immune response. AIMS: The aim of this study was to determine
immune response generated by the constructs in a mouse model and to understand
the epitope specificities of the response. SETTINGS AND DESIGN: This was an
observational study carried out in BALB/c mice. MATERIALS AND METHODS: The
immunogenecity of Vgag construct was evaluated in BALB/c mice after multiple
immunizations. T-cell response was monitored by the interferon-gamma ELISPOT
assay using HIV-1 C Gag overlapping peptides and anti-P24 antibodies were
estimated by ELISA. STATISTICAL ANALYSIS USED: Graphpad prism software was used
for statistical analysis and for plotting graphs. RESULTS: IFN-gamma-secreting T
cells and antibodies were detected against HIV Gag in mice after immunization.
Although after repeated immunizations, antibody-mediated immune response
increased or remained sustained, the magnitude of IFN-gamma-secreting T cell was
found to be decreased over time. The Gag peptides recognized by mice were mainly
confined to the P24 region and had a considerable overlap with earlier reported
immunodominant regions recognized by HIV-infected Indian patients. CONCLUSION:
Vaccinia construct with a gag gene from transmitted HIV-1 virus was found to be
immunogenic. The Gag regions identified by mice could have important implications
in terms of future HIV vaccine designing.
PMID- 21887057
TI - Minimal Inhibitory Concentration of Ceftazidime and Co-trimoxazole for
Stenotrophomonas Maltophilia using E-test.
AB - BACKGROUND: Stenotrophomonas maltophilia, previously named as Pseudomonas or
Xanthomonas maltophilia, is an important nosocomial pathogen AIM: The purpose of
the present study was to investigate the prevalence of S. maltophilia in Iranian
hospitals and its susceptibility to available antimicrobial agents. SETTING AND
DESIGN: A cross-sectional study in Imam Khomeini Hospital affiliated to Tehran
University of Medical Sciences. MATERIALS AND METHODS: All blood specimens were
sent to the laboratory for blood culture and biochemical analysis. One hundred
samples were positive for S. maltophilia. We used disk diffusion and E-test in
order to determine minimal inhibitory concentration (MIC) of ceftazidime and co
trimoxazole as the first line antibiotics for S. maltophilia. The tests were
performed and interpreted according to the guidelines of Clinical Laboratory
Standards Institute (CLSI). STATISTICAL ANALYSIS: Chi-square test and Kappa
measurement of agreement were applied as appropriate. RESULTS: S. maltophilia was
the most frequent pathogen (895 specimens; 38.9%) isolated from the samples which
were mostly from emergency ward (780 specimens; 33.9%). Ceftazidime MIC(50) and
MIC(90) were 2 and 32 MUg/ml, respectively (sensitive <=8 MUg/ml and resistant
>=32 MUg/ml according to CLSI guideline). MIC(50) and MIC(90) for co-trimoxazole
were 0.5 and 2 MUg/ml, respectively (sensitive <=2 MUg/ml and resistant >=4
MUg/ml according to CLSI guideline). CONCLUSION: S. maltophilia is the most
frequent pathogen in our hospital with a high susceptibility to both ceftazidime
and co-trimoxazole.
PMID- 21887058
TI - Evaluation of Aro-Tal-AST Complex Protein as a Marker for Differential Diagnosis
of Mycobacterium Avium Infection.
AB - PURPOSE: Conventional diagnostic techniques for detecting Mycobacterium avium
infection are far from satisfactory. As serodiagnostic tests for M. avium
infection have been shown to be simple and rapid, the present study was carried
out to identify and evaluate M. avium secretory protein(s) of diagnostic
potential. MATERIALS AND METHODS: Initially, by differential immunoblotting, a
specific protein band of 45-50 kDa was recognized. Anion exchange column
chromatography was used for purification of proteins. After fractionation, blast
search was carried out. Further immunoreactivity studies were done with M. avium
and Mycobacterium tuberculosis infected mice sera. Clinical utilization was
confirmed by conducting indirect enzyme-linked immunosorbent assay (ELISA) with
serum samples from mycobacterial infected patients. RESULTS: A complex of three
proteins (Aro-Tal-AST) of molecular weight ~48 kDa, shown to be Aro A homologue
(Aro), transaldolase (Tal) and aspartate transaminase (AST) by blast search was
separated. Immunoreactivity studies of purified complex protein with mice sera
confirmed it to be specific for M. avium infection. Indirect ELISA with patient
samples further confirmed it to be M. avium infection specific. CONCLUSION: Aro
Tal-AST protein is specifically recognized by patients infected with M. avium and
can be used as a marker for simple and rapid ELISA based tests for differential
diagnosis of M. avium infection in patients with M. avium complex (MAC).
PMID- 21887059
TI - Comparing absolute lymphocyte count to total lymphocyte count, as a CD4 T cell
surrogate, to initiate antiretroviral therapy.
AB - BACKGROUND: The high cost of CD4 count estimation in resource-limited settings is
a major obstacle in initiating patients on highly active antiretroviral therapy
(HAART). Thus, there is a need to evaluate other less expensive surrogate markers
like total lymphocyte count (TLC) and absolute lymphocyte count (ALC).
OBJECTIVES: To evaluate the correlation of TLC and ALC to CD4 count. To determine
a range of TLC and ALC cut-offs for initiating HAART in HIV-infected patients in
resource-limited settings. MATERIALS AND METHODS: In a prospective observational
cohort study of 108 ART-naive HIV-positive patients, Spearman correlation between
ALC and CD4 cell count, and TLC and CD4 cell count were assessed. Sensitivity,
specificity, positive and negative predictive values of various ALC and TLC cut
offs were computed for CD4 count <200 cells/cu.mm. RESULTS: Good correlation was
noted between ALC and CD4 (r=0.5604) and TLC and CD4 (r=0.3497). ALC of 1400
cells/cu.mm had a sensitivity of 71.08% and specificity of 78.26% for predicting
CD4 cell counts less than 200 cells/cu.mm. Similarly, TLC of 1200 cells/cu.mm had
a sensitivity of 63.41% and specificity of 69.57%. CONCLUSION: Either ALC or TLC
may be helpful in deciding when to initiate antiretroviral therapy in resource
poor settings, though ALC is better than TLC as a surrogate for CD4 counts.
PMID- 21887060
TI - HIV, Hepatitis B, and Hepatitis C in Zambia.
AB - OBJECTIVES: Epidemiologic data of HIV and viral hepatitis coinfection are needed
in sub-Saharan Africa to guide health policy for hepatitis screening and
optimized antiretroviral therapy (ART). MATERIALS AND METHODS: We screened 323
HIV-infected, ART-eligible adults for hepatitis B surface antigen (HBsAg) and
hepatitis C antibody (HCV Ab) at a tertiary hospital in Lusaka, Zambia. We
collected basic demographic, medical, and laboratory data to determine predictors
for coinfection. RESULTS: Of 323 enrolled patients, 32 (9.9%; 95% CI=6.7-13.2%)
were HBsAg positive, while 4 (1.2%; 95% CI=0.03-2.4%) were HCV Ab positive.
Patients with hepatitis B coinfection were more likely to be <40 years (84.4% vs.
61.4%; P=0.01) when compared to those who were not coinfected. Patients with
active hepatitis B were more likely to have mild to moderately elevated AST/ALT
(40-199 IU/L, 15.8% vs. 5.4%; P=0.003). Highly elevated liver enzymes (>200 IU/L)
was uncommon and did not differ between the two groups (3.4% vs. 2.3%; P=0.5). We
were unable to determine predictors of hepatitis C infection due to the low
prevalence of disease. CONCLUSIONS: HIV and hepatitis B coinfection was common
among patients initiating ART at this tertiary care facility. Routine screening
for hepatitis B should be considered for HIV-infected persons in southern Africa.
PMID- 21887061
TI - Dermatology and HIV/AIDS in Africa.
AB - Human immunodeficiency virus and the acquired immunodeficiency syndrome
(HIV/AIDS) have greatly complicated dermatologic disease and the required care in
most regions of Africa. Opportunistic infections, ectoparasites, Kaposi sarcoma,
and skin manifestations of systemic infections are exceedingly common in patients
with HIV/AIDS. Dermatologists have contributed significantly to our knowledge
base about HIV/AIDS and have played an important educational role regarding the
clinical manifestations historically. Because of the increased burden of skin
disease in Africa due to the HIV/AIDS epidemic we must redouble our efforts to
provide dermatology education to care providers in Africa. We review the burden
of skin disease in Africa, how it relates to HIV/AIDS and global infectious
disease, current educational strategies in Africa to address this need, and
suggest potential solutions to move these efforts forward.
PMID- 21887062
TI - Toxoplasmosis: a global threat.
AB - Toxoplasmosis, a disease described worldwide, which is caused by the protozoan
Toxoplasma gondii, commonly involves the retina. The disease has a higher impact
in immunocompromised individuals and in congenital infection because of the
severity of central nervous system involvement. Although simple prophylactic
measures could reduce transmission, T. gondii seroprevalence is still high,
especially in South America. Educational campaigns and the development of new
drugs to prevent primary infection could potentially reduce the burden of the
disease.
PMID- 21887063
TI - An update on crimean congo hemorrhagic Fever.
AB - Crimean Congo hemorrhagic fever (CCHF) is one of the deadly hemorrhagic fevers
that are endemic in Africa, Asia, Eastern Europe, and the Middle East. It is a
tick-borne zoonotic viral disease caused by CCHF virus of genus Nairovirus
(family Bunyaviridae). CCHF not only forms an important public health threat but
has a significant effect on the healthcare personnel, especially in resource-poor
countries. India was always a potentially endemic area until an outbreak hit
parts of Gujarat, taking four lives including the treating medical team. The
current review is an attempt to summarize the updated knowledge on the disease
particularly in modern era, with special emphasis on nosocomial infections. The
knowledge about the disease may help answer certain questions regarding entry of
virus in India and future threat to community.
PMID- 21887064
TI - Acute pulmonary schistosomiasis.
AB - Acute pulmonary schistosomiasis affects non-immune individuals returning from
endemic areas. Pathogenesis is not well understood. We report a case of acute
pulmonary schistosomiasis in which lung biopsy was done 10 weeks after exposure
and it identified the presence of schistosomal ovum surrounded by granuloma.
PMID- 21887066
TI - Vibrio vulnificus Diarrhea in a Child with Respiratory Infection.
AB - Vibrio vulnificus is a rare cause of disease and it is often unrecognized and
underreported. It is a lactose-fermenting, halophilic vibrio causing severe
disease in immunocompromised patients, but causing a mild type of gastroenteritis
in healthy people, usually associated with consumption of seafood. We report here
a case of diarrhea due to V. vulnificus in a male child who was admitted for
fever, loose motions and productive cough. There was no history of consumption of
any seafood; so, the source of infection could not be traced. As V. vulnificus is
a rare entity, clinicians should have a high index of suspicion for the bacteria,
when patients present with gastrointestinal illness, fever or shock, with or
without ingestion of raw seafood. Pediatricians should also be alert as the
bacterium causes a potentially fatal disease in children.
PMID- 21887065
TI - Bilateral parotid tuberculosis.
AB - Tuberculosis of parotid is a rare clinical entity, and cases of bilateral
tubercular parotitis are even rarer. We present a case of bilateral primary
parotid tuberculosis in a 49-year-old female. The patient received anti
tuberculosis treatment for six months, resulting in complete resolution of the
disease. We also review the theories related to the pathogenesis of tubercular
parotitis, and propose a novel hypothesis about greater involvement of parotid
gland as compared to other salivary glands in primary tuberculosis.
PMID- 21887067
TI - Empyema caused by eikenella corrodens.
AB - Eikenella corrodens is a fastidious, facultative anerobic, non-motile, gram
negative bacilli that is part of the normal flora of the mouth and upper
respiratory tract. It is being increasingly recognized as a human pathogen and
has been implicated in a variety of human infections, including, periodontitis,
brain abscess, endocarditis, osteomyelitis, intra-abdominal infections, and
pleuropulmonary infections. We report, for the first time, from the Himalayan
Kingdom of Nepal, a case of left-sided empyema due to Eikenella corrodens, in an
83-year-old man. Eikenella corrodens was isolated as a pure growth from the
pleural aspirate, proving its pathogenic potential. Surgical drainage and an
appropriate antimicrobial therapy resulted in a therapeutic response. We have
discussed the difficulties that can be encountered in isolating Eikenella
corrodens and in choosing appropriate antibiotics for its treatment.
PMID- 21887068
TI - Imaging findings of disseminated cysticercosis with unusual involvement of spleen
and pancreas.
AB - In this study, we present a case of disseminated cysticercosis involving the
brain, orbit, myocardium, muscle, subcutaneous tissues, pancreas, and spleen.
Imaging studies are described with emphasis on pancreatic and splenic involvement
which is a rare manifestation of a rather common disease and has been
radiologically demonstrated only once previously. Although the involvement of the
pancreas by parasites leading to pancreatitis has been described previously, in
our case there was no clinical or biochemical evidence of pancreatitis due to
infection by cysticerci.
PMID- 21887069
TI - A Rare Case of Nosocomial Urinary Tract Infection due to Trichosporon asahii.
PMID- 21887070
TI - The new delhi metallo-Beta-lactamases: their origins and implication for the
intensivist.
PMID- 21887071
TI - "Air - bubble" sign - an uncommon presentation of a common disease.
PMID- 21887072
TI - Polymicrobial Sepsis in an Immunocompetent Host due to Self Injection of Urine.
PMID- 21887073
TI - Blindness in iraq: leading causes, target patients, and barriers to treatment.
AB - PURPOSE: To define the main causes of blindness, demographic characteristics and
barriers to care of blind patients attending a teaching eye hospital in Iraq.
MATERIAL AND METHOD: Successive new patients, 6 years of age and older, who
attended three outpatients clinics at Ibn Al-Haetham Teaching Eye Hospital
(IAHTEH), Baghdad, Iraq, from September 1 to November 30, 2007, were included in
this study. Inclusion criterion was fulfillment of the World Health
Organization's definition of blindness. The cause of blindness was identified and
subjects were interviewed for collection of data on demographic characteristics
and barriers to treatment. RESULTS: Of 18612 consecutive patients who attended
the outpatient clinics, 497 (2.7%) patients were blind. Cataract (76.1%),
diabetic retinopathy (12.9%), and glaucoma (5%) were the leading causes of
blindness. The majority of blind patients had low socioeconomic status and poor
educational level. In cases of cataract, the most important barrier to treatment
was the waiting list at the hospital (53.7%). A lack of awareness was the most
important barrier to treatment for patients with diabetic retinopathy (54.7%) and
glaucoma (56%). CONCLUSIONS: The preliminary data from our study will aid in the
development of blindness prevention programs in Iraq. Priorities include
decreasing waiting lists for cataract surgeries at governmental hospitals. Active
health promotion programs for early detection and treatment of diabetic
retinopathy and glaucoma are also warranted.
PMID- 21887074
TI - Knowledge of Primary Prevention of Diabetic Retinopathy among General
Ophthalmologists, Mid Level Eye Care Personnel and General Physicians in Oman.
AB - OBJECTIVE: We present the outcomes of knowledge of diabetes and associated ocular
complications among personnel comprising the eye care team in Oman. MATERIALS AND
METHODS: A closed ended questionnaire was administered during November 2008 and
November 2009 to eye care team members in six regions of Oman, where trainings
were held. All participants of these trainings were included in our study. The
questionnaire comprised 15 questions that tested the knowledge of the diagnosis
and treatment of diabetes and its ocular complications. They circled the most
suitable reply for a list of choices. The replies were compared with the gold
standard (answers from a medical retina specialist, a diabetologist's and general
ophthalmologists answers). The participants were divided into two groups;
acceptable (more than 50% score compared to gold standard) and less than desired
(less than 50% score compared to gold standard.) We estimated the areas of
acceptable level of knowledge in different subgroups. RESULTS: All 87 (100%) of
eye care team members participated. Of the 42 general ophthalmologists, 30
(71.4%) had an acceptable level of knowledge about primary prevention, ideal
blood sugar and blood pressure levels and complication of diabetes. The
acceptable level of knowledge among mid level eye care providers and general
physicians was found in 15 (54.5%) and 4 (33.3%) respondents respectively.
CONCLUSION: Less than the desired number of participants of the eye care team had
an acceptable level of knowledge about primary prevention, ideal blood sugar and
blood pressure levels and complications of diabetes. The training of eye care
personnel need to enhance knowledge in the weak areas is identified in this
study.
PMID- 21887075
TI - Adopting Western Retinopathy of Prematurity Screening Programs in Eastern
Countries, are we Screening Properly?
AB - PURPOSE: To describe retinopathy of prematurity (ROP) among Jordanian preterm
infants and evaluate the efficacy of applying current Western screening
guidelines for Jordanian preterms. MATERIALS AND METHODS: In this retrospective,
descriptive hospital-based study, we collected data on preterm infants who were
referred by their neonatologist for ROP screening at King Abdullah University
Hospital between July 2006 and June 2007. Guidelines suggested by the American
Academy of Pediatrics were followed. Additionally, older preterms considered at
risk for ROP by the neonatal intensive care unit were screened. Any neonate with
stage 1 ROP or higher in either eye was considered a positive case of ROP.
RESULTS: Ninety-one preterms were included in the study. The median birth weight
was 1390 gm (range,730-1980 gms) and the gestational age ranged from 26 to 35
weeks with 64.8% of preterms <= 32 weeks. ROP occurred in 28.6% of all patients,
in 20% of infants with birth weight greater than 1500 gms and in 9.4% of preterms
with gestational age >= 32 weeks. Six patients (6.6%) required laser treatment,
two with low birth weight greater than 1250 gm and one was 33 weeks of age.
Consanguinity was identified in 19.2% of infants with ROP while consanguinity in
infants who did not develop ROP was 1.9%. This difference was statistically
significant (P<0.05). CONCLUSION: ROP occurs in premature infants in Jordan with
gestational age above 32 weeks and birth weight above 1250 gm. Future guidelines
for screening should incorporate the current study outcomes. A prospective,
population-based is required to set national guidelines for ROP screening in the
Jordanian population and similarly for different populations worldwide.
Consanguinity may play a role in ROP development and further genetic studies may
aid in elucidating the pathogenesis of ROP.
PMID- 21887076
TI - Evaluation of modified retinopathy of prematurity screening guidelines using
birth weight as the sole inclusion criterion.
AB - PURPOSE: The purpose was to determine if birth weight (BW) alone can be the sole
criterion for screening infants at risk for retinopathy of prematurity (ROP).
MATERIALS AND METHODS: In this retrospective, observational case series, 208
infants were screened for ROP using the American Association for Pediatric
Ophthalmology and Strabismus (AAPOS) Guidelines (1997). Variables examined
included gestational age (GA), birth weight (BW), and a composite variable BWGA
Index [(grams * weeks)/1000], which takes into consideration both the birth
weight and gestational age of the infant. Infants were divided into two groups:
Group 1, BW <=1250 g, and Group 2, BW >1250 g. Multivariate analysis was
performed to detect factors predictive of ROP. Receiver operator characteristic
(ROC) curves were generated to determine the efficacy of screening using the BW,
GA, and BWGA Index. Statistical analyses were performed with logistic regression
with a P-value of 0.05 or less indicating statistical significance. RESULTS:
Varying stages of ROP were present in 116 of 416 eyes. Of the 105 eyes in Group
2, only 1 eye developed stage 1 ROP. Only Group 1 eyes developed stage 3 or
higher ROP. The ROC curve for BW alone gave an area under the curve (AUC) of
0.797 (standard error [SE] = 0.0329, P < 0.0001); for GA, AUC was 0.801 (SE =
0.0340, P < 0.0001) and for the BWGA Index, the AUC was 0.808 (SE = 0.0324, P <
0.0001). Using 1250-g BW as a criterion for ROP screening would have decreased
the number of screenings by 24%, and did not exclude any ROP higher than stage 1.
CONCLUSION: Data from our neonatal intensive care unit suggest that birth weight
<= 1250 g alone is an adequate parameter to identify premature infants at risk
for ROP.
PMID- 21887077
TI - Intravitreal bevacizumab as a primary treatment for idiopathic choroidal
neovascularization.
AB - PURPOSE: To report long-term outcomes of the use of intravitreal bevacizumab in
subjects with idiopathic choroidal neovascularization (ICNV). MATERIALS AND
METHODS: Six consecutive subjects with ICNV were included in this prospective
study. All subjects received 1.25 mg intravitreal bevacizumab at diagnosis. A
decrease in best corrected visual acuity (BCVA), presence of increased retinal
edema or hemorrhage, increased retinal thickness on optical coherence tomography
(OCT) or increased leakage documented by fluorescein angiography prompted further
injections of bevacizumab. RESULTS: The study cohort was comprised of 3 males and
3 females with a mean age of 31.17 years. Mean follow-up was 13.8 months (range,
8 months to 20 months). Following intravitreal bevacizumab injection, vision
improved in 3 subjects, remained stable in 3 subjects and no patient lost visual
acuity. The mean BCVA improved to logMAR 0.20 at final follow-up from baseline at
0.950 logMAR (P=0.031). The mean central macular thickness and central foveal
thickness at the last postoperative visits were reduced from pre-treatment levels
of 374.33 +/- 146.52 and 347.16 +/- 213.97 to 251.20+/-35.36 and 215.33 +/- 43.94
MUm, respectively. (P = 0.99 and P = 0.16, respectively). Four subjects required
repeat treatments. The total number of repeat treatments was 4. Two subjects
required no repeat injections, 3 subjects had 1 retreatment and one subject
required 2 additional treatments. The injections were well tolerated by all the
subjects, with no ocular or systemic adverse events. CONCLUSION: Intravitreal
injection of 1.25 mg bevacizumab in patients with ICNV is effective in improving
and stabilizing vision. Additional studies, particularly determination of optimal
protocol for timing of re-injection are required to assess long-term effects.
PMID- 21887078
TI - Retinal detachment after laser in situ keratomileusis.
AB - PURPOSE: To report characteristics and outcome of rhegmatogenous retinal
detachment (RRD) after laser in situ keratomileusis (LASIK) for myopia. MATERIALS
AND METHODS: A retrospective chart review of patients who presented with RRD
after myopic LASIK over a 10-year period. RESULTS: Fourteen eyes were identified
with RRD. Of these, two of 6112 LASIK procedures were from our center. The mean
age of patients with RRD was 35.43 years. The mean interval of RRD after LASIK
was 37.71 months (range, 4 months to 10 years). The macula was involved in eight
eyes and spared in six eyes. Retinal breaks included a macular hole in two eyes,
and giant tear in two eyes. Multiple breaks (>2 breaks) occurred in 6 cases. Pars
plana vitrectomy (PPV) was performed in 3 (21.4%) eyes, a scleral buckle (SB) was
performed in 4 (28.5%) eyes and 7 (50%) eyes underwent combined PPV and SB. Mean
follow-up was 15.18 months (range, 1 month to 7 years). The retina was
successfully attached in all cases. The final visual acuity was 20/40 or better
in 7 (50%) eyes, 20/40 to 20/60 in 4 (28.5%) eyes, and 20/200 or less in 3
(21.4%) eyes. Poor visual outcome was secondary to proliferative
vitreoretinopathy, epiretinal membrane, macular scar and amblyopia. CONCLUSION:
The prevalence of RRD after LASIK was low at our institute. Anatomical and visual
outcomes were acceptable in eyes that were managed promptly. Although there is no
cause-effect relationship between LASIK and RRD, a dilated fundus examination is
highly recommended before and after LASIK for myopia.
PMID- 21887079
TI - Antibiotic susceptibility patterns of pseudomonas corneal ulcers in contact lens
wearers.
AB - PURPOSE: To evaluate the resistance or susceptibility of Pseudomonas aeruginosa,
the most common pathogen in contact lens keratitis and corneal ulcer, to
different antibiotic regimens. MATERIALS AND METHODS: This cross-sectional study
included all patients with recently diagnosed contact lens corneal ulcer whose
culture results were positive for P. aeruginosa, from March 2009 to March 2010.
The empirical antibiotic therapy was changed to appropriate antibiotics according
to the culture results, provided that satisfactory clinical improvement was not
achieved with the initial antibiotic regimen. The overall sensitivity or
resistance of P. aeruginosa to the most commonly used antibiotics was assessed
based on the results of the antibiograms. RESULTS: Fifty-two patients (43 females
and 9 males) were included. Forty-five patients (86%) were wearing cosmetic
contact lenses, while 7 patients (14%) were using therapeutic contact lenses.
Thirty-nine patients (75%) were hospitalized and13 patients (25%) were followed
up through an outpatient clinic. Thirty patients (58%) had central ulcers,
whereas 22 patients (42%) had peripheral ulcers. Twelve patients (23%) had
hypopyon in their first exam. The mean time to diagnose the ulcer after the last
time wearing was 2 days (range: 12 hours to 5 days). AMT was required for 10
patients (19%). Based on the antibiograms, PA was shown to be sensitive in 100%
of cases to ceftazidime and ciprofloxacin. Amikacin, imipenem, and gentamicin
were the second most effective antibiotics. CONCLUSION: P. aeruginosa was highly
sensitive to ceftazidime, ciprofloxacin, and amikacin. All cases were resistant
to cefazolin. Resistance to multiple antibiotics might be a significant concern
in patients with corneal ulcers. In referral centers dealing with corneal ulcers,
the initial antibiotic regimens should be changed from time to time to prevent
this phenomenon.
PMID- 21887080
TI - Outcomes of Retreatment after Aborted Laser In Situ Keratomileusis due to Flap
Complications.
AB - PURPOSE: To determine the refractive outcomes and complications of retreatment
after aborted primary laser in situ keratomileusis (LASIK) due to flap
complications. MATERIALS AND METHODS: This retrospective study evaluated 50
retreated eyes that had flap complications during primary LASIK at the Eye
Consultants Center in Riyadh, Saudi Arabia. Data were analyzed for patients with
at least 3 months follow-up post retreatment. RESULTS: Thirty-three eyes of 31
consecutive patients with 3 months follow-up or later post retreatment were
included. The primary LASIK was aborted due to incomplete flaps in 22 eyes
(66.7%), buttonhole flaps in 7 eyes (21.2%), free partial flaps in 3 eyes (9.1%),
and a free complete flap in 1 eye (3.0%). Twenty-two eyes (66.7%) were retreated
with LASIK, and 11 eyes (33.3%) were retreated with surface ablation. The mean
spherical equivalent (SE) was -0.23 +/- 0.72 D, the mean astigmatism was -0.65 +/
0.89 D, and the mean loss of the best corrected visual acuity (BCVA) was 0.78
lines at the final postoperative visit. At the last postoperative visit, 20/30 or
better BCVA was achieved in 90.1% of eyes that underwent retreatment with LASIK
and in 91% of eyes that were retreated with surface ablation. There was no
statistical difference in postoperative SE between eyes retreated with LASIK and
eyes retreated with surface ablation (P = 0.610). There was no statistical
difference in postoperative BCVA between eyes retreated with LASIK and those
retreated with surface ablation (P = 0.756). There were no intraoperative
complications and no eyes required a second retreatment. CONCLUSION: Creation of
a flap after a previous intraoperative flap complication was not associated with
any complications. The refractive outcomes of retreatment with LASIK or surface
ablation were comparable and reasonably favorable.
PMID- 21887082
TI - Response of diabetic papillopathy to intravitreal bevacizumab.
AB - Diabetic papillopathy is an uncommon hyperemic optic disc swelling that occurs in
patients with long-standing diabetes, is typically self-resolving with minimal
effect on vision, and is likely ischemic in origin. The purpose of the current
report is to document the response of diabetic papillopathy to intravitreal
injection of bevacizumab (Avastin, Genentech Inc, San Francisco, California,
USA), a humanized monoclonal antibody to vascular endothelial growth factor.
PMID- 21887081
TI - Visual Outcomes of Conductive Keratoplasty to Treat Hyperopia and Astigmatism
After Laser in situ Keratomileusis and Photorefractive Keratectomy.
AB - AIM: To determine the effect of conductive keratoplasty (CK) for the treatment of
induced hyperopia and astigmatism after complicated myopic laser in situ
keratomileusis (LASIK) or photorefractive keratectomy (PRK). MATERIALS AND
METHODS: In this interventional case series, 11 eyes of seven subjects with a
history of previous LASIK or PRK with inadequate stromal bed or flaps
complications were enrolled. Inclusion criteria included residual spherical
hyperopia of 1.00 to 3.00 diopters (D) and cylinder of -0.75 to -3.00 D. The
modified Refractec nomogram and the LightTouch technique of CK were performed on
all eyes. To treat cylinder, a pair of spots per -0.75 D of cylinder were
delivered to the flat meridian. Uncorrected visual acuity at near and far (UCVAN
and UCVAF respectively, logMAR), best corrected VA at near and far (BCVAN and
BCVAF respectively, logMAR) were measured. Refractive outcome, contrast
sensitivity, wave front aberrations were measured preoperatively and
postoperatively. Statistical analysis was performed with the Wilcoxon signed rank
test and the repeated measures analysis of variance with P<0.05 indicating
statistically significant change from preoperatively to 1 year postoperatively.
RESULTS: The mean preoperative sphere (MS) was 2.57 +/- 1.19 D and cylinder (MC)
was -1.5 +/- 0.49 D. Postoperatively, there was a significant decrease in MS to
0.36+/-0.98 D (P=0.003) and MC to -1.25 +/- 0.76 D at 1 year (P<0.05, both
cases). Spherical equivalent (SE) significantly decreased from +2.13 +/- 1.09 D
to -0.47 +/- 1.29 D (P<0.001). The mean UCVAN significantly improved from 0.56 +/
0.32 preoperatively to 0.17 +/-0.16 postoperatively (P=0.003). The mean UCVAF
was 0.29 preoperatively and 0.22 postoperatively (P=0.353). Mean BCVAN was 0.18
and 0.02 after surgery, and mean BCVAF for far was 0.07 (P>0.05, both cases).
CONCLUSIONS: CK is a predictable and reliable method to correct hyperopia after
LASIK and PRK, however cylinder correction may induce irregular and unpredictable
outcomes and a modified nomogram is required for further studies.
PMID- 21887083
TI - Temporary Exudative Retinal Detachment following Photodynamic Therapy in a
Patient with Retinal Capillary Hemangioblastoma.
AB - A 21-year-old male with von Hippel-Lindau syndrome was treated for a retinal
hemangioblastoma with photodynamic therapy (PDT). We employed the standard PDT
protocol with doubled treatment duration. Seven days after the procedure, there
were some perilesional intra- and subretinal hemorrhages and significant
exudative retinal detachment extending inferiorly. Four weeks later, the
hemorrhages had mostly cleared, and diminished subretinal fluid and partial
regression of the hemangioblastoma were noted. PDT might induce temporary
subretinal fluid accumulation in eyes with retinal hemangiomas.
PMID- 21887084
TI - Acute papillitis in young female with toxoplasmosis.
AB - Papillitis and complicating acute toxoplasma retinochoroiditis, are unusual and
atypical features of toxoplasmosis. This report presents a female with unusual
acute papillitis. This patient had an active toxoplasmic chorioretinitis lesion
that appeared to involve the optic nerve head and a major blood vessel as well as
central nervous systems (CNS). Papillitis may be secondary to juxtapapillary
retinitis (Jensen choroiditis). Very rarely, the optic nerve head may be the
primary site of involvement. This case report illustrates a rare presentation of
acute papillitis in a young immunocompetent female.
PMID- 21887085
TI - Radial keratoneuritis as a presenting sign in acanthamoeba keratitis.
AB - The visual outcomes of Acanthamoeba keratitis, a rare cause of corneal infection,
can be devastating. This paper reports two contact lens wearers with severe pain
and photophobia who presented to the emergency room. Biomicroscopy revealed
radial keratoneuritis in both individuals. Tissue culture on a nonnutrient agar
plate with Escherichia coli overlay resulted in a heavy growth of Acanthamoeba.
The inpatient treatment included 0.02% polyhexamethylene biguanide,
chlorhexidine, neomycin/polymyxin B/bacitracin (Neosporin), and oral fluconazole,
which successfully controlled the corneal infection and improvement in the best
corrected visual acuity in both patients. Infection did not recur during the 12
month follow-up period. Acanthamoeba keratitis can present as radial
keratoneuritis, mimicking other common corneal infections resulting in diagnostic
and treatment delays. Early diagnosis and prudent treatment of Acanthamoeba
keratitis are the keys to restoring vision and avoiding the subsequent need for
penetrating keratoplasty.
PMID- 21887086
TI - Superior and inferior ophthalmic veins thrombosis with cavernous sinus
meningioma.
AB - Ophthalmic vein thrombosis is an extremely rare entity. We present a case of
middle-aged female who presented with proptosis. Contrast-enhanced computed
tomography and magnetic resonance imaging showed cavernous sinus meningioma with
ipsilateral superior and inferior vein thrombosis. A brief review of the vascular
involvement of the meningioma and ophthalmic vein thrombosis is presented along
with the case.
PMID- 21887087
TI - The association between early conduct problems and early marijuana use in college
students.
AB - Early conduct problems have been linked to early marijuana use in adolescence.
The present study examines this association in a sample of 1,076 college students
that was divided into three groups: 1) early marijuana users (began marijuana use
prior to age 15; n=126), 2) late marijuana users (began marijuana use at or after
age 15; n=607), and 3) non-users (never used marijuana; n=343). A conduct problem
inventory used in previous studies was adapted for use in the present study.
Early conduct problems were associated with early marijuana use but not with late
marijuana use, holding constant other risk factors. Results suggest that early
conduct problems are a risk factor for early marijuana use even among
academically-achieving college-bound students.
PMID- 21887090
TI - Combined use of high-reflective index vitrectomy meniscus contact lens and a
noncontact wide-angle viewing system in vitreous surgery.
AB - BACKGROUND: The aim of this study was to assess the combined use of a high
reflective index vitrectomy (HHV) meniscus contact lens and a noncontact wide
angle viewing system in vitreous surgery. METHODS: Twenty-three gauge vitrectomy
was performed with combined use of a HHV meniscus contact lens and a noncontact
wide-angle viewing system. When delicate manipulation of the retina such as
internal limiting membrane peeling was performed, only the HHV meniscus lens was
used. RESULTS: Advantages of this combination procedure include the ability to
observe the peripheral fundus image, easy access to the posterior pole without
exchange of the contact lens when needed, keeping the corneal surface wet covered
by a contact lens, and the good view afforded during fluid-air exchange.
CONCLUSION: Combined use of a HHV meniscus contact lens and a noncontact wide
angle viewing system is useful for vitreous surgery. It has the advantages of
both contact and noncontact wide-angle viewing systems.
PMID- 21887088
TI - High-resolution wide-field imaging of perfused capillaries without the use of
contrast agent.
AB - PURPOSE: Assessment of capillary abnormalities facilitates early diagnosis,
treatment, and follow-up of common retinal pathologies. Injected contrast agents
like fluorescein are widely used to image retinal capillaries, but this highly
effective procedure has a few disadvantages, such as untoward side effects,
inconvenience of injection, and brevity of the time window for clear
visualization. The retinal function imager (RFI) is a tool for monitoring retinal
functions, such as blood velocity and oximetry, based on intrinsic signals. Here
we describe the clinical use of hemoglobin in red blood cells (RBCs) as an
intrinsic motion-contrast agent in the generation of detailed noninvasive
capillary-perfusion maps (nCPMs). PATIENTS AND METHODS: Multiple series of nCPM
images were acquired from 130 patients with diabetic retinopathy, vein occlusion,
central serous retinopathy, age-related macular degeneration, or metabolic
syndrome, as well as from 37 healthy subjects. After registration, pixel value
distribution parameters were analyzed to locate RBC motion. RESULTS: The RFI
yielded nCPMs demonstrating microvascular morphology including capillaries in
exquisite detail. Maps from the same subject were highly reproducible in repeated
measurements, in as much detail and often better than that revealed by the very
best fluorescein angiography. In patients, neovascularization and capillary
nonperfusion areas were clearly observed. Foveal avascular zones (FAZ) were
sharply delineated and were larger in patients with diabetic retinopathy than in
controls (FAZ diameter: 641.5 +/- 82.3 versus 463.7 +/- 105 MUm; P < 0.001). Also
visible were abnormal vascular patterns, such as shunts and vascular loops.
CONCLUSION: Optical imaging of retinal capillaries in human patients based on
motion contrast is noninvasive, comfortable, safe, and can be repeated as often
as required for early diagnosis, treatment guidance, and follow up of retinal
disease progression.
PMID- 21887091
TI - Central and peripheral intraocular pressure measured by a rebound tonometer.
AB - PURPOSE: We investigated at which corneal region the intraocular pressure as
measured by the Icare((r)) rebound tonometer (Finland Oy, Espoo, Finland)
(hereinafter referred to as IC) was closest to the intraocular pressure as
measured by the Goldmann applanation tonometer (hereinafter referred to as GT).
We also investigated which parameters would be best for preparing the most
suitable model for predicting GT. METHODS: A total of 102 normal eyes in 102
subjects were enrolled. IC measurements were carried out at the central,
superior, inferior, temporal, and nasal regions of the cornea (ICC, ICS, ICI,
ICT, and ICN, respectively), followed by GT calculations. Differences between GT
and IC were analyzed using the Bland-Altman method. Stepwise multiple regression
analysis was performed using GT as the objective variable, and age, laterality of
eye, spherical equivalent refractive error, corneal radius, axial length, central
corneal thickness, GT, ICC, ICS, ICI, ICT, and ICN as the explanatory variables.
RESULTS: IC was higher than GT at all of the corneal regions, but the region with
the least bias was ICC, followed by ICT. In the multiple regression analysis, the
following prediction formula was calculated: GT = (0.445 * ICC) + (0.198 * ICN) +
3.022. When ICC was excluded from the explanatory variables, ICT had the highest
partial correlation coefficient with GT. CONCLUSION: ICC was closest to GT, but
GT could be explained better by adding ICN to the prediction model. Moreover, in
instances where ICC cannot be calculated or where reliability is clearly poor due
to abnormal ocular rigidity, ICT was the closest to GT measured in the central
corneal region.
PMID- 21887092
TI - Aqueous humor penetration of topical bimatoprost 0.01% and bimatoprost 0.03% in
rabbits: response to authors.
PMID- 21887093
TI - Comparison of Hanna and Hessburg-Barron trephine and punch systems using
histological, anterior segment optical coherence tomography, and elliptical curve
fitting models.
AB - BACKGROUND: This study analyzes the characteristics of donor and recipient tissue
preparation between the Hessburg-Barron and Hanna punch and trephine systems by
using elliptical curve fitting models, light microscopy, and anterior segment
optical coherence tomography (AS-OCT). METHODS: Eight millimeter Hessburg-Barron
and Hanna vacuum trephines and punches were used on six cadaver globes and six
corneal-scleral rims, respectively. Eccentricity data were generated using
measurements from photographs of the corneal buttons and were used to generate an
elliptical curve fit to calculate properties of the corneal button. The
trephination angle and punch angle were measured by digital protractor software
from light microscopy and AS-OCT images to evaluate the consistency with which
each device cuts the cornea. RESULTS: The Hanna trephine showed a trend towards
producing a more circular recipient button than the Barron trephine (ratio of
major axis to minor axis), ie, 1.059 +/- 0.041 versus 1.110 +/- 0.027 (P = 0.147)
and the Hanna punch showed a trend towards producing a more circular donor cut
than the Barron punch, ie, 1.021 +/- 0.022 versus 1.046 +/- 0.039 (P = 0.445).
The Hanna trephine was demonstrated to have a more consistent trephination angle
than the Barron trephine when assessing light microscopy images, ie, +/-14.39
degrees (95% confidence interval [CI] 111.9-157.7) versus +/-19.38 degrees (95%
CI 101.9-150.2, P = 0.492) and OCT images, ie, +/-8.08 degrees (95% CI 106.2
123.3) versus +/-11.16 degrees (95% CI 109.3-132.6, P = 0.306). The angle
created by the Hanna punch had less variability than the Barron punch from both
the light microscopy, ie, +/-4.81 degrees (95% CI 101.6-113.9) versus +/-11.28
degrees (95% CI 84.5-120.6, P = 0.295) and AS-OCT imaging, ie, +/-9.96 degrees
(95% CI 95.7-116.4) versus +/-14.02 degrees (95% CI 91.8-123.7, P = 0.825).
Statistical significance was not achieved. CONCLUSION: The Hanna trephine and
punch may be more accurate and consistent in cutting corneal buttons than the
Hessburg-Barron trephine and punch when evaluated using elliptical curve fitting
models, light microscopy, and AS-OCT.
PMID- 21887095
TI - Evaluation of intralesional propranolol for periocular capillary hemangioma.
AB - BACKGROUND: The purpose of this study was to evaluate the use of intralesional
propranolol injection in the management of periocular capillary hemangioma.
METHODS: A prospective study was performed in 22 consecutive patients with
periocular hemangioma. Twelve patients underwent intralesional propranolol
injection and ten patients underwent intralesional triamcinolone injection. The
size of the lesion was measured serially every week during the first month, every
2 weeks for the second month, and then monthly for another 2 months. The
refractive error and degree of ptosis if present were measured before injection
and at the end of the study. RESULTS: There was reduction in the size of
hemangioma, astigmatic error, and degree of ptosis in both groups. The difference
in outcome between both groups was not statistically significant. Rebound growth
occurred in 25% of the propranolol group and 30% of the steroid group but
responded to reinjection. No adverse effects were reported during or after
intralesional propranolol injection. CONCLUSION: Intralesional propranolol
injection is an alternative and effective method for treatment of infantile
periocular hemangioma.
PMID- 21887094
TI - Update on the role of genetics in the onset of age-related macular degeneration.
AB - Age-related macular degeneration (AMD), akin to other common age-related
diseases, has a complex pathogenesis and arises from the interplay of genes,
environmental factors, and personal characteristics. The past decade has seen
very significant strides towards identification of those precise genetic variants
associated with disease. That genes encoding proteins of the (alternative)
complement pathway (CFH, C2, CFB, C3, CFI) are major players in etiology came as
a surprise to many but has already lead to the development of therapies entering
human clinical trials. Other genes replicated in many populations ARMS2, APOE,
variants near TIMP3, and genes involved in lipid metabolism have also been
implicated in disease pathogenesis. The genes discovered to date can be estimated
to account for approximately 50% of the genetic variance of AMD and have been
discovered by candidate gene approaches, pathway analysis, and latterly genome
wide association studies. Next generation sequencing modalities and meta-analysis
techniques are being employed with the aim of identifying the remaining rarer
but, perhaps, individually more significant sequence variations, linked to
disease status. Complementary studies have also begun to utilize this genetic
information to develop clinically useful algorithms to predict AMD risk and
evaluate pharmacogenetics. In this article, contemporary commentary is provided
on rapidly progressing efforts to elucidate the genetic pathogenesis of AMD as
the field stands at the end of the first decade of the 21st century.
PMID- 21887096
TI - Prevention of cataract in diabetic mice by topical pyruvate.
AB - BACKGROUND: It has been previously reported that oral administration of sodium
pyruvate inhibits oxidative stress and cataract formation in diabetic animals.
With a view to exploring the clinical usefulness of these findings, this study
examined its preventive effect when administered topically as an eye drop.
METHODS: Diabetes was induced by intraperitoneal injections of streptozotocin. At
the onset of diabetes, an eye drop preparation containing 2.5% sodium pyruvate
was administered six times a day at 90-minute intervals. Treatment was continued
for 6 weeks. Cataract formation was monitored ophthalmoscopically after mydriasis
with 1% tropicamide eye drops. Subsequently, the treated and untreated diabetic
animals and the age-matched normal controls were euthanized, their eyes
enucleated, and the lenses isolated for biochemical assessment of protein
glycation and glutathione levels. RESULTS: Treatment with pyruvate eye drops was
found to be significantly effective in inhibiting protein glycation. Glutathione
levels were also better maintained. In addition, ophthalmoscopic examination
revealed that the incidence of cataract in the pyruvate-treated group was only
12% as compared with the untreated diabetics in whom the incidence was 73%.
Cataracts at this stage were largely equatorial. CONCLUSION: The results
demonstrate that topical application of pyruvate can potentially be useful in
attenuating or preventing cataract formation induced by diabetes and other
conditions of oxidative stress.
PMID- 21887097
TI - A rare case of zolendronate infusion complication leading to glaucoma filtration
surgery.
AB - Zolendronic acid is a nitrogenous biphosphonate commonly used as an intravenous
infusion for the management of Paget's disease, osteoporosis, and hypercalcemia
of malignancy. We report a rare and challenging complication of zolendronate
infusion: unilateral acute anterior uveitis followed by persistently raised
intraocular pressure despite being on four different classes of antiglaucoma
medication. The challenge was that the patient required topical steroid to treat
her uveitis in the background of known glaucoma with corresponding steroid
response. She eventually underwent a left phacotrabeculectomy augmented with 5
fluorouracil. Four weeks postoperatively she developed an encapsulated bleb and
underwent needling with 5-fluorouracil. This case highlights the importance of
having a high index of suspicion for anterior uveitis in patients with a red and
painful eye after initiating biphosphonate therapy. Caution should also be
exercised when prescribing biphosponates to glaucoma patients.
PMID- 21887099
TI - Acute progression of electrophysiologically affected fellow eye in unilaterally
symptomatic acute zonal occult outer retinopathy.
AB - Some patients with acute zonal occult outer retinopathy (AZOOR) show symptomatic
acute visual impairment in one eye only, but electrophysiological abnormalities
in both eyes. A 46-year-old female who had noticed paracentral scotoma of her
right eye six months previously was referred to our hospital. At initial
examination, her best-corrected visual acuity was 0.7 in the right eye and 1.2 in
the left. Whereas the full-field rod electroretinogram (ERG) was normal in both
eyes, the cone response was nonrecordable in the right eye and showed a
significant decrease in amplitude in the left eye. The 30 Hz flicker ERG showed
similar results. Multifocal ERG was nonrecordable in the right eye and showed a
residual response in only the central part of the left. Fourteen months after the
first visit, the patient presented complaining of acute visual acuity loss in the
left eye. Visual acuity in her left eye had decreased to 0.01. The multifocal ERG
was nonrecordable. Although the patient chose oral prednisolone therapy, only
limited recovery was observed in the patient. Even if only the ERG shows changes
and there are no other symptoms, ophthalmologists should continue observation in
view of the possibility of an acute exacerbation.
PMID- 21887100
TI - Reproducibility of retinal circulation measurements obtained using laser speckle
flowgraphy-NAVI in patients with glaucoma.
AB - BACKGROUND: Laser speckle flowgraphy (LSFG) enables noninvasive quantification of
the retinal circulation in glaucoma patients. In this study, we tested the
intrasession reproducibility of LSFG-NAVI, a modified LSFG technique. METHODS:
Sixty-five eyes from 33 subjects (male (M):female (F) = 17:16) with a mean age of
49.4 +/- 11.2 years were examined in this study. Two parameters indicating
reproducibility - the coefficient of variation (COV) and the intraclass
correlation coefficient (ICC) - were analyzed three times on the same day that
mean blur rate (MBR) was measured using LSFG-NAVI. The sites analyzed were the
retinal artery and vein, the optic disk, and the choroid. Following
classification according to the Glaucoma Hemifield Test (GHT; SITA-Standard 30-2
program), the COV and ICC were examined in patients with (GHT+; 38 eyes, M:F =
20:18, average age 48.9 +/- 12.8 years) and without (GHT-; 27 eyes, M:F = 13:14,
average age 50.1 +/- 8.7 years) abnormal glaucomatous visual fields. RESULTS: FOR
ALL SUBJECTS, THE INTRASESSION REPRODUCIBILITY OF MBR IN THE OPTIC DISK (COV: 3.4
+/- 2.0; ICC: 0.95) and choroid (COV: 4.7 +/- 3.4; ICC: 0.98) was excellent. The
reproducibility for the retinal vein (COV: 8.4 +/- 5.6, ICC: 0.90) and retinal
artery (COV: 10.9 +/- 9.9, ICC: 0.9) was moderate. MBRs in the optic disk had
good reproducibility in both the GHT+ group (COV: 3.8 +/- 2.0; ICC: 0.97) and the
GHT- group (COV: 2.9 +/- 2.1; ICC: 0.95). Local assessment of the optic disk in
normal or glaucoma patients showed that the COVs of the quadrant optic disk areas
were best in the temporal area of MBR (3.4%, 4.2%, respectively). CONCLUSION:
LSFG-NAVI showed favorable reproducibility in evaluation of retinal circulation
of glaucoma patients, particularly in the optic disk and choroid.
PMID- 21887101
TI - Outcomes of 23-gauge pars plana vitrectomy and internal limiting membrane peeling
with brilliant blue in macular hole.
AB - PURPOSE: The evaluation of anatomic and visual outcomes in macular hole cases
treated with internal limiting membrane (ILM) peeling, brilliant blue (BB), and
23-gauge pars plana vitrectomy (PPV). MATERIALS AND METHODS: Fifty eyes of 48
patients who presented between July 2007 and December 2009 with the diagnosis of
stage 2, 3, or 4 macular holes according to Gass Classification who had undergone
PPV and ILM peeling were included in this study. Pre- and postoperative macular
examinations were assessed with spectral-domain optical coherence tomography. 23
G sutureless PPV and ILM peeling with BB was performed on all patients. RESULTS:
The mean age of patients was 63.34 +/- 9.6 years. Stage 2 macular hole was
determined in 17 eyes (34%), stage 3 in 24 eyes (48%), and stage 4 in 9 eyes
(18%). The mean follow-up time was 13.6 +/- 1.09 months. Anatomic closure was
detected in 46/50 eyes (92%), whereas, in four cases, macular hole persisted and
a second operation was not required due to subretinal fluid drainage. At follow
up after 2 months, persistant macular hole was detected in one case and it was
closed with reoperation. At 12 months, an increase in visual acuity in 41 eyes
was observed, while it remained at the same level in six eyes. In three eyes
visual acuity decreased. There was a postoperative statistically significant
increase in visual acuity in stage 2 and 3 cases (P < 0.05), however, no increase
in visual acuity in stage 4 cases was observed. CONCLUSION: PPV and ILM peeling
in stage 2, 3, and 4 macular hole cases provide successful anatomic outcomes,
however, in delayed cases, due to photoreceptor loss, it has no effect on
functional recovery. BB, used for clarity of ILM, may be beneficial due to its
low retinal toxicity.
PMID- 21887098
TI - Emerging nonsurgical methods for the treatment of vitreomacular adhesion: a
review.
AB - With the dissemination of optical coherence tomography over the past two decades,
the role of persistent vitreomacular adhesion (VMA) in the development of
numerous macular pathologies - including idiopathic macular hole, vitreomacular
traction syndrome, cystoid and diabetic macular edema, neovascularization in
diabetic retinopathy and retinal vein occlusion, exudative age-related macular
degeneration, and myopic traction maculopathy - has been established. While
invasive vitreoretinal procedures have long been utilized to address
complications related to these disorders, such an approach is hampered by
incomplete vitreoretinal separation and vitreous removal, surgical complications,
and high costs. In light of such limitations, investigators have increasingly
looked to nonsurgical means for the treatment of persistent pathologic VMA. Chief
among these alternative measures is the intravitreal application of pharmacologic
agents for the induction of vitreous liquefaction and/or vitreoretinal
separation, an approach termed pharmacologic vitreolysis. This article aims to
review the available evidence regarding the use of pharmacologic agents in the
treatment of VMA-related pathology. In addition, a discussion of vitreous
molecular organization and principles of physiologic posterior vitreous
detachment is provided to allow for a consideration of vitreolytic agent mode of
action and molecular targets.
PMID- 21887103
TI - Efficacy and safety of prolonged-release melatonin in insomnia patients with
diabetes: a randomized, double-blind, crossover study.
AB - BACKGROUND: Diabetes is a major comorbidity in insomnia patients. The efficacy
and safety of prolonged-release melatonin 2 mg in the treatment of glucose, lipid
metabolism, and sleep was studied in 36 type 2 diabetic patients with insomnia
(11 men, 25 women, age 46-77 years). METHODS: In a randomized, double-blind,
crossover study, the subjects were treated for 3 weeks (period 1) with prolonged
release melatonin or placebo, followed by a one-week washout period, and then
crossed over for another 3 weeks (period 2) of treatment with the other
preparation. All tablets were taken 2 hours before bedtime for a period of 3
weeks. In an extension period of 5 months, prolonged-release melatonin was given
nightly to all patients in an open-label design. Sleep was objectively monitored
in a subgroup of 22 patients using wrist actigraphy. Fasting glucose,
fructosamine, insulin, C-peptide, triglycerides, total cholesterol, high-density
and low-density lipoprotein cholesterol, and some antioxidants, as well as
glycosylated hemoglobin (HbA1c) levels were measured at baseline and at the end
of the study. All concomitant medications were continued throughout the study.
RESULTS: No significant changes in serum glucose, fructosamine, insulin, C
peptide, antioxidant levels or blood chemistry were observed after 3 weeks of
prolonged-release melatonin treatment. Sleep efficiency, wake time after sleep
onset, and number of awakenings improved significantly with prolonged-release
melatonin as compared with placebo. Following 5 months of prolonged-release
melatonin treatment, mean HbA1c (+/-standard deviation) was significantly lower
than at baseline (9.13% +/- 1.55% versus 8.47% +/- 1.67%, respectively, P =
0.005). CONCLUSION: Short-term use of prolonged-release melatonin improves sleep
maintenance in type 2 diabetic patients with insomnia without affecting glucose
and lipid metabolism. Long-term prolonged-release melatonin administration has a
beneficial effect on HbA1c, suggesting improved glycemic control.
PMID- 21887102
TI - Update on the management of diabetic polyneuropathies.
AB - The prevalence of diabetic polyneuropathy (DPN) can approach 50% in subjects with
longer-duration diabetes. The most common neuropathies are generalized
symmetrical chronic sensorimotor polyneuropathy and autonomic neuropathy. It is
important to recognize that 50% of subjects with DPN may have no symptoms and
only careful clinical examination may reveal the diagnosis. DPN, especially
painful diabetic peripheral neuropathy, is associated with poor quality of life.
Although there is a better understanding of the pathophysiology of DPN and the
mechanisms of pain, treatment remains challenging and is limited by variable
efficacy and side effects of therapies. Intensification of glycemic control
remains the cornerstone for the prevention or delay of DPN but optimization of
other traditional cardiovascular risk factors may also be of benefit. The
management of DPN relies on its early recognition and needs to be individually
based on comorbidities and tolerability to medications. To date, most
pharmacological strategies focus upon symptom control. In the management of pain,
tricyclic antidepressants, selective serotonin noradrenaline reuptake inhibitors,
and anticonvulsants alone or in combination are current first-line therapies
followed by use of opiates. Topical agents may offer symptomatic relief in some
patients. Disease-modifying agents are still in development and to date,
antioxidant alpha-lipoic acid has shown the most promising effect. Further
development and testing of therapies based upon improved understanding of the
complex pathophysiology of this common and disabling complication is urgently
required.
PMID- 21887104
TI - Effect of weight loss on adipokine levels in obese patients.
AB - BACKGROUND: Adipose tissue functions as an endocrine organ by releasing
adipokines which have important roles in the regulation of inflammation and
insulin sensitivity. Although there is evidence of improvement in circulating
levels of adipokines with weight loss, few studies relate such changes to
specific diets. We investigated the effects of weight loss achieved by two
different diets on circulating adipokine levels in obese individuals. METHODS: A
total of 120 obese patients (body mass index >= 35 kg/m(2)) underwent a three
month screening period on a low-fat, reduced-calorie diet. Patients failing to
achieve a 5% weight loss using this approach were randomly allocated to either a
low carbohydrate/high protein diet (n = 17) or to a commercial very low calorie
diet (LighterLife((r)), n = 14) for a period of nine months. RESULTS: At nine
months, a significant weight loss was only maintained for Lighter-Life((r)) (
32.3 +/- 22.7 kg, P < 0.0001) but not on the low carbohydrate/high protein diet.
Changes in adiponectin (15.8 +/- 17.1 ng/mL versus -0.8 +/- 6.2 ng/mL, P = 0.003)
and leptin (-17.6 +/- 24.3 ng/mL versus -3.0 +/- 9.2 ng/mL, P = 0.049) at nine
months were significantly greater for LighterLife((r)) than for the low
carbohydrate/high protein diet, which may reflect greater weight loss and
decrease in fat mass. Changes in tumor necrosis factor-alpha, interleukin-6, and
plasminogen activator inhibitor type 1 did not differ significantly between the
dietary interventions at nine months. CONCLUSION: A significant weight loss of
23.8% from baseline weight was observed using a very low calorie diet and
resulted in significant improvements in circulating levels of leptin, plasminogen
activator inhibitor type 1, and adiponectin, which are likely to be due to weight
loss and not macronutrient intake.
PMID- 21887107
TI - Hypotensive response after water-walking and land-walking exercise sessions in
healthy trained and untrained women.
AB - BACKGROUND: The aim of this study was to compare post-exercise hypotension after
acute sessions of water-walking and land-walking in healthy trained and untrained
women. METHODS: Twenty-three untrained (n = 12) and trained (n = 11) normotensive
women performed two walking sessions in water and on land at 40% of peak VO(2)
for 45 minutes. Systolic and diastolic blood pressure and mean arterial pressure
were measured 15, 30, 45, and 60 minutes after the exercise sessions. RESULTS: No
differences were found between the groups for age and anthropometric parameters,
but peak VO(2) for the trained women (45 +/- 8 mL/kg/minute) was higher than for
the untrained women (31 +/- 3 mL/kg/minute). No differences were found between
the groups with regard to systolic and diastolic blood pressure and mean arterial
pressure after water immersion. The heart rate in the trained group (62 +/- 3
beats per minute [bpm]) was significantly lower (P < 0.05) than in the untrained
group (72 +/- 4 bpm) on land, and after water immersion, this difference
disappeared (58 +/- 5 bpm in the trained women and 66 +/- 5 bpm in the untrained
women). Sixty minutes after water-walking, systolic blood pressure (108 +/- 8
mmHg vs 97 +/- 3 mmHg), diastolic blood pressure (69 +/- 5 mmHg vs 62 +/- 5
mmHg), and mean arterial pressure (82 +/- 6 mmHg vs 74 +/- 4 mmHg) decreased
significantly with rest in the untrained group, and no differences were found
after land-walking. In the trained group, significant (P < 0.05) differences were
found only for systolic blood pressure (110 +/- 9 mmHg vs 100 +/- 9 mmHg) after
60 minutes of water-walking; decreases in systolic blood pressure were found
after 45 minutes (99 +/- 7 mmHg) and 60 minutes (99 +/- 6 mmHg) compared with
rest (107 +/- 5 mmHg) after land-walking. CONCLUSION: Single water-walking and
land-walking sessions induced important hypotension following exercise.
Additionally, walking performed in chest-deep water has a better effect on
exercise-induced hypotension in untrained healthy women than walking at a similar
intensity on land.
PMID- 21887108
TI - Subjective food hypersensitivity: assessment of enterochromaffin cell markers in
blood and gut lavage fluid.
AB - BACKGROUND: Food hypersensitivity is commonly suspected, but seldom verified.
Patients with subjective food hypersensitivity suffer from both intestinal and
extraintestinal health complaints. Abnormalities of the enterochromaffin cells
may play a role in the pathogenesis. The aim of this study was to investigate
enterochromaffin cell function in patients with subjective food hypersensitivity
by measuring serum chromogranin A (CgA) and 5-hydroxytryptamine (5-HT, serotonin)
in gut lavage fluid. METHODS: Sixty-nine patients with subjective food
hypersensitivity were examined. Twenty-three patients with inflammatory bowel
disease and 35 healthy volunteers were included as comparison groups. CgA was
measured in serum by enzyme-linked immunosorbent assay. Gut lavage fluid was
obtained by administering 2 L of polyethylene glycol solution intraduodenally.
The first clear fluid passed per rectum was collected and 5-HT was analyzed by
liquid chromatography tandem mass spectrometry. RESULTS: Serum levels of CgA were
significantly lower in patients with subjective food hypersensitivity than in
healthy controls (P = 0.04). No differences were found in 5-HT levels in gut
lavage fluid between patients with subjective food hypersensitivity and the
control groups. There was no correlation between serum CgA and gut lavage 5-HT.
CONCLUSION: Decreased blood levels of CgA suggest neuroendocrine alterations in
patients with subjective food hypersensitivity. However, 5-HT levels in gut
lavage fluid were normal.
PMID- 21887109
TI - Diurnal salivary cortisol concentrations in Parkinson's disease: increased total
secretion and morning cortisol concentrations.
AB - BACKGROUND: Parkinson's disease (PD) is a chronic neurodegenerative disorder.
There is limited knowledge about the function of the hypothalamic-pituitary
adrenal axis in PD. The primary aim of this prospective study was to analyze
diurnal salivary cortisol concentrations in patients with PD and correlate these
with age, gender, body mass index (BMI), duration of PD, and pain. The secondary
aim was to compare the results with a healthy reference group. METHODS: Fifty
nine PD patients, 35 women and 24 men, aged 50-79 years, were recruited. The
reference group comprised healthy individuals matched for age, gender, BMI, and
time point for sampling. Salivary cortisol was collected at 8 am, 1 pm, and 8 pm,
and 8 am the next day using cotton-based Salivette((r)) tubes and analyzed using
Spectria((r)) Cortisol I(125). A visual analog scale was used for estimation of
pain. RESULTS: The median cortisol concentration was 16.0 (5.8-30.2) nmol/L at 8
am, 5.8 (3.0-16.4) at 1 pm, 2.8 (1.6-8.0) at 8 pm, and 14.0 (7.5-28.7) at 8 am
the next day. Total secretion and rate of cortisol secretion during the day (8 am
8 pm) and the concentration of cortisol on the next morning were lower (12.5
nmol/L) in the reference group. No significant correlations with age, gender,
BMI, duration of PD, Hoehn and Yahr score, Unified Parkinson's Disease Rating
Scale III score, gait, pain, or cortisol concentrations were found. CONCLUSION:
The neurodegenerative changes in PD does not seem to interfere with the
hypothalamic-pituitary-adrenal axis. Salivary cortisol concentrations in PD
patients were increased in the morning compared with the reference group, and
were not influenced by motor dysfunction, duration of disease, or coexistence of
chronic or acute pain.
PMID- 21887110
TI - Nosocomial infections: knowledge and source of information among clinical health
care students in Ghana.
AB - BACKGROUND: This study determined and compared the knowledge of nosocomial
infections among clinical health care students at the College of Health Sciences,
University of Ghana. METHODS: Two hundred undergraduate health care students from
four academic programs participated in the study. The study sample was drawn from
each academic program by a simple random sampling technique using the class
directory from each course. The Infection Control Standardized Questionnaire
(ICSQ) was used to assess the knowledge of students about three main domains, ie,
hand hygiene, nosocomial infections, and standard precautions. A maximum score of
50 was obtainable, and respondents with scores >=70% were classified as having a
satisfactory knowledge. The response on each item was coded numerically to
generate data for statistical analysis. Comparison of knowledge on the domains
among categories of students was assessed using the Kruskal-Wallis test, while
associations between courses of study and knowledge about nosocomial infections
were determined using the Chi-square test. All statistical tests had a
significant level of 5% (P < 0.05) RESULTS: Overall mean percentage score of the
participants on ICSQ was 65.4 +/- 2.58, with medical, physiotherapy, radiography,
and nursing students recording mean percentage scores of 70.58 +/- 0.62, 65.02 +/
2.00, 64.74 +/- 1.19, and 61.31 +/- 2.35, respectively. The main source of
information about the prevention of nosocomial infections as cited by
participants was their routine formal training in class. There was no significant
association (P > 0.05) between course of study and knowledge of students about
preventive measures for nosocomial infections. CONCLUSION: The students sampled
demonstrated moderate knowledge of nosocomial infections and this was acquired
largely through formal classroom training. These findings underscore the need for
more emphasis on education about this important source of infection in the
clinical training curriculum.
PMID- 21887111
TI - The RT-18: a new screening tool to assess young adult risk-taking behavior.
AB - Risk-taking behavior is a major determinant of health and plays a central role in
various diseases. Therefore, a brief questionnaire was developed to assess risk
taking among young adults with known different levels of risk-taking behavior
(social drinkers and recreational drug users). In Study 1, N = 522 university
students completed the RT-18 risk taking questionnaire. N = 100 students were
retested after 2 to 4 weeks and performed the Cambridge Gambling Task (CGT). Mean
RT-18 score was 7.69 and Cronbach's alpha was 0.886. The test-retest reliability
was r = 0.94. Significant correlation was found between the RT-18 score and CGT
scores of risk taking, bet proportion, and risk adjustment. In Study 2, N = 7834
young adult social drinkers, and recreational drug users, mean RT-18 score was
9.34 and Cronbach's alpha was 0.80. Factor analysis showed that the RT-18
comprises two factors assessing level of risk-taking behavior and risk
assessment. Men scored significantly higher than women on the RT-18. Recreational
drug users had significantly higher scores when compared to social drinkers. In
Study 3 of N = 1000 students, construct validity was confirmed by showing that
the RT-18 outcome correlates significantly with scores on the Stimulating
Instrumental Risk Inventory. In conclusion, the RT-18 is a valid and reliable
screening tool to differentiate levels of risk-taking behavior. This short scale
is quick and practical to administer, imposing minimal demands on participants.
The RT-18 is able to differentiate risk taking and risk assessment which can help
target appropriate intervention strategies.
PMID- 21887112
TI - Key role of congestion in natural history of heart failure.
AB - The natural course of heart failure with decreased and preserved systolic
function is almost identical. The current concept of heart failure where
decreased cardiac output plays the major role does not explain this similarity.
We suggest a revised concept of heart failure where congestion plays the leading
role. While congestion is almost invariably present in heart failure with normal
and with reduced systolic function, the low output syndrome is only present in
heart failure with reduced systolic function. The small difference in morbidity
and mortality in favor of heart failure with preserved systolic function reflects
the contribution of low output syndrome to the natural course of the disease.
Congestion can result from low output or from multiple other conditions, but
severity of congestion is the major determinant of progression of heart failure.
PMID- 21887113
TI - ABC of flexible cystoscopy for junior trainee and general practitioner.
AB - INTRODUCTION: Flexible cystoscopy is a diagnostic procedure usually performed
under local anesthesia and has been used in the outpatient setting since the
1980s. METHODS: We performed an electronic search of MEDLINE((r)) and the
Cochrane Central Search Library between 1990 and 2010. Duplicate references were
removed. One reviewer extracted the publications relevant to general clinical
practice. RESULTS: MEDLINE search using the MeSH (Medical Subject Headings) words
"flexible" and "cystoscopy" revealed 274 titles, and there were 42 titles in the
Cochrane Central Search Library. However, interestingly, only 13 published papers
addressing the clinical practice of flexible cystoscopy in the English literature
were identified. CONCLUSIONS: Flexible cystoscopy is a real revolution in the
field of diagnostic urology.
PMID- 21887114
TI - Adjusting wheal size measures to correct atopy misclassification.
AB - PURPOSE: Skin prick testing (SPT) is fundamental to the practice of clinical
allergy identifying relevant allergens and predicting the clinical expression of
disease. Wheal sizes on SPT are used to identify atopic cases, and the cut-off
value for a positive test is commonly set at 3 mm. However, the measured wheal
sizes do not solely reflect the magnitude of skin reaction to allergens, but also
skin reactivity (reflected in the size of histamine reaction) and other random or
non-random factors. We sought to estimate wheal sizes exclusively due to skin
response to allergens and propose gender-specific cutoff points of atopy.
METHODS: We developed a Bayesian method to adjust observed wheal sizes by
excluding histamine and other factor effects, based on which revised cutoff
points are proposed for males and females, respectively. The method is then
applied to and intensively evaluated using a study population aged 18, at a
location on the Isle of Wight in the United Kingdom. To evaluate the proposed
approach, two sample t-tests for population means and proportion tests are
applied. RESULTS: Four common aeroallergens, house dust mite (HDM), grass pollen,
dog dander, and alternaria are considered in the study. Based on 3 mm cutoff,
males tend to be more atopic than females (P-values are between 0.00087 and
0.062). After applying the proposed methods to adjust wheal sizes, our findings
suggest that misclassifications of atopy occur more often in males. Revised
allergen-specific cutoff values are proposed for each gender. CONCLUSION: To
reduce the gender discrepancy, we may have two potentially convenient solutions.
One way is to apply allergen-specific and gender-specific cutoff values following
the proposed method. Alternatively, we can revise the concentration of allergens
in the SPT solutions but keep the cutoff values unchanged, which may be more
convenient to clinicians.
PMID- 21887115
TI - Evaluating risk factors for protein-energy malnutrition in children under the age
of six years: a case-control study from Iran.
AB - INTRODUCTION: Protein-energy malnutrition is one of the most important public
health problems in Iran. It not only accounts for more than half of child
mortality but can also produce somatic and mental impairment in survivors. The
main aim of this study was to identify risk factors for protein-energy
malnutrition in children under 6 years of age in Namin city. METHODS: This was a
population-based, multicenter case-control study. Seventy-six children with
malnutrition and 76 children without malnutrition were randomly recruited for
case and control groups. The prevalence of risk factors in the two groups was
compared. Data were gathered from a health center database and interviews with
mothers and health workers. The Wilcoxon signed-rank test and logistic regression
were used for data analysis. RESULTS: Female gender, poverty, short maternal
height, and use of unhygienic latrines in the home were significantly associated
with childhood malnutrition (P < 0.05). CONCLUSION: The results of this study
indicate four main factors (poverty, small maternal height, female gender, and
absence of hygienic latrines in the home) as underlying factors in malnutrition
of children under the age of 6 years.
PMID- 21887116
TI - An eight-week yoga intervention is associated with improvements in pain,
psychological functioning and mindfulness, and changes in cortisol levels in
women with fibromyalgia.
AB - OBJECTIVES: Fibromyalgia (FM) is a chronic condition characterized by widespread
musculoskeletal pain, fatigue, depression, and hypocortisolism. To date,
published studies have not investigated the effects of yoga on cortisol in FM.
This pilot study used a time series design to evaluate pain, psychological
variables, mindfulness, and cortisol in women with FM before and after a yoga
intervention. METHODS: Participants (n = 22) were recruited from the community to
participate in a 75 minute yoga class twice weekly for 8 weeks. Questionnaires
concerning pain (intensity, unpleasantness, quality, sum of local areas of pain,
catastrophizing, acceptance, disability), anxiety, depression, and mindfulness
were administered pre-, mid- and post-intervention. Salivary cortisol samples
were collected three times a day for each of two days, pre- and post
intervention. RESULTS: Repeated measures analysis of variance (ANOVA) revealed
that mean +/- standard deviation (SD) scores improved significantly (p < 0.05)
from pre- to post-intervention for continuous pain (pre: 5.18 +/- 1.72; post:
4.44 +/- 2.03), pain catastrophizing (pre: 25.33 +/- 14.77; post: 20.40 +/-
17.01), pain acceptance (pre: 60.47 +/- 23.43; post: 65.50 +/- 22.93), and
mindfulness (pre: 120.21 +/- 21.80; post: 130.63 +/- 20.82). Intention-to-treat
analysis showed that median AUC for post-intervention cortisol (263.69) was
significantly higher (p < 0.05) than median AUC for pre-intervention levels
(189.46). Mediation analysis revealed that mid-intervention mindfulness scores
significantly (p < 0.05) mediated the relationship between pre- and post
intervention pain catastrophizing scores. DISCUSSION: The results suggest that a
yoga intervention may reduce pain and catastrophizing, increase acceptance and
mindfulness, and alter total cortisol levels in women with FM. The changes in
mindfulness and cortisol levels may provide preliminary evidence for mechanisms
of a yoga program for women with FM. Future studies should use an RCT design with
a larger sample size.
PMID- 21887117
TI - Tapentadol in the management of chronic low back pain: a novel approach to a
complex condition?
AB - Chronic pain affects approximately 1 in 5 people in Europe, and around half of
sufferers receive inadequate pain management. The most common location is the
lower back. Pharmacological treatment of this condition is challenging because of
the range of causative mechanisms and the difficulty of balancing analgesic
efficacy and tolerability. An international panel of clinical pain specialists
met in September, 2009, to discuss the treatment of chronic low back pain, and to
review preclinical and clinical data relating to the new analgesic, tapentadol. A
lack of consensus exists on the best treatment for low back pain. The range of
regularly prescribed pharmacological agents extends from nonopioids (paracetamol,
NSAIDs, and COX-2 inhibitors) to opioids, antidepressants and anticonvulsants.
Pain relief may be compromised, however, by an undetected neuropathic component
or intolerable side effects. Treatment is potentially life-long and effective
analgesics are urgently needed, with demonstrable long-term safety. Combining
separate agents with different mechanisms of action could overcome the
limitations of present pharmacological therapy, but clinical evidence for this
approach is currently lacking. Tapentadol combines MU-opioid agonism with
noradrenaline reuptake inhibition in a single molecule. There is strong evidence
of synergistic antinociception between these two mechanisms of action. In
preclinical and clinical testing, tapentadol has shown efficacy against both
nociceptive and neuropathic pain. Preclinical data indicate that tapentadol's MU
opioid agonism makes a greater contribution to analgesia in acute pain, while
noradrenaline reuptake inhibition makes a greater contribution in chronic
neuropathic pain models. Tapentadol also produces fewer adverse events than
oxycodone at equianalgesic doses, and thus may have a 'MU-sparing effect'.
Current evidence indicates that tapentadol's efficacy/tolerability ratio may be
better than those of classical opioids. However, further research is needed to
establish its role in pain management.
PMID- 21887119
TI - Pain in patients with multiple sclerosis: a complex assessment including
quantitative and qualitative measurements provides for a disease-related
biopsychosocial pain model.
AB - BACKGROUND: Pain of various causes is a common phenomenon in patients with
Multiple Sclerosis (MS). A biopsychosocial perspective has proven a useful
theoretical construct in other chronic pain conditions and was also started in
MS. To support such an approach, we aimed to investigate pain in MS with special
emphasis on separating quantitative and qualitative aspects, and its
interrelation to behavioral and physical aspects. MATERIALS AND METHODS: Pain
intensity (NRS) and quality (SES) were measured in 38 consecutive outpatients
with MS (mean age, 42.0 +/- 11.5 years, 82% women). Pain-related behavior (FSR),
health care utilization, bodily complaints (GBB-24) and fatigue (WEIMuS) were
assessed by questionnaires, and MS-related neurological impairment by a
standardized neurological examination (EDSS). RESULTS: Mean pain intensity was
4.0 (range, 0-10) and mean EDSS 3.7 (range, 0-8) in the overall sample. Currently
present pain was reported by 81.6% of all patients. Disease duration and EDSS did
not differ between patients with and without pain and were not correlated to
quality or intensity of pain. Patients with pain had significantly higher scores
of musculoskeletal complaints, but equal scores of exhaustion, gastrointestinal
and cardiovascular complaints. Pain intensity correlated only with physical
aspects, whereas quality of pain was additionally associated with increased
avoidance, resignation and cognitive fatigue. CONCLUSION: As in other conditions,
pain in MS must be assessed in a multidimensional way. Further research should be
devoted to adapt existing models to a MS-specific model of pain.
PMID- 21887118
TI - Tapentadol extended-release for treatment of chronic pain: a review.
AB - Tapentadol is a centrally acting analgesic with a dual mechanism of action of mu
receptor agonism and norepinephrine reuptake inhibition. Tapentadol immediate
release is approved by the US Food and Drug Administration for the management of
moderate-to-severe acute pain. It was developed to decrease the intolerability
issue associated with opioids. Tapentadol extended-release has a 12-hour duration
of effect, and has recently been evaluated for pain in patients with chronic
osteoarthritis, low back pain, and pain associated with diabetic peripheral
neuropathy. Tapentadol extended-release was found to provide safe and highly
effective analgesia for the treatment of chronic pain conditions, including
moderate-to-severe chronic osteoarthritis pain and low back pain. Initial trials
demonstrating efficacy in neuropathic pain suggest that tapentadol has comparable
analgesic effectiveness and better gastrointestinal tolerability than opioid
comparators, and demonstrates effectiveness in settings of inflammatory, somatic,
and neuropathic pain. Gastrointestinal intolerance and central nervous system
effects were the major adverse events noted. Tapentadol will need to be
rigorously tested in chronic neuropathic pain, cancer-related pain, and cancer
related neuropathic pain.
PMID- 21887120
TI - Pain relief in labor: a survey of awareness, attitude, and practice of health
care providers in Zaria, Nigeria.
AB - BACKGROUND: The purpose of this study was to assess the attitudes of maternal
health care providers to pain relief during labor in Zaria, Nigeria. METHODS:
This was a multicenter, collaborative, cross-sectional pilot study of provider
perspectives concerning pain relief during labor. A structured, self
administered, questionnaire was completed by 95 consenting maternal health care
providers at three high-volume facilities in Zaria, an ancient northern Nigerian
city. Descriptive statistics was performed on the data. RESULTS: Most respondents
(94.8%) agreed that pain relief is needed during labor. Only 2.1% of respondents
were undecided about the provision of pain relief during labor and 3.2% were of
the opinion that pain relief was not necessary during labor. Most respondents
(93.7%) had attended a woman in labor in the 4 weeks preceding the survey. Of
these, 56.8% had counseled a parturient in labor. Most of the counseling (42.1%)
took place during labor. Less than half of the respondents (48.4%) had
administered pain relief in labor in the preceding 4 weeks and systemic opioids
was the most commonly form of pain relief. Among the respondents who did not
offer pain relief agents in labor, the majority (54.5%) had no reason for not
offering it. Unavailability of methods, inability to afford the cost of pain
relief, lack of knowledge and skills, as well as lack of essential equipment to
provide the procedure were also given by respondents as reasons for not offering
pain relief. CONCLUSION: Even though maternal health care providers in this
environment have a positive attitude to pain relief in labor, most women go
through labor without the benefit of analgesia. There exists a gap between
provider attitudes to pain relief in labor and practice of the same, with many
providers having no genuine reason(s) for not offering pain relief to their
clients during labor. Providers need to align their practice to their attitudes,
and need to be helped to do this through training as well as enhancing their
ability to think critically about their practice.
PMID- 21887121
TI - Understanding auditory spectro-temporal receptive fields and their changes with
input statistics by efficient coding principles.
AB - Spectro-temporal receptive fields (STRFs) have been widely used as linear
approximations to the signal transform from sound spectrograms to neural
responses along the auditory pathway. Their dependence on statistical attributes
of the stimuli, such as sound intensity, is usually explained by nonlinear
mechanisms and models. Here, we apply an efficient coding principle which has
been successfully used to understand receptive fields in early stages of visual
processing, in order to provide a computational understanding of the STRFs.
According to this principle, STRFs result from an optimal tradeoff between
maximizing the sensory information the brain receives, and minimizing the cost of
the neural activities required to represent and transmit this information. Both
terms depend on the statistical properties of the sensory inputs and the noise
that corrupts them. The STRFs should therefore depend on the input power spectrum
and the signal-to-noise ratio, which is assumed to increase with input intensity.
We analytically derive the optimal STRFs when signal and noise are approximated
as Gaussians. Under the constraint that they should be spectro-temporally local,
the STRFs are predicted to adapt from being band-pass to low-pass filters as the
input intensity reduces, or the input correlation becomes longer range in sound
frequency or time. These predictions qualitatively match physiological
observations. Our prediction as to how the STRFs should be determined by the
input power spectrum could readily be tested, since this spectrum depends on the
stimulus ensemble. The potentials and limitations of the efficient coding
principle are discussed.
PMID- 21887123
TI - GONADAL HORMONE INDEPENDENT SEX DIFFERENCES IN STEROIDOGENIC FACTOR 1 KNOCKOUT
MICE BRAIN.
AB - Sex differences in brain morphology have been described in a number of species
including humans. Gonadal hormones were shown to provide a major influence on
brain sexual differentiation more than 50 years ago. A growing number of studies
is providing evidence for roles of genetic factors, in particular sex chromosome
complement, on brain sexual differentiation in mammals. In this review, hormone
independent brain sexual differentiation, with the emphasis on mice with a
disruption of the SF-1 gene (SF-1 knockout, SF-1 KO) are discussed.
PMID- 21887124
TI - Addiction and sociality: Perspectives from methamphetamine users in suburban USA.
PMID- 21887125
TI - Biohybrid Carbon Nanotube/Agarose Fibers for Neural Tissue Engineering.
AB - We report a novel approach for producing carbon nanotube fibers (CNF) composed
with the polysaccharide agarose. Current attempts to make CNF's require the use
of a polymer or precipitating agent in the coagulating bath that may have
negative effects in biomedical applications. We show that by taking advantage of
the gelation properties of agarose one can substitute the bath with distilled
water or ethanol and hence reduce the complexity associated with alternating the
bath components or the use of organic solvents. We also demonstrate that these
CNF can be chemically functionalized to express biological moieties through
available free hydroxyl groups in agarose. We corroborate that agarose CNF are
not only conductive and nontoxic, but their functionalization can facilitate cell
attachment and response both in vitro and in vivo. Our findings suggest that
agarose/CNT hybrid materials are excellent candidates for applications involving
neural tissue engineering and biointerfacing with the nervous system.
PMID- 21887126
TI - Primary gastrointestinal diffuse large B cell lymphoma presenting with cold
agglutinin disease.
AB - Cold agglutinin disease (CAD) is an autoimmune hemolytic anemia (AIHA) generally
caused by IgM autoantibodies which exhibit maximal reactivity at 4 degrees C. CAD
can be idiopathic or secondary to some diseases and/or conditions. Only a
minority of cases of secondary AIHA in non-Hodgkin's lymphoma (NHL) are
associated with cold antibodies. Diffuse large B cell lymphoma (DLBCL) is the
most common subtype of NHLs with a proportion of nearly 30% of all adult cases.
40% of patients with DLBCL have an extranodal disease or at least disease
initially confined to extranodal sites. The most common extranodal site is the
gastrointestinal tract. We present a patient with primary gastrointestinal DLBCL
who presented with CAD and was treated with a CHOP-Rituximab regimen.
PMID- 21887127
TI - Endoscopic Management of Gastric Polyp with Outlet Obstruction without
Polypectomy.
AB - Although gastric polyp is usually an incidental endoscopic finding, large-sized
polyps can cause symptoms ranging from epigastralgia to bleeding from ulcerated
polyps and gastric outlet obstruction. Although the gold standard of treatment is
removal of the polyp either through endoscopic polypectomy or surgical excision,
complications associated with these procedures cannot be ignored. The risk
becomes a major concern for patients at high risk for surgery when complications
arise. We describe a debilitated 74-year-old woman who presented with early
satiety, intermittent postprandial nausea and vomiting for three months. Upper
endoscopy revealed a 2.5 cm pedunculated polyp over the gastric antrum causing
intermittent obstruction. Considering her high risk for polypectomy, detachable
snaring was performed without polypectomy in an outpatient setting. The patient
was complication-free with complete relief of obstructive symptoms one week after
the procedure. Subsequent follow-ups showed satisfactory healing without signs of
mucosal disruption or recurrence. The results suggest that detachable snaring
without polypectomy may be a therapeutic option for high-risk patients with
benign symptomatic gastric polyps.
PMID- 21887128
TI - Acute Intrathoracic Gastric Volvulus due to Diaphragmatic Hernia: A Rare
Emergency Easily Overlooked.
AB - Acute intrathoracic gastric volvulus occurs when the stomach undergoes
organoaxial torsion in the chest due to either concomitant enlargement of the
hiatus or a diaphragmatic hernia. Iatrogenic diaphragmatic hernia can occur after
hiatal hernia repair and other surgical procedures, such as nephrectomy,
esophagogastrectomy and splenopancreatectomy. We describe a 49-year-old woman who
presented to our emergency department with acute moderate epigastric soreness and
vomiting. She had undergone extensive gynecologic surgery including splenectomy 1
year before. The chest radiograph obtained in the emergency department
demonstrated an elevated gastric air-fluid level in the left lower lung field. An
urgent gastroscopy showed twisted structural abnormality of the stomach body. A
computed tomography scan demonstrated the distended stomach, located in the left
lower hemithorax through a left diaphragmatic defect. Emergent transthoracic
repair was performed. Postoperative recovery was uneventful, and the patient did
not experience any pain or difficulty with eating.
PMID- 21887129
TI - A case of ischemic duodenitis associated with superior mesenteric artery syndrome
caused by an abdominal aortic aneurysm.
AB - A 74-year-old woman was admitted to our hospital with upper abdominal pain and
bloody vomiting. An abdominal aneurysm compressed the third portion of the
duodenum and the second portion of duodenum was distended with thickened walls as
in superior mesenteric artery syndrome. Endoscopic examination showed an
edematous mucosa with hemorrhagic erosions, shallow longitudinal ulcers, and star
shaped ulcers in the duodenum. We diagnosed this case as ischemic duodenitis
associated with superior mesenteric artery syndrome caused by compression by an
abdominal aortic aneurysm. The symptoms improved on treatment with bowel rest,
total parenteral nutrition and administration of a proton pump inhibitor. We
present here a rare case of ischemic duodenitis and summarize the previous
medical literature on the disease.
PMID- 21887130
TI - Discounting in Pigeons When the Choice is between Two Delayed Rewards:
Implications for Species Comparisons.
AB - Studies of delay discounting typically have involved choices between smaller,
immediate outcomes and larger, delayed outcomes. In a study of delay discounting
in humans, Green et al. (2005) added a period of time prior to both outcomes,
creating a delay common to both. They found that the subjective value of the more
delayed reward was well described by a hyperboloid discounting function and that
the degree to which that outcome was discounted decreased as the common delay
increased. In two experiments, we examined the effect of adding a common delay on
the discounting of food rewards in pigeons. In Experiment 1, an adjusting-amount
procedure was used to establish discounting functions when the common delay was
0, 3, 5, and 10 s, and different stimuli signaled time to the smaller, sooner and
larger, later rewards. In contrast to humans, the pigeons showed increases in the
degree of discounting when a common delay was added. In Experiment 2, the delay
common to both rewards and the delay unique to the larger, later reward were each
specifically signaled. With this procedure, the degree of discounting decreased
as the common delay increased, a result consistent with that obtained with humans
(Green et al., 2005). These findings reveal fundamental similarities between
pigeons' and humans' choice behavior, and provide strong interspecies support for
the hypothesis that choice between delayed outcomes is based on comparison of
their hyperbolically discounted present subjective values.
PMID- 21887132
TI - Obsessive-compulsive disorder and memory-mixing in temporal comparison: is
implicit learning the missing link?
PMID- 21887133
TI - Time for zebrafish.
PMID- 21887134
TI - Defining the contributions of network clock models to millisecond timing.
PMID- 21887131
TI - Neurochemical characterization of the tree shrew dorsal striatum.
AB - The striatum is a major component of the basal ganglia and is associated with
motor and cognitive functions. Striatal pathologies have been linked to several
disorders, including Huntington's, Tourette's syndrome, obsessive-compulsive
disorders, and schizophrenia. For the study of these striatal pathologies
different animal models have been used, including rodents and non-human primates.
Rodents lack on morphological complexity (for example, the lack of well defined
caudate and putamen nuclei), which makes it difficult to translate data to the
human paradigm. Primates, and especially higher primates, are the closest model
to humans, but there are ever-increasing restrictions to the use of these animals
for research. In our search for a non-primate animal model with a striatum that
anatomically (and perhaps functionally) can resemble that of humans, we turned
our attention to the tree shrew. Evolutionary genetic studies have provided
strong data supporting that the tree shrews (Scadentia) are one of the closest
groups to primates, although their brain anatomy has only been studied in detail
for specific brain areas. Morphologically, the tree shrew striatum resembles the
primate striatum with the presence of an internal capsule separating the caudate
and putamen, but little is known about its neurochemical composition. Here we
analyzed the expression of calcium-binding proteins, the presence and
distribution of the striosome and matrix compartments (by the use of calbindin,
tyrosine hydroxylase, and acetylcholinesterase immunohistochemistry), and the
GABAergic system by immunohistochemistry against glutamic acid decarboxylase and
Golgi impregnation. In summary, our results show that when compared to primates,
the tree shrew dorsal striatum presents striking similarities in the distribution
of most of the markers studied, while presenting some marked divergences when
compared to the rodent striatum.
PMID- 21887135
TI - Origin and evolution of deep brain stimulation.
AB - This paper briefly describes how the electrical stimulation, used since antiquity
to modulate the nervous system, has been a fundamental tool of neurophysiologic
investigation in the second half of the eighteenth century and was subsequently
used by the early twentieth century, even for therapeutic purposes. In mid
twentieth century the advent of stereotactic procedures has allowed the drift
from lesional to stimulating technique of deep nuclei of the brain for
therapeutic purposes. In this way, deep brain stimulation (DBS) was born, that,
over the last two decades, has led to positive results for the treatment of
medically refractory Parkinson's disease, essential tremor, and dystonia. In
recent years, the indications for therapeutic use of DBS have been extended to
epilepsy, Tourette's syndrome, psychiatric diseases (depression, obsessive
compulsive disorder), some kinds of headache, eating disorders, and the minimally
conscious state. The potentials of the DBS for therapeutic use are fascinating,
but there are still many unresolved technical and ethical problems, concerning
the identification of the targets for each disease, the selection of the patients
and the evaluation of the results.
PMID- 21887136
TI - Contribution of Egr1/zif268 to Activity-Dependent Arc/Arg3.1 Transcription in the
Dentate Gyrus and Area CA1 of the Hippocampus.
AB - Egr1, a member of the Egr family of transcription factors, and Arc are immediate
early genes known to play major roles in synaptic plasticity and memory. Despite
evidence that Egr family members can control Arc transcriptional regulation,
demonstration of a selective role of Egr1 alone is lacking. We investigated the
extent to which activity-dependent Arc expression is dependent on Egr1 by
analyzing Arc mRNA expression using fluorescence insitu hybridization in the
dorsal dentate gyrus and CA1 of wild-type (WT) and Egr1 knockout mice. Following
electroconvulsive shock, we found biphasic expression of Arc in area CA1 in mice,
consisting in a rapid (30 min) and transient wave followed by a second late-phase
of expression (8 h), and a single but prolonged wave of expression in the dentate
gyrus. Egr1 deficiency abolished the latest, but not the early wave of Arc
expression in CA1, and curtailed that of the dentate gyrus. Since the early wave
of Arc expression was not affected in Egr1 mutant mice, we next analyzed
behaviorally induced Arc expression patterns as an index of neural ensemble
activation in the dentate gyrus and area CA1 of WT and Egr1 mutant mice. Spatial
exploration of novel or familiar environments induced in mice a single early and
transient wave of Arc expression in the dentate gyrus and area CA1, which were
not affected in Egr1 mutant mice. Analyses of Arc-expressing cells revealed that
exploration recruits similar size dentate gyrus and CA1 neural ensembles in WT
and Egr1 knockout mice. These findings suggest that hippocampal neural ensembles
are normally activated immediately following spatial exploration in Egr1 knockout
mice, indicating normal hippocampal encoding of information. They also provide
evidence that in condition of strong activation Egr1 alone can control late
phases of activity-dependent Arc transcription in the dentate gyrus and area CA1
of the hippocampus.
PMID- 21887137
TI - The Dopamine and Cannabinoid Interaction in the Modulation of Emotions and
Cognition: Assessing the Role of Cannabinoid CB1 Receptor in Neurons Expressing
Dopamine D1 Receptors.
AB - Although cannabinoid CB1 receptors (CB1Rs) are densely expressed in neurons
expressing dopamine D1 receptors (D1Rs), it is not fully understood to what
extent they modulate emotional behaviors. We used conditional CB1R knock-out
animals lacking CB1Rs in neurons expressing D1R (D1-CB1(-/-)) in order to answer
this question. To elucidate the behavioral effects of CB1R deficiency in this
specific neuronal subpopulation, we subjected D1-CB1(-/-) mice to a battery of
behavioral tests which included exploration-based tests, depressive-like
behavioral tests, social behavior, and fear-related memory paradigms. D1-CB1(-/-)
did not show any difference in the exploration-based paradigms such as open
field, elevated plus maze, or novel object investigation test, except for an
increase in novelty-induced grooming. By contrast, they showed a mild anhedonia
like state as described by the slightly decreased preference for sweet solution,
as compared to wild-type control group. This decrease, however, could be observed
only during the first day of exposure, thus suggesting increased neophobia as an
alternative explanation. Accordingly, mutant mice performed normally in the
forced swim test, a procedure widely used for evaluating behavioral despair in
rodents. However, weak- to moderate anxiety-like phenotypes were evident when D1
CB1(-/-) mice were tested for social behavior. Most strikingly, D1-CB1(-/-) mice
exhibited significantly increased contextual and auditory-cued fear, with
attenuated within session extinction, suggesting that a specific reduction of
endocannabinoid signaling in neurons expressing dopamine D1Rs is able to affect
acute fear adaptation. These results provided first direct evidence for a cross
talk between dopaminergic D1Rs and endocannabinoid system in terms of controlling
negative affect.
PMID- 21887138
TI - Relaxin-3-deficient mice showed slight alteration in anxiety-related behavior.
AB - Relaxin-3 is a neuropeptide belonging to the relaxin/insulin superfamily. Studies
using rodents have revealed that relaxin-3 is predominantly expressed in neurons
in the nucleus incertus (NI) of the pons, the axons of which project to forebrain
regions including the hypothalamus. There is evidence that relaxin-3 is involved
in several functions, including food intake and stress responses. In the present
study, we generated relaxin-3 gene knockout (KO) mice and examined them using a
range of behavioral tests of sensory/motor functions and emotion-related
behaviors. The results revealed that relaxin-3 KO mice exhibited normal growth
and appearance, and were generally indistinguishable from wild genotype
littermates. There was no difference in bodyweight among genotypes until at least
28 weeks after birth. In addition, there were no significant differences between
wild-type and KO mice in locomotor activity, social interaction, hot plate test
performance, fear conditioning, depression-like behavior, and Y-maze test
performance. However, in the elevated plus maze test, KO mice exhibited a robust
increase in the tendency to enter open arms, although they exhibited normal
performance in a light/dark transition test and showed no difference from wild
type mice in the time spent in central area in the open field test. On the other
hand, a significant increase in the acoustic startle response was observed in KO
mice. These results indicate that relaxin-3 is slightly involved in the anxiety
related behavior.
PMID- 21887139
TI - Gone but not Forgotten.
PMID- 21887140
TI - Functional Brain Network Characterization and Adaptivity during Task Practice in
Healthy Volunteers and People with Schizophrenia.
AB - Cognitive remediation involves task practice and may improve deficits in people
suffering from schizophrenia, but little is known about underlying
neurophysiological mechanisms. In people with schizophrenia and controls, we used
magnetoencephalography (MEG) to examine accuracy and practice-related changes in
parameters indexing neural network structure and activity, to determine whether
these might be useful assays of the efficacy of cognitive remediation. Two MEG
recordings were acquired during performance of a tone discrimination task used to
improve the acuity of auditory processing, before and after ~2.5 h of task
practice. Accuracy before practice was negatively correlated with beta-band cost
efficiency, a graph theoretical measure of network organization. Synthetic
aperture magnetometry was used to localize brain oscillations with high spatial
accuracy; results demonstrated sound and sensorimotor modulations of the beta
band in temporo-parietal regions and the sensorimotor cortex respectively. High
gamma activity also correlated with sensorimotor processing during the task, with
activation of auditory regions following sound stimulation, and activation of the
left sensorimotor cortex preceding the button press. High-gamma power in the left
frontal cortex was also found to correlate with accuracy. Following practice,
sound-induced broad-band power in the left angular gyri increased. Accuracy
improved and was found to correlate with increased mutual information (MI)
between sensors in temporal-parietal regions in the beta band but not global cost
efficiency. Based on these results, we conclude that hours of task practice can
induce meso-scale changes such as increased power in relevant brain regions as
well as changes in MI that correlate with improved accuracy.
PMID- 21887141
TI - Connecting Art and the Brain: An Artist's Perspective on Visual Indeterminacy.
AB - In this article I will discuss the intersection between art and neuroscience from
the perspective of a practicing artist. I have collaborated on several scientific
studies into the effects of art on the brain and behavior, looking in particular
at the phenomenon of "visual indeterminacy." This is a perceptual state in which
subjects fail to recognize objects from visual cues. I will look at the
background to this phenomenon, and show how various artists have exploited its
effect through the history of art. My own attempts to create indeterminate images
will be discussed, including some of the technical problems I faced in trying to
manipulate the viewer's perceptual state through paintings. Visual indeterminacy
is not widely studied in neuroscience, although references to it can be found in
the literature on visual agnosia and object recognition. I will briefly review
some of this work and show how my attempts to understand the science behind
visual indeterminacy led me to collaborate with psychophysicists and
neuroscientists. After reviewing this work, I will discuss the conclusions I have
drawn from its findings and consider the problem of how best to integrate
neuroscientific methods with artistic knowledge to create truly interdisciplinary
approach.
PMID- 21887142
TI - An LCD Monitor with Sufficiently Precise Timing for Research in Vision.
AB - Until now, liquid crystal display (LCD) monitors have not been used widely for
research in vision. Despite their main advantages of continuous illumination and
low electromagnetic emission, these monitors had problems with timing and
reliability. Here we report that there is at least one new inexpensive 120 Hz
model, whose timing and stability is on a par with a benchmark cathode-ray tube
monitor, or even better. The onset time was stable across repetitions, 95%
confidence interval (the error) of which was <0.01 ms. Brightness was also
delivered reliably across repeated presentations (<0.04% error) and across blocks
with different durations (<3% error). The LCD monitor seems suitable for many
applications in vision research, including the studies that require combined
accuracy of timing and intensity of visual stimulation.
PMID- 21887143
TI - The construction of semantic memory: grammar-based representations learned from
relational episodic information.
AB - After acquisition, memories underlie a process of consolidation, making them more
resistant to interference and brain injury. Memory consolidation involves systems
level interactions, most importantly between the hippocampus and associated
structures, which takes part in the initial encoding of memory, and the
neocortex, which supports long-term storage. This dichotomy parallels the
contrast between episodic memory (tied to the hippocampal formation), collecting
an autobiographical stream of experiences, and semantic memory, a repertoire of
facts and statistical regularities about the world, involving the neocortex at
large. Experimental evidence points to a gradual transformation of memories,
following encoding, from an episodic to a semantic character. This may require an
exchange of information between different memory modules during inactive periods.
We propose a theory for such interactions and for the formation of semantic
memory, in which episodic memory is encoded as relational data. Semantic memory
is modeled as a modified stochastic grammar, which learns to parse episodic
configurations expressed as an association matrix. The grammar produces tree-like
representations of episodes, describing the relationships between its main
constituents at multiple levels of categorization, based on its current knowledge
of world regularities. These regularities are learned by the grammar from
episodic memory information, through an expectation-maximization procedure,
analogous to the inside-outside algorithm for stochastic context-free grammars.
We propose that a Monte-Carlo sampling version of this algorithm can be mapped on
the dynamics of "sleep replay" of previously acquired information in the
hippocampus and neocortex. We propose that the model can reproduce several
properties of semantic memory such as decontextualization, top-down processing,
and creation of schemata.
PMID- 21887144
TI - Is Bile Acid Malabsorption Really a Common Feature of Crohn's Disease or is It
Simply a Consequence of Ileal Resection?
PMID- 21887145
TI - Altered social reward and attention in anorexia nervosa.
AB - Dysfunctional social reward and social attention are present in a variety of
neuropsychiatric disorders including autism, schizophrenia, and social anxiety.
Here we show that similar social reward and attention dysfunction are present in
anorexia nervosa (AN), a disorder defined by avoidance of food and extreme weight
loss. We measured the implicit reward value of social stimuli for female
participants with (n = 11) and without (n = 11) AN using an econometric choice
task and also tracked gaze patterns during free viewing of images of female faces
and bodies. As predicted, the reward value of viewing bodies varied inversely
with observed body weight for women with anorexia but not control women, in
contrast with their explicit ratings of attractiveness. Surprisingly, women with
AN, unlike control women, did not find female faces rewarding and avoided looking
at both the face and eyes - independent of observed body weight. These findings
suggest comorbid dysfunction in the neural circuits mediating gustatory and
social reward in anorexia nervosa.
PMID- 21887146
TI - Brain substrates of behavioral programs associated with self-regulation.
AB - The present paper proposes that four neuromodulator systems underpin highly
generalized behavioral sets, but each targets either dorsomedial or ventrolateral
cortical systems, where it produces its effects in either a proactive or reactive
orientation to the environment. This way systems are discriminated that control
reactive approach (dopaminergic), reactive avoidance (cholinergic), proactive
behavior (noradrenergic), and withdrawal (serotonergic). This model is compared
with models of temperament, affect, personality, and so-called two-system models
from psychology. Although the present model converges with previous models that
point to a basic scheme underlying temperamental and affective space, at the same
time it suggest that specific additional discriminations are necessary to improve
descriptive fit to data and solve inconsistencies and confusions. We demonstrate
how proactive and reactive actions and controls can be confused, and that this
has many potential implications for psychology and neurobiology. We uncover
conceptual problems regarding constructs such as effortful control, positive
affect, approach-avoidance, extraversion, impulsivity, impulse-control, and goal
directedness of behavior. By delineating those problems, our approach also opens
up ways to tackle them.
PMID- 21887148
TI - Neural correlates of contents and levels of consciousness.
AB - Experimental investigations of the neural substrate of consciousness typically
take one of two paths, studying (1) contents or (2) levels of consciousness. It
seems obvious to most that these two "paths" are interrelated, yet much less
obvious how. This paper gives one suggestion to grasp the interrelation, arguing
that conscious levels are determined by conscious contents in a very specific
way. It follows from the argument that conscious contents are so-called natural
kinds, whereas conscious levels are not.
PMID- 21887147
TI - Separate mechanisms for audio-tactile pitch and loudness interactions.
AB - A major goal in perceptual neuroscience is to understand how signals from
different sensory modalities are combined to produce stable and coherent
representations. We previously investigated interactions between audition and
touch, motivated by the fact that both modalities are sensitive to environmental
oscillations. In our earlier study, we characterized the effect of auditory
distractors on tactile frequency and intensity perception. Here, we describe the
converse experiments examining the effect of tactile distractors on auditory
processing. Because the two studies employ the same psychophysical paradigm, we
combined their results for a comprehensive view of how auditory and tactile
signals interact and how these interactions depend on the perceptual task.
Together, our results show that temporal frequency representations are
perceptually linked regardless of the attended modality. In contrast, audio
tactile loudness interactions depend on the attended modality: Tactile
distractors influence judgments of auditory intensity, but judgments of tactile
intensity are impervious to auditory distraction. Lastly, we show that audio
tactile loudness interactions depend critically on stimulus timing, while pitch
interactions do not. These results reveal that auditory and tactile inputs are
combined differently depending on the perceptual task. That distinct rules govern
the integration of auditory and tactile signals in pitch and loudness perception
implies that the two are mediated by separate neural mechanisms. These findings
underscore the complexity and specificity of multisensory interactions.
PMID- 21887149
TI - Introduction to the special topic embodied and grounded cognition.
PMID- 21887150
TI - Age-related changes in electrophysiological and neuropsychological indices of
working memory, attention control, and cognitive flexibility.
AB - Older adults exhibit great variability in their cognitive abilities, with some
maintaining high levels of performance on executive control tasks and others
showing significant deficits. Previous event-related potential (ERP) work has
shown that some of these performance differences are correlated with persistence
of the novelty/frontal P3 in older adults elicited by task-relevant events,
presumably reflecting variability in the capacity to suppress orienting to
unexpected but no longer novel events. In recent ERP work in young adults, we
showed that the operation-span (OSPAN) task (a measure of attention control) is
predictive of the ability of individuals to keep track of stimulus sequencing and
to maintain running mental representations of task stimuli, as indexed by the
parietally distributed P300 (or P3b). Both of these phenomena reflect aspects of
frontal function (cognitive flexibility and attention control, respectively). To
investigate these phenomena we sorted both younger and older adults into low- and
high-working memory spans and low- and high-cognitive flexibility subgroups, and
examined ERPs during an equal-probability choice reaction time task. For both age
groups (a) participants with high OSPAN scores were better able to keep track of
stimulus sequencing, as indicated by their smaller P3b to sequential changes; and
(b) participants with lower cognitive flexibility had larger P3a than their high
scoring counterparts. However, these two phenomena did not interact suggesting
that they manifest dissociable control mechanisms. Further, the fact that both
effects are already visible in younger adults suggests that at least some of the
brain mechanisms underlying individual differences in cognitive aging may already
operate early in life.
PMID- 21887151
TI - Surface structures involved in plant stomata and leaf colonization by shiga
toxigenic Escherichia coli o157:h7.
AB - Shiga-toxigenic Escherichia coli (STEC) O157:H7 uses a myriad of surface adhesive
appendages including pili, flagella, and the type 3 secretion system (T3SS) to
adhere to and inflict damage to the human gut mucosa. Consumption of contaminated
ground beef, milk, juices, water, or leafy greens has been associated with
outbreaks of diarrheal disease in humans due to STEC. The aim of this study was
to investigate which of the known STEC O157:H7 adherence factors mediate
colonization of baby spinach leaves and where the bacteria reside within tainted
leaves. We found that STEC O157:H7 colonizes baby spinach leaves through the
coordinated production of curli, the E. coli common pilus, hemorrhagic coli type
4 pilus, flagella, and T3SS. Electron microscopy analysis of tainted leaves
revealed STEC bacteria in the internal cavity of the stomata, in intercellular
spaces, and within vascular tissue (xylem and phloem), where the bacteria were
protected from the bactericidal effect of gentamicin, sodium hypochlorite or
ozonated water treatments. We confirmed that the T3S escN mutant showed a reduced
number of bacteria within the stomata suggesting that T3S is required for the
successful colonization of leaves. In agreement, non-pathogenic E. coli K-12
strain DH5alpha transformed with a plasmid carrying the locus of enterocyte
effacement (LEE) pathogenicity island, harboring the T3SS and effector genes,
internalized into stomata more efficiently than without the LEE. This study
highlights a role for pili, flagella, and T3SS in the interaction of STEC with
spinach leaves. Colonization of plant stomata and internal tissues may constitute
a strategy by which STEC survives in a nutrient-rich microenvironment protected
from external foes and may be a potential source for human infection.
PMID- 21887152
TI - Screening of an E. coli O157:H7 Bacterial Artificial Chromosome Library by
Comparative Genomic Hybridization to Identify Genomic Regions Contributing to
Growth in Bovine Gastrointestinal Mucus and Epithelial Cell Colonization.
AB - Enterohemorrhagic E. coli (EHEC) O157:H7 can cause serious gastrointestinal and
systemic disease in humans following direct or indirect exposure to ruminant
feces containing the bacterium. The main colonization site of EHEC O157:H7 in
cattle is the terminal rectum where the bacteria intimately attach to the
epithelium and multiply in the intestinal mucus. This study aimed to identify
genomic regions of EHEC O157:H7 that contribute to colonization and
multiplication at this site. A bacterial artificial chromosome (BAC) library was
generated from a derivative of the sequenced E. coli O157:H7 Sakai strain. The
library contains 1152 clones averaging 150 kbp. To verify the library, clones
containing a complete locus of enterocyte effacement (LEE) were identified by DNA
hybridization. In line with a previous report, these did not confer a type III
secretion (T3S) capacity to the K-12 host strain. However, conjugation of one of
the BAC clones into a strain containing a partial LEE deletion restored T3S.
Three hundred eighty-four clones from the library were subjected to two different
selective screens; one involved three rounds of adherence assays to bovine
primary rectal epithelial cells while the other competed the clones over three
rounds of growth in bovine rectal mucus. The input strain DNA was then compared
with the selected strains using comparative genomic hybridization (CGH) on an E.
coli microarray. The adherence assay enriched for pO157 DNA indicating the
importance of this plasmid for colonization of rectal epithelial cells. The mucus
assay enriched for multiple regions involved in carbohydrate utilization,
including hexuronate uptake, indicating that these regions provide a competitive
growth advantage in bovine mucus. This BAC-CGH approach provides a positive
selection screen that complements negative selection transposon-based screens. As
demonstrated, this may be of particular use for identifying genes with redundant
functions such as adhesion and carbon metabolism.
PMID- 21887153
TI - From wild strain to domesticated strain: the philosophy of microbial diversity in
foods.
PMID- 21887154
TI - Central role of dendritic cells in shaping the adaptive immune response during
respiratory syncytial virus infection.
AB - Respiratory syncytial virus (RSV) is the leading cause of lower respiratory tract
disease in young children. Premature infants, immunocompromised individuals and
the elderly exhibit the highest risk for the development of severe RSV-induced
disease. Murine studies demonstrate that CD8 T cells mediate RSV clearance from
the lungs. Murine studies also indicate that the host immune response contributes
to RSV-induced morbidity as T-cell depletion prevents the development of disease
despite sustained viral replication. Dendritic cells (DCs) play a central role in
the induction of the RSV-specific adaptive immune response. Following RSV
infection, lung-resident DCs acquire viral antigens, migrate to the lung-draining
lymph nodes and initiate the T-cell response. This article focuses on data
generated from both in vitro DC infection studies and RSV mouse models that
together have advanced our understanding of how RSV infection modulates DC
function and the subsequent impact on the adaptive immune response.
PMID- 21887155
TI - An unusual cause of apareunia.
AB - A 49-year-old woman with history of rheumatoid arthritis presented with worsening
pelvic pain. A pelvic computed tomography (CT) scan demonstrated a fracture and a
lytic expansile lesion within the right superior and inferior pubic rami. The
diagnosis of "insufficiency fractures secondary to rheumatoid arthritis" was
established. Six months later, she started describing pain and fullness sensation
in the vagina which eventually led to a complete apareunia. An x ray confirmed
healing of the previous fractures, fracture of both left superior and inferior
pubic rami, and an upwards shift of the right hemi-pelvis. Conservative
management was chosen. Twelve months later, no improvement occurred and she was
still apareunic. A "corrective osteotomy surgery" was performed and the displaced
pubic rami and ischial tuberosities were remodelled bilaterally through a
vertical incision over each labia majora. These bones were partially excised
until an adequate vaginal opening was established. Her symptoms have impressively
resolved with complete reverse of the apareunia.
PMID- 21887156
TI - Chronic graft-versus-host disease mimicking rapid progressive rheumatoid
arthritis with atlantoaxial subluxation.
AB - Chronic graft-versus-host disease (cGVHD) may mimic clinical and serological
features of various autoimmune diseases. We present a case of a 23-year-old man
who developed vitiligo, symmetric polyarthritis, high titre rheumatoid factor,
antinuclear antibodies and anti-double stranded DNA antibodies after allogenic
peripheral blood stem cell transplantation for severe aplastic anaemia. He was
treated with low dose oral steroids, non-steroid anti-inflammatory drugs and
azathioprine and clinical improvement of polyarthritis were observed initially.
However, atlantoaxial subluxation (C1-C2) and rapid progression of symmetrical
joint space narrowing in knees and wrists developed within 1 year. cGVHD
mimicking rheumatoid arthritis with unusual presentations was observed in this
patient.
PMID- 21887157
TI - Severe multisystem dysfunction in a case of high level exposure to smoked
cannabis.
AB - Cannabis use is common, controversial and its clinical toxicology is likely under
recognised. A 56-year-old man presented with disabling shortness of breath. He
smoked up to 7 g cannabis daily for 25 years (maximum 63 875 g) plus large
amounts of hashish oil. Chest x ray suggested giant bullae. CT of the chest
revealed over 40 bullae, the largest being 11 cm in diameter. Osteoporosis with
multiple vertebral crush fractures was noted on plain films and bone densitometry
(t=-3.19). His dental health was poor. Hypertension, complicated by a large
occipital stroke was shown by CT of the brain, and increased vascular age (69.8
years) found by pulse wave analysis. The case is significant as it indicates the
potential severity of cannabis lung damage and suggests that significant cannabis
exposure may cause osteoporosis and accelerated vascular ageing. The association
of alveolar destruction, bone lysis and destruction of arterial elastic laminae
suggest possible underlying mechanisms such as tissue proteinase activation,
immunomodulation or stem cell impairment.
PMID- 21887158
TI - Oesophageal anomaly in a newborn after maternal exposure to mycofenolate mofetil.
AB - Pregnancy in women with lupus nephritis is associated with increased risk of
fetal and maternal complications. The risk of poor outcome is higher if there are
signs of disease activity at conception. The presence of hypertension and anti
phospholipid antibodies worsens the prognosis. There are very few therapeutic
options in view of the threat of various congenital anomalies and associated
comorbidities. Mycofenolate mofetil (MMF) is contraindicated during pregnancy due
to risk of congenital anomalies and fetal loss. This is a case of a woman with
membranous lupus nephritis, who went into partial remission with rituximab and
became pregnant while on maintenance therapy with MMF. Due to lack of alternative
options, she continued to be given MMF. She had a successful outcome in spite of
the presence of the poor prognostic factors. The baby had asymptomatic non
communicating duplication of the oesophagus, which has never been reported before
in association with MMF during pregnancy.
PMID- 21887159
TI - Coronary artery dissection in adult-onset homocystinuria.
AB - The present report concerns the first case of a spontaneous arterial coronary
dissection in adult onset homocystinuria leading to a premature myocardial
infarct. The patient had also presented an unexplained lower limb venous
thrombosis at the age of 41. A carotid artery thrombosis was found at the aged of
61 during the investigations for facial nerve palsy. The diagnosis of
homocystinuria was delayed as it was only performed 20 years after the first
thrombotic event. From observation, a pectus carinatum was the only clinical
characteristic that could be related to homocystinuria phenotype. Cystathionine
beta-synthase (CBS) gene analysis showed compound heterozygous mutations. After 3
months of pyridoxine, the plasma homocysteine level was totally normalised.
PMID- 21887160
TI - Spontaneous resolution of lung opacities reported as metastases in a patient with
a history of leiomyosarcoma.
AB - This is a case of a 69-year-old woman who was diagnosed as having metastatic
leiomyosarcoma. She presented with multiple lung opacities on plain films and CT
scans following resection of a high-grade leiomyosarcoma of the uterus 16 months
earlier. The radiological, oncology, gynaecology and respiratory teams diagnosed
metastatic cancer on the basis of the clinical presentation and radiological
appearance. Surprisingly, despite no treatment, there was gradual resolution of
the lung lesions on plain films. A CT scan 18 months later showed full resolution
of the lesions. The consultants from the various specialities involved in this
case were unable to give a satisfactory explanation as to why these lesions
resolved.
PMID- 21887161
TI - Catastrophic gastrointestinal bleeding caused by aortoesophageal fistula
secondary to mycotic thoracic aortic aneurysm.
PMID- 21887163
TI - Midget submarine for diagnosing midgut lesions in children.
AB - Anaemia due to obscure gastrointestinal blood loss remains a challenge to the
clinician, especially when blood loss is severe enough to result in profound
anaemia while further investigations fail to identify the cause of bleeding. A
description of how wireless capsule endoscopy revealed a bleeding jejunal
haemangioma in a 2.5-year-old boy with recurrent anaemia is given. New techniques
such as wireless capsule endoscopy and double balloon enteroscopy are feasible
and provide a valuable adjunct to the diagnostic investigation of children with
obscure enteral blood loss.
PMID- 21887162
TI - Acute liver injury associated with the use of herbal preparations containing
glucosamine: three case studies.
AB - The use of complementary and alternative medicines is becoming increasingly
popular in Western society. As a result the number of reported adverse reactions
is increasing. Glucosamine is a herbal remedy commonly used to ease joint pain in
osteoarthritis, and only two previous reports of hepatotoxicity have been
published in the scientific literature. The present report describes three
patients who developed acute liver injury following exposure to glucosamine; one
patient made a complete recovery on cessation of ingestion, the second developed
chronic hepatitis and the third died following progression to fulminant hepatic
failure. A diagnosis of glucosamine-induced hepatotoxicity was made based on the
temporal relationship between onset of liver injury and glucosamine ingestion,
exclusion of all other potential aetiologies and, in the two surviving cases,
improvement in condition on withdrawal of the supplement.
PMID- 21887164
TI - Vesicoureteric reflux diagnosed by urethral catheterisation.
PMID- 21887165
TI - Neonatal respiratory distress: do not forget the rarer causes!
PMID- 21887166
TI - CSF shunt re-infections in children.
PMID- 21887167
TI - Reducing CSF shunt placement in patients with spinal myelomeningocele.
AB - OBJECT: The incidence of hydrocephalus requiring shunts in children with
myelomeningocele (MMC) is reported to be very high. Shunt-related complications
are a significant cause of morbidity and mortality in this population. In order
to minimize shunt placements, we used very rigid clinical selection criteria and
followed them in all patients who had myelomeningocele and enlarged ventricles.
The follow-up outcome of this retrospective study is reported. METHODS: From 2000
to 2007, 23 patients with myelomeningocele and variable degree of hydrocephalus
were treated at our institute with primary surgical closure of their
myelomeningoceles without a CSF diversion procedure. Patients with severe
hydrocephalus who required immediate shunt insertion, and those with no
significant associated hydrocephalus were not included in this study. Data
regarding the surgical results and complications, postoperative management, and
the outcome at follow-up were obtained from their hospital records. RESULTS:
Initially increased size of the ventricular system was found to have decreased or
stabilized in 17 (81%) patients postoperatively. However, ventriculomegaly
continued to progress further in 4 (19%) out of 21 patients. Of 11 patients who
presented with enlarged head, eight (73%) patients showed reduction or
stabilization in their head circumference. Three (27%) children continued to have
progressive head enlargement in the postoperative period and required shunt
placement. Signs of raised intracranial pressure observed in six patients on
admission, improved in two (33%) and persisted or worsened in four (67%) patients
who eventually improved after the insertion of a shunt. Eight (35%) patients
experienced wound-related complications following closure of the MMC, including
CSF leak in four, wound infection in three, wound breakdown in three, and
pseudomeningocele in two patients. Shunt placement was required in the
postoperative period in 13 (56.5%) patients to treat raised intracranial pressure
in 11 and CSF leak from the wound in two patients. CONCLUSIONS: Our experience
suggests that the placement of shunts can be reduced by adopting a policy with
strict clinical and radiographic criteria. Shunt insertion should be reserved for
only those patients who have severe hydrocephalus with clinical features of
elevated intracranial pressure. Mild to moderate ventricular dilatation,
persistent ventriculomagaly, and some increase in ventricular size after
myelomeningocele repair can be treated successfully without a shunt.
PMID- 21887168
TI - Choroid plexus papilloma in children: Diagnostic and surgical considerations.
AB - BACKGROUND: Choroid plexus papilloma (CPP) is a benign neoplasm that arises from
the ventricular choroid plexus. The clinical features, radiological
characteristics, and treatment have been discussed in this study for a pediatric
population. METHODS: Over an eight-year period, seven pediatric (<=12 years) CPP
patients were treated. Tumors were located in the lateral ventricle (n = 4), IVth
ventricle (n = 2), and in both the lateral and IIIrd ventricles (n = 1). The
patients presented predominantly with features of raised intracranial pressure.
Total microsurgical excision was carried out in all cases. RESULTS: There was
complete relief of symptoms at follow-up in six patients. A 2.5 year-old child
with a large trigonal CPP with hydrocephalus leading to complete visual
impairment, died due to postoperative hypokalemia that caused ventricular
fibrillation. One of our patients required a postoperative, permanent CSF
diversion procedure while another required a subduroperitoneal shunt for
persisting postoperative subdural CSF collection. CONCLUSIONS: Coagulation of the
tumor under constant irrigation to shrink and excise it in toto, avoids excessive
bleeding during surgery. The vascular pedicle supplying the tumor should be
adequately dealt with during the last part of tumor removal as retraction of a
bleeding pedicle may result in ventricular hemorrhage and brain edema. Following
surgery, an external ventricular drain for three days helps in preventing the
development of acute hydrocephalus in lateral ventricular lesions, and the color
of the drained CSF gives an estimate of the ventricular hemostasis achieved.
Total excision is usually possible in these cases with excellent postoperative
outcomes.
PMID- 21887169
TI - Transcerebellar stereotactic biopsy for brainstem lesions in children.
AB - Brain stem lesions are pathologically heterogeneous. Pre-operative radiological
diagnoses prove to be wrong in 10 to 20% of cases. It is therefore imperative to
have a tissue diagnosis for appropriate therapeutic measures. We report a series
of 24 patients (14 males, ten females, age range: 6-17 years) CT guided
stereotactic biopsy for brain stem lesions approached via the suboccipital
transcerebellar route in semi sitting position with principle used to violate
only one pial plane with the biopsy probe not entering the ventral surface of the
cerebellum. The inclusion and exclusion criteria with detailed material and
method are discussed. Histological diagnosis was established in 23 patients (96%)
with no procedure-related mortality. Our results indicate that stereotaxic
approach to brain-stem lesions provides a high yield of positive histological
diagnoses with a low incidence of morbidity. Awake CT-guided stereotactic biopsy
via the suboccipital transcerebellar route in a semi-sitting position is a safe,
reliable, and effective method for brainstem lesions that can obtain adequate
tissue for histological diagnosis, thus providing each patient with the best
available treatment.
PMID- 21887171
TI - Tissue expansion technique for closure of myelomeningocele.
PMID- 21887170
TI - Central nervous system infection in the pediatric population.
AB - Infection of the central nervous system is a life-threatening condition in the
pediatric population. Almost all agents can cause infection within the central
nervous system and the extent of infection ranges from diffuse involvement of the
meninges, brain, or the spinal cord to localized involvement presenting as a
space-occupying lesion. Modern imaging techniques define the anatomic region
infected, the evolution of the disease, and help in better management of these
patients. Acute bacterial meningitis remains a major cause of mortality and long
term neurological disability. Fortunately, the incidence of infection after clean
craniotomy is < 5%, but it leads to significant morbidity as well as fiscal loss.
The most significant causative factor in postcraniotomy infections is
postoperative CSF leak. Cerebral abscess related to organic congenital heart
disease is one of the leading causes of morbidity and mortality in the pediatric
population. The administration of prophylactic antibiotics is indicated for
contaminated and clean-contaminated wounds.
PMID- 21887172
TI - Olanzapine induced tardive dystonia in case of adolescent bipolar disorder.
AB - Atypical antipsychotics are increasingly being associated with neurological side
effects. Risperidone, quetiapine, and aripiprazole have been associated with
tardive dystonia among other side effects. Similarly, olanzapine has also been
associated with this troublesome effect. However, these reports are from cases of
nonaffective psychosis, specially schizophrenia. Moreover, the usual age of onset
of this neurological side effect has been reported to be in the midthirties or
later. We present here a case of tardive dystonia associated with the use of
olanzapine in an adolescent girl suffering from bipolar affective disorder. The
slight reduction in the severity of the symptoms with the stopping of olanzapine
and the reemergence of the full-blown symptoms with the reintroduction of
olanzapine, suggest the contributory role of olanzapine.
PMID- 21887173
TI - Acute flaccid paralysis due to rabies.
AB - TWO CLINICAL FORMS OF RABIES ARE RECOGNIZE: i) a furious form associated with
classical signs of excitation or phobic symptoms, ii) Dumb rabies (paralytic
rabies) characterized by progressive paralysis without an initial furious phase
wherein distinction from Guillain-Barre Syndrome may be difficult. Paralytic
rabies is more common in persons who have received postexposure vaccination. We
report here the diagnostic dilemma of two cases of acute flaccid paralysis due to
rabies.
PMID- 21887174
TI - Recurrent cerebral larva migrans: A case report and review of literature.
AB - Cerebral larva migrans (CLM) is an uncommon and rarely diagnosed entity. We
report here a histopathologically proven case of CLM in a 13 year-old girl, who
presented with bilateral focal seizures, right hemiparesis, and lapsed into
altered sensorium prior to admission. Her CT scan was suggestive of a
granulomatous lesion which eventually turned out to be CLM (toxocariasis).
Interestingly, the lesion recurred after excision, with a confusing clinical
picture. Following the second surgery, the pathology was reconfirmed and cure was
provided for the disease. There has been no further intracranial recurrence
during the past ten years although she developed cutaneous lesions.
PMID- 21887175
TI - Hydrocephalic holoprosencephaly: An oxymoron? Insights into etiology and
management.
AB - Holoprosencephaly is usually associated with microcephaly, although macrocephaly
is not uncommonly seen. However, the cause of hydrocephalus in holoprosencephaly
remains ill-defined. Here, the authors report a case of CSF ascites following
ventriculoperitoneal shunt placement in a five month-old child with alobar
holoprosencephaly, and hypothesize that the excessive CSF production which occurs
in this condition may be responsible for the formation of CSF ascites. Further
research is required to assess whether the gene responsible for holoprosencephaly
is also responsible for upregulating CSF production in patients with concomitant
hydrocephalus.
PMID- 21887176
TI - Respiratory depression during VP shunting in Arnold Chiari malformation Type-II,
a rare complication (Case reports and review of literature).
AB - The VP Shunt is a common pediatric surgical procedure in our country.
Hydrocephalus is commonly associated with meningomyelocele in Arnold Chiari
malformation-II and the ventriculoperitoneal shunt insertion is the common
surgical procedure for the management of hydrocephalus. The standard protocol is
to rule out any hydrocephalus by preoperative MRI. If associated with
hydrocephalus, insertion of the VP shunt is indicated before the repair of MMC
whereas the absence of hydrocephalus indicates that the surgical repair of MMC is
to be undertaken immediately. Anesthetic management of the patient during the
insertion of ventriculoperitoneal shunt may pose problems. We report here two
cases of ACM-II (lumbar MMC with associated hydrocephalus) who had respiratory
depression / delayed emergence after an otherwise uneventful procedure. Although
the VP shunt (first procedure) required postoperative ventilation which improved
later, the phenomena of respiratory depression / delayed emergence did not occur
after the MMC repair (second surgery). The possible mechanisms involved in these
events and their various clinical aspects are discussed below.
PMID- 21887177
TI - An adolescent girl with periodic hypersomnolence and megaphagia.
PMID- 21887178
TI - Primitive neuroectodermal tumor (MB) versus atypical teratoid/rhabdoid tumors, an
imaging dilemma!
PMID- 21887179
TI - Septo-optic dysplasia with olfactory tract hypoplasia.
PMID- 21887180
TI - Hyponatremia in a patient with meningitis and Crohn disease: Cerebral salt
wasting or SIADH?
PMID- 21887181
TI - Acquired epileptic aphasia: Landau-Kleffner syndrome.
PMID- 21887182
TI - Delleman syndrome with Goldenhar overlap.
PMID- 21887183
TI - Nondysraphic intradural spinal lipoma.
PMID- 21887184
TI - Meningiomas in children: A study of 18 cases.
AB - BACKGROUND: Intracranial meningiomas are rare tumors in children accounting for
0.4-4.6% of all primary brain tumors in the age group of 0-18 years. OBJECTIVE:
To retrospectively analyze the epidemiological profile, clinical features,
radiological findings, type of excision, histopathological findings, and overall
management profile of these patients. MATERIALS AND METHODS: Eighteen consecutive
cases of meningioma in patients under 18 years of age admitted and operated at
our institute between the years 1974-2005 were included in this study. RESULTS:
The mean age of patient at presentation to our hospital was 12.81 years. The male
to female ratio was 1.57:1. The median preoperative duration of symptoms was 1.2
years. An increased incidence was seen in patients with neurofibromatosis.
Intraventricular and skull base locations were common. Total tumor excision was
achieved in all cases. CONCLUSION: A higher incidence of atypical and aggressive
meningiomas is seen in children. Children with complete resection and a typical
benign histology have a good prognosis.
PMID- 21887185
TI - Outcome of endoscopic third ventriculostomy and Chhabra shunt system in
noncommunicating non-tumor childhood hydrocephalus.
AB - BACKGROUND: Endoscopic third ventriculostomy (ETV) the main alternative to
ventriculoperitoneal shunt (VPS) is just beginning to have a foothold in West
Africa. It provides a great opportunity for a hydrocephalic child to be shunt
free. The purpose of this paper is to compare outcome following ETV and VPS
(using the cheap Chhabra shunt) in children with noncommunicating non-tumoral
hydrocephalus in an environment where late presentation is the norm. MATERIALS
AND METHODS: Sixty-three pediatric patients (< 6 years) with hydrocephalus who
underwent a VPS or ETV at our hospital were included in this study. The study
period was of 30 months (January 2006 till June 2008). Clinically successful
outcome was defined as no event occurring during or after surgery that resulted
in an alternate surgical procedure, or significant post-operative complication
that includes death. All complications related to the procedures were also
analyzed. RESULTS: The outcome of surgical intervention was not significantly
related to the gender, age of the patient at surgery, or type of surgery. The
post-operative complication rate was similar in both groups. CONCLUSIONS: The
clinical outcome of ETV is comparable to that of VPS, with the added benefit of
no shunt-related problems; and being a short procedure, patient anesthesia and
operation time, hospital stay, and cost are significantly reduced. We consider
ETV to be the procedure of choice for the treatment of noncommunicating
nontumoral hydrocephalus in the pediatric population.
PMID- 21887186
TI - Effect of detethering on bladder function in children with myelomeningocele:
Urodynamic evaluation.
AB - AIM OF WORK: To study the effect of detethering of the cord on urodynamic changes
in patients with myelomeningocele. MATERIALS AND METHODS: We retrospectively
reviewed the urodynamic data of 37 patients. In all of them myelomeningocele
primary repair was carried out. The patients were divided into two groups: (1)
those who underwent detethering of the cord and (2) those who did not.
Neurourological examination, filling cystometry, assessment of bladder
management, and fecal continence were studied in all patients. RESULTS: Eleven
(29.7%) out of 37 patients underwent detethering of the cord. The mean age at
presentation was 10.1 +/- 4 and 10.8 +/- 7 years in groups 1 and 2, respectively
(P 0.7). Nocturnal and diurnal enuresis was found in 45% of group 1, while it was
found in 69% of group 2. Fecal soiling was detected in 18% in group 1 and in
38.5% in group 2. Mean bladder capacity was 210 +/- 125 cc and 199 +/- 120 cc for
groups 1 and 2, respectively (P 0.8). Uninhibited detrusor contractions were
noticed in nine patients (82%) of group 1 and in 21 patients (81%) of group 2.
Delta det LPP was lower in group 1 (35 +/- 19 cm H(2)O) than in group 2 (46 +/-
40 cm H(2)0). CONCLUSION: Detethering of the cord had a positive impact on
patients with myelomeningocele in terms of lowering of det LPP and accordingly
decreasing the risk of upper tract deterioration.
PMID- 21887187
TI - Candidal infections of ventriculoperitoneal shunts.
AB - Although ventriculoperitoneal (VP) shunt infection is a common complication of
shunt procedures, fungal infection is considered to be rare. In the present
study, we performed retrospective analysis of six cases in which candida
infection occurred. In all these six cases, VP shunt was performed in children
for hydrocephalus and the onset of symptoms varied between seven days to one
month after the surgical procedure was performed. The commonest clinical signs
and symptoms were fever (100%), vomiting (100%), and altered sensorium (50%). The
commonest isolate was Candida albicans (66.66%) followed by Candida parapsilosis
and Candida glabrata in one case each. All the patients were successfully treated
with Amphotericin B and there was no mortality recorded.
PMID- 21887188
TI - Adhesion molecule levels in serum and cerebrospinal fluid in children with
bacterial meningitis and sepsis.
AB - BACKGROUND: Adhesion molecules play a role in leukocyte recruitment during
central nervous system (CNS) inflammation. AIM: This study was designed to
compare serum, cerebrospinal fluid (CSF) concentrations of adhesion molecules in
children with meningitis and sepsis, and to evaluate their sources. SETTING: This
study was carried out at Pediatric Department, King Abdulaziz University Hospital
from January 2007 to June 2008. DESIGN: Serum and CSF samples were collected on
admission from meningitis (n = 40), sepsis (n = 20) patients, and sera from
controls (n = 20). MATERIALS AND METHODS: Endothelial (E), leukocyte (L),
platelet (P) selectins intercellular cell adhesion molecule-1 (ICAM-1), and
vascular cell adhesion molecules-1 (VCAM-1) were measured using ELISA.
STATISTICS: ANOVA and Spearman's correlations were used. Adhesion molecules with
albumin concentration were estimated in CSF/serum to calculate concentration
quotients. RESULTS: In meningitis, serum sE-, sL-, sP-selectins sICAM-1, sVCAM-1
levels were higher than controls. Compared to sepsis, serum sE-selectin, sL
selectin, sVCAM-1, CSF-sL-selectin, CSF-sVCAM-1, VCAM-1 ratio and index were
higher, while serum sP-selectin was lower than meningitis. sE-selectin ratio, CSF
sICAM-1 were higher in meningitis with positive than negative culture. The sE
selectin index was higher in meningitis with neurological complication than those
without it. In meningitis, correlation was found between CSF protein and CSF
white blood cell counts (WBCs), CSF sICAM-1, CSF sVCAM-1 and between CSF sE
selectin and CSF sICAM-1. CONCLUSIONS: This study supports the role of adhesion
molecules especially sL-selectin, sVCAM-1 in meningitis and suggests further
research to determine their use as biomarkers for meningitis and use of their
antagonists as therapeutic for CNS inflammation. The presence of discrepancy of
CSF/serum ratios for molecules of same molecular weight suggest intrathecal
shedding in addition to diffusion through the blood-CSF barrier.
PMID- 21887189
TI - Pediatric craniofacial surgery for craniosynostosis: Our experience and current
concepts: Part -1.
AB - Craniostenosis is a disease characterized by untimely fusion of cranial sutures
resulting in a variety of craniofacial deformities and neurological sequelae due
to alteration in cranial volume and restriction of brain growth. This involves
vault sutures predominantly, but cranial base is not immune. Association with a
variety of syndromes makes the management decision complex. These children need
careful evaluation by multiple specialists to have strategic treatment options.
Parental counseling is an important and integral part of the treatment. Recent
advancements in the surgical techniques and concept of team approach have
significantly enhanced the safety and outcome of these children. We had an
opportunity of treating 57 children with craniostenosis in the last 15 years at
our craniofacial service. Out of them, 40 were nonsyndromic and 17 were syndromic
variety. We describe our successful results along with individualized operative
technical modifications adopted based on the current understanding of the
disease.
PMID- 21887190
TI - Pediatric craniofacial surgery for craniosynostosis: Our experience and current
concepts: Parts -2.
AB - Craniostenosis associated with other syndromes poses several clinical and
management challenges. Involvement of cranial, facial, and systemic defects with
an underlying genetic abnormality needs comprehensive understanding, to plan
appropriate and safe treatment modalities. Often, these children require staging
involving several/multiple surgical procedures. Unsuccessful outcomes and
retrusion of the deformities are common in comparison to the nonsyndromic
variety. We present our experience in treating 17 children with syndromic
craniostenosis with successful outcomes and minimal morbidity. We also describe
the principles behind the staging. Technology adoption has improved the results
as well as reduced the complications to an acceptable minimum.
PMID- 21887191
TI - Endoscopic third ventriculostomy.
PMID- 21887192
TI - Role of biplane digital subtraction angiography, and 3D rotational angiography in
craniopagus twins: A case report, detailed pictorial evaluation, and review of
literature.
AB - Cranially conjoined twins (craniopagus) are regarded as one of the rarest human
malformations. Craniopagus represents 2 to 6% of conjoined twins and is the
rarest type of disorder. A conventional angiogram with three dimensions is needed
to confirm the exact extent of sharing of the arterial / venous tree. 3D
angiography was first proposed by CORNELIUS and advanced into clinical practice
by VOIGT in 1975. We present a case of craniopagus vertical type II twins,
evaluated for cerebral circulation.
PMID- 21887193
TI - Changes in the globus pallidus in chronic kernicterus.
AB - The objective of the study was to establish the diagnosis of kernicterus as a
cause of seizures and abnormal movements in a 1-year-old child. We performed an
MRI of the brain of the child on our 1.5 T scanner. The MRI of the patient showed
high signals on T2-weighted images in the globus pallidus bilaterally, with no
evidence of mass effect. Because of an increased risk of hearing loss, the brain
stem evoked response examination was also performed. The brain-stem evoked
response examination showed bilateral severe sensorineural hearing loss. The
presence of isolated hyperintense signals in basal ganglia (globus pallidi) was
very useful in the evaluation of the structural changes in posticteric bilirubin
encephalopathy.
PMID- 21887194
TI - Magnetic resonance imaging of bilateral mesial temporal sclerosis in chronic
kernicterus.
AB - Kernicterus is an encephalopathy resulting from the cerebral deposition of
unconjugated bilirubin in the neonatal period. We report a case of kernicterus
where MRI demonstrated bilateral symmetric high signal intensity and volume loss
in the hippocampus in addition to globus pallidus and subthalamic nucleus
hyperintensity onT2-weighted images. The authors believe that hippocampal
sclerosis, plays a role in adding specificity to the imaging diagnosis of
kernicterus.
PMID- 21887195
TI - Unusual complication of ventriculoperitoneal shunt surgery.
AB - Ventricular shunts are commonly employed in the management of hydrocephalus, and
numerous complications such as dissection or migration have been reported in the
literature besides shunt malfunction. We present a case of the migration of the
peritoneal catheter into the scrotum who attended at our institute. He was
managed successfully, but subsequently developed intraabdominal cystic swelling
for which he was reoperated.
PMID- 21887196
TI - Anal extrusion of a ventriculo peritoneal shunt tube: Endoscopic removal.
AB - We describe the extrusion of a ventriculo peritoneal shunt tube from the anus
into a 6-year-old boy following the placement of a ventriculo peritoneal shunt
for postoperative periventricular tumor-induced hydrocephalus. He was admitted
with a complaint of extrusion of a tube through anus on and off during bowel
evacuation. He was evaluated with an X-ray of the abdomen which was showing a
coiled tube in descending and sigmoid colon, confirmed by sigmoidoscopy. The
proximal end was exposed for external ventricular drainage and distal end was
removed endoscopically. The patient was watched for peritonitis and managed
conservatively. The proximal end of the tube was removed after 5 days of external
ventricular drainage and after ruling out ventriculitis and meningitis. Bowel
perforation by a ventriculo peritoneal tube is a rare complication. Diagnosis is
often difficult and delayed. Most of the bowel perforation is seen in young
patients.
PMID- 21887197
TI - Gluteal pseudophallus in a male child: A rare cutaneous marker of occult spinal
dysraphism.
AB - Congenital midline paraspinal cutaneous markers have been practically linked to
the location and nature of neural-tissue lesions. One of the most interesting
congenital midline paraspinal cutaneous markers has been the human tail in the
lumbosacral region, with underlying spinal dysraphism. Human tails have many
shapes and sizes and are usually localized to the lumbosacral region. After a
complete neurological examination, the MRI is the most sensitive diagnostic
modality to reveal the underlying occult spinal dysraphic state. Surgical
excision is aimed at untethering of the spinal cord in symptomatic children and
for aesthetic reasons in asymptomatic patients. Here we report an asymptomatic
male child with normal external genitilia, whose tail is attached to the gluteal
region like an adult phallus and investigations revealed an underlying spinal
dysraphic state.
PMID- 21887198
TI - Dandy-Walker variant associated with bipolar affective disorder.
AB - The Dandy-Walker malformation is a congenital brain malformation, typically
involving the fourth ventricle and the cerebellum. To date, the Dandy-Walker
syndrome has not been described in association with bipolar disorder type I
mania, and therefore we briefly report the case of a Dandy-Walker variant
associated with acute mania. A 10-year-old boy was brought by his mother to the
outpatient clinic of the Department of Psychiatry of a tertiary care hospital,
with symptoms of mania. The MRI brain of the patient showed a posterior fossa
cystic lesion, a giant cisterna magna communicating with the fourth ventricle and
mild hypoplasia of the cerebellar vermis, with the rest of the structures being
normal and no signs of hydrocephalus. These findings showed that the patient had
a Dandy-Walker variant. He responded partially to valproate and olanzepine, which
controlled the acute manic symptoms in the ward.
PMID- 21887199
TI - Therapeutic paradox in CNS tuberculosis.
PMID- 21887200
TI - Exencephaly in a live, full term fetus.
PMID- 21887201
TI - Congenital cystic eye with meningocele.
PMID- 21887202
TI - Kawasaki disease presenting atypically as meningoencephlitis.
PMID- 21887203
TI - Infantile nonconvulsive status epilepticus caused by herpes encephalitis.
PMID- 21887204
TI - Acute encephalopathy associated rotavirus gastroenteritis.
PMID- 21887205
TI - Glutaric aciduria type1: CT diagnosis.
PMID- 21887207
TI - Viral life cycles captured in three-dimensions with electron microscopy
tomography.
AB - Viruses hijack host cell functions and optimize them for viral replication
causing a severe threat to human health. However, viruses are also tools to
understand cell biology and they may be effective reagents in nano-medicine.
Studies from the molecular to cellular levels are aimed at understanding the
details of viral life cycles and the underlying virus-host interactions. Recent
developments in electron microscopy tomography allow viral and cellular events to
be observed in fine structural detail in three-dimension. By combining high
resolution structures of individual proteins and macro-complexes obtained by
crystallography and electron cryo-microscopy and image reconstruction with
reconstructions performed on sub-tomographic volumes, electron tomography has
advanced the structural and mechanistic understanding of virus infections both in
vitro and in host cells.
PMID- 21887206
TI - Statistical Optimization of Pharmacogenomics Association Studies: Key
Considerations from Study Design to Analysis.
AB - Research in human genetics and genetic epidemiology has grown significantly over
the previous decade, particularly in the field of pharmacogenomics.
Pharmacogenomics presents an opportunity for rapid translation of associated
genetic polymorphisms into diagnostic measures or tests to guide therapy as part
of a move towards personalized medicine. Expansion in genotyping technology has
cleared the way for widespread use of whole-genome genotyping in the effort to
identify novel biology and new genetic markers associated with pharmacokinetic
and pharmacodynamic endpoints. With new technology and methodology regularly
becoming available for use in genetic studies, a discussion on the application of
such tools becomes necessary. In particular, quality control criteria have
evolved with the use of GWAS as we have come to understand potential systematic
errors which can be introduced into the data during genotyping. There have been
several replicated pharmacogenomic associations, some of which have moved to the
clinic to enact change in treatment decisions. These examples of translation
illustrate the strength of evidence necessary to successfully and effectively
translate a genetic discovery. In this review, the design of pharmacogenomic
association studies is examined with the goal of optimizing the impact and
utility of this research. Issues of ascertainment, genotyping, quality control,
analysis and interpretation are considered.
PMID- 21887208
TI - Structural studies on antibody recognition and neutralization of viruses.
AB - The purpose of this brief review is to highlight how structural information can
elucidate antibody recognition and neutralization of viruses. Studies on human
rhinovirus demonstrated that antibodies need not induce conformational changes
for neutralization and that viruses do not conceal receptor-binding regions from
immune recognition. Ross River and Sindbis virus complexes were an early example
of using antibodies to demark receptor-binding regions. The structure of an
antibody bound to mouse norovirus is an example of antibodies binding to sharp
protrusions on flexible receptor-binding domains. Finally, the structure of
cucumber mosaic virus bound to a loop involved in aphid transmission demonstrated
the importance of the context of antigen presentation and what happens when an
antibody binds near an icosahedral symmetry axis.
PMID- 21887213
TI - The D153del mutation in GNB3 gene causes tissue specific signalling patterns and
an abnormal renal morphology in Rge chickens.
AB - BACKGROUND: The GNB3 gene is expressed in cone but not rod photoreceptors of
vertebrates, where it acts as the beta transducin subunit in the colour visual
transduction process. A naturally occurring mutation 'D153del' in the GNB3 gene
causes the recessively inherited blinding phenotype retinopathy globe enlarged
(rge) disease in chickens. GNB3 is however also expressed in most other
vertebrate tissues suggesting that the D153del mutation may exert pathological
effects that outlie from eye. PRINCIPAL FINDINGS: Recombinant studies in COS-7
cells that were transfected with normal and mutant recombinant GNB3 constructs
and subjected to cycloheximide chase showed that the mutant GNB3d protein had a
much shorter half life compared to normal GNB3. GNB3 codes for the Gbeta3 protein
subunit that, together with different Ggamma and Galpha subunits, activates and
regulates phosphorylation cascades in different tissues. As expected, the
relative levels of cGMP and cAMP secondary messengers and their activated kinases
such as MAPK, AKT and GRK2 were also found to be altered significantly in a
tissue specific manner in rge chickens. Histochemical analysis on kidney tissue
sections, from rge homozygous affected chickens, showed the chickens had
enlargement of the glomerular capsule, causing glomerulomegaly and
tubulointerstitial inflammation whereas other tissues (brain, heart, liver,
pancreas) were unaffected. SIGNIFICANCE: These findings confirm that the D153del
mutation in GNB3 gene targets GNB3 protein to early degradation. Lack of GNB3
signalling causes reduced phosphorylation activity of ERK2 and AKT leading to
severe pathological phenotypes such as blindness and renal abnormalities in rge
chickens.
PMID- 21887214
TI - A pilot randomised trial of induced blood-stage Plasmodium falciparum infections
in healthy volunteers for testing efficacy of new antimalarial drugs.
AB - BACKGROUND: Critical to the development of new drugs for treatment of malaria is
the capacity to safely evaluate their activity in human subjects. The approach
that has been most commonly used is testing in subjects with natural malaria
infection, a methodology that may expose symptomatic subjects to the risk of
ineffective treatment. Here we describe the development and pilot testing of a
system to undertake experimental infection using blood stage Plasmodium
falciparum parasites (BSP). The objectives of the study were to assess the
feasibility and safety of induced BSP infection as a method for assessment of
efficacy of new drug candidates for the treatment of P. falciparum infection.
METHODS AND FINDINGS: A prospective, unblinded, Phase IIa trial was undertaken in
19 healthy, malaria-naive, male adult volunteers who were infected with BSP and
followed with careful clinical and laboratory observation, including a sensitive,
quantitative malaria PCR assay. Volunteers were randomly allocated to treatment
with either of two licensed antimalarial drug combinations, artemether
lumefantrine (A/L) or atovaquone-proguanil (A/P). In the first cohort (n = 6)
where volunteers received ~360 BSP, none reached the target parasitemia of 1,000
before the day designated for antimalarial treatment (day 6). In the second and
third cohorts, 13 volunteers received 1,800 BSP, with all reaching the target
parasitemia before receiving treatment (A/L, n = 6; A/P, n = 7) The study
demonstrated safety in the 19 volunteers tested, and a significant difference in
the clearance kinetics of parasitemia between the drugs in the 13 evaluable
subjects, with mean parasite reduction ratios of 759 for A/L and 17 for A/P (95%
CI 120-4786 and 7-40 respectively; p<0.01). CONCLUSIONS: This system offers a
flexible and safe approach to testing the in vivo activity of novel
antimalarials. TRIAL REGISTRATION: ClinicalTrials.gov NCT01055002.
PMID- 21887215
TI - Effect of food residues on norovirus survival on stainless steel surfaces.
AB - BACKGROUND: In households and food processing plants, minute food residues left
behind from improper cleaning may influence the survivability of human norovirus
on surfaces. In this study, the survivability of norovirus on desiccated food
residue-attached stainless steel coupons was investigated. METHODOLOGY/PRINCIPAL
FINDINGS: Using murine norovirus-1 (MNV-1) as a surrogate of human norovirus, the
survivability of norovirus was investigated on lettuce, cabbage, or ground pork
attached stainless steel coupons. A 6.2 log MPN/ml of MNV-1 infectivity was
completely lost at day 30 in residue-free coupons, whereas only a 1.4 log MPN/ml
reduction was observed in coupons with residues. Moreover, the disinfective
effect of sodium hypochlorite was reduced when residues were present on the
coupons. CONCLUSIONS/SIGNIFICANCE: This study revealed that the food residues
increased the survivability and the resistance to chemicals of norovirus,
indicating the need of thorough cleaning in food processing plants and household
settings.
PMID- 21887216
TI - Sentinel surveillance of influenza-like-illness in two cities of the tropical
country of Ecuador: 2006-2010.
AB - BACKGROUND: Tropical countries are thought to play an important role in the
global behavior of respiratory infections such as influenza. The tropical country
of Ecuador has almost no documentation of the causes of acute respiratory
infections. The objectives of this study were to identify the viral agents
associated with influenza like illness (ILI) in Ecuador, describe what strains of
influenza were circulating in the region along with their epidemiologic
characteristics, and perform molecular characterization of those strains.
METHODOLOGY/FINDINGS: This is a prospective surveillance study of the causes of
ILI based on viral culture of oropharyngeal specimens and case report forms
obtained in hospitals from two cities of Ecuador over 4 years. Out of 1,702 cases
of ILI, nine viral agents were detected in 597 patients. During the time of the
study, seven genetic variants of influenza circulated in Ecuador, causing six
periods of increased activity. There appeared to be more heterogeneity in the
cause of ILI in the tropical city of Guayaquil when compared with the Andean city
of Quito. CONCLUSIONS/SIGNIFICANCE: This was the most extensive documentation of
the viral causes of ILI in Ecuador to date. Influenza was a common cause of ILI
in Ecuador, causing more than one outbreak per year. There was no well defined
influenza season although there were periods of time when no influenza was
detected alternating with epidemics of different variant strains.
PMID- 21887217
TI - Sodium coupled bicarbonate influx regulates intracellular and apical pH in
cultured rat caput epididymal epithelium.
AB - BACKGROUND: The epithelium lining the epididymis provides an optimal acidic fluid
microenvironment in the epididymal tract that enable spermatozoa to complete the
maturation process. The present study aims to investigate the functional role of
Na(+)/HCO(3)(-) cotransporter in the pH regulation in rat epididymis.
METHOD/PRINCIPAL FINDINGS: Immunofluorescence staining of pan cytokeratin in the
primary culture of rat caput epididymal epithelium showed that the system was a
suitable model for investigating the function of epididymal epithelium.
Intracellular and apical pH were measured using the fluorescent pH sensitive
probe carboxy-seminaphthorhodafluor-4F acetoxymethyl ester (SNARF-4F) and
sparklet pH electrode respectively to explore the functional role of rat
epididymal epithelium. In the HEPES buffered Krebs-Henseleit (KH) solution, the
intracellular pH (pHi) recovery from NH(4)Cl induced acidification in the
cultured caput epididymal epithelium was completely inhibited by amiloride, the
inhibitor of Na(+)/H(+) exchanger (NHE). Immediately changing of the KH solution
from HEPES buffered to HCO(3)(-) buffered would cause another pHi recovery. The
pHi recovery in HCO(3)(-) buffered KH solution was inhibited by 4,
4diisothiocyanatostilbene-2,2-disulfonic acid (DIDS), the inhibitor of HCO(3)(-)
transporter or by removal of extracellular Na(+). The extracellular pH
measurement showed that the apical pH would increase when adding DIDS to the
apical side of epididymal epithelial monolayer, however adding DIDS to the
basolateral side had no effect on apical pH. CONCLUSIONS: The present study shows
that sodium coupled bicarbonate influx regulates intracellular and apical pH in
cultured caput epididymal epithelium.
PMID- 21887218
TI - Anti-TNF-alpha therapy enhances the effects of enzyme replacement therapy in rats
with mucopolysaccharidosis type VI.
AB - BACKGROUND: Although enzyme replacement therapy (ERT) is available for several
lysosomal storage disorders, the benefit of this treatment to the skeletal system
is very limited. Our previous work has shown the importance of the Toll-like
receptor 4/TNF-alpha inflammatory pathway in the skeletal pathology of the
mucopolysaccharidoses (MPS), and we therefore undertook a study to examine the
additive benefit of combining anti-TNF-alpha therapy with ERT in a rat model of
MPS type VI. METHODOLOGY/PRINCIPAL FINDINGS: MPS VI rats were treated for 8
months with Naglazyme(r) (recombinant human N-acetyl-galactosamine-4-sulfatase),
or by a combined protocol using Naglazyme(r) and the rat-specific anti-TNF-alpha
drug, CNTO1081. Both protocols led to markedly reduced serum levels of TNF-alpha
and RANKL, although only the combined treatment reduced TNF-alpha in the
articular cartilage. Analysis of cultured articular chondrocytes showed that the
combination therapy also restored collagen IIA1 expression, and reduced
expression of the apoptotic marker, PARP. Motor activity and mobility were
improved by ERT, and these were significantly enhanced by combination treatment.
Tracheal deformities in the MPS VI animals were only improved by combination
therapy, and there was a modest improvement in bone length. Ceramide levels in
the trachea also were markedly reduced. MicroCT analysis did not demonstrate any
significant positive effects on bone microarchitecture from either treatment, nor
was there histological improvement in the bone growth plates.
CONCLUSIONS/SIGNIFICANCE: The results demonstrate that combining ERT with anti
TNF-alpha therapy improved the treatment outcome and led to significant clinical
benefit. They also further validate the usefulness of TNF-alpha, RANKL and other
inflammatory molecules as biomarkers for the MPS disorders. Further evaluation of
this combination approach in other MPS animal models and patients is warranted.
PMID- 21887219
TI - Rec-DCM-Eigen: reconstructing a less parsimonious but more accurate tree in
shorter time.
AB - Maximum parsimony (MP) methods aim to reconstruct the phylogeny of extant species
by finding the most parsimonious evolutionary scenario using the species' genome
data. MP methods are considered to be accurate, but they are also computationally
expensive especially for a large number of species. Several disk-covering methods
(DCMs), which decompose the input species to multiple overlapping subgroups (or
disks), have been proposed to solve the problem in a divide-and-conquer way. We
design a new DCM based on the spectral method and also develop the COGNAC
(Comparing Orders of Genes using Novel Algorithms and high-performance Computers)
software package. COGNAC uses the new DCM to reduce the phylogenetic tree search
space and selects an output tree from the reduced search space based on the MP
principle. We test the new DCM using gene order data and inversion distance. The
new DCM not only reduces the number of candidate tree topologies but also
excludes erroneous tree topologies which can be selected by original MP methods.
Initial labeling of internal genomes affects the accuracy of MP methods using
gene order data, and the new DCM enables more accurate initial labeling as well.
COGNAC demonstrates superior accuracy as a consequence. We compare COGNAC with
FastME and the combination of the state of the art DCM (Rec-I-DCM3) and GRAPPA.
COGNAC clearly outperforms FastME in accuracy. COGNAC--using the new DCM--also
reconstructs a much more accurate tree in significantly shorter time than GRAPPA
with Rec-I-DCM3.
PMID- 21887221
TI - The immuno-dynamics of conflict intervention in social systems.
AB - We present statistical evidence and dynamical models for the management of
conflict and a division of labor (task specialization) in a primate society. Two
broad intervention strategy classes are observed--a dyadic strategy--pacifying
interventions, and a triadic strategy--policing interventions. These strategies,
their respective degrees of specialization, and their consequences for conflict
dynamics can be captured through empirically-grounded mathematical models
inspired by immuno-dynamics. The spread of aggression, analogous to the
proliferation of pathogens, is an epidemiological problem. We show analytically
and computationally that policing is an efficient strategy as it requires only a
small proportion of a population to police to reduce conflict contagion.
Policing, but not pacifying, is capable of effectively eliminating conflict.
These results suggest that despite implementation differences there might be
universal features of conflict management mechanisms for reducing contagion-like
dynamics that apply across biological and social levels. Our analyses further
suggest that it can be profitable to conceive of conflict management strategies
at the behavioral level as mechanisms of social immunity.
PMID- 21887220
TI - Cyclophilin E functions as a negative regulator to influenza virus replication by
impairing the formation of the viral ribonucleoprotein complex.
AB - BACKGROUND: The nucleoprotein (NP) of influenza A virus is a multifunctional
protein that plays a critical role in the replication and transcription of the
viral genome. Therefore, examining host factors that interact with NP may shed
light on the mechanism of host restriction barriers and the tissue tropism of
influenza A virus. Here, Cyclophilin E (CypE), a member of the peptidyl-propyl
cis-trans isomerase (PPIase) family, was found to bind to NP and inhibit viral
replication and transcription. METHODOLOGY/PRINCIPAL FINDINGS: In the present
study, CypE was found to interact with NP but not with the other components of
the viral ribonucleoprotein complex (VRNP): PB1, PB2, and PA. Mutagenesis data
revealed that the CypE domain comprised of residues 137-186 is responsible for
its binding to NP. Functional analysis results indicated that CypE is a negative
regulator in the influenza virus life cycle. Furthermore, knock-down of CypE
resulted in increased levels of three types of viral RNA, suggesting that CypE
negatively affects viral replication and transcription. Moreover, up-regulation
of CypE inhibited the activity of influenza viral polymerase. We determined that
the molecular mechanism by which CypE negatively regulates influenza virus
replication and transcription is by interfering with NP self-association and the
NP-PB1 and NP-PB2 interactions. CONCLUSIONS/SIGNIFICANCE: CypE is a host
restriction factor that inhibits the functions of NP, as well as viral
replication and transcription, by impairing the formation of the vRNP. The data
presented here will help us to better understand the molecular mechanisms of host
restriction barriers, host adaptation, and tissue tropism of influenza A virus.
PMID- 21887222
TI - Modulation of hydrogen peroxide production in cellular systems by low level
magnetic fields.
AB - Increased generation of reactive oxygen species (ROS) and an altered redox status
have long been observed in cancer cells, suggesting that ROS might be involved in
the development of these cells. However, recent studies suggest that inducing an
excess of ROS in cancer cells can be exploited for therapeutic benefits. Cancer
cells in advanced stage tumors frequently exhibit multiple genetic alterations
and high oxidative stress, suggesting that it might be possible to preferentially
modulate the development of these cells by controlling their ROS production. Low
levels of ROS are also important for the development and survival of normal
cells. In this manuscript, we present data on the influence of the suppression of
the Earth's magnetic field (low level magnetic fields or LLF) which magnitudes
range from 0.2 uT to 2 uT on the modulation of hydrogen peroxide (H(2)O(2)) in
human fibrosarcoma cancer cell line HT1080, pancreatic AsPC-1 cancer cell line,
and bovine pulmonary artery endothelial cells (PAEC) exposed to geomagnetic field
(control; 45 uT-60 uT). Reduction of the Earth's magnetic field suppressed
H(2)O(2) production in cancer cells and PAEC. The addition of catalase and
superoxide dismutase (SOD) mimetic MnTBAP inhibited the magnetic field effect.
Modulating ROS production by magnetic fields may open new venues of biomedical
research and therapeutic strategies.
PMID- 21887223
TI - Lymphocyte cell-cycle inhibition by HLA-G is mediated by phosphatase SHP-2 and
acts on the mTOR pathway.
AB - Human leukocyte antigen G (HLA-G) is involved in regulating T-cell responses
through its interaction with inhibitory receptors belonging to the immunoglobulin
like transcript family (ILT). In this context, we investigated the pathways
involved in the control of cell-cycle entry of T cells following HLA-G
interaction with its inhibitory receptor. We show that HLA-G acts through its
interaction with the LILRB1 receptor expressed on T lymphocytes. Both HLA-G and
LILRB1 antibodies block the inhibitory effect of HLA-G and restore T-cell
proliferation. The interaction of HLA-G with T lymphocytes is associated with
phosphorylation of SHP-2 phosphatase, but not SHP-1. In addition, in activated T
cells, their incubation with HLA-G is not associated with a decrease in the TCR
or CD28 downstream pathways, but is associated with dephosphorylation of the mTOR
molecule and p70S6K. In contrast, Akt, which acts upstream of mTOR, is not
affected by HLA-G. The inhibition of SHP-2 by NSC-87877(5 uM), a chemical
inhibitor of SHP-2, or the use of siRNA, abrogates dephosphorylation of mTOR and
impairs the overexpression of p27(kip) in the presence of HLA-G. Together, these
results indicate that HLA-G is associated with activation of phosphatase SHP-2,
which inhibits the mTOR pathway and favors the inhibition of the cell-cycle entry
of human-activated T cells.
PMID- 21887224
TI - MicroRNA expression data reveals a signature of kidney damage following ischemia
reperfusion injury.
AB - Ischemia reperfusion injury (IRI) is a leading cause of acute kidney injury, a
common problem worldwide associated with significant morbidity and mortality. We
have recently examined the role of microRNAs (miRs) in renal IRI using expression
profiling. Here we conducted mathematical analyses to determine if differential
expression of miRs can be used to define a biomarker of renal IRI. Principal
component analysis (PCA) was combined with spherical geometry to determine
whether samples that underwent renal injury as a result of IRI can be
distinguished from controls based on alterations in miR expression using our data
set consisting of time series measuring 571 miRs. Using PCA, we examined whether
changes in miR expression in the kidney following IRI have a distinct direction
when compared to controls based on the trajectory of the first three principal
components (PCs) for our time series. We then used Monte Carlo methods and
spherical geometry to assess the statistical significance of these directions. We
hypothesized that if IRI and control samples exhibit distinct directions, then
miR expression can be used as a biomarker of injury. Our data reveal that the
pattern of miR expression in the kidney following IRI has a distinct direction
based on the trajectory of the first three PCs and can be distinguished from
changes observed in sham controls. Analyses of samples from immunodeficient mice
indicated that the changes in miR expression observed following IRI were
lymphocyte independent, and therefore represent a kidney intrinsic response to
injury. Together, these data strongly support the notion that IRI results in
distinct changes in miR expression that can be used as a biomarker of injury.
PMID- 21887226
TI - Predicting the physiological role of circadian metabolic regulation in the green
alga Chlamydomonas reinhardtii.
AB - Although the number of reconstructed metabolic networks is steadily growing,
experimental data integration into these networks is still challenging. Based on
elementary flux mode analysis, we combine sequence information with metabolic
pathway analysis and include, as a novel aspect, circadian regulation. While
minimizing the need of assumptions, we are able to predict changes in the
metabolic state and can hypothesise on the physiological role of circadian
control in nitrogen metabolism of the green alga Chlamydomonas reinhardtii.
PMID- 21887225
TI - Dominating biological networks.
AB - Proteins are essential macromolecules of life that carry out most cellular
processes. Since proteins aggregate to perform function, and since protein
protein interaction (PPI) networks model these aggregations, one would expect to
uncover new biology from PPI network topology. Hence, using PPI networks to
predict protein function and role of protein pathways in disease has received
attention. A debate remains open about whether network properties of
"biologically central (BC)" genes (i.e., their protein products), such as those
involved in aging, cancer, infectious diseases, or signaling and drug-targeted
pathways, exhibit some topological centrality compared to the rest of the
proteins in the human PPI network.To help resolve this debate, we design new
network-based approaches and apply them to get new insight into biological
function and disease. We hypothesize that BC genes have a topologically central
(TC) role in the human PPI network. We propose two different concepts of
topological centrality. We design a new centrality measure to capture complex
wirings of proteins in the network that identifies as TC those proteins that
reside in dense extended network neighborhoods. Also, we use the notion of
domination and find dominating sets (DSs) in the PPI network, i.e., sets of
proteins such that every protein is either in the DS or is a neighbor of the DS.
Clearly, a DS has a TC role, as it enables efficient communication between
different network parts. We find statistically significant enrichment in BC genes
of TC nodes and outperform the existing methods indicating that genes involved in
key biological processes occupy topologically complex and dense regions of the
network and correspond to its "spine" that connects all other network parts and
can thus pass cellular signals efficiently throughout the network. To our
knowledge, this is the first study that explores domination in the context of PPI
networks.
PMID- 21887227
TI - Photosynthetic responses to heat treatments at different temperatures and
following recovery in grapevine (Vitis amurensis L.) leaves.
AB - BACKGROUND: The electron transport chain, Rubisco and stomatal conductance are
important in photosynthesis. Little is known about their combined responses to
heat treatment at different temperatures and following recovery in grapevines
(Vitis spp.) which are often grown in climates with high temperatures.
METHODOLOGY/FINDINGS: The electron transport function of photosystem II, the
activation state of Rubisco and the influence of stomatal behavior were
investigated in grapevine leaves during heat treatments and following recovery.
High temperature treatments included 35, 40 and 45 degrees C, with 25 degrees C
as the control and recovery temperature. Heat treatment at 35 degrees C did not
significantly (P>0.05) inhibit net photosynthetic rate (P(n)). However, with
treatments at 40 and 45 degrees C, P(n) was decreased, accompanied by an increase
in substomatal CO(2) concentration (C(i)), decreases in stomatal conductance
(g(s)) and the activation state of Rubisco, and inhibition of the donor side and
the reaction center of PSII. The acceptor side of PSII was inhibited at 45
degrees C but not at 40 degrees C. When grape leaves recovered following heat
treatment, P(n), g(s) and the activation state of Rubisco also increased, and the
donor side and the reaction center of PSII recovered. The increase in P(n) during
the recovery period following the second 45 degrees C stress was slower than that
following the 40 degrees C stress, and these increases corresponded to the donor
side of PSII and the activation state of Rubisco. CONCLUSIONS: Heat treatment at
35 degrees C did not significantly (P>0.05) influence photosynthesis. The
decrease of P(n) in grape leaves exposed to more severe heat stress (40 or 45
degrees C) was mainly attributed to three factors: the activation state of
Rubisco, the donor side and the reaction center of PSII. However, the increase of
P(n) in grape leaves following heat stress was also associated with a stomatal
response. The acceptor side of PSII in grape leaves was responsive but less
sensitive to heat stress.
PMID- 21887228
TI - Phage displayed peptides to avian H5N1 virus distinguished the virus from other
viruses.
AB - The purpose of the current study was to identify potential ligands and develop a
novel diagnostic test to highly pathogenic avian influenza A virus (HPAI),
subtype H5N1 viruses using phage display technology. The H5N1 viruses were used
as an immobilized target in a biopanning process using a 12-mer phage display
random peptide library. After five rounds of panning, three phages expressing
peptides HAWDPIPARDPF, AAWHLIVALAPN or ATSHLHVRLPSK had a specific binding
activity to H5N1 viruses were isolated. Putative binding motifs to H5N1 viruses
were identified by DNA sequencing. In terms of the minimum quantity of viruses,
the phage-based ELISA was better than antiserum-based ELISA and a manual, semi
quantitative endpoint RT-PCR for detecting H5N1 viruses. More importantly, the
selected phages bearing the specific peptides to H5N1 viruses were capable of
differentiating this virus from other avian viruses in enzyme-linked
immunosorbent assays.
PMID- 21887229
TI - Hard-object feeding in sooty mangabeys (Cercocebus atys) and interpretation of
early hominin feeding ecology.
AB - Morphology of the dentofacial complex of early hominins has figured prominently
in the inference of their dietary adaptations. Recent theoretical analysis of
craniofacial morphology of Australopithecus africanus proposes that skull form in
this taxon represents adaptation to feeding on large, hard objects. A modern
analog for this specific dietary specialization is provided by the West African
sooty mangabey, Cercocebus atys. This species habitually feeds on the large,
exceptionally hard nuts of Sacoglottis gabonensis, stereotypically crushing the
seed casings using their premolars and molars. This type of behavior has been
inferred for A. africanus based on mathematical stress analysis and aspects of
dental wear and morphology. While postcanine megadontia, premolar enlargement and
thick molar enamel characterize both A. africanus and C. atys, these features are
not universally associated with durophagy among living anthropoids. Occlusal
microwear analysis reveals complex microwear textures in C. atys unlike those
observed in A. africanus, but more closely resembling textures observed in
Paranthropus robustus. Since sooty mangabeys process hard objects in a manner
similar to that proposed for A. africanus, yet do so without the craniofacial
buttressing characteristic of this hominin, it follows that derived features of
the australopith skull are sufficient but not necessary for the consumption of
large, hard objects. The adaptive significance of australopith craniofacial
morphology may instead be related to the toughness, rather than the hardness, of
ingested foods.
PMID- 21887231
TI - Quantifying species' range shifts in relation to climate change: a case study of
Abies spp. in China.
AB - Predicting species range shifts in response to climatic change is a central
aspect of global change studies. An ever growing number of species have been
modeled using a variety of species distribution models (SDMs). However,
quantitative studies of the characteristics of range shifts are rare, predictions
of range changes are hard to interpret, analyze and summarize, and comparisons
between the various models are difficult to make when the number of species
modeled is large. Maxent was used to model the distribution of 12 Abies spp. in
China under current and possible future climate conditions. Two fuzzy set defined
indices, range increment index (I) and range overlapping index (O), were used to
quantify range shifts of the chosen species. Correlation analyses were used to
test the relationships between these indices and species distribution
characteristics. Our results show that Abies spp. range increments (I) were
highly correlated with longitude, latitude, and mean roughness of their current
distributions. Species overlapping (O) was moderately, or not, correlated with
these parameters. Neither range increments nor overlapping showed any correlation
with species prevalence. These fuzzy sets defined indices provide ideal measures
of species range shifts because they are stable and threshold-free. They are
reliable indices that allow large numbers of species to be described, modeled,
and compared on a variety of taxonomic levels.
PMID- 21887230
TI - Mobilisation of hematopoietic CD34+ precursor cells in patients with acute stroke
is safe--results of an open-labeled non randomized phase I/II trial.
AB - BACKGROUND: Regenerative strategies in the treatment of acute stroke may have
great potential. Hematopoietic growth factors mobilize hematopoietic stem cells
and may convey neuroprotective effects. We examined the safety, potential
functional and structural changes, and CD34(+) cell-mobilization characteristics
of G-CSF treatment in patients with acute ischemic stroke. METHODS AND RESULTS:
Three cohorts of patients (8, 6, and 6 patients per cohort) were treated
subcutaneously with 2.5, 5, or 10 ug/kg body weight rhG-CSF for 5 consecutive
days within 12 hrs of onset of acute stroke. Standard treatment included i.v.
thrombolysis. Safety monitoring consisted of obtaining standardized clinical
assessment scores, monitoring of CD34(+) stem cells, blood chemistry, serial
neuroradiology, and neuropsychology. Voxel-guided morphometry (VGM) enabled an
assessment of changes in the patients' structural parenchyma. 20 patients (mean
age 55 yrs) were enrolled in this study, 5 of whom received routine thrombolytic
therapy with r-tPA. G-CSF treatment was discontinued in 4 patients because of
unrelated adverse events. Mobilization of CD34(+) cells was observed with no
concomitant changes in blood chemistry, except for an increase in the leukocyte
count up to 75,500/ul. Neuroradiological and neuropsychological follow-up studies
did not disclose any specific G-CSF toxicity. VGM findings indicated substantial
atrophy of related hemispheres, a substantial increase in the CSF space, and a
localized increase in parenchyma within the ischemic area in 2 patients.
CONCLUSIONS: We demonstrate a good safety profile for daily administration of G
CSF when begun within 12 hours after onset of ischemic stroke and, in part in
combination with routine i.v. thrombolysis. Additional analyses using VGM and a
battery of neuropsychological tests indicated a positive functional and
potentially structural effect of G-CSF treatment in some of our patients. TRIAL
REGISTRATION: German Clinical Trial Register DRKS 00000723.
PMID- 21887232
TI - FAK regulates intestinal epithelial cell survival and proliferation during
mucosal wound healing.
AB - BACKGROUND: Following damage to the intestinal epithelium, restoration of
epithelial barrier integrity is triggered by a robust proliferative response. In
other tissues, focal adhesion kinase (FAK) regulates many of the cellular
processes that are critical for epithelial homeostasis and restitution, including
cell migration, proliferation and survival. However, few studies to date have
determined how FAK contributes to mucosal wound healing in vivo. METHODOLOGY AND
PRINCIPAL FINDINGS: To examine the role of FAK in intestinal epithelial
homeostasis and during injury, we generated intestinal epithelium (IE)-specific
conditional FAK knockout mice. Colitis was induced with dextran-sulfate-sodium
(DSS) and intestinal tissues were analyzed by immunohistochemistry and
immunoblotting. While intestinal development occurred normally in mice lacking
FAK, FAK-deficient animals were profoundly susceptible to colitis. The loss of
epithelial FAK resulted in elevated p53 expression and an increased sensitivity
to apoptosis, coincident with a failure to upregulate epithelial cell
proliferation. FAK has been reported to function as a mechanosensor, inducing
cyclin D1 expression and promoting cell cycle progression under conditions in
which tissue/matrix stiffness is increased. Collagen deposition, a hallmark of
inflammatory injury resulting in increased tissue rigidity, was observed in
control and FAK knockout mice during colitis. Despite this fibrotic response, the
colonic epithelium in FAK-deficient mice exhibited significantly reduced cyclin
D1 expression, suggesting that proliferation is uncoupled from fibrosis in the
absence of FAK. In support of this hypothesis, proliferation of Caco-2 cells
increased proportionally with matrix stiffness in vitro only under conditions of
normal FAK expression; FAK depleted cells exhibited reduced proliferation
concomitant with attenuated cyclin D1 expression. CONCLUSIONS: In the colon, FAK
functions as a regulator of epithelial cell survival and proliferation under
conditions of mucosal injury and a mechanosensor of tissue compliance, inducing
repair-driven proliferation in the colonic epithelium through upregulation of
cyclin D1.
PMID- 21887233
TI - Cyclen-based cationic lipids for highly efficient gene delivery towards tumor
cells.
AB - BACKGROUND: Gene therapy has tremendous potential for both inherited and acquired
diseases. However, delivery problems limited their clinical application, and new
gene delivery vehicles with low cytotoxicity and high transfection efficiency are
greatly required. METHODS: In this report, we designed and synthesized three
amphiphilic molecules (L1-L3) with the structures involving 1, 4, 7, 10
tetraazacyclododecane (cyclen), imidazolium and a hydrophobic dodecyl chain.
Their interactions with plasmid DNA were studied via electrophoretic gel
retardation assays, fluorescent quenching experiments, dynamic light scattering
and transmission electron microscopy. The in vitro gene transfection assay and
cytotoxicity assay were conducted in four cell lines. RESULTS: Results indicated
that L1 and L3-formed liposomes could effectively bind to DNA to form well-shaped
nanoparticles. Combining with neutral lipid DOPE, L3 was found with high
efficiency in gene transfer in three tumor cell lines including A549, HepG2 and
H460. The optimized gene transfection efficacy of L3 was nearly 5.5 times more
efficient than that of the popular commercially available gene delivery agent
Lipofectamine 2000TM in human lung carcinoma cells A549. In addition, since L1
and L3 had nearly no gene transfection performance in normal cells HEK293, these
cationic lipids showed tumor cell-targeting property to a certain extent. No
significant cytotoxicity was found for the lipoplexes formed by L1-L3, and their
cytotoxicities were similar to or slightly lower than the lipoplexes prepared
from Lipofectamine 2000TM. CONCLUSION: Novel cyclen-based cationic lipids for
effective in vitro gene transfection were founded, and these studies here may
extend the application areas of macrocyclic polyamines, especially for cyclen.
PMID- 21887234
TI - Predicting hospital-acquired infections by scoring system with simple parameters.
AB - BACKGROUND: Hospital-acquired infections (HAI) are associated with increased
attributable morbidity, mortality, prolonged hospitalization, and economic costs.
A simple, reliable prediction model for HAI has great clinical relevance. The
objective of this study is to develop a scoring system to predict HAI that was
derived from Logistic Regression (LR) and validated by Artificial Neural Networks
(ANN) simultaneously. METHODOLOGY/PRINCIPAL FINDINGS: A total of 476 patients
from all the 806 HAI inpatients were included for the study between 2004 and
2005. A sample of 1,376 non-HAI inpatients was randomly drawn from all the
admitted patients in the same period of time as the control group. External
validation of 2,500 patients was abstracted from another academic teaching
center. Sixteen variables were extracted from the Electronic Health Records (EHR)
and fed into ANN and LR models. With stepwise selection, the following seven
variables were identified by LR models as statistically significant: Foley
catheterization, central venous catheterization, arterial line, nasogastric tube,
hemodialysis, stress ulcer prophylaxes and systemic glucocorticosteroids. Both
ANN and LR models displayed excellent discrimination (area under the receiver
operating characteristic curve [AUC]: 0.964 versus 0.969, p = 0.507) to identify
infection in internal validation. During external validation, high AUC was
obtained from both models (AUC: 0.850 versus 0.870, p = 0.447). The scoring
system also performed extremely well in the internal (AUC: 0.965) and external
(AUC: 0.871) validations. CONCLUSIONS: We developed a scoring system to predict
HAI with simple parameters validated with ANN and LR models. Armed with this
scoring system, infectious disease specialists can more efficiently identify
patients at high risk for HAI during hospitalization. Further, using parameters
either by observation of medical devices used or data obtained from EHR also
provided good prediction outcome that can be utilized in different clinical
settings.
PMID- 21887235
TI - The peptidyl prolyl isomerase Rrd1 regulates the elongation of RNA polymerase II
during transcriptional stresses.
AB - Rapamycin is an anticancer agent and immunosuppressant that acts by inhibiting
the TOR signaling pathway. In yeast, rapamycin mediates a profound
transcriptional response for which the RRD1 gene is required. To further
investigate this connection, we performed genome-wide location analysis of RNA
polymerase II (RNAPII) and Rrd1 in response to rapamycin and found that Rrd1
colocalizes with RNAPII on actively transcribed genes and that both are recruited
to rapamycin responsive genes. Strikingly, when Rrd1 is lacking, RNAPII remains
inappropriately associated to ribosomal genes and fails to be recruited to
rapamycin responsive genes. This occurs independently of TATA box binding protein
recruitment but involves the modulation of the phosphorylation status of RNAPII
CTD by Rrd1. Further, we demonstrate that Rrd1 is also involved in various other
transcriptional stress responses besides rapamycin. We propose that Rrd1 is a
novel transcription elongation factor that fine-tunes the transcriptional stress
response of RNAPII.
PMID- 21887236
TI - Gene flow and hybridization between numerically imbalanced populations of two
duck species in the Falkland Islands.
AB - Interspecific hybridization is common in plants and animals, particularly in
waterfowl (Anatidae). One factor shown to contribute to hybridization is
restricted mate choice, which can occur when two species occur in sympatry but
one is rare. The Hubbs principle, or "desperation hypothesis," states that under
such circumstances the rarer species is more likely to mate with heterospecifics.
Here we report interspecific hybridization between two waterfowl species that
coexist in broad sympatry and mixed flocks throughout southern South America.
Speckled teal (Anas flavirostris) and yellow-billed pintails (Anas georgica) are
abundant in continental South America, but in the Falkland Islands speckled teal
outnumber yellow-billed pintails approximately ten to one. Using eight genetic
loci (mtDNA and 7 nuclear introns) coupled with Bayesian assignment tests and
relatedness analysis, we identified a speckled teal x yellow-billed pintail F(1)
hybrid female and her duckling sired by a male speckled teal. Although our sample
in the Falkland Islands was small, we failed to identify unequivocal evidence of
hybridization or introgression in a much larger sample from Argentina using a
three-population "isolation with migration" coalescent analysis. While additional
data are needed to determine if this event in the Falkland Islands was a rare
singular occurrence, our results provide further support for the "desperation
hypothesis," which states that scarcity in one population and abundance of
another will often lead to hybridization.
PMID- 21887237
TI - A one-step real-time multiplex PCR for screening Y-chromosomal microdeletions
without downstream amplicon size analysis.
AB - BACKGROUND: Y-chromosomal microdeletions (YCMD) are one of the major genetic
causes for non-obstructive azoospermia. Genetic testing for YCMD by multiplex
polymerase chain reaction (PCR) is an established method for quick and robust
screening of deletions in the AZF regions of the Y-chromosome. Multiplex PCRs
have the advantage of including a control gene in every reaction and
significantly reducing the number of reactions needed to screen the relevant
genomic markers. PRINCIPAL FINDINGS: The widely established "EAA/EMQN best
practice guidelines for molecular diagnosis of Y-chromosomal microdeletions
(2004)" were used as a basis for designing a real-time multiplex PCR system, in
which the YCMD can simply be identified by their melting points. For this reason,
some AZF primers were substituted by primers for regions in their genomic
proximity, and the ZFX/ZFY control primer was exchanged by the AMELX/AMELY
control primer. Furthermore, we substituted the classical SybrGreen I dye by the
novel and high-performing DNA-binding dye EvaGreenTM and put substantial effort
in titrating the primer combinations in respect to optimal melting peak
separation and peak size. SIGNIFICANCE: With these changes, we were able to
develop a platform-independent and robust real-time based multiplex PCR, which
makes the need for amplicon identification by electrophoretic sizing expendable.
By using an open-source system for real-time PCR analysis, we further demonstrate
the applicability of automated melting point and YCMD detection.
PMID- 21887238
TI - Is chytridiomycosis an emerging infectious disease in Asia?
AB - The disease chytridiomycosis, caused by the fungus Batrachochytrium dendrobatidis
(Bd), has caused dramatic amphibian population declines and extinctions in
Australia, Central and North America, and Europe. Bd is associated with >200
species extinctions of amphibians, but not all species that become infected are
susceptible to the disease. Specifically, Bd has rapidly emerged in some areas of
the world, such as in Australia, USA, and throughout Central and South America,
causing population and species collapse. The mechanism behind the rapid global
emergence of the disease is poorly understood, in part due to an incomplete
picture of the global distribution of Bd. At present, there is a considerable
amount of geographic bias in survey effort for Bd, with Asia being the most
neglected continent. To date, Bd surveys have been published for few Asian
countries, and infected amphibians have been reported only from Indonesia, South
Korea, China and Japan. Thus far, there have been no substantiated reports of
enigmatic or suspected disease-caused population declines of the kind that has
been attributed to Bd in other areas. In order to gain a more detailed picture of
the distribution of Bd in Asia, we undertook a widespread, opportunistic survey
of over 3,000 amphibians for Bd throughout Asia and adjoining Papua New Guinea.
Survey sites spanned 15 countries, approximately 36 degrees latitude, 111
degrees longitude, and over 2000 m in elevation. Bd prevalence was very low
throughout our survey area (2.35% overall) and infected animals were not clumped
as would be expected in epizootic events. This suggests that Bd is either newly
emerging in Asia, endemic at low prevalence, or that some other ecological factor
is preventing Bd from fully invading Asian amphibians. The current observed
pattern in Asia differs from that in many other parts of the world.
PMID- 21887239
TI - Ostriches sleep like platypuses.
AB - Mammals and birds engage in two distinct states of sleep, slow wave sleep (SWS)
and rapid eye movement (REM) sleep. SWS is characterized by slow, high amplitude
brain waves, while REM sleep is characterized by fast, low amplitude waves, known
as activation, occurring with rapid eye movements and reduced muscle tone.
However, monotremes (platypuses and echidnas), the most basal (or 'ancient')
group of living mammals, show only a single sleep state that combines elements of
SWS and REM sleep, suggesting that these states became temporally segregated in
the common ancestor to marsupial and eutherian mammals. Whether sleep in basal
birds resembles that of monotremes or other mammals and birds is unknown. Here,
we provide the first description of brain activity during sleep in ostriches
(Struthio camelus), a member of the most basal group of living birds. We found
that the brain activity of sleeping ostriches is unique. Episodes of REM sleep
were delineated by rapid eye movements, reduced muscle tone, and head movements,
similar to those observed in other birds and mammals engaged in REM sleep;
however, during REM sleep in ostriches, forebrain activity would flip between REM
sleep-like activation and SWS-like slow waves, the latter reminiscent of sleep in
the platypus. Moreover, the amount of REM sleep in ostriches is greater than in
any other bird, just as in platypuses, which have more REM sleep than other
mammals. These findings reveal a recurring sequence of steps in the evolution of
sleep in which SWS and REM sleep arose from a single heterogeneous state that
became temporally segregated into two distinct states. This common trajectory
suggests that forebrain activation during REM sleep is an evolutionarily new
feature, presumably involved in performing new sleep functions not found in more
basal animals.
PMID- 21887240
TI - Functional effects of parasites on food web properties during the spring diatom
bloom in Lake Pavin: a linear inverse modeling analysis.
AB - This study is the first assessment of the quantitative impact of parasitic
chytrids on a planktonic food web. We used a carbon-based food web model of Lake
Pavin (Massif Central, France) to investigate the effects of chytrids during the
spring diatom bloom by developing models with and without chytrids. Linear
inverse modelling procedures were employed to estimate undetermined flows in the
lake. The Monte Carlo Markov chain linear inverse modelling procedure provided
estimates of the ranges of model-derived fluxes. Model results support recent
theories on the probable impact of parasites on food web function. In the lake,
during spring, when 'inedible' algae (unexploited by planktonic herbivores) were
the dominant primary producers, the epidemic growth of chytrids significantly
reduced the sedimentation loss of algal carbon to the detritus pool through the
production of grazer-exploitable zoospores. We also review some theories about
the potential influence of parasites on ecological network properties and argue
that parasitism contributes to longer carbon path lengths, higher levels of
activity and specialization, and lower recycling. Considering the "structural
asymmetry" hypothesis as a stabilizing pattern, chytrids should contribute to the
stability of aquatic food webs.
PMID- 21887241
TI - Generalized fragment picking in Rosetta: design, protocols and applications.
AB - The Rosetta de novo structure prediction and loop modeling protocols begin with
coarse grained Monte Carlo searches in which the moves are based on short
fragments extracted from a database of known structures. Here we describe a new
object oriented program for picking fragments that greatly extends the
functionality of the previous program (nnmake) and opens the door for new
approaches to structure modeling. We provide a detailed description of the code
design and architecture, highlighting its modularity, and new features such as
extensibility, total control over the fragment picking workflow and scoring
system customization. We demonstrate that the program provides at least as good
building blocks for ab-initio structure prediction as the previous program, and
provide examples of the wide range of applications that are now accessible.
PMID- 21887242
TI - Variability of female responses to conspecific vs. heterospecific male mating
calls in polygynous deer: an open door to hybridization?
AB - Males of all polygynous deer species (Cervinae) give conspicuous calls during the
reproductive season. The extreme interspecific diversity that characterizes these
vocalizations suggests that they play a strong role in species discrimination.
However, interbreeding between several species of Cervinae indicates permeable
interspecific reproductive barriers. This study examines the contribution of
vocal behavior to female species discrimination and mating preferences in two
closely related polygynous deer species known to hybridize in the wild after
introductions. Specifically, we investigate the reaction of estrous female red
deer (Cervus elaphus) to playbacks of red deer vs. sika deer (Cervus nippon) male
mating calls, with the prediction that females will prefer conspecific calls.
While on average female red deer preferred male red deer roars, two out of twenty
females spent more time in close proximity to the speaker broadcasting male sika
deer moans. We suggest that this absence of strict vocal preference for species
specific mating calls may contribute to the permeability of pre-zygotic
reproductive barriers observed between these species. Our results also highlight
the importance of examining inter-individual variation when studying the role of
female preferences in species discrimination and intraspecific mate selection.
PMID- 21887245
TI - Semi-Markov graph dynamics.
AB - In this paper, we outline a model of graph (or network) dynamics based on two
ingredients. The first ingredient is a Markov chain on the space of possible
graphs. The second ingredient is a semi-Markov counting process of renewal type.
The model consists in subordinating the Markov chain to the semi-Markov counting
process. In simple words, this means that the chain transitions occur at random
time instants called epochs. The model is quite rich and its possible connections
with algebraic geometry are briefly discussed. Moreover, for the sake of
simplicity, we focus on the space of undirected graphs with a fixed number of
nodes. However, in an example, we present an interbank market model where it is
meaningful to use directed graphs or even weighted graphs.
PMID- 21887244
TI - Rhesus monkeys see who they hear: spontaneous cross-modal memory for familiar
conspecifics.
AB - Rhesus monkeys gather much of their knowledge of the social world through visual
input and may preferentially represent this knowledge in the visual modality.
Recognition of familiar faces is clearly advantageous, and the flexibility and
utility of primate social memory would be greatly enhanced if visual memories
could be accessed cross-modally either by visual or auditory stimulation. Such
cross-modal access to visual memory would facilitate flexible retrieval of the
knowledge necessary for adaptive social behavior. We tested whether rhesus
monkeys have cross-modal access to visual memory for familiar conspecifics using
a delayed matching-to-sample procedure. Monkeys learned visual matching of video
clips of familiar individuals to photographs of those individuals, and
generalized performance to novel videos. In crossmodal probe trials, coo-calls
were played during the memory interval. The calls were either from the monkey
just seen in the sample video clip or from a different familiar monkey. Even
though the monkeys were trained exclusively in visual matching, the calls
influenced choice by causing an increase in the proportion of errors to the
picture of the monkey whose voice was heard on incongruent trials. This result
demonstrates spontaneous cross-modal recognition. It also shows that viewing
videos of familiar monkeys activates naturally formed memories of real monkeys,
validating the use of video stimuli in studies of social cognition in monkeys.
PMID- 21887246
TI - Estimating incidence curves of several infections using symptom surveillance
data.
AB - We introduce a method for estimating incidence curves of several co-circulating
infectious pathogens, where each infection has its own probabilities of
particular symptom profiles. Our deconvolution method utilizes weekly
surveillance data on symptoms from a defined population as well as additional
data on symptoms from a sample of virologically confirmed infectious episodes. We
illustrate this method by numerical simulations and by using data from a survey
conducted on the University of Michigan campus. Last, we describe the data needs
to make such estimates accurate.
PMID- 21887247
TI - Curcumin-loaded apotransferrin nanoparticles provide efficient cellular uptake
and effectively inhibit HIV-1 replication in vitro.
AB - BACKGROUND: Curcumin (diferuloylmethane) shows significant activity across a wide
spectrum of conditions, but its usefulness is rather limited because of its low
bioavailability. Use of nanoparticle formulations to enhance curcumin
bioavailability is an emerging area of research. METHODOLOGY/PRINCIPAL FINDINGS:
In the present study, curcumin-loaded apotransferrin nanoparticles (nano
curcumin) prepared by sol-oil chemistry and were characterized by electron and
atomic force microscopy. Confocal studies and fluorimetric analysis revealed that
these particles enter T cells through transferrin-mediated endocytosis. Nano
curcumin releases significant quantities of drug gradually over a fairly long
period, ~50% of curcumin still remaining at 6 h of time. In contrast,
intracellular soluble curcumin (sol-curcumin) reaches a maximum at 2 h followed
by its complete elimination by 4 h. While sol-curcumin (GI(50) = 15.6 uM) is
twice more toxic than nano-curcumin (GI(50) = 32.5 uM), nano-curcumin
(IC(50)<1.75 uM) shows a higher anti-HIV activity compared to sol-curcumin
(IC(50) = 5.1 uM). Studies in vitro showed that nano-curcumin prominently
inhibited the HIV-1 induced expression of Topo II alpha, IL-1beta and COX-2, an
effect not seen with sol-curcumin. Nano-curcumin did not affect the expression of
Topoisomerase II beta and TNF alpha. This point out that nano-curcumin affects
the HIV-1 induced inflammatory responses through pathways downstream or
independent of TNF alpha. Furthermore, nano-curcumin completely blocks the
synthesis of viral cDNA in the gag region suggesting that the nano-curcumin
mediated inhibition of HIV-1 replication is targeted to viral cDNA synthesis.
CONCLUSION: Curcumin-loaded apotransferrin nanoparticles are highly efficacious
inhibitors of HIV-1 replication in vitro and promise a high potential for
clinical usefulness.
PMID- 21887248
TI - Resveratrol and black tea polyphenol combination synergistically suppress mouse
skin tumors growth by inhibition of activated MAPKs and p53.
AB - Cancer chemoprevention by natural dietary agents has received considerable
importance because of their cost-effectiveness and wide safety margin. However,
single agent intervention has failed to bring the expected outcome in clinical
trials; therefore, combinations of chemopreventive agents are gaining increasing
popularity. The present study aims to evaluate the combinatorial chemopreventive
effects of resveratrol and black tea polyphenol (BTP) in suppressing two-stage
mouse skin carcinogenesis induced by DMBA and TPA. Resveratrol/BTP alone
treatment decreased tumor incidence by ~67% and ~75%, while combination of both
at low doses synergistically decreased tumor incidence even more significantly by
~89% (p<0.01). This combination also significantly regressed tumor volume and
number (p<0.01). Mechanistic studies revealed that this combinatorial inhibition
was associated with decreased expression of phosphorylated mitogen-activated
protein kinase family proteins: extracellular signal-regulated kinase 1/2, c-Jun
N-terminal kinase 1/2, p38 and increased in total p53 and phospho p53 (Ser 15) in
skin tissue/tumor. Treatment with combinations of resveratrol and BTP also
decreased expression of proliferating cell nuclear antigen in mouse skin
tissues/tumors than their solitary treatments as determined by
immunohistochemistry. In addition, histological and cell death analysis also
confirmed that resveratrol and BTP treatment together inhibits cellular
proliferation and markedly induces apoptosis. Taken together, our results for the
first time lucidly illustrate that resveratrol and BTP in combination impart
better suppressive activity than either of these agents alone and accentuate that
development of novel combination therapies/chemoprevention using dietary agents
will be more beneficial against cancer. This promising combination should be
examined in therapeutic trials of skin and possibly other cancers.
PMID- 21887249
TI - Systematic clustering of transcription start site landscapes.
AB - Genome-wide, high-throughput methods for transcription start site (TSS) detection
have shown that most promoters have an array of neighboring TSSs where some are
used more than others, forming a distribution of initiation propensities. TSS
distributions (TSSDs) vary widely between promoters and earlier studies have
shown that the TSSDs have biological implications in both regulation and
function. However, no systematic study has been made to explore how many types of
TSSDs and by extension core promoters exist and to understand which biological
features distinguish them. In this study, we developed a new non-parametric
dissimilarity measure and clustering approach to explore the similarities and
stabilities of clusters of TSSDs. Previous studies have used arbitrary thresholds
to arrive at two general classes: broad and sharp. We demonstrated that in
addition to the previous broad/sharp dichotomy an additional category of
promoters exists. Unlike typical TATA-driven sharp TSSDs where the TSS position
can vary a few nucleotides, in this category virtually all TSSs originate from
the same genomic position. These promoters lack epigenetic signatures of typical
mRNA promoters and a substantial subset of them are mapping upstream of ribosomal
protein pseudogenes. We present evidence that these are likely mapping errors,
which have confounded earlier analyses, due to the high similarity of ribosomal
gene promoters in combination with known G addition bias in the CAGE libraries.
Thus, previous two-class separations of promoter based on TSS distributions are
motivated, but the ultra-sharp TSS distributions will confound downstream
analyses if not removed.
PMID- 21887250
TI - Critical role of PI3K/Akt/GSK3beta in motoneuron specification from human neural
stem cells in response to FGF2 and EGF.
AB - Fibroblast growth factor (FGF) and epidermal growth factor (EGF) are critical for
the development of the nervous system. We previously discovered that FGF2 and EGF
had opposite effects on motor neuron differentiation from human fetal neural stem
cells (hNSCs), but the underlying mechanisms remain unclear. Here, we show that
FGF2 and EGF differentially affect the temporal patterns of Akt and glycogen
synthase kinase 3 beta (GSK3beta) activation. High levels of phosphatidylinositol
3-kinase (PI3K)/Akt activation accompanied with GSK3beta inactivation result in
reduction of the motor neuron transcription factor HB9. Inhibition of PI3K/Akt by
chemical inhibitors or RNA interference or overexpression of a constitutively
active form of GSK3beta enhances HB9 expression. Consequently, PI3K inhibition
increases hNSCs differentiation into HB9(+)/microtubule-associated protein 2
(MAP2)(+) motor neurons in vitro. More importantly, blocking PI3K not only
enhances motor neuron differentiation from hNSCs grafted into the ventral horn of
adult rat spinal cords, but also permits ectopic generation of motor neurons in
the dorsal horn by overriding environmental influences. Our data suggest that
FGF2 and EGF affect the motor neuron fate decision in hNSCs differently through a
fine tuning of the PI3K/AKT/GSK3beta pathway, and that manipulation of this
pathway can enhance motor neuron generation.
PMID- 21887243
TI - X4 tropic multi-drug resistant quasi-species detected at the time of primary HIV
1 infection remain exclusive or at least dominant far from PHI.
AB - Our objective was to analyze the evolution of resistance mutations (RM) and viral
tropism of multi-drug-resistant (MDR) strains detected at primary HIV-1 infection
(PHI). MDR HIV strain was defined as the presence of genotypic resistance to at
least 1 antiretroviral of the 3 classes. Tropism determinations (CCR5 or CXCR4)
were performed on baseline plasma HIV-RNA and/or PBMC-HIV-DNA samples, then
during follow-up using population-based sequencing of V3 loop and phenotypic
tests. Clonal analysis was performed at baseline for env, RT and protease genes,
and for HIV-DNA env gene during follow-up. Five patients were eligible. At
baseline, RT, protease and env clones from HIV-RNA and HIV-DNA were highly
homogenous for each patient; genotypic tropism was R5 in 3 (A,B,C) and X4 in 2
patients (D,E). MDR strains persisted in HIV-DNA throughout follow-up in all
patients. For patient A, tropism remained R5 with concordance between phenotypic
and genotypic tests. Clonal analysis on Month (M) 78 HIV-DNA evidenced
exclusively R5 (21/21) variants. In patient B, clonal analysis at M36 showed
exclusively R5 variants (19/19) using both genotypic and phenotypic tests. In
patient C, baseline tropism was R5 by genotypic test and R5/X4 by phenotypic
test. An expansion of these X4 clones was evidenced by clonal analysis on M72 HIV
DNA (12/14 X4 and 2/14 R5 variants). In patient D, baseline tropism was X4 with
concordance between both techniques and HIV-RNA and HIV-DNA remained X4-tropic up
to M72, confirmed by the clonal analysis. Patient E harboured highly homogenous
X4-using population at baseline; tropism was unchanged at M1 and M18. In all
patients, the initial MDR population was highly homogenous initially, supporting
the early expansion of a monoclonal population and its long-term persistence. X4
tropic variants present at baseline were still exclusive (patients D and E) or
dominant (at least one time point, patient C) far from PHI.
PMID- 21887251
TI - HIV incidence among men who have sex with men in China: a meta-analysis of
published studies.
AB - BACKGROUND: Men who have sex with men (MSM) have now become one of the priority
populations for prevention and control of HIV pandemic in China. Information of
HIV incidence among MSM is important to describe the spreading of the infection
and predict its trends in this population. We reviewed the published literature
on the incidence of HIV infection among MSM in China. METHODS: We identified
relevant studies by use of a comprehensive strategy including searches of Medline
and two Chinese electronic publication databases from January 2005 to September
2010. Point estimate of random effects incidence with corresponding 95%
confidence intervals (CI) of HIV infection was carried out using the
Comprehensive Meta-Analysis software. Subgroup analyses were examined separately,
stratified by study design and geographic location. RESULTS: Twelve studies were
identified, including three cohort studies and nine cross-sectional studies. The
subgroup analyses revealed that the sub-overall incidence estimates were 3.5%
(95% CI, 1.7%-5.3%) and 6.7% (95% CI, 4.8%-8.6%) for cohort and cross-sectional
studies, respectively (difference between the sub-overalls, Q = 5.54, p = 0.02);
and 8.3% (95% CI, 6.9%-9.7%) and 4.6% (95% CI, 2.4%-6.9%) for studies in
Chongqing and other areas, respectively (difference between the sub-overalls, Q =
7.58, p<0.01). Syphilis infection (RR = 3.33, p<0.001), multiple sex partnerships
(RR = 2.81, p<0.001), and unprotected receptive anal intercourse in the past six
months (RR = 3.88, p = 0.007) represented significant risk for HIV
seroconversion. CONCLUSIONS: Findings from this meta-analysis indicate that HIV
incidence is substantial in MSM in China. High incidence of HIV infection and
unique patterns of sexual risk behaviors in this population serve as a call for
action that should be answered with the innovative social and public health
intervention strategies, and development of biological prevention strategies.
PMID- 21887253
TI - MicroRNA profiling in subventricular zone after stroke: MiR-124a regulates
proliferation of neural progenitor cells through Notch signaling pathway.
AB - BACKGROUND: The Notch signaling pathway regulates adult neurogenesis under
physiological and pathophysiological conditions. MicroRNAs are small non-coding
RNA molecules that regulate gene expression. The present study investigated the
effect of miR-124a on the Notch signaling pathway in stroke-induced neurogenesis.
METHODOLOGY AND PRINCIPAL FINDINGS: We found that adult rats subjected to focal
cerebral ischemia exhibited substantial reduction of miR-124a expression, a
neuron specific miRNA, in the neural progenitor cells of the subventricular zone
(SVZ) of the lateral ventricle, which was inversely associated with activation of
Notch signals. In vitro, transfection of neural progenitor cells harvested from
the SVZ of adult rat with miR-124a repressed Jagged-1 (JAG1), a ligand of Notch,
in a luciferase construct containing the JAG1 target site. Introduction of miR
124a in neural progenitor cells significantly reduced JAG1 transcript and protein
levels, leading to inactivation of Notch signals. Transfection of neural
progenitor cells with miR-124a significantly reduced progenitor cell
proliferation and promoted neuronal differentiation measured by an increase in
the number of Doublecortin positive cells, a marker of neuroblasts. Furthermore,
introduction of miR-124a significantly increased p27Kip1 mRNA and protein levels,
a downstream target gene of the Notch signaling pathway. CONCLUSIONS:
Collectively, our study demonstrated that in vivo, stroke alters miRNA expression
in SVZ neural progenitor cells and that in vitro, miR-124a mediates stroke
induced neurogenesis by targeting the JAG-Notch signaling pathway.
PMID- 21887252
TI - A peptide mimetic targeting trans-homophilic NCAM binding sites promotes spatial
learning and neural plasticity in the hippocampus.
AB - The key roles played by the neural cell adhesion molecule (NCAM) in plasticity
and cognition underscore this membrane protein as a relevant target to develop
cognitive-enhancing drugs. However, NCAM is a structurally and functionally
complex molecule with multiple domains engaged in a variety of actions, which
raise the question as to which NCAM fragment should be targeted. Synthetic NCAM
mimetic peptides that mimic NCAM sequences relevant to specific interactions
allow identification of the most promising targets within NCAM. Recently, a
decapeptide ligand of NCAM--plannexin, which mimics a homophilic trans-binding
site in Ig2 and binds to Ig3--was developed as a tool for studying NCAM's trans
interactions. In this study, we investigated plannexin's ability to affect neural
plasticity and memory formation. We found that plannexin facilitates neurite
outgrowth in primary hippocampal neuronal cultures and improves spatial learning
in rats, both under basal conditions and under conditions involving a deficit in
a key plasticity-promoting posttranslational modification of NCAM, its
polysialylation. We also found that plannexin enhances excitatory synaptic
transmission in hippocampal area CA1, where it also increases the number of
mushroom spines and the synaptic expression of the AMPAR subunits GluA1 and
GluA2. Altogether, these findings provide compelling evidence that plannexin is
an important facilitator of synaptic functional, structural and molecular
plasticity in the hippocampal CA1 region, highlighting the fragment in NCAM's Ig3
module where plannexin binds as a novel target for the development of cognition
enhancing drugs.
PMID- 21887254
TI - Th1/Th17 cell induction and corresponding reduction in ATP consumption following
vaccination with the novel Mycobacterium tuberculosis vaccine MVA85A.
AB - Vaccination with Bacille Calmette-Guerin (BCG) has traditionally been used for
protection against disease caused by the bacterium Mycobacterium tuberculosis
(M.tb). The efficacy of BCG, especially against pulmonary tuberculosis (TB) is
variable. The best protection is conferred in temperate climates and there is
close to zero protection in many tropical areas with a high prevalence of both
tuberculous and non-tuberculous mycobacterial species. Although interferon (IFN)
gamma is known to be important in protection against TB disease, data is emerging
on a possible role for interleukin (IL)-17 as a key cytokine in both murine and
bovine TB vaccine studies, as well as in humans. Modified Vaccinia virus Ankara
expressing Antigen 85A (MVA85A) is a novel TB vaccine designed to enhance
responses induced by BCG. Antigen-specific IFN-gamma production has already been
shown to peak one week post-MVA85A vaccination, and an inverse relationship
between IL-17-producing cells and regulatory T cells expressing the
ectonucleosidease CD39, which metabolises pro-inflammatory extracellular ATP has
previously been described. This paper explores this relationship and finds that
consumption of extracellular ATP by peripheral blood mononuclear cells from
MVA85A-vaccinated subjects drops two weeks post-vaccination, corresponding to a
drop in the percentage of a regulatory T cell subset expressing the
ectonucleosidase CD39. Also at this time point, we report a peak in co-production
of IL-17 and IFN-gamma by CD4(+) T cells. These results suggest a relationship
between extracellular ATP and effector responses and unveil a possible pathway
that could be targeted during vaccine design.
PMID- 21887255
TI - The natural cytotoxicity receptor 1 contribution to early clearance of
Streptococcus pneumoniae and to natural killer-macrophage cross talk.
AB - Natural killer (NK) cells serve as a crucial first line of defense against
tumors, viral and bacterial infections. We studied the involvement of a principal
activating natural killer cell receptor, natural cytotoxicity receptor 1 (NCR1),
in the innate immune response to S. pneumoniae infection. Our results demonstrate
that the presence of the NCR1 receptor is imperative for the early clearance of
S. pneumoniae. We tied the ends in vivo by showing that deficiency in NCR1
resulted in reduced lung NK cell activation and lung IFNgamma production at the
early stages of S. pneumoniae infection. NCR1 did not mediate direct recognition
of S. pneumoniae. Therefore, we studied the involvement of lung macrophages and
dendritic cells (DC) as the mediators of NK-expressed NCR1 involvement in
response to S. pneumoniae. In vitro, wild type BM-derived macrophages and DC
expressed ligands to NCR1 and co-incubation of S. pneumoniae-infected
macrophages/DC with NCR1-deficient NK cells resulted in significantly lesser
IFNgamma levels compared to NCR1-expressing NK cells. In vivo, ablation of lung
macrophages and DC was detrimental to the early clearance of S. pneumoniae. NCR1
expressing mice had more potent alveolar macrophages as compared to NCR1
deficient mice. This result correlated with the higher fraction of NCR1
ligand(high) lung macrophages, in NCR1-expressing mice, that had better
phagocytic activity compared to NCR1-ligand(dull) macrophages. Overall, our
results point to the essential contribution of NK-expressed NCR1 in early
response to S. pneumoniae infection and to NCR1-mediated interaction of NK and S.
pneumoniae infected-macrophages and -DC.
PMID- 21887256
TI - BPR1K653, a novel Aurora kinase inhibitor, exhibits potent anti-proliferative
activity in MDR1 (P-gp170)-mediated multidrug-resistant cancer cells.
AB - BACKGROUND: Over-expression of Aurora kinases promotes the tumorigenesis of
cells. The aim of this study was to determine the preclinical profile of a novel
pan-Aurora kinase inhibitor, BPR1K653, as a candidate for anti-cancer therapy.
Since expression of the drug efflux pump, MDR1, reduces the effectiveness of
various chemotherapeutic compounds in human cancers, this study also aimed to
determine whether the potency of BPR1K653 could be affected by the expression of
MDR1 in cancer cells. PRINCIPAL FINDINGS: BPR1K653 specifically inhibited the
activity of Aurora-A and Aurora-B kinase at low nano-molar concentrations in
vitro. Anti-proliferative activity of BPR1K653 was evaluated in various human
cancer cell lines. Results of the clonogenic assay showed that BPR1K653 was
potent in targeting a variety of cancer cell lines regardless of the tissue
origin, p53 status, or expression of MDR1. At the cellular level, BPR1K653
induced endo-replication and subsequent apoptosis in both MDR1-negative and MDR1
positive cancer cells. Importantly, it showed potent activity against the growth
of xenograft tumors of the human cervical carcinoma KB and KB-derived MDR1
positive KB-VIN10 cells in nude mice. Finally, BPR1K653 also exhibited favorable
pharmacokinetic properties in rats. CONCLUSIONS AND SIGNIFICANCE: BPR1K653 is a
novel potent anti-cancer compound, and its potency is not affected by the
expression of the multiple drug resistant protein, MDR1, in cancer cells.
Therefore, BPR1K653 is a promising anti-cancer compound that has potential for
the management of various malignancies, particularly for patients with MDR1
related drug resistance after prolonged chemotherapeutic treatments.
PMID- 21887258
TI - Smyd3 is required for the development of cardiac and skeletal muscle in
zebrafish.
AB - Modifications of histone tails are involved in the regulation of a wide range of
biological processes including cell cycle, cell survival, cell division, and cell
differentiation. Among the modifications, histone methylation plays a critical
role in cardiac and skeletal muscle differentiation. In our earlier studies, we
found that SMYD3 has methyltransferase activity to histone H3 lysine 4, and that
its up-regulation is involved in the tumorigenesis of human colon, liver, and
breast. To clarify the role of Smyd3 in development, we have studied its
expression patterns in zebrafish embryos and the effect of its suppression on
development using Smyd3-specific antisense morpholino-oligonucleotides. We here
show that transcripts of smyd3 were expressed in zebrafish embryos at all
developmental stages examined and that knockdown of smyd3 in embryos resulted in
pericardial edema and defects in the trunk structure. In addition, these
phenotypes were associated with abnormal expression of three heart-chamber
markers including cmlc2, amhc and vmhc, and abnormal expression of myogenic
regulatory factors including myod and myog. These data suggest that Smyd3 plays
an important role in the development of heart and skeletal muscle.
PMID- 21887257
TI - NF-kappaB inducing kinase, NIK mediates cigarette smoke/TNFalpha-induced histone
acetylation and inflammation through differential activation of IKKs.
AB - BACKGROUND: Nuclear factor (NF)-kappaB inducing kinase (NIK) is a central player
in the non-canonical NF kappaB pathway, which phosphorylates IkappaB kinase alpha
(IKKalpha) resulting in enhancement of target gene expression. We have recently
shown that IKKalpha responds to a variety of stimuli including oxidants and
cigarette smoke (CS) regulating the histone modification in addition to its role
in NF-kappaB activation. However, the primary signaling mechanism linking CS
mediated oxidative stress and TNFalpha with histone acetylation and pro
inflammatory gene transcription is not well understood. We hypothesized that CS
and TNFalpha increase NIK levels causing phosphorylation of IKKalpha, which leads
to histone acetylation. METHODOLOGY: To test this hypothesis, we investigated
whether NIK mediates effects of CS and TNFalpha on histone acetylation in human
lung epithelial cells in vitro and in lungs of mouse exposed to CS in vivo. CS
increased the phosphorylation levels of IKKalpha/NIK in lung epithelial cells and
mouse lungs. NIK is accumulated in the nuclear compartment, and is recruited to
the promoters of pro-inflammatory genes, to induce posttranslational acetylation
of histones in response to CS and TNFalpha. Cells in which NIK is knocked down
using siRNA showed partial attenuation of CSE- and TNFalpha-induced acetylation
of histone H3 on pro-inflammatory gene promoters. Additional study to determine
the role of IKKbeta/NF-kappaB pathway in CS-induced histone acetylation suggests
that the canonical pathway does not play a role in histone acetylation
particularly in response to CS in mouse lungs. CONCLUSIONS: Overall, our findings
provide a novel role for NIK in CS- and TNFalpha-induced histone acetylation,
especially on histone H3K9.
PMID- 21887259
TI - Reduction of claustrophobia with short-bore versus open magnetic resonance
imaging: a randomized controlled trial.
AB - BACKGROUND: Claustrophobia is a common problem precluding MR imaging. The purpose
of the present study was to assess whether a short-bore or an open magnetic
resonance (MR) scanner is superior in alleviating claustrophobia. METHODS:
Institutional review board approval and patient informed consent were obtained to
compare short-bore versus open MR. From June 2008 to August 2009, 174 patients
(139 women; mean age = 53.1 [SD 12.8]) with an overall mean score of 2.4 (SD 0.7,
range 0 to 4) on the Claustrophobia Questionnaire (CLQ) and a clinical indication
for imaging, were randomly assigned to receive evaluation by open or by short
bore MR. The primary outcomes were incomplete MR examinations due to a
claustrophobic event. Follow-up was conducted 7 months after MR imaging. The
primary analysis was performed according to the intention-to-treat strategy.
RESULTS: With 33 claustrophobic events in the short-bore group (39% [95%
confidence interval [CI] 28% to 50%) versus 23 in the open scanner group (26%
[95% CI 18% to 37%]; P = 0.08) the difference was not significant. Patients with
an event were in the examination room for 3.8 min (SD 4.4) in the short-bore and
for 8.5 min (SD 7) in the open group (P = 0.004). This was due to an earlier
occurrence of events in the short-bore group. The CLQ suffocation subscale was
significantly associated with the occurrence of claustrophobic events (P =
0.003). New findings that explained symptoms were found in 69% of MR examinations
and led to changes in medical treatment in 47% and surgery in 10% of patients.
After 7 months, perceived claustrophobia increased in 32% of patients with events
versus in only 11% of patients without events (P = 0.004). CONCLUSIONS: Even
recent MR cannot prevent claustrophobia suggesting that further developments to
create a more patient-centered MR scanner environment are needed. TRIAL
REGISTRATION: ClinicalTrials.gov NCT00715806.
PMID- 21887260
TI - Comprehensive study in the inhibitory effect of berberine on gene transcription,
including TATA box.
AB - Berberine (BBR) is an established natural DNA intercalator with numerous
pharmacological functions. However, currently there are neither detailed reports
concerning the distribution of this alkaloid in living cells nor reports
concerning the relationship between BBR's association with DNA and the function
of DNA. Here we report that the distribution of BBR within the nucleus can be
observed 30 minutes after drug administration, and that the content of berberine
in the nucleus peaks at around 4 umol, which is twelve hours after drug
administration. The spatial conformation of DNA and chromatin was altered
immediately after their association with BBR. Moreover, this association can
effectively suppress the transcription of DNA in living cell systems and cell
free systems. Electrophoretic mobility shift assays (EMSA) demonstrated further
that BBR can inhibit the association between the TATA binding protein (TBP) and
the TATA box in the promoter, and this finding was also attained in living cells
by chromatin immunoprecipitation (ChIP). Based on results from this study, we
hypothesize that berberine can suppress the transcription of DNA in living cell
systems, especially suppressing the association between TBP and the TATA box by
binding with DNA and, thus, inhibiting TATA box-dependent gene expression in a
non-specific way. This novel study has significantly expanded the sphere of
knowledge concerning berberine's pharmacological effects, beginning at its
paramount initial interaction with the TATA box.
PMID- 21887261
TI - Age-related immunity to meningococcal serogroup C vaccination: an increase in the
persistence of IgG2 correlates with a decrease in the avidity of IgG.
AB - BACKGROUND: All children and adolescents between 1 and 19 years of age in The
Netherlands received a single meningococcal serogroup C conjugate (MenCC) vaccine
in 2002. During follow-up 4-5 years later, the persistence of MenC polysaccharide
specific IgG was found to be dependent on age of vaccination with higher IgG
levels in the oldest immunized age categories. METHODS AND FINDINGS: Two cross
sectional population-based serum banks, collected in 1995/1996 and in 2006/2007,
were used for this study. We measured MenC polysaccharide-specific IgM, the IgG1
and IgG2 subclasses and determined the avidity of the IgG antibodies. We report
that the age-related persistence of IgG after immunization with the MenCC vaccine
seemed to result from an increase of IgG2 levels with age, while IgG1 levels
remained stable throughout the different age-cohorts. Furthermore, an age-related
increase in IgM levels was observed, correlating with the persistence of IgG
antibodies with age. It is noteworthy that the increase in IgG2 correlated with a
reduced IgG-avidity with age. CONCLUSION: These date indicate that the classical
characteristics of a T-cell-dependent antibody response as elicited by protein
based vaccines might not be completely applicable when conjugate vaccines are
administered to older children and adolescents up to 18 years of age. The
response elicited by the MenCC vaccine seemed to be more a mixture of both T cell
dependent and T cell independent responses in terms of humoral immunological
characteristics.
PMID- 21887262
TI - Mitotic illegitimate recombination is a mechanism for novel changes in high
molecular-weight glutenin subunits in wheat-rye hybrids.
AB - Wide hybrids can have novel traits or changed expression of a quantitative trait
that their parents do not have. These phenomena have long been noticed, yet the
mechanisms are poorly understood. High-molecular-weight glutenin subunits (HMW
GS) are seed storage proteins encoded by Glu-1 genes that only express in
endosperm in wheat and its related species. Novel HMW-GS compositions have been
observed in their hybrids. This research elucidated the molecular mechanisms by
investigating the causative factors of novel HMW-GS changes in wheat-rye hybrids.
HMW-GS compositions in the endosperm and their coding sequences in the leaves of
F(1) and F(2) hybrids between wheat landrace Shinchunaga and rye landrace Qinling
were investigated. Missing and/or additional novel HMW-GSs were observed in the
endosperm of 0.5% of the 2078 F(1) and 22% of 36 F(2) hybrid seeds. The wildtype
Glu-1Ax null allele was found to have 42 types of short repeat sequences of 3-60
bp long that appeared 2 to 100 times. It also has an in-frame stop codon in the
central repetitive region. Analyzing cloned allele sequences of HMW-GS coding
gene Glu-1 revealed that deletions involving the in-frame stop codon had
happened, resulting in novel ~1.8-kb Glu-1Ax alleles in some F(1) and F(2)
plants. The cloned mutant Glu-1Ax alleles were expressed in Escherichia coli, and
the HMW-GSs produced matched the novel HMW-GSs found in the hybrids. The
differential changes between the endosperm and the plant of the same hybrids and
the data of E. coli expression of the cloned deletion alleles both suggested that
mitotic illegitimate recombination between two copies of a short repeat sequence
had resulted in the deletions and thus the changed HMW-GS compositions. Our
experiments have provided the first direct evidence to show that mitotic
illegitimate recombination is a mechanism that produces novel phenotypes in wide
hybrids.
PMID- 21887263
TI - Molecular mechanism of a green-shifted, pH-dependent red fluorescent protein
mKate variant.
AB - Fluorescent proteins that can switch between distinct colors have contributed
significantly to modern biomedical imaging technologies and molecular cell
biology. Here we report the identification and biochemical analysis of a green
shifted red fluorescent protein variant GmKate, produced by the introduction of
two mutations into mKate. Although the mutations decrease the overall brightness
of the protein, GmKate is subject to pH-dependent, reversible green-to-red color
conversion. At physiological pH, GmKate absorbs blue light (445 nm) and emits
green fluorescence (525 nm). At pH above 9.0, GmKate absorbs 598 nm light and
emits 646 nm, far-red fluorescence, similar to its sequence homolog mNeptune.
Based on optical spectra and crystal structures of GmKate in its green and red
states, the reversible color transition is attributed to the different
protonation states of the cis-chromophore, an interpretation that was confirmed
by quantum chemical calculations. Crystal structures reveal potential hydrogen
bond networks around the chromophore that may facilitate the protonation switch,
and indicate a molecular basis for the unusual bathochromic shift observed at
high pH. This study provides mechanistic insights into the color tuning of mKate
variants, which may aid the development of green-to-red color-convertible
fluorescent sensors, and suggests GmKate as a prototype of genetically encoded pH
sensors for biological studies.
PMID- 21887264
TI - GagCM9-specific CD8+ T cells expressing limited public TCR clonotypes do not
suppress SIV replication in vivo.
AB - Several lines of evidence suggest that HIV/SIV-specific CD8(+) T cells play a
critical role in the control of viral replication. Recently we observed high
levels of viremia in Indian rhesus macaques vaccinated with a segment of
SIVmac239 Gag (Gag(45-269)) that were subsequently infected with SIVsmE660. These
seven Mamu-A*01(+) animals developed CD8(+) T cell responses against an
immunodominant epitope in Gag, GagCM9, yet failed to control virus replication.
We carried out a series of immunological and virological assays to understand why
these Gag-specific CD8(+) T cells could not control virus replication in vivo.
GagCM9-specific CD8(+) T cells from all of the animals were multifunctional and
were found in the colonic mucosa. Additionally, GagCM9-specific CD8(+) T cells
accessed B cell follicles, the primary residence of SIV-infected cells in lymph
nodes, with effector to target ratios between 20-250 GagCM9-specific CD8(+) T
cells per SIV-producing cell. Interestingly, vaccinated animals had few public
TCR clonotypes within the GagCM9-specific CD8(+) T cell population pre- and post
infection. The number of public TCR clonotypes expressed by GagCM9-specific
CD8(+) T cells post-infection significantly inversely correlated with chronic
phase viral load. It is possible that these seven animals failed to control viral
replication because of the narrow TCR repertoire expressed by the GagCM9-specific
CD8(+) T cell population elicited by vaccination and infection.
PMID- 21887266
TI - Evolvable neuronal paths: a novel basis for information and search in the brain.
AB - We propose a previously unrecognized kind of informational entity in the brain
that is capable of acting as the basis for unlimited hereditary variation in
neuronal networks. This unit is a path of activity through a network of neurons,
analogous to a path taken through a hidden Markov model. To prove in principle
the capabilities of this new kind of informational substrate, we show how a
population of paths can be used as the hereditary material for a neuronally
implemented genetic algorithm, (the swiss-army knife of black-box optimization
techniques) which we have proposed elsewhere could operate at somatic timescales
in the brain. We compare this to the same genetic algorithm that uses a standard
'genetic' informational substrate, i.e. non-overlapping discrete genotypes, on a
range of optimization problems. A path evolution algorithm (PEA) is defined as
any algorithm that implements natural selection of paths in a network substrate.
A PEA is a previously unrecognized type of natural selection that is well suited
for implementation by biological neuronal networks with structural plasticity.
The important similarities and differences between a standard genetic algorithm
and a PEA are considered. Whilst most experiments are conducted on an abstract
network model, at the conclusion of the paper a slightly more realistic neuronal
implementation of a PEA is outlined based on Izhikevich spiking neurons. Finally,
experimental predictions are made for the identification of such informational
paths in the brain.
PMID- 21887265
TI - Isolation and characterization of CvIV4: a pain inducing alpha-scorpion toxin.
AB - BACKGROUND: Among scorpion species, the Buthidae produce the most deadly and
painful venoms. However, little is known regarding the venom components that
cause pain and their mechanism of action. Using a paw-licking assay (Mus
musculus), this study compared the pain-inducing capabilities of venoms from two
species of New World scorpion (Centruroides vittatus, C. exilicauda) belonging to
the neurotoxin-producing family Buthidae with one species of non-neurotoxin
producing scorpion (Vaejovis spinigerus) in the family Vaejovidae. A pain
inducing alpha-toxin (CvIV4) was isolated from the venom of C. vittatus and
tested on five Na(+) channel isoforms. PRINCIPAL FINDINGS: C. vittatus and C.
exilicauda venoms produced significantly more paw licking in Mus than V.
spinigerus venom. CvIV4 produced paw licking in Mus equivalent to the effects of
whole venom. CvIV4 slowed the fast inactivation of Na(v)1.7, a Na(+) channel
expressed in peripheral pain-pathway neurons (nociceptors), but did not affect
the Na(v)1.8-based sodium currents of these neurons. CvIV4 also slowed the fast
inactivation of Na(v)1.2, Na(v)1.3 and Na(v)1.4. The effects of CvIV4 are similar
to Old World alpha-toxins that target Na(v)1.7 (AahII, BmK MI, LqhIII, OD1),
however the primary structure of CvIV4 is not similar to these toxins. Mutant
Na(v)1.7 channels (D1586A and E1589Q, DIV S3-S4 linker) reduced but did not
abolish the effects of CvIV4. CONCLUSIONS: This study: 1) agrees with anecdotal
evidence suggesting that buthid venom is significantly more painful than non
neurotoxic venom; 2) demonstrates that New World buthids inflict painful stings
via toxins that modulate Na(+) channels expressed in nociceptors; 3) reveals that
Old and New World buthids employ similar mechanisms to produce pain. Old and New
World alpha-toxins that target Na(v)1.7 have diverged in sequence, but the
activity of these toxins is similar. Pain-inducing toxins may have evolved in a
common ancestor. Alternatively, these toxins may be the product of convergent
evolution.
PMID- 21887267
TI - Why self-induced pain feels less painful than externally generated pain: distinct
brain activation patterns in self- and externally generated pain.
AB - Voluntary movement generally inhibits sensory systems. However, it is not clear
how such movement influences pain. In the present study, subjects actively or
passively experienced mechanical pain or pressure during functional MRI scanning.
Pain and pressure were induced using two modified grip strengthener rings, each
twined with four crystal bead strings, with polyhedral beads to induce pain, or
spherical beads to induce pressure. Subjects held one ring in the left hand and
were either asked to squeeze their left hand with their right hand (i.e., active
pain or pressure), or to have their left hand squeezed by the experimenter (i.e.,
passive pain or pressure). Subjects rated the intensity and unpleasantness of the
pain sensation lower in the active procedure than in the passive one.
Correspondingly, pain-related brain areas were inhibited in the case of self
generated pain, including the primary somatosensory cortex (SI), anterior
cingulate cortex (ACC), and the thalamus. These results suggest that active
movement behaviorally inhibits concomitant mechanical pain, accompanied by an
inhibition of pain response in pain-related brain areas such as the SI cortex.
This might be part of the mechanisms underlying the kinesitherapy for pain
treatment.
PMID- 21887269
TI - Stability in and correlation between factors influencing genetic quality of seed
lots in seed orchard of Pinus tabuliformis Carr. over a 12-year span.
AB - Coniferous seed orchards require a long period from initial seed harvest to
stable seed production. Differential reproductive success and asynchrony are
among the main factors for orchard crops year-to-year variation in terms of
parental gametic contribution and ultimately the genetic gain. It is fundamental
in both making predictions about the genetic composition of the seed crop and
decisions about orchard roguing and improved seed orchard establishment. In this
paper, a primary Chinese pine seed orchard with 49 clones is investigated for
stability, variation and correlation analysis of factors which influence genetic
quality of the seed lots from initial seed harvest to the stable seed production
over a 12 years span. Results indicated that the reproductive synchrony index of
pollen shedding has shown to be higher than that of the strobili receptivity, and
both can be drastically influenced by the ambient climate factors. Reproductive
synchrony index of the clones has certain relative stability and it could be used
as an indication of the seed orchard status during maturity stage; clones in the
studied orchard have shown extreme differences in terms of the gametic and
genetic contribution to the seed crop at the orchard's early production phase
specifically when they severe as either female or male parents. Those differences
are closely related to clonal sex tendency at the time of orchard's initial
reproduction. Clonal gamete contribution as male and female parent often has a
negative correlation. Clone utilization as pollen, seed or both pollen and seed
donors should consider the role it would play in the seed crop; due to numerous
factors influencing on the mating system in seed orchards, clonal genetic
contribution as male parent is uncertain, and it has major influence on the
genetic composition in the seed orchard during the initial reproductive and seed
production phase.
PMID- 21887268
TI - Dissecting molecular differences between Wnt coreceptors LRP5 and LRP6.
AB - Low-density lipoprotein receptor-related proteins 5 and 6 (LRP5 and LRP6) serve
as Wnt co-receptors for the canonical beta-catenin pathway. While LRP6 is
essential for embryogenesis, both LRP5 and LRP6 play critical roles for skeletal
remodeling, osteoporosis pathogenesis and cancer formation, making LRP5 and LRP6
key therapeutic targets for cancer and disease treatment. LRP5 and LRP6 each
contain in the cytoplasmic domain five conserved PPPSPxS motifs that are pivotal
for signaling and serve collectively as phosphorylation-dependent docking sites
for the scaffolding protein Axin. However existing data suggest that LRP6 is more
effective than LRP5 in transducing the Wnt signal. To understand the molecular
basis that accounts for the different signaling activity of LRP5 and LRP6, we
generated a series of chimeric receptors via swapping LRP5 and LRP6 cytoplasmic
domains, LRP5C and LRP6C, and studied their Wnt signaling activity using
biochemical and functional assays. We demonstrate that LRP6C exhibits strong
signaling activity while LRP5C is much less active in cells. Recombinant LRP5C
and LRP6C upon in vitro phosphorylation exhibit similar Axin-binding capability,
suggesting that LRP5 and LRP6 differ in vivo at a step prior to Axin-binding,
likely at receiving phosphorylation. We identified between the two most carboxyl
PPPSPxS motifs an intervening "gap4" region that appears to account for much of
the difference between LRP5C and LRP6C, and showed that alterations in this
region are sufficient to enhance LRP5 PPPSPxS phosphorylation and signaling to
levels comparable to LRP6 in cells. In addition we provide evidence that binding
of phosphorylated LRP5 or LRP6 to Axin is likely direct and does not require the
GSK3 kinase as a bridging intermediate as has been proposed. Our studies
therefore uncover a new and important molecular tuning mechanism for differential
regulation of LRP5 and LRP6 phosphorylation and signaling activity.
PMID- 21887270
TI - Symbiotic effectiveness of rhizobial mutualists varies in interactions with
native Australian legume genera.
AB - BACKGROUND AND OBJECTIVES: Interactions between plants and beneficial soil
organisms (e.g. rhizobial bacteria, mycorrhizal fungi) are models for
investigating the ecological impacts of such associations in plant communities,
and the evolution and maintenance of variation in mutualisms (e.g. host
specificity and the level of benefits provided). With relatively few exceptions,
variation in symbiotic effectiveness across wild host species is largely
unexplored. METHODS: We evaluated these associations using representatives of
several legume genera which commonly co-occur in natural ecosystems in south
eastern Australia and an extensive set of rhizobial strains isolated from these
hosts. These strains had been previously assigned to specific phylotypes on the
basis of molecular analyses. In the first of two inoculation experiments, the
growth responses of each host species was evaluated with rhizobial strains
isolated from that species. The second experiment assessed performance across
genera and the extent of host specificity using a subset of these strains.
RESULTS: While host growth responses to their own (sympatric) isolates varied
considerably, rhizobial phylotype was a significant predictor of symbiotic
performance, indicating that bacterial species designations on the basis of
molecular markers have ecological importance. Hosts responded in qualitatively
different ways to sympatric and allopatric strains of rhizobia, ranging from
species with a clear preference for their own strains, to those that were broad
generalists, through to species that grew significantly better with allopatric
strains. CONCLUSION: Theory has focused on trade-offs between the provision of
benefits and symbiont competitive ability that might explain the persistence of
less beneficial strains. However, differences in performance among co-occurring
host species could also drive such patterns. Our results thus highlight the
likely importance of plant community structure in maintaining variation in
symbiotic effectiveness.
PMID- 21887271
TI - Novel cellulose-binding-domain protein in Phytophthora is cell wall localized.
AB - Cellulose binding domains (CBD) in the carbohydrate binding module family 1
(CBM1) are structurally conserved regions generally linked to catalytic regions
of cellulolytic enzymes. While widespread amongst saprophytic fungi that subsist
on plant cell wall polysaccharides, they are absent amongst most plant pathogenic
fungal cellulases. A genome wide survey for CBM1 was performed on the highly
destructive plant pathogen Phytophthora infestans, a fungal-like Stramenopile, to
determine if it harbored cellulolytic enzymes with CBM1. Only five genes were
found to encode CBM1, and none were associated with catalytic domains. Surveys of
other genomes indicated that the CBM1-containing proteins, lacking other domains,
represent a unique group of proteins largely confined to the Stramenopiles.
Immunolocalization of one of these proteins, CBD1, indicated that it is embedded
in the hyphal cell wall. Proteins with CBM1 domains can have plant host elicitor
activity, but tests with Agrobacterium-mediated in planta expression and
synthetic peptide infiltration failed to identify plant hypersensitive
elicitation with CBD1. A structural basis for differential elicitor activity is
proposed.
PMID- 21887272
TI - In-cell NMR in E. coli to monitor maturation steps of hSOD1.
AB - In-cell NMR allows characterizing the folding state of a protein as well as
posttranslational events at molecular level, in the cellular context. Here, the
initial maturation steps of human copper, zinc superoxide dismutase 1 are
characterized in the E. coli cytoplasm by in-cell NMR: from the apo protein,
which is partially unfolded, to the zinc binding which causes its final
quaternary structure. The protein selectively binds only one zinc ion, whereas in
vitro also the copper site binds a non-physiological zinc ion. However, no
intramolecular disulfide bridge formation occurs, nor copper uptake, suggesting
the need of a specific chaperone for those purposes.
PMID- 21887273
TI - Identification of novel biomarkers in seasonal allergic rhinitis by combining
proteomic, multivariate and pathway analysis.
AB - BACKGROUND: Glucocorticoids (GCs) play a key role in the treatment of seasonal
allergic rhinitis (SAR). However, some patients show a low response to GC
treatment. We hypothesized that proteins that correlated to discrimination
between symptomatic high and low responders (HR and LR) to GC treatment might be
regulated by GCs and therefore suitable as biomarkers for GC treatment.
METHODOLOGY/PRINCIPAL FINDINGS: We identified 953 nasal fluid proteins in
symptomatic HR and LR with a LC MS/MS based-quantitative proteomics analysis and
performed multivariate analysis to identify a combination of proteins that best
separated symptomatic HR and LR. Pathway analysis showed that those proteins were
most enriched in the acute phase response pathway. We prioritized candidate
biomarkers for GC treatment based on the multivariate and pathway analysis. Next,
we tested if those candidate biomarkers differed before and after GC treatment in
nasal fluids from 40 patients with SAR using ELISA. Several proteins including
ORM (P<0.0001), APOH (P<0.0001), FGA (P<0.01), CTSD (P<0.05) and SERPINB3
(P<0.05) differed significantly before and after GC treatment. Particularly, ORM
(P<0.01), FGA (P<0.05) and APOH (P<0.01) that belonged to the acute phase
response pathway decreased significantly in HR but not LR before and after GC
treatment. CONCLUSIONS/SIGNIFICANCE: We identified several novel biomarkers for
GC treatment response in SAR with combined proteomics, multivariate and pathway
analysis. The analytical principles may be generally applicable to identify
biomarkers in clinical studies of complex diseases.
PMID- 21887274
TI - Albiglutide, a long lasting glucagon-like peptide-1 analog, protects the rat
heart against ischemia/reperfusion injury: evidence for improving cardiac
metabolic efficiency.
AB - BACKGROUND: The cardioprotective effects of glucagon-like peptide-1 (GLP-1) and
analogs have been previously reported. We tested the hypothesis that albiglutide,
a novel long half-life analog of GLP-1, may protect the heart against I/R injury
by increasing carbohydrate utilization and improving cardiac energetic
efficiency. METHODS/PRINCIPAL FINDINGS: Sprague-Dawley rats were treated with
albiglutide and subjected to 30 min myocardial ischemia followed by 24 h
reperfusion. Left ventricle infarct size, hemodynamics, function and energetics
were determined. In addition, cardiac glucose disposal, carbohydrate metabolism
and metabolic gene expression were assessed. Albiglutide significantly reduced
infarct size and concomitantly improved post-ischemic hemodynamics, cardiac
function and energetic parameters. Albiglutide markedly increased both in vivo
and ex vivo cardiac glucose uptake while reducing lactate efflux. Analysis of
metabolic substrate utilization directly in the heart showed that albiglutide
increased the relative carbohydrate versus fat oxidation which in part was due to
an increase in both glucose and lactate oxidation. Metabolic gene expression
analysis indicated upregulation of key glucose metabolism genes in the non
ischemic myocardium by albiglutide. CONCLUSION/SIGNIFICANCE: Albiglutide reduced
myocardial infarct size and improved cardiac function and energetics following
myocardial I/R injury. The observed benefits were associated with enhanced
myocardial glucose uptake and a shift toward a more energetically favorable
substrate metabolism by increasing both glucose and lactate oxidation. These
findings suggest that albiglutide may have direct therapeutic potential for
improving cardiac energetics and function.
PMID- 21887275
TI - Insulin receptor tyrosine kinase substrate enhances low levels of MDM2-mediated
p53 ubiquitination.
AB - The tumor suppressor p53 controls multiple cellular functions including DNA
repair, cell cycle arrest and apoptosis. MDM2-mediated p53 ubiquitination affects
both degradation and cytoplasmic localization of p53. Several cofactors are known
to modulate MDM2-mediated p53 ubiquitination and proteasomal degradation. Here we
show that IRTKS, a novel IRSp53-like protein inhibited p53-induced apoptosis and
depressed its transcription activity. IRTKS bound directly to p53 and increased
p53 ubiquitination and cytoplasmic localization. Further studies revealed that
IRTKS interacted with MDM2 and promoted low levels of MDM2-mediated p53
ubiquitination in vitro and in vivo. In unstressed cells with low levels of MDM2,
IRTKS was found to stabilize the interaction of p53 and MDM2. In stressed cells,
IRTKS dissociated from p53, and high levels of MDM2 induced by p53 activation
mediate IRTKS poly-ubiquitination and subsequent proteasomal degradation. These
data suggest that IRTKS is a novel regulator of p53, modulating low level of MDM2
mediated p53 ubiquitination in unstressed cells.
PMID- 21887276
TI - CK2 phosphorylation of Schistosoma mansoni HMGB1 protein regulates its cellular
traffic and secretion but not its DNA transactions.
AB - BACKGROUND: The helminth Schistosoma mansoni parasite resides in mesenteric veins
where fecundated female worms lay hundred of eggs daily. Some of the egg antigens
are trapped in the liver and induce a vigorous granulomatous response. High
Mobility Group Box 1 (HMGB1), a nuclear factor, can also be secreted and act as a
cytokine. Schistosome HMGB1 (SmHMGB1) is secreted by the eggs and stimulate the
production of key cytokines involved in the pathology of schistosomiasis. Thus,
understanding the mechanism of SmHMGB1 release becomes mandatory. Here, we
addressed the question of how the nuclear SmHMGB1 can reach the extracellular
space. PRINCIPAL FINDINGS: We showed in vitro and in vivo that CK2
phosphorylation was involved in the nucleocytoplasmic shuttling of SmHMGB1. By
site-directed mutagenesis we mapped the two serine residues of SmHMGB1 that were
phosphorylated by CK2. By DNA bending and supercoiling assays we showed that CK2
phosphorylation of SmHMGB1 had no effect in the DNA binding activities of the
protein. We showed by electron microscopy, as well as by cell transfection and
fluorescence microscopy that SmHMGB1 was present in the nucleus and cytoplasm of
adult schistosomes and mammalian cells. In addition, we showed that treatments of
the cells with either a phosphatase or a CK2 inhibitor were able to enhance or
block, respectively, the cellular traffic of SmHMGB1. Importantly, we showed by
confocal microscopy and biochemically that SmHMGB1 is significantly secreted by
S. mansoni eggs of infected animals and that SmHMGB1 that were localized in the
periovular schistosomotic granuloma were phosphorylated. CONCLUSIONS: We showed
that secretion of SmHMGB1 is regulated by phosphorylation. Moreover, our results
suggest that egg-secreted SmHMGB1 may represent a new egg antigen. Therefore, the
identification of drugs that specifically target phosphorylation of SmHMGB1 might
block its secretion and interfere with the pathogenesis of schistosomiasis.
PMID- 21887277
TI - Serum-nutrient starvation induces cell death mediated by Bax and Puma that is
counteracted by p21 and unmasked by Bcl-x(L) inhibition.
AB - The cyclin-dependent kinase inhibitor p21 (p21WAF1/Cip1) is a multifunctional
protein known to promote cell cycle arrest and survival in response to p53
dependent and p53 independent stimuli. We herein investigated whether and how it
might contribute to the survival of cancer cells that are in low-nutrient
conditions during tumour growth, by culturing isogenic human colorectal cancer
cell lines (HCT116) and breast cancer cell lines in a medium deprived in amino
acids and serum. We show that such starvation enhances, independently from p53,
the expression of p21 and that of the pro-apoptotic BH3-only protein Puma. Under
these conditions, p21 prevents Puma and its downstream effector Bax from
triggering the mitochondrial apoptotic pathway. This anti-apoptotic effect is
exerted from the cytosol but it is unrelated to the ability of p21 to interfere
with the effector caspase 3. The survival function of p21 is, however, overcome
by RNA interference mediated Bcl-x(L) depletion, or by the pharmacological
inhibitor ABT-737. Thus, an insufficient supply in nutrients may not have an
overt effect on cancer cell viability due to p21 induction, but it primes these
cells to die, and sensitizes them to the deleterious effects of Bcl-x(L)
inhibitors regardless of their p53 status.
PMID- 21887278
TI - Spatial association of signaling proteins and F-actin effects on cluster assembly
analyzed via photoactivation localization microscopy in T cells.
AB - Recognition of antigens by T cell receptors (TCRs) triggers cellular signaling
cascades initiated by recruitment to the plasma membrane of numerous effector
molecules to form signaling microclusters (MCs). Here we show that the method of
high-resolution photoactivation localization microscopy (PALM) imaging can be
used to analyze the spatial correlation between kinase ZAP70 and adaptor SLP76
MCs at the cell periphery and the effects of F-actin on MC assembly. We first
determined the photophysical rate constants of Dronpa and tdEos fluorescence
probes, which allowed us to optimize our dual-color PALM imaging method. We next
analyzed the degrees of spatial association through determination of Mander's
colocalization coefficients from PALM images, which revealed increasing spatial
segregation of ZAP70 and SLP76 MCs at the cell periphery after initiation of
signaling. We showed that this spatial segregation at the cell periphery occurred
in parallel with the reduction of MC phosphorylation levels. Furthermore, we used
Ripley's K function to analyze spatial randomness, and determined average radii
of clusters as a function of activation time. The average radii of SLP76 and LAT
MCs were found to decrease, whereas ZAP70 MC radii remained relatively constant.
Finally, effects of F-actin depolymerization on MC morphology were studied by
determining radial distributions of cluster circularity. Our data suggest that MC
morphology is affected by actin polymerization. The quantitative analysis of sub
diffraction PALM images may provide a starting point for a molecular
interpretation of cluster-cluster interactions and of the regulation of T cell
signaling MCs by the cytoskeleton.
PMID- 21887279
TI - Cell culture replication of a genotype 1b hepatitis C virus isolate cloned from a
patient who underwent liver transplantation.
AB - The introduction of the genotype 2a isolate JFH1 was a major breakthrough in the
field of hepatitis C virus (HCV), allowing researchers to study the complete life
cycle of the virus in cell culture. However, fully competent culture systems
encompassing the most therapeutically relevant HCV genotypes are still lacking,
especially for the highly drug-resistant genotype 1b. For most isolated HCV
clones, efficient replication in cultured hepatoma cells requires the
introduction of replication-enhancing mutations. However, such mutations may
interfere with viral assembly, as occurs in the case of the genotype 1b isolate
Con1. In this study, we show that a clinical serum carrying a genotype 1b virus
with an exceptionally high viral load was able to infect Huh7.5 cells. Similar to
previous reports, inoculation of Huh7.5 cells by natural virus is very
inefficient compared to infection by cell culture HCV. A consensus sequence of a
new genotype 1b HCV isolate was cloned from the clinical serum (designated
Barcelona HCV1), and then subjected to replication studies. This virus replicated
poorly in a transient fashion in Huh7.5 cells after electroporation with in vitro
transcribed RNA. Nonetheless, approximately 3 weeks post electroporation and
thereafter, core protein-positive cells were detected by immunofluorescence.
Surprisingly, small amounts of core protein were also measurable in the
supernatant of electroporated cells, suggesting that HCV particles might be
assembled and released. Our findings not only enhance the current method of
cloning in vitro HCV replication-competent isolates, but also offer valuable
insights for the realization of fully competent culture systems for HCV.
PMID- 21887280
TI - High density lipoprotein structural changes and drug response in lipidomic
profiles following the long-term fenofibrate therapy in the FIELD substudy.
AB - In a recent FIELD study the fenofibrate therapy surprisingly failed to achieve
significant benefit over placebo in the primary endpoint of coronary heart
disease events. Increased levels of atherogenic homocysteine were observed in
some patients assigned to fenofibrate therapy but the molecular mechanisms behind
this are poorly understood. Herein we investigated HDL lipidomic profiles
associated with fenofibrate treatment and the drug-induced Hcy levels in the
FIELD substudy. We found that fenofibrate leads to complex HDL compositional
changes including increased apoA-II, diminishment of lysophosphatidylcholines and
increase of sphingomyelins. Ethanolamine plasmalogens were diminished only in a
subgroup of fenofibrate-treated patients with elevated homocysteine levels.
Finally we performed molecular dynamics simulations to qualitatively reconstitute
HDL particles in silico. We found that increased number of apoA-II excludes
neutral lipids from HDL surface and apoA-II is more deeply buried in the lipid
matrix than apoA-I. In conclusion, a detailed molecular characterization of HDL
may provide surrogates for predictors of drug response and thus help identify the
patients who might benefit from fenofibrate treatment.
PMID- 21887281
TI - The transcriptome of Trichuris suis--first molecular insights into a parasite
with curative properties for key immune diseases of humans.
AB - BACKGROUND: Iatrogenic infection of humans with Trichuris suis (a parasitic
nematode of swine) is being evaluated or promoted as a biological, curative
treatment of immune diseases, such as inflammatory bowel disease (IBD) and
ulcerative colitis, in humans. Although it is understood that short-term T. suis
infection in people with such diseases usually induces a modified Th2-immune
response, nothing is known about the molecules in the parasite that induce this
response. METHODOLOGY/PRINCIPAL FINDINGS: As a first step toward filling the gaps
in our knowledge of the molecular biology of T. suis, we characterised the
transcriptome of the adult stage of this nematode employing next-generation
sequencing and bioinformatic techniques. A total of ~65,000,000 reads were
generated and assembled into ~20,000 contiguous sequences ( = contigs); ~17,000
peptides were predicted and classified based on homology searches, protein motifs
and gene ontology and biological pathway mapping. CONCLUSIONS: These analyses
provided interesting insights into a number of molecular groups, particularly
predicted excreted/secreted molecules (n = 1,288), likely to be involved in the
parasite-host interactions, and also various molecules (n = 120) linked to
chemokine, T-cell receptor and TGF-beta signalling as well as leukocyte
transendothelial migration and natural killer cell-mediated cytotoxicity, which
are likely to be immuno-regulatory or -modulatory in the infected host. This
information provides a conceptual framework within which to test the
immunobiological basis for the curative effect of T. suis infection in humans
against some immune diseases. Importantly, the T. suis transcriptome
characterised herein provides a curated resource for detailed studies of the
immuno-molecular biology of this parasite, and will underpin future genomic and
proteomic explorations.
PMID- 21887282
TI - A novel immunodominant CD8+ T cell response restricted by a common HLA-C allele
targets a conserved region of Gag HIV-1 clade CRF01_AE infected Thais.
AB - BACKGROUND: CD8+ T cell responses play an important role in the control of HIV-1.
The extensive sequence diversity of HIV-1 represents a critical hurdle to
developing an effective HIV-1 vaccine, and it is likely that regional-specific
vaccine strains will be required to overcome the diversity of the different HIV-1
clades distributed world-wide. Unfortunately, little is known about the CD8+ T
cell responses against CRF01_AE, which is responsible for the majority of
infections in Southeast Asia. METHODOLOGY/PRINCIPAL FINDINGS: To identify
dominant CD8+ T cell responses recognized in HIV-1 clade CRF01_AE infected
subjects we drew upon data from an immunological screen of 100 HIV-1 clade
CRF01_AE infected subjects using IFN-gamma ELISpot to characterize a novel
immunodominant CD8+ T cell response in HIV-1 Gag restricted by HLA-Cw*0102 (p24,
(277)YSPVSILDI(285), YI9). Over 75% of Cw*0102+ve subjects targeted this epitope,
representing the strongest response in more than a third of these individuals.
This novel CD8 epitope was located in a highly conserved region of HIV-1 Gag
known to contain immunodominant CD8 epitopes, which are restricted by HLA-B*57
and -B*27 in clade B infection. Nonetheless, viral escape in this epitope was
frequently observed in Cw*0102+ve subjects, suggestive of strong selection
pressure being exerted by this common CD8+ T cell response.
CONCLUSIONS/SIGNIFICANCE: As HLA-Cw*0102 is frequently expressed in the Thai
population (allelic frequency of 16.8%), this immunodominant Cw*0102-restricted
Gag epitope may represent an attractive candidate for vaccines specific to
CRF01_AE and may help facilitate further studies of immunopathogenesis in this
understudied HIV-1 clade.
PMID- 21887283
TI - Molecular basis of NDM-1, a new antibiotic resistance determinant.
AB - The New Delhi Metallo-beta-lactamase (NDM-1) was first reported in 2009 in a
Swedish patient. A recent study reported that Klebsiella pneumonia NDM-1 positive
strain or Escherichia coli NDM-1 positive strain was highly resistant to all
antibiotics tested except tigecycline and colistin. These can no longer be relied
on to treat infections and therefore, NDM-1 now becomes potentially a major
global health threat.In this study, we performed modeling studies to obtain its
3D structure and NDM-1/antibiotics complex. It revealed that the hydrolytic
mechanisms are highly conserved. In addition, the detailed analysis indicates
that the more flexible and hydrophobic loop1, together with the evolution of more
positive-charged loop2 leads to NDM-1 positive strain more potent and extensive
in antibiotics resistance compared with other MBLs. Furthermore, through
biological experiments, we revealed the molecular basis for antibiotics catalysis
of NDM-1 on the enzymatic level. We found that NDM-1 enzyme was highly potent to
degrade carbapenem antibiotics, while mostly susceptible to tigecycline, which
had the ability to slow down the hydrolysis velocity of meropenem by NDM-1.
Meanwhile, the mutagenesis experiments, including D124A, C208A, K211A and K211E,
which displayed down-regulation on meropenem catalysis, proved the accuracy of
our model.At present, there are no effective antibiotics against NDM-1 positive
pathogen. Our study will provide clues to investigate the molecular basis of
extended antibiotics resistance of NDM-1 and then accelerate the search for new
antibiotics against NDM-1 positive strain in clinical studies.
PMID- 21887284
TI - Pharmacogenetic associations of MMP9 and MMP12 variants with cardiovascular
disease in patients with hypertension.
AB - OBJECTIVES: MMP-9 and -12 function in tissue remodeling and may play roles in
cardiovascular disease (CVD). We assessed associations of four MMP polymorphisms
and three antihypertensive drugs with cardiovascular outcomes. METHODS:
Hypertensives (n = 42,418) from a double-blind, randomized, clinical trial were
randomized to chlorthalidone, amlodipine, lisinopril, or doxazosin treatment
(mean follow up, 4.9 years). The primary outcome was coronary heart disease
(CHD). Secondary outcomes included combined CHD, all CVD outcomes combined,
stroke, heart failure (HF), and mortality. Genotype-treatment interactions were
tested. RESULTS: There were 38,698 participants genotyped for at least one of the
polymorphisms included here. For MMP9 R668Q (rs2274756), lower hazard ratios
(HRs) were found for AA subjects for most outcomes when treated with
chlorthalidone versus amlodipine (eg., CCHD: GG = 1.00, GA = 1.01, AA = 0.64; P =
0.038). For MMP9 R279Q (rs17576), modest pharmacogenetic findings were observed
for combined CHD and the composite CVD outcome. For MMP12 N122S (rs652438), lower
HRs were observed for CHD in subjects carrying at least one G allele and being
treated with chlorthalidone versus lisinopril (CHD: AA = 1.07, AG = 0.80, GG =
0.49; P = 0.005). In the lisinopril-amlodipine comparison, higher HRs were
observed for participants having at least one G allele at the MMP12 N122S locus
(CHD: AA = 0.94, AG = 1.19, GG = 1.93; P = 0.041). For MMP12 -82A>G (rs2276109),
no pharmacogenetic effect was found for the primary outcome, although lower HRs
were observed for AA homozygotes in the chlorthalidone-amlodipine comparison for
HF (P = 0.015). CONCLUSIONS: We observed interactions between antihypertensive
drugs and MMP9 and MMP12 for CHD and composite CVD. The data suggest that these
genes may provide useful clinical information with respect to treatment
decisions.
PMID- 21887285
TI - Prediction of peptide reactivity with human IVIg through a knowledge-based
approach.
AB - The prediction of antibody-protein (antigen) interactions is very difficult due
to the huge variability that characterizes the structure of the antibodies. The
region of the antigen bound to the antibodies is called epitope. Experimental
data indicate that many antibodies react with a panel of distinct epitopes
(positive reaction). The Challenge 1 of DREAM5 aims at understanding whether
there exists rules for predicting the reactivity of a peptide/epitope, i.e., its
capability to bind to human antibodies. DREAM 5 provided a training set of
peptides with experimentally identified high and low reactivities to human
antibodies. On the basis of this training set, the participants to the challenge
were asked to develop a predictive model of reactivity. A test set was then
provided to evaluate the performance of the model implemented so far.We developed
a logistic regression model to predict the peptide reactivity, by facing the
challenge as a machine learning problem. The initial features have been generated
on the basis of the available knowledge and the information reported in the
dataset. Our predictive model had the second best performance of the challenge.
We also developed a method, based on a clustering approach, able to "in-silico"
generate a list of positive and negative new peptide sequences, as requested by
the DREAM5 "bonus round" additional challenge.The paper describes the developed
model and its results in terms of reactivity prediction, and highlights some open
issues concerning the propensity of a peptide to react with human antibodies.
PMID- 21887286
TI - Do Pere David's deer lose memories of their ancestral predators?
AB - Whether prey retains antipredator behavior after a long period of predator
relaxation is an important question in predator-prey evolution. Pere David's deer
have been raised in enclosures for more than 1200 years and this isolation
provides an opportunity to study whether Pere David's deer still respond to the
cues of their ancestral predators or to novel predators. We played back the
sounds of crows (familiar sound) and domestic dogs (familiar non-predators), of
tigers and wolves (ancestral predators), and of lions (potential naive predator)
to Pere David's deer in paddocks, and blank sounds to the control group, and
videoed the behavior of the deer during the experiment. We also showed life-size
photo models of dog, leopard, bear, tiger, wolf, and lion to the deer and video
taped their responses after seeing these models. Pere David's deer stared at and
approached the hidden loudspeaker when they heard the roars of tiger or lion. The
deer listened to tiger roars longer, approached to tiger roars more and spent
more time staring at the tiger model. The stags were also found to forage less in
the trials of tiger roars than that of other sound playbacks. Additionally, it
took longer for the deer to restore their normal behavior after they heard tiger
roars, which was longer than that after the trial of other sound playbacks.
Moreover, the deer were only found to walk away after hearing the sounds of tiger
and wolf. Therefore, the tiger was probably the main predator for Pere David's
deer in ancient time. Our study implies that Pere David's deer still retain the
memories of the acoustic and visual cues of their ancestral predators in spite of
the long term isolation from natural habitat.
PMID- 21887287
TI - The GC-rich mitochondrial and plastid genomes of the green alga Coccomyxa give
insight into the evolution of organelle DNA nucleotide landscape.
AB - Most of the available mitochondrial and plastid genome sequences are biased
towards adenine and thymine (AT) over guanine and cytosine (GC). Examples of GC
rich organelle DNAs are limited to a small but eclectic list of species,
including certain green algae. Here, to gain insight in the evolution of
organelle nucleotide landscape, we present the GC-rich mitochondrial and plastid
DNAs from the trebouxiophyte green alga Coccomyxa sp. C-169. We compare these
sequences with other GC-rich organelle DNAs and argue that the forces biasing
them towards G and C are nonadaptive and linked to the metabolic and/or life
history features of this species. The Coccomyxa organelle genomes are also used
for phylogenetic analyses, which highlight the complexities in trying to resolve
the interrelationships among the core chlorophyte green algae, but ultimately
favour a sister relationship between the Ulvophyceae and Chlorophyceae, with the
Trebouxiophyceae branching at the base of the chlorophyte crown.
PMID- 21887288
TI - Urothelial plaque formation in post-Golgi compartments.
AB - Urothelial plaques are specialized membrane domains in urothelial superficial
(umbrella) cells, composed of highly ordered uroplakin particles. We investigated
membrane compartments involved in the formation of urothelial plaques in mouse
umbrella cells. The Golgi apparatus did not contain uroplakins organized into
plaques. In the post-Golgi region, three distinct membrane compartments
containing uroplakins were characterized: i) Small rounded vesicles, located
close to the Golgi apparatus, were labelled weakly with anti-uroplakin antibodies
and they possessed no plaques; we termed them "uroplakin-positive transporting
vesicles" (UPTVs). ii) Spherical-to-flattened vesicles, termed "immature fusiform
vesicles" (iFVs), were uroplakin-positive in their central regions and contained
small urothelial plaques. iii) Flattened "mature fusiform vesicles" (mFVs)
contained large plaques, which were densely labelled with anti-uroplakin
antibodies. Endoytotic marker horseradish peroxidase was not found in these post
Golgi compartments. We propose a detailed model of de novo urothelial plaque
formation in post-Golgi compartments: UPTVs carrying individual 16-nm particles
detach from the Golgi apparatus and subsequently fuse into iFV. Concentration of
16-nm particles into plaques and removal of uroplakin-negative membranes takes
place in iFVs. With additional fusions and buddings, iFVs mature into mFVs, each
carrying two urothelial plaques toward the apical surface of the umbrella cell.
PMID- 21887289
TI - Glucose-raising genetic variants in MADD and ADCY5 impair conversion of
proinsulin to insulin.
AB - INTRODUCTION: Recent meta-analyses of genome-wide association studies revealed
new genetic loci associated with fasting glycemia. For several of these loci, the
mechanism of action in glucose homeostasis is unclear. The objective of the study
was to establish metabolic phenotypes for these genetic variants to deliver clues
to their pathomechanism. METHODS: In this cross-sectional study 1782 non-diabetic
volunteers at increased risk for type 2 diabetes underwent an oral glucose
tolerance test. Insulin, C-peptide and proinsulin were measured and genotyping
was performed for 12 single nucleotide polymorphisms (SNP) in or near the genes
GCK (rs4607517), DGKB (rs2191349), GCKR (rs780094), ADCY5 (rs11708067), MADD
(rs7944584), ADRA2A (rs10885122), FADS1 (rs174550), CRY2 (rs11605924), SLC2A2
(rs11920090), PROX1 (rs340874), GLIS3 (rs7034200) and C2CD4B (rs11071657).
Parameters of insulin secretion (AUC Insulin(0-30)/AUC Glucose(0-30), AUC C
peptide(0-120)/AUC Glucose(0-120)), proinsulin-to-insulin conversion (fasting
proinsulin, fasting proinsulin/insulin, AUC Proinsulin(0-120)/AUCInsulin(0-120))
and insulin resistance (HOMA-IR, Matsuda-Index) were assessed. RESULTS: After
adjustment for confounding variables, the effect alleles of the ADCY5 and MADD
SNPs were associated with an impaired proinsulin-to-insulin conversion (p = 0.002
and p = 0.0001, respectively). GLIS3 was nominally associated with impaired
proinsulin-to-insulin conversion and insulin secretion. The diabetogenic alleles
of DGKB and PROX1 were nominally associated with reduced insulin secretion.
Nominally significant effects on insulin sensitivity could be found for MADD and
PROX1. DISCUSSION: By examining parameters of glucose-stimulated proinsulin-to
insulin conversion during an OGTT, we show that the SNP in ADCY5 is implicated in
defective proinsulin-to-insulin conversion. In addition, we confirmed previous
findings on the role of a genetic variant in MADD on proinsulin-to-insulin
conversion. These effects may also be related to neighboring regions of the
genome.
PMID- 21887290
TI - Rectification of the water permeability in COS-7 cells at 22, 10 and 0 degrees C.
AB - The osmotic and permeability parameters of a cell membrane are essential physico
chemical properties of a cell and particularly important with respect to cell
volume changes and the regulation thereof. Here, we report the hydraulic
conductivity, L(p), the non-osmotic volume, V(b), and the Arrhenius activation
energy, E(a), of mammalian COS-7 cells. The ratio of V(b) to the isotonic cell
volume, V(c iso), was 0.29. E(a), the activation energy required for the
permeation of water through the cell membrane, was 10,700, and 12,000 cal/mol
under hyper- and hypotonic conditions, respectively. Average values for L(p) were
calculated from swell/shrink curves by using an integrated equation for L(p). The
curves represented the volume changes of 358 individually measured cells, placed
into solutions of nonpermeating solutes of 157 or 602 mOsm/kg (at 0, 10 or 22
degrees C) and imaged over time. L(p) estimates for all six combinations of
osmolality and temperature were calculated, resulting in values of 0.11, 0.21,
and 0.10 um/min/atm for exosmotic flow and 0.79, 1.73 and 1.87 um/min/atm for
endosmotic flow (at 0, 10 and 22 degrees C, respectively). The unexpected finding
of several fold higher L(p) values for endosmotic flow indicates highly
asymmetric membrane permeability for water in COS-7. This phenomenon is known as
rectification and has mainly been reported for plant cell, but only rarely for
animal cells. Although the mechanism underlying the strong rectification found in
COS-7 cells is yet unknown, it is a phenomenon of biological interest and has
important practical consequences, for instance, in the development of optimal
cryopreservation.
PMID- 21887292
TI - The impact of socio-demographic and religious factors upon sexual behavior among
Ugandan university students.
AB - INTRODUCTION: More knowledge is needed about structural factors in society that
affect risky sexual behaviors. Educational institutions such as universities
provide an opportune arena for interventions among young people. The aim of this
study was to investigate the relationship between sociodemographic and religious
factors and their impact on sexual behavior among university students in Uganda.
METHODS: In 2005, 980 university students (response rate 80%) were assessed by a
self-administered questionnaire. Validated instruments were used to assess socio
demographic and religious factors and sexual behavior. Logistic regression
analyses were applied. RESULTS: Our findings indicated that 37% of the male and
49% of the female students had not previously had sex. Of those with sexual
experience, 46% of the males and 23% of the females had had three or more sexual
partners, and 32% of the males and 38% of the females did not consistently use
condoms. For those who rated religion as less important in their family, the
probability of early sexual activity and having had a high number of lifetime
partners increased by a statistically significant amount (OR = 1.7; 95% CI: 1.2
2.4 and OR = 1.6; 95% CI: 1.1-2.3, respectively). However, the role of religion
seemed to have no impact on condom use. Being of Protestant faith interacted with
gender: among those who had debuted sexually, Protestant female students were
more likely to have had three or more lifetime partners; the opposite was true
for Protestant male students. CONCLUSION: Religion emerged as an important
determinant of sexual behavior among Ugandan university students. Our findings
correlate with the increasing number of conservative religious injunctions
against premarital sex directed at young people in many countries with a high
burden. of HIV/AIDS. Such influence of religion must be taken into account in
order to gain a deeper understanding of the forces that shape sexual behavior in
Uganda.
PMID- 21887291
TI - GABAergic neuron deficit as an idiopathic generalized epilepsy mechanism: the
role of BRD2 haploinsufficiency in juvenile myoclonic epilepsy.
AB - Idiopathic generalized epilepsy (IGE) syndromes represent about 30% of all
epilepsies. They have strong, but elusive, genetic components and sex-specific
seizure expression. Multiple linkage and population association studies have
connected the bromodomain-containing gene BRD2 to forms of IGE. In mice, a null
mutation at the homologous Brd2 locus results in embryonic lethality while
heterozygous Brd2+/- mice are viable and overtly normal. However, using the
flurothyl model, we now show, that compared to the Brd2+/+ littermates, Brd2+/-
males have a decreased clonic, and females a decreased tonic-clonic, seizure
threshold. Additionally, long-term EEG/video recordings captured spontaneous
seizures in three out of five recorded Brd2+/- female mice. Anatomical analysis
of specific regions of the brain further revealed significant differences in
Brd2+/- vs +/+ mice. Specifically, there were decreases in the numbers of
GABAergic (parvalbumin- or GAD67-immunopositive) neurons along the basal ganglia
pathway, i.e., in the neocortex and striatum of Brd2+/- mice, compared to Brd2+/+
mice. There were also fewer GABAergic neurons in the substantia nigra reticulata
(SNR), yet there was a minor, possibly compensatory increase in the GABA
producing enzyme GAD67 in these SNR cells. Further, GAD67 expression in the
superior colliculus and ventral medial thalamic nucleus, the main SNR outputs,
was significantly decreased in Brd2+/- mice, further supporting GABA
downregulation. Our data show that the non-channel-encoding, developmentally
critical Brd2 gene is associated with i) sex-specific increases in seizure
susceptibility, ii) the development of spontaneous seizures, and iii) seizure
related anatomical changes in the GABA system, supporting BRD2's involvement in
human IGE.
PMID- 21887293
TI - Loss of Niemann-Pick C1 or C2 protein results in similar biochemical changes
suggesting that these proteins function in a common lysosomal pathway.
AB - Niemann-Pick Type C (NPC) disease is a lysosomal storage disorder characterized
by accumulation of unesterified cholesterol and other lipids in the endolysosomal
system. NPC disease results from a defect in either of two distinct cholesterol
binding proteins: a transmembrane protein, NPC1, and a small soluble protein,
NPC2. NPC1 and NPC2 are thought to function closely in the export of lysosomal
cholesterol with both proteins binding cholesterol in vitro but they may have
unrelated lysosomal roles. To investigate this possibility, we compared
biochemical consequences of the loss of either protein. Analyses of lysosome
enriched subcellular fractions from brain and liver revealed similar decreases in
buoyant densities of lysosomes from NPC1 or NPC2 deficient mice compared to
controls. The subcellular distribution of both proteins was similar and
paralleled a lysosomal marker. In liver, absence of either NPC1 or NPC2 resulted
in similar alterations in the carbohydrate processing of the lysosomal protease,
tripeptidyl peptidase I. These results highlight biochemical alterations in the
lysosomal system of the NPC-mutant mice that appear secondary to lipid storage.
In addition, the similarity in biochemical phenotypes resulting from either NPC1
or NPC2 deficiency supports models in which the function of these two proteins
within lysosomes are linked closely.
PMID- 21887294
TI - Comprehensive assessment of host responses to ionizing radiation by nuclear
factor-kappaB bioluminescence imaging-guided transcriptomic analysis.
AB - The aim of this study was to analyze the host responses to ionizing radiation by
nuclear factor-kappaB (NF-kappaB) bioluminescence imaging-guided transcriptomic
tool. Transgenic mice carrying the NF-kappaB-driven luciferase gene were exposed
to a single dose of 8.5 Gy total-body irradiation. In vivo imaging showed that a
maximal NF-kappaB-dependent bioluminescent intensity was observed at 3 h after
irradiation and ex vivo imaging showed that liver, intestine, and brain displayed
strong NF-kappaB activations. Microarray analysis of these organs showed that
irradiation altered gene expression signatures in an organ-specific manner and
several pathways associated with metabolism and immune system were significantly
altered. Additionally, the upregulation of fatty acid binding protein 4, serum
amyloid A2, and serum amyloid A3 genes, which participate in both inflammation
and lipid metabolism, suggested that irradiation might affect the cross pathways
of metabolism and inflammation. Moreover, the alteration of chemokine (CC-motif)
ligand 5, chemokine (CC-motif) ligand 20, and Jagged 1 genes, which are involved
in the inflammation and enterocyte proliferation, suggested that these genes
might be involved in the radiation enteropathy. In conclusion, this report
describes the comprehensive evaluation of host responses to ionizing radiation.
Our findings provide the fundamental information about the in vivo NF-kappaB
activity and transcriptomic pattern after irradiation. Moreover, novel targets
involved in radiation injury are also suggested.
PMID- 21887295
TI - Synaptic reorganization in the adult rat's ventral cochlear nucleus following its
total sensory deafferentation.
AB - Ablation of a cochlea causes total sensory deafferentation of the cochlear
nucleus in the brainstem, providing a model to investigate nervous degeneration
and formation of new synaptic contacts in the adult brain. In a quantitative
electron microscopical study on the plasticity of the central auditory system of
the Wistar rat, we first determined what fraction of the total number of synaptic
contact zones (SCZs) in the anteroventral cochlear nucleus (AVCN) is attributable
to primary sensory innervation and how many synapses remain after total
unilateral cochlear ablation. Second, we attempted to identify the potential for
a deafferentation-dependent synaptogenesis. SCZs were ultrastructurally
identified before and after deafferentation in tissue treated for ethanolic
phosphotungstic acid (EPTA) staining. This was combined with pre-embedding
immunocytochemistry for gephyrin identifying inhibitory SCZs, the growth
associated protein GAP-43, glutamate, and choline acetyltransferase. A
stereological analysis of EPTA stained sections revealed 1.11+/-0.09
(S.E.M.)*10(9) SCZs per mm(3) of AVCN tissue. Within 7 days of deafferentation,
this number was down by 46%. Excitatory and inhibitory synapses were
differentially affected on the side of deafferentation. Excitatory synapses were
quickly reduced and then began to increase in number again, necessarily being
complemented from sources other than cochlear neurons, while inhibitory synapses
were reduced more slowly and continuously. The result was a transient rise of the
relative fraction of inhibitory synapses with a decline below original levels
thereafter. Synaptogenesis was inferred by the emergence of morphologically
immature SCZs that were consistently associated with GAP-43 immunoreactivity.
SCZs of this type were estimated to make up a fraction of close to 30% of the
total synaptic population present by ten weeks after sensory deafferentation. In
conclusion, there appears to be a substantial potential for network
reorganization and synaptogenesis in the auditory brainstem after loss of
hearing, even in the adult brain.
PMID- 21887296
TI - Reverse effect of mammalian hypocalcemic cortisol in fish: cortisol stimulates
Ca2+ uptake via glucocorticoid receptor-mediated vitamin D3 metabolism.
AB - Cortisol was reported to downregulate body-fluid Ca(2+) levels in mammals but was
proposed to show hypercalcemic effects in teleostean fish. Fish, unlike
terrestrial vertebrates, obtain Ca(2+) from the environment mainly via the gills
and skin rather than by dietary means, and have to regulate the Ca(2+) uptake
functions to cope with fluctuating Ca(2+) levels in aquatic environments.
Cortisol was previously found to regulate Ca(2+) uptake in fish; however, the
molecular mechanism behind this is largely unclear. Zebrafish were used as a
model to explore this issue. Acclimation to low-Ca(2+) fresh water stimulated
Ca(2+) influx and expression of epithelial calcium channel (ecac), 11beta
hydroxylase and the glucocorticoid receptor (gr). Exogenous cortisol increased
Ca(2+) influx and the expressions of ecac and hydroxysteroid 11-beta
dehydrogenase 2 (hsd11b2), but downregulated 11beta-hydroxylase and the gr with
no effects on other Ca(2+) transporters or the mineralocorticoid receptor (mr).
Morpholino knockdown of the GR, but not the MR, was found to impair zebrafish
Ca(2+) uptake function by inhibiting the ecac expression. To further explore the
regulatory mechanism of cortisol in Ca(2+) uptake, the involvement of vitamin
D(3) was analyzed. Cortisol stimulated expressions of vitamin D-25hydroxylase
(cyp27a1), cyp27a1 like (cyp27a1l), 1alpha-OHase (cyp27b1) at 3 dpf through GR,
the first time to demonstrate the relationship between cortisol and vitamin D(3)
in fish. In conclusion, cortisol stimulates ecac expression to enhance Ca(2+)
uptake functions, and this control pathway is suggested to be mediated by the GR.
Lastly, cortisol also could mediate vitamin D(3) signaling to stimulate Ca(2+)
uptake in zebrafish.
PMID- 21887297
TI - Modulation of toxin stability by 4-phenylbutyric acid and negatively charged
phospholipids.
AB - AB toxins such as ricin and cholera toxin (CT) consist of an enzymatic A domain
and a receptor-binding B domain. After endocytosis of the surface-bound toxin,
both ricin and CT are transported by vesicle carriers to the endoplasmic
reticulum (ER). The A subunit then dissociates from its holotoxin, unfolds, and
crosses the ER membrane to reach its cytosolic target. Since protein unfolding at
physiological temperature and neutral pH allows the dissociated A chain to attain
a translocation-competent state for export to the cytosol, the underlying
regulatory mechanisms of toxin unfolding are of paramount biological interest.
Here we report a biophysical analysis of the effects of anionic phospholipid
membranes and two chemical chaperones, 4-phenylbutyric acid (PBA) and glycerol,
on the thermal stabilities and the toxic potencies of ricin toxin A chain (RTA)
and CT A1 chain (CTA1). Phospholipid vesicles that mimic the ER membrane
dramatically decreased the thermal stability of RTA but not CTA1. PBA and
glycerol both inhibited the thermal disordering of RTA, but only glycerol could
reverse the destabilizing effect of anionic phospholipids. In contrast, PBA was
able to increase the thermal stability of CTA1 in the presence of anionic
phospholipids. PBA inhibits cellular intoxication by CT but not ricin, which is
explained by its ability to stabilize CTA1 and its inability to reverse the
destabilizing effect of membranes on RTA. Our data highlight the toxin-specific
intracellular events underlying ER-to-cytosol translocation of the toxin A chain
and identify a potential means to supplement the long-term stabilization of toxin
vaccines.
PMID- 21887298
TI - Combined SAXS/EM based models of the S. elongatus post-translational circadian
oscillator and its interactions with the output His-kinase SasA.
AB - The circadian clock in the cyanobacterium Synechococcus elongatus is composed of
a post-translational oscillator (PTO) that can be reconstituted in vitro from
three different proteins in the presence of ATP and a transcription-translation
feedback loop (TTFL). The homo-hexameric KaiC kinase, phosphatase and ATPase
alternates between hypo- and hyper-phosphorylated states over the 24-h cycle,
with KaiA enhancing phosphorylation, and KaiB antagonizing KaiA and promoting
KaiC subunit exchange. SasA is a His kinase that relays output signals from the
PTO formed by the three Kai proteins to the TTFL. Although the crystal structures
for all three Kai proteins are known, atomic resolution structures of Kai and
Kai/SasA protein complexes have remained elusive. Here, we present models of the
KaiAC and KaiBC complexes derived from solution small angle X-ray scattering
(SAXS), which are consistent with previous EM based models. We also present a
combined SAXS/EM model of the KaiC/SasA complex, which has two N-terminal SasA
sensory domains occupying positions on the C-terminal KaiC ring reminiscent of
the orientations adopted by KaiB dimers. Using EM we demonstrate that KaiB and
SasA compete for similar binding sites on KaiC. We also propose an EM based model
of the ternary KaiABC complex that is consistent with the sequestering of KaiA by
KaiB on KaiC during the PTO dephosphorylation phase. This work provides the first
3D-catalogue of protein-protein interactions in the KaiABC PTO and the output
pathway mediated by SasA.
PMID- 21887299
TI - Influenza-specific T cells from older people are enriched in the late effector
subset and their presence inversely correlates with vaccine response.
AB - T cells specific for persistent pathogens accumulate with age and express markers
of immune senescence. In contrast, much less is known about the state of T cell
memory for acutely infecting pathogens. Here we examined T cell responses to
influenza in human peripheral blood mononuclear cells from older (>64) and
younger (<40) donors using whole virus restimulation with influenza A (A/PR8/34)
ex vivo. Although most donors had pre-existing influenza reactive T cells as
measured by IFNgamma production, older donors had smaller populations of
influenza-responsive T cells than young controls and had lost a significant
proportion of their CD45RA-negative functional memory population. Despite this
apparent dysfunction in a proportion of the older T cells, both old and young
donors' T cells from 2008 could respond to A/California/07/2009 ex vivo. For HLA
A2+ donors, MHC tetramer staining showed that a higher proportion of influenza
specific memory CD8 T cells from the 65+ group co-express the markers killer cell
lectin-like receptor G1 (KLRG1) and CD57 compared to their younger counterparts.
These markers have previously been associated with a late differentiation state
or immune senescence. Thus, memory CD8 T cells to an acutely infecting pathogen
show signs of advanced differentiation and functional deterioration with age.
There was a significant negative correlation between the frequency of
KLRG1(+)CD57(+) influenza M1-specific CD8 T cells pre-vaccination and the ability
to make antibodies in response to vaccination with seasonal trivalent inactivated
vaccine, whereas no such trend was observed when the total CD8(+)KLRG1(+)CD57(+)
population was analyzed. These results suggest that the state of the influenza
specific memory CD8 T cells may be a predictive indicator of a vaccine responsive
healthy immune system in old age.
PMID- 21887300
TI - A weak neutralizing antibody response to hepatitis C virus envelope glycoprotein
enhances virus infection.
AB - We have completed a phase 1 safety and immunogenicity trial with hepatitis C
virus (HCV) envelope glycoproteins, E1 and E2, with MF59 adjuvant as a candidate
vaccine. Neutralizing activity to HCV genotype 1a was detected in approximately
25% of the vaccinee sera. In this study, we evaluated vaccinee sera from poor
responders as a potential source of antibody dependent enhancement (ADE) of HCV
infection. Sera with poor neutralizing activity enhanced cell culture grown HCV
genotype 1a or 2a, and surrogate VSV/HCV pseudotype infection titer, in a
dilution dependent manner. Surrogate pseudotypes generated from individual HCV
glycoproteins suggested that antibody to the E2 glycoprotein; but not the E1
glycoprotein, was the principle target for enhancing infection. Antibody specific
to FcRII expressed on the hepatic cell surface or to the Fc portion of Ig blocked
enhancement of HCV infection by vaccinee sera. Together, the results from in
vitro studies suggested that enhancement of viral infectivity may occur in the
absence of a strong antibody response to HCV envelope glycoproteins.
PMID- 21887301
TI - Identification of M. tuberculosis-specific Th1 cells expressing CD69 generated in
vivo in pleural fluid cells from patients with tuberculous pleurisy.
AB - Th1 cell-mediated immune responses at the site of active infection are important
to restrict the growth of M. tuberculosis (MTB) and for the spontaneous
resolution of patients with tuberculous pleurisy (TBP). In the present study, we
found that without any stimulation, CD4(+) T cells in pleural fluid cells (PFCs)
from patients with TBP expressed significantly higher levels of CD69 than PBMCs
from patients with tuberculosis (TB) or healthy donors. CD4(+)CD69(+) T cells
expressed T-bet and IL-12Rbeta2. After stimulation with MTB-specific antigens,
CD4(+)CD69(+) T cells expressed significantly higher levels of IFN-gamma, IL-2
and TNF-alpha than CD4(+)CD69(-) T cells, demonstrating that CD4(+)CD69(+) T
cells were MTB-specific Th1 cells. In addition, CD4(+)CD69(+) T cells were mostly
polyfunctional Th1 cells that simultaneously produced IFN-gamma, IL-2, TNF-alpha
and displayed an effector or effector memory phenotype (CD45RA(-)CCR7(-)CD62L(
)CD27(-)). Moreover, the percentages of CD4(+)CD69(+) T cells were significantly
and positively correlated with polyfunctional T cells. Interestingly, sorted
CD4(+)CD69(+) but not CD4(+)CD69(-) fractions by flow cytometry produced IFN
gamma, IL-2 and TNF-alpha that were significantly regulated by CD4(+)CD25(+) Treg
cells. Taken together, based on the expression of CD69, we found a direct
quantitative and qualitative method to detect and evaluate the in vivo generated
MTB-specific polyfunctional CD4(+) T cells in PFCs from patients with TBP. This
method can be used for the potential diagnosis and enrichment or isolation of MTB
specific Th1 cells in the investigations.
PMID- 21887302
TI - Inhibition of SARS pseudovirus cell entry by lactoferrin binding to heparan
sulfate proteoglycans.
AB - It has been reported that lactoferrin (LF) participates in the host immune
response against Severe Acute Respiratory Syndrome Coronavirus (SARS-CoV)
invasion by enhancing NK cell activity and stimulating neutrophil aggregation and
adhesion. We further investigated the role of LF in the entry of SARS pseudovirus
into HEK293E/ACE2-Myc cells. Our results reveal that LF inhibits SARS pseudovirus
infection in a dose-dependent manner. Further analysis suggested that LF was able
to block the binding of spike protein to host cells at 4 degrees C, indicating
that LF exerted its inhibitory function at the viral attachment stage. However,
LF did not disrupt the interaction of spike protein with angiotensin-converting
enzyme 2 (ACE2), the functional receptor of SARS-CoV. Previous studies have shown
that LF colocalizes with the widely distributed cell-surface heparan sulfate
proteoglycans (HSPGs). Our experiments have also confirmed this conclusion.
Treatment of the cells with heparinase or exogenous heparin prevented binding of
spike protein to host cells and inhibited SARS pseudovirus infection,
demonstrating that HSPGs provide the binding sites for SARS-CoV invasion at the
early attachment phase. Taken together, our results suggest that, in addition to
ACE2, HSPGs are essential cell-surface molecules involved in SARS-CoV cell entry.
LF may play a protective role in host defense against SARS-CoV infection through
binding to HSPGs and blocking the preliminary interaction between SARS-CoV and
host cells. Our findings may provide further understanding of SARS-CoV
pathogenesis and aid in treatment of this deadly disease.
PMID- 21887303
TI - Impact of educational intervention concerning awareness and behaviors relating to
avian influenza (H5N1) in a high-risk population in Vietnam.
AB - BACKGROUND: Early initiation of treatment is essential for treatment of avian
influenza A/H5N1 viral infection in humans, as the disease can lead to rapid
development of severe pneumonia which can result in death. Contact with infected
poultry is known to be a significant risk factor for contraction of H5N1
infection. However, handling and encountering poultry are a part of most peoples'
daily lives, especially in rural communities in Vietnam where epidemic outbreaks
among poultry have been continuously reported. Enhancing proper knowledge
relating to H5N1 and to the importance of early initiation of treatment are
crucial. The aim of this study was to develop an effective educational program to
enhance awareness of H5N1 and motivate people to access to health care earlier
when H5N1 infection is suspected or likely. METHODOLOGY AND PRINCIPAL FINDINGS: A
study was conducted in two agricultural communities (intervention and control
groups) in the Ninh Binh province in Vietnam, where epidemic outbreaks of avian
influenza have recently occurred in birds. A unique educational intervention was
developed and provided to the intervention group, and no intervention was
provided to the control group. A knowledge, attitude and practice (KAP) survey
was conducted in both groups with a face-to-face interview by trained local
healthcare workers at time points before and after the educational intervention.
KAP scores were compared between the different time points and between the
groups. How educational intervention influenced awareness relating to H5N1 and
accessibility of healthcare in the population was analyzed. The study indicated
an increased awareness of H5N1 and increased reliance on local health care
workers. CONCLUSIONS: The novel educational program which was developed for this
study impacted awareness of H5N1, and resulted in more people seeking early
access to healthcare, and also resulted in earlier medical intervention for
patients with H5N1 avian influenza infection in Vietnam.
PMID- 21887304
TI - Efficient detection of proteins retro-translocated from the ER to the cytosol by
in vivo biotinylation.
AB - Retro-translocation from the ER to the cytosol of proteins within the secretory
pathway takes place on misfolded molecules that are targeted for degradation by
the cytosolically located 26S proteasome complex. Retro-translocation occurs also
for other proteins (such as calreticulin) that, despite being synthesized and
transported to the ER, are in part dislocated to the cytosol. We have taken
advantage of the E. coli derived biotin-ligase (BirA) expressed in the cytosol of
mammalian cells to specifically biotin-label in vivo proteins within the
secretory pathway that undergo retro-translocation. We validated the method using
four different proteins that are known to undergo retro-translocation upon
different conditions: the human trans-membrane protein MHC class-I alpha chain
(MHC-Ialpha), the Null Hong Kong mutant of the secretory alpha1 anti-trypsin (NHK
alpha1AT), the immunoglobulin heavy chain (HC) and the ER chaperone calreticulin
(Crt). We observed specific mono-biotinylation of cytosolically dislocated
molecules, resulting in a novel, reliable way of determining the extent of retro
translocation.
PMID- 21887305
TI - Icaritin shows potent anti-leukemia activity on chronic myeloid leukemia in vitro
and in vivo by regulating MAPK/ERK/JNK and JAK2/STAT3 /AKT signalings.
AB - PURPOSE: To explore the effects of Icaritin on chronic myeloid leukemia (CML)
cells and underlying mechanisms. METHOD: CML cells were incubated with various
concentration of Icaritin for 48 hours, the cell proliferation was analyzed by
MTT and the apoptosis was assessed with Annexin V and Hoechst 33258 staining.
Cell hemoglobinization was determined. Western blotting was used to evaluate the
expressions of MAPK/ERK/JNK signal pathway and Jak-2/Phorpho-Stat3/Phorsph-Akt
network-related protein. NOD-SCID nude mice were applied to demonstrate the anti
leukemia effect of Icaritin in vivo. RESULTS: Icaritin potently inhibited
proliferation of K562 cells (IC50 was 8 uM) and primary CML cells (IC50 was 13.4
uM for CML-CP and 18 uM for CML-BC), induced CML cells apoptosis and promoted the
erythroid differentiation of K562 cells with time-dependent manner. Furthermore,
Icaritin was able to suppress the growth of primary CD34+ leukemia cells (CML)
and Imatinib-resistant cells, and to induce apoptosis. In mouse leukemia model,
Icaritin could prolong lifespan of NOD-SCID nude mice inoculated with K562 cells
as effective as Imatinib without suppression of bone marrow. Icaritin could up
regulate phospho-JNK or phospho-C-Jun and down-regulate phospho-ERK, phospho-P
38, Jak-2, phospho-Stat3 and phospho-Akt expression with dose- or time-dependent
manner. Icaritin had no influence both on c-Abl and phospho-c-Abl protein
expression and mRNA levels of Bcr/Abl. CONCLUSION: Icaritin from Chinese herb
medicine may be a potential anti-CML agent with low adverse effect. The mechanism
of anti-leukemia for Icaritin is involved in the regulation of Bcr/Abl downstream
signaling. Icaritin may be useful for an alternative therapeutic choice of
Imatinib-resistant forms of CML.
PMID- 21887306
TI - The potential impact of labor choices on the efficacy of marine conservation
strategies.
AB - Conservation of marine resources is critical to the wellbeing of human
communities. Coastal artisanal fishing communities are particularly reliant on
marine resources for food and for their livelihoods. Management actions aimed at
marine conservation may lead to unanticipated changes in human behavior that
influence the ability of conservation programs to achieve their goals. We examine
how marine conservation strategies may impact labor decisions that influence both
the ecosystem and human livelihoods using simulation modeling. We consider two
conservation strategies in the model: direct action through fisheries regulation
enforcement, and indirect action through land conservation. Our results indicate
that both strategies can increase the abundance of fish, and thus contribute to
the maintenance of marine resources. However, our results also show that marine
fisheries enforcement may negatively impact the livelihoods of human communities.
Land conservation, on the other hand, potentially enhances the livelihood of the
human populations. Thus, depending on management objectives, indirect or a
combination of direct and indirect conservation strategies may be effective at
achieving conservation and sustainability goals. These results highlight the
importance of accounting for changes in human behavior resulting from management
actions in conservation and management.
PMID- 21887307
TI - Discrete emotion effects on lexical decision response times.
AB - Our knowledge about affective processes, especially concerning effects on
cognitive demands like word processing, is increasing steadily. Several studies
consistently document valence and arousal effects, and although there is some
debate on possible interactions and different notions of valence, broad agreement
on a two dimensional model of affective space has been achieved. Alternative
models like the discrete emotion theory have received little interest in word
recognition research so far. Using backward elimination and multiple regression
analyses, we show that five discrete emotions (i.e., happiness, disgust, fear,
anger and sadness) explain as much variance as two published dimensional models
assuming continuous or categorical valence, with the variables happiness, disgust
and fear significantly contributing to this account. Moreover, these effects even
persist in an experiment with discrete emotion conditions when the stimuli are
controlled for emotional valence and arousal levels. We interpret this result as
evidence for discrete emotion effects in visual word recognition that cannot be
explained by the two dimensional affective space account.
PMID- 21887308
TI - Low dynamics, high longevity and persistence of sessile structural species
dwelling on Mediterranean coralligenous outcrops.
AB - There is still limited understanding of the processes underlying benthic species
dynamics in marine coastal habitats, which are of disproportionate importance in
terms of productivity and biodiversity. The life-history traits of long-lived
benthic species in these habitats are particularly poorly documented. In this
study, we assessed decadal patterns of population dynamics for ten sponge and
anthozoan species that play key structural roles in coralligenous outcrops (~25 m
depth) in two areas of the NW Mediterranean Sea. This study was based on
examination of a unique long-term photographic series, which allowed analysis of
population dynamics over extensive spatial and time spans for the very first
time. Specifically, 671 individuals were censused annually over periods of 25-,
15-, and 5-years. This long-term study quantitatively revealed a common life
history pattern among the ten studied species, despite the fact they present
different growth forms. Low mortality rates (3.4% yr(-1) for all species
combined) and infrequent recruitment events (mean value of 3.1+/-0.5 SE recruits
yr(-1)) provided only a very small fraction of the new colonies required to
maintain population sizes. Overall, annual mortality and recruitment rates did
not differ significantly among years; however, some species displayed important
mortality events and recruitment pulses, indicating variability among species.
Based on the growth rates of these 10 species, we projected their longevity and,
obtained a mean estimated age of 25-200 years. Finally, the low to moderate
turnover rates (mean value 0.80% yr(-1)) observed among the coralligenous species
were in agreement with their low dynamics and persistence. These results offer
solid baseline data and reveal that these habitats are among the most vulnerable
to the current increases of anthropogenic disturbances.
PMID- 21887309
TI - Farnesoid X receptor (FXR) activation and FXR genetic variation in inflammatory
bowel disease.
AB - BACKGROUND: We previously showed that activation of the bile salt nuclear
receptor Farnesoid X Receptor (FXR) protects against intestinal inflammation in
mice. Reciprocally, these inflammatory mediators may decrease FXR activation. We
investigated whether FXR activation is repressed in the ileum and colon of
inflammatory bowel disease (IBD) patients in remission. Additionally, we
evaluated whether genetic variation in FXR is associated with IBD. METHODS: mRNA
expression of FXR and FXR target gene SHP was determined in ileal and colonic
biopsies of patients with Crohn's colitis (n = 15) and ulcerative colitis (UC; n
= 12), all in clinical remission, and healthy controls (n = 17). Seven common
tagging SNPs and two functional SNPs in FXR were genotyped in 2355 Dutch IBD
patients (1162 Crohn's disease (CD) and 1193 UC) and in 853 healthy controls.
RESULTS: mRNA expression of SHP in the ileum is reduced in patients with Crohn's
colitis but not in patients with UC compared to controls. mRNA expression of
villus marker Villin was correlated with FXR and SHP in healthy controls, a
correlation that was weaker in UC patients and absent in CD patients. None of the
SNPs was associated with IBD, UC or CD, nor with clinical subgroups of CD.
CONCLUSIONS: FXR activation in the ileum is decreased in patients with Crohn's
colitis. This may be secondary to altered enterohepatic circulation of bile salts
or transrepression by inflammatory signals but does not seem to be caused by the
studied SNPs in FXR. Increasing FXR activity by synthetic FXR agonists may have
benefit in CD patients.
PMID- 21887310
TI - Nuclear factor-kappaB-dependent epithelial to mesenchymal transition induced by
HIF-1alpha activation in pancreatic cancer cells under hypoxic conditions.
AB - BACKGROUND: Epithelial to mesenchymal transition (EMT) induced by hypoxia is one
of the critical causes of treatment failure in different types of human cancers.
NF-kappaB is closely involved in the progression of EMT. Compared with HIF
1alpha, the correlation between NF-kappaB and EMT during hypoxia has been less
studied, and although the phenomenon was observed in the past, the molecular
mechanisms involved remained unclear. METHODOLOGY/PRINCIPAL FINDINGS: Here, we
report that hypoxia or overexpression of hypoxia-inducible factor-1alpha (HIF
1alpha) promotes EMT in pancreatic cancer cells. On molecular or pharmacologic
inhibition of NF-kappaB, hypoxic cells regained expression of E-cadherin, lost
expression of N-cadherin, and attenuated their highly invasive and drug-resistant
phenotype. Introducing a pcDNA3.0/HIF-1alpha into pancreatic cancer cells under
normoxic conditions heightened NF-kappaB activity, phenocopying EMT effects
produced by hypoxia. Conversely, inhibiting the heightened NF-kappaB activity in
this setting attenuated the EMT phenotype. CONCLUSIONS/SIGNIFICANCE: These
results suggest that hypoxia or overexpression of HIF-1alpha induces the EMT that
is largely dependent on NF-kappaB in pancreatic cancer cells.
PMID- 21887311
TI - A herbivorous mite down-regulates plant defence and produces web to exclude
competitors.
AB - Herbivores may interact with each other through resource competition, but also
through their impact on plant defence. We recently found that the spider mite
Tetranychus evansi down-regulates plant defences in tomato plants, resulting in
higher rates of oviposition and population growth on previously attacked than on
unattacked leaves. The danger of such down-regulation is that attacked plants
could become a more profitable resource for heterospecific competitors, such as
the two-spotted spider mite Tetranychus urticae. Indeed, T. urticae had an almost
2-fold higher rate of oviposition on leaf discs on which T. evansi had fed
previously. In contrast, induction of direct plant defences by T. urticae
resulted in decreased oviposition by T. evansi. Hence, both herbivores affect
each other through induced plant responses. However, when populations of T.
evansi and T. urticae competed on the same plants, populations of the latter
invariably went extinct, whereas T. evansi was not significantly affected by the
presence of its competitor. This suggests that T. evansi can somehow prevent its
competitor from benefiting from the down-regulated plant defence, perhaps by
covering it with a profuse web. Indeed, we found that T. urticae had difficulties
reaching the leaf surface to feed when the leaf was covered with web produced by
T. evansi. Furthermore, T. evansi produced more web when exposed to damage or
other cues associated with T. urticae. We suggest that the silken web produced by
T. evansi serves to prevent competitors from profiting from down-regulated plant
defences.
PMID- 21887312
TI - The neuronal PAS domain protein 4 (Npas4) is required for new and reactivated
fear memories.
AB - The Neuronal PAS domain protein 4 (Npas4) is a neuronal activity-dependent
immediate early gene that has recently been identified as a transcription factor
which regulates the transcription of genes that control inhibitory synapse
development and synaptic plasticity. The role Npas4 in learning and memory,
however, is currently unknown. Here, we systematically examine the role of Npas4
in auditory Pavlovian fear conditioning, an amygdala-dependent form of emotional
learning. In our first series of experiments, we show that Npas4 mRNA and protein
are regulated in the rat lateral nucleus of the amygdala (LA) in a learning
dependent manner. Further, knockdown of Npas4 protein in the LA via adeno
associated viral (AAV) mediated gene delivery of RNAi was observed to impair fear
memory formation, while innate fear and the expression of fear memory were not
affected. In our second series of experiments, we show that Npas4 protein is
regulated in the LA by retrieval of an auditory fear memory and that knockdown of
Npas4 in the LA impairs retention of a reactivated, but not a non-reactivated,
fear memory. Collectively, our findings provide the first comprehensive look at
the functional role of Npas4 in learning and memory.
PMID- 21887313
TI - Ischemic tolerance protects the rat retina from glaucomatous damage.
AB - Glaucoma is a leading cause of acquired blindness which may involve an ischemic
like insult to retinal ganglion cells and optic nerve head. We investigated the
effect of a weekly application of brief ischemia pulses (ischemic conditioning)
on the rat retinal damage induced by experimental glaucoma. Glaucoma was induced
by weekly injections of chondroitin sulfate (CS) in the rat eye anterior chamber.
Retinal ischemia was induced by increasing intraocular pressure to 120 mmHg for 5
min; this maneuver started after 6 weekly injections of vehicle or CS and was
weekly repeated in one eye, while the contralateral eye was submitted to a sham
procedure. Glaucoma was evaluated in terms of: i) intraocular pressure (IOP), ii)
retinal function (electroretinogram (ERG)), iii) visual pathway function (visual
evoked potentials, (VEPs)) iv) histology of the retina and optic nerve head.
Retinal thiobarbituric acid substances levels were assessed as an index of lipid
peroxidation. Ischemic conditioning significantly preserved ERG, VEPs, as well as
retinal and optic nerve head structure from glaucomatous damage, without changes
in IOP. Moreover, ischemia pulses abrogated the increase in lipid peroxidation
induced by experimental glaucoma. These results indicate that induction of
ischemic tolerance could constitute a fertile avenue for the development of new
therapeutic strategies in glaucoma treatment.
PMID- 21887314
TI - Specificity and actions of an arylaspartate inhibitor of glutamate transport at
the Schaffer collateral-CA1 pyramidal cell synapse.
AB - In this study we characterized the pharmacological selectivity and physiological
actions of a new arylaspartate glutamate transporter blocker, L-threo-beta
benzylaspartate (L-TBA). At concentrations up to 100 uM, L-TBA did not act as an
AMPA receptor (AMPAR) or NMDA receptor (NMDAR) agonist or antagonist when applied
to outside-out patches from mouse hippocampal CA1 pyramidal neurons. L-TBA had no
effect on the amplitude of field excitatory postsynaptic potentials (fEPSPs)
recorded at the Schaffer collateral-CA1 pyramidal cell synapse. Excitatory
postsynaptic currents (EPSCs) in CA1 pyramidal neurons were unaffected by L-TBA
in the presence of physiological extracellular Mg(2+) concentrations, but in
Mg(2+)-free solution, EPSCs were significantly prolonged as a consequence of
increased NMDAR activity. Although L-TBA exhibited approximately four-fold
selectivity for neuronal EAAT3 over glial EAAT1/EAAT2 transporter subtypes
expressed in Xenopus oocytes, the L-TBA concentration-dependence of the EPSC
charge transfer increase in the absence of Mg(2+) was the same in hippocampal
slices from EAAT3 +/+ and EAAT3 -/- mice, suggesting that TBA effects were
primarily due to block of glial transporters. Consistent with this, L-TBA blocked
synaptically evoked transporter currents in CA1 astrocytes with a potency in
accord with its block of heterologously expressed glial transporters.
Extracellular recording in the presence of physiological Mg(2+) revealed that L
TBA prolonged fEPSPs in a frequency-dependent manner by selectively increasing
the NMDAR-mediated component of the fEPSP during short bursts of activity. The
data indicate that glial glutamate transporters play a dominant role in limiting
extrasynaptic transmitter diffusion and binding to NMDARs. Furthermore, NMDAR
signaling is primarily limited by voltage-dependent Mg(2+) block during low
frequency activity, while the relative contribution of transport increases during
short bursts of higher frequency signaling.
PMID- 21887315
TI - Neanderthal use of fish, mammals, birds, starchy plants and wood 125-250,000
years ago.
AB - Neanderthals are most often portrayed as big game hunters who derived the vast
majority of their diet from large terrestrial herbivores while birds, fish and
plants are seen as relatively unimportant or beyond the capabilities of
Neanderthals. Although evidence for exploitation of other resources (small
mammals, birds, fish, shellfish, and plants) has been found at certain
Neanderthal sites, these are typically dismissed as unusual exceptions. The
general view suggests that Neanderthal diet may broaden with time, but that this
only occurs sometime after 50,000 years ago. We present evidence, in the form of
lithic residue and use-wear analyses, for an example of a broad-based subsistence
for Neanderthals at the site of Payre, Ardeche, France (beginning of MIS 5/end of
MIS 6 to beginning of MIS 7/end of MIS 8; approximately 125-250,000 years ago).
In addition to large terrestrial herbivores, Neanderthals at Payre also exploited
starchy plants, birds, and fish. These results demonstrate a varied subsistence
already in place with early Neanderthals and suggest that our ideas of
Neanderthal subsistence are biased by our dependence on the zooarchaeological
record and a deep-seated intellectual emphasis on big game hunting.
PMID- 21887316
TI - Immunoproteasome-deficiency has no effects on NK cell education, but confers
lymphocytes into targets for NK cells in infected wild-type mice.
AB - Natural killer (NK) cells are part of the innate immune system and contribute to
the eradication of virus infected cells and tumors. NK cells express inhibitory
and activating receptors and their decision to kill a target cell is based on the
balance of signals received through these receptors. MHC class I molecules are
recognized by inhibitory receptors, and their presence during NK cell education
influences the responsiveness of peripheral NK cells. We here demonstrate that
mice with reduced MHC class I cell surface expression, due to deficiency of
immunoproteasomes, have responsive NK cells in the periphery, indicating that the
lower MHC class I levels do not alter NK cell education. Following adoptive
transfer into wild-type (wt) recipients, immunoproteasome-deficient splenocytes
are tolerated in naive but rejected in virus-infected recipients, in an NK cell
dependent fashion. These results indicate that the relatively low MHC class I
levels are sufficient to protect these cells from rejection by wt NK cells, but
that this tolerance is broken in infection, inducing an NK cell-dependent
rejection of immunoproteasome-deficient cells.
PMID- 21887317
TI - Evidence for an auditory fovea in the New Zealand kiwi (Apteryx mantelli).
AB - Kiwi are rare and strictly protected birds of iconic status in New Zealand. Yet,
perhaps due to their unusual, nocturnal lifestyle, surprisingly little is known
about their behaviour or physiology. In the present study, we exploited known
correlations between morphology and physiology in the avian inner ear and
brainstem to predict the frequency range of best hearing in the North Island
brown kiwi. The mechanosensitive hair bundles of the sensory hair cells in the
basilar papilla showed the typical change from tall bundles with few stereovilli
to short bundles with many stereovilli along the apical-to-basal tonotopic axis.
In contrast to most birds, however, the change was considerably less in the basal
half of the epithelium. Dendritic lengths in the brainstem nucleus laminaris also
showed the typical change along the tonotopic axis. However, as in the basilar
papilla, the change was much less pronounced in the presumed high-frequency
regions. Together, these morphological data suggest a fovea-like
overrepresentation of a narrow high-frequency band in kiwi. Based on known
correlations of hair-cell microanatomy and physiological responses in other
birds, a specific prediction for the frequency representation along the basilar
papilla of the kiwi was derived. The predicted overrepresentation of
approximately 4-6 kHz matches potentially salient frequency bands of kiwi
vocalisations and may thus be an adaptation to a nocturnal lifestyle in which
auditory communication plays a dominant role.
PMID- 21887318
TI - Evolutionary pathways of the pandemic influenza A (H1N1) 2009 in the UK.
AB - The emergence of the influenza (H1N1) 2009 virus provided a unique opportunity to
study the evolution of a pandemic virus following its introduction into the human
population. Virological and clinical surveillance in the UK were comprehensive
during the first and second waves of the pandemic in 2009, with extensive
laboratory confirmation of infection allowing a detailed sampling of
representative circulating viruses. We sequenced the complete coding region of
the haemagglutinin (HA) segment of 685 H1N1 pandemic viruses selected without
bias during two waves of pandemic in the UK (April-December 2009). Phylogenetic
analysis showed that although temporal accumulation of amino acid changes was
observed in the HA sequences, the overall diversity was less than that typically
seen for seasonal influenza A H1N1 or H3N2. There was co-circulation of multiple
variants as characterised by signature amino acid changes in the HA. A specific
substitution (S203T) became predominant both in UK and global isolates. No
antigenic drift occurred during 2009 as viruses with greater than four-fold
reduction in their haemagglutination inhibition (HI) titre ("low reactors") were
detected in a low proportion (3%) and occurred sporadically. Although some
limited antigenic divergence in viruses with four-fold reduction in HI titre
might be related to the presence of 203T, additional studies are needed to test
this hypothesis.
PMID- 21887319
TI - Identifying Schistosoma japonicum excretory/secretory proteins and their
interactions with host immune system.
AB - Schistosoma japonicum is a major infectious agent of schistosomiasis. It has been
reported that large number of proteins excreted and secreted by S. japonicum
during its life cycle are important for its infection and survival in definitive
hosts. These proteins can be used as ideal candidates for vaccines or drug
targets. In this work, we analyzed the protein sequences of S. japonicum and
found that compared with other proteins in S. japonicum, excretory/secretory (ES)
proteins are generally longer, more likely to be stable and enzyme, more likely
to contain immune-related binding peptides and more likely to be involved in
regulation and metabolism processes. Based on the sequence difference between ES
and non-ES proteins, we trained a support vector machine (SVM) with much higher
accuracy than existing approaches. Using this SVM, we identified 191 new ES
proteins in S. japonicum, and further predicted 7 potential interactions between
these ES proteins and human immune proteins. Our results are useful to understand
the pathogenesis of schistosomiasis and can serve as a new resource for vaccine
or drug targets discovery for anti-schistosome.
PMID- 21887320
TI - Sex ratio at birth and mortality rates are negatively related in humans.
AB - Evolutionary theory posits that resource availability and parental investment
ability could signal offspring sex selection, in order to maximize reproductive
returns. Non-human studies have provided evidence for this phenomenon, and
maternal condition around the time of conception has been identified as most
important factor that influence offspring sex selection. However, studies on
humans have reported inconsistent results, mostly due to use of disparate
measures as indicators of maternal condition. In the present study, the cross
cultural differences in human natal sex ratio were analyzed with respect to
indirect measures of condition namely, life expectancy and mortality rate.
Multiple regression modeling suggested that mortality rates have distinct
predictive power independent of cross-cultural differences in fertility, wealth
and latitude that were earlier shown to predict sex ratio at birth. These
findings suggest that sex ratio variation in humans may relate to differences in
parental and environmental conditions.
PMID- 21887321
TI - Collective dynamics of active cytoskeletal networks.
AB - Self organization mechanisms are essential for the cytoskeleton to adapt to the
requirements of living cells. They rely on the intricate interplay of
cytoskeletal filaments, crosslinking proteins and molecular motors. Here we
present an in vitro minimal model system consisting of actin filaments, fascin
and myosin-II filaments exhibiting pulsatile collective dynamics and
superdiffusive transport properties. Both phenomena rely on the complex
competition of crosslinking molecules and motor filaments in the network. They
are only observed if the relative strength of the binding of myosin-II filaments
to the actin network allows exerting high enough forces to unbind actin/fascin
crosslinks. This is shown by varying the binding strength of the acto-myosin bond
and by combining the experiments with phenomenological simulations based on
simple interaction rules.
PMID- 21887322
TI - YwdL in Bacillus cereus: its role in germination and exosporium structure.
AB - In members of the Bacillus cereus group the outermost layer of the spore is the
exosporium, which interacts with hosts and the environment. Efforts have been
made to identify proteins of the exosporium but only a few have so far been
characterised and their role in determining spore architecture and spore function
is still poorly understood. We have characterised the exosporium protein, YwdL.
DeltaywdL spores have a more fragile exosporium, subject to damage on repeated
freeze-thawing, although there is no evidence of altered resistance properties,
and coats appear intact. Immunogold labelling and Western blotting with anti-YwdL
antibodies identified YwdL to be located exclusively on the inner surface of the
exosporium of B. cereus and B. thuringiensis. We conclude that YwdL is important
for formation of a robust exosporium but is not required to maintain the
crystalline assembly within the basal layer or for attachment of the hairy nap
structure. DeltaywdL spores are unable to germinate in response to CaDPA, and
have altered germination properties, a phenotype that confirms the expected
defect in localization of the cortex lytic enzyme CwlJ in the coat.
PMID- 21887323
TI - Divalent metal ion differentially regulates the sequential nicking reactions of
the GIY-YIG homing endonuclease I-BmoI.
AB - Homing endonucleases are site-specific DNA endonucleases that function as mobile
genetic elements by introducing double-strand breaks or nicks at defined
locations. Of the major families of homing endonucleases, the modular GIY-YIG
endonucleases are least understood in terms of mechanism. The GIY-YIG homing
endonuclease I-BmoI generates a double-strand break by sequential nicking
reactions during which the single active site of the GIY-YIG nuclease domain must
undergo a substantial reorganization. Here, we show that divalent metal ion plays
a significant role in regulating the two independent nicking reactions by I-BmoI.
Rate constant determination for each nicking reaction revealed that limiting
divalent metal ion has a greater impact on the second strand than the first
strand nicking reaction. We also show that substrate mutations within the I-BmoI
cleavage site can modulate the first strand nicking reaction over a 314-fold
range. Additionally, in-gel DNA footprinting with mutant substrates and modeling
of an I-BmoI-substrate complex suggest that amino acid contacts to a critical GC
2 base pair are required to induce a bottom-strand distortion that likely directs
conformational changes for reaction progress. Collectively, our data implies
mechanistic roles for divalent metal ion and substrate bases, suggesting that
divalent metal ion facilitates the re-positioning of the GIY-YIG nuclease domain
between sequential nicking reactions.
PMID- 21887324
TI - A comprehensive model of audiovisual perception: both percept and temporal
dynamics.
AB - The sparse information captured by the sensory systems is used by the brain to
apprehend the environment, for example, to spatially locate the source of
audiovisual stimuli. This is an ill-posed inverse problem whose inherent
uncertainty can be solved by jointly processing the information, as well as
introducing constraints during this process, on the way this multisensory
information is handled. This process and its result--the percept--depend on the
contextual conditions perception takes place in. To date, perception has been
investigated and modeled on the basis of either one of two of its dimensions: the
percept or the temporal dynamics of the process. Here, we extend our previously
proposed audiovisual perception model to predict both these dimensions to capture
the phenomenon as a whole. Starting from a behavioral analysis, we use a data
driven approach to elicit a bayesian network which infers the different percepts
and dynamics of the process. Context-specific independence analyses enable us to
use the model's structure to directly explore how different contexts affect the
way subjects handle the same available information. Hence, we establish that,
while the percepts yielded by a unisensory stimulus or by the non-fusion of
multisensory stimuli may be similar, they result from different processes, as
shown by their differing temporal dynamics. Moreover, our model predicts the
impact of bottom-up (stimulus driven) factors as well as of top-down factors
(induced by instruction manipulation) on both the perception process and the
percept itself.
PMID- 21887325
TI - Towards online multiresolution community detection in large-scale networks.
AB - The investigation of community structure in networks has aroused great interest
in multiple disciplines. One of the challenges is to find local communities from
a starting vertex in a network without global information about the entire
network. Many existing methods tend to be accurate depending on a priori
assumptions of network properties and predefined parameters. In this paper, we
introduce a new quality function of local community and present a fast local
expansion algorithm for uncovering communities in large-scale networks. The
proposed algorithm can detect multiresolution community from a source vertex or
communities covering the whole network. Experimental results show that the
proposed algorithm is efficient and well-behaved in both real-world and synthetic
networks.
PMID- 21887326
TI - The impact of illicit drug use on spontaneous hepatitis C clearance: experience
from a large cohort population study.
AB - BACKGROUND AND AIMS: Acute hepatitis C infection usually ends in chronic
infection, while in a minority of patients it is spontaneously cleared. The
current population-based study is performed on a large cohort in Golestan
province of Iran to examine the demographic correlates of Spontaneous Hepatitis C
Clearance. METHODS: Serum samples used in this study had been stored in
biorepository of Golestan Cohort Study. These samples were evaluated for anti
hepatitis C Virus by third generation Enzyme-linked immunosorbent assay (ELISA).
Subjects who tested positive were then invited and tested by Recombinant
Immunoblot Assay (RIBA) and Ribonucleic Acid Polymerase Chain Reaction test
(PCR). If tested positive for RIBA, subjects were recalled and the two tests were
re-done after 6 months. Those subjects who again tested positive for RIBA but
negative for PCR were marked as cases of spontaneous clearance. RESULTS: 49,338
serum samples were evaluated. The prevalence of Chronic Hepatitis C Virus (CHCV)
infection based on PCR results was 0.31%. Among those who had acquired hepatitis
C, the rate of SC was 38%. In multivariate analysis, illicit drug use both
Injecting Use (OR = 3.271, 95% CI: 1.784-6.000, p-value<0.001) and Non-Injecting
Use (OR = 1.901, 95% CI: 1.068-3.386, p-value = 0.029) were significant
correlates of CHCV infection versus SC. CONCLUSIONS: Illicit drug use whether
intravenous or non-intravenous is the only significant correlate of CHCV, for
which several underlying mechanisms can be postulated including repeated contacts
with hepatitis C antigen.
PMID- 21887327
TI - The Na+/H+ exchanger controls deoxycholic acid-induced apoptosis by a H+
activated, Na+-dependent ionic shift in esophageal cells.
AB - Apoptosis resistance is a hallmark of cancer cells. Typically, bile acids induce
apoptosis. However during gastrointestinal (GI) tumorigenesis the cancer cells
develop resistance to bile acid-induced cell death. To understand how bile acids
induce apoptosis resistance we first need to identify the molecular pathways that
initiate apoptosis in response to bile acid exposure. In this study we examined
the mechanism of deoxycholic acid (DCA)-induced apoptosis, specifically the role
of Na(+)/H(+) exchanger (NHE) and Na(+) influx in esophageal cells. In vitro
studies revealed that the exposure of esophageal cells (JH-EsoAd1, CP-A) to DCA
(0.2 mM-0.5 mM) caused lysosomal membrane perturbation and transient cytoplasmic
acidification. Fluorescence microscopy in conjunction with atomic absorption
spectrophotometry demonstrated that this effect on lysosomes correlated with
influx of Na(+), subsequent loss of intracellular K(+), an increase of Ca(2+) and
apoptosis. However, ethylisopropyl-amiloride (EIPA), a selective inhibitor of
NHE, prevented Na(+), K(+) and Ca(2+) changes and caspase 3/7 activation induced
by DCA. Ouabain and amphotericin B, two drugs that increase intracellular Na(+)
levels, induced similar changes as DCA (ion imbalance, caspase3/7 activation). On
the contrary, DCA-induced cell death was inhibited by medium with low a Na(+)
concentrations. In the same experiments, we exposed rat ileum ex-vivo to DCA with
or without EIPA. Severe tissue damage and caspase-3 activation was observed after
DCA treatment, but EIPA almost fully prevented this response. In summary, NHE
mediated Na(+) influx is a critical step leading to DCA-induced apoptosis. Cells
tolerate acidification but evade DCA-induced apoptosis if NHE is inhibited. Our
data suggests that suppression of NHE by endogenous or exogenous inhibitors may
lead to apoptosis resistance during GI tumorigenesis.
PMID- 21887328
TI - Regulation of miR-146a by RelA/NFkB and p53 in STHdh(Q111)/Hdh(Q111) cells, a
cell model of Huntington's disease.
AB - Huntington's disease (HD) is caused by the expansion of N-terminal polymorphic
poly Q stretch of the protein huntingtin (HTT). Deregulated microRNAs and loss of
function of transcription factors recruited to mutant HTT aggregates could cause
characteristic transcriptional deregulation associated with HD. We observed
earlier that expressions of miR-125b, miR-146a and miR-150 are decreased in
STHdh(Q111)/Hdh(Q111) cells, a model for HD in comparison to those of wild type
STHdh(Q7)/Hdh(Q7) cells. In the present manuscript, we show by luciferase
reporter assays and real time PCR that decreased miR-146a expression in
STHdh(Q111)/Hdh(Q111) cells is due to decreased expression and activity of p65
subunit of NFkB (RelA/NFkB). By reporter luciferase assay, RT-PCR and western
blot analysis, we also show that both miR-150 and miR-125b target p53. This
partially explains the up regulation of p53 observed in HD. Elevated p53
interacts with RelA/NFkB, reduces its expression and activity and decreases the
expression of miR-146a, while knocking down p53 increases RelA/NFkB and miR-146a
expressions. We also demonstrate that expression of p53 is increased and levels
of RelA/NFkB, miR-146a, miR-150 and miR-125b are decreased in striatum of R6/2
mice, a mouse model of HD and in cell models of HD. In a cell model, this effect
could be reversed by exogenous expression of chaperone like proteins HYPK and
Hsp70. We conclude that (i) miR-125b and miR-150 target p53, which in turn
regulates RelA/NFkB and miR-146a expressions; (ii) reduced miR-125b and miR-150
expressions, increased p53 level and decreased RelA/NFkB and miR-146a expressions
originate from mutant HTT (iii) p53 directly or indirectly regulates the
expression of miR-146a. Our observation of interplay between transcription
factors and miRNAs using HD cell model provides an important platform upon which
further work is to be done to establish if such regulation plays any role in HD
pathogenesis.
PMID- 21887329
TI - Sustained negative BOLD response in human fMRI finger tapping task.
AB - In this work, we investigated the sustained negative blood oxygen level-dependent
(BOLD) response (sNBR) using functional magnetic resonance imaging during a
finger tapping task. We observed that the sNBR for this task was more extensive
than has previously been reported. The cortical regions involved in sNBR are
divided into the following three groups: frontal, somatosensory and occipital. By
investigating the spatial structure, area, amplitude, and dynamics of the sNBR in
comparison with those of its positive BOLD response (PBR) counterpart, we made
the following observations. First, among the three groups, the somatosensory
group contained the greatest number of activated voxels and the fewest
deactivated voxels. In addition, the amplitude of the sNBR in this group was the
smallest among the three groups. Second, the onset and peak time of the sNBR are
both larger than those of the PBR, whereas the falling edge time of the sNBR is
less than that of the PBR. Third, the long distance between most sNBR foci and
their corresponding PBR foci makes it unlikely that they share the same blood
supply artery. Fourth, the couplings between the sNBR and its PBR counterpart are
distinct among different regions and thus should be investigated separately.
These findings imply that the origin of most sNBR foci in the finger-tapping task
is much more likely to be neuronal activity suppression rather than "blood
steal."
PMID- 21887330
TI - Mothers matter too: benefits of temperature oviposition preferences in newts.
AB - The maternal manipulation hypothesis states that ectothermic females modify
thermal conditions during embryonic development to benefit their offspring
(anticipatory maternal effect). However, the recent theory suggests that the
ultimate currency of an adaptive maternal effect is female fitness that can be
maximized also by decreasing mean fitness of individual offspring. We evaluated
benefits of temperature oviposition preferences in Alpine newts (Ichthyosaura
[formerly Triturus] alpestris) by comparing the thermal sensitivity of maternal
and offspring traits across a range of preferred oviposition temperatures (12,
17, and 22 degrees C) and by manipulating the egg-predation risk during
oviposition in a laboratory thermal gradient (12-22 degrees C). All traits showed
varying responses to oviposition temperatures. Embryonic developmental rates
increased with oviposition temperature, whereas hatchling size and swimming
capacity showed the opposite pattern. Maternal oviposition and egg-predation
rates were highest at the intermediate temperature. In the thermal gradient,
females oviposited at the same temperature despite the presence of caged egg
predators, water beetles (Agabus bipustulatus). We conclude that female newts
prefer a particular temperature for egg-deposition to maximize their oviposition
performance rather than offspring fitness. The evolution of advanced reproductive
modes, such as prolonged egg-retention and viviparity, may require, among others,
the transition from selfish temperature preferences for ovipositon to the
anticipatory maternal effect.
PMID- 21887331
TI - Overexpression of the HIF hydroxylases PHD1, PHD2, PHD3 and FIH are individually
and collectively unfavorable prognosticators for NSCLC survival.
AB - INTRODUCTION: Hypoxia induced factors (HIFs) are at the heart of the adaptive
mechanisms cancer cells must implement for survival. HIFs are regulated by four
hydroxylases; Prolyl hydroxylase (PHD)-1,-2,-3 and factor inhibiting HIF (FIH).
We aimed to investigate the prognostic impact of these oxygen sensors in NSCLC.
METHODS: Tumor tissue samples from 335 resected stages I to IIIA NSCLC patients
was obtained and tissue microarrays (TMAs) were constructed. Hydroxylase
expression was evaluated by immunohistochemistry. PRINCIPAL FINDINGS: There was
scorable expression for all HIF hydroxylases in tumor cells, but not in stroma.
In univariate analyses, high tumor cell expression of all the HIF hydroxylases
were unfavorable prognosticators for disease-specific survival (DSS); PHD1 (P =
0.023), PHD2 (P = 0.013), PHD3 (P = 0.018) and FIH (P = 0.033). In the
multivariate analyses we found high tumor cell expression of PHD2 (HR = 2.03, CI
95% 1.20-3.42, P = 0.008) and PHD1 (HR = 1.45, CI 95% 1.01-2.10, P = 0.047) to be
significant independent prognosticators for DSS. Besides, there was an additive
prognostic effect by the increasing number of highly expressed HIF hydroxylases.
Provided none high expression HIF hydroxylases, the 5-year survival was 80% vs.
23% if all four were highly expressed (HR = 6.48, CI 95% 2.23-18.8, P = 0.001).
CONCLUSIONS: HIF hydroxylases are, in general, poor prognosticators for NSCLC
survival. PHD1 and PHD2 are independent negative prognostic factors in NSCLC.
Moreover, there is an additive poor prognostic impact by an increasing number of
highly expressed HIF hydroxylases.
PMID- 21887332
TI - Loss of cytoplasmic CDK1 predicts poor survival in human lung cancer and confers
chemotherapeutic resistance.
AB - The dismal lethality of lung cancer is due to late stage at diagnosis and
inherent therapeutic resistance. The incorporation of targeted therapies has
modestly improved clinical outcomes, but the identification of new targets could
further improve clinical outcomes by guiding stratification of poor-risk early
stage patients and individualizing therapeutic choices. We hypothesized that a
sequential, combined microarray approach would be valuable to identify and
validate new targets in lung cancer. We profiled gene expression signatures
during lung epithelial cell immortalization and transformation, and showed that
genes involved in mitosis were progressively enhanced in carcinogenesis. 28 genes
were validated by immunoblotting and 4 genes were further evaluated in non-small
cell lung cancer tissue microarrays. Although CDK1 was highly expressed in tumor
tissues, its loss from the cytoplasm unexpectedly predicted poor survival and
conferred resistance to chemotherapy in multiple cell lines, especially
microtubule-directed agents. An analysis of expression of CDK1 and CDK1
associated genes in the NCI60 cell line database confirmed the broad association
of these genes with chemotherapeutic responsiveness. These results have
implications for personalizing lung cancer therapy and highlight the potential of
combined approaches for biomarker discovery.
PMID- 21887333
TI - Aconitase regulation of erythropoiesis correlates with a novel licensing function
in erythropoietin-induced ERK signaling.
AB - BACKGROUND: Erythroid development requires the action of erythropoietin (EPO) on
committed progenitors to match red cell output to demand. In this process, iron
acts as a critical cofactor, with iron deficiency blunting EPO-responsiveness of
erythroid progenitors. Aconitase enzymes have recently been identified as
possible signal integration elements that couple erythropoiesis with iron
availability. In the current study, a regulatory role for aconitase during
erythropoiesis was ascertained using a direct inhibitory strategy.
METHODOLOGY/PRINCIPAL FINDINGS: In C57BL/6 mice, infusion of an aconitase active
site inhibitor caused a hypoplastic anemia and suppressed responsiveness to
hemolytic challenge. In a murine model of polycythemia vera, aconitase inhibition
rapidly normalized red cell counts, but did not perturb other lineages. In
primary erythroid progenitor cultures, aconitase inhibition impaired
proliferation and maturation but had no effect on viability or ATP levels. This
inhibition correlated with a blockade in EPO signal transmission specifically via
ERK, with preservation of JAK2-STAT5 and Akt activation. Correspondingly, a
physical interaction between ERK and mitochondrial aconitase was identified and
found to be sensitive to aconitase inhibition. CONCLUSIONS/SIGNIFICANCE: Direct
aconitase inhibition interferes with erythropoiesis in vivo and in vitro,
confirming a lineage-selective regulatory role involving its enzymatic activity.
This inhibition spares metabolic function but impedes EPO-induced ERK signaling
and disturbs a newly identified ERK-aconitase physical interaction. We propose a
model in which aconitase functions as a licensing factor in ERK-dependent
proliferation and differentiation, thereby providing a regulatory input for iron
in EPO-dependent erythropoiesis. Directly targeting aconitase may provide an
alternative to phlebotomy in the treatment of polycythemia vera.
PMID- 21887334
TI - Oral and fecal Campylobacter concisus strains perturb barrier function by
apoptosis induction in HT-29/B6 intestinal epithelial cells.
AB - Campylobacter concisus infections of the gastrointestinal tract can be
accompanied by diarrhea and inflammation, whereas colonization of the human oral
cavity might have a commensal nature. We focus on the pathophysiology of C.
concisus and the effects of different clinical oral and fecal C. concisus strains
on human HT-29/B6 colon cells. Six oral and eight fecal strains of C. concisus
were isolated. Mucus-producing HT-29/B6 epithelial monolayers were infected with
the C. concisus strains. Transepithelial electrical resistance (R(t)) and tracer
fluxes of different molecule size were measured in Ussing chambers. Tight
junction (TJ) protein expression was determined by Western blotting, and
subcellular TJ distribution was analyzed by confocal laser-scanning microscopy.
Apoptosis induction was examined by TUNEL-staining and Western blot of caspase-3
activation. All strains invaded confluent HT-29/B6 cells and impaired epithelial
barrier function, characterized by a time- and dose-dependent decrease in R(t)
either after infection from the apical side but even more from the basolateral
compartment. TJ protein expression changes were sparse, only in apoptotic areas
of infected monolayers TJ proteins were redistributed. Solely the barrier-forming
TJ protein claudin-5 showed a reduced expression level to 66+/-8% (P<0.05), by
expression regulation from the gene. Concomitantly, Lactate dehydrogenase release
was elevated to 3.1+/-0.3% versus 0.7+/-0.1% in control (P<0.001), suggesting
cytotoxic effects. Furthermore, oral and fecal C. concisus strains elevated
apoptotic events to 5-fold. C. concisus-infected monolayers revealed an increased
permeability for 332 Da fluorescein (1.74+/-0.13 vs. 0.56+/-0.17 10(-6) cm/s in
control, P<0.05) but showed no difference in permeability for 4 kDa FITC-dextran
(FD-4). The same was true in camptothecin-exposed monolayers, where camptothecin
was used for apoptosis induction.In conclusion, epithelial barrier dysfunction by
oral and fecal C. concisus strains could mainly be assigned to apoptotic leaks
together with moderate TJ changes, demonstrating a leak-flux mechanism that
parallels the clinical manifestation of diarrhea.
PMID- 21887336
TI - Enhancing biomedical text summarization using semantic relation extraction.
AB - Automatic text summarization for a biomedical concept can help researchers to get
the key points of a certain topic from large amount of biomedical literature
efficiently. In this paper, we present a method for generating text summary for a
given biomedical concept, e.g., H1N1 disease, from multiple documents based on
semantic relation extraction. Our approach includes three stages: 1) We extract
semantic relations in each sentence using the semantic knowledge representation
tool SemRep. 2) We develop a relation-level retrieval method to select the
relations most relevant to each query concept and visualize them in a graphic
representation. 3) For relations in the relevant set, we extract informative
sentences that can interpret them from the document collection to generate text
summary using an information retrieval based method. Our major focus in this work
is to investigate the contribution of semantic relation extraction to the task of
biomedical text summarization. The experimental results on summarization for a
set of diseases show that the introduction of semantic knowledge improves the
performance and our results are better than the MEAD system, a well-known tool
for text summarization.
PMID- 21887335
TI - The effect of ACACB cis-variants on gene expression and metabolic traits.
AB - BACKGROUND: Acetyl Coenzyme A carboxylase beta (ACACB) is the rate-limiting
enzyme in fatty acid oxidation, and continuous fatty acid oxidation in Acacb
knock-out mice increases insulin sensitivity. Systematic human studies have not
been performed to evaluate whether ACACB variants regulate gene expression and
insulin sensitivity in skeletal muscle and adipose tissues. We sought to
determine whether ACACB transcribed variants were associated with ACACB gene
expression and insulin sensitivity in non-diabetic African American (AA) and
European American (EA) adults. METHODS: ACACB transcribed single nucleotide
polymorphisms (SNPs) were genotyped in 105 EAs and 46 AAs whose body mass index
(BMI), lipid profiles and ACACB gene expression in subcutaneous adipose and
skeletal muscle had been measured. Allelic expression imbalance (AEI) was
assessed in lymphoblast cell lines from heterozygous subjects in an additional EA
sample (n = 95). Selected SNPs were further examined for association with insulin
sensitivity in a cohort of 417 EAs and 153 AAs. RESULTS: ACACB transcribed SNP
rs2075260 (A/G) was associated with adipose ACACB messenger RNA expression in EAs
and AAs (p = 3.8*10(-5), dominant model in meta-analysis, Stouffer method), with
the (A) allele representing lower gene expression in adipose and higher insulin
sensitivity in EAs (p = 0.04). In EAs, adipose ACACB expression was negatively
associated with age and sex-adjusted BMI (r = -0.35, p = 0.0002). CONCLUSIONS:
Common variants within the ACACB locus appear to regulate adipose gene expression
in humans. Body fat (represented by BMI) may further regulate adipose ACACB gene
expression in the EA population.
PMID- 21887337
TI - Differential subcellular localization of the splice variants of the zinc
transporter ZnT5 is dictated by the different C-terminal regions.
AB - BACKGROUND: Zinc is emerging as an important intracellular signaling molecule, as
well as fulfilling essential structural and catalytic functions through
incorporation in a myriad of zinc metalloproteins so it is important to elucidate
the molecular mechanisms of zinc homeostasis, including the subcellular
localizations of zinc transporters. PRINCIPAL FINDINGS: Two splice variants of
the human SLC30A5 Zn transporter gene (ZnT5) have been reported in the
literature. These variants differ at their N- and C-terminal regions,
corresponding with the use of different 5' and 3' exons. We demonstrate that full
length human ZnT5 variant B is a genuine transcript in human intestinal cells and
confirm expression of both variant A and variant B in a range of untreated human
tissues by splice variant-specific RT-PCR. Using N- or C-terminal GFP or FLAG
fusions of both isoforms of ZnT5 we identify that the differential subcellular
localization to the Golgi apparatus and ER respectively is a function of their
alternative C-terminal sequences. These different C-terminal regions result from
the incorporation into the mature transcript of either the whole of exon 14
(variant B) or only the 5' region of exon 14 plus exons 15-17 (variant A).
CONCLUSIONS: We thus propose that exons 15 to 17 include a signal that results in
trafficking of ZnT5 to the Golgi apparatus and that the 3' end of exon 14
includes a signal that leads to retention in the ER.
PMID- 21887338
TI - Shift in the intrinsic excitability of medial prefrontal cortex neurons following
training in impulse control and cued-responding tasks.
AB - Impulse control is an executive process that allows animals to inhibit their
actions until an appropriate time. Previously, we reported that learning a simple
response inhibition task increases AMPA currents at excitatory synapses in the
prelimbic region of the medial prefrontal cortex (mPFC). Here, we examined
whether modifications to intrinsic excitability occurred alongside the synaptic
changes. To that end, we trained rats to obtain a food reward in a response
inhibition task by withhold responding on a lever until they were signaled to
respond. We then measured excitability, using whole-cell patch clamp recordings
in brain slices, by quantifying action potentials generated by the injection of
depolarizing current steps. Training in this task depressed the excitability of
layer V pyramidal neurons of the prelimbic, but not infralimbic, region of the
mPFC relative to behavioral controls. This decrease in maximum spiking frequency
was significantly correlated with performance on the final session of the task.
This change in intrinsic excitability may represent a homeostatic mechanism
counterbalancing increased excitatory synaptic inputs onto those neurons in
trained rats. Interestingly, subjects trained with a cue that predicted imminent
reward availability had increased excitability in infralimbic, but not the
prelimbic, pyramidal neurons. This dissociation suggests that both prelimbic and
infralimbic neurons are involved in directing action, but specialized for
different types of information, inhibitory or anticipatory, respectively.
PMID- 21887339
TI - The chemotherapeutic drug 5-fluorouracil promotes PKR-mediated apoptosis in a p53
independent manner in colon and breast cancer cells.
AB - The chemotherapeutic drug 5-FU is widely used in the treatment of a range of
cancers, but resistance to the drug remains a major clinical problem. Since
defects in the mediators of apoptosis may account for chemo-resistance, the
identification of new targets involved in 5-FU-induced apoptosis is of main
clinical interest. We have identified the ds-RNA-dependent protein kinase (PKR)
as a key molecular target of 5-FU involved in apoptosis induction in human colon
and breast cancer cell lines. PKR distribution and activation, apoptosis
induction and cytotoxic effects were analyzed during 5-FU and 5-FU/IFNalpha
treatment in several colon and breast cancer cell lines with different p53
status. PKR protein was activated by 5-FU treatment in a p53-independent manner,
inducing phosphorylation of the protein synthesis translation initiation factor
eIF-2alpha and cell death by apoptosis. Furthermore, PKR interference promoted a
decreased response to 5-FU treatment and those cells were not affected by the
synergistic antitumor activity of 5-FU/IFNalpha combination. These results, taken
together, provide evidence that PKR is a key molecular target of 5-FU with
potential relevance in the clinical use of this drug.
PMID- 21887340
TI - Polybrene inhibits human mesenchymal stem cell proliferation during lentiviral
transduction.
AB - Human mesenchymal stem cells (hMSCs) can be engineered to express specific genes,
either for their use in cell-based therapies or to track them in vivo over long
periods of time. To obtain long-term expression of these genes, a lentivirus- or
retrovirus-mediated cell transduction is often used. However, given that the
efficiency with these viruses is typically low in primary cells, additives such
as polybrene are always used for efficient viral transduction. Unfortunately, as
presented here, exposure to polybrene alone at commonly used concentratons (1-8
ug/mL) negatively impacts hMSC proliferation in a dose-dependent manner as
measured by CyQUANT, EdU incorporation, and cell cycle analysis. This inhibition
of proliferation was observable in culture even 3 weeks after exposure. Culturing
the cells in the presence of FGF-2, a potent mitogen, did not abrogate this
negative effect of polybrene. In fact, the normally sharp increase in hMSC
proliferation that occurs during the first days of exposure to FGF-2 was absent
at 4 ug/mL or higher concentrations of polybrene. Similarly, the effect of
stimulating cell proliferation under simulated hypoxic conditions was also
decreased when cells were exposed to polybrene, though overall proliferation
rates were higher. The negative influence of polybrene was, however, reduced when
the cells were exposed to polybrene for a shorter period of time (6 hr vs 24 hr).
Thus, careful evaluation should be done when using polybrene to aid in lentiviral
transduction of human MSCs or other primary cells, especially when cell number is
critical.
PMID- 21887341
TI - Inverse association between methylation of human papillomavirus type 16 DNA and
risk of cervical intraepithelial neoplasia grades 2 or 3.
AB - BACKGROUND: The clinical relevance of human papillomavirus type 16 (HPV16) DNA
methylation has not been well documented, although its role in modulation of
viral transcription is recognized. METHODS: Study subjects were 211 women
attending Planned Parenthood clinics in Western Washington for routine
Papanicolaou screening who were HPV16 positive at the screening and/or subsequent
colposcopy visit. Methylation of 11 CpG dinucleotides in the 3' end of the long
control region of the HPV16 genome was examined by sequencing the cloned
polymerase chain reaction products. The association between risk of CIN2/3 and
degree of CpG methylation was estimated using a logistic regression model.
RESULTS: CIN2/3 was histologically confirmed in 94 (44.5%) of 211 HPV16 positive
women. The likelihood of being diagnosed as CIN2/3 increased significantly with
decreasing numbers of methylated CpGs (meCpGs) in the 3' end of the long control
region (P(for trend) = 0.003). After adjusting for HPV16 variants, number of
HPV16-positive visits, current smoking status and lifetime number of male sex
partners, the odds ratio for the association of CIN2/3 with >=4 meCpGs was 0.31
(95% confidence interval, 0.12-0.79). The proportion of >=4 meCpGs decreased
appreciably as the severity of the cervical lesion increased (P(for trend) =
0.001). The inverse association remained similar when CIN3 was used as the
clinical endpoint. Although not statistically significant, the >=4 meCpGs-related
risk reduction was more substantial among current, as compared to noncurrent,
smokers. CONCLUSION: Results suggest that degree of the viral genome methylation
is related to the outcome of an HPV16 cervical infection.
PMID- 21887343
TI - Global and local features of semantic networks: evidence from the Hebrew mental
lexicon.
AB - BACKGROUND: Semantic memory has generated much research. As such, the majority of
investigations have focused on the English language, and much less on other
languages, such as Hebrew. Furthermore, little research has been done on search
processes within the semantic network, even though they are abundant within
cognitive semantic phenomena. METHODOLOGY/PRINCIPAL FINDINGS: We examine a unique
dataset of free association norms to a set of target words and make use of
correlation and network theory methodologies to investigate the global and local
features of the Hebrew lexicon. The global features of the lexicon are
investigated through the use of association correlations--correlations between
target words, based on their association responses similarity; the local features
of the lexicon are investigated through the use of association dependencies--the
influence words have in the network on other words. CONCLUSIONS/SIGNIFICANCE: Our
investigation uncovered Small-World Network features of the Hebrew lexicon,
specifically a high clustering coefficient and a scale-free distribution, and
provides means to examine how words group together into semantically related
'free categories'. Our novel approach enables us to identify how words facilitate
or inhibit the spread of activation within the network, and how these words
influence each other. We discuss how these properties relate to classical
research on spreading activation and suggest that these properties influence
cognitive semantic search processes. A semantic search task, the Remote
Association Test is discussed in light of our findings.
PMID- 21887342
TI - Sphingosine kinase 1 and sphingosine 1-phosphate receptor 3 are functionally
upregulated on astrocytes under pro-inflammatory conditions.
AB - BACKGROUND: Reactive astrocytes are implicated in the development and maintenance
of neuroinflammation in the demyelinating disease multiple sclerosis (MS). The
sphingosine kinase 1 (SphK1)/sphingosine1-phosphate (S1P) receptor signaling
pathway is involved in modulation of the inflammatory response in many cell
types, but the role of S1P receptor subtype 3 (S1P(3)) signaling and SphK1 in
activated rat astrocytes has not been defined. METHODOLOGY/PRINCIPAL FINDINGS:
Using immunohistochemistry we observed the upregulation of S1P(3) and SphK1
expression on reactive astrocytes and SphK1 on macrophages in MS lesions.
Increased mRNA and protein expression of S1P(3) and SphK1, as measured by qPCR
and Western blotting respectively, was observed after treatment of rat primary
astrocyte cultures with the pro-inflammatory stimulus lipopolysaccharide (LPS).
Activation of SphK by LPS stimulation was confirmed by SphK activity assay and
was blocked by the use of the SphK inhibitor SKI (2-(p-hydroxyanilino)-4-(p
chlorphenyl) thiazole. Treatment of astrocytes with a selective S1P(3) agonist
led to increased phosphorylation of extracellular signal-regulated kinase (ERK)
1/2), which was further elevated with a LPS pre-challenge, suggesting that S1P(3)
upregulation can lead to increased functionality. Moreover, astrocyte migration
in a scratch assay was induced by S1P and LPS and this LPS-induced migration was
sensitive to inhibition of SphK1, and independent of cell proliferation. In
addition, S1P induced secretion of the potentially neuroprotective chemokine
CXCL1, which was increased when astrocytes were pre-challenged with LPS. A more
prominent role of S1P(3) signaling compared to S1P(1) signaling was demonstrated
by the use of selective S1P(3) or S1P(1) agonists. CONCLUSION/SIGNIFICANCE: In
summary, our data demonstrate that the SphK1/S1P(3) signaling axis is upregulated
when astrocytes are activated by LPS. This signaling pathway appears to play a
role in the establishment and maintenance of astrocyte activation. Upregulation
of the pathway in MS may be detrimental, e.g. through enhancing astrogliosis, or
beneficial through increased remyelination via CXCL1.
PMID- 21887344
TI - Identification and characterization of peripheral T-cell lymphoma-associated
SEREX antigens.
AB - Peripheral T-cell lymphomas (PTCL) are generally less common and pursue a more
aggressive clinical course than B-cell lymphomas, with the T-cell phenotype
itself being a poor prognostic factor in adult non-Hodgkin lymphoma (NHL). With
notable exceptions such as ALK(+) anaplastic large cell lymphoma (ALCL, ALK+),
the molecular abnormalities in PTCL remain poorly characterised. We had
previously identified circulating antibodies to ALK in patients with ALCL,
ALK(+). Thus, as a strategy to identify potential antigens associated with the
pathogenesis of PTCL, not otherwise specified (PTCL, NOS), we screened a testis
cDNA library with sera from four PTCL, NOS patients using the SEREX (serological
analysis of recombinant cDNA expression libraries) technique. We identified nine
PTCL, NOS-associated antigens whose immunological reactivity was further
investigated using sera from 52 B- and T-cell lymphoma patients and 17 normal
controls. The centrosomal protein CEP250 was specifically recognised by patients
sera and showed increased protein expression in cell lines derived from T-cell
versus B-cell malignancies. TCEB3, BECN1, and two previously uncharacterised
proteins, c14orf93 and ZBTB44, were preferentially recognised by patients' sera.
Transcripts for all nine genes were identified in 39 cancer cell lines and the
five genes encoding preferentially lymphoma-recognised antigens were widely
expressed in normal tissues and mononuclear cell subsets. In summary, this study
identifies novel molecules that are immunologically recognised in vivo by
patients with PTCL, NOS. Future studies are needed to determine whether these
tumor antigens play a role in the pathogenesis of PTCL.
PMID- 21887345
TI - Triceps surae short latency stretch reflexes contribute to ankle stiffness
regulation during human running.
AB - During human running, short latency stretch reflexes (SLRs) are elicited in the
triceps surae muscles, but the function of these responses is still a matter of
controversy. As the SLR is primarily mediated by Ia afferent nerve fibres,
various methods have been used to examine SLR function by selectively blocking
the Ia pathway in seated, standing and walking paradigms, but stretch reflex
function has not been examined in detail during running. The purpose of this
study was to examine triceps surae SLR function at different running speeds using
Achilles tendon vibration to modify SLR size. Ten healthy participants ran on an
instrumented treadmill at speeds between 7 and 15 km/h under 2 Achilles tendon
vibration conditions: no vibration and 90 Hz vibration. Surface EMG from the
triceps surae and tibialis anterior muscles, and 3D lower limb kinematics and
ground reaction forces were simultaneously collected. In response to vibration,
the SLR was depressed in the triceps surae muscles at all speeds. This coincided
with short-lasting yielding at the ankle joint at speeds between 7 and 12 km/h,
suggesting that the SLR contributes to muscle stiffness regulation by minimising
ankle yielding during the early contact phase of running. Furthermore, at the
fastest speed of 15 km/h, the SLR was still depressed by vibration in all muscles
but yielding was no longer evident. This finding suggests that the SLR has
greater functional importance at slow to intermediate running speeds than at
faster speeds.
PMID- 21887346
TI - SMURF1 amplification promotes invasiveness in pancreatic cancer.
AB - Pancreatic cancer is a deadly disease, and new therapeutic targets are urgently
needed. We previously identified DNA amplification at 7q21-q22 in pancreatic
cancer cell lines. Now, by high-resolution genomic profiling of human pancreatic
cancer cell lines and human tumors (engrafted in immunodeficient mice to enrich
the cancer epithelial fraction), we define a 325 Kb minimal amplicon spanning
SMURF1, an E3 ubiquitin ligase and known negative regulator of transforming
growth factor beta (TGFbeta) growth inhibitory signaling. SMURF1 amplification
was confirmed in primary human pancreatic cancers by fluorescence in situ
hybridization (FISH), where 4 of 95 cases (4.2%) exhibited amplification. By RNA
interference (RNAi), knockdown of SMURF1 in a human pancreatic cancer line with
focal amplification (AsPC-1) did not alter cell growth, but led to reduced cell
invasion and anchorage-independent growth. Interestingly, this effect was not
mediated through altered TGFbeta signaling, assayed by transcriptional reporter.
Finally, overexpression of SMURF1 (but not a catalytic mutant) led to loss of
contact inhibition in NIH-3T3 mouse embryo fibroblast cells. Together, these
findings identify SMURF1 as an amplified oncogene driving multiple tumorigenic
phenotypes in pancreatic cancer, and provide a new druggable target for
molecularly directed therapy.
PMID- 21887347
TI - Early second-trimester serum miRNA profiling predicts gestational diabetes
mellitus.
AB - BACKGROUND: Gestational diabetes mellitus (GDM) is one type of diabetes that
presents during pregnancy and significantly increases the risk of a number of
adverse consequences for the fetus and mother. The microRNAs (miRNA) have
recently been demonstrated to abundantly and stably exist in serum and to be
potentially disease-specific. However, no reported study investigates the
associations between serum miRNA and GDM. METHODOLOGY/PRINCIPAL FINDINGS: We
systematically used the TaqMan Low Density Array followed by individual
quantitative reverse transcription polymerase chain reaction assays to screen
miRNAs in serum collected at 16-19 gestational weeks. The expression levels of
three miRNAs (miR-132, miR-29a and miR-222) were significantly decreased in GDM
women with respect to the controls in similar gestational weeks in our discovery
evaluation and internal validation, and two miRNAs (miR-29a and miR-222) were
also consistently validated in two-centric external validation sample sets. In
addition, the knockdown of miR-29a could increase Insulin-induced gene 1 (Insig1)
expression level and subsequently the level of Phosphoenolpyruvate Carboxy
Kinase2 (PCK2) in HepG2 cell lines. CONCLUSIONS/SIGNIFICANCE: Serum miRNAs are
differentially expressed between GDM women and controls and could be candidate
biomarkers for predicting GDM. The utility of miR-29a, miR-222 and miR-132 as
serum-based non-invasive biomarkers warrants further evaluation and optimization.
PMID- 21887348
TI - Tailored beta-cyclodextrin blocks the translocation pores of binary exotoxins
from C. botulinum and C. perfringens and protects cells from intoxication.
AB - BACKGROUND: Clostridium botulinum C2 toxin and Clostridium perfringens iota toxin
are binary exotoxins, which ADP-ribosylate actin in the cytosol of mammalian
cells and thereby destroy the cytoskeleton. C2 and iota toxin consists of two
individual proteins, an enzymatic active (A-) component and a separate receptor
binding and translocation (B-) component. The latter forms a complex with the A
component on the surface of target cells and after receptor-mediated endocytosis,
it mediates the translocation of the A-component from acidified endosomal
vesicles into the cytosol. To this end, the B-components form heptameric pores in
endosomal membranes, which serve as translocation channels for the A-components.
METHODOLOGY/PRINCIPAL FINDINGS: Here we demonstrate that a 7-fold symmetrical
positively charged beta-cyclodextrin derivative, per-6-S-(3
aminomethyl)benzylthio-beta-cyclodextrin, protects cultured cells from
intoxication with C2 and iota toxins in a concentration-dependent manner starting
at low micromolar concentrations. We discovered that the compound inhibited the
pH-dependent membrane translocation of the A-components of both toxins in intact
cells. Consistently, the compound strongly blocked transmembrane channels formed
by the B-components of C2 and iota toxin in planar lipid bilayers in vitro. With
C2 toxin, we consecutively ruled out all other possible inhibitory mechanisms
showing that the compound did not interfere with the binding of the toxin to the
cells or with the enzyme activity of the A-component. CONCLUSIONS/SIGNIFICANCE:
The described beta-cyclodextrin derivative was previously identified as one of
the most potent inhibitors of the binary lethal toxin of Bacillus anthracis both
in vitro and in vivo, implying that it might represent a broad-spectrum inhibitor
of binary pore-forming exotoxins from pathogenic bacteria.
PMID- 21887349
TI - Diversity in the architecture of ATLs, a family of plant ubiquitin-ligases, leads
to recognition and targeting of substrates in different cellular environments.
AB - Ubiquitin-ligases or E3s are components of the ubiquitin proteasome system (UPS)
that coordinate the transfer of ubiquitin to the target protein. A major class of
ubiquitin-ligases consists of RING-finger domain proteins that include the
substrate recognition sequences in the same polypeptide; these are known as
single-subunit RING finger E3s. We are studying a particular family of RING
finger E3s, named ATL, that contain a transmembrane domain and the RING-H2 finger
domain; none of the member of the family contains any other previously described
domain. Although the study of a few members in A. thaliana and O. sativa has been
reported, the role of this family in the life cycle of a plant is still vague. To
provide tools to advance on the functional analysis of this family we have
undertaken a phylogenetic analysis of ATLs in twenty-four plant genomes. ATLs
were found in all the 24 plant species analyzed, in numbers ranging from 20-28 in
two basal species to 162 in soybean. Analysis of ATLs arrayed in tandem indicates
that sets of genes are expanding in a species-specific manner. To get insights
into the domain architecture of ATLs we generated 75 pHMM LOGOs from 1815 ATLs,
and unraveled potential protein-protein interaction regions by means of yeast two
hybrid assays. Several ATLs were found to interact with DSK2a/ubiquilin through a
region at the amino-terminal end, suggesting that this is a widespread
interaction that may assist in the mode of action of ATLs; the region was traced
to a distinct sequence LOGO. Our analysis provides significant observations on
the evolution and expansion of the ATL family in addition to information on the
domain structure of this class of ubiquitin-ligases that may be involved in plant
adaptation to environmental stress.
PMID- 21887350
TI - Early presymptomatic and long-term changes of rest activity cycles and cognitive
behavior in a MPTP-monkey model of Parkinson's disease.
AB - BACKGROUND: It is increasingly recognized that non-motor symptoms are a prominent
feature of Parkinson's disease and in the case of cognitive deficits can precede
onset of the characteristic motor symptoms. Here, we examine in 4 monkeys
chronically treated with low doses of the neurotoxin MPTP the early and long-term
alterations of rest-activity rhythms in relationship to the appearance of motor
and cognitive symptoms. METHODOLOGY/PRINCIPAL FINDINGS: Behavioral activity
recordings as well as motor and cognitive assessments were carried out
continuously and in parallel before, during and for several months following MPTP
treatment (12-56 weeks). Cognitive abilities were assessed using a task that is
dependent on the functional integrity of the fronto-striatal axis. Rest-activity
cycles were monitored continuously using infrared movement detectors of locomotor
activity. Motor impairment was evaluated using standardized scales for primates.
Results show that MPTP treatment led to an immediate alteration (within one week)
of rest-activity cycles and cognitive deficits. Parkinsonian motor deficits only
became apparent 3 to 5 weeks after initiating chronic MPTP administration. In
three of the four animals studied, clinical scores returned to control levels 5-7
weeks following cessation of MPTP treatment. In contrast, both cognitive deficits
and chronobiological alterations persisted for many months. Levodopa treatment
led to an improvement of cognitive performance but did not affect rest-activity
rhythms in the two cases tested. CONCLUSIONS/SIGNIFICANCE: Present results show
that i) changes in the rest activity cycles constituted early detectable
consequences of MPTP treatment and, along with cognitive alterations,
characterize the presymptomatic stage; ii) following motor recovery there is a
long-term persistence of non-motor symptoms that could reflect differential
underlying compensatory mechanisms in these domains; iii) the progressive MPTP
monkey model of presymptomatic ongoing parkinsonism offers possibilities for in
depth studies of early non-motor symptoms including sleep alterations and
cognitive deficits.
PMID- 21887351
TI - Community regulation: the relative importance of recruitment and predation
intensity of an intertidal community dominant in a seascape context.
AB - Predicting the strength and context-dependency of species interactions across
multiple scales is a core area in ecology. This is especially challenging in the
marine environment, where populations of most predators and prey are generally
open, because of their pelagic larval phase, and recruitment of both is highly
variable. In this study we use a comparative-experimental approach on small and
large spatial scales to test the relationship between predation intensity and
prey recruitment and their relative importance in shaping populations of a
dominant rocky intertidal space occupier, mussels, in the context of seascape
(availability of nearby subtidal reef habitat). Predation intensity on
transplanted mussels was tested inside and outside cages and recruitment was
measured with standard larval settlement collectors. We found that on intertidal
rocky benches with contiguous subtidal reefs in New Zealand, mussel larval
recruitment is usually low but predation on recruits by subtidal consumers (fish,
crabs) is intense during high tide. On nearby intertidal rocky benches with
adjacent sandy subtidal habitats, larval recruitment is usually greater but
subtidal predators are typically rare and predation is weaker. Multiple
regression analysis showed that predation intensity accounts for most of the
variability in the abundance of adult mussels compared to recruitment. This
seascape-dependent, predation-recruitment relationship could scale up to explain
regional community variability. We argue that community ecology models should
include seascape context-dependency and its effects on recruitment and species
interactions for better predictions of coastal community dynamics and structure.
PMID- 21887352
TI - Impact of load-related neural processes on feature binding in visuospatial
working memory.
AB - BACKGROUND: The capacity of visual working memory (WM) is substantially limited
and only a fraction of what we see is maintained as a temporary trace. The
process of binding visual features has been proposed as an adaptive means of
minimising information demands on WM. However the neural mechanisms underlying
this process, and its modulation by task and load effects, are not well
understood. OBJECTIVE: To investigate the neural correlates of feature binding
and its modulation by WM load during the sequential phases of encoding,
maintenance and retrieval. METHODS AND FINDINGS: 18 young healthy participants
performed a visuospatial WM task with independent factors of load and feature
conjunction (object identity and position) in an event-related functional MRI
study. During stimulus encoding, load-invariant conjunction-related activity was
observed in left prefrontal cortex and left hippocampus. During maintenance,
greater activity for task demands of feature conjunction versus single features,
and for increased load was observed in left-sided regions of the superior
occipital cortex, precuneus and superior frontal cortex. Where these effects were
expressed in overlapping cortical regions, their combined effect was additive.
During retrieval, however, an interaction of load and feature conjunction was
observed. This modulation of feature conjunction activity under increased load
was expressed through greater deactivation in medial structures identified as
part of the default mode network. CONCLUSIONS AND SIGNIFICANCE: The relationship
between memory load and feature binding qualitatively differed through each phase
of the WM task. Of particular interest was the interaction of these factors
observed within regions of the default mode network during retrieval which we
interpret as suggesting that at low loads, binding processes may be 'automatic'
but at higher loads it becomes a resource-intensive process leading to
disengagement of activity in this network. These findings provide new insights
into how feature binding operates within the capacity-limited WM system.
PMID- 21887353
TI - In vivo emergence of HIV-1 highly sensitive to neutralizing antibodies.
AB - BACKGROUND: The rapid and continual viral escape from neutralizing antibodies is
well documented in HIV-1 infection. Here we report in vivo emergence of viruses
with heightened sensitivity to neutralizing antibodies, sometimes paralleling the
development of neutralization escape. METHODOLOGY/PRINCIPAL FINDINGS: Sequential
viral envs were amplified from seven HIV-1 infected men monitored from
seroconversion up to 5 years after infection. Env-recombinant infectious
molecular clones were generated and tested for coreceptor use, macrophage tropism
and neutralization sensitivity to homologous and heterologous serum, soluble CD4
and monoclonal antibodies IgG1b12, 2G12 and 17b. We found that HIV-1 evolves
sensitivity to contemporaneous neutralizing antibodies during infection.
Neutralization sensitive viruses grow out even when potent autologous
neutralizing antibodies are present in patient serum. Increased sensitivity to
neutralization was associated with susceptibility of the CD4 binding site or
epitopes induced after CD4 binding, and mediated by complex envelope determinants
including V3 and V4 residues. The development of neutralization sensitive viruses
occurred without clinical progression, coreceptor switch or change in tropism for
primary macrophages. CONCLUSIONS: We propose that an interplay of selective
forces for greater virus replication efficiency without the need to resist
neutralizing antibodies in a compartment protected from immune surveillance may
explain the temporal course described here for the in vivo emergence of HIV-1
isolates with high sensitivity to neutralizing antibodies.
PMID- 21887354
TI - Apelin deficiency accelerates the progression of amyotrophic lateral sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is a neurodegenerative disease characterized
by the selective loss of motor neurons. Recent studies have implicated that
chronic hypoxia and insufficient vascular endothelial growth factor (VEGF)
dependent neuroprotection may lead to the degeneration of motor neurons in ALS.
Expression of apelin, an endogenous ligand for the G protein-coupled receptor
APJ, is regulated by hypoxia. In addition, recent reports suggest that apelin
protects neurons against glutamate-induced excitotoxicity. Here, we examined
whether apelin is an endogenous neuroprotective factor using SOD1(G93A) mouse
model of ALS. In mouse CNS tissues, the highest expressions of both apelin and
APJ mRNAs were detected in spinal cord. APJ immunoreactivity was observed in
neuronal cell bodies located in gray matter of spinal cord. Although apelin mRNA
expression in the spinal cord of wild-type mice was not changed from 4 to 18
weeks age, that of SOD1(G93A) mice was reduced along with the paralytic
phenotype. In addition, double mutant apelin-deficient and SOD1(G93A) displayed
the disease phenotypes earlier than SOD1(G93A) littermates. Immunohistochemical
observation revealed that the number of motor neurons was decreased and microglia
were activated in the spinal cord of the double mutant mice, indicating that
apelin deficiency pathologically accelerated the progression of ALS. Furthermore,
we showed that apelin enhanced the protective effect of VEGF on H(2)O(2)-induced
neuronal death in primary neurons. These results suggest that apelin/APJ system
in the spinal cord has a neuroprotective effect against the pathogenesis of ALS.
PMID- 21887355
TI - Evidence of weak habitat specialisation in microscopic animals.
AB - Macroecology and biogeography of microscopic organisms (any living organism
smaller than 2 mm) are quickly developing into fruitful research areas.
Microscopic organisms also offer the potential for testing predictions and models
derived from observations on larger organisms due to the feasibility of
performing lab and mesocosm experiments. However, more empirical knowledge on the
similarities and differences between micro- and macro-organisms is needed to
ascertain how much of the results obtained from the former can be generalised to
the latter. One potential misconception, based mostly on anedoctal evidence
rather than explicit tests, is that microscopic organisms may have wider
ecological tolerance and a lower degree of habitat specialisation than large
organisms. Here we explicitly test this hypothesis within the framework of
metacommunity theory, by studying host specificify in the assemblages of bdelloid
rotifers (animals about 350 um in body length) living in different species of
lichens in Sweden. Using several regression-based and ANOVA analyses and
controlling for both spatial structure and the kind of substrate the lichen grow
over (bark vs rock), we found evidence of significant but weak species-specific
associations between bdelloids and lichens, a wide overlap in species composition
between lichens, and wide ecological tolerance for most bdelloid species. This
confirms that microscopic organisms such as bdelloids have a lower degree of
habitat specialisation than larger organisms, although this happens in a complex
scenario of ecological processes, where source-sink dynamics and geographic
distances seem to have no effect on species composition at the analysed scale.
PMID- 21887356
TI - Chloroplast genome variation in upland and lowland switchgrass.
AB - Switchgrass (Panicum virgatum L.) exists at multiple ploidies and two
phenotypically distinct ecotypes. To facilitate interploidal comparisons and to
understand the extent of sequence variation within existing breeding pools, two
complete switchgrass chloroplast genomes were sequenced from individuals
representative of the upland and lowland ecotypes. The results demonstrated a
very high degree of conservation in gene content and order with other sequenced
plastid genomes. The lowland ecotype reference sequence (Kanlow Lin1) was 139,677
base pairs while the upland sequence (Summer Lin2) was 139,619 base pairs.
Alignments between the lowland reference sequence and short-read sequence data
from existing sequence datasets identified as either upland or lowland confirmed
known polymorphisms and indicated the presence of other differences. Insertions
and deletions principally occurred near stretches of homopolymer simple sequence
repeats in intergenic regions while most Single Nucleotide Polymorphisms (SNPs)
occurred in intergenic regions and introns within the single copy portions of the
genome. The polymorphism rate between upland and lowland switchgrass ecotypes was
found to be similar to rates reported between chloroplast genomes of indica and
japonica subspecies of rice which were believed to have diverged 0.2-0.4 million
years ago.
PMID- 21887357
TI - Ketamine influences CLOCK:BMAL1 function leading to altered circadian gene
expression.
AB - Major mood disorders have been linked to abnormalities in circadian rhythms,
leading to disturbances in sleep, mood, temperature, and hormonal levels. We
provide evidence that ketamine, a drug with rapid antidepressant effects,
influences the function of the circadian molecular machinery. Ketamine modulates
CLOCK:BMAL1-mediated transcriptional activation when these regulators are
ectopically expressed in NG108-15 neuronal cells. Inhibition occurs in a dose
dependent manner and is attenuated after treatment with the GSK3beta antagonist
SB21673. We analyzed the effect of ketamine on circadian gene expression and
observed a dose-dependent reduction in the amplitude of circadian transcription
of the Bmal1, Per2, and Cry1 genes. Finally, chromatin-immunoprecipitation
analyses revealed that ketamine altered the recruitment of the CLOCK:BMAL1
complex on circadian promoters in a time-dependent manner. Our results reveal a
yet unsuspected molecular mode of action of ketamine and thereby may suggest
possible pharmacological antidepressant strategies.
PMID- 21887358
TI - Identification of a novel aminopeptidase P-like gene (OnAPP) possibly involved in
Bt toxicity and resistance in a major corn pest (Ostrinia nubilalis).
AB - Studies to understand the Bacillus thuringiensis (Bt) resistance mechanism in
European corn borer (ECB, Ostrinia nubilalis) suggest that resistance may be due
to changes in the midgut-specific Bt toxin receptor. In this study, we identified
10 aminopeptidase-like genes, which have previously been identified as putative
Bt toxin receptors in other insects and examined their expression in relation to
Cry1Ab toxicity and resistance. Expression analysis for the 10 aminopeptidase
like genes revealed that most of these genes were expressed predominantly in the
larval midgut, but there was no difference in the expression of these genes in
Cry1Ab resistant and susceptible strains. This suggested that altered expression
of these genes was unlikely to be responsible for resistance in these ECB
strains. However, we found that there were changes in two amino acid residues of
the aminopeptidase-P like gene (OnAPP) involving Glu(305) to Lys(305) and
Arg(307) to Leu(307) in the two Cry1Ab-resistant strains as compared with three
Cry1Ab-susceptible strains. The mature OnAPP contains 682 amino acid residues and
has a putative signal peptide at the N-terminus, a predicted glycosylphosphatidyl
inositol (GPI)-anchor signal at the C-terminal, three predicted N-glycosylation
sites at residues N178, N278 and N417, and an O-glycosylation site at residue
T653. We used a feeding based-RNA interference assay to examine the role of the
OnAPP gene in Cry1Ab toxicity and resistance. Bioassays of Cry1Ab in larvae fed
diet containing OnAPP dsRNA resulted in a 38% reduction in the transcript level
of OnAPP and a 25% reduction in the susceptibility to Cry1Ab as compared with
larvae fed GFP dsRNA or water. These results strongly suggest that the OnAPP gene
could be involved in binding the Cry1Ab toxin in the ECB larval midgut and that
mutations in this gene may be associated with Bt resistance in these two ECB
strains.
PMID- 21887359
TI - Corals use similar immune cells and wound-healing processes as those of higher
organisms.
AB - Sessile animals, like corals, frequently suffer physical injury from a variety of
sources, thus wound-healing mechanisms that restore tissue integrity and prevent
infection are vitally important for defence. Despite the ecological importance of
reef-building corals, little is known about the cells and processes involved in
wound healing in this group or in phylogenetically basal metazoans in general. A
histological investigation into wound healing of the scleractinian coral Porites
cylindrica at 0 h, 6 h, 24 h and 48 h after injury revealed differences in
cellular components between injured and healthy tissues. Cell counts of the
obligate endosymbiont, Symbiodinium, and melanin volume fraction analysis
revealed rapid declines in both Symbiodinium abundance and tissue cross-sectional
area occupied by melanin-containing granular cells after injury. Four phases of
wound healing were identified, which are similar to phases described for both
vertebrates and invertebrates. The four phases included (i) plug formation via
the degranulation of melanin-containing granular cells; (ii) immune cell
infiltration (inflammation); (iii) granular tissue formation (proliferation); and
(iv) maturation. This study provides detailed documentation of the processes
involved in scleractinian wound healing for the first time and further elucidates
the roles of previously-described immune cells, such as fibroblasts. These
results demonstrate the conservation of wound healing processes from anthozoans
to humans.
PMID- 21887360
TI - Functional foveal splitting: evidence from neuropsychological and multimodal MRI
investigations in a Chinese patient with a splenium lesion.
AB - It remains controversial and hotly debated whether foveal information is double
projected to both hemispheres or split at the midline between the two
hemispheres. We investigated this issue in a unique patient with lesions in the
splenium of the corpus callosum and the left medial occipitotemporal region,
through a series of neuropsychological tests and multimodal MRI scans. Behavioral
experiments showed that (1) the patient had difficulties in reading simple and
compound Chinese characters when they were presented in the foveal but left to
the fixation, (2) he failed to recognize the left component of compound
characters when the compound characters were presented in the central foveal
field, (3) his judgments of the gender of centrally presented chimeric faces were
exclusively based on the left half-face and he was unaware that the faces were
chimeric. Functional MRI data showed that Chinese characters, only when presented
in the right foveal field but not in the left foveal field, activated a region in
the left occipitotemporal sulcus in the mid-fusiform, which is recognized as
visual word form area. Together with existing evidence in the literature, results
of the current study suggest that the representation of foveal stimuli is
functionally split at object processing levels.
PMID- 21887361
TI - Intermittent hypoxia after transient focal ischemia induces hippocampal
neurogenesis and c-Fos expression and reverses spatial memory deficits in rats.
AB - BACKGROUND: Memory impairment is a frequent complication of brain ischemia.
Neurogenesis is implicated in learning and memory and is regulated by the
transcription factor c-Fos. Preconditioning intermittent hypoxia (IH) attenuates
ischemia-related memory impairments, but it is not known whether post-ischemia IH
intervention has a similar effect. We investigated the effects of post-ischemia
IH on hippocampal neurogenesis and c-Fos expression as well as spatial learning
and memory in rats. METHODOLOGY/PRINCIPAL FINDINGS: Focal cerebral ischemia was
induced in some rats by middle cerebral artery occlusion (MCAO), while other rats
received sham MCAO surgery. Beginning a week later, half of the rats of each
group received IH interventions (12% oxygen concentration, 4 hrs/d, for 7 d) and
half received sham IH sessions. An additional group of rats received MCAO, IH,
and injections of the neurogenesis-impairing agent 3'-AZT. Spatial learning and
memory was measured in the Morris water maze, and hippocampal neurogenesis and c
Fos expression were examined. Hypoxia-inducible factor 1alpha (HIF-1alpha) and
phosphorylated mitogen-activated protein kinase (pMAPK) were considered as
possible mediators of IH-induced changes in neurogenesis and c-Fos expression. IH
intervention following MCAO resulted in recovered spatial memory, increased
hippocampal neurogenesis, and increased expression of c-Fos in newborn
hippocampal cells. These effects were blocked by 3'-AZT. IH intervention
following MCAO also was associated with increased hippocampal pMAPK and HIF
1alpha expression. CONCLUSIONS/SIGNIFICANCE: IH intervention following MCAO
rescued ischemia-induced spatial learning and memory impairments, likely by
inducing hippocampal neurogenesis and c-Fos expression through mediators
including pMAPK and HIF-1alpha.
PMID- 21887362
TI - Magnetic-stimulation-related physiological artifacts in hemodynamic near-infrared
spectroscopy signals.
AB - Hemodynamic responses evoked by transcranial magnetic stimulation (TMS) can be
measured with near-infrared spectroscopy (NIRS). This study demonstrates that
cerebral neuronal activity is not their sole contributor. We compared bilateral
NIRS responses following brain stimulation to those from the shoulders evoked by
shoulder stimulation and contrasted them with changes in circulatory parameters.
The left primary motor cortex of ten subjects was stimulated with 8-s repetitive
TMS trains at 0.5, 1, and 2 Hz at an intensity of 75% of the resting motor
threshold. Hemoglobin concentration changes were measured with NIRS on the
stimulated and contralateral hemispheres. The photoplethysmograph (PPG) amplitude
and heart rate were recorded as well. The left shoulder of ten other subjects was
stimulated with the same protocol while the hemoglobin concentration changes in
both shoulders were measured. In addition to PPG amplitude and heart rate, the
pulse transit time was recorded. The brain stimulation reduced the total
hemoglobin concentration (HbT) on the stimulated and contralateral hemispheres.
The shoulder stimulation reduced HbT on the stimulated shoulder but increased it
contralaterally. The waveforms of the HbT responses on the stimulated hemisphere
and shoulder correlated strongly with each other (r = 0.65-0.87). All circulatory
parameters were also affected. The results suggest that the TMS-evoked NIRS
signal includes components that do not result directly from cerebral neuronal
activity. These components arise from local effects of TMS on the vasculature.
Also global circulatory effects due to arousal may affect the responses. Thus,
studies involving TMS-evoked NIRS responses should be carefully controlled for
physiological artifacts and effective artifact removal methods are needed to draw
inferences about TMS-evoked brain activity.
PMID- 21887363
TI - Heterogeneity in SDF-1 expression defines the vasculogenic potential of adult
cardiac progenitor cells.
AB - RATIONALE: The adult myocardium has been reported to harbor several classes of
multipotent progenitor cells (CPCs) with tri-lineage differentiation potential.
It is not clear whether c-kit+CPCs represent a uniform precursor population or a
more complex mixture of cell types. OBJECTIVE: To characterize and understand
vasculogenic heterogeneity within c-kit+presumptive cardiac progenitor cell
populations. METHODS AND RESULTS: c-kit+, sca-1+ CPCs obtained from adult mouse
left ventricle expressed stem cell-associated genes, including Oct-4 and Myc, and
were self-renewing, pluripotent and clonogenic. Detailed single cell clonal
analysis of 17 clones revealed that most (14/17) exhibited trilineage
differentiation potential. However, striking morphological differences were
observed among clones that were heritable and stable in long-term culture. 3
major groups were identified: round (7/17), flat or spindle-shaped (5/17) and
stellate (5/17). Stellate morphology was predictive of vasculogenic
differentiation in Matrigel. Genome-wide expression studies and bioinformatic
analysis revealed clonally stable, heritable differences in stromal cell-derived
factor-1 (SDF-1) expression that correlated strongly with stellate morphology and
vasculogenic capacity. Endogenous SDF-1 production contributed directly to
vasculogenic differentiation: both shRNA-mediated knockdown of SDF-1 and AMD3100,
an antagonist of the SDF-1 receptor CXC chemokine Receptor-4 (CXCR4), reduced
tube-forming capacity, while exogenous SDF-1 induced tube formation by 2 non
vasculogenic clones. CPCs producing SDF-1 were able to vascularize Matrigel
dermal implants in vivo, while CPCs with low SDF-1 production were not.
CONCLUSIONS: Clonogenic c-kit+, sca-1+ CPCs are heterogeneous in morphology, gene
expression patterns and differentiation potential. Clone-specific levels of SDF-1
expression both predict and promote development of a vasculogenic phenotype via a
previously unreported autocrine mechanism.
PMID- 21887364
TI - Comparative transcriptome analyses indicate molecular homology of zebrafish
swimbladder and mammalian lung.
AB - The fish swimbladder is a unique organ in vertebrate evolution and it functions
for regulating buoyancy in most teleost species. It has long been postulated as a
homolog of the tetrapod lung, but the molecular evidence is scarce. In order to
understand the molecular function of swimbladder as well as its relationship with
lungs in tetrapods, transcriptomic analyses of zebrafish swimbladder were carried
out by RNA-seq. Gene ontology classification showed that genes in cytoskeleton
and endoplasmic reticulum were enriched in the swimbladder. Further analyses
depicted gene sets and pathways closely related to cytoskeleton constitution and
regulation, cell adhesion, and extracellular matrix. Several prominent
transcription factor genes in the swimbladder including hoxc4a, hoxc6a, hoxc8a
and foxf1 were identified and their expressions in developing swimbladder during
embryogenesis were confirmed. By comparison of enriched transcripts in the
swimbladder with those in human and mouse lungs, we established the resemblance
of transcriptome of the zebrafish swimbladder and mammalian lungs. Based on the
transcriptomic data of zebrafish swimbladder, the predominant functions of
swimbladder are in its epithelial and muscular tissues. Our comparative analyses
also provide molecular evidence of the relatedness of the fish swimbladder and
mammalian lung.
PMID- 21887365
TI - Pathogenicity and rapid growth kinetics of feline immunodeficiency virus are
linked to 3' elements.
AB - Chimeric viruses constructed between a highly pathogenic Feline Immunodeficiency
Virus isolate (FIV-C36) and a less pathogenic but neurotropic strain (FIV-PPR)
have been used to map viral genetic determinants of in vivo pathogenicity.
Chimeric virus FIV-PCenv, which contains FIV-C36 genome from the 3' region of pol
to upstream of the 3'LTR on an FIV-PPR backbone, was previously shown to be
replication-competent in vivo, inducing altered CD4(+) T-cell and neutrophil
profiles intermediate between parental strains following a delay in viral
replication during initial infection. Examination of FIV-PCenv proviral sequences
recovered at week 11 post-infection revealed two changes compared to initial
viral inoculum; the most significant being arginine to histidine in the integrase
region of Pol at residue 813 (R813H). Pooled plasma from the initial in vivo
study was used to inoculate a second cohort of cats to determine whether similar
virulence and kinetics could be established following primary infection. Viral
replication kinetics and immunocyte profiles were monitored in blood, bone
marrow, and saliva over a one-year period. Passaged FIV-PCenv again displayed
intermediate phenotype between parental strains, but unlike primary experiments,
the onset of acute viremia was not delayed. CD4/8 alterations were noted in all
groups of animals, though significant changes from controls were delayed in FIV
PPR infected animals compared to FIV-C36 and FIV-PCenv. In vivo passage of FIV
PCenv increased replication-competence relative to the initial molecularly-cloned
chimera in association with one adaptive nucleotide change in the 5' end of the
genome relative to primary tissue culture inoculum, while mutations in the 3' end
of the genome were not detected. The results are consistent with the
interpretation that 3' elements contribute to heightened virulence of FIV-C36,
and that integrase residue 813 plays an important role in facilitating successful
in vivo replication.
PMID- 21887366
TI - Sodium/myo-Inositol transporters: substrate transport requirements and regional
brain expression in the TgCRND8 mouse model of amyloid pathology.
AB - Inositol stereoisomers, myo- and scyllo-inositol, are known to enter the brain
and are significantly elevated following oral administration. Elevations in brain
inositol levels occur across a concentration gradient as a result of active
transport from the periphery. There are two sodium/myo-inositol transporters
(SMIT1, SMIT2) that may be responsible for regulating brain inositol levels. The
goals of this study were to determine the effects of aging and Alzheimer's
disease (AD)-like amyloid pathology on transporter expression, to compare
regional expression and to analyze substrate requirements of the inositol
transporters. QPCR was used to examine expression of the two transporters in the
cortex, hippocampus and cerebellum of TgCRND8 mice, a mouse model of amyloid
pathology, in comparison to non-transgenic littermates. In addition, we examined
the structural features of inositol required for active transport, utilizing a
cell-based competitive uptake assay. Disease pathology did not alter transporter
expression in the cortex or hippocampus (p>0.005), with only minimal effects of
aging observed in the cerebellum (SMIT1: F(2,26) = 12.62; p = 0.0002; SMIT2:
F(2,26) = 8.71; p = 0.0015). Overall, brain SMIT1 levels were higher than SMIT2,
however, regional differences were observed. For SMIT1, at 4 and 6 months
cerebellar SMIT1 levels were significantly higher than cortical and hippocampal
levels (p<0.05). For SMIT2, at all three ages both cortical and cerebellar SMIT2
levels were significantly higher than hippocampal levels (p<0.05) and at 4 and 6
months of age, cerebellar SMIT2 levels were also significantly higher than
cortical levels (p<0.05). Inositol transporter levels are stably expressed as a
function of age, and expression is unaltered with disease pathology in the
TgCRND8 mouse. Given the fact that scyllo-inositol is currently in clinical
trials for the treatment of AD, the stable expression of inositol transporters
regardless of disease pathology is an important finding.
PMID- 21887367
TI - Intermittent screening and treatment versus intermittent preventive treatment of
malaria in pregnancy: provider knowledge and acceptability.
AB - Malaria in pregnancy (MiP) is associated with increased risks of maternal and
foetal complications. The WHO recommends a package of interventions including
intermittent preventive treatment (IPT) with sulphadoxine-pyrimethamine (SP),
insecticide-treated nets and effective case management. However, with increasing
SP resistance, the effectiveness of SP-IPT has been questioned. Intermittent
screening and treatment (IST) has recently been shown in Ghana to be as
efficacious as SP-IPT. This study investigates two important requirements for
effective delivery of IST and SP-IPT: antenatal care (ANC) provider knowledge,
and acceptance of the different strategies. Structured interviews with 134 ANC
providers at 67 public health facilities in Ashanti Region, Ghana collected
information on knowledge of the risks and preventative and curative interventions
against MiP. Composite indicators of knowledge of SP-IPT, and case management of
MiP were developed. Log binomial regression of predictors of provider knowledge
was explored. Qualitative data were collected through in-depth interviews with
fourteen ANC providers with some knowledge of IST to gain an indication of the
factors influencing acceptance of the IST approach. 88.1% of providers knew all
elements of the SP-IPT policy, compared to 20.1% and 41.8% who knew the treatment
policy for malaria in the first or second/third trimesters, respectively.
Workshop attendance was a univariate predictor of each knowledge indicator.
Qualitative findings suggest preference for prevention over cure, and increased
workload may be barriers to IST implementation. However, a change in strategy in
the face of SP resistance is likely to be supported; health of pregnant women is
a strong motivation for ANC provider practice. If IST was to be introduced as
part of routine ANC activities, attention would need to be given to improving the
knowledge and practices of ANC staff in relation to appropriate treatment of MiP.
Health worker support for any MiP intervention delivered through ANC clinics is
critical.
PMID- 21887368
TI - Laforin, a dual specificity phosphatase involved in Lafora disease, is present
mainly as monomeric form with full phosphatase activity.
AB - Lafora Disease (LD) is a fatal neurodegenerative epileptic disorder that presents
as a neurological deterioration with the accumulation of insoluble,
intracellular, hyperphosphorylated carbohydrates called Lafora bodies (LBs). LD
is caused by mutations in either the gene encoding laforin or malin. Laforin
contains a dual specificity phosphatase domain and a carbohydrate-binding module,
and is a member of the recently described family of glucan phosphatases. In the
current study, we investigated the functional and physiological relevance of
laforin dimerization. We purified recombinant human laforin and subjected the
monomer and dimer fractions to denaturing gel electrophoresis, mass spectrometry,
phosphatase assays, protein-protein interaction assays, and glucan binding
assays. Our results demonstrate that laforin prevalently exists as a monomer with
a small dimer fraction both in vitro and in vivo. Of mechanistic importance,
laforin monomer and dimer possess equal phosphatase activity, and they both
associate with malin and bind glucans to a similar extent. However, we found
differences between the two states' ability to interact simultaneously with malin
and carbohydrates. Furthermore, we tested other members of the glucan phosphatase
family. Cumulatively, our data suggest that laforin monomer is the dominant form
of the protein and that it contains phosphatase activity.
PMID- 21887369
TI - IL-17A expression is localised to both mononuclear and polymorphonuclear synovial
cell infiltrates.
AB - INTRODUCTION: This study examines the expression of IL-17A-secreting cells within
the inflamed synovium and the relationship to in vivo joint hypoxia measurements.
METHODS: IL-17A expression was quantified in synovial tissue (ST), serum and
synovial fluid (SF) by immunohistochemistry and MSD-plex assays. IL-6 SF and
serum levels were measured by MSD-plex assays. Dual immunofluorescence for IL-17A
was quantified in ST CD15+ cells (neutrophils), Tryptase+ (mast cells) and CD4+
(T cells). Synovial tissue oxygen (tpO(2)) levels were measured under direct
visualisation at arthroscopy. Synovial infiltration was assessed using
immunohistochemistry for cell specific markers. Peripheral blood mononuclear and
polymorphonuclear cells were isolated and exposed to normoxic or 3% hypoxic
conditions. IL-17A and IL-6 were quantified as above in culture supernatants.
RESULTS: IL-17A expression was localised to mononuclear and polymorphonuclear
(PMN) cells in inflamed ST. Dual immunoflourescent staining co-localised IL-17A
expression with CD15+ neutrophils Tryptase+ mast cells and CD4+T cells. % IL-17A
positivity was highest on CD15+ neutrophils, followed by mast cells and then
CD4+T-cells. The number of IL-17A-secreting PMN cells significantly correlated
with sublining CD68 expression (r = 0.618, p<0.01). IL-17A SF levels correlated
with IL-6 SF levels (r = 0.675, p<0.01). Patients categorized according to
tp0(2)< or >20 mmHg, showed those with low tp0(2)<20 mmHg had significantly
higher IL-17A+ mononuclear cells with no difference observed for PMNs. Exposure
of mononuclear and polymorphonuclear cells to 3% hypoxia, significantly induced
IL-6 in mononuclear cells, but had no effect on IL-17A expression in mononuclear
and polymorphonuclear cells. CONCLUSION: This study demonstrates IL-17A
expression is localised to several immune cell subtypes within the inflamed
synovial tissue, further supporting the concept that IL-17A is a key mediator in
inflammatory arthritis. The association of hypoxia with Il-17A expression appears
to be indirect, probably through hypoxia-induced pro-inflammatory pathways and
leukocyte influx within the joint microenvironment.
PMID- 21887370
TI - Spatial frequency-based analysis of mean red blood cell speed in single
microvessels: investigation of microvascular perfusion in rat cerebral cortex.
AB - BACKGROUND: Our previous study has shown that prenatal exposure to X-ray
irradiation causes cerebral hypo-perfusion during the postnatal development of
central nervous system (CNS). However, the source of the hypo-perfusion and its
impact on the CNS development remains unclear. The present study developed an
automatic analysis method to determine the mean red blood cell (RBC) speed
through single microvessels imaged with two-photon microscopy in the cerebral
cortex of rats prenatally exposed to X-ray irradiation (1.5 Gy).
METHODOLOGY/PRINCIPAL FINDINGS: We obtained a mean RBC speed (0.9+/-0.6 mm/sec)
that ranged from 0.2 to 4.4 mm/sec from 121 vessels in the radiation-exposed
rats, which was about 40% lower than that of normal rats that were not exposed.
These results were then compared with the conventional method for monitoring
microvascular perfusion using the arteriovenous transit time (AVTT) determined by
tracking fluorescent markers. A significant increase in the AVTT was observed in
the exposed rats (1.9+/-0.6 sec) as compared to the age-matched non-exposed rats
(1.2+/-0.3 sec). The results indicate that parenchyma capillary blood velocity in
the exposed rats was approximately 37% lower than in non-exposed rats.
CONCLUSIONS/SIGNIFICANCE: The algorithm presented is simple and robust relative
to monitoring individual RBC speeds, which is superior in terms of noise
tolerance and computation time. The demonstrative results show that the method
developed in this study for determining the mean RBC speed in the spatial
frequency domain was consistent with the conventional transit time method.
PMID- 21887371
TI - Variation in community structure across vertical intertidal stress gradients: how
does it compare with horizontal variation at different scales?
AB - In rocky intertidal habitats, the pronounced increase in environmental stress
from low to high elevations greatly affects community structure, that is, the
combined measure of species identity and their relative abundance. Recent studies
have shown that ecological variation also occurs along the coastline at a variety
of spatial scales. Little is known, however, on how vertical variation compares
with horizontal variation measured at increasing spatial scales (in terms of
sampling interval). Because broad-scale processes can generate geographical
patterns in community structure, we tested the hypothesis that vertical
ecological variation is higher than fine-scale horizontal variation but lower
than broad-scale horizontal variation. To test this prediction, we compared the
variation in community structure across intertidal elevations on rocky shores of
Helgoland Island with independent estimates of horizontal variation measured at
the scale of patches (quadrats separated by 10s of cm), sites (quadrats separated
by a few m), and shores (quadrats separated by 100s to 1000s of m). The
multivariate analyses done on community structure supported our prediction.
Specifically, vertical variation was significantly higher than patch- and site
scale horizontal variation but lower than shore-scale horizontal variation.
Similar patterns were found for the variation in abundance of foundation taxa
such as Fucus spp. and Mastocarpus stellatus, suggesting that the effects of
these canopy-forming algae, known to function as ecosystem engineers, may explain
part of the observed variability in community structure. Our findings suggest
that broad-scale processes affecting species performance increase ecological
variability relative to the pervasive fine-scale patchiness already described for
marine coasts and the well known variation caused by vertical stress gradients.
Our results also indicate that experimental research aiming to understand
community structure on marine shores should benefit from applying a multi-scale
approach.
PMID- 21887372
TI - C-KIT signaling depends on microphthalmia-associated transcription factor for
effects on cell proliferation.
AB - The development of melanocytes is regulated by the tyrosine kinase receptor c-KIT
and the basic-helix-loop-helix-leucine zipper transcription factor Mitf. These
essential melanocyte survival regulators are also well known oncogenic factors in
malignant melanoma. Despite their importance, not much is known about the
regulatory mechanisms and signaling pathways involved. In this study, we
therefore sought to identify the signaling pathways and mechanisms involved in c
KIT mediated regulation of Mitf. We report that c-KIT stimulation leads to the
activation of Mitf specifically through the c-KIT phosphorylation sites Y721 (PI3
kinase binding site), Y568 and Y570 (Src binding site). Our study not only
confirms the involvement of Ras-Erk signaling pathway in the activation of Mitf,
but also establishes that Src kinase binding to Y568 and Y570 of c-KIT is
required. Using specific inhibitors we observe and verify that c-KIT induced
activation of Mitf is dependent on PI3-, Akt-, Src-, p38- or Mek kinases.
Moreover, the proliferative effect of c-KIT is dependent on Mitf in HEK293T
cells. In contrast, c-KIT Y568F and Y721F mutants are less effective in driving
cell proliferation, compared to wild type c-KIT. Our results reveal novel
mechanisms by which c-KIT signaling regulates Mitf, with implications for
understanding both melanocyte development and melanoma.
PMID- 21887373
TI - Salinity gradient of the Baltic Sea limits the reproduction and population
expansion of the newly invaded comb jelly Mnemiopsis leidyi.
AB - The recent invasion of the comb jelly Mnemiopsis leidyi into northern European
waters is of major public and scientific concern. One of the key features making
M. leidyi a successful invader is its high fecundity combined with fast growth
rates. However, little is known about physiological limitations to its
reproduction and consequent possible abiotic restrictions to its dispersal. To
evaluate the invasion potential of M. leidyi into the brackish Baltic Sea we
studied in situ egg production rates in different regions and at different
salinities in the laboratory, representing the salinity gradient of the Baltic
Sea. During October 2009 M. leidyi actively reproduced over large areas of the
Baltic Sea. Egg production rates scaled with animal size but decreased
significantly with decreasing salinity, both in the field (7-29) and in
laboratory experiments (6-33). Temperature and zooplankton, i.e. food abundance,
could not explain the observed differences. Reproduction rates at conditions
representing the Kattegat, south western and central Baltic Sea, respectively,
were 2.8 fold higher at the highest salinities (33 and 25) than at intermediate
salinities (10 and 15) and 21 times higher compared from intermediate to the
lowest salinity tested (6). Higher salinity areas such as the Kattegat, and to a
lower extent the south western Baltic, seem to act as source regions for the M.
leidyi population in the central Baltic Sea where a self-sustaining population,
due to the low salinity, cannot be maintained.
PMID- 21887374
TI - Fatty acid and peptide profiles in plasma membrane and membrane rafts of PUFA
supplemented RAW264.7 macrophages.
AB - The eukaryotic cell membrane possesses numerous complex functions, which are
essential for life. At this, the composition and the structure of the lipid
bilayer are of particular importance. Polyunsaturated fatty acids may modulate
the physical properties of biological membranes via alteration of membrane lipid
composition affecting numerous physiological processes, e.g. in the immune
system. In this systematic study we present fatty acid and peptide profiles of
cell membrane and membrane rafts of murine macrophages that have been
supplemented with saturated fatty acids as well as PUFAs from the n-3, the n-6
and the n-9 family. Using fatty acid composition analysis and mass spectrometry
based peptidome profiling we found that PUFAs from both the n-3 and the n-6
family have an impact on lipid and protein composition of plasma membrane and
membrane rafts in a similar manner. In addition, we found a relation between the
number of bis-allyl-methylene positions of the PUFA added and the unsaturation
index of plasma membrane as well as membrane rafts of supplemented cells. With
regard to the proposed significance of lipid microdomains for disease development
and treatment our study will help to achieve a targeted dietary modulation of
immune cell lipid bilayers.
PMID- 21887375
TI - Identification and characterization of alternative promoters, transcripts and
protein isoforms of zebrafish R2 gene.
AB - Ribonucleotide reductase (RNR) is the rate-limiting enzyme in the de novo
synthesis of deoxyribonucleoside triphosphates. Expression of RNR subunits is
closely associated with DNA replication and repair. Mammalian RNR M2 subunit (R2)
functions exclusively in DNA replication of normal cells due to its S phase
specific expression and late mitotic degradation. Herein, we demonstrate the
control of R2 expression through alternative promoters, splicing and
polyadenylation sites in zebrafish. Three functional R2 promoters were identified
to generate six transcript variants with distinct 5' termini. The proximal
promoter contains a conserved E2F binding site and two CCAAT boxes, which are
crucial for the transcription of R2 gene during cell cycle. Activity of the
distal promoter can be induced by DNA damage to generate four transcript variants
through alternative splicing. In addition, two novel splice variants were found
to encode distinct N-truncated R2 isoforms containing residues for enzymatic
activity but no KEN box essential for its proteolysis. These two N-truncated R2
isoforms remained in the cytoplasm and were able to interact with RNR M1 subunit
(R1). Thus, our results suggest that multilayered mechanisms control the
differential expression and function of zebrafish R2 gene during cell cycle and
under genotoxic stress.
PMID- 21887376
TI - Is nitric oxide decrease observed with naphthoquinones in LPS stimulated RAW
264.7 macrophages a beneficial property?
AB - The search of new anti-inflammatory drugs has been a current preoccupation, due
to the need of effective drugs, with less adverse reactions than those used
nowadays. Several naphthoquinones (plumbagin, naphthazarin, juglone, menadione,
diosquinone and 1,4-naphthoquinone), plus p-hydroquinone and p-benzoquinone were
evaluated for their ability to cause a reduction of nitric oxide (NO) production,
when RAW 264.7 macrophages were stimulated with lipopolysaccharide (LPS).
Dexamethasone was used as positive control. Among the tested compounds,
diosquinone was the only one that caused a NO reduction with statistical
importance and without cytotoxicity: an IC(25) of 1.09+/-0.24 uM was found, with
38.25+/-6.50% (p<0.001) NO reduction at 1.5 uM. In order to elucidate if this NO
decrease resulted from the interference of diosquinone with cellular defence
mechanisms against LPS or to its conversion into peroxynitrite, by reaction with
superoxide radical formed by naphthoquinones redox cycling, 3-nitrotyrosine and
superoxide determination was also performed. None of these parameters showed
significant changes relative to control. Furthermore, diosquinone caused a
decrease in the pro-inflammatory cytokines: tumour necrosis factor-alpha (TNF
alpha) and interleukin 6 (IL-6). Therefore, according to the results obtained,
diosquinone, studied for its anti-inflammatory potential for the first time
herein, has beneficial effects in inflammation control. This study enlightens the
mechanisms of action of naphthoquinones in inflammatory models, by checking for
the first time the contribution of oxidative stress generated by naphthoquinones
to NO reduction.
PMID- 21887377
TI - Identification of a phosphorylation-dependent nuclear localization motif in
interferon regulatory factor 2 binding protein 2.
AB - BACKGROUND: Interferon regulatory factor 2 binding protein 2 (IRF2BP2) is a
muscle-enriched transcription factor required to activate vascular endothelial
growth factor-A (VEGFA) expression in muscle. IRF2BP2 is found in the nucleus of
cardiac and skeletal muscle cells. During the process of skeletal muscle
differentiation, some IRF2BP2 becomes relocated to the cytoplasm, although the
functional significance of this relocation and the mechanisms that control
nucleocytoplasmic localization of IRF2BP2 are not yet known.
METHODOLOGY/PRINCIPAL FINDINGS: Here, by fusing IRF2BP2 to green fluorescent
protein and testing a series of deletion and site-directed mutagenesis
constructs, we mapped the nuclear localization signal (NLS) to an evolutionarily
conserved sequence (354)ARKRKPSP(361) in IRF2BP2. This sequence corresponds to a
classical nuclear localization motif bearing positively charged arginine and
lysine residues. Substitution of arginine and lysine with negatively charged
aspartic acid residues blocked nuclear localization. However, these residues were
not sufficient because nuclear targeting of IRF2BP2 also required phosphorylation
of serine 360 (S360). Many large-scale phosphopeptide proteomic studies had
reported previously that serine 360 of IRF2BP2 is phosphorylated in numerous
human cell types. Alanine substitution at this site abolished IRF2BP2 nuclear
localization in C(2)C(12) myoblasts and CV1 cells. In contrast, substituting
serine 360 with aspartic acid forced nuclear retention and prevented cytoplasmic
redistribution in differentiated C(2)C(12) muscle cells. As for the effects of
these mutations on VEGFA promoter activity, the S360A mutation interfered with
VEGFA activation, as expected. Surprisingly, the S360D mutation also interfered
with VEGFA activation, suggesting that this mutation, while enforcing nuclear
entry, may disrupt an essential activation function of IRF2BP2.
CONCLUSIONS/SIGNIFICANCE: Nuclear localization of IRF2BP2 depends on
phosphorylation near a conserved NLS. Changes in phosphorylation status likely
control nucleocytoplasmic localization of IRF2BP2 during muscle differentiation.
PMID- 21887378
TI - Tissue-specific Salmonella Typhimurium gene expression during persistence in
pigs.
AB - Salmonellosis caused by Salmonella Typhimurium is one of the most important
bacterial zoonotic diseases. The bacterium persists in pigs resulting in
asymptomatic 'carrier pigs', generating a major source for Salmonella
contamination of pork. Until now, very little is known concerning the mechanisms
used by Salmonella Typhimurium during persistence in pigs. Using in vivo
expression technology (IVET), a promoter-trap method based on DeltapurA
attenuation of the parent strain, we identified 37 Salmonella Typhimurium genes
that were expressed 3 weeks post oral inoculation in the tonsils, ileum and
ileocaecal lymph nodes of pigs. Several genes were expressed in all three
analyzed organs, while other genes were only expressed in one or two organs.
Subsequently, the identified IVET transformants were pooled and reintroduced in
pigs to detect tissue-specific gene expression patterns. We found that efp and
rpoZ were specifically expressed in the ileocaecal lymph nodes during Salmonella
peristence in pigs. Furthermore, we compared the persistence ability of
substitution mutants for the IVET-identified genes sifB and STM4067 to that of
the wild type in a mixed infection model. The DeltaSTM4067::kanR was
significantly attenuated in the ileum contents, caecum and caecum contents and
faeces of pigs 3 weeks post inoculation, while deletion of the SPI-2 effector
gene sifB did not affect Salmonella Typhimurium persistence. Although our list of
identified genes is not exhaustive, we found that efp and rpoZ were specifically
expressed in the ileocaecal lymph nodes of pigs and we identified STM4067 as a
factor involved in Salmonella persistence in pigs. To our knowledge, our study is
the first to identify Salmonella Typhimurium genes expressed during persistence
in pigs.
PMID- 21887380
TI - RssAB signaling coordinates early development of surface multicellularity in
Serratia marcescens.
AB - Bacteria can coordinate several multicellular behaviors in response to
environmental changes. Among these, swarming and biofilm formation have attracted
significant attention for their correlation with bacterial pathogenicity.
However, little is known about when and where the signaling occurs to trigger
either swarming or biofilm formation. We have previously identified an RssAB two
component system involved in the regulation of swarming motility and biofilm
formation in Serratia marcescens. Here we monitored the RssAB signaling status
within single cells by tracing the location of the translational fusion protein
EGFP-RssB following development of swarming or biofilm formation. RssAB signaling
is specifically activated before surface migration in swarming development and
during the early stage of biofilm formation. The activation results in the
release of RssB from its cognate inner membrane sensor kinase, RssA, to the
cytoplasm where the downstream gene promoters are located. Such dynamic
localization of RssB requires phosphorylation of this regulator. By revealing the
temporal activation of RssAB signaling following development of surface
multicellular behavior, our findings contribute to an improved understanding of
how bacteria coordinate their lifestyle on a surface.
PMID- 21887379
TI - Myosin IIb activity and phosphorylation status determines dendritic spine and
post-synaptic density morphology.
AB - Dendritic spines in hippocampal neurons mature from a filopodia-like precursor
into a mushroom-shape with an enlarged post-synaptic density (PSD) and serve as
the primary post-synaptic location of the excitatory neurotransmission that
underlies learning and memory. Using myosin II regulatory mutants, inhibitors,
and knockdowns, we show that non-muscle myosin IIB (MIIB) activity determines
where spines form and whether they persist as filopodia-like spine precursors or
mature into a mushroom-shape. MIIB also determines PSD size, morphology, and
placement in the spine. Local inactivation of MIIB leads to the formation of
filopodia-like spine protrusions from the dendritic shaft. However, di
phosphorylation of the regulatory light chain on residues Thr18 and Ser19 by Rho
kinase is required for spine maturation. Inhibition of MIIB activity or a mono
phosphomimetic mutant of RLC similarly prevented maturation even in the presence
of NMDA receptor activation. Expression of an actin cross-linking, non
contractile mutant, MIIB R709C, showed that maturation into a mushroom-shape
requires contractile activity. Loss of MIIB also leads to an elongated PSD
morphology that is no longer restricted to the spine tip; whereas increased MIIB
activity, specifically through RLC-T18, S19 di-phosphorylation, increases PSD
area. These observations support a model whereby myosin II inactivation forms
filopodia-like protrusions that only mature once NMDA receptor activation
increases RLC di-phosphorylation to stimulate MIIB contractility, resulting in
mushroom-shaped spines with an enlarged PSD.
PMID- 21887381
TI - Electrophysiological properties of embryonic stem cell-derived neurons.
AB - In vitro generation of functional neurons from embryonic stem (ES) cells and
induced pluripotent stem cells offers exciting opportunities for dissecting gene
function, disease modelling, and therapeutic drug screening. To realize the
potential of stem cells in these biomedical applications, a complete
understanding of the cell models of interest is required. While rapid advances
have been made in developing the technologies for directed induction of defined
neuronal subtypes, most published works focus on the molecular characterization
of the derived neural cultures. To characterize the functional properties of
these neural cultures, we utilized an ES cell model that gave rise to neurons
expressing the green fluorescent protein (GFP) and conducted targeted whole-cell
electrophysiological recordings from ES cell-derived neurons. Current-clamp
recordings revealed that most neurons could fire single overshooting action
potentials; in some cases multiple action potentials could be evoked by
depolarization, or occurred spontaneously. Voltage-clamp recordings revealed that
neurons exhibited neuronal-like currents, including an outward current typical of
a delayed rectifier potassium conductance and a fast-activating, fast
inactivating inward current, typical of a sodium conductance. Taken together,
these results indicate that ES cell-derived GFP(+) neurons in culture display
functional neuronal properties even at early stages of differentiation.
PMID- 21887382
TI - Multisensory integration and attention in autism spectrum disorder: evidence from
event-related potentials.
AB - Successful integration of various simultaneously perceived perceptual signals is
crucial for social behavior. Recent findings indicate that this multisensory
integration (MSI) can be modulated by attention. Theories of Autism Spectrum
Disorders (ASDs) suggest that MSI is affected in this population while it remains
unclear to what extent this is related to impairments in attentional capacity. In
the present study Event-related potentials (ERPs) following emotionally congruent
and incongruent face-voice pairs were measured in 23 high-functioning, adult ASD
individuals and 24 age- and IQ-matched controls. MSI was studied while the
attention of the participants was manipulated. ERPs were measured at typical
auditory and visual processing peaks, namely, P2 and N170. While controls showed
MSI during divided attention and easy selective attention tasks, individuals with
ASD showed MSI during easy selective attention tasks only. It was concluded that
individuals with ASD are able to process multisensory emotional stimuli, but this
is differently modulated by attention mechanisms in these participants,
especially those associated with divided attention. This atypical interaction
between attention and MSI is also relevant to treatment strategies, with training
of multisensory attentional control possibly being more beneficial than
conventional sensory integration therapy.
PMID- 21887383
TI - An FXPRLamide neuropeptide induces seasonal reproductive polyphenism underlying a
life-history tradeoff in the tussock moth.
AB - The white spotted tussock moth, Orgyia thyellina, is a typical insect that
exhibits seasonal polyphenisms in morphological, physiological, and behavioral
traits, including a life-history tradeoff known as oogenesis-flight syndrome.
However, the developmental processes and molecular mechanisms that mediate
developmental plasticity, including life-history tradeoff, remain largely
unknown. To analyze the molecular mechanisms involved in reproductive
polyphenism, including the diapause induction, we first cloned and characterized
the diapause hormone-pheromone biosynthesis activating neuropeptide (DH-PBAN)
cDNA encoding the five Phe-X-Pro-Arg-Leu-NH(2) (FXPRLa) neuropeptides: DH, PBAN,
and alpha-, beta-, and gamma-SGNPs (subesophageal ganglion neuropeptides). This
gene is expressed in neurosecretory cells within the subesophageal ganglion whose
axonal projections reach the neurohemal organ, the corpus cardiacum, suggesting
that the DH neuroendocrine system is conserved in Lepidoptera. By injection of
chemically synthetic DH and anti-FXPRLa antibody into female pupae, we revealed
that not only does the Orgyia DH induce embryonic diapause, but also that this
neuropeptide induces seasonal polyphenism, participating in the hypertrophy of
follicles and ovaries. In addition, the other four FXPRLa also induced embryonic
diapause in O. thyellina, but not in Bombyx mori. This is the first study showing
that a neuropeptide has a pleiotropic effect in seasonal reproductive polyphenism
to accomplish seasonal adaptation. We also show that a novel factor (i.e., the DH
neuropeptide) acts as an important inducer of seasonal polyphenism underlying a
life-history tradeoff. Furthermore, we speculate that there must be evolutionary
conservation and diversification in the neuroendocrine systems of two
lepidopteran genera, Orgyia and Bombyx, in order to facilitate the evolution of
coregulated life-history traits and tradeoffs.
PMID- 21887384
TI - Conditioning individual mosquitoes to an odor: sex, source, and time.
AB - Olfactory conditioning of mosquitoes may have important implications for vector
pathogen-host dynamics. If mosquitoes learn about specific host attributes
associated with pathogen infection, it may help to explain the heterogeneity of
biting and disease patterns observed in the field. Sugar-feeding is a requirement
for survival in both male and female mosquitoes. It provides a starting point for
learning research in mosquitoes that avoids the confounding factors associated
with the observer being a potential blood-host and has the capability to address
certain areas of close-range mosquito learning behavior that have not previously
been described. This study was designed to investigate the ability of the
southern house mosquito, Culex quinquefasciatus Say to associate odor with a
sugar-meal with emphasis on important experimental considerations of mosquito age
(1.2 d old and 3-5 d old), sex (male and female), source (laboratory and wild),
and the time between conditioning and testing (<5 min, 1 hr, 2.5 hr, 5 hr, 10 hr,
and 24 hr). Mosquitoes were individually conditioned to an odor across these
different experimental conditions. Details of the conditioning protocol are
presented as well as the use of binary logistic regression to analyze the complex
dataset generated from this experimental design. The results suggest that each of
the experimental factors may be important in different ways. Both the source of
the mosquitoes and sex of the mosquitoes had significant effects on conditioned
responses. The largest effect on conditioning was observed in the lack of
positive response following conditioning for females aged 3-5 d derived from a
long established colony. Overall, this study provides a method for conditioning
experiments involving individual mosquitoes at close range and provides for
future discussion of the relevance and broader questions that can be asked of
olfactory conditioning in mosquitoes.
PMID- 21887385
TI - Arctigenin efficiently enhanced sedentary mice treadmill endurance.
AB - Physical inactivity is considered as one of the potential risk factors for the
development of type 2 diabetes and other metabolic diseases, while endurance
exercise training could enhance fat oxidation that is associated with insulin
sensitivity improvement in obesity. AMP-activated protein kinase (AMPK) as an
energy sensor plays pivotal roles in the regulation of energy homeostasis, and
its activation could improve glucose uptake, promote mitochondrial biogenesis and
increase glycolysis. Recent research has even suggested that AMPK activation
contributed to endurance enhancement without exercise. Here we report that the
natural product arctigenin from the traditional herb Arctium lappa L.
(Compositae) strongly increased AMPK phosphorylation and subsequently up
regulated its downstream pathway in both H9C2 and C2C12 cells. It was discovered
that arctigenin phosphorylated AMPK via calmodulin-dependent protein kinase
kinase (CaMKK) and serine/threonine kinase 11(LKB1)-dependent pathways. Mice
treadmill based in vivo assay further indicated that administration of arctigenin
improved efficiently mice endurance as reflected by the increased fatigue time
and distance, and potently enhanced mitochondrial biogenesis and fatty acid
oxidation (FAO) related genes expression in muscle tissues. Our results thus
suggested that arctigenin might be used as a potential lead compound for the
discovery of the agents with mimic exercise training effects to treat metabolic
diseases.
PMID- 21887386
TI - Efficient differentiation of embryonic stem cells into hepatic cells in vitro
using a feeder-free basement membrane substratum.
AB - The endoderm-inducing effect of the mesoderm-derived supportive cell line M15 on
embryonic stem (ES) cells is partly mediated through the extracellular matrix, of
which laminin alpha5 is a crucial component. Mouse ES or induced pluripotent stem
cells cultured on a synthesized basement membrane (sBM) substratum, using an
HEK293 cell line (rLN10-293 cell) stably expressing laminin-511, could
differentiate into definitive endoderm and subsequently into pancreatic lineages.
In this study, we investigated the differentiation on sBM of mouse and human ES
cells into hepatic lineages. The results indicated that the BM components played
an important role in supporting the regional-specific differentiation of ES cells
into hepatic endoderm. We show here that knockdown of integrin beta1 (Itgb1) in
ES cells reduced their differentiation into hepatic lineages and that this is
mediated through Akt signaling activation. Moreover, under optimal conditions,
human ES cells differentiated to express mature hepatocyte markers and secreted
high levels of albumin. This novel procedure for inducing hepatic differentiation
will be useful for elucidating the molecular mechanisms controlling lineage
specific fates during gut regionalization. It could also represent an attractive
approach to providing a surrogate cell source, not only for regenerative
medicine, but also for pharmaceutical and toxicologic studies.
PMID- 21887387
TI - Strategies of spinal fusion on osteoporotic spine.
AB - The prevalence of osteoporosis has been increasing globally. Recently surgical
indications for elderly patients with osteoporosis have been increasing. However,
only few strategies are available for osteoporotic patients who need spinal
fusion. Osteoporosis is a result of negative bone remodeling from enhanced
function of the osteoclasts. Because bone formation is the result of coupling
between osteoblasts and osteoclasts, anti-resorptive agents that induce
osteoclast apoptosis may not be effective in spinal fusion surgery, necessitating
new bone formation. Therefore, anabolic agents may be more suitable for
osteoporotic patients who undergo spinal fusion surgery. The instrumentations and
techniques with increased pullout strength may increase fusion rate through rigid
fixation. Studies on new osteoinductive materials, methods to increase osteogenic
cells, strengthened and biocompatible osteoconductive scaffolds are necessary to
enable osteoporotic patients to undergo spinal fusion. When osteoporotic patients
undergo spinal fusion, surgeons should consider appropriate osteoporosis
medication, instrumentation and technique.
PMID- 21887388
TI - Effect of amniotic membrane to reduce postlaminectomy epidural adhesion on a rat
model.
AB - OBJECTIVE: Epidural fibrosis and adhesion are the main reasons for post
laminectomy sustained pain and functional disability. In this study, the authors
investigate the effect of irradiated freeze-dried human amniotic membrane on
reducing epidural adhesion after laminectomy on a rat model. METHODS: A total of
20 rats were divided into two groups. The group A did not receive human amniotic
membrane implantation after laminectomy and group B underwent human amniotic
membrane implantation after laminectomy. Gross and microscopic findings were
evaluated and compared at postoperative 1, 3 and 8 weeks. RESULTS: The amount of
scar tissue and tenacity were reduced grossly in group of rats with human
amniotic membrane implantation (group B). On a microscopic evaluation, there were
less inflammatory cell infiltration and fibroblast proliferation in group B.
CONCLUSION: This experimental study shows that implantation of irradiated freeze
dried human amniotic membrane reduce epidural fibrosis and adhesion after spinal
laminectomy in a rat model.
PMID- 21887389
TI - Surgical results of unruptured intracranial aneurysms in the elderly : single
center experience in the past ten years.
AB - OBJECTIVE: As medical advances have increased life expectancy, it has become
imperative to develop specific treatment strategies for intracranial aneurysms in
the elderly. We therefore analyzed the clinical characteristics and outcomes of
the treatment of unruptured intracranial aneurysms in patients older than 70
years. METHODS: We retrospectively reviewed the medical records and results of
neuroimaging modalities on 54 aneurysms of 48 consecutive patients with
unruptured intracranial aneurysms. (mean+/-SD age, 72.11+/-1.96 years; range, 70
78 years) who underwent surgical clipping over 10 years (May 1999 to June 2010).
RESULTS: Of the 54 aneurysms, 22 were located in the internal carotid artery, 19
in the middle cerebral artery, 12 in the anterior cerebral artery, and 1 in the
superior cerebellar artery. Six patients had multiple aneurysms. Aneurysm size
ranged from 3 mm to 17 mm (mean+/-SD, 6.82+/-3.07 mm). Fifty of the 54 aneurysms
(92.6%) were completely clipped. Three-month outcomes were excellent in 50
(92.6%) aneurysms and good and poor in 2 each (3.7%), with 1 death (2.0%).
Procedure-related complications occurred in 7 aneurysms (13.0%), with 2 (3.7%)
resulting in permanent neurological deficits, including death. No postoperative
subarachnoid hemorrhage occurred during follow-up. The cumulative rates of stroke
or death-free survival at 5 and 10 years were 100% and 78%, respectively.
CONCLUSION: Surgical clipping of unruptured intracranial aneurysms in elderly
group could get it as a favorable outcome in well selected cases.
PMID- 21887390
TI - The clinical features of spinal leptomeningeal dissemination from malignant
gliomas.
AB - OBJECTIVE: The incidence of leptomeningeal dissemination from malignant glioma is
rare, so the clinical features of this are not well documented yet. We attempted
to determine the clinical features of leptomeningeal dissemination from malignant
gliomas. METHODS: We retrospectively analyzed 11 cases of leptomeningeal
dissemination of malignant glioma, who were treated at our institution between
2006 and 2009. We investigated the clinical features of these patients by
considering the following factors : tumor locations, the events of ventricular
opening during surgery and the cerebrospinal fluid (CSF) profiles, including the
cytology. RESULTS: The group was composed of 9 males and 2 females. The
histological diagnosis of their initial intracranial tumors were 4 primary
glioblastoma, 3 anaplastic astrocytoma, 1 anaplastic oligoastrocytoma, 2
ganglioglioma and 1 pleomorphic xanthoastrocyotma with anaplastic features. The
mean age of the patients at the time of the initial presentation was 42.8+/-10.3
years. The mean time between surgery and the diagnosis of spinal dissemination
was 12.3+/-7.9 (3-28) months. The mean overall survival after dissemination was
2.7+/-1.3 months. All our patients revealed a history of surgical opening of the
ventricles. Elevated protein in the CSF was reported for eight patients who had
their CSF profiles checked. CONCLUSION: We propose that in the malignant gliomas,
the surgical opening of ventricles can cause the spinal leptomeningeal
dissemination and the elevated protein content of CSF may be a candidate marker
of leptomeningeal dissemination.
PMID- 21887391
TI - Visual outcome after transsphenoidal surgery in patients with pituitary apoplexy.
AB - OBJECTIVE: Pituitary apoplexy is one of the most serious life-threatening
complications of pituitary adenoma. The purpose of this study is to investigate
the visual outcome after early transsphenoidal surgery for the patients with
pituitary apoplexy. METHODS: We retrospectively reviewed the 31 patients with
pituitary apoplexy who were admitted due to acute visual acuity or field
impairment and treated by transsphenoidal surgery. Five patients were excluded
because of the decreased conscious level. The visual acuity of each individual
eye was evaluated by Snellen's chart. Visual fields were also checked using
automated perimetry. To compare the visual outcome according to the surgical
timing, we divided the patients into 2 groups. The first group, 21 of the
patients have been undertaken transsphenoidal approach (TSA) within at least 48
hours after admission. The second group included 8 patients who have been
undertaken TSA beyond 48 hours. All patients were monitored at least 12 months
after surgery. RESULTS: Patients were 21 males and 8 females (M : F=2.6 : 1) with
the mean age of 42.4 years. Among the enrolled 29 patients, 26 patients presented
with decreased visual acuity and 23 patients revealed the defective visual field
respectively. Postoperatively, improvement in the visual acuity was seen in 15
patients (83.3%) who underwent surgery within the first 48 hours of presentation,
as compared to those in whom surgery was delayed beyond 48 hours (n=5; 62.5%)
(p=0.014). Improvement in the visual field deficits was observed in 15 (88.2%) of
patients who had been operated on within the first 48 hours of presentation, as
compared to those in whom surgery was delayed beyond 48 hours (n=3; 50.0%)
(p=0.037). CONCLUSION: This study suggests that rapid transsphenoidal surgery is
effective to recover the visual impairment in patients with pituitary apoplexy.
If there are associated abnormalities of visual acuity or visual fields in
patients with hemorrhagic pituitary apoplexy, early neurosurgical intervention
within 48 hours should be also required to recover visual impairment.
PMID- 21887392
TI - Is All Anterior Oblique Fracture Orientation Really a Contraindication to
Anterior Screw Fixation of Type II and Rostral Shallow Type III Odontoid
Fractures?
AB - OBJECTIVE: It is debatable whether an anterior oblique fracture orientation is
really a contraindication to anterior odontoid screw fixation. The purpose of
this study was to investigate the feasibility of anterior odontoid screw fixation
of type II and rostral shallow type III fracture with an anterior oblique
fracture orientation. METHODS: The authors evaluated 16 patients with type II and
rostral shallow type III odontoid fracture with an anterior oblique fracture
orientation. Of these 16 patients, 8 (group 1) were treated by anterior odontoid
screw fixation, and 8 (group 2) by a posterior C1-2 arthrodesis. RESULTS: Of the
8 patients in group 1, seven patients achieved solid bone fusion (87.5%), and one
experienced screw back-out of the C-2 body two months after anterior screw
fixation. All patients treated by posterior C1-C2 fusion in group 2 achieved
successful bone fusion. Mean fracture displacements and fracture gaps were not
significantly different in two groups. (p=0.075 and 0.782). However, mean
fracture orientation angles were 15.3+/-3.2 degrees in group 1, and 28.6+8.1
degrees in group 2 (p=0.002), and mean fragment angulations were 3.2+/-2.1
degrees in group 1, and 14.8+/-6.7 degrees in group 2 (p=0.001). CONCLUSION: Even
when the fracture lines of type II and rostral shallow type III fractures are
oriented in an anterior oblique direction, anterior odontoid screw fixation can
be feasible in carefully selected patients with a relatively small fracture
orientation angle and relatively small fragment angulation.
PMID- 21887393
TI - Targeting a safe entry point for c2 pedicle screw fixation in patients with
atlantoaxial instability.
AB - OBJECTIVE: This investigation was conducted to evaluate a new, safe entry point
for the C2 pedicle screw, determined using the anatomical landmarks of the C2
lateral mass, the lamina, and the isthmus of the pars interarticularis. METHODS:
Fifteen patients underwent bilateral C1 lateral mass-C2 pedicle screw fixation,
combined with posterior wiring. The C2 pedicle screw was inserted at the entry
point determined using the following method : 4 mm lateral to and 4 mm inferior
to the transitional point (from the superior end line of the lamina to the
isthmus of the pars interarticularis). After a small hole was made with a high
speed drill, the taper was inserted with a 30 degree convergence in the cephalad
direction. Other surgical procedures were performed according to Harm's
description. Preoperatively, careful evaluation was performed with a cervical X
ray for C1-C2 alignment, magnetic resonance imaging for spinal cord and
ligamentous structures, and a contrast-enhanced 3-dimensional computed tomogram
(3-D CT) for bony anatomy and the course of the vertebral artery. A 3-D CT was
checked postoperatively to evaluate screw placement. RESULTS: Bone fusion was
achieved in all 15 patients (100%) without screw violation into the spinal canal,
vertebral artery injury, or hardware failure. Occipital neuralgia developed in
one patient, but this subsided after a C2 ganglion block. CONCLUSION: C2
transpedicular screw fixation can be easily and safely performed using the entry
point of the present study. However, careful preoperative radiographic
evaluation, regardless of methods, is mandatory.
PMID- 21887394
TI - Risk factors associated with subdural hygroma after decompressive craniectomy in
patients with traumatic brain injury : a comparative study.
AB - OBJECTIVE: Subdural hygroma (SDG) is a complication occurring after head trauma
that may occur secondary to decompressive craniectomy (DC). However, the
mechanism underlying SDG formation is not fully understood. Also, the
relationship between the operative technique of DC or the decompressive effect
and the occurrence and pathophysiology of SDG has not been clarified. Purpose of
this study was to investigate the risk factors of SDG after DC in our series.
METHODS: From January 2004 to December 2008, DC was performed in 85 patients who
suffered from traumatic brain injury. We retrospectively reviewed the clinical
and radiological features. For comparative analysis, we divided the patients into
2 groups : one group with SDG after craniectomy (19 patients; 28.4% of the total
sample), the other group without SDG (48 patients; 71.6%). The risk factors for
developing SDG were then analyzed. RESULTS: The mean Glasgow Outcome Scale (GOS)
scores at discharge of the groups with and without SDG were 2.8 and 3.1,
respectively (p<0.0001). Analysis of radiological factors showed that a midline
shift in excess of 5 mm on CT scans was present in 19 patients (100%) in the
group with SDG and in 32 patients (66.7%) in the group without SDG (p<0.05). An
accompanying subarachnoid hemorrhage (SAH) was seen in 17 patients (89.5%) in the
group with SDG and in 29 patients (60.4%) in the group without SDG (p<0.05).
Delayed hydrocephalus accompanied these findings in 10 patients (52.6%) in the
group with SDG, versus 5 patients (10.4%) in the group without SDG (p<0.05). On
CT, compression of basal cisterns was observed in 14 members (73.7%) in the group
with SDG and in 18 members of the group without SDG (37.5%) (p<0.007).
Furthermore, tearing of the arachnoid membrane, as observed on CT, was more
common in all patients in the group with SDG (100%) than in the group without SDG
(31 patients; 64.6%) (p<0.05). CONCLUSION: GOS showed statistically significant
difference in the clinical risk factors for SDG between the group with SDG and
the group without SDG. Analysis of radiological factors indicated that a midline
shifting exceeding 5 mm, SAH, delayed hydrocephalus, compression of basal
cisterns, and tearing of the arachnoid membrane were significantly more common in
patients with SDG.
PMID- 21887395
TI - Ewing's Sarcoma/Peripheral Primitive Neuroectodermal Tumor in the
Cerebellopontine Angle : Diagnosis and Treatment.
AB - Ewing's sarcoma/primitive neuroectodermal tumor (ES/PNET) is an unusual
malignancy with aggressive behavior. ES/PNET in the cerebellopontine angle (CPA)
is extremely uncommon, and we report on a rare case here. A 31-year-old man
presented with one month history of left facial palsy, hearing loss, swallowing
difficulty, and hoarseness. Magnetic resonance images showed a large mass in the
left CPA and a small one in the right cerebellar hemisphere. The patient
underwent a surgery for the CPA mass lesion, and the pathology was compatible
with ES/PNET. Radiation therapy and chemotherapy were administered. In contrast
to the initial radiologic findings resembling vestibular schwannoma or
meningioma, ES/PNET had several distinct clinical features. A patient with a CPA
mass and presenting unusual clinical features should be suspected of having a
rare malignancy.
PMID- 21887396
TI - Cerebellar pilocytic astrocytomas with spontaneous intratumoral hemorrhage in
adult.
AB - Cerebellar pilocytic astrocytomas (PAs) are benign gliomas predominantly found in
the pediatric population. Intracranial hemorrhages are extremely rare in initial
presentations of cerebellar PAs. There are no reports in the medical literature
of adult cerebellar PA cases presenting with intratumoral hemorrhage. We report 2
cases of adult cerebellar pilocytic astrocytomas with intratumoral hemorrhage.
The first case is a 37-year-old woman presenting with severe headache, nausea,
and vomitting. Computed tomography demonstrated an acute hemorrhage adjacent to
the right cerebellar hemisphere and hydrocephalus. Magnetic resonance imaging
(MRI) revealed a cerebellar vermian tumor with the hemorrhage as a mixed
isointense area in the T2-weighted image, and as a mixed hyperintense area in the
contrast-enhanced T1-weighted image. The second case is a 53-year-old man
presenting with headache for 3 weeks. MRI revealed a cerebellar hemispheric tumor
with the hemorrhage as a mixed hyperintense area. It had a cystic mass with a
heterogeneous enhanced mural nodule in the gadolinium-enhanced T1-weighted image
and a fluid-fluid level within the cyst in the T2-weighted image. Both of them
underwent radical resections of their respective lesions. Histological
examination of the specimens revealed typical astrocytoma, including a
hemorrhagic portion. Both patients recovered postoperatively and continue to do
well at present. The medical literature on hemorrhagic cerebellar PAs is also
reviewed.
PMID- 21887397
TI - A case of angiocentric glioma with unusual clinical and radiological features.
AB - Angiocentric glioma was recently recognized as a distinct clinicopathological
entity in the 2007 World Health Organization classification of tumors of the
central nervous system. Typically, it presents with seizure in children and young
adults. However, our patient did not have a history of seizure. Seizure did not
occur up to 6 months after operation. Although it usually does not have
calcification brain magnetic resonance imaging in our patient showed T1
hyperintense and T2-hypointense signals with calcification.
PMID- 21887398
TI - Diagnostic usefulness of high resolution cross sectional MRI in symptomatic
middle cerabral arterial dissection.
AB - Dissection of the middle cerebral artery (MCA) is less frequent compared with
dissection of the vertebrobasilar system or carotid artery. Recently, high
resolution cross sectional MR imaging (HRMRI) has emerged as a potential
technique for atherosclerotic plaque imaging in MCA. We introduce the findings of
HRMRI in a 56-year-old woman with traumatic MCA dissection. HRMRI showed an
intimal flap and tapered pseudolumen with intraluminal hemorrhage. We performed
stent deployment about MCA dissection after failed medical treatment. Three
months later, there was no in-stent restenosis and no further neurological
deficit were noted.
PMID- 21887399
TI - Angiographically occult vascular malformation of the cauda equina presenting
massive spinal subdural and subarachnoid hematoma.
AB - We report a case of a non traumatic spinal subdural hematoma or subarachnoid
hematoma manifesting as lumbago, leg pain and bladder dysfunction that showed
angiographically occult vascular malformation (AOVM). Although the spinal
angiogram did not reveal any vascular abnormality, the follow-up magnetic
resonance image showed AOVM. Complete surgical removal was performed due to the
aggravated bladder dysfunction. This case highlights the need to consider
bleeding due to spinal AOVM, even when angiography is negative.
PMID- 21887401
TI - Intraosseous hemangioblastoma mimicking spinal metastasis in the patient with
renal cell carcinoma.
AB - Sporadic osseous hemangioblastomas in the vertebra are extremely rare and they
can be misdiagnosed as a vertebral hemangioma or metastasis in imaging studies.
We report an intraosseous hemangioblastoma that arose from the 11th thoracic
vertebra and was diagnosed initially as a metastasis in a patient with renal cell
carcinoma. Diagnosis, surgical treatment and adjuvant radiosurgery of such case
in reference to the literature are discussed.
PMID- 21887400
TI - Spinal intradural extramedullary cavernoma presenting with intracranial
superficial hemosiderosis.
AB - A case of intradural extramedullary cavernous angioma is presented with headache,
dizziness, and bilateral sensorineural hearing loss caused by an intracranial
superficial hemosiderosis. It was incidentally found in a patient with a 3-month
history of sustained headache, dizziness and a 3-year history of hearing
difficulty. The neurological examination was unremarkable in the lower extremity.
MR images showed an intracranial superficial hemosiderosis mostly in the
cerebellar region. Myelography and MR images of the thoracolumbar spine revealed
an intradural extramedullary mass, which was pathologically proven to be a
cavernous angioma. T12 total laminoplastic laminotomy and total tumor removal
were performed without any neurologic deficits. The patient's symptoms, including
headache and dizziness, have been absent for three years. Intradural
extramedullary cavernous angioma can present with an intracranial superficial
hemosiderosis as a result of chronic subarachnoid hemorrhage.
PMID- 21887402
TI - Sudden foot drop caused by foraminal gas pseudocyst.
AB - A foraminal gas pseudocyst is a rare cause of lumbar radiculopathy. The
association with a sudden foot drop has not been previously reported. Here, a 67
year-old woman with sudden foot drop on the left side is reported. Computed
tomography and magnetic resonance imaging identified a foraminal gas containing
lesion compressing the left L5 root at the L5-S1 foramen. The foraminal gas
containing lesion compressing the L5 ganglion was successfully removed by the
posterior approach. The histological diagnosis was a gas pseudocyst. This unique
case of surgically proven gas pseudocyst indicates that it should be included in
the differential diagnosis of patients presenting with sudden foot drop.
PMID- 21887404
TI - Nativity Differentials in Older Age Mortality in Taiwan: Do They Exist and Why?
AB - Comparisons of migrants versus native populations have become increasingly
important as a means of gaining insight into the factors affecting health and
mortality levels and the relationship between them. Taiwan underwent a unique
migration in 1949-50, as more than a million people, mostly young men, arrived
from Mainland China following the Communist civil war victory. The Mainlanders
were distinct from the original settlers in several ways: they represented
different provinces in China, were better educated, and had distinct occupational
profiles. Since 1950, Taiwan has experienced a rapid demographic transition and
notable economic development, resulting in mortality decline. In this paper, we
generate age- and cause-specific death rates circa 1990 by education and nativity
to evaluate the relative importance of each factor. We also use longitudinal
survey data to help interpret the differentials in terms of selection, risk
factors, and other dynamics of health and mortality.
PMID- 21887403
TI - Structure-activity relationship of conformationally constrained peptidomimetics
for antiproliferative activity in HER2-overexpressing breast cancer cell lines.
AB - Human epidermal growth factor receptor 2 (HER2) is a member of the human
epidermal growth factor receptor kinases and is involved in a signaling cascade
for cell growth and differentiation. It is well established that HER2-mediated
heterodimerization has important implications in cancer. Deregulation of
signaling pathways and overexpression of HER2 is known to occur in cancer cells,
indicating the role of HER2 in tumorigenesis. Therefore, blocking HER2-mediated
signaling has potential therapeutic value. We have designed several
peptidomimetics to inhibit HER2-mediated signaling for cell growth. One of the
compounds (compound 5, Arg-[3-amino-3(1-napthyl)-propionic acid]-Phe) exhibited
antiproliferative activity with IC(50) values in the nanomolar to micromolar
range in breast cancer cell lines. To further investigate the structure-activity
relationship of the compounds, various analogs of compound 5 were designed.
Conformational constraints were initiated in the peptidomimetic with introduction
of a Pro residue in the peptidomimetic sequence. Results of antiproliferative
activity indicated that analogs of compound 5 with C-and N-terminal ends capped
(compound 16) and compound 9 with Asp at the C-terminal exhibited
antiproliferative activity in the lower micromolar range against breast cancer
cell lines. Introduction of conformational constraints such as Pro residue in the
sequence or cyclization did not enhance the activity of the peptidomimetic.
Competitive binding studies were carried out to evaluate the binding of potent
peptidomimetics to HER2-overexpressing cancer cell lines. Results indicated that
compounds exhibiting antiproliferative activity in breast cancer cell lines bind
to the cells that overexpress HER2 protein.
PMID- 21887405
TI - Localizing single molecules in three dimensions - a brief review.
AB - Single molecule tracking in three dimensions (3D) in a live cell environment
holds the promise of revealing important new biological insights. However,
conventional microscopy based imaging techniques are not well suited for fast 3D
tracking of single molecules in cells. Previously we developed an imaging
modality multifocal plane microscopy (MUM) to image fast intracellular dynamics
in 3D in live cells. Recently, we have reported an algorithm, the MUM
localization algorithm (MUMLA), for the 3D localization of point sources that are
imaged using MUM. Here, we present a review of our results on MUM and MUMLA. We
have validated MUMLA through simulated and experimental data and have shown that
the 3D-position of quantum dots (QDs) can be determined with high spatial
accuracy over a wide spatial range. We have calculated the Cramer-Rao lower bound
for the problem of determining the 3D location of point sources from MUM and from
conventional microscopes. Our analyses shows that MUM overcomes the poor depth
discrimination of the conventional microscope, and thereby paves the way for high
accuracy tracking of nanoparticles in a live cell environment. We have also shown
that the performance of MUMLA comes consistently close to the Cramer-Rao lower
bound.
PMID- 21887406
TI - Growth factor/growth factor receptor loops in autocrine growth regulation of
human prostate cancer DU145 cells.
AB - Autocrine growth factors produced by epithelial cells mediate the development and
proliferation of neoplastic human prostate tissue. Various approaches have been
used to down-regulate neoplastic growth of prostate cancer using natural
flavonoids, soluble receptors, pseudo-ligands, monoclonal antibodies and tyrosine
kinase inhibitors (tyrphostins). Selected growth factor/growth factor receptor
loops (mainly TGFalpha/EGFR and IGFs/IGFIR) have been proposed as regulators of
prostate cancer cell growth. We have previously determined that blockade of IGFIR
or VEGF2R signaling pathways by tyrphostin AG1024 and SU1498 inhibits autocrine
growth and viability of DU145 cells in vitro. Recently, we compared the activity
of AG1024 and SU1498 with the inhibiting effect of tyrphostin A23 (a selective
inhibitor of EGFR). The results described in this paper confirm that DU145 cells
do not produce IGFI or EGF. In contrast, DU145 cells produce a great amount of
VEGF, much more than TGFalpha (about 60-fold), and VEGF may be the real autocrine
growth factor of the investigated cells. The results indicate that the growth of
DU145 may be regulated by at least three autocrine loops: TGFalpha/EGFR,
IGFII/IGFIR and VEGF/VEGFR2. Neither AG1024 nor SU1498 affected the production of
TGFalpha substantially, which excludes the possibility that IGFRs or VEGFR2
inhibitors arrest the growth of these cells by inhibition of synthesis and/or
secretion of TGFalpha. The obtained data indicate that all tree investigated
tyrphostins (AG1024, SU1498 and A23) inhibit signal transmission by Akt (PKB),
ERK(1/2), Src and STAT in a similar manner. A comparison of the effects of the
investigated tyrphostins indicates that TGFalpha, IGFII and VEGF stimulate cell
growth by affecting the same signaling pathway. The hypothesis was confirmed by
the effect of the investigated tyrphostins on activation of EGFR. All these
inhibitors decreased phosphorylation of EGFR to the same extent, and after the
same time of incubation with cell culture. These results strongly suggest that
stimulation of EGFR kinase is the main step in the initiation of mitogen
signaling in DU145 cells, regardless of the type of ligand (TGFalpha, IGFs or
VEGF) and their specific receptors.
PMID- 21887407
TI - Inulin supplementation in rat model of pouchitis.
AB - Available data indicates potential effectiveness of prebiotic therapy in
alleviating inflammation and prolonging the remission in inflammatory bowel
disease. Documented successes of such therapies were the basis for this study. So
far, there is no data related to the effectiveness of inulin application in
symptomatic or severe pouchitis in humans or in animal model. The aim of the
study was to determine the effect of inulin supplementation on the expression of
intestinal inflammation and feeding efficiency in rats with induced pouchitis.
Twenty-four Wistar rats were operated. After induction of pouchitis animals were
randomly divided into control and supplementation groups receiving, respectively,
semi-synthetic diet with or without inulin (in a lower (LD) or higher (HD) dose:
2.5 % or 5 % of total dietary content of mass) for a period of 6 weeks. Selected
nutritional parameters were assessed throughout the study. Histopathological and
immunohistochemical analysis of pouch mucosa specimens was also performed. The
energy intake, weight gain, feeding efficiency, quality of stools were comparable
in all studied groups. The intensity of inflammation (Moskovitz scale) and
adaptive changes (Laumonier scale) did not differ between compared groups. The
tissue expression of pro- and anti-inflammatory interleukins (IL-1alpha, IL-6, IL
10 and IL-12) was not different either. Inulin supplementation does not improve
the quality of stools or the expression of intestinal inflammation in rats with
induced pouchitis. It has no impact on the intensity of pouch adaptation or on
feeding efficiency.
PMID- 21887408
TI - Human hAtg2A protein expressed in yeast is recruited to preautophagosomal
structure but does not complement autophagy defects of atg2Delta strain.
AB - Yeast Atg2, an autophagy-related protein, is highly conserved in other fungi and
has two homologues in humans, one of which is hAtg2A encoded by the
hATG2A/KIAA0404 gene. Region of homology between Atg2 and hAtg2A proteins
comprises the C-terminal domain. We used yeast atg2D strain to express the GFP
KIAA0404 gene, its fragment or fusions with yeast ATG2, and study their effects
on autophagy. The GFP-hAtg2A protein localized to punctate structures, some of
which colocalized with Ape1-RFP-marked preautophagosomal structure (PAS), but it
did not restore autophagy in atg2Delta cells. N-terminal fragment of Atg2 and N
terminal fragment of hAtg2A were sufficient for PAS recruitment but were not
sufficient to function in autophagy. Neither a fusion of the N-terminal fragment
of hAtg2A with C-terminal domain of Atg2 nor a reciprocal fusion were functional
in autophagy. hAtg2A, in contrast to yeast Atg2, did not show interaction with
the yeast autophagy protein Atg9 but both Atg2 proteins showed interaction with
Atg18, a phospholipid-binding protein, in two-hybrid system. Moreover, deletion
of ATG18 abrogated PAS recruitment of hAtg2A. Our results show that human hAtg2A
can not function in autophagy in yeast, however, it is recruited to the PAS,
possibly due to the interaction with Atg18.
PMID- 21887409
TI - Hepatoprotective effect of aqueous extract of Aframomum melegueta on ethanol
induced toxicity in rats.
AB - In recent years there have been remarkable developments in the prevention of
diseases, especially with regards to the role of free radicals and antioxidants.
Ethanol-induced oxidative stress appears to be one mechanism by which ethanol
causes liver injury. The protective effect of aqueous plant extract of Aframomum
melegueta on ethanol-induced toxicity was investigated in male Wistar rats. The
rats were treated with 45 % ethanol (4.8 g/kg b.w.t.) for 16 days to induce
alcoholic diseases in the liver. The activities of alanine aminotransferase,
aspartate aminotransferase and triglyceride were monitored and the histological
changes in liver examined in order to evaluate the protective effects of the
plant extract. Hepatic malondialdehyde and reduced glutathione, as well as
superoxide dismutase and glutathione-S-transferase activities were determined for
the antioxidant status. Chronic ethanol administration resulted in a
statistically significant elevation of serum alanine aminotransferases and
triglyceride levels, as well as a decrease in reduced glutathione and superoxide
dismutase which was dramatically attenuated by the co-administration of the plant
extract. Histological changes were related to these indices. Co-administration
of the plant extract suppressed the elevation of lipid peroxidation, restored the
reduced glutathion, and enhanced the superoxide dismutase activity. These results
highlight the ability of Aframomum melegueta to ameliorate oxidative damage in
the liver and the observed effects are associated with its antioxidant
activities.
PMID- 21887410
TI - Conventional calpains and programmed cell death.
AB - The evidence on the crucial role of a family of calcium-dependent cysteine
proteases called calpains in programmed cell death is rich and still growing.
However, understanding of the mechanisms of their functions in apoptosis is not
full yet. Calpains have been implicated in both physiological and pathological
cell death control, especially in various malignancies, but also in the immune
system development and function. There is also growing evidence on calpain
involvement in apoptosis execution in certain pathological conditions of the
central nervous system, in cardiovascular diseases, etc. Understanding of the
clinical significance of calpain activation pathways, after intense studies of
the influence of calpain activity on drug-induced apoptosis, seems especially
important lately, as calpains have become noticed as potential therapeutic
targets. To allow pharmacological targeting of these enzymes, thorough knowledge
of their patterns of activation and further interactions with already known
apoptotic pathways is necessary. A comprehensive summary of both well established
and recently obtained information in the field is an important step that may lead
to future advances in the use of calpain-targeted agents in the clinic.
PMID- 21887411
TI - Kinetic cooperativity of tyrosinase. A general mechanism.
AB - Tyrosinase shows kinetic cooperativity in its action on o-diphenols, but not when
it acts on monophenols, confirming that the slow step is the hydroxylation of
monophenols to o-diphenols. This model can be generalised to a wide range of
substrates; for example, type S(A) substrates, which give rise to a stable
product as the o-quinone evolves by means of a first or pseudo first order
reaction (alpha-methyl dopa, dopa methyl ester, dopamine, 3,4
dihydroxyphenylpropionic acid, 3,4-dihydroxyphenylacetic acid, alpha-methyl
tyrosine, tyrosine methyl ester, tyramine, 4-hydroxyphenylpropionic acid and 4
hydroxyphenylacetic acid), type S(B) substrates, which include those whose o
quinone evolves with no clear stoichiometry (catechol, 4-methylcatechol, phenol
and p-cresol) and, lastly, type S(C) substrates, which give rise to stable o
quinones (4-tert-butylcatechol/4-tert-butylphenol).
PMID- 21887412
TI - Conformational destabilization of Bacillus licheniformis alpha-amylase induced by
lysine modification and calcium depletion.
AB - Bacillus licheniformis alpha-amylase (BLA) was chemically modified using 100-fold
molar excess of succinic anhydride over protein or 0.66 M potassium cyanate to
obtain 42 % succinylated and 81 % carbamylated BLAs. Size and charge homogeneity
of modified preparations was established by Sephacryl S-200 HR gel chromatography
and polyacrylamide gel electrophoresis. Conformational alteration in these
preparations was evident by the larger Stokes radii (3.40 nm for carbamylated and
3.34 nm for succinylated BLAs) compared to 2.43 nm obtained for native BLA. Urea
denaturation results using mean residue ellipticity (MRE) as a probe also showed
conformational destabilization based on the early start of transition as well as
DeltaG(D)(H(2)O) values obtained for both modified derivatives and Ca-depleted
BLA. Decrease in DeltaG(D)(H(2)O) value from 5,930 cal/mol (for native BLA) to
3,957 cal/mol (for succinylated BLA), 3,336 cal/mol (for carbamylated BLA) and
3,430 cal/mol for Ca-depleted BLA suggested reduced conformational stability upon
modification of amino groups of BLA or depletion of calcium. Since both
succinylation and carbamylation reactions abolish the positive charge on amino
groups (both alpha- and epsilon- amino), the decrease in conformational stability
can be ascribed to the disruption of salt bridges present in the protein which
might have released the intrinsic calcium from its binding site.
PMID- 21887413
TI - Cardiac endothelial cells isolated from mouse heart - a novel model for
radiobiology.
AB - Cardiovascular disease is recognized as an important clinical problem in
radiotherapy and radiation protection. However, only few radiobiological models
relevant for assessment of cardiotoxic effects of ionizing radiation are
available. Here we describe the isolation of mouse primary cardiac endothelial
cells, a possible target for cardiotoxic effects of radiation. Cells isolated
from hearts of juvenile mice were cultured and irradiated in vitro. In addition,
cells isolated from hearts of locally irradiated adult animals (up to 6 days
after irradiation) were tested. A dose-dependent formation of histone gammaH2A.X
foci was observed after in vitro irradiation of cultured cells. However, such
cells were resistant to radiation-induced apoptosis. Increased levels of actin
stress fibres were observed in the cytoplasm of cardiac endothelial cells
irradiated in vitro or isolated from irradiated animals. A high dose of 16 Gy did
not increase permeability to Dextran in monolayers formed by endothelial cells.
Up-regulated expression of Vcam1, Sele and Hsp70i genes was detected after
irradiation in vitro and in cells isolated few days after irradiation in vivo.
The increased level of actin stress fibres and enhanced expression of stress
response genes in irradiated endothelial cells are potentially involved in
cardiotoxic effects of ionizing radiation.
PMID- 21887414
TI - Fenoterol did not enhance glucocorticoid-induced skeletal changes in male rats.
AB - Glucocorticoids and beta(2)-adrenergic receptor agonists are the most commonly
used drugs in the treatment of asthma. Both therapies are potentially dangerous
to the skeletal system. The aim of the present study was to investigate the
effects of fenoterol, a beta(2)-receptor agonist, on the development of bone
changes induced by glucocorticoid (prednisolone) administration in mature male
rats. The experiments were carried out on 24-week-old male Wistar rats. The
effects of prednisolone 21-hemisuccinate sodium salt (7 mg/kg s.c. daily) or/and
fenoterol hydrobromide (1.4 mg/kg i.p. daily), administered for 4 weeks, on the
skeletal system were studied. Bone turnover markers, geometric parameters, mass,
mass of bone mineral in the tibia, femur and L-4 vertebra, bone histomorphometric
parameters and mechanical properties of tibial metaphysis, femoral diaphysis and
femoral neck were determined. Both prednisolone and fenoterol had damaging
effects on the skeletal system of mature male rats. However, concurrent
administration of fenoterol and prednisolone did not result in the
intensification of the deleterious skeletal effect of either drug administered
separately.
PMID- 21887416
TI - Multi-molecule reaction of serum albumin can occur through thiol-yne coupling.
AB - The free-radical hydrothiolation of alkynes (thiol-yne coupling, TYC) unites two
thiol fragments across the carbon-carbon triple bond to give a dithioether
derivative with exclusive 1,2-addition; this reaction can be used for
modification of peptides and proteins allowing glycoconjugation and fluorescent
labeling. These results have implications not only as a flexible strategy for
attaching two modifications at a single site in proteins but also for
unanticipated side-reactions of reagents (such as cycloalkynes) used in other
protein coupling reactions.
PMID- 21887417
TI - Electronic structure in real time: mapping valence electron rearrangements during
chemical reactions.
AB - The interest in following the evolution of the valence electronic structure of
atoms and molecules during chemical reactions on a femtosecond time scale is
discussed. By explicitly mapping the occupied part of the electronic structure
with femtosecond pump-probe schemes one essentially follows the electrons making
the bonds while the bonds change. This holds the key to unprecedented insight
into chemical bonding in short-lived intermediates and reveals the coupled motion
of electrons and nuclei. Examples from the recent literature on small molecules
and anionic clusters in the gas phase and on atoms and molecules on surfaces
using lab-based femtosecond laser methods are used to demonstrate the case. They
highlight how the evolution of the valence electronic structure can be probed
with time-resolved photoelectron spectroscopy with ultraviolet (UV) probe photon
energies of up to 6 eV. It is shown how new insight can be gained by extending
the probing wavelength into the vacuum-ultraviolet (VUV) region to photon
energies of 20 eV and more by accessing the whole occupied valence electronic
structure with time-resolved VUV photoelectron spectroscopy. Finally, the
importance of soft X-ray free-electron lasers with probe photon energies of
several hundred eV and femtosecond pulses and in particular the key role of
femtosecond time-resolved soft X-ray emission spectroscopy or resonant inelastic
X-ray scattering for mapping the electronic structure during chemical reactions
is discussed.
PMID- 21887418
TI - Studying the interaction of carbohydrate-protein on the dendrimer-modified solid
support by microarray-based plasmon resonance light scattering assay.
AB - Here, a three-dimensional (3D) carbohydrate microarray-based plasmon resonance
light scattering (RLS) assay has been established for studying carbohydrate
lectin binding with high selectivity. The 3D carbohydrate microarray is
fabricated by immobilizing amino-modified carbohydrates on the home-made fourth
generation (G4) NH(2)-terminated poly(amidoamine) dendrimers (PAMAM)-modified
substrate. After marking the carbohydrate-lectin binding events by 13 nm peptide
stabilized gold nanoparticles through the biotin-avidin reaction, the 3D
microarray can be directly detected by the RLS scanner without the conventional
silver enhancement step. The well defined recognition systems: three
monosaccharides (Man-alpha, Glc-alpha and Gal-beta) with two lectins (Con A and
RCA 120), have been chosen here to establish the RLS assay, respectively.
Quantitative determination of the surface dissociation constants (K(D,surf)) for
surface carbohydrates and lectins has been achieved. In addition, inhibition
values (i.e. the inhibition constants (K(i)) and the concentrations of inhibitors
required to produce 50% inhibition (IC(50))) for inhibitors in solution are also
demonstrated by the saccharide competing assays.
PMID- 21887419
TI - Synthesis and dissipative particle dynamics simulation of cross-linkable
fluorinated diblock copolymers: self-assembly aggregation behavior in different
solvents.
AB - Developing microstructures, such as low molecular aggregates, spherical micelles
and multi-compartment micelles, is an expanding area of research in Materials
Science. By applying an atom transfer radical polymerization (ATRP) process to
cross-linkable fluorinated diblock copolymers and analyzing the data we are able
to demonstrate the potential for developing films with different micro-structures
for additional biological research. Applying the Dissipative Particle Dynamic
(DPD) Method, Transmission Electron Microscopy (TEM) and Scanning Electron
Microscopy (SEM) techniques to cross-linkable fluorinated diblock copolymers of
(methyl methacrylate-co-hydroxyethyl methacrylate-co-butyl methacrylate)-b-2
(perfluoroalkyl)ethyl methacrylate (MMA-co-HEMA-co-BMA-b-FMA) we were able to
analyze the structures and their relationships to the aggregation of various
microstructure formations through the use of various solvents in the process. For
the self-assembly of the cross-linkable diblock copolymer in solutions, the DPD
simulation results are only in qualitative agreement with experimental data of
aggregate morphologies and sizes. This suggests an improved approach to creating
materials and methods necessary for studying microstructures in films used in
other research areas. Our work examines whether using selective solvents can be
easily extended to prepare aggregates with different morphologies, which is an
effective shortcut to obtain films with different microstructures. DPD simulation
can be considered as an adjunct to experiments and provides other valuable
information for the experiment.
PMID- 21887420
TI - An ultrasensitive electrochemical sensor for the mercuric ion via controlled
assembly of SWCNTs.
AB - An ultrasensitive "turn-on" electrochemical sensor for the Hg(2+) ion was
proposed based on the T-Hg(2+)-T coordination chemistry and the controlled
assembly of SWCNTs on the MHA/SAM-modified gold electrode.
PMID- 21887421
TI - Bioconjugation of CdSe/ZnS nanoparticles with SNAP tagged proteins.
AB - A method for protein immobilization onto modified CdSe/ZnS quantum dot surfaces
was developed using simple SNAP tag methodology.
PMID- 21887422
TI - Photoreversible cellular imaging using photochrome-conjugated fullerene silica
nanoparticles.
AB - Photochromic compound-conjugated fluorescent fullerene-silica nanoparticles
prepared by the reverse-microemulsion method was utilized for photoswitchable
cellular imaging by repeatable irradiation of ultraviolet and visible light.
PMID- 21887423
TI - Free energy calculations for a flexible water model.
AB - In this work, we consider the problem of calculating the classical free energies
of liquids and solids for molecular models with intramolecular flexibility. We
show that thermodynamic integration from the fully-interacting solid of interest
to a Debye crystal reference state, with anisotropic harmonic interactions
derived from the Hessian of the original crystal, provides a straightforward
route to calculating the Gibbs free energy of the solid. To calculate the
molecular liquid free energy, it is essential to correctly account for
contributions from both intermolecular and intramolecular motion; we employ
thermodynamic integration to a Lennard-Jones reference fluid, coupled with direct
evaluation of the molecular ro-vibrational partition function. These approaches
are used to study the low-pressure classical phase diagram of the flexible q
TIP4P/F water model. We find that, while the experimental ice-I/liquid and ice
III/liquid coexistence lines are described reasonably well by this model, the ice
II phase is predicted to be metastable. In light of this finding, we go on to
examine how the coupling between intramolecular flexibility and intermolecular
interactions influences the computed phase diagram by comparing our results with
those of the underlying rigid-body water model.
PMID- 21887424
TI - Investigating the CO2 uncaging mechanism of nitrophenylacetates by means of fs-IR
spectroscopy and quantum chemical calculations.
AB - Caged compounds are widely utilized for light-triggered control of biological and
chemical reactions. In our study we investigated the photo-induced
decarboxylation of all three constitutional isomers of nitrophenylacetate (NPA),
which can be regarded as caged-CO(2). UV-pump/IR-probe spectroscopy was used to
directly observe the nascent CO(2) in the region of 2340 cm(-1). Together with
quantum chemical calculations the reaction models for all three components could
be obtained. For meta- and para-NPA the main decarboxylation pathway proceeds via
a triplet state with a lifetime of 0.2 ns. In the case of ortho-NPA the
photodecarboxylation reaction is suppressed by an H(+)- or H-transfer reaction in
the excited state as a result of the proximity of the nitro and acetate
substituents. Nevertheless, the photodecarboxylation can be investigated due to
the isolated spectral position of the CO(2) band. The analysis of the data
reveals that a weak ultrafast release channel (<300 fs) represents the main
photodecarboxylation reaction pathway for ortho-NPA. The detailed understanding
of the molecular mechanisms of CO(2) uncaging should provide general guidelines
for the design of systematically improved nitrobenzyl cages.
PMID- 21887425
TI - Bioactive glasses as potential radioisotope vectors for in situ cancer therapy:
investigating the structural effects of yttrium.
AB - The incorporation of yttrium in bioactive glasses (BGs) could lead to a new
generation of radionuclide vectors for cancer therapy, with high
biocompatibility, controlled biodegradability and the ability to enhance the
growth of new healthy tissues after the treatment with radionuclides. It is
essential to assess whether and to what extent yttrium incorporation affects the
favourable properties of the BG matrix: ideally, one would like to combine the
high surface reactivity typical of BGs with a slow release of radioactive
yttrium. Molecular Dynamics simulations show that, compared to a BG composition
with the same silica fraction, incorporation of yttrium results in two opposing
effects on the glass durability: a more fragmented silicate network (leading to
lower durability) and a stronger yttrium-mediated association between separate
silicate fragments (leading to higher durability). The simulations also highlight
a high site-selectivity and some clustering of yttrium cations, which are likely
linked to the observed slow rate of yttrium released from related Y-BG
compositions. Optimisation of yttrium BG compositions for radiotherapy
applications thus depends on the delicate balance between these effects.
PMID- 21887426
TI - Polar solvation dynamics in water and methanol: search for molecularity.
AB - Time-dependent Stokes shifts (TDSS) were measured for diverse polarity probes in
water, heavy water, methanol, and benzonitrile, by broadband fluorescence up
conversion with 85 fs time resolution. In water the spectral dynamics is solute
independent and quantitatively described by simple dielectric continuum theory of
solvation. In methanol the slower part of the TDSS is solute-dependent. A
correlation with anisotropy decay suggests that methanol solvation dynamics is
modulated by orientational solute diffusion. An empirical power law which links
the solvation relaxation function of a mobile solute to that of an immobile
solute is experimentally verified. Activation energies for the average relaxation
rate are also given. Solvation dynamics in H(2)O and D(2)O are identical at and
above 20 degrees C but diverge below.
PMID- 21887427
TI - Graphene and carbon nanotube composite electrodes for supercapacitors with ultra
high energy density.
AB - We describe a graphene and single-walled carbon nanotube (SWCNT) composite film
prepared by a blending process for use as electrodes in high energy density
supercapacitors. Specific capacitances of 290.6 F g(-1) and 201.0 F g(-1) have
been obtained for a single electrode in aqueous and organic electrolytes,
respectively, using a more practical two-electrode testing system. In the organic
electrolyte the energy density reached 62.8 Wh kg(-1) and the power density
reached 58.5 kW kg(-1). The addition of single-walled carbon nanotubes raised the
energy density by 23% and power density by 31% more than the graphene electrodes.
The graphene/CNT electrodes exhibited an ultra-high energy density of 155.6 Wh
kg(-1) in ionic liquid at room temperature. In addition, the specific capacitance
increased by 29% after 1000 cycles in ionic liquid, indicating their excellent
cyclicity. The SWCNTs acted as a conductive additive, spacer, and binder in the
graphene/CNT supercapacitors. This work suggests that our graphene/CNT
supercapacitors can be comparable to NiMH batteries in performance and are
promising for applications in hybrid vehicles and electric vehicles.
PMID- 21887428
TI - Switching the photoinduced processes in host-guest complexes of beta-cyclodextrin
substituted silicon(IV) phthalocyanines and a tetrasulfonated porphyrin.
AB - Porphyrins and phthalocyanines are two attractive classes of functional dyes for
the construction of artificial light harvesting and charge separation molecular
systems. The assembly of these components by supramolecular approach is of
particular interest as this provides a facile route to build multi-chromophoric
arrays with various architectures and tuneable photophysical properties. We
report herein a series of host-guest complexes formed between a tetrasulfonated
porphyrin and several silicon(IV) phthalocyanines substituted axially with two
permethylated beta-cyclodextrin units via different spacers. As shown by
electronic absorption and fluorescence spectroscopic methods, the two components
bind spontaneously in a 1:1 manner in water with large binding constants in the
range of 1.1 * 10(7) to 3.5 * 10(8) M(-1). The photophysical properties of the
resulting supramolecular complexes have also been studied in detail using steady
state and time-resolved optical spectroscopic methods. It has been found that two
major photoinduced processes, namely fluorescence resonance energy transfer and
charge transfer are involved which are controlled by the spacer between the beta
cyclodextrin units and the silicon centre of phthalocyanine. Despite the fact
that charge transfer is a thermodynamically favourable process for all the
complexes, only the ones with a tetraethylene glycol or oxo linker exhibit an
efficient charge transfer from the excited phthalocyanine to the porphyrin
entity. The lifetimes of the corresponding charge-separated states have been
determined to be 200 and 70 ps by picosecond pump-probe experiments.
PMID- 21887429
TI - Visible luminescence spectroscopy of free-base and zinc phthalocyanines isolated
in cryogenic matrices.
AB - The absorption, emission and excitation spectra of ZnPc and H(2)Pc trapped in Ne,
N(2), Ar, Kr and Xe matrices have been recorded in the region of the Q states. A
comparison of the matrix fluorescence spectra with Raman spectra recorded in KBr
pellets reveals very strong similarities. This is entirely consistent with the
selection rules and points to the occurrence of only fundamental vibrational
transitions in the emission spectra. Based on this behaviour, the vibronic modes
in emission have been assigned using results obtained recently on the ground
state with large basis-set DFT calculations [Murray et al. PCCP, 12, 10406
(2010)]. Furthermore, the very strong mirror symmetry between excitation and
emission has allowed these assignments to be extended to the excitation
(absorption) bands. While this approach works well for ZnPc, coupling between the
band origin of the S(2)(Q(Y)) state and vibrationally excited levels of
S(1)(Q(X)), limits the range of its application in H(2)Pc. The Q(X)/Q(Y) state
coupling is analysed from data obtained from site-selective excitation spectra,
revealing pronounced matrix and site effects. From this analysis, the splitting
of the Q(X) and Q(Y) states has been determined more accurately than in any
previous attempts.
PMID- 21887430
TI - Ultrafast transient mid IR to visible spectroscopy of fully reduced flavins.
AB - The light sensing apparatus of many organisms includes a flavoprotein. In any
spectroscopic analysis of the photocycle of flavoproteins a detailed knowledge of
the spectroscopy and excited state dynamics of potential intermediates is
required. Here we correlate transient vibrational and electronic spectra of the
two fully reduced forms of flavin adenine dinucleotide (FAD): FADH(-) and
FADH(2). Ground and excited state frequencies of the characteristic carbonyl
modes are observed and assigned with the aid of DFT calculations. Excited state
decay and ground state recovery dynamics of the two states are reported. Excited
state decay occurs on the picosecond timescale, in agreement with the low
fluorescence yield, and is markedly non single exponential in FADH(-). Further,
an unusual 'inverse' isotope effect is observed in the decay time of FADH(-),
suggesting the involvement in the radiationless relaxation coordinate of an NH or
hydrogen bond mode that strengthens in the excited electronic state. Ground state
recovery also occurs on the picosecond time scale, consistent with radiationless
decay by internal conversion, but is slower than the excited state decay.
PMID- 21887431
TI - Electrogenerated chemiluminescence of triazole-modified deoxycytidine analogues
in N,N-dimethylformamide.
AB - Triazole-modified deoxycytidines have been prepared for incorporation into single
stranded deoxyribonucleic acid (ssDNA). Electrochemical responses and
electrogenerated chemiluminescence (ECL) of these deoxycytidine (dC) analogues, 1
4, were investigated as the monomers. Cyclic voltammetry and differential pulse
voltammetry techniques were used to determine the oxidation and reduction
potentials of 1-4, along with the reversibility of their electrochemical
reactions. The dC analogues, in N,N-dimethylformamide containing 0.1 M tetra-n
butylammonium perchlorate as electrolyte, exhibited weak relative ECL
efficiencies following the annihilation mechanism, while these efficiencies were
enhanced with the use of benzoyl peroxide following the coreactant mechanism. It
was shown that these nucleosides could generate excited monomers, and excimers as
seen by the red-shifted ECL maxima relative to their corresponding
photoluminescence peak wavelengths.
PMID- 21887432
TI - A multifaceted approach to hydrogen storage.
AB - The widespread adoption of hydrogen as an energy carrier could bring significant
benefits, but only if a number of currently intractable problems can be overcome.
Not the least of these is the problem of storage, particularly when aimed at use
onboard light-vehicles. The aim of this overview is to look in depth at a number
of areas linked by the recently concluded HYDROGEN research network, representing
an intentionally multi-faceted selection with the goal of advancing the field on
a number of fronts simultaneously. For the general reader we provide a concise
outline of the main approaches to storing hydrogen before moving on to detailed
reviews of recent research in the solid chemical storage of hydrogen, and so
provide an entry point for the interested reader on these diverse topics. The
subjects covered include: the mechanisms of Ti catalysis in alanates; the
kinetics of the borohydrides and the resulting limitations; novel transition
metal catalysts for use with complex hydrides; less common borohydrides; protic
hydridic stores; metal ammines and novel approaches to nano-confined metal
hydrides.
PMID- 21887433
TI - Large ultra-high molecular weight polyethylene spherical particles produced by
AlR3 activated half-sandwich chromium(III) catalysts.
AB - A series of half-sandwich pentamethylcyclopentadienyl chromium(III) complexes
bearing a salicylaldiminato ligand, Cp*[2-R(1)-4-R(2)-6-(CH==NR(3))C(6)H(2)O]CrCl
[R(1) = (i)Pr (1, 4), (t)Bu (2, 3, 5), Ad (6); R(2) = H (1, 2, 3), (t)Bu (4, 5,
6); R(3) = (i)Pr (1, 2, 5, 6), (t)Bu (3, 4)], were synthesized. All complexes
were characterized by elemental analyses and the structures of complexes 1-4 and
6 were determined by X-ray diffraction analysis. These complexes adopt a pseudo
octahedral coordination environment with a three-legged piano stool geometry.
Upon activation with a small amount of AlR(3), complexes 1-6 all catalyze the
polymerization of ethylene in a quasi living fashion with good to high catalytic
activity under mild conditions and produce ultra-high molecular weight
polyethylene as spherical particles with a diameter of 1-6 mm. The catalytic
activity of these complexes and the molecular weight of the produced polyethylene
can be tuned in a broad range by changing the R(1), R(2), and R(3) groups as well
as the AlR(3) cocatalyst. It was found that complex 6 with R(1) = Ad, R(2) =
(t)Bu, and R(3) = (i)Pr shows the highest catalytic activity and produces
polyethylene with the highest molecular weight.
PMID- 21887434
TI - The application of novel hydrophobic ionic liquids to the extraction of
uranium(VI) from nitric acid medium and a determination of the uranyl complexes
formed.
AB - Novel ammonium based hydrophobic ionic liquids (ILs) have been synthesised and
characterised, and their use in the liquid-liquid extraction of uranium(VI) from
an aqueous nitric acid solution using tri-n-butyl phosphate (TBP), studied. On
varying the nitric acid concentration, each IL was found to give markedly
different results. Relatively hydrophilic ILs showed high uranium(VI)
extractability at 0.01 M nitric acid solution which progressively decreased from
0.01 to 2 M HNO(3) and then increased again as the nitric acid concentration was
increased to 6 M. An analysis of the mechanisms involved for one such IL, pointed
to cationic-exchange being the predominant route at low nitric acid
concentrations whilst at high nitric acid concentrations, anionic-exchange
predominated. Strongly hydrophobic ILs showed low extractability for nitric acid
concentrations below 0.1 M but increasing extractability from 0.1 M to 6 M nitric
acid. The predominant mechanism in this case involved the partitioning of a
neutral uranyl complex. The uranyl complexes were found to be UO(2)(2+).(TBP)(3)
for the cationic exchange mechanism, UO(2)(NO(3))(2)(TBP)(2) for the neutral
mechanism and UO(2)(NO(3))(3)(-).(TBP) for the anionic exchange mechanism.
PMID- 21887435
TI - Syntheses, structures and properties of silver-organic frameworks constructed
with 1,2,3,4-benzenetetracarboxylic acid.
AB - The reactions of 1,2,3,4-benzenetetracarboxylic acid (H(4)mpda) and different
silver(I) salts under hydrothermal or solvent evaporation conditions yielded four
unusual coordination complexes with interesting frameworks: [Ag(4)(mpda)](n) (1),
{[Ag(2.5)(mpda)(bpy)(2)].[Ag(bpy)].[Ag(bpy)(H(2)O)].(NO(3))(0.5).(H(2)O)(9)}(n)
(2),
{[Ag(5)(mpda)(2)(bpy)(4)].[Ag(bpy)].[Ag(bpy)(H(2)O)].[Ag(bpy)(H(2)O)].(H(2)O)(16)
(n) (3), {[Ag(2)(mpda)(H(2)O)].[Ag(bpy)].[Ag(bpy)]}(n) (4) (bpy = 4,4'
bipyridine). Complex 1 displays a novel (3,4,7)-connected
{4.6(2)}{4.6(5)}{4(2).6(13).8(5).10} topology, in which the carboxylic groups of
the mpda(4-) ligand adopt variable coordination modes. In 1, besides Ag-O
coordination bonding, AgAg and Agaromatic intermolecular interactions also make
their appearance. In complexes 2-4, rare architectures comprising three or four
isolated coordination polymers within the same crystalline structure have been
obtained, respectively. In 2 and 3, neighboring layers are linked together
through water tapes into a three-dimensional supramolecular architecture, which
is also consolidated by pi...pi stacking, while independent infinite rod-like
polymer chains fill the void space between layers. Interestingly, an anionic
(H(2)O-NO(3)(-))(n) layer, built from water tapes and nitrate anions as well as
consolidated by the mpda(4-) ligands, has been structurally identified in
compound 2. A new water tape constructed from alternating tetramers and decamers
has been obtained in compound 3. In compound 4, a right-handed helical chain and
two rod-like polymeric chains are interconnected through host-guest molecular
recognition to generate a three-dimensional chiral supramolecular architecture.
Bulk materials for 1 and 4 have second-harmonic generation activity, being
approximately 0.6 and 0.4 times that of urea. The IR spectra, thermogravimetric
analysis and luminescent properties of all compounds were also investigated.
PMID- 21887436
TI - Co(II), Mn(II) and Cu(II)-directed coordination polymers with mixed tetrazolate
dicarboxylate heterobridges exhibiting spin-canted, spin-frustrated
antiferromagnetism and a slight spin-flop transition.
AB - Three new paramagnetic ion-directed coordination frameworks,
{[Co(4)(H(2)O)(2)(MU(3)-OH)(2)(atz)(2)(nip)(2)].3H(2)O}(n) (1),
{[Mn(4)(H(2)O)(2)(MU(3)-OH)(2)(atz)(2)(nip)(2)].H(2)O.MeOH}(n) (2) and
{[Cu(2)(H(2)O)(MU(3)-OH)(atz)(nip)].2H(2)O}(n) (3), were, respectively, obtained
by solvo-/hydrothermal reactions of 5-amino-1H-tetrazole (Hatz), 5
nitroisophathalic acid (H(2)nip) with an inorganic Co(II), Mn(II) or Cu(II) salt.
The former two complexes are two-dimensional (2D) covalent layers built from
butterfly-shaped tetranuclear M(4)(MU(3)-OH)(2) clusters and double atz(-) and
nip(2-) linkers. Whereas complex 3 is a 3D framework with scarcely observed
corner-sharing Cu(3)(MU(3)-OH) Delta-chains extended by nip(2-) linkages, in
which the anionic atz(-) ligand acts as a reinforcement to consolidate the Delta
chain. Magnetically, due to the interplay of the anisotropy of spin carrier and
magnetic exchange interactions from the adjacent spin carriers, the complexes
exhibit spin-canted antiferromagnetism with a Neel temperature lower than 2.0 K
for 1 and an antiferromagnetic ordering with a slight field-induced spin-flop
transition for 2. In contrast, complex 3 with a local Kagome sublattice displays
spin-frustrated antiferromagnetic behavior with magnetic ordering at 16.0 K.
PMID- 21887437
TI - Synthesis and structure of cationic guanidinate-bridged bimetallic {Li7M} cubes
(M = Mn, Co, Zn) with inverse crown counter anions.
AB - The reactions of the heteroleptic lithium amide [Li(3)(MU-hmds)(2)(MU,MU-hpp)]
(1), where [hmds](-) = hexamethyldisilazide and [hpp](-) =
hexahydropyrimidopyrimidide, with MnCl(2), CoCl(2) or ZnBr(2) result in the
formation of the separated ion-pairs [MLi(7)(MU(8)-O)(MU,MU-hpp)(6)](+)[A](-),
which each consist of a {MLi(7)} oxo-centred cube structural motif (M = Mn 2, Co
4, Zn 5), with each face of the cube being bridged by an [hpp](-) ligand. In the
case of M = Mn and Co, the counter ion, [A](-), is the pentagonal anionic inverse
crown [{Li(MU-hmds)}(5)(MU(5)-Cl)](-) (3), whereas the reaction with M = Zn
produces the known tris-amido zincate [Zn(hmds)(3)](-) counter anion.
PMID- 21887438
TI - Controlled loading of cryoprotectants (CPAs) to oocyte with linear and complex
CPA profiles on a microfluidic platform.
AB - Oocyte cryopreservation has become an essential tool in the treatment of
infertility by preserving oocytes for women undergoing chemotherapy. However,
despite recent advances, pregnancy rates from all cryopreserved oocytes remain
low. The inevitable use of the cryoprotectants (CPAs) during preservation affects
the viability of the preserved oocytes and pregnancy rates either through CPA
toxicity or osmotic injury. Current protocols attempt to reduce CPA toxicity by
minimizing CPA concentrations, or by minimizing the volume changes via the step
wise addition of CPAs to the cells. Although the step-wise addition decreases
osmotic shock to oocytes, it unfortunately increases toxic injuries due to the
long exposure times to CPAs. To address limitations of current protocols and to
rationally design protocols that minimize the exposure to CPAs, we developed a
microfluidic device for the quantitative measurements of oocyte volume during
various CPA loading protocols. We spatially secured a single oocyte on the
microfluidic device, created precisely controlled continuous CPA profiles (step
wise, linear and complex) for the addition of CPAs to the oocyte and measured the
oocyte volumetric response to each profile. With both linear and complex
profiles, we were able to load 1.5 M propanediol to oocytes in less than 15 min
and with a volumetric change of less than 10%. Thus, we believe this single
oocyte analysis technology will eventually help future advances in assisted
reproductive technologies and fertility preservation.
PMID- 21887439
TI - Synthesis of mono- and di-[12]aneN3 ligands and study on the catalytic cleavage
of RNA model 2-hydroxypropyl-p-nitrophenyl phosphate with their metal complexes.
AB - A series of mono- and di-[12]aneN(3) ligands 1-6, which contain different
substituents on the coordinating backbone, different linkers between two
[12]aneN(3) units and different N-methylation on the [12]aneN(3) units, have been
synthesized and fully characterized. The catalytic activities of their metal
complexes on the cleavage of RNA model phosphate 2-hydroxypropyl-p-nitrophenyl
phosphate (HPNPP) varied with the structures of the ligands and metal ions. Click
reactions afforded an efficient method to prepare a series of [12]aneN(3)
ligands, however, the incorporation of triazole moieties reduced the catalytic
activities due to their coordination with metal ions and the strong inhibition
from the triflate counter ion. Dinuclear zinc(II) complexes containing an m-xylyl
bridge showed higher catalytic activities with synergistic effects up to 700
fold. Copper(II) complexes with the ligands without triazole moieties proved to
be highly reactive and showed strong cooperativity between the two copper(II)
ions. In terms of k(2), dinuclear complexes Zn(2)-3b, Zn(2)-3d, Zn(2)-4b, and
Cu(2)-4b afforded activities of 7.9 * 10(5), 3.9 * 10(4), 9.0 * 10(4), and 8.1 *
10(4)-fold higher than that of methoxide. The ortho arrangement of the two
[12]aneN(3) units and the presence of 5- or 2-positioned substituents in the
benzene ring as well as N-methylation of [12]aneN(3) units greatly reduced the
catalytic activities due to the steric effects. These results clearly indicate
that the structures of the linker between two [12]aneN(3) units play very
important role in their catalytic synergistic effects.
PMID- 21887441
TI - The future of metal-organic frameworks.
AB - A personal perspective recognising the developments in the field of metal-organic
frameworks, of where the challenges currently lie and the opportunities that are
on the horizon.
PMID- 21887440
TI - Mn2+ complexes of 1-oxa-4,7-diazacyclononane based ligands with acetic,
phosphonic and phosphinic acid pendant arms: stability and relaxation studies.
AB - A new class of macrocyclic ligands based on 1-oxa-4,7-diazacyclononane was
synthesized and their Mn(2+) complexes were investigated with respect to
stability and relaxation properties. Each ligand has two pendant arms involving
carboxylic (H(2)L(1)--1-oxa-4,7-diazacyclononane-4,7-diacetic acid), phosphonic
(H(4)L(2)--1-oxa-4,7-diazacyclononane-4,7-bis(methylenephosphonic acid)),
phosphinic (H(2)L(3)--1-oxa-4,7-diazacyclononane-4,7-bis(methylenephosphinic
acid)) or phenylphosphinic (H(2)L(4)--1-oxa-4,7-diazacyclononane-4,7
bis[methylene(phenyl)phosphinic acid]) acid moieties. H(2)L(3) and H(2)L(4) were
synthesized for the first time. The crystal structure of the Mn(2+) complex with
H(2)L(4) confirmed a coordination number of 6 for Mn(2+). The protonation
constants of all ligands and the stability constants of their complexes with
Mn(2+) and some biologically or biomedically relevant metal ions were determined
by potentiometry. The protonation sequence of H(2)L(3) was followed by (1)H and
(31)P NMR titration and the second protonation step was attributed to the second
macrocyclic nitrogen atom. The potentiometric data revealed a relatively low
thermodynamic stability of the Mn(2+) complexes with all ligands investigated.
For H(2)L(3) and H(2)L(4), full Mn(2+) complexation cannot be achieved even with
100% ligand excess. The transmetallation of MnL(1) and MnL(2) with Zn(2+) was too
fast to be followed at pH 6. Variable temperature (1)H NMRD and (17)O NMR
measurements have been performed on MnL(1) and MnL(2) to provide information on
water exchange and rotational dynamics. The (17)O chemical shifts indicate
hydration equilibrium between mono- and bishydrated species for MnL(1), while
MnL(2) is monohydrated. The water exchange is considerably faster on MnL(1)
(k(ex)(298) = 1.2 * 10(9) s(-1)) than on MnL(2) (k(ex)(298) = 1.2 * 10(7) s(-1)).
Small endogenous anions (phosphate, carbonate, citrate) do not replace the
coordinated water in either of the complexes, but they induce their slow
decomposition. All Mn(2+) complexes are stable toward air-oxidation.
PMID- 21887442
TI - Divergent Pd(II) and Au(III) mediated nitroalkynol cycloisomerizations.
AB - A new cycloisomerization reaction comprising the simultaneous addition of nitro
and alcohol groups across C=C leading to skeletally diverse small molecules is
documented.
PMID- 21887443
TI - Spectroscopic properties and upconversion in Pr3+:YF3 nanoparticles.
AB - The synthesis and spectroscopic investigation of Pr(3+):YF(3) nanoparticles with
nominal concentration between 0.05% and 5 at% Pr(3+) are reported. Pr(3+)
emission in the visible range of the spectrum is investigated at room temperature
and at 10 K as well as time resolved spectroscopy as a function of Pr(3+)
concentration. The upconverted emission from the orange to the blue region is
observed and the time-resolved spectroscopy of the visible emissions is discussed
as a function of the doping level. A careful analysis of the decays permits
identification of the main energy-transfer mechanisms that determine the
population of the excited levels at various times during the decay.
PMID- 21887444
TI - Nanomorphology-driven two-stage hole mobility in blend films of regioregular and
regiorandom polythiophenes.
AB - We report the nanomorphology-driven two-stage hole mobility in the blend films of
regioregular and regiorandom poly(3-hexylthiophene) (P3HT) polymers of which
regioregularity was 92.2% and 33.0%, respectively. The hole mobility of blend
films was measured by employing a top-contact type organic field-effect
transistor which has an aromatic polyimide gate insulating layer and silver
source/drain electrodes. Results showed that the hole mobility of blend films was
suddenly reduced as large as two orders of magnitude as the bulk regioregularity
of blend films decreased from 89.8% to 86.3%, even though the hole mobility
change was far less than one order of magnitude after and before this boundary
condition. The discontinuous two-stage hole mobility trend has been attributed to
the destruction of P3HT chain ordering/alignment in the blend films at the
boundary blend composition, as evidenced from the huge changes in optical
absorption coefficient, surface nanomorphology, and in-plane/out-of-plane
nanostructures in the blend films.
PMID- 21887445
TI - (R)-(+)-N-methylbenzoguanidine ((R)-NMBG) catalyzed kinetic resolution of racemic
secondary benzylic alcohols with free carboxylic acids by asymmetric
esterification.
AB - (R)-(+)-N-Methylbenzoguanidine ((R)-NMBG) was found to function as an efficient
acyl-transfer catalyst for the kinetic resolution of racemic secondary benzylic
alcohols in the presence of achiral carboxylic acids and pivalic anhydride. The
use of a tertiary amine in this reaction is not necessary to attain good chemical
yields of the products. It was determined that diphenylacetic acid could be
employed as the most suitable acyl donor for achieving a high enantioselectivity
for the kinetic resolution of the racemic secondary benzylic alcohols having
normal aliphatic alkyl chains at the C-1 positions. On the other hand, a less
hindered carboxylic acid, such as 3-phenylpropanoic acid, functioned as a better
acyl donor for the kinetic resolution of racemic secondary benzylic alcohols
having branched aliphatic alkyl chains at the C-1 positions.
PMID- 21887446
TI - Nitric oxide-releasing ruthenium nanoparticles.
AB - Nitric oxide-releasing ruthenium nanoparticles were synthesized by the reaction
of alkanethiolate-protected ruthenium nanoparticles with tert-butyl nitrite
((t)BuONO), and their water-soluble derivatives are able to deliver NO to
proteins such as reduced myoglobin upon light irradiation in aqueous media.
PMID- 21887451
TI - The photobiology of melanocytes modulates the impact of UVA on sunlight-induced
melanoma.
AB - Ultraviolet radiation is responsible for melanoma. In this review, we address the
role of the different UV spectra in melanoma. The data suggest that only UVB is
capable of initiating melanoma, and that both UVA and UVB are involved in the
progression of the disease. The etiology of sunlight-induced melanoma may be
different for chronically-exposed tumors and for those located on body surfaces
with considerably less exposure. Solar signature mutations are most likely
associated with the progression of chronically-exposed tumors. The unique
relationship between UVA and melanocytes, and the role of melanin in
photocarcinogenesis is discussed. The current state of knowledge strongly
indicates that UVA, regardless of its source, is involved in melanoma and should
be avoided to deter progression of incipient tumors.
PMID- 21887452
TI - Mechanistic studies on two dinuclear organogold(III) compounds showing
appreciable antiproliferative properties and a high redox stability.
AB - Two dinuclear oxo-bridged organogold(III) compounds, namely [(N,N,C)(2)Au(2)(MU
O)][PF(6)](2) (with N,N,CH = 6-(1-methylbenzyl)-2,2'-bipyridine, Au(2)O1; or 6
(1,1-dimethylbenzyl)-2,2'-bipyridine, Au(2)O2), were previously prepared and
characterised. Their solution chemistry under physiological-like conditions has
been investigated here as well as their in vitro antiproliferative properties.
Notably, these compounds reveal a marked redox stability even in the presence of
effective biological reductants such as ascorbic acid and glutathione. The two
dinuclear gold(iii) compounds were evaluated for cytotoxic actions against a
representative panel of 12 human tumor cell lines, in comparison to respective
mononuclear parent compounds [(N,N,C)AuOH][PF(6)], and appreciable biological
activity could be highlighted. The reactions of Au(2)O1 and Au(2)O2 with a few
model proteins were studied and the ability to form metallodrug-protein adducts
monitored through ESI MS methods. Typical adducts were identified where the
protein is associated to monometallic gold fragments; in these adducts gold
remains in the oxidation state +3 and conserves its organic ligand. A direct
comparison of the biological profiles of these binuclear organogold(III)
compounds with those previously reported for a series of dinuclear oxo-bridged
complexes [(N,N)(2)Au(2)(MU-O)(2)][PF(6)](2) (N,N = 6(6')-substituted 2,2'
bipyridines) named Auoxo's was carried out. It emerges that the greater
cytotoxicity of the latter is mainly due to the greater oxidising power of their
gold(III) centres and to propensity to generate gold(i) species; in contrast, the
here described bimetallic organogold(III) complexes manifest a far higher redox
stability in the biological milieu coupled to lower, but still significant,
antiproliferative properties. Different molecular mechanisms are thus
hypothesised for these two classes of dinuclear gold(III) agents.
PMID- 21887453
TI - Click-chemistry approach to isoxazole-containing alpha-CF3-substituted alpha
aminocarboxylates and alpha-aminophosphonates.
AB - A convenient strategy for the synthesis of isoxazole-containing alpha-CF(3)
substituted alpha-aminocarboxylates and alpha-aminophosphonates have been
developed. The method is based on copper-catalyzed 1,3-dipolar cycloaddition of
different aromatic nitrile oxides to functionalized acetylenes.
PMID- 21887454
TI - Lymphocyte function following radioiodine therapy in patients with thyroid
carcinoma.
AB - AIM: Since the nuclear disaster in Fukushima has raised great concern about the
danger of radioactivity, we here addressed the question if the therapeutic use of
iodine 131, the most frequently applied radionuclide, was harmful to immune
function in patients. It was our aim to define for the first time in a clinical
setting how radioiodine therapy alters anti-microbial immune responses. PATIENTS,
METHODS: In 21 patients with thyroid carcinoma anti-microbial lymphocyte
responses were assessed by lymphocyte transformation test and ELISpot - measuring
lymphocyte proliferation and on a single cell level production of pro- and anti
inflammatory cytokines (interferon-gamma and interleukin-10) - prior to therapy,
at day 1 and day 7 post therapy. RESULTS: Proliferative lymphocyte responses and
interferon-gamma production after in vitro stimulation with microbial antigens
were significantly (p < 0.05) increased at day 1 vs. pre therapy, and returned to
pre therapy levels at day 7. On the contrary, at day 1 interleukin-10 production
was significantly (p < 0.05) reduced. Thus, we observed a short-term increase in
pro-inflammatory immune responses. However, T lymphocyte responses were in the
range of healthy controls at all three time points. CONCLUSION: Thyroid carcinoma
patients receiving radioiodine therapy do not display any sign of
immunosuppression.
PMID- 21887455
TI - Evaluation of a filter-prepared platelet concentrate for the treatment of
suspensory branch injuries in horses.
AB - OBJECTIVES: Platelet preparations have become a treatment for soft tissue
injuries in horses. This study evaluated a novel filter-based system to
concentrate platelets and assesses its value in the treatment of suspensory
ligament branch desmitis. METHODS: Filtered platelet concentrate was prepared
from 55 ml of venous blood obtained from 21 normal horses. Platelets and white
blood cells in whole blood and filtered platelet concentrate were measured, as
was platelet activating factor (PAF)-induced platelet-derived growth factor-BB
(PDGF-BB) release. Eleven horses with 18 focal acute suspensory ligament branch
injuries were treated intra-lesionally with autologous filtered platelet
concentrate and evaluated clinically and ultrasonographically for one to three
years. RESULTS: The increase in concentration of platelets in the filtered
concentrate in comparison with whole blood (6.9 +/- 1.9-fold) was significantly
greater than the increase in white blood cells (3.8 +/- 0.8-fold) (p <0.0001).
There was no effect of sex or breed on platelet concentration. Platelets were
responsive to PAF with >100-fold higher levels of PDGF release over basal levels.
All hypoechoic lesions re-evaluated within three months had resolved. Five of the
11 horses returned to their previous level of work, one was exercising at a lower
level, three were retired, one died for unrelated reasons, and one was still
convalescing. CLINICAL SIGNIFICANCE: Filtered platelet concentrate was easily and
reliably prepared and injected into suspensory ligament branch injuries without
short-term complications. This treatment was associated with rapid resolution of
ultrasonographic lesions and lameness. Filtered platelet concentrate represents a
convenient alternative for the treatment of suspensory ligament branch injuries.
PMID- 21887456
TI - Honokiol stimulates osteoblastogenesis by suppressing NF-kappaB activation.
AB - Magnolia officinalis, a component of Asian herbal teas, has long been employed in
traditional Japanese and Chinese medicine to treat numerous maladies. Honokiol, a
biphenolic compound, is now considered to be one of the major active ingredients
of Magnolia extract, and is under intense investigation for its anti-angiogenic,
anti-inflammatory, anti-tumor and neuroprotective properties. Biochemically,
honokiol has been recognized to modulate the nuclear factor kappa B (NF-kappaB)
signal transduction pathway suggesting that it possesses anti-inflammatory
properties. Inflammation is intimately associated with bone turnover and skeletal
deterioration and consequently, anti-inflammatory drugs may hold significant
promise as bone protective agents to stem bone loss in osteoporotic conditions.
We and others have demonstrated that suppression of NF-kappaB blunts osteoclastic
bone resorption, but promotes osteoblastic bone formation. Indeed previous
studies have demonstrated the anti-osteoclastogenic effects of honokiol, however,
activities on osteoblast differentiation and activity have yet to be
investigated. In this study, we show that honokiol is a potent inducer of in
vitro osteoblast differentiation by virtue of its capacity to suppress basal and
tumor necrosis factor alpha (TNFalpha)-induced NF-kappaB activation and to
alleviate the suppressive action of TNFalpha on bone morphogenetic protein (BMP)
2-induced Smad activation. Our data confirm that honokiol may have considerable
promise as a dual anabolic/anti-catabolic agent for the amelioration of multiple
osteoporotic diseases.
PMID- 21887457
TI - Evaluation of CMV and KAP promoters for driving the expression of human CYP4F2 in
transgenic mice.
AB - A transgenic mouse model in which cytochrome P450 4F2 (CYP4F2) was expressed in
multiple organs was expected to clarify the role of 20-hydroxyeicosatetraenoic
acid (20-HETE) in the regulation of blood pressure, compared with our previously
established kidney androgen-regulated protein (KAP) promoter CYP4F2 transgenic
mouse model which predominantly showed renal overexpression of CYP4F2. A novel
CYP4F2 transgenic mouse model driven by the cyto-megalovirus (CMV) promoter was
generated and identified by PCR and subsequent sequencing. Extensive study of CMV
CYP4F2 transgenic mice demonstrated that CYP4F2 was exclusively expressed in the
liver, while 20-HETE levels in the urine, kidney and blood were not affected, and
there was no resulting change in the systolic blood pressure. This was in
contrast to KAP-CYP4F2 transgenic mice which exerted prohypertensive action of 20
HETE resulting from the renal overexpression of CYP4F2. In addition, CYP4F2
overwhelmed the endogenous renal Cyp4a family mRNA levels in the KAP-CYP4F2 but
not in the CMV-CYP4F2 transgenic mice. These results support the idea that
overexpression of renal CYP4F2, leading to high 20-HETE in the urine and blood,
may account for the elevated blood pressure. The CMV promoter did not direct
CYP4F2 expression into extensive tissues and organs in an attempt to clarify the
action of 20-HETE.
PMID- 21887458
TI - Suppression of proliferation and oxidative stress by extracts of Ganoderma
lucidum in the ovarian cancer cell line OVCAR-3.
AB - Lingzhi (LZ) is a medical mushroom also known as Ganoderma lucidum, which has
been used in traditional Chinese medicine for more than 4,000 years and moreover,
due to its presumed health benefits and apparent absence of side-effects it has
also been widely consumed as a dietary supplement by cancer patients and by
individuals diagnosed with various chronic diseases. The reported benefits of
Ganoderma lucidum may be largely ascribed to its biologically active constituent
polysaccharides and triterpenes known as ganoderic acids having structural
similarity to steroid hormones. Laboratory studies have shown that Ganoderma
lucidum enhances immune functions and also inhibits growth of various cancer
cells both in vitro and in vivo. However, the mechanism by which Ganoderma
lucidum exerts its chemopreventive activities remains unknown. In this study, we
investigated whether Ganoderma lucidum elicits its anti-tumor effects by
suppressing cell growth and inducing antioxidative/detoxification activity in
human ovarian OVCAR-3 cells. The results showed that Ganoderma lucidum inhibits
cell growth and disruption of cell cycle progression via down regulation of
cyclin D1. Chemopreventive activities elicited by Ganoderma lucidum were
demonstrated by the induction of antioxidant SOD and catalase as well as the
phase II detoxification enzyme NAD(P)H:quinone oxidoreductase 1 (NQO1) and
glutathione S-transferase P1 (GSTP1) via the Nrf2 mediated signaling pathway
known to provide chemoprotection against carcinogenicity. These findings indicate
that Ganoderma lucidum possesses chemopreventive potential contributing to its
overall health effects and further suggest that Ganoderma lucidum may have
clinical applications as an adjunct supplementary agent in chemotherapy.
PMID- 21887459
TI - The metabolic syndrome of fructose-fed rats: effects of long-chain
polyunsaturated omega3 and omega6 fatty acids. II. Time course of changes in food
intake, body weight, plasma glucose and insulin concentrations and insulin
resistance.
AB - The time course for changes in food intake, body weight, plasma glucose and
insulin concentrations and HOMA index was monitored over a period of 8 weeks in
rats exposed from the 8th week after birth to diets containing either starch or
fructose and sunflower oil. In two further groups of rats exposed to the fructose
rich diet part of the sunflower oil was substituted by either salmon oil rich in
long-chain polyunsaturated omega3 fatty acids or safflower oil rich in long-chain
polyunsaturated omega6 fatty acids. Despite lower food intake, the gain in body
weight was higher in fructose-fed rats than in starch-fed rats. The
supplementation of the fructose-rich diet by either omega3 or omega6 fatty acids
lowered both food intake and body weight gain. The measurements of plasma glucose
and insulin concentrations, HOMA index and insulinogenic index performed after
overnight starvation were in fair agreement with those recorded at the occasion
of an intraperitoneal glucose tolerance test, with higher values for plasma
glucose concentration and HOMA index in the fructose-fed rats exposed to the
sunflower oil (with or without enrichment with omega6 fatty acids) than in the
starch-fed rats exposed to the sunflower oil or fructose-fed rats exposed to a
diet enriched with omega3 fatty acids. Such was also the case for the
measurements of glycated albumin at sacrifice. Moreover, the insulinogenic index
was lower in the fructose-fed rats with or without dietary enrichment in omega6
fatty acids than in the fructose-fed rats with dietary enrichment in omega3 fatty
acids. The elucidation of the biochemical determinants of the later difference
requires further investigations in isolated pancreatic islets.
PMID- 21887460
TI - Trastuzumab enhances the anti-tumor effects of the histone deacetylase inhibitor
sodium butyrate on a HER2-overexpressing breast cancer cell line.
AB - Trastuzumab has efficacy to improve the effect of cytotoxic drugs, such as
paclitaxel and anthracyclin, against HER2-overexpressing breast cancer cells.
Sodium butyrate (NaB), a histone deacetylase inhibitor, is known to have
antitumoral properties. However, whether and how trastuzumab possesses the
potential to synergize the anti-tumor effect of NaB on breast cancer cells is
still equivocal. To elucidate whether combined treatment with NaB and trastuzumab
exerts anti-tumor effects on a HER2-overexpressing breast cancer cell line, SKBR3
cells were treated with NaB alone or in combination with trastuzumab, and the
effects on proliferation and cell cycle progression were analyzed. Combinatory
treatment with NaB (4 mmol/l) and trastuzumab (20 ug/ml) significantly increased
the growth-inhibitory effect on SKBR3 breast cancer cells, in comparison to NaB
or trastuzumab treatment alone. The growth-inhibitory effect of the combination
of NaB and trastuzumab was accompanied by elevated mRNA and protein levels of the
cyclin-dependent kinase inhibitor p27Kip1. In contrast, this effect was absent in
HER2-negative HCC1937 cells. In conclusion, trastuzumab significantly improved
the antitumor effect of NaB on HER2-overexpressing breast cancer cell line in
vitro.
PMID- 21887461
TI - Increased P-glycoprotein expression in mitochondria is related to acquired
multidrug resistance in human hepatoma cells depleted of mitochondrial DNA.
AB - Mitochondrial DNA-depleted rho0 cells are resistant to apoptosis, but the
mechanism remains unclear. A human hepatoma cell line (SK-Hep1) depleted of mtDNA
(rho0SK-Hep1) was induced by ethidium bromide treatment. The rho0SK-Hep1 cells
were resistant to both doxorubicin- and cisplatin-induced apoptosis, while
cybrids (SK-Hep1Cyb) prepared by fusing rho0SK-Hep1 cells with platelets showed
restored susceptibility to both drugs. We observed P-glycoprotein and MRP1 were
both overexpressed in rho0 cells, and more P-glycoproteins were localized in the
mitochondria and were functionally active. rho0 cells showed resistance to
chemotherapeutic drug-induced apoptosis. The increased expression and
localization of P-glycoproteins in the mitochondria of rho0 cells may facilitate
the exclusion of chemotherapeutic drugs from the mitochondria to the cytosol.
PMID- 21887462
TI - Bufotalin sensitizes death receptor-induced apoptosis via Bid- and STAT1
dependent pathways.
AB - Tumor necrosis factor-alpha (TNF-alpha) and TNF-related apoptosis-inducing ligand
(TRAIL) are apoptosis-inducing ligands that stimulate death receptors. In this
study, we investigated the effects of bufotalin, a major compound in toad venom,
on sensitizing TNF-alpha and TRAIL-induced apoptosis of HeLa cells. Bufotalin
promoted death receptor-mediated cell death, especially TRAIL-induced apoptosis,
through activation of caspase-3 and PARP-1. Mitochondrial Bid-dependent pathway
was activated in TNF-alpha-induced cell death. Cotreatment of bufotalin with
TRAIL resulted in the downregulation of anti-apoptotic proteins, including Bcl
XL, Mcl-1, survivin and XIAP, and the up-regulation of MAPKs and TRAIL receptor
DR5. In addition, phosphorylation of STAT1 was strongly inhibited by bufotalin.
Moreover, DR5 expression was induced by knocking down the STAT1 expression.
Moreover, the TRAIL-induced apoptotic response was promoted by STAT1 siRNA. Our
results demonstrated that bufotalin is a powerful sensitizer of death receptor
induced apoptosis in cancer cells.
PMID- 21887463
TI - Transcriptional analysis of CXCR4, DNMT3A, DNMT3B and DNMT1 gene expression in
primary advanced uterine cervical carcinoma.
AB - The development of cervical cancer requires genetic and epigenetic factors which
result in the persistence of a malignant phenotype. Cervical cancer exhibits also
some unique differences from other solid tumors. Normal cervical stratified
epithelia have characteristics of hypoxic tissue with over-expression of HIF-1
(hypoxia-inducible factor-1) transcription factor, which targets the
transcription of over 70 genes involved in many aspects of cancer biology. One of
the genes, which could be induced by HIF-1 is chemokine (C-X-C motif) receptor 4
(CXCR4). CXCR4 could also be epigenetically regulated by methylation of CpG
dinucleotides located in the promoter region. Here, we examined the CXCR4,
DNMT3A, DNMT3B and DNMT1 transcript levels in cancer tissue (n=30) and non
cancer, normal uterine cervical tissue (n=30) from a Polish cohort. We also
compared the methylation status of CXCR4 promoter region in cancer and normal
tissue samples. Our result showed significantly higher levels of CXCR4, DNMT3A,
DNMT3B and DNMT1 transcript (p=0.0058, 0.0163, 0.0003 and <0.0001, respectively)
levels in cancer tissue as compared to normal samples. We did not observe DNA
methylation in the CXCR4 promoter region in either control or cancer tissue
samples. CXCR4 has a functional hypoxia response element (HRE) in the promoter
region, located -1.3 kb from the transcription start site. Our work shows for the
first time that HIF-1A could promote the induction of CXCR4 gene expression
(Spearman's correlation coefficient = 0.515, p=0.003) in patients with primary
advanced uterine cervical carcinoma.
PMID- 21887464
TI - Mitomycin C and doxorubicin elicit conflicting signals by causing accumulation of
cyclin E prior to p21WAF1/CIP1 elevation in human hepatocellular carcinoma cells.
AB - Proteins involved in the G1 phase of the cell cycle are aberrantly expressed,
sometimes in mutated forms, in human cancers including human hepatocellular
carcinoma. Upon attack by a DNA-damaging anticancer drug, a cell arrests at the
G1 phase; this is a safety feature prohibiting entry of DNA-damaged cells into S
phase. p21WAF1/CIP1 prevents damaged cells from progressing to the next cell
cycle. Here, we show that, in response to mitomycin C and doxorubicin, human
hepatocellular carcinoma cells generate conflicting signals, mediated by cyclin E
and p21WAF1/CIP1, which respectively accelerates and represses cell cycle
transition. Exposure to these anticancer drugs led to rapid accumulation of
cyclin E in both p53-proficient HepG2 and p53-deficient Hep3B cells. Such
anticancer drug-induced cyclin E accumulation influenced the G1-S-phase
transition, but not DNA fragmentation-mediated death. In p53-proficient HepG2
cells, accumulation of cyclin E was followed by an increase in the level of p53
dependent p21WAF1/CIP1, thereby inhibiting further the G1-S-phase transition.
Sublethal drug concentrations also induced rapid accumulation of cyclin E, but
p21WAF1/CIP1 accumulation was delayed, further facilitating the G1-S-phase
transition. Eventually, most cells arrested in G2/M. Thus, mitomycin C- or
doxorubicin-induced conflicting signals, mediated by cyclin E and p21WAF1/CIP1,
are in play in human hepatocellular carcinoma cells. Damaged G1 cells either
immediately enter S-phase, or do not do so at all, depending on the extent of DNA
damage.
PMID- 21887465
TI - Effect of Hedyotis Diffusa Willd extract on tumor angiogenesis.
AB - Inhibition of tumor angiogenesis has become an attractive target of anticancer
chemotherapy. However, drug resistance and cytotoxicity against non-tumor
associated endothelial cells limit the long-term use and the therapeutic
effectiveness of angiogenesis inhibitors, thus increasing the necessity for the
development of multi-target agents with minimal side effects. Traditional Chinese
medicine (TCM) formulas, which have relatively fewer side effects and have been
used clinically to treat various types of diseases, including cancer, for
thousands of years, are considered to be multi-component and multi-target agents
exerting their therapeutic function in a more holistic way. Hedyotis Diffusa
Willd (EEHDW) has long been used as an important component in several TCM
formulas to treat various types of cancer. Although recently we reported that
EEHDW promotes cancer cell apoptosis via activation of the mitochondrial
dependent pathway, the precise mechanism of its tumoricidalactivity still remains
to be clarified. In the present study, we investigated the angiogenic effects of
the ethanol extract of EEHDW. Cell cycle analysis was perfomed using flow
cytometry. Cell viability was analyzed using MTT assay. We found that EEHDW
inhibited angiogenesis in vivo in chick embryo chorioallantoic membrane (CAM). In
addition, we observed that EEHDW dose- and time-dependently inhibited the prolife
ration of human umbilical vein endothelial cells (HUVEC) by blocking the cell
cycle G1 to S progression. Moreover, EEHDW inhibited the migration and tube
formation of HUVECs. Furthermore, EEHDW treatment down-regulated the mRNA and
protein expression levels of VEGF-A in HT-29 human colon carcinoma cells and
HUVECs. Our findings suggest that inhibiting tumor angiogenesis is one of the
mechanisms by which EEHDW is involved in cancer therapy.
PMID- 21887466
TI - Clinical significance of the expression of DNA methyltransferase proteins in
gastric cancer.
AB - DNA methyltransferase (DNMT) 1, DNMT3A and DNMT3B, which affect promoter CpG
methylation status, play a significant role in cancer development. Little is
known regarding the clinical significance of DNMT expression in gastric cancers.
Expression of DNMT1, DNMT3A and DNMT3B in paraffin sections from 54 gastric
cancer patients were examined using immunohistochemistry, and their associations
with the corresponding clinicopathological parameters were analyzed using the Chi
square test. Overexpression of DNMT1, DNMT3A and DNMT3B in gastric cancer tissues
was observed in 35 (64.8%), 38 (70.4%) and 28 (51.9%) of 54 cases, respectively.
DNMT1 was localized in the cytoplasm and nuclei of the cancer cells, whereas
DNMT3A and DNMT3B were detected only in the cytoplasm. DNMT1 expression was more
frequently found in tumors localizing at the cardia or body of the stomach
(P=0.048). DNMT3A was associated with TNM stage (P=0.001) and lymph node
metastasis (P=0.002). No significant correlation was found between DNMT3B
expression and clinicopathological data (P>0.05). The co-expression of DNMT1 and
DNMT3A, and of DNMT3A and DNMT3B was more frequently found in tumors localizing
at the cardia or body of the stomach (P=0.005 and P=0.009 respectively).
Moreover, co-expression of DNMT1 and DNMT3A was significantly associated with
lymph node metastasis (P=0.035). DNMTs are overexpressed in gastric cancer, and
may play a significant role in the development of aberrant promoter methylation
during tumorigenesis.
PMID- 21887467
TI - Human papillomavirus prevalence in women with normal cytology and with cervical
cancer in Natal, Brazil.
AB - This study analyzed the prevalence of human papillomavirus (HPV) in cervical
specimens obtained from women with normal cytology and with cervical cancer, in
order to evaluate their correlation with health status and demographic
characteristics, as well as sexual and reproductive activity in women treated at
a cancer reference hospital in Natal, Northeast Brazil. A total of 158 women were
divided into 2 groups according to their health status: group I comprised 110
women with normal cytology, and group II comprised 48 women with cervical cancer.
Cervical smears were analyzed by cytological or histopathological examination for
the detection of cytological alterations, and by PCR for HPV DNA detection using
MY09/11 primers, followed by HPV genotyping by dot blot hybridization. Results
showed overall HPV prevalence to be 24.5% in group I, with 19.1% of patients
having single infection and 5.4% double infection. The HPV prevalence in group II
was 85.4%, with 79.2% of patients having single and 6.2% double infection. We
identified 10 different HPV genotypes, most with high oncogenic potential. HPV 16
was the most prevalent genotype in the two studied groups, followed by HPV 58 and
HPV 18. High-risk HPV genital infection, chronological age, ethnicity, early
onset of sexual and reproductive activities, multiple sexual partners and smoking
increased the risk for cervical cancer.
PMID- 21887468
TI - The novel selenium heteropoly compound (NH4)4H4[Se2Mo2V4O24].7H2O induces
apoptosis of K562 cells.
AB - The purpose of this study was to investigate the antitumor effects and mechanism
of the selenium heteropoly compound (NH4)4H4[Se2Mo2V4O24].7H2O (SeMoV) in K562
cells. The results showed that 0.313-10 mg/l SeMoV significantly inhibited the
proliferation of K562 cells in vitro in a time- and concentration-dependent
manner as determined by a micro-culture tetrazolium assay; the IC50 values were
7.69 and 4.06 mg/l following 48 and 72 h of treatment with SeMoV, respectively.
Analysis of the cell cycle indicated that the proportion of cells in the G0/G1
phase was decreased at 48 h whereas the proportion of cells in the S phase was
increased following treatment for 24 and 48 h. A significant sub-G1 peak was
observed at 5 mg/l for 24 h. Morphological observation revealed typical apoptotic
features. SeMoV signifi-cantly caused the accumulation of Ca2+, Mg2+ and ROS, and
a reduction in the pH value and the mitochondrial membrane potential (MMP) in the
K562 cells compared with the control (p<0.01), as shown by confocal laser
scanning microscopy. Experiments also showed that the expression of Bcl-2 was
significantly inhibited by 20 mg/l SeMoV, while Bax expression increased.
Meanwhile, the amount of cytochrome C and IkappaB in K562 cells was increased,
while NF-kappaB expression was significantly decreased, following treatment with
SeMoV for 24 h. The experiment implied that SeMoV had antitumor activity and its
mechanism was attributed partially to apoptosis, which was induced by the
elevation of the intracellular Ca2+, Mg2+ and ROS concentration, a reduction in
the pH value and MMP, and the NF-kappaB/IkappaB signaling pathway.
PMID- 21887469
TI - Eag1 potassium channels as markers of cervical dysplasia.
AB - Human ether a-go-go 1 (Eag1) potassium channels are potential tumor markers and
therapeutic targets for several types of malignancies, including cervical cancer.
Estrogens and human papilloma virus oncogenes regulate Eag1 gene expression,
suggesting that Eag1 may already be present in pre-malignant lesions. Therefore,
Eag1 could be used as an early marker and/or a potential risk indicator for
cervical cancer. Consequently, we studied Eag1 protein expression by
immunochemistry in cervical cancer cell lines, normal keratinocytes, cervical
cytologies from intraepithelial lesions, biopsies from cervical intraepithelial
neoplasias (CIN 1, 2 and 3) and in normal smears from patients taking or not
taking estrogens. Two hundred and eighty-six samples obtained by liquid-based
cytology and fifteen CIN biopsies were studied. We observed Eag1 protein
expression in the cervical cancer cell lines, as opposed to normal keratinocytes.
Eag1 was found in 67% of the cervical cytologies from low-grade intra-epithelial
lesions and in 92% of the samples from high-grade intraepithelial lesions, but
only in 27% of the normal samples. Noteworthy, morphologically normal cells
obtained from dysplastic samples also exhibited Eag1 expression. In CIN biopsies
we found that the higher the grade of the lesion, the broader the Eag1 protein
distribution. Almost 50% of the normal patients taking estrogens displayed Eag1
expression. We suggest Eag1 as a potential marker of cervical dysplasia and a
risk indicator for developing cervical lesions in patients taking estrogens. Eag1
detection in cervical cancer screening programs should help to improve early
diagnosis and decrease mortality rates from this disease.
PMID- 21887471
TI - Remarkable difference of somatic mutation patterns between oncogenes and tumor
suppressor genes.
AB - Cancers arise owing to mutations that confer selective growth advantages on the
cells in a subset of tumor suppressor and/or oncogenes. To understand oncogenesis
and diagnose cancers, it is crucial to discriminate these two groups of genes by
using the difference in their mutation patterns. Here, we investigated>120,000
mutation samples in 66 well-known tumor suppressor genes and oncogenes of the
COSMIC database, and found a set of significant differences in mutation patterns
(e.g., non-3n-indel, non-sense SNP and mutation hotspot) between them. By
screening the best measurement, we developed indices to readily distinguish one
from another and predict clearly the unknown oncogenesis genes as tumor
suppressors (e.g., ASXL1, HNF1A and KDM6A) or oncogenes (e.g., FOXL2, MYD88 and
TSHR). Based on our results, a third gene group can be classified, which has a
mutational pattern between tumor suppressors and oncogenes. The concept of the
third gene group could help to understand gene function in different cancers or
individual patients and to know the exact function of genes in oncogenesis. In
conclusion, our study provides further insights into cancer-related genes and
identifies several potential therapeutic targets.
PMID- 21887470
TI - pseudo-G-Rh2 induces mitochondrial-mediated apoptosis in SGC-7901 human gastric
cancer cells.
AB - This study was designed to investigate the effect of pseudo-G-Rh2, a novel
metabolite of ginsenoside Rh2, on the apoptosis of SGC-7901 human gastric cancer
cells. Pseudo-G-Rh2 demonstrated antitumor activity and significantly inhibited
the proliferation of SGC-7901 cells in a concentration-dependent manner. After
treatment with pseudo-G-Rh2, SGC-7901 cells showed typical apoptotic
morphological features, such as chromatin condensation and DNA fragmentation.
Pseudo-G-Rh2 could induce mitochondrial membrane potential loss, which led to the
release of cytochrome c (Cyt c), Smac/Diablo and apoptosis-inducing factor (AIF)
to the cell cytoplasm. Furthermore, pseudo-G-Rh2 exposure not only decreased the
expression of the Bcl-2 protein but also increased the expression of the Bax
protein and the activities of caspase-9 and caspase-3 in SGC-7901 cells. These
results demonstrated that pseudo-G-Rh2 inhibited the proliferation of SGC-7901
cells by initiating apoptosis. Pseudo-G-Rh2-induced apoptosis was associated with
a drop in the mitochondrial transmembrane potential, down-regulation of Bcl-2, up
regulation of Bax and activation of caspase-9 and caspase-3.
PMID- 21887472
TI - Induction of apoptosis by evodiamine involves both activation of mitotic arrest
and mitotic slippage.
AB - Evodiamine (Evo) is an indole quinazoline alkaloid isolated from the fruit of
Evodia rutaecarpa Bentham. Previous studies have shown that Evo exhibits anti
proliferative anti-tumor activities in several cancer types, but its target(s)
and underlying mechanism(s) of action remain unclear. In the present study, we
sought to establish a cell synchronization model in order to examine the anti
proliferative and apoptotic mechanisms of Evo in the human gastric cancer cell
line SGC-7901. In addition, we transfected these cells with full-length or non
degradable (ND) cyclinB1 to evaluate the relationship between the induction of
apoptosis and activation of mitotic arrest and mitotic slippage by Evo. Our
results demonstrated that Evo markedly inhibited cell growth and was cytotoxic to
SGC-7901 cells. Furthermore, transient Evo treatment (<16 h) caused reversible
mitotic arrest, but sustained mitotic arrest was required to initiate apoptosis.
The time required to reverse the apoptotic effects of Evo was between 16 and 20
h. We also demonstrated that promotion of mitotic slippage by a CDK1 inhibitor
enhanced apoptosis. Furthermore, we evaluated the effect of delaying mitotic
slippage by overexpressing ND cyclinB1, which delayed apoptosis. In conclusion,
these results indicate that Evo-induced apoptosis is associated with mitotic
arrest and subsequent mitotic slippage, which may underlie the actions of Evo in
the treatment and prevention of cancer.
PMID- 21887473
TI - Power Doppler and gray-scale sonography standardized by BI-RADS for the
differentiation of benign postoperative lesion and local recurrence after breast
conserving therapy.
AB - The diagnosis of ipsilateral breast tumor recurrence (IBTR) after breast
conserving therapy is of great interest to breast physicians. The present study
compared the utility of gray-scale sonography standardized by a breast imaging
reporting and data system (BI-RADS) and power Doppler sonography for
differentiating between benign scar formation and IBTR after breast-conserving
therapy. Gray-scale sonography detected 83 solid breast lesions classified as BI
RADS categories 3-5 in 272 patients after breast-conserving therapy, and these
lesions were entered into the study (53 lesions as category 3, probably benign;
30 lesions as categories 4-5, suspected malignancy). Power Doppler sonography
revealed intratumoral flow in 19 of 83 solid breast lesions. BI-RADS category 3
was accepted as probably benign and BI-RADS categories 4-5 were considered as
suspicious for breast tumor recurrence in the gray-scale ultrasound criteria.
Positive and negative intratumoral flow were employed as suspicious for breast
tumor recurrence and probably benign, respectively, in the power Doppler
sonography criteria. Sensitivity was higher for power Doppler sonography (94.7+/
10.0%) than for gray-scale sonography (57.9+/-22.2%). Specificity was also higher
for power Doppler sonography (98.4+/-3.0%) than for gray-scale sonography (70.3+/
0.6%). These results suggest that power Doppler sonography can complement gray
scale sonography standardized by BI-RADS in differentiating between IBTR and
benign scar lesions.
PMID- 21887474
TI - Inhibition of STAT3 reverses alkylator resistance through modulation of the AKT
and beta-catenin signaling pathways.
AB - Activation of signal transducer and activator of transcription 3 (STAT3) is
associated with poor clinical outcome of glioblastoma (GBM). However, the role of
STAT3 in resistance to alkylator-based chemotherapy remains unknown. Here, we
retrospectively analyzed the phosphorylated STAT3 (p-STAT3) profile of 68 GBM
patients receiving alkylator therapy, identifying p-STAT3 as an independent
unfavorable prognostic factor for progression-free and overall survival.
Additionally, elevated p-STAT3 expression correlated with resistance to alkylator
therapy. In vitro analysis revealed that U251 and U87 human glioma cells were
refractory to treatment with the common alkylating agent temozolomide (TMZ), with
only a modest impact on AKT and beta-catenin activation in the context of high p
STAT3. Inhibition of STAT3 in these cells significantly enhanced the effect of
TMZ. Inhibition of STAT3 dramatically decreased the IC50 of TMZ, increasing TMZ
induced apoptosis while up-regulating expression of Bcl-2 and down-regulating
expression of Bax. Furthermore, inhibition of STAT3 increased TMZ-induced G0-G1
arrest and decreased Cyclin D1 expression compared to TMZ alone. Together, these
results indicate that inhibition of STAT3 sensitizes glioma cells to TMZ, at
least in part, by blocking the p-AKT and beta-catenin pathways. These findings
strongly support the hypothesis that STAT3 inhibition significantly improves the
clinical efficacy of alkylating agents.
PMID- 21887475
TI - Increased expression of HIF-1A and its implication in the hypoxia pathway in
primary advanced uterine cervical carcinoma.
AB - The development of cervical cancer exhibits some unique differences compared to
other solid tumors. Normal cervical stratified epithelia have characteristics of
hypoxic tissue. Lack of oxygen (hypoxia) induces the HIF-1 (hypoxia-inducible
factor-1) transcription factor, which is a heterodimer composed of a
constitutively expressed beta subunit and a hypoxia-inducible alpha-subunit. HIF
1A targets the transcription of over 70 genes involved in many aspects of cancer
biology. In well-oxygenated environments, the HIF-1A subunit is hydroxylated,
recognized and marked for proteosomal destruction by an E3 ubiquitin ligase, the
von Hippel-Lindau protein (pVHL) complex. Under hypoxic stress, proline
hydroxylase (PHD) activity is diminished, and stabilized HIF-1A is involved in
the activation of the tissue response to hypoxia. Here, we examined the HIF-1A
and VHL transcript levels and HIF-1A protein levels in cancerous tissue (n=30)
and non-cancerous, normal uterine cervical tissue (n=30). We also compared the
methylation status of HIF-1A and of the VHL promoter regions in cancerous and
normal tissue samples. Significantly higher levels of HIF-1A and VHL transcripts
(p<0.0001 and p=0.0042, respectively) and of HIF-1A protein (p=0.0037) were
detected in cancerous tissue compared to normal samples. We did not observe DNA
methylation in the HIF-1A and VHL promoter region in either control or cancerous
tissue samples. VHL has a functional hypoxia response element (HRE) in the
promoter region, and the induction of this HRE acts within a negative feedback
loop to limit the hypoxic HIF-1A response. Our findings may suggest that HIF-1A
could promote its own degradation by the induction of VHL gene expression
(Spearman correlation coefficient, 0.515; p=0.003). Our study shows for the first
time that this increase in VHL expression could be HIF-1A-dependent and serves
within a negative feedback pathway during hypoxia to regulate the cell-specific
oxygen threshold for HIF-1A activation.
PMID- 21887477
TI - Suppression of Th17 response by Streptococcus thermophilus ST28 through induction
of IFN-gamma.
AB - The proinflammatory cytokine interleukin (IL)-17 plays important roles in various
inflammatory diseases, and IL-17-producing T helper 17 cells (Th17) have received
much attention. For therapy of Th17-mediated diseases, some reports have
indicated the clinical efficacy of lactic acid bacteria, including Streptococcus
thermophilus. In this study, we examined the mechanism for the suppressive
effects of S. thermophilus ST28 on the Th17 response in murine splenocytes
stimulated with transforming growth factor (TGF)-beta plus IL-6. Stimulation with
TGF-beta plus IL-6 increased mRNA expression of IL-17 and its production in the
splenocytes, but ST28 markedly suppressed both. Meanwhile, ST28 increased the
mRNA expression of interferon (IFN)-gamma as well as its production. Anti-IFN
gamma completely cancelled the suppressive effect of ST28 on IL-17 production.
From these data, it was concluded that IFN-gamma induced by ST28 had an important
role on the effect. A genomic DNA (10 ug/ml) from ST28 effectively suppressed IL
17 production, probably via the Toll-like receptor 9. Therefore, modulation of
Th1/Th17 balance would be one of the mechanisms under which S. thermophilus ST28
exerts an anti-inflammatory effect.
PMID- 21887476
TI - Inhibition of experimental auto-immune uveitis by the A3 adenosine receptor
agonist CF101.
AB - Uveitis is an inflammation of the middle layer of the eye with a high risk of
blindness. The Gi protein associated A3 adenosine receptor (A3AR) is highly
expressed in inflammatory cells whereas low expression is found in normal cells.
CF101 is a highly specific agonist at the A3AR known to induce a robust anti
inflammatory effect in different experimental animal models. The CF101 mechanism
of action entails down-regulation of the NF-kappaB-TNF-alpha signaling pathway,
resulting in inhibition of pro-inflammatory cytokine production and apoptosis of
inflammatory cells. In this study the effect of CF101 on the development of
retinal antigen interphotoreceptor retinoid-binding protein (IRBP)-induced
experimental autoimmune uveitis (EAU) was assessed. Oral treatment with CF101 (10
ug/kg, twice daily), initiated upon disease onset, improved uveitis clinical
score measured by fundoscopy and ameliorated the pathological manifestations of
the disease. Shortly after treatment with CF101 A3AR expression levels were down
regulated in the lymph node and spleen cells pointing towards receptor
activation. Downstream events included a decrease in PI3K and STAT-1 and
proliferation inhibition of IRPB auto-reactive T cells ex vivo. Inhibition of
interleukin-2, tumor necrosis factor-alpha (TNF-alpha) and interferon-gamma (IFN
gamma) production and up-regulation of interleukin-10 was found in cultured
splenocytes derived from CF101-treated animals. Overall, the present study data
point towards a marked anti-inflammatory effect of CF101 in EAU and support
further exploration of this small molecule drug for the treatment of uveitis.
PMID- 21887478
TI - Information theoretic perspective on coastal water-quality monitoring and
management near an offshore industrial park.
AB - A semi-continuous water-quality monitoring system was installed in Yunlin
Offshore Industrial Park (YOIP), the largest industrial park in Taiwan, in 2007
to provide real-time water-quality information such as pH, water depth, dissolved
oxygen, temperature, turbidity, conductivity, and chlorophyll. To interpret the
large quantities of high-frequency data generated by this system, information
theory was applied for data analysis and extraction of useful information for
further coastal water-quality management. Information theory is a branch of
applied mathematics that involves the quantification of information. Shannon
entropy is a key measure of information that was calculated in this study to
reveal the inherent uncertainty of water-quality information. The applicability
of Shannon entropy for signaling possible coastal pollution events in the YOIP
was explored and results showed that it provides new insight into the inherent
uncertainty or randomness of the original data. Specially, when Shannon entropy
was high, multiple instable readings were observed for turbidity and salinity.
This indicates that Shannon entropy may be a useful new tool for exploratory data
analysis. It can be used as a supplementary indicator along with the original
environmental data to signify some episodes of water-quality degradation.
PMID- 21887479
TI - Mapping forest composition from the Canadian National Forest Inventory and land
cover classification maps.
AB - Canada's National Forest Inventory (CanFI) provides coarse-grained, aggregated
information on a large number of forest attributes. Though reasonably well suited
for summary reporting on national forest resources, the coarse spatial nature of
this data limits its usefulness in modeling applications that require information
on forest composition at finer spatial resolutions. An alternative source of
information is the land cover classification produced by the Canadian Forest
Service as part of its Earth Observation for Sustainable Development of Forests
(EOSD) initiative. This product, which is derived from Landsat satellite imagery,
provides relatively high resolution coverage, but only very general information
on forest composition (such as conifer, mixedwood, and deciduous). Here we link
the CanFI and EOSD products using a spatial randomization technique to distribute
the forest composition information in CanFI to the forest cover classes in EOSD.
The resultant geospatial coverages provide randomized predictions of forest
composition, which incorporate the fine-scale spatial detail of the EOSD product
and agree in general terms with the species composition summaries from the
original CanFI estimates. We describe the approach and provide illustrative
results for selected major commercial tree species in Canada.
PMID- 21887480
TI - Association between biotic and abiotic parameters and the occurrence of
cyanobacteria in a Brazilian reservoir.
AB - The water reservoir of Vargem das Flores, Brazil, has been subject to
eutrophication problems. The occurrence of cyanobacterial blooms in this water
body has raised concerns regarding the presence of toxic metabolites. In order to
understand the factors that promote the proliferation and distribution of
cyanobacteria in the reservoir, physicochemical parameters, species composition,
and toxicity of the reservoir water column were analyzed at monthly intervals
over a 3-year period (2004-2007) using a range of analytical techniques. The
results showed that cyanobacteria were absent in the dry period, returning after
the onset of stratification. A succession pattern was observed within this group.
The Aphanocapsa genus was dominant in the last rainy period of the study (October
2006 to March 2007). However toxicity was only detected when the genera
Microcystis and Sphaerocavum were present (October 2005). The principal
components analysis showed negative correlation between cyanobacteria and
orthophosphate. The change of dominant cyanobacteria along the 3 years of study
and the final prevalence of nontoxic genera in the reservoir is an evidence of
water quality improvement in response to the management techniques implemented in
the reservoir basin.
PMID- 21887481
TI - Environmental impact assessment (EIA): an overlooked instrument for sustainable
development in Pakistan.
AB - Environmental impact assessment (EIA) is a policy tool used for evaluating a
project proposal from physical and socioeconomic environmental perspectives. Its
aim is to reduce the impact of development on environment, hence, ensuring
environmental sustainability. It is mandatory to submit an Environmental Impact
Statement before starting a mega project as required by Environmental Protection
Act of 1997 and Environmental Policy of Pakistan. Public consultation plays a key
role in an EIA system, identifying the likely aspects and impacts of a
development activity. This aspect has been ignored in effective enactment of
environmental legislation in Pakistan. Sufficient legislative instruments are
there to support EIA system in the country but the agencies responsible for the
enforcement of environmental regulations have failed to do so. The current
research gives an insight into the actual status of EIA system in Pakistan along
with the feedback of EIA specialists and university teachers of the concerned
departments. A new index has been devised on the basis of questionnaire response
to work out the overall performance of EIA system in Pakistan or any other
country. The weaknesses and deficiencies of each EIA stage have been worked out
for Pakistan and elaborated with the help of the controversial Zero point
Interchange Project in the capital city of Pakistan.
PMID- 21887482
TI - Normal mediastinal and hilar lymph nodes in children on multi-detector row chest
computed tomography.
AB - OBJECTIVE: To study normal mediastinal and hilar lymph nodes on multi-detector
chest computed tomography (CT). METHODS: A cohort of 120 children aged 1-17 years
underwent emergency CT, including the chest, after high-energy trauma. Axial 5-mm
reconstructions were evaluated for lymph nodes at hilar and various mediastinal
levels and the short-axis diameters were measured. RESULTS: At least one lymph
node was found in 115 (96%) children, with subcarinal (69%), lower paratracheal
(64%) and hilar (60%) nodes being most common. Up to 10 years of age most lymph
nodes were smaller than or equal to 7 mm. In older children lymph nodes measuring
up to 10-mm-short-axis diameter were found. Lymph nodes were rare along the
mammary vessels, at lower oesophageal and at prevascular and posterior
mediastinal levels in children. CONCLUSION: Mediastinal and hilar lymph nodes are
more common than previously thought, probably because of increased detection by
modern multi-detector CT. Lymph node location and age have to be taken into
account when evaluating lymph nodes in the paediatric chest. Key Points * The
size and location of normal lymph nodes is of great diagnostic importance * There
is little relevant published information related to pediatric chest computed
tomography * We provide normative data concerning mediastinal and hilar lymph
nodes in children * These normal values can help identify abnormal lymph nodes in
children.
PMID- 21887483
TI - Diagnostic accuracy of contrast-enhanced MR angiography and unenhanced proton MR
imaging compared with CT pulmonary angiography in chronic thromboembolic
pulmonary hypertension.
AB - OBJECTIVE: To evaluate the diagnostic accuracy of contrast-enhanced MR
angiography (CE-MRA) and the added benefit of unenhanced proton MR angiography
compared with CT pulmonary angiography (CTPA) in patients with chronic
thromboembolic disease (CTE). METHODS: A 2 year retrospective study of 53
patients with chronic thromboembolic pulmonary hypertension who underwent CTPA
and MRI for suspected pulmonary hypertension and a control group of 36 patients
with no CT evidence of pulmonary embolism. The MRI was evaluated for CTE and the
combined diagnostic accuracy of ce-MRA and unenhanced proton MRA was determined.
CE-MRA generated lung perfusion maps were also assessed. RESULTS: The overall
sensitivity and specificity of CE-MRA in diagnosing proximal and distal CTE were
98% and 94%, respectively. The sensitivity improved from 50% to 88% for central
vessel disease when CE-MRA images were analysed with unenhanced proton MRA. The
CE-MRA identified more stenoses (29/18), post-stenosis dilatation (23/7) and
occlusions (37/29) compared with CTPA. The CE-MRA perfusion images showed a
sensitivity of 92% for diagnosing CTE. CONCLUSION: CE-MRA has high sensitivity
and specificity for diagnosing CTE. The sensitivity of CE-MRA for visualisation
of adherent central and lobar thrombus significantly improves with the addition
of unenhanced proton MRA which delineates the vessel wall.
PMID- 21887484
TI - Diagnosis of bone metastases: a meta-analysis comparing 18FDG PET, CT, MRI and
bone scintigraphy.
AB - OBJECTIVE: To perform a meta-analysis to compare (18)FDG PET, CT, MRI and bone
scintigraphy (BS) for the diagnosis of bone metastases. METHODS: Databases
including MEDLINE and EMBASE were searched for relevant original articles
published from January 1995 to January 2010. Software was used to obtain pooled
estimates of sensitivity, specificity and summary receiver operating
characteristic curves (SROC). RESULTS: 67 articles consisting of 145 studies
fulfilled all inclusion criteria. On per-patient basis, the pooled sensitivity
estimates for PET, CT, MRI and BS were 89.7%, 72.9%, 90.6% and 86.0%
respectively. PET=MRI>BS>CT. ("="indicated no significant difference, P > 0.05;
">" indicated significantly higher, P < 0.05). The pooled specificity estimates
for PET, CT, MRI and BS were 96.8%, 94.8%, 95.4% and 81.4% respectively. PET = CT
= MRI>BS. On per-lesion basis, the pooled sensitivity estimates for PET, CT, MRI
and BS were 86.9%, 77.1%, 90.4% and 75.1% respectively. PET = MRI>BS>CT. The
pooled specificity estimates for PET, CT, MRI and BS were 97.0%, 83.2%, 96.0% and
93.6% respectively. PET>MRI>BS>CT. CONCLUSION: PET and MRI were found to be
comparable and both significantly more accurate than CT and BS for the diagnosis
of bone metastases.
PMID- 21887485
TI - Dabigatran: review of pharmacology and management of bleeding complications of
this novel oral anticoagulant.
AB - Dabigatran (Pradaxa) is a competitive direct thrombin inhibitor approved by the
US FDA for prevention of embolic stroke in patients with nonvalvular atrial
fibrillation. Dabigatran has a pharmacokinetic profile that produces predictable
anticoagulation responses, does not undergo CYP 450 metabolism, has few drug-drug
and drug-food interactions, and does not require frequent laboratory monitoring
of clotting parameters. Clinicians are rapidly prescribing this agent as a
replacement for warfarin therapy. However, no therapeutic agent has been accepted
to reliably reverse the hemorrhagic complications of dabigatran. As of yet, there
is no solid evidence to guide management of bleeding complications; management
should start with local control of bleeding when possible and transfusion of
pRBCs if needed. Transfusion of FFP would not be expected to help control
bleeding. Limited and mixed data exist for transfusion of factor VIIa and
prothrombin complex concentrates; these therapies should be considered as well as
dialysis, which will increase elimination in patients with life-threatening or
closed-space bleeding due to dabigatran. We present an article that reviews the
pharmacokinetics, clinical trial literature, and consensus guidelines regarding
this novel oral anticoagulant.
PMID- 21887487
TI - Estimation of BVAS in patients with microscopic polyangiitis in Japan.
AB - The validity of the Birmingham Vasculitis Activity Score (BVAS) as an index of
disease activity and a predictor of the prognosis and outcome in patients with
MPA has not yet been established in Japan. We conducted a retrospective study of
the data of 73 patients with MPA who were followed up for at least 2 years. We
divided the patients into two groups according to the BVAS, namely, the high-BVAS
group (>=16) and the low-BVAS group (<16), and compared the clinical
characteristics. In addition, the distribution of the BVAS items in the patients
and the items contributing to the total score in MPA patients were analyzed.
Remission was achieved in 85% of patients at 1 month. There were no significant
differences in the serum CRP, creatinine (Cre), or MPO-ANCA between the high- and
low-BVAS group; however, the survival time was significantly shorter (p = 0.048)
and the mortality rate significantly higher in the high-BVAS group (p = 0.04).
The items of the BVAS contributing to the total score were motor neuropathy,
sensory neuropathy, pulmonary infiltrate, hematuria, proteinuria, Cre >=5.6
mg/dL, hypertension, scleritis, rise in Cre by >=30%, and myalgia. BVAS was found
to be a useful tool for determining the disease activity and outcome in patients
with MPA in Japan. The initial BVAS was also predictive of the mortality and
survival time and can also be used as a prognostic tool; therefore, use of the
tool may facilitate the selection of appropriate treatment.
PMID- 21887486
TI - BRCA1-mediated signaling pathways in ovarian carcinogenesis.
AB - The link between loss or defect in functional BRCA1 and predisposition for
development of ovarian and breast cancer is well established. Germ-line mutations
in BRCA1 are responsible for both hereditary breast and ovarian cancer, which is
around 5-10% for all breast and 10-15% of all ovarian cancer cases. However,
majority of cases of ovarian cancer are sporadic in nature. The inactivation of
cellular BRCA1 due to mutations or loss of heterozygosity is one of the most
commonly observed events in such cases. Complement-resistant retroviral BRCA1
vector, MFG-BRCA1, is the only approved gene therapy for ovarian cancer patients
by the Federal and Drug Administration. Given the limited available information,
there is a need to evaluate the effects of BRCA1 on the global gene expression
pattern for better understanding the etiology of the disease. Here, we use
Ingenuity Pathway Knowledge Base to examine the differential pattern of global
gene expression due to stable expression of BRCA1 in the ovarian cancer cell
line, SKOV3. The functional analysis detected at least five major pathways that
were significantly (p < 0.05) altered. These include: cell to cell signaling and
interaction, cellular function and maintenance, cellular growth and
proliferation, cell cycle and DNA replication, and recombination repair. In
addition, we were able to detect several biologically relevant genes that are
central for various signaling networks involved in cellular homeostasis; TGF
beta1, TP53, c-MYC, NF-kappaB and TNF-alpha. This report provides a comprehensive
rationale for tumor suppressor function(s) of BRCA1 in ovarian carcinogenesis.
PMID- 21887488
TI - "Beating osteoARThritis": development of a stepped care strategy to optimize
utilization and timing of non-surgical treatment modalities for patients with hip
or knee osteoarthritis.
AB - Inadequacies in health care practices have been reported despite existing
guidelines to manage hip or knee osteoarthritis. To facilitate guideline
implementation and improve utilization of non-surgical treatment options a care
strategy should be developed. This study describes the development of an evidence
based, multidisciplinary, patient-centered, stepped care strategy. A national,
multidisciplinary, steering group developed the strategy in three phases: (1)
consensus among steering group members (first draft); (2) written consultation of
23 representatives of patient organizations and professional associations
involved in osteoarthritis care (second draft); (3) consensus of the final draft
after discussion in two rounds during a conference with representatives from the
different disciplines. The final stepped care strategy presents, in three tiers,
the optimal order for non-surgical treatment modalities. It recommends that more
advanced options should only be considered if options listed in previous steps
failed to produce satisfactory results. Hence, the first step treatment options
can be offered to all patients but may also be provided through self care
(education, life style advice, and acetaminophen). The second step (exercise
therapy, dietary therapy, and non-steroidal anti-inflammatory drugs) and third
step treatment options (multidisciplinary care, intra-articular injections, and
transcutaneous electrical nerve stimulation) can be considered for people with
persisting complaints. Trough a consensus procedure, we succeeded to develop a
multidisciplinary, patient-centered, stepped care strategy based on national
guidelines. This strategy provides a framework for health care providers and
patients with hip or knee osteoarthritis to discuss the optimal timing of the
various treatment options.
PMID- 21887489
TI - The relationship between physical activity level, anxiety, depression, and
functional ability in children and adolescents with juvenile idiopathic
arthritis.
AB - The aim of this study was to assess the relationships between physical activity
level and anxiety, depression, and functional ability in children and adolescents
with juvenile idiopathic arthritis (JIA). Cross-sectional study design including
patients with JIA aged between 8 and 17 years and healthy controls was used.
Sociodemographic data and clinical features were assessed. Physical activity
level and energy expenditure were assessed with a 1-day activity diary. Anxiety
was screened by The Screen for Child Anxiety Related Emotional Disorders (SCARED)
questionnaire. Depressive symptoms were assessed by the Children's Depression
Inventory (CDI). Functional ability was assessed with the Childhood Health
Assessment Questionnaire (CHAQ). Pain and overall well-being were measured using
a visual analog scale (VAS). Fifty-two patients and 48 controls were included
with a mean age of 12.13 +/- 2.92 and 11.27 +/- 1.59 years, respectively. The
mean disease duration was 64 months. The JIA group had significantly less time in
physical activity (p = 0.000), decrease in energy expenditure (p = 0.04), and
higher CHAQ scores (p = 0.000) compared with the control group. In the JIA group,
significant relationships were found between the number of active joint and
disease duration (r = 0.44, p = 0.000) and VAS pain (r = 0.30, p = 0.02), between
SCARED and CDI (r = 0.54, p = 0.000). Significant relationships were found
between VAS overall well-being and CDI (r = 0.29, p = 0.03), CHAQ (r = 0.37, p =
0.000), and VAS pain (r = 0.41, p = 0.000). Correlation between CHAQ and CDI (r =
0.34, p = 0.01) was significant. The result of our study suggested that only
depression was related to anxiety, functional ability, and well-being in children
and adolescents with JIA.
PMID- 21887491
TI - P2X receptor homologs in basal fungi.
PMID- 21887490
TI - Rheumatoid arthritis in Burkina Faso: clinical and serological profiles.
AB - The objective of this work was to study the clinical and serological profiles of
rheumatoid arthritis in Burkina Faso (West Africa). It is a cross-sectional study
conducted from March 2006 to February 2009 in the Internal Medicine Department of
the University Hospital Yalgado Ouedraogo. All patients seen in the rheumatologic
consultation unit during this period, with rheumatoid arthritis fulfilling the
ACR criteria, were routinely selected. The determination of anticyclic
citrullinated peptide antibodies (ACPA) was carried out with a computerized
method (Elia CCP, Phadia AB, Uppsala, Sweden). Values higher than 10 IU/l were
considered positive. Forty-eight cases of rheumatoid arthritis (RA) were
recruited throughout the study period among 2,194 (2.2 %) patients. Forty-two
files were subjected to the study. There were 34 women and 8 men. The average age
was 41.70 +/- 13 years with extremes of 22 and 71 years. The average duration of
the disease was 86.17 +/- 82.01 months with extremes of 8 and 360 months.
Rheumatoid factors (RF) were positive in 21 out of 30 patients (70.0%). The
determination of ACPA carried out in all the patients was positive in 34 (81%)
patients; their average value was 217 IU/l with extremes of 38 and 1,170. RF and
ACPA were associated to bones erosions (p = 0.0001). Twenty-two patients were
placed on methotrexate, eight on hydroxychloroquine, and three on salazopyrine.
Nine were given only NSAIDs or prednisolone. No patients had had a biotherapy
agent. The frequency of RA was low in our study compared to other African studies
published so far. The particularity of RA cases reported in African series,
including ours, is the rarity of extra-articular manifestations of the disease.
The severity of the disease at presentation in the rheumatology clinic may be due
to their late consultation among other causes.
PMID- 21887493
TI - Multifocal meningeal melanocytoma of the conus medullaris.
PMID- 21887494
TI - Hemifacial spasm can be the presenting symptom of a fourth ventricle tumour. A
short case-illustrated review and pathogenetic considerations.
AB - In this short case-illustrated review we aimed to analyse the possible nuances of
hemifacial spasm (HFS) as the presenting symptom of a tumour of the fourth
ventricle. The issue is remarkable since HFS can be secondary to a fourth
ventricle tumour, even when no other neurological signs are reported. In
addition, the possible presentation with only upper facial muscle involvement, as
in the presented case, can be deceitful because this is characteristic of the
benign and much more frequent "typical" form. Based on our intra-operative data
and on the previously reported cases, we think that pathogenesis could be
referable to the facial nerve nucleus involvement and that clinical nuances could
be related to the specific somatotropy of the nucleus under the fourth ventricle
floor that, as in our case, can be infiltrated by tumour. Resolution of the
disorder can usually be obtained after the complete resection of the tumour that
in the reported case resulted a subependymoma (WHO grade I), so far never
described in literature associated with HFS.
PMID- 21887492
TI - Interaction of purinergic receptors with GPCRs, ion channels, tyrosine kinase and
steroid hormone receptors orchestrates cell function.
AB - Extracellular purines and pyrimidines have emerged as key regulators of a wide
range of physiological and pathophysiological cellular processes acting through
P1 and P2 cell surface receptors. Increasing evidence suggests that purinergic
receptors can interact with and/or modulate the activity of other classes of
receptors and ion channels. This review will focus on the interactions of
purinergic receptors with other GPCRs, ion channels, receptor tyrosine kinases,
and steroid hormone receptors. Also, the signal transduction pathways regulated
by these complexes and their new functional properties are discussed.
PMID- 21887495
TI - Pregabalin reduces alcohol drinking and relapse to alcohol seeking in the rat.
AB - RATIONALE: Pregabalin (LyricaTM) is a structural analogue of gamma-aminobutyric
acid (GABA) approved by FDA for partial epilepsy, neuropathic pain and recently
generalized anxiety disorder. While the exact cellular mechanism of action of
pregabalin is still unclear, evidence from several studies suggests that it
reduces excitatory neurotransmitter release and postsynaptic excitability.
OBJECTIVES: Based on these mechanisms we sought interesting to evaluate the
effect of pregabalin on alcohol-abuse-related behaviours. MATERIALS AND METHODS:
For this purpose, using genetically selected alcohol-preferring Marchigian
Sardinian (msP) rats, we evaluated the effect of pregabalin on alcohol drinking
and relapse to alcohol seeking elicited by environmental conditioning factors or
stress. RESULTS: Our results showed that treatment with pregabalin (0, 10, 30 and
60 mg/kg) given orally selectively reduced home cage alcohol drinking in msP rat.
This effect was confirmed in self-administration experiments where pregabalin (0,
10 and 30 mg/kg) significantly reduced operant responding for alcohol but not for
food. Using alcohol reinstatement models we also found that pregabalin (0, 10 and
30 mg/kg) abolished seeking behaviour elicited by the pharmacological stressor
yohimbine as well as cues predictive of alcohol availability. CONCLUSIONS:
Results demonstrate that pregabalin may have potential in the treatment of
alcohol addiction.
PMID- 21887496
TI - Environmental-induced differences in corticosterone and glucocorticoid receptor
blockade of amphetamine self-administration in rats.
AB - RATIONALE: Rats raised in isolation self-administer more amphetamine than rats
raised in enrichment. OBJECTIVE: This study examined whether differential rearing
alters basal and amphetamine-stimulated corticosterone and whether blocking
glucocorticoid receptors alters amphetamine self-administration in differentially
reared rats. METHODS: The rats were raised from 21 to 51 days of age in either an
enriched condition (EC), social condition (SC), or isolated condition (IC).
Following the repeated collection of basal blood samples, the rats were
administered amphetamine (0.5 or 2.0 mg/kg, i.p.) or saline, and blood samples
were collected again. In another experiment, EC and IC rats were trained to i.v.
self-administer amphetamine (0.003 or 0.03 mg/kg/infusion) and then were
pretreated with the glucocorticoid receptor antagonist RU-486 (5, 10, or 20
mg/kg; i.p.) or vehicle prior to the session. RESULTS: Basal-free corticosterone
levels were ~4 times higher in IC rats than in either EC or SC rats with the
first blood collection, but not with repeated collections. IC rats showed a more
rapid amphetamine-induced increase in corticosterone levels than EC and SC rats.
RU-486 pretreatment decreased amphetamine self-administration dose-dependently in
both EC and IC rats; however, using an amphetamine unit dose of 0.03
mg/kg/infusion, the effect of RU-486 was blunted in IC rats (maximal decrease of
~40% in IC and ~90% in EC), suggesting an environment-induced difference in the
role of glucocorticoid receptors in stimulant reinforcement. CONCLUSION: The
increase in stimulant self-administration produced by social isolation may
involve enhanced reactivity of the hypothalamo-pituitary-adrenal stress axis.
PMID- 21887497
TI - Attenuation of cocaine-induced conditioned locomotion is associated with altered
expression of hippocampal glutamate receptors in mice lacking LPA1 receptors.
AB - RATIONALE: Lysophosphatidic acid is a phospholipid mediator that modulates
neurodevelopment and neurogenesis in the hippocampus through its actions on LPA1
receptors. Emerging evidences support LPA(1) as a mediator of learning and
emotional behaviour. There are no studies addressing its role on behaviours
associated to drug abuse. OBJECTIVES: We examined whether genetic deletion of
LPA1 receptor in maLPA(1)-null mice affected either cocaine-induced conditioned
locomotion (CL) or behavioural sensitization (BS) induced by repeated cocaine
exposure. We also analysed whether cocaine induced changes in the expression of
functional markers of both dopamine- and glutamate-related genes in the striatum
and the dorsal hippocampus. METHODS: We monitored cocaine-induced CL and BS in
both genotypes of mice. Striatal dopamine and hippocampal glutamate-related genes
were measured by real-time quantitative PCR, Western blot, and
immunohistochemistry. RESULTS: maLPA(1)-null mice exhibit an attenuated CL
response after cocaine conditioning but a normal BS after repeated cocaine
exposure. These behavioural changes were associated to alterations on the
expression of metabotropic mGLUR3 glutamate receptors and on the actions of
cocaine on the GLUR1 subunit of AMPA glutamate receptors in the hippocampus of
maLPA(1) animals. Striatal dopaminergic markers (tyrosine hydroxylase, dopamine
D1 receptor, and dopamine transporter DAT), were similar in both genotypes and
were equally affected by cocaine exposure. CONCLUSION: The present results
indicate that the lack of LPA1 receptor affect cocaine-induced conditioned
locomotion but not behavioural sensitization. The findings suggest that LPA1
receptor may be necessary for a normal associative contextual learning associated
to cocaine, probably through the modulation of hippocampal glutamatergic
circuits.
PMID- 21887498
TI - Unidirectional relationship between heroin self-administration and impulsive
decision-making in rats.
AB - RATIONALE: There is growing clinical evidence for a strong relationship between
drug addiction and impulsivity. However, it is not fully clear whether
impulsivity is a pre-existing trait or a consequence of drug abuse. Recent
observations in the animal models show that pre-existing levels of impulsivity
predict cocaine and nicotine seeking. Whether such relationships also exist with
respect to non-stimulant drugs is largely unknown. OBJECTIVE: We studied the
relationship between impulsive choice and vulnerability to heroin taking and
seeking. MATERIALS AND METHODS: Rats were selected in the delayed reward task
based on individual differences in impulsive choice. Subsequently, heroin
intravenous self-administration behaviour was analysed, including acquisition of
heroin intake, motivation, extinction and drug- and cue-induced reinstatement.
Throughout the entire experiment, changes in impulsive choice were monitored
weekly. RESULTS AND DISCUSSION: High impulsivity did not predict measures of
heroin taking. Moreover, high impulsive rats did not differ from low impulsive
rats in extinction rates or heroin- and cue-induced reinstatement. However, both
groups became more impulsive as heroin self-administration continued. During
abstinence, impulsivity levels returned towards baseline (pre-heroin) levels. Our
results indicate that, in contrast to psychostimulants, impulsive choice does not
predict vulnerability to heroin seeking and taking. CONCLUSION: These data
implicate that different neural mechanisms may underlie the vulnerability to
opiate and psychostimulant dependence. Moreover, our data suggest that elevated
impulsivity levels as observed in heroin-dependent subjects are a consequence of
heroin intake rather than a pre-existing vulnerability trait.
PMID- 21887499
TI - A model for complex sequence learning and reproduction in neural populations.
AB - Temporal patterns of activity which repeat above chance level in the brains of
vertebrates and in the mammalian neocortex have been reported experimentally.
This temporal structure is thought to subserve functions such as movement,
speech, and generation of rhythms. Several studies aim to explain how particular
sequences of activity are learned, stored, and reproduced. The learning of
sequences is usually conceived as the creation of an excitation pathway within a
homogeneous neuronal population, but models embodying the autonomous function of
such a learning mechanism are fraught with concerns about stability, robustness,
and biological plausibility. We present two related computational models capable
of learning and reproducing sequences which come from external stimuli. Both
models assume that there exist populations of densely interconnected excitatory
neurons, and that plasticity can occur at the population level. The first model
uses temporally asymmetric Hebbian plasticity to create excitation pathways
between populations in response to activation from an external source. The
transition of the activity from one population to the next is permitted by the
interplay of excitatory and inhibitory populations, which results in oscillatory
behavior that seems to agree with experimental findings in the mammalian
neocortex. The second model contains two layers, each one like the network used
in the first model, with unidirectional excitatory connections from the first to
the second layer experiencing Hebbian plasticity. Input sequences presented in
the second layer become associated with the ongoing first layer activity, so that
this activity can later elicit the the presented sequence in the absence of
input. We explore the dynamics of these models, and discuss their potential
implications, particularly to working memory, oscillations, and rhythm
generation.
PMID- 21887500
TI - A phase IIa randomized controlled pilot study evaluating the safety and clinical
outcomes following the use of rhGDF-5/beta-TCP in regenerative periodontal
therapy.
AB - To present the safety profile, the early healing phase and the clinical outcomes
at 24 weeks following treatment of human intrabony defects with open flap
debridement (OFD) alone or with OFD and rhGDF-5 adsorbed onto a particulate beta
tricalcium phosphate (beta-TCP) carrier. Twenty chronic periodontitis patients,
each with at least one tooth exhibiting a probing depth >=6 mm and an associated
intrabony defect >=4 mm entered the study. Ten subjects (one defect/patient) were
randomized to receive OFD alone (control) and ten subjects OFD combined with
rhGDF-5/beta-TCP. Blood samples were collected at screening, and at weeks 2 and
24 to evaluate routine hematology and clinical chemistry, rhGDF-5 plasma levels,
and antirhGDF-5 antibody formation. Plaque and gingival indices, bleeding on
probing, probing depth, clinical attachment level, and radiographs were recorded
pre- and 24 weeks postsurgery. Comparable safety profiles were found in the two
treatment groups. Neither antirhGDF-5 antibody formation nor relevant rhGDF-5
plasma levels were detected in any patient. At 6 months, treatment with OFD +
rhGDF-5/beta-TCP resulted in higher but statistically not significant PD
reduction (3.7 +/- 1.2 vs. 3.1 +/- 1.8 mm; p = 0.26) and CAL gain (3.2 +/- 1.7
vs. 1.7 +/- 2.2 mm; p = 0.14) compared to OFD alone. In the tested concentration,
the use of rhGDF-5/beta-TCP appeared to be safe and the material possesses a
sound biological rationale. Thus, further adequately powered, randomized
controlled clinical trials are warranted to confirm the clinical relevance of
this new approach in regenerative periodontal therapy. rhGDF-5/beta-TCP may
represent a promising new techology in regenerative periodontal therapy.
PMID- 21887501
TI - Eribulin mesylate in patients with refractory cancers: a Phase I study.
AB - Eribulin mesylate (HalavenTM, E7389) is a synthetic analog of the marine natural
product halichondrin B that acts via a mechanism distinct from conventional
tubulin-targeted agents. This Phase I study (clinicaltrials.gov identifier:
NCT00326950) was the first to investigate eribulin mesylate in Japanese patients.
The study determined the recommended dose, MTD, DLTs, safety, pharmacokinetics,
and antitumor activity of eribulin administered on Days 1 and 8 of a 21-day cycle
in Japanese patients with advanced solid tumors. Fifteen patients received
eribulin mesylate 0.7-2.0 mg/m(2) as a 2- to 10-min intravenous injection.
Neutropenia was the principal DLT. DLTs were observed in two of six patients
treated at 1.4 mg/m(2), and in all three patients at 2.0 mg/m(2). The recommended
dose was 1.4 mg/m(2) and the MTD was 2.0 mg/m(2). Neutropenia (67%),
lymphocytopenia (20%), febrile neutropenia (33%), and fatigue (13%) were the most
common grade 3 or 4 toxicities. Eribulin exhibited triphasic pharmacokinetics
with a long terminal half-life, high volume of distribution, and low urinary
clearance. Three patients achieved partial responses (two with NSCLC, one with
head and neck cancer) at 1.4 mg/m(2) dose level. Eribulin mesylate, administered
on Days 1 and 8 of a 21-day cycle, exhibits manageable tolerability at 1.4
mg/m(2). DLT was neutropenia.
PMID- 21887502
TI - The cytotoxic activity of Aplidin in chronic lymphocytic leukemia (CLL) is
mediated by a direct effect on leukemic cells and an indirect effect on monocyte
derived cells.
AB - Aplidin is a novel cyclic depsipeptide, currently in Phase II/III clinical trials
for solid and hematologic malignancies. The aim of this study was to evaluate the
effect of Aplidin in chronic lymphocytic leukemia (CLL), the most common leukemia
in the adult. Although there have been considerable advances in the treatment of
CLL over the last decade, drug resistance and immunosuppression limit the use of
current therapy and warrant the development of novel agents. Here we report that
Aplidin induced a dose- and time-dependent cytotoxicity on peripheral blood
mononuclear cells (PBMC) from CLL patients. Interestingly, Aplidin effect was
markedly higher on monocytes compared to T lymphocytes, NK cells or the malignant
B-cell clone. Hence, we next evaluated Aplidin activity on nurse-like cells (NLC)
which represent a cell subset differentiated from monocytes that favors leukemic
cell progression through pro-survival signals. NLC were highly sensitive to
Aplidin and, more importantly, their death indirectly decreased neoplasic clone
viability. The mechanisms of Aplidin-induced cell death in monocytic cells
involved activation of caspase-3 and subsequent PARP fragmentation, indicative of
death via apoptosis. Aplidin also showed synergistic activity when combined with
fludarabine or cyclophosphamide. Taken together, our results show that Aplidin
affects the viability of leukemic cells in two different ways: inducing a direct
effect on the malignant B-CLL clone; and indirectly, by modifying the
microenvironment that allows tumor growth.
PMID- 21887503
TI - Bone targeted therapies in early breast cancer.
AB - OPINION STATEMENT: Bisphosphonates have emerged as an important tool in the
supportive care of women with early breast cancer. Whereas traditionally, these
drugs have been part of the treatment of osseous metastasis, the key role of
bisphosphonates in preserving bone health in patients with early breast cancer
cannot be overemphasised. Currently the most established use of bisphosphonates
in early breast cancer patients is in women receiving hormonal blockade, mostly
aromatase inhibitors (AI), with concomitant osteopenia. To that end, it is
recommended that every woman undergo a Dual Energy X-Ray absorptiometry (DEXA)
scan before commencement of an AI and annually during the treatment duration. In
addition, unless contraindicated, all women should receive calcium and Vitamin D
supplementation. The use of bisphosphonates as part of the adjuvant therapy
strategy, regardless of baseline bone density condition, has produced thought
provoking results, although this is not yet considered standard clinical
practise.
PMID- 21887504
TI - Time for endothelial cell proprotein convertase PC5/6 in cardiovascular medicine?
PMID- 21887505
TI - Involvement of TNFalpha-induced TLR4-NF-kappaB and TLR4-HIF-1alpha feed-forward
loops in the regulation of inflammatory responses in glioma.
AB - The precise role of different toll-like receptor (TLR) superfamily members is
just beginning to get elucidated in glioblastoma multiforme (GBM). In this study,
we observed heightened TLR4 levels in GBM tumor samples as compared to adjacent
normal tissue. Since the pro-inflammatory cytokine tumor necrosis factor
(TNF)alpha induces NF-kappaB activation in GBM, and as several common signaling
mediators are involved in TNFalpha and TLR4-mediated NF-kappaB activation, we
investigated the role of TLR4 in the regulation of NF-kappaB activation and
inflammatory responses in TNFalpha-treated glioma cells. TNFalpha elevated TLR4
expression and inhibition of TLR4 signaling by either signaling inhibitor,
neutralizing antibody, or small interfering RNA (siRNA)-attenuated TNFalpha
induced NF-kappaB activation. TLR4-mediated NF-kappaB activation was independent
of canonical myeloid differentiation factor 88 signaling but involved toll/IL-1R
homology domain-containing adaptor protein-inducing interferon-beta. Inhibition
of TLR4 signaling abrogated TNFalpha-induced increase in (1) transcription
factors interferon (IFN) regulatory factor 3 and STAT-1 and (2) IFNbeta and
inflammatory cytokines/chemokines expression. Furthermore, TNFalpha-induced TLR4
dependent increase in AKT activation and HIF-1alpha transcriptional activation
suggested the existence of TLR4-AKT-HIF-1alpha axis. Importantly, TNFalpha
induced TLR4 was abrogated in cells transfected with dominant negative IkappaB
and HIF-1alpha siRNA. Our studies indicate that TNFalpha triggered TLR4-HIF
1alpha and NF-kappaB-TLR4 feed-forward loops act in tandem to sustain
inflammatory response in glioma.
PMID- 21887506
TI - Combined strategy for fertility preservation in an oncologic patient:
vitrification of in vitro matured oocytes and ovarian tissue freezing.
PMID- 21887507
TI - Characteristic features and biotechnological applications of cross-linked enzyme
aggregates (CLEAs).
AB - Cross-linked enzyme aggregates (CLEAs) have many economic and environmental
benefits in the context of industrial biocatalysis. They are easily prepared from
crude enzyme extracts, and the costs of (often expensive) carriers are
circumvented. They generally exhibit improved storage and operational stability
towards denaturation by heat, organic solvents, and autoproteolysis and are
stable towards leaching in aqueous media. Furthermore, they have high catalyst
productivities (kilograms product per kilogram biocatalyst) and are easy to
recover and recycle. Yet another advantage derives from the possibility to co
immobilize two or more enzymes to provide CLEAs that are capable of catalyzing
multiple biotransformations, independently or in sequence as catalytic cascade
processes.
PMID- 21887508
TI - Site-specific genome integration in alphaproteobacteria mediated by TG1
integrase.
AB - The serine-type phage integrase is an enzyme that catalyzes site-specific
recombination between two attachment sites of phage and host bacterial genomes
(attP and attB, respectively) having relatively short but distinct sequences
without host auxiliary factor(s). Previously, we have established in vivo and in
vitro site-specific recombination systems based on the serine-type integrase
produced by actinophage TG1 and determined the minimal sizes of attP(TG1) and
attB(TG1) sites required for the in vitro TG1 integrase reaction as 43- and 39
bp, respectively. Here, DNA databases were surveyed by FASTA program with the
authentic attB(TG1) sequence of Streptomyces avermitilis as a query. As a result,
possible attB(TG1) sequences were extracted from genomes of bacterial strains
belonging to Class Alphaproteobacteria in addition to those of Class
Actinobacteria. Those sequences extracted with a high similarity score and high
sequence identity (we took arbitrarily more than 80% identity) turned out to be
located within a conserved region of dapC or related genes encoding
aminotransferases and proved to be actually recognized as the cognate substrate
of attP(TG1) site by the in vitro TG1 integrase assay. Furthermore, the possible
attB(TG1) site of Rhodospirillum rubrum revealed to be used actually as a native
(endogenous) attachment site for the in vivo TG1-based integration system. These
features are distinct from other serine-type phage integrases and advantageous
for a tool of genome technology in varied industrially important bacteria
belonging to Class Alphaproteobacteria.
PMID- 21887509
TI - Resveratrol alters microRNA expression profiles in A549 human non-small cell lung
cancer cells.
AB - Resveratrol is a plant phenolic phytoalexin that has been reported to have
antitumor properties in several types of cancers. In particular, several studies
have suggested that resveratrol exerts antiproliferative effects against A549
human non-small cell lung cancer cells; however, its mechanism of action remains
incompletely understood. Deregulation of microRNAs (miRNAs), a class of small,
noncoding, regulatory RNA molecules involved in gene expression, is strongly
correlated with lung cancer. In this study, we demonstrated that resveratrol
treatment altered miRNA expression in A549 cells. Using microarray analysis, we
identified 71 miRNAs exhibiting greater than 2-fold expression changes in
resveratrol-treated cells relative to their expression levels in untreated cells.
Furthermore, we identified target genes related to apoptosis, cell cycle
regulation, cell proliferation, and differentiation using a miRNA target
prediction program. In conclusion, our data demonstrate that resveratrol induces
considerable changes in the miRNA expression profiles of A549 cells, suggesting a
novel approach for studying the anticancer mechanisms of resveratrol.
PMID- 21887510
TI - Auditory function in individuals within Leber's hereditary optic neuropathy
pedigrees.
AB - The aims of this study are to investigate whether auditory dysfunction is part of
the spectrum of neurological abnormalities associated with Leber's hereditary
optic neuropathy (LHON) and to determine the perceptual consequences of auditory
neuropathy (AN) in affected listeners. Forty-eight subjects confirmed by genetic
testing as having one of four mitochondrial mutations associated with LHON
(mt11778, mtDNA14484, mtDNA14482 and mtDNA3460) participated. Thirty-two of these
had lost vision, and 16 were asymptomatic at the point of data collection. While
the majority of individuals showed normal sound detection, >25% (of both
symptomatic and asymptomatic participants) showed electrophysiological evidence
of AN with either absent or severely delayed auditory brainstem potentials.
Abnormalities were observed for each of the mutations, but subjects with the
mtDNA11778 type were the most affected. Auditory perception was also abnormal in
both symptomatic and asymptomatic subjects, with >20% of cases showing impaired
detection of auditory temporal (timing) cues and >30% showing abnormal speech
perception both in quiet and in the presence of background noise. The findings of
this study indicate that a relatively high proportion of individuals with the
LHON genetic profile may suffer functional hearing difficulties due to neural
abnormality in the central auditory pathways.
PMID- 21887512
TI - A case of segmental zoster paresis with enhanced anterior and posterior spinal
roots on MRI.
PMID- 21887511
TI - Predictive value of neurological examination for early cortical responses to
somatosensory evoked potentials in patients with postanoxic coma.
AB - Bilateral absence of cortical N20 responses of median nerve somatosensory evoked
potentials (SEP) predicts poor neurological outcome in postanoxic coma after
cardiopulmonary resuscitation (CPR). Although SEP is easy to perform and
available in most hospitals, it is worthwhile to know how neurological signs are
associated with SEP results. The aim of this study was to investigate whether
specific clinical neurological signs are associated with either an absent or a
present median nerve SEP in patients after CPR. Data from the previously
published multicenter prospective cohort study PROPAC (prognosis in postanoxic
coma, 2000-2003) were used. Neurological examination, consisting of Glasgow Coma
Score (GCS) and brain stem reflexes, and SEP were performed 24, 48, and 72 h
after CPR. Positive predictive values for predicting absent and present SEP, as
well as diagnostic accuracy were calculated. Data of 407 patients were included.
Of the 781 SEPs performed, N20 s were present in 401, bilaterally absent in 299,
and 81 SEPs were technically undeterminable. The highest positive predictive
values (0.63-0.91) for an absent SEP were found for absent pupillary light
responses. The highest positive predictive values (0.71-0.83) for a present SEP
were found for motor scores of withdrawal to painful stimuli or better.
Multivariate analyses showed a fair diagnostic accuracy (0.78) for neurological
examination in predicting an absent or present SEP at 48 or 72 h after CPR. This
study shows that neurological examination cannot reliably predict absent or
present cortical N20 responses in median nerve SEPs in patients after CPR.
PMID- 21887513
TI - Leonid Ivanovich Omorokov (1881-1971).
PMID- 21887514
TI - Avicenna (980-1037 AD).
PMID- 21887515
TI - Rituximab responsive multiple radiculopathies and cranial nerve palsies in
association with chronic lymphocytic leukaemia.
PMID- 21887516
TI - RGMA and neogenin protein expression are influenced by lens injury following
optic nerve crush in the rat retina.
AB - BACKGROUND: The death and the failure of neurons to regenerate their axons after
lesion of the central nervous system in mammals, as in the case of spinal cord
injury and optic nerve trauma, remain a challenge. In this study, we focused on
the repulsive guidance molecule A (RGMA) and its receptor neogenin. Since it was
reported that RGMA+ cells accumulate in lesioned areas after spinal cord injury,
brain trauma, and optic nerve crush, and curiously, anti-apoptotic effects of
RGMA were also described, we investigated the role of RGMA and neogenin in the
retina after optic nerve crush (ONC). METHODS: We evaluated the spatial and
temporal protein pattern of RGMA and neogenin in the rat retina without (non
regenerating model) or with (regenerating model) lens injury (LI). We
investigated the presence of RGMA, neogenin and other proteins at up to nine time
points (6 h-20 days post-surgery) by performing immunohistochemistry and Western
blots. RESULTS: Independent of the treatment, RGMA protein was present in the
nuclear layers (NLs), plexiform layers (PLs), nerve fiber layer (NFL), and in
retinal ganglion cells (RGCs) of the rat retina. RGC and nerve fibers were always
RGMA+. Further RGMA+ cells in the retina were blood vessel endothelial cells,
astrocytes, Muller cells, and some microglial cells. The RGMA pattern for the
specific retinal cells resembled those of previously published data. The neogenin
pattern was congruent to the RGMA pattern. Western blots of retinal tissue showed
further RGMA+ products only in LI animals. Furthermore, a higher amount of RGMA
was found in the retinae of ONC + LI rats compared to ONC rats. CONCLUSIONS:
Although a difference in the localization of RGMA is not obvious, the difference
in the amount of RGMA is striking, the higher amount of RGMA in the retinae of
ONC + LI rats compared to ONC rats indicates a role for RGMA during
degeneration/regeneration processes. Our results are consistent with several
reported neuroprotective effects of RGMA. Our new data showing the upregulation
of RGMA after ONC in our regenerating model (plus LI) confirm these findings
conducted in different settings.
PMID- 21887517
TI - Immunomodulative efficacy of bone marrow-derived mesenchymal stem cells cultured
in human platelet lysate.
AB - Human mesenchymal stem cells (hMSCs) are considered to be a promising tool for
novel cell-based therapies. Clinical applications in solid organ transplantation
were hampered by the dependence on animal serum for hMSCs clinical scale
expansion until substitution with human platelet lysate (HPL) became a promising
alternative. Therefore we focused on a direct comparison of immunomodulatory
properties of hMSCs cultured in HPL or fetal calf serum (FCS). Phenotypic
characterization, detection of cytokine secretion and effects on alloantigen- and
mitogen-induced lymphocyte proliferation as well as degranulation of
cytomegalovirus-specific cytotoxic T cells were applied in potency assays. We
demonstrated that HPL-cultured MSCs have comparable immunomodulatory capacities
to their FCS-cultured counterparts. The observed immunomodulatory properties
include a beneficial inhibitory effect on immune cell proliferation and an
unaffected viral T cell immunity. Thus, culturing hMSCs in HPL generates an
efficient and safe expansion combined with intriguing immunomodulatory properties
making these cells an attractive cell therapeutic tool.
PMID- 21887518
TI - Altered proportions of naive, central memory and terminally differentiated
central memory subsets among CD4+ and CD8 + T cells expressing CD26 in patients
with type 1 diabetes.
AB - Type 1 diabetes is an autoimmune process predominantly T-cell mediated. CD26
plays a role in T-cell costimulation, migration, memory development, thymic
maturation and emigration patterns. In peripheral blood from 55 patients with
type 1 diabetes and 20 healthy controls, CD4(+) and CD8(+) T cells expressing
CD26 were differentiated into naive (N, CD45RA(+)CCR7(+)), central memory (CM,
CD45RA(-)CCR7(+)), effector memory (EM, CD45RA(-)CCR7(-)), and terminally
differentiated effector memory (TEMRA, CD45RA(+)CCR7(-)). In type 1 diabetes,
CD4(+) and CD8(+) T cells expressing CD26 showed a distinctive differentiation
profile: percentages and absolute numbers of CM and N cells were reduced, whereas
those of TEMRA cells were markedly increased. The indices of intermediate- and
long-term glycaemic control were associated negatively with the number of CM and
N cells while positively with the number of TEMRA cells. The considerable
accumulation of TEMRA T cells in our patients suggests life-long stimulation by
protracted antigen exposure (viruses, other agents or residual self-antigens?) or
a homeostatic defect in the regulation/contraction of immune responses.
PMID- 21887519
TI - High contribution of SAR11 to microbial activity in the north west Mediterranean
Sea.
AB - We investigated the abundance and activity of SAR11 on a monthly time scale
between January 2008 and October 2008 in the oligotrophic NW Mediterranean Sea.
Applying MICRO-CARD-FISH, we observed that SAR11 had a large contribution to bulk
abundance (37 +/- 6% of DAPI-stained cells) and to bulk bacterial heterotrophic
production (BHP), as estimated from leucine incorporation (55 +/- 15% of DAPI
cells assimilating leucine) in surface waters (5 m) throughout the study period.
SAR11 contributed also substantially to the assimilation of glucose, ATP, and a
combination of amino acids (44 +/- 17%, 37 +/- 14%, and 43 +/- 12% of DAPI cells
assimilating these compounds, respectively), organic compounds that provide
either single or combined sources of C, P, and N. Temporal changes in the
abundance of SAR11 cells that assimilated leucine, glucose, amino acids, and ATP
revealed a pattern consistent with that of substrate-active DAPI cells,
suggesting that the activity of SAR11 can explain to a large extent the
variability in total cells contributing to the utilization of these compounds.
Short-term nutrient enrichment experiments performed on each sampling date
revealed a strong co-limitation of at least two of the three elements analyzed
(C, N, P), in particular, during summer and early autumn. The in situ abundance
of SAR11 cells assimilating leucine appeared to increase with P limitation as
determined in the nutrient enrichment experiments (r = 0.81, p = 0.015). Our
results demonstrate that SAR11 is an important component of the active bacterial
community in the NW Mediterranean Sea. Our observations further indicate that the
activity of the bulk bacterial community is linked to the activity of SAR11,
possibly due to its adaptation to nutrient limitation.
PMID- 21887520
TI - Association of Toll-like receptor 2 polymorphisms with National Institute of
Health Stroke Scale scores of ischemic stroke patients.
AB - Toll-like receptor 2 (TLR2) has been shown to have an important role in the
postischemic inflammatory response and to contribute to ischemic brain damage. In
this study, we investigated whether coding region single nucleotide polymorphisms
(SNPs) of the TLR2 gene were associated with ischemic stroke (IS) and with
clinical phenotypes in IS patients. We genotyped two SNPs (rs3804099 [Asn199Asn]
and rs3804100 [Ser450Ser]) using direct sequencing in 202 IS patients and 291
control subjects. No SNPs of the TLR2 gene were found to be associated with IS.
However, in analysis of clinical phenotypes, we found that rs3804099 was
associated with the National Institute of Health Stroke Scale (NIHSS) scores of
IS patients in codominant (TC vs. TT, p = 0.0005; CC vs. TT, p = 0.0007) and
dominant models (TC/CC vs. TT, p = 0.0001). Also, rs3804100 revealed significant
association in codominant (TC vs. TT, p = 0.0002; CC vs. TT, p = 0.008) and
dominant models (TC/CC vs. TT, p < 0.0001). In allele frequency analysis, we also
found that the C alleles of rs3804099 and rs3804100 were associated with higher
NIHSS scores (p = 0.0003 in rs3804099; p = 0.0001 in rs3804100). Our results
suggest that TLR2 may be related to severe IS.
PMID- 21887521
TI - Extrapyramidal syndromes in frontotemporal degeneration.
AB - Descriptions of extrapyramidal (EP) involvement in Pick's disease (renamed
recently as FTD) appeared 80 years ago. CBD pathology was confirmed as a common
substrate for primary progressive aphasia (PPA). We suggested that CBD and PPA
should be included with frontal lobe dementia as Pick complex. PSP was prototype
for "subcortical dementia", and aphasia and apraxia, considered unusual for PSP,
are now seen as a rule. The overlap of PSP and CBD is considerable. We recently
reviewed our cohort with EPS in FTD and identified 22 patients with the movement
disorder as a first syndrome and another larger group of 48 patients who
developed EPS after an initial onset with a cognitive disorder: aphasic,
behavioral or both. All cognitive onset CBD/PSP patients and all but two with
motor onset developed aphasia during the course of their illness. General
cognitive and behavioral measures are similar for each presentation, but language
scores are worse in cognitive onset cases, reflecting the frequency of aphasic
presentations. Anomic patients become non-fluent, logopenic, agrammatic and mute.
Using the Frontal Behavioral Inventory (FBI), a questionnaire specifically
designed for the spectrum of apathy and disinhibition displayed by patients with
FTD, we have documented the behavior change in CBD/PSP with motor and cognitive
onsets. The significant personality changes consisted of apathy, disinhibition,
perseveration and inattention, some of the core symptoms of FTD. In 18 autopsied
cases, 15 had tau pathology. The overlap of CBD/PSP with PPA and bvFTD suggests a
spectrum of related entities and predicts tau-positive pathology. Cross-sectional
studies without significant follow-up may not observe the subsequent development
language or behavior deficit, or the evolution from PPA and/or FTD-bv to CBD/PSP.
PMID- 21887522
TI - Assessment of genetic stability and instability of tissue culture-propagated
plantlets of Aloe vera L. by RAPD and ISSR markers.
AB - Efficient plantlet regeneration with and without intermediate callus phase was
achieved for a selected genotype of Aloe vera L. which is sweet in test and used
as a vegetable and source of food. Random amplified polymorphic DNA (RAPD) and
inter simple sequence repeats (ISSR) marker assays were employed to evaluate
genetic stability of plantlets and validate the most reliable method for true-to
type propagation of sweet aloe, among two regeneration systems developed so far.
Despite phenotypic similarities in plantlets produced through both regeneration
systems, the differences in genomic constituents of plantlets produced through
intermediate callus phase using soft base of inflorescence have been effectively
distinguished by RAPD and ISSR markers. No polymorphism was observed in
regenerants produced following direct regeneration of axillary buds, whereas 80%
and 73.3% of polymorphism were observed in RAPD and ISSR, respectively, in the
regenerants produced indirectly from base of the inflorescence axis via an
intermediate callus phase. Overall, 86.6% of variations were observed in the
plantlets produced via an intermediate callus phase. The occurrence of genetic
polymorphism is associated with choice of explants and method used for plantlet
regeneration. This confirms that clonal propagation of sweet aloe using axillary
shoot buds can be used for commercial exploitation of the selected genotype where
a high degree of fidelity is an essential prerequisite. On the other hand, a high
degree of variations were observed in plantlets obtained through indirect
regeneration and thus cannot be used for the mass multiplication of the genotype;
however, it can be used for crop improvement through induction of somaclonal
variations and genetic manipulations.
PMID- 21887523
TI - Immobilization of Pseudomonas stutzeri lipase for the transesterification of wood
sterols with fatty acid esters.
AB - Lipase from Pseudomonas stutzeri PL-836 was immobilized on hydrophobic supports
and evaluated in the transesterification of wood sterols in solvent-free and
solvent-containing media. Triton X-100 was used as additive during immobilization
in butyl and octadecyl sepabeads increasing enzyme activity yield by 5% and 60%,
respectively. Hyperactivation was observed during immobilization in EC octadecyl
sepabeads with enzyme activity yield of 200% and protein immobilization yield of
93%. Thermostability of the immobilized enzyme was assessed at 50 degrees C in
different media in the absence and presence of exogenous solvents. The presence
of Triton X-100 during immobilization reduced enzyme stability while tert-butanol
increased it. Transesterification in solvent-free and solvent-containing medium
with lipase immobilized in EC octadecyl sepabeads showed that the presence of
exogenous solvent increased both conversion yield and productivity. At rather
high levels of biocatalyst hydration (40% on wet basis) the presence of tert
butanol in the reaction medium more than doubled conversion yield and
productivity.
PMID- 21887524
TI - What is the ideal blood pressure goal for patients with stage III or higher
chronic kidney disease?
AB - Hypertension and chronic kidney disease (CKD) are public health problems well
known to the national and international medical communities. Blood pressure (BP)
control in patients with CKD stage III and IV plays a key factor in reducing
cardiovascular risk and renal disease progression. We conducted a literature
review of recent studies addressing BP targets and cardiorenal outcomes in
patients with CKD. Multiple studies demonstrated cardiovascular benefits
associated with greater BP reduction. Nevertheless, a U-shaped relationship
between BP, cardiovascular events, and renal function was present. In patients
with CKD stage III and IV, a BP less than 140/90 mm Hg appeared to be a
reasonable target. Moreover, in patients with CKD and proteinuria of more than 1
g/day, a target systolic BP of 120 to 130 mm Hg and diastolic BP of 70 to 80 mm
Hg yielded the greatest benefit while avoiding most of the adverse cardiovascular
outcomes associated with lower levels of BP.
PMID- 21887525
TI - Identification of fruit volatiles from green hawthorn (Crataegus viridis) and
blueberry hawthorn (Crataegus brachyacantha) host plants attractive to different
phenotypes of Rhagoletis pomonella flies in the southern United States.
AB - The apple maggot fly, Rhagoletis pomonella, infests several hawthorn species in
the southern USA. In a companion paper, we showed that R. pomonella flies
infesting two different mayhaw species (Crataegus opaca and C. aestivalis) can
discriminate between volatile blends developed for each host fruit, and that
these blends are different from previously constructed blends for northern fly
populations that infest domestic apple (Malus domestica), downy hawthorn
(Crataegus mollis), and flowering dogwood (Cornus florida). Here, we show by
using coupled gas chromatography and electroantennographic detection (GC-EAD),
gas chromatography with mass spectrometry (GC-MS), and flight tunnel bioassays,
that two additional southern hawthorn fly populations infesting C. viridis (green
hawthorn) and C. brachyacantha (blueberry hawthorn) also can discriminate between
volatile blends for each host fruit type. A 9-component blend was developed for
C. viridis (3-methylbutan-1-ol [5%], butyl butanoate [19.5%], propyl hexanoate
[1.5%], butyl hexanoate [24%], hexyl butanoate [24%], pentyl hexanoate [2.5%], 1
octen-3-ol [0.5%], pentyl butanoate [2.5%], and (3E)-4,8-dimethyl-1,3,7
nonatriene (DMNT) [20.5%]) and an 8-component blend for C. brachyacantha (3
methylbutan-1-ol [0.6%], butyl acetate [50%], pentyl acetate [3.5%], butyl
butanoate [9%], butyl hexanoate [16.8%], hexyl butanoate [16.8%], 1-octen-3-ol
[0.3%], and pentyl butanoate [3%]). Crataegus viridis and C. brachyacantha-origin
flies showed significantly higher levels of upwind oriented flight to their natal
blend in flight tunnel assays compared to the alternate, non-natal blend and
previously developed northern host plant blends. The presence of DMNT in C.
viridis and butyl acetate in C. brachyacantha appeared to be largely responsible
for driving the differential response. This sharp behavioral distinction
underscores the diversity of odor response phenotypes in the southern USA, points
to possible host race formation in these populations, and despite the presence of
several apple volatiles in both blends, argues against a functional apple race
existing on southern host plants prior to the introduction of apple to North
America.
PMID- 21887527
TI - Excess mortality from seasonal influenza is negligible below the age of 50 in
Israel: implications for vaccine policy.
AB - PURPOSE: Evaluation of the severity of pandemic influenza requires reliable
estimates of mortality attributable to the seasonal influenza. METHODS: Excess
age-specific mortality during periods of influenza activity was evaluated in
Israel during the period 1999-2006 for three death categories. For each
respiratory year, the lowest monthly moving average for the mortality rate was
subtracted from each month in the period of influenza activity. Average mortality
rates in years with minimal influenza activity were deducted from corresponding
months to exclude winter mortality unrelated to influenza. The sums of these
results were used as estimates of excess mortality rates. RESULTS: Overall excess
mortality rates ranged from 7.7 to 36.1 per 100,000 for all causes, and from 4.4
to 24.4 per 100,000 for respiratory and circulatory causes. Influenza was
associated with an average of 869 (range 280-1,516) deaths annually from
respiratory and circulatory diseases during seasons with significant influenza
activity. About 90% of the influenza-associated mortality from respiratory and
circulatory diseases was in the age group 65+ years and about 1% in the age group
<50 years. The age group <50 years accounted for an annual average of seven
deaths from respiratory and circulatory diseases. CONCLUSION: Annual mortality
associated with seasonal influenza is highly variable. Under the age of 50 years,
there is minimal seasonal influenza associated mortality. This information
provides an important baseline for evaluating the severity of the A(H1N1) 2009
influenza pandemic, where persons under 50 years of age were often
disproportionately represented.
PMID- 21887526
TI - Clinical characteristics and outcomes of bacteremia due to different genomic
species of Acinetobacter baumannii complex in patients with solid tumors.
AB - PURPOSE: Acinetobacter baumannii, Acinetobacter genomic species 3 (AGS 3), and
Acinetobacter genomic species sensu Tjernberg and Ursing (AGS 13TU) are
phenotypically indistinguishable and are often reported together as the A.
baumannii complex (ABC). Few studies have investigated the difference in outcome
caused by these different species, and all involved heterogeneous groups of
patients. This study aimed to delineate whether there are differences in the
clinical characteristics and outcome among patients with solid tumors and
bacteremia caused by A. baumannii or two other non-baumannii ABC species (AGS 3
plus AGS 13TU). METHODS: Patients with solid tumors and ABC bacteremia over a
period of 5 years in a medical center were identified. The patient data were
retrospectively reviewed and analyzed. RESULTS: We identified 103 patients with
ABC bacteremia during the study period. Bacteremia was due to A. baumannii in 30
patients, AGS 3 in 24 patients, and AGS 13TU in 49 patients. Among the 103
patients with ABC bacteremia, recent stay in the intensive care unit (ICU) (p =
0.008) was independently associated with the acquisition of A. baumannii
bacteremia. Multivariate analysis revealed that bacteremia caused by A. baumannii
(hazard ratio [HR] 2.990, 95% confidence interval [CI], 1.021-8.752, p = 0.046)
and Acute Physiology and Chronic Health Evaluation (APACHE) II score >=21 (HR
4.623, 95% CI 1.348-15.859, p = 0.015) were independent factors associated with
14-day mortality. CONCLUSIONS: Infection with A. baumannii and a high APACHE II
score (>=21) might be associated with poor outcome in patients with solid tumors
and ABC bacteremia.
PMID- 21887528
TI - Penetrating aortic ulcer: defining risks and therapeutic strategies.
AB - In addition to classic aortic dissection and intramural hematoma, acute aortic
syndrome also includes penetrating aortic ulcers (PAU). The recent advent of
highly detailed axial imaging allows closer assessment of PAU and its
pathophysiology. However, there is still ongoing discussion about the natural
history of the disease, leading to challenging questions concerning the optimal
treatment strategy, particularly in asymptomatic patients. In this review,
current indications for treatment, with an emphasis on PAU repair in the
endovascular era, are discussed.
PMID- 21887529
TI - [German Registry for Acute Aortic Dissection Type A (GERAADA): initial results].
AB - BACKGROUND: The working group "Aortic Surgery and Interventional Vascular
Surgery" of the German Society for Thoracic and Cardiovascular Surgery (GSTCVS)
set up the German registry for acute aortic dissection type A (GERAADA) in July
2006. This web-based database was developed to record data of patients who had
undergone surgery for aortic dissection type A (AADA). The aim of GERAADA is to
learn from analyzing the data of AADA patients how to improve the perioperative
management and surgical treatment of patients with AADA and to identify possible
parameters affecting patient risk and outcome. PATIENTS AND METHODS: Between July
2006 and June 2009 (2010), 1558 (2137) patients with AADA were enrolled in the
multi-center, prospective GERAADA database by 50 cardiac surgery centers in
German-speaking countries in Europe. Data on patients' preoperative and
intraoperative status, postoperative complications, midterm results and
circumstances of death were recorded. Data were analyzed to identify risk factors
influencing the outcome of these patients. The Institute of Medical
Biostatistics, Epidemiology and Informatics (IMBEI) in Mainz performed the
statistical analyses. RESULTS: Analyses from GERAADA reveal a thirty-day
mortality of 17% in 2137 AADA patients. Only short interventions in aortic arch
surgery are safe during hypothermic circulatory arrest even without selective
cerebral perfusion. If circulatory arrest times of over 30 min. are anticipated,
antegrade cerebral perfusion is strongly recommended during the entire arch
intervention using cardiopulmonary bypass. Surgical strategy in terms of isolated
ascending aortic replacement versus ascending aortic replacement combined with
aortic arch repair had no statistical relevant influence on 30-day mortality.
AADA surgical results in elderly patients are more encouraging than those treated
without surgery. Surgery is even feasible in octogenarians with a 35% mortality
rate. CONCLUSION: The aim of this registry is to optimize AADA patients' medical
care, thereby reducing their morbidity and mortality. AADA treatment should
always involve open surgery. Initial analyses from GERAADA provide clinically
relevant insights concerning patients with AADA, and may enable therapeutic
recommendations for improving perioperative and surgical management. Our latest
study detected significant influencing risk factors for the outcome of AADA
patients and may contribute to a consensus in setting guidelines for standard
medical treatment. PERSPECTIVE: A European Registry of Aortic Diseases ("EuRADa")
is being established this year under the leadership of the "Vascular Domain" of
the European Association for Cardio-Thoracic Surgery (EACTS). This database will
collect parameters on all aortic diseases, dissection types A and B, aneurysms,
perforating ulcer (PAU), intramural wall hematoma (IMH), traumatic aortic
ruptures, and all potential treatment strategies (medical treatment, open
surgical and endovascular).
PMID- 21887530
TI - Aortic intramural hematoma: aspects of pathogenesis 2011.
AB - Non-invasive tomographic imaging modalities have recently contributed to
identifying aortic intramural hematoma, a variant form of classic dissection,
which is characterized by the absence of an intimal tear and thus the absence of
direct flow communication, and which represents an important disease entity in
acute aortic syndrome. Clinical investigations have revealed that intramural
hematoma has characteristic clinical features and that the natural remodeling
process of hematoma is different from that of classic aortic dissection. These
findings suggest that intramural hematoma is not just a precursor to aortic
dissection, but may be a unique disease entity with a more favorable prognosis
compared to aortic dissection. There is regional heterogeneity regarding the
relative incidence of this hematoma, with higher incidence seen in Eastern
countries. Due to favorable outcomes with medical treatment in Asian patients
with type A intramural hematoma, a tailored or individualized approach based on
risk stratification using initial clinical information and including imaging
studies and timely surgical repair has been suggested in hemodynamically stable
patients; however, these results need to be confirmed in other patient
populations. The concept of a "micro-tear" which cannot be easily visualized
using conventional imaging modalities has been raised: "echo-free space" on
transesophageal echocardiography and "focal contrast enhancement" on ultra-fast
computerized tomography have drawn many physicians' attention, and the
possibility of a pathophysiologic link between classic aortic dissection and
intramural hematoma has been discussed. Further investigations are needed to test
whether intramural hematoma begins with an initial intimal tear and a different
aortic status--characterized by a more rigid and non-compliant aorta associated
with old age or long-standing hypertension--and results in an apparently absent
intimal flap.
PMID- 21887531
TI - TEVAR: the solution to all aortic problems?
AB - Chronic as well as acute diseases of the thoracic aorta are attracting increasing
attention, both in the light of an ageing Western and Oriental population and
with the proliferation of modern diagnostic imaging modalities. While classic
surgical strategies still dominate the treatment of pathology of the ascending
aorta and the proximal arch region, new endovascular concepts are emerging and
are likely to evolve as primary treatment strategies for descending and abdominal
aortic pathology. Additionally, aortic arch pathologies are becoming the target
of hybrid approaches combining surgical head-vessel debranching and
interventional stent-graft implantation in an attempt to improve outcome by
avoiding the high risk of open arch repair or complete replacement. Nonetheless,
due to the complexity of the underlying vascular disease, each patient should be
discussed in a team consisting of cardiologists, cardiac surgeons, and an imaging
specialist in order to design an individualized therapeutic strategy carried out
best in a center with experience in both endovascular and surgical procedures.
PMID- 21887532
TI - High diagnostic accuracy of low-dose gated-SPECT with solid-state ultrafast
detectors: preliminary clinical results.
AB - PURPOSE: Appropriate use of SPECT imaging is regulated by evidence-based
guidelines and appropriateness criteria in an effort to limit the burden of
radiation administered to patients. We aimed at establishing whether the use of a
low dose for stress-rest single-day nuclear myocardial perfusion imaging on an
ultrafast (UF) cardiac gamma camera using cadmium-zinc-telluride solid-state
detectors could be used routinely with the same accuracy obtained with standard
doses and conventional cameras. METHODS: To this purpose, 137 consecutive
patients (mean age 61 +/- 8 years) with known or suspected coronary artery
disease (CAD) were enrolled. They underwent single-day low-dose stress-rest
myocardial perfusion imaging using UF SPECT and invasive coronary angiography.
Patients underwent the first scan with a 7-min acquisition time 10 min after the
end of the stress protocol (dose range 185 to 222 MBq of (99m)Tc-tetrofosmin).
The rest scan (dose range 370 to 444 MBq of (99m)Tc-tetrofosmin) was acquired
with a 6-min acquisition time. The mean summed stress scores (SSS) and mean
summed rest scores (SRS) were obtained semiquantitatively. RESULTS: Coronary
angiograms showed significant epicardial CAD in 83% of patients. Mean SSS and SRS
were 10 +/- 5 and 3 +/- 3, respectively. Overall the area under the ROC curve for
the SSS values was 0.904, while the areas under the ROC curves for each vascular
territory were 0.982 for the left anterior descending artery, 0.931 for the left
circumflex artery and 0.889 for the right coronary artery. CONCLUSION: This pilot
study demonstrated the feasibility of a low-dose single-day stress-rest fasting
protocol performed using UF SPECT, with good sensitivity and specificity in
detecting CAD at low patient exposure, opening new perspectives in the use of
myocardial perfusion in ischaemic patients.
PMID- 21887533
TI - Delayed presentation of splenic rupture following colonoscopy: clinical and CT
findings.
AB - The purpose of this study is to investigate the clinical and CT findings in
patients with symptomatic colonoscopy-induced splenic rupture, and to assess for
common features among this cohort. Multi-center search yielded 11 adults with
symptomatic splenic injury related to colonoscopy. Workup included abdominal CT
in 10 (91%) cases and abdominal radiography in two patients (one patient had
both). Colonoscopy findings, post-procedural course, and CT findings were
systematically reviewed. Mean patient age was 62.2 years (range, 51-84 years); 8
(73%) of 11 were female. The majority (64%) of colonoscopies were for screening.
No immediate complications were reported at optical colonoscopy;
tortuosity/redundancy was noted in five cases. Except for a small (8 mm) polyp in
one case and a large (10 mm) polyp in another, the remaining nine patients had
either diminutive or no polyps. Only one patient presented with hemodynamic
instability during post-colonoscopy recovery; the other ten had a delayed
presentation ranging from 8 h to 8 days (mean, 2.1 days). All 11 patients
presented with abdominal pain. CT was diagnostic for splenic injury with
subcaspular and/or perisplenic hematoma in all ten CT cases. Hemoperitoneum was
present in eight, visible splenic laceration in three cases, and splenic artery
pseudoaneurysm in one case. Five patients underwent splenectomy (four emergent)
and six patients were treated conservatively. Average hospital stay was 5.5 days
(range, 3-10 days). Colonoscopy-induced splenic rupture characteristically
presents as a delayed and often serious complication. In cases of apparent non
traumatic splenic hematoma or rupture at CT, eliciting a history of recent
colonoscopy may identify the etiology.
PMID- 21887534
TI - Immunohistochemical staining of human sperm cells in smears from sexual assault
cases.
AB - In the routine clinical examination of sexual assault victims, apart from
documenting physical evidence of abuse, securing evidence, typically DNA from
blood, semen, or saliva, is an important part of the process. Often the presence
of semen is considered a most interesting piece of evidence. Not only does it
often contain enough DNA for DNA profiling, but it also strongly indicates that
an actual sexual act has taken place. The examination of smear slides obtained in
sexual assault cases is a time-consuming task especially for the less trained and
in cases where the smear only contains few sperm cells. In this work the goal was
to develop a procedure to rapidly visualize human sperm cells in smear slides
with the use of bright-field microscopy. Using SPERM HY-LITERTM by Independent
Forensics, human sperm cells are visualized using a fluorescently labeled mouse
antibody which significantly decreases the time used to screen smears. By further
using an EnVision+ (DAKO) protocol, it was possible to create an
immunohistochemical staining method to visualize sperm cells in bright-field
microscopy with the same level of certainty as when using SPERM HY-LITERTM.
PMID- 21887535
TI - Analysis of 12 X-STRs in Greenlanders, Danes and Somalis using Argus X-12.
AB - X-chromosome markers have become a useful set of markers of choice when certain
complex kinship cases need to be unravelled. The Argus X-12 kit allows the co
amplification in a single PCR reaction of 12 X-chromosome short tandem repeats
located in four linkage groups. A number of 507 unrelated individuals from
Greenland, Denmark and Somalia together with two generation families were typed
using the Argus X-12 kit. Silent alleles for the DXS10148 and DXS10146 systems
were observed in males, mostly from Somalia. High levels of intrapopulation
variability and therefore high forensic parameter values were calculated for the
three studied populations. The population in Greenland showed a significantly
lower intrapopulation variability and a high genetic differentiation compared
with 13 other populations. Significant levels of linkage disequilibrium were
observed between markers belonging to the same linkage group, mainly in the
populations in Greenland and Somalia. Family studies allowed the calculation of
mutation and recombination frequencies. A higher male versus female mutation rate
was obtained, with an average value of 3.3 * 10(-3). Recombination fraction
calculations performed on two generation families showed, as previously
described, a not complete independence between X-chromosome linkage groups 3 and
4.
PMID- 21887536
TI - Automated striatal uptake analysis of 18F-FDOPA PET images applied to Parkinson's
disease patients.
AB - OBJECTIVE: 6-[(18)F]Fluoro-L: -DOPA (FDOPA) is a radiopharmaceutical valuable for
assessing the presynaptic dopaminergic function when used with positron emission
tomography (PET). More specifically, the striatal-to-occipital ratio (SOR) of
FDOPA uptake images has been extensively used as a quantitative parameter in
these PET studies. Our aim was to develop an easy, automated method capable of
performing objective analysis of SOR in FDOPA PET images of Parkinson's disease
(PD) patients. METHODS: Brain images from FDOPA PET studies of 21 patients with
PD and 6 healthy subjects were included in our automated striatal analyses.
Images of each individual were spatially normalized into an FDOPA template.
Subsequently, the image slice with the highest level of basal ganglia activity
was chosen among the series of normalized images. Also, the immediate preceding
and following slices of the chosen image were then selected. Finally, the
summation of these three images was used to quantify and calculate the SOR
values. The results obtained by automated analysis were compared with manual
analysis by a trained and experienced image processing technologist. RESULTS: The
SOR values obtained from the automated analysis had a good agreement and high
correlation with manual analysis. The differences in caudate, putamen, and
striatum were -0.023, -0.029, and -0.025, respectively; correlation coefficients
0.961, 0.957, and 0.972, respectively. CONCLUSIONS: We have successfully
developed a method for automated striatal uptake analysis of FDOPA PET images.
There was no significant difference between the SOR values obtained from this
method and using manual analysis. Yet it is an unbiased time-saving and cost
effective program and easy to implement on a personal computer.
PMID- 21887538
TI - [Biodegradable screw versus a press-fit bone plug fixation for ACL
reconstruction: a prospective randomized study].
AB - BACKGROUND: Press-fit fixation of a tendon graft has been advocated in order to
achieve tendon to bone healing. HYPOTHESIS: Fixation of a tendon graft with a
porous bone scaffold limits bone tunnel enlargement compared with a biodegradable
interference screw fixation. METHODS: Between 2005 and 2006, 20 patients (17 men,
3 women) were enrolled in this study for primary reconstruction of the ACL.
Patients were randomized to either obtain graft fixation in the tibial tunnel by
means of an interference screw (I) or a press-fit fixation with a porous bone
cylinder (P). Three months after surgery, a CT scan of the knee was performed and
tunnel enlargement was analysed in the coronal and sagittal planes for the
proximal, middle and distal thirds of the tunnel. After 6 months, 1 and 2 years,
International Knee Documentation Committee (IKDC), Tegner and Lysholm scores of
both groups were compared. RESULTS: The bone tunnel enlargement was 106.9+/-10.9%
for group P and 121.9+/-9.0% for group I (P<0.02) in the AP plane and 102.8+/
15.2% vs 121.5+/-10.1% in the coronal plane (P<0.01). IKDC, Tegner, and Lysholm
scores improved in both groups from pre- to postoperative assessment without
significant differences between the two groups. There was a trend to higher knee
stability in group P after 3 months (0.6+/-1.4 mm vs 1.81+/-.5 mm, P=0.08).
CONCLUSIONS: Both interference screw and a press-fit fixation lead to a high
number of good or very good outcomes after ACL reconstruction. Tibial press-fit
fixation decreases the amount of proximal bone tunnel enlargement. Press-fit
fixation decreases the amount of proximal bone tunnel enlargement and improves
bone to tendon contact.
PMID- 21887537
TI - Interleukin-6 receptor enhances early colonization of the murine omentum by
upregulation of a mannose family receptor, LY75, in ovarian tumor cells.
AB - One of the earliest metastatic events in human ovarian cancer, tumor spread to
the omentum, may be influenced by expression of interleukin 6 (IL6) and its
cognate receptor (IL6Ralpha). Previous reports have shown that IL6 and IL6Ralpha
expression is elevated in the serum and ascites of patients with ovarian cancer
and that this can influence in vitro processes such as cell survival,
proliferation and migration. In this study, overexpression of IL6Ralpha, and to a
lesser extent IL6, enhanced tumor growth on the omentum. Moreover, adherence to
plastic and to peritoneal extracellular matrix components was enhanced in tumor
cells overexpressing IL6 or IL6Ralpha. Host production of IL6 and IL6Ralpha was
also sufficient to influence tumor adherence to the omentum. Expression of
LY75/CD205/DEC205, a collagen-binding mannose family receptor, was directly
influenced by IL6Ralpha expression. Blocking LY75 with antibody reduced the
adherence of tumor cells overexpressing IL6Ralpha to matrices in vitro and to the
omentum. The association between IL6Ralpha expression and LY75 expression has not
been previously reported, and the promotion of cellular adherence is a novel role
for LY75. These studies indicate that overexpression of LY75 may be an additional
mechanism by which IL6 signaling influences the progression of ovarian cancer,
and suggests that blocking LY75 could be a valuable clinical strategy for
reducing the early metastasis of ovarian cancer.
PMID- 21887540
TI - Holism and sexual function: the difference between sex and atoms.
PMID- 21887539
TI - Epithelial marker expression does not rule out a diagnosis of Ewing's sarcoma
family of tumours.
AB - Epithelial marker expression has been reported in Ewing's sarcoma family of
tumors (ESFT). However, cytokeratin (CK), epithelial membrane antigen (EMA), and
carcino embryonic antigen (CEA) prevalence has not been assessed thoroughly in a
large series of genetically confirmed ESFT. The aim of the present study is to
confirm the presence of epithelial markers in a large group of ESFT tested
genetically for any of their specific gene fusions and the differential diagnosis
with other small round cell tumors. To establish the prevalence of epithelial
markers, we then performed immunohistochemical studies with antibodies CK
(AE1/AE3), CK8/18, CK34beta12, EMA, E-cadherin, and CEA on 415 genetically
confirmed ESFT. Immunoreactivity to cytokeratin, EMA, and CEA was present in
19.2%, 6.6%, and 20.8% of cases, respectively. There was no significant
association between epithelial markers and histological subtypes, but the
atypical variant of ESFT expressed these markers in a high proportion compared
with the peripheral neuroectodermal tumors and the conventional variant. The
present findings confirm that epithelial marker expression in ESFT, including EMA
and CEA, does not rule out a diagnosis of ESFT, and the integration of clinical,
radiological, histopathological, immunohistochemical, and molecular genetic
findings should form the basis for the diagnosis of bone and soft tissue
sarcomas, especially in tumors with atypical or unusual phenotype.
PMID- 21887541
TI - Pudendal neuralgia following transobturator inside-out tape procedure (TVT-O)-
case report and anatomical study.
AB - Persistent pain after TVT-O procedure is a rare complication. Nerve injuries have
been suspected as a cause of persistent pain. We present one case of atypical
postoperative pain--pudendal neuralgia following TVT-O procedure--which persisted
3 years after the primary procedure. The patient required surgical removal of the
tape, which brought only partial relief. Complete relief from pain was afterwards
achieved with repeated local applications of anesthetics with corticosteroids.
The recurrent stress urinary incontinence was treated with retropubic TVT.
Pudendal nerve irritation was also described after retropubic sling procedure,
and the cadaveric dissection indicated the theoretic possibility of nerve injury
during retropubic sling procedure. To explain the mechanism of nerve injury, we
performed cadaveric dissections on a formalin-embalmed female body. We were able
to demonstrate the contact of the needle with the pudendal nerve after aberrant
passage of the inserter.
PMID- 21887543
TI - "Like a rabbit from a hat!"--a case of a sacrocolpopexy mesh being taken out by a
patient.
AB - Sacrocolpopexy, be it laparoscopic or abdominal, is associated with a risk of
mesh extrusion. We report an interesting case of mesh extrusion with subsequent
removal of the mesh from the vagina by the patient. We take this opportunity to
review the literature regarding incidence, predisposing factors and complications
of sacrocolpopexy mesh extrusion with specific reference to this case.
PMID- 21887542
TI - Anterior sacrospinous ligament fixation associated with paravaginal repair using
the Pinnacle device: an anatomical study.
AB - INTRODUCTION AND HYPOTHESIS: The objective of this paper is to study the
reproducibility and anatomical risks of anterior sacrospinous ligament (SSL)
fixation associated with paravaginal repair using the Pinnacle device (Boston
Scientific). METHODS: Simplified bilateral anterior SSL fixation associated with
paravaginal fixation through the arcus tendineus fascia pelvis (ATFP) was
performed on five fresh cadavers using the Pinnacle device. Cadaver dissection
was then performed by open pelvic surgery. RESULTS: Eight SSL and ten ATFP were
available for analysis. SSL fixations were optimal in four cases, too superficial
in three cases, and too high in one case. Mean distance between SSL fixation and
ischial spine was 18.6 mm (range 10 to 30 mm). Mean distance between SSL fixation
and pudendal nerve was 6.5 mm (range 0 to 15 mm). ATFP fixations were optimal in
five cases, good in four cases, and too superficial in one case. In one case
(10%), the middle arm of the prosthesis was in contact with the ureter and
traction on that arm resulted in ureteral kinking. CONCLUSIONS: Anterior SSL
fixation associated with paravaginal repair using the PinnacleTM device was not
reproducible every time in this cadaver study. These results confirm the need for
specific training before starting anterior SSL fixations.
PMID- 21887544
TI - Guidelines and standardisation documents should be published anonymously.
AB - In the interest of progress and in order to avoid conflict of interest,
guidelines and standardisation documents should be published anonymously, and
they should undergo a mandatory review process by peers every few years.
PMID- 21887545
TI - Lumbosacral spondylodiscitis: an unusual complication of abdominal
sacrocolpopexy.
AB - Lumbosacral spondylodiscitis, an unusual complication of abdominal sacrocolpopexy
using synthetic polypropylene mesh is reported. A young sexually active female
with stage IV pelvic organ prolapse was managed with abdominal hysterectomy and
sacrocolpopexy. Cervical dysplasia demanded hysterectomy and sacrocolpopexy was
done to achieve good long-term results. Mesh exposure was noticed in the early
post-operative period which initially responded to conservative management. Eight
weeks later, the patient reported with severe pain in lower back restricting her
physical movements and ambulation. Further evaluation with magnetic resonance
imaging (MRI) confirmed lumbosacral spondylodiscitis, due to the infected mesh
which warranted a complete removal of mesh by laparotomy. Removal of the mesh
completely relieved her symptoms. Repeat MRI revealed resolving spondylodiscitis.
The removal of mesh by itself was adequate to relieve her and discectomy was not
required. The vault remained well supported despite removal of mesh.
PMID- 21887546
TI - The clinical characteristics of uterine tumor-related bladder outlet obstruction.
AB - INTRODUCTION AND HYPOTHESIS: The aim of this study was to assess the clinical
characteristics of bladder outlet obstruction caused by uterine tumors. METHODS:
We collected and analyzed data for eight patients who visited our urologic clinic
due to voiding difficulty or acute urinary retention in the early morning and
whose final, corroborated diagnoses were uterine tumors. Another eight patients
with uterine tumor but without voiding symptoms were included as the controls.
RESULTS: In group 1, six patients experienced acute early-morning urinary
retention and two patients experienced severe early-morning voiding difficulty.
This voiding difficulty lessened significantly during the day. All patients in
group 1 received urodynamic studies which indicated a high detrusor voiding
pressure (median, 28.5 cmH(2)O). The uroflowmetry test performed during the day
showed a good maximal flow rate (median, 27 ml/s). Seven patients in group 1 who
underwent surgery no longer had early-morning emptying symptoms. All tumors were
located in the posterior uterine wall, in contrast to the control group whose
tumors were located in fundus or anterior wall. CONCLUSIONS: Early-morning
urinary retention or voiding difficulty can be considered as an important symptom
of a uterine tumor obstructing the bladder outlet.
PMID- 21887547
TI - Repair of vesicovaginal fistula by the transabdominal route: outcome at a north
Indian tertiary hospital.
AB - INTRODUCTION AND HYPOTHESIS: The purpose of this study is to present the outcome
of management of vesicovaginal fistula (VVF) by the transabdominal route.
METHODS: Between January 2001 and December 2010, 48 patients suffering from VVF
were managed through the transabdominal route. RESULTS: The success rate
following first repair was 87.5%. Patients who failed the first repair (n = 6)
were managed again by the transabdominal route (second attempt). Two of these
patients were cured, while another patient was cured after prolonged catheter
drainage. One patient was managed by ureterosigmoidostomy (Mainz II) pouch but
died after 6 months. The remaining two patients refused further treatment and
were lost to follow-up. CONCLUSIONS: Transabdominal repair of VVF in properly
selected patients results in satisfactory treatment outcome.
PMID- 21887548
TI - Twelve months effect on voiding function of retropubic compared with outside-in
and inside-out transobturator midurethral slings.
AB - INTRODUCTION AND HYPOTHESIS: The purpose of this study is to compare retropubic
tension-free vaginal tape (TVT) with transobturator out-in TOT and in-out TVT-O
for female stress urinary incontinence. Uroflow rate was primary; continence
rates, quality of life (QoL) and complication pattern were secondary endpoints.
METHODS: A prospective randomised trial with 2:1:1 randomisation at two Swiss
teaching hospitals. Patients were followed up at 12 months. RESULTS: Eighty TVT,
40 transobturator tape (TOT) and 40 TVT-O were randomised. At 12 months, there
was no difference in Qmax among the groups. Continence was comparable (>= 89%).
QoL was improved significantly in all groups (P < 0.05). Five vaginal tape
exposures occurred (one TVT, four TOT, zero TVT-O; P = 0.028). Two percent (1/52)
of sexually active patients after TVT, 17% (5/29) after TOT, but 0% (0/25) after
TVT-O reported de novo female sexual dysfunction (P = 0.011). We considered this
clinically important enough to stop enrolment. CONCLUSIONS: There was no
difference for Qmax at 12 months between TVT, TOT and TVT-O. Female sexual
dysfunction and tape exposure may be higher with a transobturator tape.
PMID- 21887549
TI - The impact of dispositional optimism on symptoms and treatment choices in
patients with pelvic floor disorders.
AB - INTRODUCTION AND HYPOTHESIS: The objective of this study is to evaluate
dispositional optimism, pelvic floor disorder symptoms, and treatment decisions.
METHODS: Scores of the Life Orientation Test-Revised were used to categorize
women seeking clinical treatment for pelvic floor disorders as pessimists (scores
in lowest third) or optimists (scores in highest third). Participants also listed
their treatment goals and quantified pelvic floor symptom severity by completing
the Pelvic Floor Distress Inventory (PFDI-20). We compared symptoms, goals, and
treatment choices between optimists and pessimists. RESULTS: Three hundred
sixteen patients were included, 31% pessimists and 38% optimists. Pessimists
reported more goals and different types of treatment goals. Pessimists were also
more likely to elect surgical treatment over more conservative treatment methods,
although this trend did not reach statistical significance. Among patients with
prolapse (>=stage 2), PFDI-20 prolapse subscale scores were inversely correlated
with level of optimism, with pessimists reporting a higher level of bother than
optimists. CONCLUSIONS: In our study, pessimists reported more treatment goals,
were more bothered by prolapse symptoms, and tended to be more likely to choose
surgical treatments for their pelvic floor disorders than optimistic women.
PMID- 21887550
TI - The time factor in the assessment of prolapse and levator ballooning.
AB - INTRODUCTION AND HYPOTHESIS: The goal of the study was to define the time needed
to obtain maximal organ descent and hiatal distension during Valsalva measured by
transperineal ultrasound. Secondarily, we aimed to describe the timing of these
events in relationship to each other. METHODS: We undertook a retrospective
review of the stored ultrasound volume datasets of 50 patients with pelvic organ
prolapse. Data on organ descent and hiatal distension were obtained in 10 volumes
from rest to maximal Valsalva. RESULTS: On average, a Valsalva maneuver lasted
9.4 s (range 5 to 18). It took patients on average about six volumes
(approximately 5-6 s) to reach 80% of maximum organ descent. The time to maximum
organ descent was 8.9 s and the time to maximum distension of the levator hiatus
was 8.2 s, p = 0.031. CONCLUSIONS: In order to obtain adequate assessment of
pelvic organ prolapse, a Valsalva maneuver should last at least 6 s. Hiatal
distension seems to precede pelvic organ descent.
PMID- 21887551
TI - TVT SECUR System: Final results of a prospective, observational, multicentric
study.
AB - INTRODUCTION AND HYPOTHESIS: This is an observational multicentre prospective
study into the complications and effectiveness of TVT SECURTM. METHODS: One
hundred forty-seven patients with urodynamic or occult Stress Urinary
Incontinence (SUI) were enrolled. Outcome measures at 6, 12 and 24 months were:
objective cough test; subjective responses to PGI-S questionnaire and Visual
Analogue Score. STATISTICAL ANALYSIS: Wilcoxon Test; Monte Carlo Exact Test.
RESULTS: Ninety-five urodynamic SUI and 41 occult SUI patients were treated using
transobturator (H-position) or retropubic (U-position) approach (110 vs. 26
patients). Cure rates at 6, 12, and 24 months were 87.5%, 88.6% and 89.5%.
Failure rates at all follow-ups were similar for urodynamic and occult SUI. The U
position failure rate was comparable to H-position at short-term but
significantly higher at mid-term. Familiarity with the technique brought
significantly higher success rates. CONCLUSIONS: TVT SECUR is safe, effective and
versatile, but has an appreciable learning curve.
PMID- 21887552
TI - The expression of PEBP4 protein in lung squamous cell carcinoma.
AB - The phosphatidylethanolamine-binding protein 4 (PEBP4) is a member of the PEBP
family. It not only plays a role in the inhibition of the MAPK signaling pathway
but also is involved in the inhibition of the JNK pathway that promotes the
activation of AKT. Recent research has also shown that overexpression of PEBP4
was related to the development, invasion, and metastasis of a variety of tumors.
This study aimed to investigate the correlation between PEBP4 protein expression
in lung squamous cell carcinoma tissue and the clinical pathology of lung
squamous cell carcinoma. Immunohistochemistry was used to detect PEBP4 expression
in lung squamous cell carcinoma tissue and adjacent normal tissue from 61
patients. Western blotting was used to detect changes in the expression of PEBP4
protein between lung squamous cell carcinoma tissue and adjacent normal tissues.
The correlation of PEBP4 expression and the occurrence, development, and clinical
pathology of lung squamous cell carcinoma was analyzed. Of 61 patients, four
patients were PEBP4 negative (-; 6.6%) and 57 patients were positive (+ to +++;
93.4%). Of those positive for PEBP4 expression, 7 patients were weakly positive
(+; 11.5%), 21 patients were positive (++; 34.4%), and 29 patients were strongly
positive (+++; 47.5%). PEBP4 protein was more highly expressed in lung squamous
cell carcinoma tissue than in the adjacent normal lung tissue (p < 0.05). In
PEBP4-positive patients, PEBP4 protein expression was significantly greater in
those with lymph node metastases than in those without (p < 0.05). PEBP4
expression was significantly lower in patients at early (I and II) stages than in
patients at advanced (III and IV) stages (p < 0.05). In less differentiated lung
squamous cell carcinomas, PEBP4 protein expression was greater (p < 0.05);
however, this was unrelated to the gender, age, or tumor size of the patient (p >
0.05). PEBP4 protein overexpression was associated with the occurrence, invasion,
and metastasis of lung squamous cell carcinoma.
PMID- 21887553
TI - Polymorphisms in three obesity-related genes (LEP, LEPR, and PON1) and breast
cancer risk: a meta-analysis.
AB - Common genetic variations in the leptin (LEP), leptin receptor (LEPR), and
paraoxonase 1 (PON1) genes have been considered to be implicated in the
development of breast cancer. However, the results were inconsistent. In this
study, a meta-analysis was performed to assess the associations of five
polymorphisms, including LEP G2548A, LEPR Q223R, LEPR Lys109Arg, PON1 L55M, and
PON1 Q192R polymorphisms, with breast cancer risk. Published literature from
PubMed, ISI Web of Science, Embase databases, CNKI, and Wanfang Data were
retrieved. All studies evaluating the association between LEP G2548A, LEPR Q223R,
LEPR Lys109Arg, PON1 L55M, or PON1 Q192R polymorphism and breast cancer risk were
included. Pooled odds ratio (OR) with 95% confidence interval (CI) was calculated
using fixed- or random-effects model. Three studies (2,003 cases and 1,967
controls) for LEP G2548A polymorphism, nine studies (4,627 cases and 5,476
controls) for LEPR Q223R polymorphism, five studies (2,759 cases and 2,573
controls) for LEPR Lys109Arg polymorphism, four studies (1,517 cases and 1,379
controls) for PON1 L55M polymorphism, and five studies (1,575 cases and 2,283
controls) for PON1 Q192R polymorphism were included in the meta-analysis.
Overall, the results showed null significant association between LEP G2548A, LEPR
Q223R, LEPR Lys109Arg, or PON1 Q192R polymorphism and breast cancer risk;
however, PON1 L55M was significantly associated with breast cancer risk overall
(MM vs. LL: OR = 2.16; 95% CI, 1.76-2.66). For LEPR Q223R polymorphism, further
subgroup analysis suggested that the association was only statistically
significant in East Asians (OR = 0.50; 95% CI, 0.36-0.70) but not in Caucasians
(OR = 1.06; 95% CI, 0.77-1.45) or Africans (OR = 1.30; 95% CI, 0.83-2.03). The
present meta-analysis suggested that LEPR Q223R polymorphism might be implicated
in the development of breast cancer in East Asians; PON1 L55M might increase
breast cancer risk. However, given the limited sample size, the findings warrant
further investigation.
PMID- 21887554
TI - SPARCL1: a potential molecule associated with tumor diagnosis, progression and
prognosis of colorectal cancer.
AB - We investigated whether SPARCL1 played an essential role in tumor initiation,
formation and progression of colorectal carcinomas. In this study, we examined
expression of SPARCL1 protein in the normal colorectal mucosa, adjacent normal
mucosa and primary and lymph node metastases from colorectal cancer patients. In
matched patients, we found that SPARCL1 was negative in the distant normal
colorectal mucosa, weakly expressed in the adjacent normal mucosa, strongly
expressed in primary colorectal adenocarcinomas and slightly expressed in their
lymph node metastases. A similar pattern was observed in the SPARCL1 expression
from our series of non-matched colorectal cancer patients. The strongest
expression and highest frequency of the SPARCL1 protein were found in the primary
cancers. Interestingly, in the primary tumors, the frequency of SPARCL1
expression was significantly increased from the Dukes' A to Dukes' B tumors and
then decreased gradually from the Dukes' B to C and D tumors. There was no
difference in the intensity of SPARCL1 expression between the central areas and
invasion margins of the primary tumors. Moreover, the SPARCL1 protein was more
strongly expressed in the highly differentiated tumors than the lower
differentiated ones. The patients with positive expression of SPARCL1 in their
tumors had worse prognosis than the patients with SPARCL1-negative ones, even
after the analyses by Multivariate and Interaction method. Expression of SPARCL1
protein might be a valuable biomarker for early diagnosis in colorectal cancers
and further predicting patients' prognosis.
PMID- 21887555
TI - Single incision laparoscopic colorectal surgery: a single surgeon experience of
102 consecutive cases.
AB - BACKGROUND: Due to the recent heightened interest in even less invasive surgery,
single port laparoscopic colorectal surgery is quickly gaining acceptance. While
this access technique was first described in 2007 for colorectal resective
procedures, large series are lacking. METHODS: Between January 2009 and October
2010, all patients undergoing single port colorectal surgery performed by a
single surgeon were prospectively entered into an IRB-approved database and
studied with regard to perioperative events, morbidity, and mortality. RESULTS:
One hundred and two consecutive patients underwent a single port colorectal
procedure. Mean age was 47 years (9-93 years), and average body mass index was 26
kg/m(2) (15-39 kg/m(2)). Primary diagnoses included ulcerative colitis (51),
neoplasia (23), Crohn's disease (14), diverticulitis (11), familial adenomatous
polyposis (1), and other (2). Procedures included 23 total colectomies, 40
segmental colectomies, and 19 other procedures. There was 1 conversion to an open
operation, and 18 (18%) patients required placement of additional ports (1 port:
N = 13; 2 ports: N = 2; 3 ports: N = 3). Average operating room time was 99 min
(13-245), mean length of incision was 3.7 cm (1.2-7.8 cm), and average estimated
blood loss was 140 ml (0-750 ml). There was one postoperative death, and 39 (38%)
patients experienced minor postoperative complications. Mean lymph node harvest
for oncologic resections was 44 (14-142). The average length of hospital stay was
5.9 days (2-24 days). CONCLUSIONS: With proper patient selection and laparoscopic
experience, single port colorectal surgery can be performed for even the most
complex colorectal procedures. Further studies are needed to assess the benefits
that single port colorectal surgery has over a conventional laparoscopic
approach.
PMID- 21887557
TI - Malignancies of the anal canal.
AB - BACKGROUND: The carcinomas of the anal canal consist of truly rare clinical
entities compared to the most common colorectal malignancies. Consequently,
little have been reported on their natural history and course. PURPOSE: The
present study is aiming to describe the experience of our clinic with anal cancer
and to clarify the incidence of the disease in the Hellenic population. MATERIAL
AND METHODS: A 5-year retrospective study was conducted. We searched all cases of
patients, diagnosed with anal carcinoma in our medical center. Medical records
and histological examination results were all thoroughly registered and
evaluated. RESULTS: On the whole, 60 patients were diagnosed with a malignant
tumor of anal canal. Among them, 25 cases involved anal adenocarcinoma, 26 cases
referred to a squamous cell carcinoma and in 5 cases, Bowen disease was
identified. Two melanomas, one GIST stromal tumor and one case of Paget disease,
were recognized as well. CONCLUSIONS: It seems that in our center the frequency
and prevalence of anal adenocarcinoma is increased compared to the results from
international data. More studies involving larger series of patients are required
in order to shed further light in the true incidence of anal cancer and it
subtypes in Hellenic population.
PMID- 21887556
TI - Intraperitoneally administered irinotecan with 5-fluorouracil impair wound
healing of colonic anastomoses in a rat model: an experimental study.
AB - AIM: The aim of this experimental study is the assessment of the effects of the
immediate post-operative intraperitoneal administration of 5-fluorouracil and
irinotecan on the healing process of large bowel anastomoses in rats. MATERIALS
AND METHODS: Sixty male Wistar rats were divided into 4 groups of 15 rats each.
The rats underwent large bowel resection and anastomosis, followed by the
intraperitoneal administration of normal saline (group 1), 5-fluorouracil (group
2), irinotecan (group 3) or the combination of 5-fluorouracil and irinotecan
(group 4). All animals were killed on the eighth post-operative day. During post
mortem examination, the anastomoses were assessed macroscopically for a possible
anastomotic leak and the extent of adhesion formation. Subsequently, the
anastomotic bursting pressure was measured, and the anastomoses were assessed
histologically. RESULTS: No anastomotic dehiscence was observed in the rats of
group 1. In groups 2 and 3, we observed 3 anastomotic leaks in each group, and in
group 4, we observed 5 leaks (P = 0.111). The mean bursting pressure of the
anastomoses in group 1 was significantly higher compared to groups 2, 3 and 4 (P
< 0.001). The least inflammatory cell infiltration score was observed in group 1
(P < 0.001). The lowest neoangiogenesis score was observed in group 2 and the
highest in group 4. The collagen formation in group 1 was significantly higher
compared to the other 3 groups (P < 0.001). Similar results were observed for the
fibroblast activity, where group 1 revealed significantly higher fibroblast
scores compared to groups 2, 3 and 4 (P < 0.001). Finally, groups 2, 3 and 4
showed significantly lower hydroxyproline levels compared to the control group (P
< 0.001). CONCLUSION: The immediate, post-operative intraperitoneal
administration of 5-fluorouracil or irinotecan had a negative effect on the
healing process of the large bowel anastomoses in rats. The negative effects of
the combination of 5-fluorouracil and irinotecan were statistically more
significant compared to the single use of 5-fluorouracil or irinotecan.
PMID- 21887558
TI - Acute appendicitis and carcinoid tumor in Meckel's diverticulum. Three
pathologies in one: a case report.
AB - Incidental carcinoid tumor of the Meckel's diverticulum is an uncommon event.
Herein, a case of a carcinoid tumor in Meckel's diverticulum that was
incidentally found in a patient with acute appendicitis is presented. A 42-year
old Caucasian man presented with acute abdomen and clinical signs of acute
appendicitis. A typical appendectomy was performed during which further abdominal
exploration revealed a Meckel's diverticulum 60 cm proximal to the ileocecal
valve, with an irregular and somewhat indurated serosal region on one side. A
stapled diverticulectomy was performed. Pathology revealed an incidental
carcinoid tumor measuring 1 cm within the Meckel's diverticulum. CT scan of the
abdomen and 24-h urine 5-hydroxyindoleacetic acid results were normal. The
patient had an uneventful recovery and was discharged at the 5th postoperative
day. He is alive and without evidence of disease 23 months after the operation.
Coexistence of acute appendicitis along with an incidental Meckel's diverticulum
raises controversies in their surgical management. We discuss the issues in
managing patients with two or more of these coexistent pathologies.
PMID- 21887559
TI - Colorectal cancer surgery in the elderly: limitations and drawbacks.
AB - PURPOSE: The purpose of this study was to evaluate the outcomes of colorectal
cancer surgery among the elderly. METHODS: From March 2002 until February 2010,
434 patients who presented to our institution with the initial diagnosis of
colorectal cancer and were submitted to open curative colorectal cancer
resections or some kind of palliative procedure either elective or emergencies
were retrospectively reviewed. A total of 286 of these patients (65.8%) were
below 75 years (group A) and 148 (34.2%) above 75 years (group B). RESULTS: A
procedure with curative intent was undertaken in 386 patients (88.9%), while
forty-eight patients (11.1%) were submitted to a palliative procedure. Regarding
the incidence of emergency operations, forty-five patients (15.7%) from group A
and forty-four patients (29.7%) from group B were operated due to an emergency
(obstructing, perforating or bleeding tumors; P < 0.001). Mean ASA score was 1.74
+/- 0.84 and 2.32 +/- 0.94 for groups A and B, respectively (P < 0.001). Mean TNM
stage was 2.28 +/- 1.00 and 2.74 +/- 0.98 for groups A and B, respectively (P =
0.0001). Elderly patients exhibited increased incidence of post-operative
complications and increased post-operative mortality compared with their younger
counterparts (P = 0.002 and 0.001, respectively). CONCLUSION: Colorectal cancer
surgery in the elderly is a challenging clinical scenario. Treatment decision
adjusted to each individual case is the ideal practice in order to maintain an
acceptable balance between curative cancer resections and palliative procedures.
PMID- 21887560
TI - General principles of hepatectomy in colorectal liver metastases.
AB - Hepatic resection for colorectal liver metastases remains the only therapeutic
option that improves long-term survival and offers potential cure. By
conventional resectability criteria, only a limited number of patients with
metastatic disease can be subjected to surgical intervention. In the past decade,
better understanding of the natural history of the disease, the introduction of
new chemotherapy agents and the advances in surgical techniques have led to more
patients being eligible for surgery. Neoadjuvant chemotherapy can reduce the size
of the metastases, allowing operation on patients who were previously considered
inoperable. Major resections can nowadays be safely performed with the
development of new less-invasive techniques and with the use of supplementary
tools like ablation techniques. Using portal vein embolization to induce
hypertrophy of future liver remnant and combining it with two-staged hepatectomy
allow more patients with advanced disease to undergo potentially curative
surgery. Careful selection of patients and aggressive surgery in experienced
centers improve survival rates.
PMID- 21887561
TI - Side-to-side anastomosis fashioned as stoma after sigmoid resection for sigmoid
volvulus: a case report.
AB - PURPOSE: Colonic volvulus is one of the causes of large bowel obstruction with
sigmoid colon being the most usually affected part. Surgery is the gold standard
when signs of peritonitis are present or endoscopic decompression fails.
MATERIALS AND METHODS: We report the case of 65-year-old man with acute large
bowel obstruction due to sigmoid volvulus who underwent a laparoscopic-assisted
sigmoid resection on an emergency basis. The condition of the bowel wall
precluded a primary anastomosis. But instead, a side-to-side anastomosis that its
common blind stump was brought out as an end stoma was performed. RESULTS: The
postoperative period was eventless. The patient was discharged on the 6th
postoperative day. Eight weeks after the initial operation, the patient was
readmitted for the secondary closure of the anastomotic stoma. Local anesthesia
and minor sedation were enough in order to perform the stoma take down.
CONCLUSION: Laparoscopic-assisted sigmoid resection is a useful adjunct to the
surgical armamentarium when facing the problem of sigmoid volvulus. When a safe
restoration of the alimentary tract continuity cannot be achieved safely with a
primary anastomosis, the proposed anastomotic stoma technique is a useful and
practical alternative.
PMID- 21887563
TI - Surgical management of rectal prolapse.
AB - PURPOSE: Rectal prolapse is uncommon; however, the true incidence is unknown
because of underreporting, especially in the elderly population. Full-thickness
rectal prolapse, mucosal prolapse and internal prolapse are three different
clinical entities, which are often combined and constitute rectal prolapse. The
aim of the study is to present our experience in the surgical management of
rectal prolapse. METHODS: In a 6-year period (2004-2010), 27 patients were
surgically treated for rectal prolapse. The majority of patients were women (25
women, two men) and their mean age was 72.36 years. The operations performed were
two Delorme's procedures, five STARR (Stapled TransAnal Rectal Resection), 14
Wells procedures, two Wells combined with Thiersch, one Altemeier, one sigmoid
resection combined with Wells and two Thiersch. RESULTS: An emergency
sigmoidostomy was performed on a patient after Wells operation due to obstructive
ileus. One death occurred on the 5th postoperative day due to pulmonary embolism.
Two recurrences observed 8 months postoperatively, one in a patient after STARR
operation and one in a patient after Thiersch technique. The great majority of
patients are completely relieved of symptoms. CONCLUSIONS: The application of
different modalities in the treatment of rectal prolapse is attributed to the
fact that cause, degree of prolapse and symptoms, vary from one patient to
another. Successful approach depends on many factors, including the status of a
patient's anal sphincter muscle before surgery, whether the prolapse is internal
or external and the overall condition of the patient.
PMID- 21887562
TI - Laparoscopic colectomy for colonic carcinoma.
AB - AIM: The aim of this study is to present our experience with the laparoscopic
treatment approach for colonic carcinoma. PATIENTS AND METHODS: Between 2005 and
2010, laparoscopic colectomy was performed in 13 patients; 9 patients underwent
laparoscopic right hemicolectomy, 3 sigmoidectomy and 1 patient underwent
laparoscopic caecectomy. RESULTS: With regards to the right hemicolectomies, the
average operative time was 168 min and the average hospital stay 5.3 days. In
patients who underwent laparoscopic sigmoidectomy, the average operative time was
176 min, while the average hospital stay was 10.2 days. Finally, the laparoscopic
caecectomy was performed in 85 min. There was one conversion (7.7%) to an open
procedure, as well as one case (7.7%) of anastomotic leakage, which was treated
with re-laparotomy and a Hartmann's procedure. Up to today, all patients remain
healthy with no signs of tumor recurrence. CONCLUSION: Laparoscopic colectomy for
cancer, in the hands of an experienced laparoscopic surgeon, is a safe and
efficient procedure.
PMID- 21887564
TI - Quality training in laparoscopic colorectal surgery: does it improve clinical
outcome?
AB - Laparoscopic colorectal surgery (LCRS) is a safe, effective and cost-efficient
option for the treatment of various benign and malignant conditions. However, its
implementation to surgical practice is still limited. That is mainly due to its
association with a steep learning curve. We performed a review of the literature
to determine whether quality training in LCRS can reduce that learning curve and
lead to better clinical outcomes. We concluded that a structured training program
with pre-clinical phase focused on basic skill acquisition and a clinical phase
focused on mentoring from experts can shorten the learning curve and improve
clinical outcomes.
PMID- 21887565
TI - Current management of diverticular disease complications.
AB - Diverticular disease is a common problem in the western population and sometimes
leads to serious complications such as hemorrhage, bowel stenosis, obstruction,
abscesses, fistulae, bowel perforation, and peritonitis. The severity of these
complications can differ, and it is not always clear which procedure is indicated
in each case and what measures should be followed before bringing the patient
into the operating room. Certain operations have high rates of morbidity and
mortality, especially in compromised patients. Along with advancements in imaging
and minimally invasive techniques, the indications for surgery have currently
being adapted to "damage limitation" or "down-staging" protocols, which seem to
offer improved results. There are still some questions to be solved in the
following years by prospective studies, such as the usefulness of laparoscopic
lavage in purulent peritonitis or of Hartmann's procedure in fecal peritonitis.
These indications, based on current literature, are systematically discussed in
the present review.
PMID- 21887566
TI - A retrospective analysis of 2000 cases with colorectal carcinoma.
AB - PURPOSE: Colorectal cancer (CRC) is a major cause of death in the western world
and a leading cause of cancer-related death. It is one of the most common human
malignancies with >300,000 cases both in the United States and in the European
Union each year. The present study was conducted to assess differences in various
variables of CRC, such as location of the tumor, differentiation, Dukes
classification, 5-year survival and possible changes in these patterns during the
examined period. METHODS: We collected data on 2000 patients with colorectal
cancer, diagnosed and treated from 1960 to 2008 in 1st Propedeutic Surgical
Clinic of Aristotle's University, Thessaloniki. RESULTS: Of 2000 cases reviewed,
cancer was almost equal presented to both sexes, for all groups. Rectum was the
most common tumor location in all analyzed groups (40.1%). The most common tumor
differentiation was the moderate one (68.5%). Concerning tumor staging, Dukes' B
tumors were most common (42.5%), and the cancer-related 5-year survival was
increased by the time from 42 to 71%. CONCLUSION: In the past 20 years,
considerable improvements have been made in colorectal cancer therapy, and
patients had received more sophisticated and multidisciplinary treatments,
resulting in a better 5-year survival rate.
PMID- 21887567
TI - Rectal endometriosis: a case report.
AB - We present the case of a 45-year-old female patient who was admitted with a
history of pelvic pain, constipation, and dysmenorrhea. CT scan and u/s images
revealed cholelithiasis, benign nodular hyperplasia of segment IV of the liver
and uterine fibromyoma. During laparotomy, firm adhesions between the posterior
wall of the uterus and the rectum were found and the incisional biopsy reveals an
undifferentiated adenocarcinoma. Then, total resection of the uterus was
performed with en block resection of the adherent part of the rectum and part of
the posterior wall of the vagina. The final histopathological report showed the
presence of uterine fibromyoma, nodular hyperplasia of the liver and rectal
endometriosis without any sign of malignancy. The patient after 5 years of follow
up remains healthy. Rectal endometriosis represents an uncommon localization of
pelvic endometriosis where the symptoms and clinical findings are non-specific
making the definitive preoperative diagnosis difficult. Endometriosis should be
included in the differential diagnosis of chronic pelvic pain in combination with
defecation disorders in female patients of reproductive age.
PMID- 21887568
TI - Cecal volvulus after twin gestation: laparoscopic approach.
AB - INTRODUCTION: Intestinal obstruction in pregnancy is not common. Colonic volvulus
occurs in 24% of such cases. Due to the rare incidence and lack of imaging during
pregnancy, correct diagnosis is often delayed. CASE PRESENTATION: We present a
case of a 33-year-old female with a twin pregnancy gestation, who presented with
acute abdominal pain. Physical examination revealed a gravid uterus and
tenderness in the lower abdominal quadrants. Due to intense uterine contractions,
the patient was urgently submitted to cesarean delivery, giving birth to two
healthy infants. Twelve hours after the cesarean section, right lower quadrant
abdominal pain was persistently severe. Nausea, vomiting, diarrhea, and abdominal
dilatation were also present. Abdominal X-ray and CT scan showed bowel
obstruction, possibly secondary to cecal volvulus. The patient was subjected to
explorative laparoscopy, cecal volvulus detorsion, and laparoscopic appendectomy.
RESULTS: The postoperative course was uneventful, and the patient was discharged
on the fourth postoperative day. CONCLUSIONS: Cecal volvulus in pregnancy is a
rare, difficult to diagnose, clinical entity. It is associated with high
morbidity and mortality, both of mother and fetus, because of delayed diagnosis.
A high index of clinical suspicion is required in pregnant or puerperant women
with signs and symptoms of bowel obstruction and persistent pain at the right low
abdominal quadrant. As long as diagnosis is timely set, laparoscopy is a safe and
successful means of surgical treatment.
PMID- 21887569
TI - The stapled hemorrhoidopexy syndrome: a new clinical entity?
AB - PURPOSE: Haemorrhoidal disease is a rather common disease of unknown cause. A new
technique for treating prolapsing haemorrhoids known as the stapled
hemorrhoidopexy (SH) or the "Longo procedure" is widely used. Serious adverse
events were reported in 2000 and some discussion over the syndrome but nothing
since. METHODS: Two hundred and five patients underwent SH by our surgical team
at the Interbalkan European Medical Center. Modified SH was performed. RESULTS:
Despite the low incidence of postoperative complications (11/205), 36.58% of
patients developed syndrome comprised of urgency to defecate, sensation of anal
foreign body and incomplete defecation and mild cramp like anal discomfort,
immediately after surgery or in the following 48 h. There is not statistically
significant relationship between the presence of the syndrome and the gender, the
presence of muscle fibres in the resected "ring" the degree of haemorrhoidal
disease, age and ring length. CONCLUSION: Observations led us to conclude that
the stapled hemorrhoidopexy syndrome (SHS) is probably caused by the irritating
presence of the titanium staples in the rectal mucosa and by the resection
itself.
PMID- 21887571
TI - Colonic lipomas: our experience in diagnosis and treatment.
AB - AIM: The aim of this study is to present our experience in colonic lipomas.
PATIENTS AND METHODS: We present 4 patients (1 male, 3 females) of mean age 65.5
years (range, 61-72 years) treated for single colonic lipomas. The diameters of
the lesions were 4.5, 4, 3.5 and 2.5 cm, respectively. In 3 cases, colonic
lipomas were located within the cecum, while in one patient within the descending
colon, proximally to the splenic flexure. RESULTS: Lipomas of diameter greater
than 3 cm caused nonspecific symptoms. Lipomas of higher diameter were removed
laparoscopically with colotomy; in two cases, the patients underwent open
hemicolectomy, because of the suspicion of malignancy, while the smallest lesion
was resected endoscopically, using a bipolar snare. All patients recovered
without complications and remain healthy with no signs of recurrence. CONCLUSION:
In cases of ulcerated lipomas, greater than 3 cm of diameter, surgical resection
is recommended.
PMID- 21887570
TI - Clinical features of colonic diverticular disease.
AB - Colonic diverticular disease is extremely common in developed countries. Although
the majority of patients with diverticulosis remain asymptomatic, about one-third
of the patients manifest the disease with either hemorrhage or inflammation.
Diverticulitis may be uncomplicated or complicated by abscess formation,
perforation with peritonitis, fistula, intestinal obstruction, or stricture.
Controversy exists regarding the aggressiveness of diverticulitis during
recurrent attacks of the disease as well as in special groups of patients
including immunocompromised patients, young patients, and patients with right
sided disease. Clinical characteristics of symptomatic uncomplicated disease can
be similar to irritable bowel syndrome, while acute diverticulitis is sometimes
difficult to distinguish from segmental colitis associated with diverticulosis.
The considerable clinical overlap between those entities with diverticular
disease demonstrates that there are still areas of uncertainty in their
physiopathology.
PMID- 21887572
TI - Gossypiboma presenting as mesosigmoid abscess [corrected].
AB - INTRODUCTION: The term "gossypiboma" is used to describe any mass of non
absorbable surgical material. It is estimated that this complication appears
every 1.000-10.000 procedures. It may lead to peritonitis, acute abdominal pain,
intraperitoneal abscess, bowel obstruction, or perforation. REPORT OF A CASE: We
present the case of an 80-year-old female patient admitted for chronic abdominal
pain and fever. A CT scan and MRI were performed with a probable diagnosis of
carcinoma or pelvic abscess. A surgical history of hysterectomy and repair of
abdominal wall hernia with a mesh were mentioned. RESULTS: Exploratory laparotomy
revealed the presence of an irregular, soft mass with characteristics of an
abscess located into the mesosigmoid. Hartman's sigmoidectomy was performed, and
the patient's postoperative course was uneventful. The histopathological
examination confirmed the diagnosis of gossypiboma. CONCLUSIONS: Retained foreign
intraperitoneal materials often represent diagnostic dilemmas, since
symptomatology is no specific and the time elapsed from surgery is long. The
policy of prevention's importance is highly appreciated.
PMID- 21887573
TI - Surgical management of colorectal injuries: colostomy or primary repair?
AB - PURPOSE: Several factors have been considered important for the decision between
diversion and primary repair in the surgical management of colorectal injuries.
The aim of this study is to clarify whether patients with colorectal injuries
need diversion or not. METHODS: From 2008 to 2010, ten patients with colorectal
injuries were surgically treated by primary repair or by a staged repair.
RESULTS: The patients were five men and five women, with median age 40 years (20
55). Two men and two women had rectal injuries, while 6 patients had colon
injuries. The mechanism of trauma in two patients was firearm injuries, in two
patients was a stab injury, in four patients was a motor vehicle accident, in one
woman was iatrogenic injury during vaginal delivery, and one case was the
transanal foreign body insertion. Primary repair was possible in six patients,
while diversion was necessary in four patients. CONCLUSIONS: Primary repair
should be attempted in the initial surgical management of all penetrating colon
and intraperitoneal rectal injuries. Diversion of colonic injuries should only be
considered if the colon tissue itself is inappropriate for repair due to severe
edema or ischemia. The role of diversion in the management of unrepaired
extraperitoneal rectal injuries and in cases with anal sphincter injuries is
mandatory.
PMID- 21887574
TI - Management of concurrent colorectal cancer and vascular disease in the
endovascular era.
AB - Concurrent colorectal cancer (CRC) and vascular disease, such as abdominal aortic
aneurysm, represents a challenging clinical situation. Both lesions may lead to
the demise of the patient and therefore should be treated. Endovascular
techniques may enhance decision-making and even permit single-stage treatment.
PATIENTS AND METHODS: Retrospective review of patients in a university department
with extensive endovascular experience. Between 2004 and 2010, seven patients
with synchronous vascular disease and colorectal cancer were identified. RESULTS:
The mean age was 73 years, and all patients were men. Five patients had
concurrent CRC and aneurysmal disease. Two had synchronous critical carotid
artery stenosis and CRC. All vascular lesions were treated with endovascular
techniques. All CRC were resected with open techniques. In four patients,
endovascular repair followed by staged CRC resection was performed. In three
patients, single-stage procedures were performed. There was one perioperative
death, for a mortality of 14.3% in our series. There were no graft infections.
CONCLUSIONS: Priority of treating concurrent vascular disease and CRC remains a
dilemma. Combined treatment with a single-stage procedure is feasible. Risk of
graft infection may be lower than expected.
PMID- 21887575
TI - Changes in treatment of rectal cancer: increased use of low anterior resection.
AB - PURPOSE: The most common surgical procedures for patients with rectal cancer are
low anterior resection (LAR) or abdominoperineal excision (APE). The aim of the
present study is to evaluate and report the changes in the incidence of LAR and
APE in the surgical treatment of rectal cancer over the last 15 years in a single
surgical department. METHODS: The patient sample consisted of 251 consecutive
patients (mean age 65.17; age range 22-87) that underwent surgical treatment for
rectal cancer in a single center from 1996 to 2010. This time frame was divided
into three 5-year periods (1996-2000, 2001-2005 and 2006-2010). Patients were
classified into one of the aforementioned groups, depending on the date of their
treatment. RESULTS: In the first period (1996-2000), 71 patients were treated for
rectal cancer. Among them, 32.4% (n = 23) underwent an abdominoperineal excision
(APE) while 56.3% (n = 40) were treated with LAR. In the second period (2001
2005), included 102 patients, from which 29.4% (n = 30) received an APE and 60.8%
(n = 62) underwent a LAR for their disease. In the final period (2006-2010), from
the 78 patients, only 12.8% (n = 10) of them underwent APE, while 74.3% (n = 58)
were treated with LAR. There was a statistically significant (chi-square test, P
= 0.005) difference between the 3 periods of time concerning the performance of
LAR and APE. CONCLUSIONS: According to the results of the present study, the
rates of APE seem to decrease during the last 15 years, while LAR is more widely
used in the surgical treatment of rectal cancer.
PMID- 21887576
TI - Inflammation of solitary caecal diverticula:a rare aetiology of acute abdominal
pain [corrected].
AB - Caecal diverticula are rare, representing the 3.6% of colonic diverticula. They
may have congenital origin and remain asymptomatic, presenting as an accidental
finding. We present a case of a 42-year-old Caucasian woman, admitted with a 12-h
history of sudden onset of sharp right iliac fossa pain, anorexia, and nausea.
There was leukocytosis (23.49 * 10(3)/MUl) and increased C-reactive protein
(11.76 mg/dl). CT scan showed an inflamed appendix. At laparotomy, a diffuse
caecal phlegmon with an inflammatory solitary caecal diverticula was found. A
limited right hemicolectomy was performed. Histological examination confirmed the
caecal diverticulitis without malignancy. Post-operative period was uneventful.
Three months later, endoscopy showed no diverticula or other pathologies.
Solitary caecal diverticulum is very rare, but surgeons must bear this in mind in
case of pain in right iliac fossa.
PMID- 21887577
TI - Outcomes of Doppler-guided hemorrhoid artery ligation: analysis of 90 consecutive
patients.
AB - BACKGROUND: Doppler-guided hemorrhoid artery ligation is a minimal-invasive
surgical treatment option for hemorrhoidal disease. The aim of our study was to
evaluate the early and long-term results of the procedure 1 year after the
operation. PATIENTS AND METHODS: In a period of 4 years, 90 patients were
included in this study. The Doppler-guided hemorrhoid artery ligation was
performed under either spinal anesthesia or local perianal block. We recorded the
length of postoperative inpatient care, on-demand analgesics administered apart
from the standard analgesic protocol, short- and long-term complications, and,
finally, recurrences. RESULTS: The mean age of patients was 46 +/- 12.6 years.
The operation was performed under spinal anesthesia in 82 patients and under
local perianal block in 8 patients. The mean operative time was 26 +/- 4.1 min.
On-demand analgesics administration was reported in sixteen patients (17.7%) the
first postoperative day and in four patients (4.4%) the second postoperative day.
A total of 58 patients (64.4%) were discharged from the hospital the day of the
operation, 29 (32.2%) patients stayed overnight, and in three (3.3%) patients, a
hospitalization period of 2 days was needed. Four patients (4.4%), two with grade
III and two with grade IV hemorrhoids, developed early postoperative
complications. Late complications were observed in three patients (3.3%).
Recurrences, manifested either as bleeding or as prolapsing piles, were observed
in six patients (6.6%), two patients with initial grade III and four with grade
IV hemorrhoids. CONCLUSION: Doppler-guided hemorrhoid artery ligation seems to be
a safe and effective treatment option for all grades of hemorrhoidal disease.
Further prospective randomized comparative studies are needed in order to fully
evaluate the true role of DG-HAL in the surgical armamentarium.
PMID- 21887578
TI - Modern pathophysiology and treatment of esophageal diverticula.
AB - INTRODUCTION: Esophageal diverticula are rare. They may occur in the
pharyngoesophageal area (Zenker's), midesophagus, or distally (epiphrenic). A
motility disorder (either at the level of the esophageal sphincters or body) is
frequently associated with esophageal diverticula. The risk of malignant
transformation is low. METHODS: A literature search was performed using
Medline/PubMed database. RESULTS: The treatment of esophageal diverticula must be
based on the pathophysiology and natural history of the disease: (a) asymptomatic
diverticula do not need a specific treatment, (b) small diverticula may be left
in place and not resected, (c) medium-size diverticula may be either treated by
diverticulectomy, diverticulopexy, or esophagodiverticulostomy in case of
pharyngoesophageal diverticula, (d) resection is probably the ideal therapy for
larger diverticula, and (e) a myotomy should always be included to the procedure.
CONCLUSIONS: Due to its rarity, esophageal diverticula must be treated by
esophageal surgeons since even in experienced hands the complication rate can be
significant.
PMID- 21887579
TI - Cytochrome P450-derived epoxyeicosatrienoic acids accelerate wound
epithelialization and neovascularization in the hairless mouse ear wound model.
AB - PURPOSE: Epoxyeicosatrienoic acids (EETs) are known to modulate proliferation and
angiogenesis in vitro. Tissue levels of EETs are regulated by the cytochrome P450
(CYP) epoxygenases that generate them as well as by the soluble epoxide hydrolase
metabolizes them to their less active diols. The aim of this study was to
determine the effect of locally administered EETs (11,12- and 14,15-EETs) and the
selective sEH inhibitor (sEHI) trans-4-[4-(3-adamantan-1-ylureido)-cyclohexyloxy]
benzoic acid (t-AUCB) on wound healing in vivo. METHODS: Standardized full
thickness dermal wounds were created on the dorsum of hairless mouse ears. Wound
epithelialization was directly viewed and measured using intravitalmicroscopy and
computerized planimetry every second day until healing was complete. Wound
sections were analyzed by immunostaining for endothelial lineage marker CD31,
vascular endothelial growth factor (VEGF), and angiogenic cytokine stromal cell
derived factor (SDF) 1alpha on days 2, 4, and 13. RESULTS: Treatment with EETs
and t-AUCB, respectively, significantly accelerated wound epithelialization and
neovascularization by synergistic upregulation of SDF1alpha and VEGF in vivo.
CONCLUSIONS: These findings demonstrated that exogenous CYP-derived EETs and
globally decreased EET hydrolysis by sEH inhibition significantly accelerated
wound epithelialization and neovascularization in unimpaired healing wounds.
Given that hypoxia induces CYP expression and subsequently EET-dependent
angiogenesis, EETs and sEHIs provide a promising new class of therapeutics for
ischemic non-healing wounds.
PMID- 21887580
TI - Transcriptional dynamics during cell wall removal and regeneration reveals key
genes involved in cell wall development in rice.
AB - Efficient and cost-effective conversion of plant biomass to usable forms of
energy requires a thorough understanding of cell wall biosynthesis, modification
and degradation. To elucidate these processes, we assessed the expression
dynamics during enzymatic removal and regeneration of rice cell walls in
suspension cells over time. In total, 928 genes exhibited significant up
regulation during cell wall removal, whereas, 79 genes were up-regulated during
cell wall regeneration. Both gene sets are enriched for kinases, transcription
factors and genes predicted to be involved in cell wall-related functions.
Integration of the gene expression datasets with a catalog of known and/or
predicted biochemical pathways from rice, revealed metabolic and hormonal
pathways involved in cell wall degradation and regeneration. Rice lines carrying
Tos17 mutations in genes up-regulated during cell wall removal exhibit dwarf
phenotypes. Many of the genes up-regulated during cell wall development are also
up-regulated in response to infection and environmental perturbations indicating
a coordinated response to diverse types of stress.
PMID- 21887581
TI - Prevalence of specific learning disabilities among primary school children in a
South Indian city.
AB - OBJECTIVE: To measure the prevalence of specific learning disabilities (SpLDs)
such as dyslexia, dysgraphia and dyscalculia among primary school children in a
South Indian city. METHODS: A cross-sectional multi-staged stratified randomized
cluster sampling study was conducted among children aged 8-11 years from third
and fourth standard. A six level screening approach that commenced with
identification of scholastic backwardness followed by stepwise exclusion of
impaired vision and hearing, chronic medical conditions and subnormal
intelligence was carried out among these children. In the final step, the
remaining children were subjected to specific tests for reading, comprehension,
writing and mathematical calculation. RESULTS: The prevalence of specific
learning disabilities was 15.17% in sampled children, whereas 12.5%, 11.2% and
10.5% had dysgraphia, dyslexia and dyscalculia respectively. CONCLUSIONS: This
study suggests that the prevalence of SpLDs is at the higher side of previous
estimations in India. The study is unique due to its large geographically
representative design and identification of the problem using simplified
screening approach and tools, which minimizes the number and time of specialist
requirement and spares the expensive investigation. This approach and tools are
suitable for field situations and resource scarce settings. Based on the authors'
experience, they express the need for more prevalence studies, remedial education
and policy interventions to manage SpLDs at main stream educational system to
improve the school performance in Indian children.
PMID- 21887582
TI - Contraceptive method choice among youth in the United States: the importance of
relationship context.
AB - We examine the relationship characteristics associated with contraceptive method
choice within young people's nonmarital sexual relationships, using data from
retrospective relationship histories available in the third wave (2001-2002) of
the National Longitudinal Study of Adolescent Health. Data-reduction techniques
produce a detailed multidimensional characterization of relationship commitment
for nonmarital sexual relationships. We then use multilevel analysis to estimate
associations between two key relationship characteristics-relationship commitment
and couple heterogamy-and the type of contraceptive method used at last sexual
intercourse within each relationship. Results indicate that for a given
individual, contraceptive method choice varies across relationships as a function
of these characteristics, even after we account for important individual and
family characteristics and prior relationship experiences.
PMID- 21887583
TI - Marital shopping and epidemic AIDS.
AB - HIV risks decline sharply at age 30 for women in South Africa, long before coital
frequencies or pregnancies decrease. I evaluate several prominent behavioral
models of HIV, and find that these do not suggest sharply decreasing risks with
age. I formulate a model of spousal search and find that "marital shopping" can
generate epidemic HIV prevalence despite low transmission rates because search
behavior interacts with dynamics of HIV infectiousness. The implied age-infection
profile closely mimics that in South Africa, and the suggested behavior matches
that reported by South Africans. Condom use in new relationships and transmission
rate reductions are both found to be effective policies and, when used together,
eliminate the potential of spousal search to spread HIV. In contrast,
antiretroviral treatment is found to have only a minimal effect on the epidemic.
PMID- 21887584
TI - The habitual use of the Self-report Habit Index.
PMID- 21887586
TI - Sexual stereotypes and practices of university students in Turkey.
AB - This article is based on a study of young people and sexuality in Turkey. The
focus of this study included messages about sexuality, sexual beliefs, sexual
experiences with a view to consent and resistance, religiosity, and certain
interrelations therein. A total of 471 students (273 women, M age=20.5 years, and
198 men, M age=21 years) from four different universities in Turkey participated
in a survey with measures of restrictive and permissive messages about sexuality
received from various sources, beliefs about sexual roles of men and women in
relationships, and questions about a range of sexual experiences, including
coital and non-coital. The incidence and characteristics of ideal sexual
partnership and incidence and dynamics of experiences involving "token
resistance" and "consent to unwanted sex" were specifically investigated. The
results provided a snapshot of the sexual lives of students in this country at
the crossroads of secularism and traditional Muslim mores. Both commonalities and
differences were found across gender. Both men and women received more
restrictive than permissive messages. The most important message source was same
sex friends for men and parents for women. Men had more dating and sexual
partners than women. The correlations of religiosity and messages with sexual
experiences and attitudes were mostly in the expected direction. Women were more
likely to have a token resistance incidence and both genders were equally
unlikely to consent to unwanted sex. The results were discussed in relation to
the cultural context and the relevant literature, and recommendations are offered
for future research.
PMID- 21887585
TI - 'I've had unsafe sex so many times why bother being safe now?': the role of
cognitions in sexual risk among American Indian/Alaska Native men who have sex
with men.
AB - BACKGROUND: American Indian/Alaska Native (AI/AN) men who have sex with men (MSM)
are at high risk of human immunodeficiency virus (HIV) acquisition and
transmission. PURPOSE: This study aimed to investigate a potential area of focus
for HIV prevention interventions by assessing the impact of sexual risk
cognitions on sexual risk-taking among AI/AN MSM. METHODS: AI/AN MSM (N = 173)
from a national cross-sectional survey were analyzed. RESULTS: Reporting more
frequent sexual risk cognitions overall (high sexual risk cognitions) was
associated with multiple HIV risk factors including unprotected anal intercourse
and serodiscordant unprotected anal intercourse. Participants with high sexual
risk cognitions had a 2.3 (95% Confidence Interval: 1.1, 4.7) times greater odds
of engaging in unprotected anal intercourse regardless of childhood sexual abuse,
depression, and alcohol dependence. Most individual sexual risk cognitions were
associated with unprotected anal intercourse, serodiscordant unprotected anal
intercourse, or both. CONCLUSIONS: Results suggest that sexual risk cognitions
may be a productive area for further work on HIV prevention among AI/AN MSM.
PMID- 21887587
TI - Sex differences and similarities in married couples: patterns across and within
cultures.
AB - In this study, we examined the patterns of sex differences in men and women
married to each other in five cultures (China, Russia, Turkey, UK, and the U.S.)
to look for universal patterns in behavioral dimorphisms and for cultural
variability in those patterns. Over 400 couples in each cultural group completed
the 235-item Marriage and Relationship Questionnaire on various aspects of
marriage, appropriately translated for each culture. Sex differences were
anticipated in responses related to female choosiness, labor performed, emotional
expressiveness, interest in sex, physical attractiveness, and jealousy. To
measure male-female differences in each culture, t-tests were utilized, and
effect sizes were calculated. Significant sex differences (p < .05, two-tailed)
emerged in all six areas examined, although cultural differences were also seen
in the patterns. For example, on items relevant to female choosiness, women in
most, but not all, cultures were more likely than their husbands to endorse these
statements: "I have thought of divorcing my spouse" and "My parents played a role
in choosing my spouse." In China, where scores on emotional expressiveness were
low, sex differences disappeared in the category related to emotions. Results
suggest that long-term marriage exhibits a balance between homogamy and
dimorphism serving reproductive interests. Moreover, culture may moderate this
balance for particular sex differences.
PMID- 21887588
TI - Finite element analysis of donning procedure of a prosthetic transfemoral socket.
AB - Lower limb amputation is a severe psychological and physical event in a patient.
A prosthetic solution can be provided but should respond to a patient-specific
need to accommodate for the geometrical and biomechanical specificities. A new
approach to calculate the stress-strain state at the interaction between the
socket and the stump of five transfemoral amputees is presented. In this study
the socket donning procedure is modeled using an explicit finite element method
based on the patient-specific geometry obtained from CT and laser scan data. Over
stumps the mean maximum pressure is 4 kPa (SD 1.7) and the mean maximum shear
stresses are 1.4 kPa (SD 0.6) and 0.6 kPa (SD 0.3) in longitudinal and
circumferential directions, respectively. Locations of the maximum values are
according to pressure zones at the sockets. The stress-strain states obtained in
this study can be considered more reliable than others, since there are normal
and tangential stresses associated to the socket donning procedure.
PMID- 21887589
TI - Photothermal cancer therapy and imaging based on gold nanorods.
AB - Gold nanorods (GNRs), which strongly absorb near-infrared (NIR) light, have shown
great potential in fields of biomedical application. These include photothermal
therapy, molecular imaging, biosensing, and gene delivery, especially for the
treatment of diseased tissues such as cancer. These biomedical applications of
GNRs arise from their various useful properties; photothermal (nanoheater)
properties, efficient large scale synthesis, easy functionalization, and
colloidal stability. In addition, GNRs do not decompose and have an enhanced
scattering signal and tunable longitudinal plasmon absorption which allow them to
be used as a stable contrast agent. Therefore, GNRs are also promising
theranostic agents, combining both tumor diagnosis and treatment. In this review,
we discuss the recent progress of in vitro and in vivo explorations of the
diagnostic and therapeutic applications of GNRs as a component of cancer therapy.
PMID- 21887590
TI - Lensfree optofluidic microscopy and tomography.
AB - Microfluidic devices aim at miniaturizing, automating, and lowering the cost of
chemical and biological sample manipulation and detection, hence creating new
opportunities for lab-on-a-chip platforms. Recently, optofluidic devices have
also emerged where optics is used to enhance the functionality and the
performance of microfluidic components in general. Lensfree imaging within
microfluidic channels is one such optofluidic platform, and in this article, we
focus on the holographic implementation of lensfree optofluidic microscopy and
tomography, which might provide a simpler and more powerful solution for three
dimensional (3D) on-chip imaging. This lensfree optofluidic imaging platform
utilizes partially coherent digital in-line holography to allow phase and
amplitude imaging of specimens flowing through micro-channels, and takes
advantage of the fluidic flow to achieve higher spatial resolution imaging
compared to a stationary specimen on the same chip. In addition to this, 3D
tomographic images of the same samples can also be reconstructed by capturing
lensfree projection images of the samples at various illumination angles as a
function of the fluidic flow. Based on lensfree digital holographic imaging, this
optofluidic microscopy and tomography concept could be valuable especially for
providing a compact, yet powerful toolset for lab-on-a-chip devices.
PMID- 21887592
TI - Are we exacerbating students' learning disabilities? an investigation of
preservice teachers' attributions of the educational outcomes of students with
learning disabilities.
AB - While claims of the importance of attribution theory and teachers' expectations
of students for student performance are repeatedly made, there is little
comprehensive research identifying the perceptions preservice teachers have of
students with learning disabilities (LD). Accordingly, 444 Australian preservice
primary school teachers were surveyed using vignettes and Likert-scale questions,
to ascertain their responses to students with and without LD. It was found that
preservice primary school general education teachers held a negative attribution
style towards students with LD. Preservice primary teachers perceived students
with LD as a lacking ability in comparison to others in the class.
Recommendations for research and training programmes conclude the paper.
PMID- 21887591
TI - A switch between cytoprotective and cytotoxic autophagy in the radiosensitization
of breast tumor cells by chloroquine and vitamin D.
AB - Calcitriol or 1,25-dihydroxyvitamin D3, the hormonally active form of vitamin D,
as well as vitamin D analogs, has been shown to increase sensitivity to ionizing
radiation in breast tumor cells. The current studies indicate that the
combination of 1,25-dihydroxyvitamin D3 with radiation appears to kill p53 wild
type, estrogen receptor-positive ZR-75-1 breast tumor cells through autophagy.
Minimal apoptosis was observed based on cell morphology by DAPI and TUNEL
staining, annexin/PI analysis, caspase-3, and PARP cleavage as well as cell cycle
analysis. Induction of autophagy was indicated by increased acridine orange
staining, RFP-LC3 redistribution, and detection of autophagic vesicles by
electron microscopy, while autophagic flux was monitored based on p62
degradation. The autophagy inhibitors, chloroquine and bafilomycin A1, as well as
genetic suppression of the autophagic signaling proteins Atg5 or Atg 7 attenuated
the impact of the combination treatment of 1,25 D3 with radiation. In contrast to
autophagy mediating the effects of the combination treatment, the autophagy
induced by radiation alone was apparently cytoprotective in that either
pharmacological or genetic inhibition increased sensitivity to radiation. These
studies support the potential utility of vitamin D for improving the impact of
radiation for breast cancer therapy, support the feasibility of combining
chloroquine with radiation for the treatment of breast cancer, and demonstrate
the existence of an "autophagic switch" from cytoprotective autophagy with
radiation alone to cytotoxic autophagy with the 1,25 D3-radiation combination.
PMID- 21887593
TI - The elevated serum S100A8/A9 during acute myocardial infarction is not of cardiac
myocyte origin.
AB - Overproduction of circulating S100A8/A9 occurs in patients following acute
myocardial infarction (AMI). It remains unclear whether ischemia insult per se
induces S100A8 and S100A9 expression in cardiac myocytes or even whether the
cardiac myocytes participate as a source of these proteins. In this study,
western blot analysis and quantitative real-time reverse transcription polymerase
chain reaction were used to test samples obtained from isolated spontaneously
hypertensive rat hearts and Wistar-Kyoto rat hearts subjected to global
normothermic ischemia and from neonatal Wistar rat cardiac myocytes undergoing
hypoxia. Ischemia did not increase the expression of S100A8 and S100A9 proteins
and mRNA in the myocardium either from the spontaneously hypertensive rat hearts
or the Wistar-Kyoto rat hearts. In addition, the levels of S100A8 and S100A9
proteins were unchanged in the neonatal rat cardiac myocytes undergoing hypoxia.
However, both ischemia and hypoxia activated NF-kappaB in ischemic myocardium and
in hypoxic cardiac cells in a time-dependent manner. The results suggest that the
increased serum S100A8/A9 concentrations following AMI were not of cardiac
myocyte origin.
PMID- 21887594
TI - Performance and carcass measurements of ewe lambs reared in a feedlot and fed
wheat (Triticum durum Desf.) middlings total mixed rations in the summer season.
AB - The effect of total mixed ratios containing wheat middlings (WM) as a corn grain
substitute on the growth performance and carcass traits of Comisana ewe lambs was
evaluated. Forty ewe lambs, with average live body weight of 13 +/- 0.3 kg (mean
+/- SEM), were allocated randomly to two isocaloric and isonitrogenous diets for
50 days. Control diet contained 400 g/kg of dry matter (DM) of corn as the main
starchy source, whereas experimental diet contained 600 g/kg DM of WM. In vivo
nutrient apparent digestibility of the two diets was determined using Comisana
rams (mean body weight, 65 +/- 2.3 kg) and indicated significant (P < 0.05)
differences for neutral detergent fibre, acid detergent fibre, cellulose and
hemicellulose fractions. Results from the trial using Comisana ewe lambs showed
that growth traits were unaffected by dietary treatments as well as none of the
carcass measurements examined (P > 0.05). These findings indicate that WM results
as a suitable feed ingredient for growing ewe lambs that can be a satisfactory
substitute to conventional grain source.
PMID- 21887595
TI - Seroprevalence of Toxoplasma gondii antibodies from slaughter pigs in Chongqing,
China.
AB - Toxoplasmosis is a disease caused by the protozoan Toxoplasma gondii which
infects most genera of warm-blooded animals, including humans. The objective of
this investigation is to evaluate the seroprevalence of toxoplasmosis in pigs in
Chongqing Municipality, southwest China. Slaughterhouse pigs' serum samples
collected from six different regions in Chongqing were assayed for T. gondii
antibodies by an indirect hemagglutination test. The average seroprevalence of T.
gondii were found in 30.6% (278/908) in slaughter pigs, ranging from 21.6% to
40.9% among different sampling sites. The results indicated that toxoplasmosis in
swine of Chongqing Municipality was relatively serious, and the pork may be an
important source for human infection with T. gondii. Comprehensive measures are
needed to strengthen further prevention and control of the disease in Chongqing.
PMID- 21887596
TI - Obesity increases the prevalence and severity of focal knee abnormalities
diagnosed using 3T MRI in middle-aged subjects--data from the Osteoarthritis
Initiative.
AB - OBJECTIVE: To study the effect of BMI on the prevalence, severity, and 36-month
progression of early degenerative changes in the knee by using 3T MRI in middle
aged subjects without radiographic osteoarthritis (OA). MATERIALS AND METHODS: We
examined baseline and 36-month follow-up MR studies from 137 middle-aged
individuals (45-55 years old) with risk factors for knee OA but no radiographic
OA from the Osteoarthritis Initiative. Subjects were grouped into three
categories: normal BMI (BMI < 25 kg/m(2), n = 38), overweight (BMI 25-29.9
kg/m(2), n = 37), and obese (BMI >= 30 kg/m(2), n = 62). Using 3T MRI, cartilage,
meniscus, and bone marrow abnormalities were graded using the OA Whole-organ MR
Imaging Score (WORMS). The statistical analysis was corrected as necessary for
differences in age, sex, and OA risk factors other than BMI. RESULTS: The overall
prevalence of lesions was 64% for meniscus and 79% for cartilage (including low
grade lesions). At baseline, the prevalence and severity of knee lesions was
positively associated with BMI, with a nearly fourfold increase in meniscal tears
and more than twofold increase in high-grade cartilage defects in obese
individuals relative to normal-weight subjects. Over the 36-month follow-up
period, the number of new or worsening cartilage lesions of any grade was
significantly higher in obese subjects (p = 0.039), while there was no
significant difference in meniscal lesion progression. CONCLUSION: Obesity was
associated with both higher prevalence and severity of early degenerative changes
in the knee in middle-aged individuals without radiographic OA and with
significantly increased cartilage lesion progression (of any grade) over 36
months.
PMID- 21887597
TI - Subcutaneous absorption of monoclonal antibodies: role of dose, site of
injection, and injection volume on rituximab pharmacokinetics in rats.
AB - PURPOSE: To determine the effect of dose, the anatomical site of injection, and
the injection volume on subcutaneous absorption of rituximab in rats and to
explore absorption mechanisms using pharmacokinetic modeling. METHODS: Rituximab
serum concentrations were measured following intravenous and subcutaneous
administration at the back, abdomen, and foot of rats. Several pharmacokinetic
models were developed that included linear and saturable absorption, and
degradation and/or protective binding at the injection site. RESULTS: Rituximab
exhibited linear kinetics following intravenous administration; however,
bioavailability following subcutaneous injection was inversely related to the
dose level. For the 1 mg/kg dose, bioavailability was approximately 70% at all
tested injection sites, with faster absorption from the foot (T(max) = 12 h for
foot vs. 4.6 days for back). Bioavailability for the 10 mg/kg dose was 44 and 31%
for the abdomen and back sites and 18% for 40 mg/kg injected at the back. A
pharmacokinetic model that included binding as part of the absorption mechanism
successfully captured the nonlinearities in rituximab absorption. CONCLUSION: The
anatomical site of subcutaneous injection influences the rate of absorption and
bioavailability of rituximab in rats. Saturable binding may be a major
determinant of the nonlinear absorptive transport of monoclonal antibodies.
PMID- 21887598
TI - Development of effective cancer vaccine using targeting system of antigen protein
to APCs.
AB - PURPOSE: To develop a novel cancer vaccine using the targeting system of antigen
protein to antigen-presenting cells (APCs) for efficient and safe cancer therapy.
METHODS: The novel delivery system was constructed with antigen protein,
benzalkonium chloride (BK), and gamma-polyglutamic acid (gamma-PGA), using
ovalbumin (OVA) as a model antigen protein and evaluating its immune induction
effects and utilities for cancer vaccine. RESULTS: BK and gamma-PGA enabled
encapsulation of OVA and formed stable anionic particles at nanoscale,
OVA/BK/gamma-PGA complex. Complex was taken up by dendritic cell line DC2.4 cells
efficiently. We subcutaneously administered the complex to mice and examined
induction of IgGs. The complex induced not only Th2-type immunoglobulins but also
Th1-type immunoglobulins. OVA/BK/gamma-PGA complex inhibited tumor growth of E.G7
cells expressing OVA regularly; administered OVA/BK/gamma-PGA complex completely
rejected tumor cells. CONCLUSION: The novel vaccine could be platform technology
for a cancer vaccine.
PMID- 21887599
TI - Influence of bias on visuospatial and verbal compatibility effects in mixed
mapping environments.
AB - The advantage for the compatible mapping of physical locations or arrows to
keypresses is reduced when trials with compatible and incompatible mappings are
mixed, whereas the advantage is increased for location words. We evaluated
explanations of these mixing effects by varying the proportions of compatible and
incompatible trials for groups performing with each stimulus mode. The mappings
were compatible on 75%, 50%, and 25% of the trials, respectively, for compatibly
biased, unbiased, and incompatibly biased conditions. For locations and arrows,
compatible bias increased the SRC effect, and incompatible bias reduced the
effect; for location words, the incompatible bias was stronger than the
compatible one. Reaction time distributions showed that, with locations and
arrows, initial activation toward either the compatible (unbiased condition) or
the predominant (biased conditions) response was transient. With words,
activation of the corresponding response increased across the distribution,
regardless of bias condition. The influence of bias on the SRC effects was
relatively independent of the mixing and sequential effects, and was different
for words than for nonwords. These results are consistent with the view that
visuospatial stimuli produce transient activation of the corresponding or
predominant response, whereas location words produce phonological activation,
required for word identification, that persists.
PMID- 21887600
TI - Forecasting the economic benefit of reducing non-operative time.
PMID- 21887601
TI - [Validation of the French version of the non-communicating children's pain
checklist - postoperative version].
AB - PURPOSE: The aim of the study was to test the validity of a French language
version of the Non-Communicating Children's Pain Checklist - Postoperative
Version (NCCPC-PV): grille d'evaluation de la douleur-deficience intellectuelle
(GED-DI). METHODS: We assessed the intensity of pain in 87 intellectually
disabled surgical patients recruited in four Canadian and French hospitals in the
pre- and post-operative settings using the GED-DI, a 100-mm visual analogue pain
scale (VAS) and the Rosen sedation scale. The validity of the GED-DI was measured
by the difference in scores between pre- and postoperative conditions. The
checklist was made up of 30 items divided into seven subgroups. Items were rated
from 0 to 3 for a total score ranging from 0 to 90 points. RESULTS: The mean
(standard deviation) age of the patients was 17 (11) yr and the mean mental age
24.5 (24) months. The total GED-DI score was 6.1 (4.9) pre- and 13.4 (11.2) post
surgery (P < 0.001). All subgroups had a higher score after surgery (P < 0.001).
The receiver operating characteristic (ROC) curves, comparing the absence of pain
to mild pain scores and moderate to severe pain scores, showed a cutoff at 6
(mild pain) and 11 (moderate to severe pain). CONCLUSION: The French version of
the NCCPC-PV can be used to assess pain in non-communicating patients with
intellectual disabilities in a postoperative setting. It has good content
validity, as the total pre-surgery score for the GED-DI was significantly lower
than the postoperative score, and showed a good concurrent validity when compared
to the VAS.
PMID- 21887602
TI - Sevoflurane and desflurane protect cholinergic-induced bronchoconstriction of
hyperreactive airways in rabbits.
AB - PURPOSE: The potential of desflurane to alter respiratory mechanics in the
presence of bronchial hyperresponsiveness (BHR) is still a subject of debate.
Accordingly, we evaluated the bronchoprotective potential of desflurane compared
with sevoflurane following cholinergic lung constriction in rabbits with normal
and hyperreactive airways. METHODS: The input impedance of the respiratory system
(Zrs) was measured during midazolam-based anesthesia before and during
intravenous infusions of increasing doses of methacholine (MCh). The rabbits in
the control group (Group C) were then randomized to receive either sevoflurane 1
MAC followed by desflurane 1 MAC or vice versa, whereas ovalbumin-sensitized
rabbits received sevoflurane followed by desflurane (Group S-SD) or vice versa
(Group S-DS). Baseline Zrs measurements and the MCh provocations were repeated
under the maintenance of each volatile agent. Airway resistance (Raw), tissue
damping (G), and elastance data were obtained from Zrs by model fitting. RESULTS:
Similar bronchoprotective effects of sevoflurane and desflurane against MCh
induced bronchoconstriction were observed independently of the severity of the
bronchospasm and the presence of BHR. With sevoflurane, the decreases in Raw
ranged from 22 (8.8)% to 44 (12)%, and with desflurane, they ranged from 22
(8.7)% to 50 (12)%. The increases in G reflecting the enhanced ventilation
heterogeneities in the lung periphery were not affected by the volatile agents.
CONCLUSIONS: If the contractile stimulus is cholinergic in origin, sevoflurane
and desflurane exert similar bronchoprotective potentials to act against lung
constriction independent of the presence of BHR. These volatile anesthetics
otherwise lack a potential to improve the enhanced ventilation heterogeneities
that develop particularly in the presence of BHR.
PMID- 21887604
TI - Radiation and ethylene oxide terminal sterilization experiences with drug eluting
stent products.
AB - Radiation and ethylene oxide terminal sterilization are the two most frequently
used processes in the medical device industry to render product within the final
sterile barrier package free from viable microorganisms. They are efficacious,
safe, and efficient approaches to the manufacture of sterile product. Terminal
sterilization is routinely applied to a wide variety of commodity healthcare
products (drapes, gowns, etc.) and implantable medical devices (bare metal
stents, heart valves, vessel closure devices, etc.) along with products used
during implantation procedures (catheters, guidewires, etc.). Terminal
sterilization is also routinely used for processing combination products where
devices, drugs, and/or biologics are combined on a single product. High patient
safety, robust standards, routine process controls, and low-cost manufacturing
are appealing aspects of terminal sterilization. As the field of combination
products continues to expand and evolve, opportunity exists to expand the
application of terminal sterilization to new combination products. Material
compatibility challenges must be overcome to realize these opportunities. This
article introduces the reader to terminal sterilization concepts, technologies,
and the related standards that span different industries (pharmaceutical, medical
device, biopharmaceuticals, etc.) and provides guidance on the application of
these technologies. Guidance and examples of the application of terminal
sterilization are discussed using experiences with drug eluting stents and
bioresorbable vascular restoration devices. The examples provide insight into
selecting the sterilization method, developing the process around it, and finally
qualifying/validating the product in preparation for regulatory approval and
commercialization. Future activities, including new sterilization technologies,
are briefly discussed.
PMID- 21887603
TI - Ethylcellulose-based matrix-type microspheres: influence of plasticizer RATIO as
pore-forming agent.
AB - In this study, ethylcellulose (EC)-based microsphere formulations were prepared
without and with triethyl citrate (TEC) content of 10% and 30% by water-in-oil
emulsion-solvent evaporation technique. Diltiazem hydrochloride (DH) was chosen
as a hydrophilic model drug and used at different drug/polymer ratios in the
microspheres. The aim of the work was to evaluate the influence of plasticizer
ratio on the drug release rate and physicochemical characteristics of EC-based
matrix-type microspheres. The resulting microspheres were evaluated for
encapsulation efficiency, particle size and size distribution, surface
morphology, total pore volume, thermal characteristics, drug release rates, and
release mechanism. Results indicated that the physicochemical properties of
microspheres were strongly affected by the drug/polymer ratio investigated and
the concentration of TEC used in the production technique. The surface morphology
and pore volume of microspheres significantly varied based on the plasticizer
content in the formulation. DH release rate from EC-based matrix-type
microspheres can be controlled by varying the DH to polymer and plasticizer
ratios. Glass transition temperature values tended to decrease in conjunction
with increasing amounts of TEC. Consequently, the various characteristics of the
EC microspheres could be modified based on the plasticized ratio of TEC.
PMID- 21887605
TI - Use of the Gerchberg-Saxton algorithm in optimal coherent anti-Stokes Raman
spectroscopy.
AB - We are utilizing recent advances in ultrafast laser technology and recent
discoveries in optimal shaping of laser pulses to significantly enhance the stand
off detection of explosives via control of molecular processes at the quantum
level. Optimal dynamic detection of explosives is a method whereby the
selectivity and sensitivity of any of a number of nonlinear spectroscopic methods
are enhanced using optimal shaping of ultrafast laser pulses. We have recently
investigated the Gerchberg-Saxton algorithm as a method to very quickly estimate
the optimal spectral phase for a given analyte from its spontaneous Raman
spectrum and the ultrafast laser pulse spectrum. Results for obtaining selective
coherent anti-Stokes Raman spectra (CARS) for an analyte in a mixture, while
suppressing the CARS signals from the other mixture components, are compared for
the Gerchberg-Saxton method versus previously obtained results from closed-loop
machine-learning optimization using evolutionary strategies.
PMID- 21887606
TI - Cation exchange HPLC analysis of desmosines in elastin hydrolysates.
AB - Desmosine crosslinks are responsible for the elastic properties of connective
tissues in lungs and cardiovascular system and are often compromised in disease
states. We developed a new, fast, and simple cation exchange HPLC assay for the
analysis of desmosine and isodesmosine in animal elastin. The method was
validated by determining linearity, accuracy, precision, and desmosines stability
and was applied to measure levels of desmosines in porcine and murine organs. The
detection and quantification limits were 2 and 4 pmol, respectively. The run-time
was 8 min. Our cation exchange column does not separate desmosine and
isodesmosine, but their level can be quantified from absorbance at different
wavelengths. Using this assay, we found that desmosines levels were significantly
lower in elastin isolated from various organs of immunodeficient severe combined
immunodeficiency mice compared with wild-type animals. We also found that
desmosines levels were lower in lung elastin isolated from hyperhomocysteinemic
Pcft(-/-) mice deficient in intestinal folate transport compared with wild-type
Pcft(+/+) animals.
PMID- 21887607
TI - Button botany: plasmodesmata in vegetable ivory.
AB - The hard endosperm of species of the palm genus Phytelephas (elephant plant),
known as vegetable ivory, was used in the manufacture of buttons in the
nineteenth century, the early twentieth century, and again in more recent times.
Here, we show that the pathways for intercellular communication, including the
cytoplasm in opposite pits and the plasmodesmata that traverse the cell wall, can
be visualized in century-old inexpensive buttons that are readily available in
antique shops.
PMID- 21887608
TI - Detection of asymptomatic adenocarcinoma at endoscopy prior to gastric banding
justifies routine endoscopy.
AB - BACKGROUND: Pre-operative upper gastrointestinal (GI) endoscopy prior to gastric
banding surgery remains controversial. We report the finding of asymptomatic
oesophageal adenocarcinomas detected at pre-operative endoscopy in our unit.
METHODS: Data from a prospectively maintained database concerning the period May
2003 to October 2010 were reviewed. We identified 371 patients who had undergone
upper GI endoscopy prior to gastric banding. Endoscopy reports were reviewed to
identify any pathology detected. RESULTS: Endoscopy revealed abnormalities in 207
(56%) patients. A range of abnormalities were detected, the most significant of
which were two asymptomatic oesophageal adenocarcinomas. CONCLUSIONS: The
detection of two early, asymptomatic oesophageal adenocarcinomas in a high risk
patient group justifies our policy of routine upper GI endoscopy prior to gastric
banding surgery.
PMID- 21887610
TI - Comparing central retinal thickness in diabetic macular edema measured by two
different spectral-domain optical coherence tomography devices.
AB - PURPOSE: We evaluated central retinal thickness (CRT) in diabetic macular edema
(DME) using two different spectral-domain (SD) optical coherence tomography (OCT)
instruments: the Cirrus and Spectralis OCTs. METHODS: CRT was measured in 63 eyes
of 32 patients with DME using both instruments on the same day. RESULTS: CRT
measurements were significantly greater for the Spectralis than the Cirrus (p <
0.0003, n = 63, paired t test); mean CRT values were 382 +/- 136 MUm with the
Cirrus and 394 +/- 139 MUm with the Spectralis. In eyes with poor best-corrected
visual acuity (BCVA) (<20/40), mean CRT was 378 +/- 130 MUm with the Cirrus and
395 +/- 139 MUm with the Spectralis (p < 0.007, n = 29). In eyes with good acuity
(equal to or better than 20/40), the mean CRT was 385 +/- 142 MUm with the Cirrus
and 393 +/- 140 MUm with the Spectralis (p < 0.005, n = 34). CONCLUSIONS: Foveal
retinal thickness measurements may vary among SD-OCT devices. The differences
between instruments could affect the results of a multicenter study.
PMID- 21887609
TI - Cutting-edge issues in organ-specific autoimmunity.
AB - There have been numerous methods and ways to classify autoimmune diseases. By
far, the most traditional has been to separate immune-mediated pathology into
organ-specific and organ-non-specific diseases. The classic systemic autoimmune
diseases are, of course, rheumatoid arthritis and systemic lupus. The classic
organ-specific autoimmune diseases have been autoimmune thyroiditis and
autoimmune gastritis. However, as our understanding of the loss of tolerance has
expanded, so has the long list of autoimmune diseases. In many cases, the
distinction between organ-specific and organ-non-specific or systemic
autoimmunity becomes a blur. In this issue, we discuss recent concepts in
autoimmune pancreatitis, primary sclerosing cholangitis, Goodpasture's syndrome,
myofasciitis, type I diabetes, polymyositis, autoimmune thyroid disease, IgA
nephropathy, autoimmune uveitis, and ANCA-associated vasculitis. Common themes on
both etiology and effector mechanisms are described throughout these papers with
an attempt to provide a cutting-edge overview.
PMID- 21887611
TI - Peculiarities of clinical profile of snoring and mild to moderate obstructive
sleep apnea-hypopnea syndrome patients.
AB - PURPOSE: The purpose of this study is to perform comprehensive evaluation of the
snoring and mild to moderate obstructive sleep apnea-hypopnea syndrome (OSAHS)
patients for their anatomical, functional, and psychoemotional clinical
properties. METHODS: Seventy-four snoring patients, aged 24 to 64 (mean 41.83 +/-
11.01) years underwent full-night polysomnography, nasopharyngoscopy, and Mueller
maneuver. Clinical tests battery consisting of visual analogue scales (VAS)
scales, Lithuanian version of Sleep Apnea Quality of Life Index (SAQLI-LT),
Spielberg's State-Trait Anxiety Inventory (STAI), Beck Depression Inventory
Second Edition (BDI-II), and Epworth Sleepiness Scale (ESS) were applied to
assess their distinctive clinical properties. RESULTS: The total group of snoring
and mild to moderate OSAHS patients presented with considerably enlarged VAS
snoring and daytime sleepiness scores (mean 66.32 +/- 19.07 and 35.03 +/- 27.83
points), mild BDI-II scores (mean 10.96 +/- 9.42 points), and moderate trait
anxiety scores (mean 41.51 +/- 8.62 points). All the scores of daytime complaints
measured with the VAS correlated statistically significantly with the mean scores
of the ESS, SAQLI-LT, trait anxiety, and BDI-II. Both groups, of snoring and mild
to moderate OSAHS patients, indicated similar intensity of the major complaints
according to the VAS, same as similar BDI-II, STAI, and SAQLI-LT scores. A higher
Friedman's score of palatal tonsils was found in the group of snoring patients,
comparing to that of the group of mild to moderate OSAHS patients (p < 0.05).
CONCLUSIONS: Snoring and mild to moderate OSAHS patients have resemblances in
their distinctive anatomical and clinical properties. This group of the patients
revealed mild depression and moderate trait anxiety scores when measured with the
BDI-II and STAI, which correlated significantly with the severity of the
patients' daytime complaints measured with the VAS.
PMID- 21887612
TI - Gene * environment interactions in type 2 diabetes.
AB - People vary genetically in their susceptibility to the effects of environmental
risk factors for many diseases. Genetic variation also underlies the extent to
which people respond appropriately to clinical therapies. Defining the basis to
the interactions between the genome and the environment may help elucidate the
biologic basis to diseases such as type 2 diabetes, as well as help target
preventive therapies and treatments. This review examines 1) some of the most
current evidence on gene * environment interactions in relation to type 2
diabetes; 2) outlines how the availability of information on gene * environment
interactions might help improve the prevention and treatment of type 2 diabetes;
and 3) discusses existing and emerging strategies that might enhance our ability
to detect and exploit gene * environment interactions in complex disease traits.
PMID- 21887613
TI - [Dental medicine in transition].
PMID- 21887614
TI - [Guidelines and recommendations from scientific associations within a European
context].
AB - Guidelines and recommendations are increasingly impacting day-to-day clinical
care in medicine and dentistry. Although guidelines are only meant to define a
range of treatment measures that have been proven to be medically useful, they
can have a significant impact on both health care politics and reimbursement
strategies as well as be misused to direct particular treatment modalities into
the hands of certain specialties. Because these effects tend to not only
negatively influence the acceptance but also impair the implementation of
guidelines, the process of guideline compilation has to be transparent and based
on clearly defined methodology. The German Association of Dental and
Craniomandibular Sciences ("Deutsche Gesellschaft fur Zahn-, Mund- und
Kieferheilkunde", DGZMK) is the umbrella organization of all scientific dental
associations in Germany, and initiating new guideline projects as well as
continuously updating existing guidelines is one of one of its major tasks. These
activities are pursued in cooperation with the "Zahnarztliche Zentralstelle
Qualitatssicherung" (ZZQ) and the "Arbeitsgemeinschaft wissenschaftlich
medizinischer Fachgesellschaften" (AWMF).
PMID- 21887615
TI - [Oral health in Germany: an oral epidemiological outline].
AB - The article describes the current situation with regard to oral health in Germany
on the basis of selected surveys. The analysis is confined to the two main oral
diseases, i.e., dental caries and periodontitis. At the same, data on the rates
of restorative procedures are presented and trends in the development of
prevalences are addressed. Whereas in Germany caries (crown caries) is clearly
declining as a burden of disease, the situation with respect to the prevalence of
periodontitis is unclear; however, the undertreatment of people having
periodontitis in Germany must be assumed. Tooth loss among the adult population
in Germany has decreased considerably.
PMID- 21887616
TI - [Dental health in the United Kingdom and influencing variables].
AB - OBJECTIVE: To review four key topics pertaining to the oral health of the United
Kingdom (UK): (1) provision of state-funded dentistry, (2) trends in oral health,
(3) dental caries prevention, and (4) determinants of dental health. METHODS:
Data were abstracted, mainly from peer-reviewed publications in the literature.
Information was updated where appropriate. RESULTS: Since the 1948 inception of
the National Health Service (NHS) and its General Dental Service (GDS), the
system of providing dentistry has evolved in response to changing fiscal and
health circumstances. Since the 1970s, the oral health of the population, both
children's dental decay experience and the decline adult tooth loss, has improved
steadily and substantially. Approaches towards prevention are discussed and the
dominant position of water fluoridation highlighted. The determinants of dental
health are analysed. CONCLUSION: Dental caries experience of children in the UK
and the rest of Europe is highly correlated with national wealth as are two other
significant determinants: fluoride toothpaste and sugar consumption. The activity
of dental professionals appears to have only a limited influence on levels of
oral health. There is reason to believe that UK water fluoridation coverage may
broaden.
PMID- 21887617
TI - [The profile of the dentist in science and practice: based on the new dental
licensure act].
AB - The profession of dentistry developed essentially based on the rapid progress of
research in general. With the law of dentistry in 1952, the dentist became an
independent profession in Germany. The EU directive 2005/36 for recognition of
professional qualifications now regulates the requirements for practicing as a
dentist in the European Union. Today, dentistry is a scientifically based medical
discipline which has increased its preventive, diagnostic, and therapeutic
possibilities especially over the last 3 decades. The implementation is carried
out in dental practice under the framework and basic conditions of present health
care policy and conditions. A new order regulating licenses to practice dentistry
is under development in which the first 2 years will be nearly identical with the
studies of medicine and the medical licensure act. The closer orientation toward
medicine is reasonable as more multimorbid patients will have a need for dental
treatment in daily practice and co-operation with physicians will be more
frequent in the future. New occupational profiles in dentistry are proposed above
the level of a graduated dentist as well as below but also in completely new
noncurative areas.
PMID- 21887618
TI - [Dental education in Germany: new concepts for the dental curriculum].
AB - In Germany, the dental curriculum is still based on dental licensing regulations
("Approbations-/Prufungsordnung fur Zahnarzte") from 1955. Essential changes of
the dental licensing regulations have not been made for over 50 years-unlike the
medical licensing regulations in Germany. Teaching and learning concepts have,
nevertheless, changed considerably in medical and dental education over time. The
present study delivers an analysis about reform initiatives in dental education
in Germany and introduces examples of innovative projects. To be able to
establish long-term and broad reforms in dental education, new licensing
regulations for dentists are required. This should create a contemporary
framework for education, which assigns resources and enables occupational profile
development at specific locations. Thereby, compatibility with the medical
curriculum has to be guaranteed just as required adaptations of admission and
curricular capacity regulations for dentistry.
PMID- 21887619
TI - [German dental and postgraduate education in the European context].
AB - The dental curriculum in Germany is still based on a concept from 1955 with some
revisions in certain aspects. All groups involved are interested in a new and
more current version. In doing this, the compatibility with European concepts
should be a main goal. The Association for Dental Education in Europe (ADEE), to
which about 160 of the 200 European dental education associations are members, is
in charge of coordinating projects to create a network of European universities,
which intends to harmonize higher education in Europe and to create a core
curriculum for the dentistry program. Based on a visitation and evaluation
program at more than 50 oral and maxillofacial surgery centers, a paper for the
profiles and competencies for future European dentists was formulated for the
creation of a modular curriculum, for the integration of the ECT (European Credit
Transfer) system, and for quality assurance of the dentistry curriculum.
Especially for the situation in Germany, consequences must be drawn for further
dentistry and postgraduate educational concepts, which are not completely
identical with the ADEE concepts, but which can use elements of the basic Bologna
concepts.
PMID- 21887620
TI - [Oral medicine: a specialty placed between medicine and dentistry].
AB - Oral medicine is a dental specialty that bridges the traditional areas of health
between dentistry and medicine. International descriptions reflect this and oral
medicine is defined as "the dental speciality placed at the interface between
medicine and dentistry and is concerned with the diagnosis and management of (non
dental) pathology affecting the oral and maxillofacial region." Oral medicine
specialists provide clinical care to patients with a wide variety of orofacial
conditions, including oral mucosal diseases, orofacial pain syndromes, salivary
gland disorders, and oral manifestations of systemic diseases. There is a growing
need to implement this specialty globally: due to the rapid progress in both
medicine and dentistry, and to the growing percentage of senior citizens in many
countries, the adequate diagnosis and treatment of oral diseases will become even
more complex in the future. In this article, the authors' intention is to point
out that oral medicine is neither a recognized specialty nor a distinct field of
study in Germany, Austria, or Switzerland; thus, the need for postgraduate
training in this field in countries where oral medicine is not a specialization
is emphasized.
PMID- 21887621
TI - [Medically compromised patients in the dental office: demographics and progress
in health care].
AB - Due to changing demographics in our society, there are an increasing number of
patients with risk factors presenting for dental care. Unfortunately valid
screening instruments to identify these patients are lacking. Especially in
elderly patients, there is often an association between the high number of
prescribed medications and oral symptoms. Using supportive therapy in oncology
(e.g., radio(chemo)therapy) as an example, the role of modern dentistry and its
interaction with general medicine is illustrated. Modern substances, e.g.,
antiangiogenetics, with still unknown side effects represent challenging new
developments in the field of oral medicine. Even with some examples of positive
representation of patients with risk factors in the health systems, e.g., S 28
SGB V, it is clear that the pressure on the costs in the health systems leads to
an undertreatment of patients with risk factors. Only by adapting dental and
postgraduate training with respect to these aspects, together with better
financial reimbursement of the additional efforts, will this trend be overcome.
PMID- 21887622
TI - [Special care dentistry for dependent elderly and people with disabilities].
AB - In 2009, 7.1 million people (8% of the population) were officially recognized as
having severe disabilities in the Federal Republic of Germany. At the same time,
about 2.34 million Germans were in need of special care with some overlap between
these groups. Although structured preventive programs in Germany helped to
improve oral health generally (DMS IV 2006), care recipients and people with
disabilities did not benefit to the same extent from this development. They often
show a higher risk of caries and periodontal diseases due to both a lack of
compliance and insufficient personal plaque control. It is desirable that care
recipients and persons with disabilities attain the same level of oral health as
persons without impairments. All care givers ought to be aware of the dental
problems of these groups and should be sufficiently trained to deal with them.
Dental care should compensate any deficits to ultimately attain the same standard
of oral health. To achieve these goals, ease of access to dental care as well as
dental services appropriate to the impairments have to be established.
PMID- 21887623
TI - [Current concepts in diagnosis and treatment of oral malignant tumors].
AB - This article offers a short review of risk factors, oral precancerous conditions,
and oral precancerous lesions which may cause oral squamous carcinoma. Current
diagnostic methods and multidisciplinary strategies for the early detection and
appropriate therapy of oral squamous carcinomas are discussed. Close cooperation
of oral and maxillofacial surgeons, head and neck surgeons, radiotherapists, and
oncologists is essential for the effective therapy of oral squamous carcinomas.
PMID- 21887624
TI - [Relationship between periodontitis and systemic diseases].
AB - Periodontitis is a biofilm-induced inflammatory disease affecting the
periodontium with a high and even increasing prevalence in the German population.
During recent years, there is emerging evidence for systemic effects of a
periodontal infection, in particular in relation to diabetes and atherosclerosis.
There is a bi-directional relationship between periodontitis and diabetes.
Diabetes promotes the occurrence, the progression, and the severity of
periodontitis. The periodontal infection complicates the glycemic control in
diabetes, increases the risk of diabetes-associated complications and possibly
even of its onset. As a consequence, the treatment of periodontal infections
should become an integral part of the management of diabetes, whereas glycemic
control is a prerequisite for successful periodontal therapy. Periodontal
infections are considered as independent risk factor for atherosclerosis and
their clinical sequelae, e.g., cerebro- and cardiovascular diseases. The positive
association is only moderate, however remarkably consistent. Periodontal therapy
can result in positive effects on subclinical markers of atherosclerosis.
PMID- 21887625
TI - [Significance of dental implants for health care].
AB - Nowadays, endosseous titanium implants must be considered as an evidence-based
treatment concept for the replacement of missing teeth. The economic evaluation
of scientific evidence suggests that patient satisfaction and oral health-related
quality of life may be improved by mandibular implant-retained overdentures over
conventional dentures. However, despite promising survival rates reported for
dental implants, an increase in the prevalence of peri-implant diseases is
emerging. Accordingly, concepts for quality management in education, research,
and practice are essential to further improve medical care in implant dentistry.
PMID- 21887626
TI - [Change and innovation in orthodontics].
AB - Long-term prophylaxis achievements, demographic changes, scientific progress,
patient requirements, and political regulations through social legislation will
fundamentally change the future of orthodontics, i.e., a reduction in children
and adolescent therapy as well as an increase in interdisciplinary complex
treatments for adult patients mostly outside the social security system. Health
care research at a high evidence level needs to be intensified due to social
political reasons. In addition to well-proven appliances, modern sometimes even
invisible appliances (CAD-CAM) will be used in future orthodontic therapy. Three
dimensional diagnostics could improve treatment planning. Whether improved
prenatal diagnosis will alter the number of newborns with dentofacial
malformations (cleft lip and palate) or syndromes (e.g., Down syndrome), thus,
changing treatment needs in the future, cannot be predicted today, due to the
multiple influencing factors. A well-structured 4-year specialist training
according to European guidelines will also be necessary in the future to comply
with complex treatment needs be it within or outside the social security system
(quality assurance).
PMID- 21887627
TI - [MRSA bloodstream infections in hospitals in Frankfurt/Main, Germany, 2010 :
Results of the mandatory notification and suggestions for improvement].
AB - Since 1 July 2009 in accordance with the statuary order based on the German law
for infectious diseases (Infektionsschutzgesetz), MRSA in blood and liquor must
be notified to the public health authorities. The aim of extension of the
notification to report is to improve the surveillance of nosocomial infections
and the prevention of nosocomial MRSA infections. In addition to MRSA detection,
data on symptoms and risk factors, e.g., medical devices, must also be reported.
In this report, data of bloodstream MRSA infections in hospitals in
Frankfurt/Main, Germany, for the first complete year (2010)were evaluated. In
2010, 58 MRSA-positive bloodstream infections were reported by the 17 hospitals
in Frankfurt to the health protection authorities, i.e., 0.0360 MRSA/1,000
patient-days (range: 0- 0.109/1,000 patient-days). However, 10 of these
infections initially had not been reported to the public health department in
charge for the hospitals, but to the health departments according to the
patient's addresses. Although most of the infections were reported from large
hospitals (>100,000 patient-days/year), the highest incidences (0.0416/1,000
patient-days) were reported from small hospitals (<50,000 patient-days/year). Of
the blood specimen, 13 (22.4%) were drawn on the first day of hospital stay,
thus, indicating an imported infection. While 90% of the patients with MRSA in
the bloodstream suffered from fever, 80% had sepsis and 34.5% suffered from
pneumonia. Medical devices, such as central venous catheters and PEG, were
reported from 60% of the patients. In the MRE network Rhine-Main region, the
public health authorities asked for some more detailed information, such as risk
factors for MRSA colonization (history for MRSA, recent hospital stay or
antibiotic therapy, skin disorders, dialysis, residence in a retirements home),
and for screening results as well as for the MRSA management, i.e., isolation of
the patient and, if necessary, the contact patient. In 55% of the cases, the
patients were identified by the clinics themselves as being patients with MRSA
risk factors, mainly because of a history of MRSA (29%), recent hospital stay
(71%), and antibiotic therapy during the last 6 months (52%). Screening was
performed in 31 (53%) of the patients, most of them (71%) with positive MRSA nose
swab. If the patients were screened, significantly fewer contact patients had to
be screened and isolated later. Thus, to improve surveillance data on MRSA
bloodstream infections, the notification route to the public health authorities
responsible for the clinic hosting the patient must be strictly obeyed in order
to avoid underreporting und underassessment of nosocomial infection. Although
asking for clinical symptoms may be useful to validate the result in some cases,
focus should be placed on risk factors and risk management, including screening
and isolation. Only then can the aim of improving surveillance and reduction of
nosocomial MRSA infection be achieved.
PMID- 21887628
TI - [Public health requirements in punctures and injections].
PMID- 21887633
TI - Characterization of nitrogen-fixing bacteria isolated from field-grown barley,
oat, and wheat.
AB - Diazotrophic bacteria were isolated from the rhizosphere of field-grown Triticum
aestivum, Hordeum vulgare, and Avena sativa grown in various regions of Greece.
One isolate, with the highest nitrogen-fixation ability from each of the eleven
rhizospheres, was selected for further characterisation. Diazotrophic strains
were assessed for plant-growth-promoting traits such as indoleacetic acid
production and phosphate solubilisation. The phylogenies of 16S rRNA gene of the
selected isolates were compared with those based on dnaK and nifH genes. The
constructed trees indicated that the isolates were members of the species
Azospirillum brasilense, Azospirillum zeae, and Pseudomonas stutzeri.
Furthermore, the ipdC gene was detected in all A. brasilence and one A. zeae
isolates. The work presented here provides the first molecular genetic evidence
for the presence of culturable nitrogen-fixing P. stutzeri and A. zeae associated
with field-grown A. sativa and H. vulgare in Greece.
PMID- 21887634
TI - Bacterial structure and characterization of plant growth promoting and oil
degrading bacteria from the rhizospheres of mangrove plants.
AB - Most oil from oceanic spills converges on coastal ecosystems, such as mangrove
forests, which are threatened with worldwide disappearance. Particular bacteria
that inhabit the rhizosphere of local plant species can stimulate plant
development through various mechanisms; it would be advantageous if these would
also be capable of degrading oil. Such bacteria may be important in the
preservation or recuperation of mangrove forests impacted by oil spills. This
study aimed to compare the bacterial structure, isolate and evaluate bacteria
able to degrade oil and stimulate plant growth, from the rhizospheres of three
mangrove plant species. These features are particularly important taking into
account recent policies for mangrove bioreme-diation, implying that oil
degradation as well as plant maintenance and health are key targets. Fifty-seven
morphotypes were isolated from the mangrove rhizospheres on Bushneil-Haas (BH)
medium supplemented with oil as the sole carbon source and tested for plant
growth promotion. Of this strains, 60% potentially fixed nitrogen, 16% showed
antimicrobial activity, 84% produced siderophores, 51% had the capacity to
solubilize phosphate, and 33% produced the indole acetic acid hormone. Using gas
chromatography, we evaluated the oil-degrading potential of ten selected strains
that had different morphologies and showed Plant Growth Promoting Rhizobacteria
(PGPR) features. The ten tested strains showed a promising degradation profile
for at least one compound present in the oil. Among degrader strains, 46% had
promising PGPR potential, having at least three of the above capacities. These
strains might be used as a consortium, allowing the concomitant degradation of
oil and stimulation of mangrove plant survival and maintenance.
PMID- 21887635
TI - Polymerase chain reaction-denaturing gradient gel electrophoresis analysis of
bacterial community structure in the food, intestines, and feces of earthworms.
AB - The bacterial communities in the food, intestines, and feces of earthworms were
investigated by PCR-denaturing Gradient gel electrophoresis (DGGE). In this
study, PCR-DGGE was optimized by testing 6 universal primer sets for microbial
16S rRNA in 6 pure culture strains of intestinal microbes in earthworms. One
primer set effectively amplified 16S rRNA from bacterial populations that were
found in the food, intestines, and feces of earthworms. Compared with the
reference markers from the pure culture strains, the resulting DGGE profiles
contained 28 unique DNA fragments. The dominant microorganisms in the food,
intestines, and feces of earthworms included Rhodobacterales bacterium,
Fusobacteria, Ferrimonas marina, Aeromonas popoffii, and soil bacteria. Other
straisn, such as Acinetobacter, Clostridium, and Veillonella, as well as rumen
bacteria and uncultured bacteria also were present. These results demonstrated
that PCR-DGGE analysis can be used to elucidate bacterial diversity and identify
unculturable microorganisms.
PMID- 21887636
TI - Symbiotic interaction of endophytic bacteria with arbuscular mycorrhizal fungi
and its antagonistic effect on Ganoderma boninense.
AB - Endophytic bacteria (Pseudomonas aeruginosa UPMP3 and Burkholderia cepacia
UMPB3), isolated from within roots of oil palm (Elaeis guineensis Jacq.) were
tested for their presymbiotic effects on two arbuscular mcorrhizal fungi, Glomus
intraradices UT126 and Glomus clarum BR152B). These endophytic bacteria were also
tested for antagonistic effects on Ganoderma boninense PER 71, a white wood rot
fungal pathogen that causes a serious disease in oil palm. Spore germination and
hyphal length of each arbuscular mycorrhizal fungal (AMF) pairing with endophytic
bacteria was found to be significantly higher than spores plated in the absence
of bacteria. Scanning electron microscopy (SEM) showed that the endophytic
bacteria were scattered, resting or embedded on the surface hyaline layer or on
the degraded walls of AMF spores, possibly feeding on the outer hyaline spore
wall. The antagonistic effect of the endophytic bacteria was expressed as severe
morphological abnormalities in the hyphal structures of G. boninense PER 71. The
effects of the endophytic bacteria on G. boninense PER 71 hyphal structures were
observed clearly under SEM. Severe inter-twisting, distortion, lysis and
shriveling of the hyphal structures were observed. This study found that the
effect of endophytic bacteria on G. intraradices UT126 and G. clarum BR152B
resembled that of a mycorrhiza helper bacteria (MHB) association because the
association significantly promoted AMF spore germination and hyphal length.
However, the endophytic bacteria were extremely damaging to G. boninense PER 71.
PMID- 21887637
TI - Methyl coenzyme M reductase (mcrA) gene based phylogenetic analysis of
methanogens population in Murrah buffaloes (Bubalus bubalis).
AB - The aim of the present study was to decipher the diversity of methanogens in
rumen of Murrah buffaloes so that effective strategies can be made in order to
mitigate methane emission from these methanogens. In the present study diversity
of rumen methanogens in Murrah buffaloes (Bubalus bubalis) from North India was
evaluated by using mcr-A gene library obtained from the pooled PCR product from
four animals and by using MEGA4 software. A total of 104 clones were examined,
revealing 26 different mcr-A gene sequences or phylotypes. Of the 26 phylotypes,
16 (64 of 104 clones) were less than 97% similar to any of the cultured strain of
methanogens. Seven clone sequences were clustered with Methanomicrobium mobile
and three clone sequences were clustered with Methanobrevibacter gottschalkii
during the phylogenetic analysis. Uncultured group of methanogens comes out to be
the major component of the methanogens community structure in Murrah buffaloes.
Methanomicrobium phylotype comes out to be major phylotype among cultured
methanogens followed by Methanobrevibacter phylotype. These results help in
making effective strategies to check the growth of dominant communities in the
rumen of this animal which in turn help in the reduction of methane emission in
the environment and ultimately helps us in fighting with the problem of global
warming.
PMID- 21887638
TI - Isolation and evaluation of terrestrial fungi with algicidal ability from Zijin
Mountain, Nanjing, China.
AB - Approximately 60 fungal isolates from Zijin Mountain (Nanjing, China) were
screened to determine their algicidal ability. The results show that 8 fungi
belonging to Ascomycota and 5 belonging to Basidiomycota have algicidal ability.
Of these fungi, Irpex lacteus T2b, Trametes hirsuta T24, Trametes versicolor
F21a, and Bjerkandera adusta T1 showed strong algicidal ability. The order of
fungal chlorophyll-a removal efficiency was as follows: T. versicolor F21a > I.
lacteus T2b > B. adusta T1 > T. hirsuta T24. In particular, T. versicolor F21a
completely removed algal cells within 30 h, showing the strongest algicidal
ability. The results also show that all 4 fungal species degraded algal cells
through direct attack. In addition, most of the tested fungi from the order
Polyporales of Basidiomycota exhibited strong algicidal activity, suggesting that
most fungi that belong to this order have algicidal ability. The findings of this
work could direct the search for terrestrial fungi for bloom control.
PMID- 21887639
TI - Isolation and analyses of uranium tolerant Serratia marcescens strains and their
utilization for aerobic uranium U(VI) bioadsorption.
AB - Enrichment-based methods targeted at uranium-tolerant populations among the
culturable, aerobic, chemo-heterotrophic bacteria from the subsurface soils of
Domiasiat (India's largest sandstone-type uranium deposits, containing an average
ore grade of 0.1 % U(3)O(8)), indicated a wide occurrence of Serratia marcescens.
Five representative S. marcescens isolates were characterized by a polyphasic
taxonomic approach. The phylogenetic analyses of 16S rRNA gene sequences showed
their relatedness to S. marcescens ATCC 13880 (>=99.4% similarity). Biochemical
characteristics and random amplified polymorphic DNA profiles revealed
significant differences among the representative isolates and the type strain as
well. The minimum inhibitory concentration for uranium U(VI) exhibited by these
natural isolates was found to range from 3.5-4.0 mM. On evaluation for their
uranyl adsorption properties, it was found that all these isolates were able to
remove nearly 90-92% (21-22 mg/L) and 60-70% (285-335 mg/L) of U(VI) on being
challenged with 100 MUM (23.8 mg/L) and 2 mM (476 mg/L) uranyl nitrate solutions,
respectively, at pH 3.5 within 10 min of exposure. his capacity was retained by
the isolates even after 24 h of incubation. Viability tests confirmed the
tolerance of these isolates to toxic concentrations of soluble uranium U(VI) at
pH 3.5. This is among the first studies to report uranium-tolerant aerobic
chemoheterotrophs obtained from the pristine uranium ore-bearing site of
Domiasiat.
PMID- 21887640
TI - Isolation and characterization of ethylbenzene degrading Pseudomonas putida E41.
AB - Pseudomonas putida E41 was isolated from oil-contaminated soil and showed its
ability to grow on ethyl-benzene as the sole carbon and energy source. Moreover,
P. putida E41 show the activity of biodegradation of ethylbenzene in the batch
culture. E41 showed high efficiency of biodegradation of ethylbenzene with the
optimum conditions (a cell concentration of 0.1 g wet cell weight/L, pH 7.0, 25
degrees C, and ethylbenzene concentration of 50 mg/L) from the results of the
batch culture. The maximum degradation rate and specific growth rate (MU(max))
under the optimum conditions were 0.19+0.03 mg/mg-DCW (Dry Cell Weight)/h and
0.87+0.13 h(-1), respectively. Benzene, toluene and ethylbenzene were degraded
when these compounds were provided together; however, xylene isomers persisted
during degradation by P. putida E41. When using a bioreactor batch system with a
binary culture with P. putida BJ10, which was isolated previously in our lab, the
degradation rate for benzene and toluene was improved in BTE mixed medium (each
initial concentration: 50 mg/L). Almost all of the BTE was degraded within 4 h
and 70-80% of m-, p-, and o-xylenes within 11 h in a BTEX mixture (initial
concentration: 50 mg/L each). In summary, we found a valuable new strain of P.
putida, determined the optimal degradation conditions for this isolate and tested
a mixed culture of E41 and BJ10 for its ability to degrade a common sample of
mixed contaminants containing benzene, toluene, and xylene.
PMID- 21887642
TI - Characterization of antibiotic resistance determinants in oral biofilms.
AB - Oral biofilms contain numerous antibiotic resistance determinants that can be
transferred within or outside of the oral cavity. The aim of this study was to
evaluate the prevalence and the relative level of antibiotic resistance
determinants from oral biofilms. Oral biofilm samples that were collected from
healthy subjects and periodontitis patients were subjected to qualitative and
quantitative analyses for selected antibiotic resistance determinants using PCR.
The prevalence of tet(Q), tet(M), cfxA, and bla ( TEM ) was very high both in the
patient and the healthy subject group, with a tendency toward higher values in
the patient group, with the exception of erm(F), which was more prevalent in the
healthy group. The two extended spectrum beta-lactam (ESBL) resistance
determinants bla ( SHV ) and bla ( TEM ) showed a dramatic difference, as bla (
TEM ) was present in all of the samples and bla ( SHV ) was not found at all. The
aacA-aphD, vanA, and mecA genes were rarely detected, suggesting that they are
not common in oral bacteria. A quantitative PCR analysis showed that the relative
amount of resistance determinants present in oral biofilms of the patient group
was much greater than that of the healthy group, exhibiting 17-, 13-, 145-, and 3
fold increases for tet(Q), tet(M), erm(F), and cfxA, respectively. The results of
this study suggest that the oral antibiotic resistome is more diverse and
abundant in periodontitis patients than in healthy subjects, suggesting that
there is a difference in the diversity and distribution of antibiotic resistance
in oral biofilms associated with health and disease.
PMID- 21887643
TI - Cyclic lipopeptide profile of three Bacillus subtilis strains; antagonists of
Fusarium head blight.
AB - The objective of the study was to identify the lipopetides associated with three
Bacillus subtilis strains. The strains are antagonists of Gibberella zeae, and
have been shown to be effective in reducing Fusarium head blight in wheat. The
lipopeptide profile of three B. subtilis strains (AS43.3, AS43.4, and OH131.1)
was determined using mass spectroscopy. Strains AS43.3 and AS43.4 produced the
anti-fungal lipopeptides from the iturin and fengycin family during the
stationary growth phase. All three strains produced the lipopeptide surfactin at
different growth times. Strain OH131.1 only produced surfactin under these
conditions. The antifungal activity of the culture supernatant and individual
lipopeptides was determined by the inhibition of G. zeae. Cell-free supernatant
from strains AS43.3 and AS43.4 demonstrated strong antibiosis of G. zeae, while
strain OH131.1 had no antibiosis activity. These results suggest a different
mechanism of antagonism for strain OH131.1, relative to AS43.3 and AS43.4.
PMID- 21887644
TI - Sphingomonas rosea sp. nov. and Sphingomonas swuensis sp. nov., rosy colored beta
glucosidase-producing bacteria isolated from soil.
AB - Two strains PB196(T) and PB62(T) of Gram-negative, non-motile, and non-spore
forming bacteria, were isolated from soil in South Korea and characterized to
determine their taxonomic positions. 16S rRNA gene sequence analysis showed that
the two strains belonged to the genus Sphingomonas. The highest degree of
sequence similarity of strain PB196(T) was found with PB62(T) (98.9%),
Sphingomonas humi PB323(T) (98.9%), Sphingomonas kaistensis PB56(T) (98.2%), and
Sphingomonas astaxanthinifaciens TDMA-17(T) (98.0%). The highest degree of
sequence similarity of strain PB62(T) was found with Sphingomonas humi PB323(T)
(98.8%), Sphingomonas astaxanthinifaciens TDMA-17(T) (98.2%), and Sphingomonas
kaistensis PB56(T) (98.1%). Chemotaxonomic data revealed that they possessed
ubiquinone-10 (Q-10) as common in the genus Sphingomonas, that the predominant
fatty acids were summed feature 7 (C(18:1) omega7c/omega9t/omega12t), summed
feature 4 (C(16:1) omega7c/C(15:0) iso 2OH), C(16:0), and C(17:1) omega6c, and
that they contained sphingoglycolipid, phosphatidylglycerol (PG), and
phosphatidyle-thanolamine (PE) in common but they showed difference for
diphosphatidylglycerol (DPG). Based on these data, PB196(T) (=KCTC 12339(T) =JCM
16604(T)) and PB62(T) (=KCTC 12336(T) =JCM 16605(T) =KEMB 9004-005(T)) should be
classified as type strains of two novel species, for which the names Sphingomonas
rosea sp. nov. and Sphingomonas swuensis sp. nov. are proposed, respectively.
PMID- 21887645
TI - Paenibacillus telluris sp. nov., a novel phosphate-solubilizing bacterium
isolated from soil.
AB - A phosphate-solubilizing bacterial strain designated PS38(T) was isolated from
farm soil. The isolate was a Gram-positive, motile, endospore-forming, rod-shaped
bacterium. It grew optimally at 37 degrees C and pH 7.5. The predominant cellular
fatty acids were anteiso-C(15:0), anteiso-C(17:0), and iso-C(16:0). The DNA G+C
content was 49.5 mol% and the predominant menaquinone was MK-7. Phylogenese
analyses based on 16S rRNA gene sequences showed that the strain PS38(T) belonged
to the genus Paenibacillus and was most closely related to Paenibacillus
chibensis JCM 9905(T), P. barengoltzii SAFN-016(T), P. timonensis 2301032(T), and
P. motobuensis MC10(T) with 96.3%, 96.0%, 95.9%, and 95.5% 16S rRNA gene sequence
similarity, respectively. On the basis of morphological, chemotaxonomic,
physiological, and phylogenetic properties, strain PS38(T) represents a novel
species of the genus Paenibacillus, for which the name Paenibacillus telluris sp.
nov. is proposed. The type strain is PS38(T) (=KCTC 13946(T) =CGMCC 1.10695(T)).
PMID- 21887646
TI - Identification of enriched conjugated linoleic acid isomers in cultures of
ruminal microorganisms after dosing with 1-(13)C-linoleic acid.
AB - Most studies of linoleic acid biohydrogenation propose that it converts to
stearic acid through the production of cis-9 trans-11 CLA and trans-11 C18:1.
However, several other CLA have been identified in ruminai contents, suggesting
additional pathways may exist. To explore this possibility, this research
investigated the linoleic acid biohydrogenation pathway to identify CLA isomers
in cultures of ruminai microorganisms after dosing with a (13)C stable isotope.
The (13)C enrichment was calculated as [(M+1/M)*100] in labeled minus unlabeled
cultures. After 48 h incubation, significant (13)C enrichment was observed in
seven CLA isomers, indicating their formation from linoleic acid. All enriched
CLA isomers had double bonds in either the 9,11 or 10,12 position except for
trans-9 cis-11 CLA. The cis-9 trans-11 CLA exhibited the highest enrichment
(30.65%), followed by enrichments from 21.06 to 23.08% for trans-10 cis-12, cis
10 trans-12, trans-9 trans-11, and trans-10 trans-12 CLA. The remaining two CLA
(cis-9 cis-11 and cis-10 cis-12 CLA) exhibited enrichments of 18.38 and 19.29%,
respectively. The results of this study verified the formation of cis-9 trans-11
and trans-10 cis-12 CLA isomers from linoleic acid biohydrogenation. An
additional five CLA isomers also contained carbons originating from linoleic
acid, indicating that pathways of linoleic acid biohydrogenation are more complex
than previously described.
PMID- 21887641
TI - Microbial community analysis and identification of alternative host-specific
fecal indicators in fecal and river water samples using pyrosequencing.
AB - It is important to know the comprehensive microbial communities of fecal
pollution sources and receiving water bodies for microbial source tracking.
Pyrosequencing targeting the V1-V3 hypervariable regions of the 16S rRNA gene was
used to investigate the characteristics of bacterial and Bacteroidales
communities in major fecal sources and river waters. Diversity analysis indicated
that cow feces had the highest diversities in the bacterial and Bacteroidales
group followed by the pig sample, with human feces having the lowest value. The
Bacteroidales, one of the potential fecal indicators, totally dominated in the
fecal samples accounting for 31%-52% of bacterial sequences, but much less (0.6%)
in the river water. Clustering and Venn diagram analyses showed that the human
sample had a greater similarity to the pig sample in the bacterial and
Bacteroidales communities than to samples from other hosts. Traditional fecal
indicators, i.e., Escherichia coli, were detected in the human and river water
samples at very low rates and Clostridium perfringens and enterococci were not
detected in any samples. Besides the Bacteroidales group, some microorganisms
detected in the specific hosts, i.e., Parasutterella excrementihominis,
Veillonella sp., Dialister invisus, Megamonas funiformis, and Ruminococcus
lactaris for the human and Lactobacillus amylovorus and Atopostipes sp. for the
pig, could be used as potential host-specific fecal indicators. These
microorganisms could be used as multiple fecal indicators that are not dependent
on the absence or presence of a single indicator. Monitoring for multiple
indicators that are highly abundant and host-specific would greatly enhance the
effectiveness of fecal pollution source tracking.
PMID- 21887647
TI - Identification of an extracellular thermostable glycosyl hydrolase family 13
alpha-amylase from Thermotoga neapolitana.
AB - We cloned the gene for an extracellular alpha-amylase, AmyE, from the
hyperthermophilic bacterium Thermotoga neapolitana and expressed it in
Escherichia coli. The molecular mass of the enzyme was 92 kDa as a monomer.
Maximum activity was observed at pH 6.5 and temperature 75 degrees C and the
enzyme was highly thermostable. AmyE hydrolyzed the typical substrates for alpha
amylase, including soluble starch, amylopectin, and maltooli-gosaccharides. The
hydrolytic pattern of AmyE was similar to that of a typical alpha-amylase;
however, unlike most of the calcium (Ca(2+))-dependent alpha-amylases, the
activity of AmyE was unaffected by Ca(2+). The specific activities of AmyE
towards various substrates indicated that the enzyme preferred
maltooligosaccharides which have more than four glucose residues. AmyE could not
hydrolyze maltose and maltotriose. When maltoheptaose was incubated with AmyE at
the various time courses, the products consisting of maltose through
maltopentaose was evenly formed indicating that the enzyme acts in an endo
fashion. The specific activity of AmyE (7.4 U/mg at 75 degrees C, pH 6.5, with
starch as the substrate) was extremely lower than that of other extracellular
alpha-amylases, which indicates that AmyE may cooperate with other highly active
extracellular alpha-amylases for the breakdown of the starch or alpha-glucans
into maltose and maltotriose before transport into the cell in the members of
Thermotoga sp.
PMID- 21887648
TI - Transcriptional and biochemical characterization of two Azotobacter vinelandii
FKBP family members.
AB - Peptidyl-prolyl cis/trans isomerases (PPIases, EC: 5.2.1.8), a class of enzymes
that catalyse the rate-limiting step of the cis/trans isomerization in protein
folding, are divided into three structurally unrelated families: cyclophilins,
FK506-binding proteins (FKBPs), and parvulins. Two recombinant FKBPs from the
soil nitrogen-fixing bacterium Azotobacter vinelandii, designated as AvfkbX and
AvfkbB, have been purified and their peptidyl-prolyl cis/trans isomerase activity
against Suc-Ala-Xaa-Pro-Phe-pNA synthetic peptides characterised. The substrate
specificity of both enzymes is typical for bacterial FKBPs, with Suc-Ala-Phe-Pro
Phe-pNA being the most rapidly catalysed substrate by AvfkbX and Suc-Ala-Leu-Pro
Phe-pNA by AvfkbB. Both FKBPs display chaperone activity as well in the citrate
synthase thermal aggregation assay. Furthermore, using real-time RT-qPCR, we
demonstrated that both genes were expressed during the exponential growth phase
on glucose minimal medium, while their expression declined dramatically during
the stationary growth phase as well as when the growth medium was supplied
exogenously with ammonium.
PMID- 21887649
TI - The ATPase activity of the G2alt gene encoding an aluminium tolerance protein
from Anoxybacillus gonensis G2.
AB - The G2ALT gene was cloned and sequenced from the thermophilic bacterium
Anoxybacillus gonensis G2. The gene is 666 bp long and encodes a protein 221
amino acids in length. The gene was overexpressed in E. coli and purified to
homogeneity and biochemically characterized. The enzyme has a molecular mass of
24.5 kDa and it could be classified as a member of the family of bacterial
aluminium resistance proteins based on homology searches. When this fragment was
expressed in E. coli, it endowed E. coli with Al tolerance to 500 MUM. The
purified G2ALT protein is active at a broad pH range (pH 4.0-10.0) and
temperature range (25 degrees C-80 degrees C) with optima of 6.0 and the apparent
optimal temperature of 73 degrees C respectively. Under optimal conditions, G2ALT
exhibited a low ATPase activity with K (m) (-) and V (max) (-) values of 10+/
0.55 MUM and 26.81+/-0.13 mg Pi released/min/mg enzyme, respectively. The ATPase
activity of G2ALT requires Mg(2+) and Na(+) ions, while Zn(2+) and Al(3+)
stimulate the activity. Cd(2+) and Ag(+) reduced the activity and Li(+), Cu(2+),
and Co(2+) inhibited the activity. Known inhibitors of most ATPases, like such as
beta-mercaptoethanol and ouabain, also inhibited the activity of the G2ALT. These
biochemical characterizations suggested that G2ALT belongs to the PP-loop ATPase
superfamily and it can be responsible for aluminium tolerance in A. gonensis G2.
PMID- 21887650
TI - Macrolide resistance and in vitro selection of resistance to antibiotics in
Lactobacillus isolates.
AB - Spreading of resistance to antibiotics is of great concern due to the increasing
rate of isolation of multiresistant pathogens. Since commensal bacteria may
transfer determinants of resistance to pathogens, studies on development of
resistance should include also lactobacilli. Resistance to macrolides,
penicillins and tetracycline was determined in 40 isolates of Lactobacillus
acidophilus, Lactobacillus plantarum, Lactobacillus crispatus, and Lactobacillus
casei isolated from faeces of apparently healthy volunteers. Frequency of
mutation and changes in susceptibility after serial exposure to these antibiotics
at concentrations of 4* and 8* MIC were evaluated in susceptible isolates.
Acquired resistance was defined as an increment in MIC values of at least four
times in respect to the pre-selection values. Resistance to macrolides and/or
tetracycline was identified in 14 and 4 isolates, respectively. ermB gene and
A2058G mutation in 23S rRNA were detected in macrolide resistant isolates.
Frequencies of mutation of susceptible isolates (n=26) were lower for ampicillin
and erythromycin than for tetracycline. Serial exposure to antibiotics led to
selection of resistant mutants. However, acquired resistance was rather unstable
and was lost after subcultures in antibiotic-free medium in most mutants.
Resistance to erythromycin was associated to a A2058G mutation in 23S rRNA. In
conclusion, results indicate that resistance to macrolides and tetracycline is
present among intestinal lactobacilli. Decrease in susceptibility following
serial exposure to antibiotics might occur in lactobacilli, in a strain- and
antibiotic-dependent way. Since lactobacilli are often used as probiotics, their
ability to acquire resistance should be evaluated for isolates candidate to be
included in probiotics based products.
PMID- 21887651
TI - Food-borne enterococci and their resistance to oxidative stress.
AB - Enterococci are important food-borne pathogens that cause serious infections.
Several virulence factors have been described including aggregation substance,
gelatinase, cytolysin, and enterococcal surface protein. The ability to cause
infections is mainly dependent on the response to oxidative stress due to the
production of reactive oxygen species by immune cells. The aim of our study was
to analyze the resistance of enterococcal strains from food to clinically
relevant antiseptic agents with regard to the presence of selected virulence
factors, and to uncover potential mechanisms of the antioxidative resistance.
Eighty-two enterococcal isolates from Bryndza cheese were tested using in vitro
growth assays to study the ability of these isolates to survive exposure to
antiseptic agents - hydrogen peroxide, hypochlorite, and Chlorhexidine. Virulence
genotypes of the isolates were determined by PCR, and RT real time PCR was used
for gene expression under oxidative stress. Resistance against antiseptic agents
depends on the concentration of applied chemicals, on the time of exposure, but
also on virulence factors of the enterococcal strains. Oxidative stress induces
the expression of antioxidative enzymes and down-regulates the expression of
prooxidative enzymes. These effects are dependent on the virulence genotype of
the enterococcal strains. These findings are important for future research,
especially concerning the role of enterococci in oral diseases.
PMID- 21887652
TI - The activity of a small lytic peptide PTP-7 on Staphylococcus aureus biofilms.
AB - One of the most important features of bacterial biofilms is their resistance to
antibiotics and to the host immune system. In this study, we have found that a
small lytic peptide, PTP-7, is very potent to Gram-positive bacteria and is able
to kill antibiotic sensitive and resistant Staphylococcus aureus
indiscriminately. Further studies have revealed that despite being a cationic
peptide, the antibacterial activity of PTP-7 was not affected by the negatively
charged extracellular polymeric substance (EPS) of biofilms. PTP-7 could diffuse
into the deep layer of S. aureus biofilms to kill bacteria inside biofilms
efficiently and effectively. Neither the high concentrations of metal ions nor
the acidic pH in biofilms affected the activity of peptide PTP-7. It seems that
the unique sequence/structure together with the resistant bacteria killing
ability of peptide PTP-7 confers its anti-biofilm activity. This study sheds new
light on the treatment of bacterial biofilms, especially various biofilm related
infections.
PMID- 21887653
TI - Taxonomy of Eurotium species isolated from meju.
AB - Eurotium strains were isolated from 77 loaves of meju (dried fermented soybeans),
in various regions of Korea from 2008 to 2010. Morphological characteristics and
DNA sequences of beta-tubulin were examined. They were identified as Eurotium
amstelodami, E. chevalieri, E. herbariorum, E. repens, E. rubrum, and E.
tonophilum. Of these species, E. chevalieri and E. tonophilum had not been
previously reported in association with meju. E. chevalieri and E. repens were
the species isolated most frequently. This paper summarizes the morphological
characteristics of six Eurotium species and provides key to identify the species
from meju.
PMID- 21887654
TI - A rapid PCR-based approach for molecular identification of filamentous fungi.
AB - In this study, a novel rapid and efficient DNA extraction method based on
alkaline lysis, which can deal with a large number of filamentous fungal isolates
in the same batch, was established. The filamentous fungal genomic DNA required
only 20 min to prepare and can be directly used as a template for PCR
amplification. The amplified internal transcribed spacer regions were easy to
identify by analysis. The extracted DNA also can be used to amplify other protein
coding genes for fungal identification. This method can be used for rapid
systematic identification of filamentous fungal isolates.
PMID- 21887655
TI - Characterization of a mutant strain of a filamentous fungus Cladosporium phlei
for the mass production of the secondary metabolite phleichrome.
AB - UV-mutagenesis was performed to obtain mutant strains that demonstrate altered
production of phleichrome, a secondary metabolite of Cladosporium phlei. Among
fifty mutants selected, based on the increased area and intensity of the purple
pigment surrounding the colonies, the strain M0035 showed the highest production
of phleichrome, more than seven fold over wild type. Plate cultures of the M0035
strain resulted in a total of 592 mg phleichrome consisting of 146 mg and 446 mg
from the mycelia and agar media, respectively. The M0035 strain displayed a
growth rate and a mycelial mass comparable to the parental strain but had
significantly reduced asexual sporulation.
PMID- 21887656
TI - Complete sequence and organization of the Sphingobium chungbukense DJ77 pSY2
plasmid.
AB - Sphingobium chungbukense DJ77 is capable of metabolizing priority chemicals of
human health concern such as polycyclic aromatic hydrocarbons (PAHs),
extracellular polysaccharide (EPS), and antibiotics. Here, we report the complete
DNA and genetic organization of the plasmid pSY2 from strain DJ77. A DNA sequence
analysis revealed that pSY2 comprises 18,779 bp encoding 22 open reading frames
(ORFs) with 59.5% G+C content. The ORFs on pSY2 were classified into DNA
replication, conjugative function, transposition, plasmid stability/partition,
and other functional groups (transport, fatty acid biosynthesis, stress, and
growth rate regulation). Three ORFs on pSY2 were hypothetical proteins.
PMID- 21887657
TI - rRNASelector: a computer program for selecting ribosomal RNA encoding sequences
from metagenomic and metatranscriptomic shotgun libraries.
AB - Metagenomic and metatranscriptomic shotgun sequencing techniques are gaining
popularity as more cost-effective next-generation sequencing technologies become
commercially available. The initial stage of bioinfor-matic analysis generally
involves the identification of phylogenetic markers such as ribosomal RNA genes.
The sequencing reads that do not code for rRNA can then be used for protein-based
analysis. Hidden Markov model is a well-known method for pattern recognition.
Hidden Markov models that are trained on well-curated rRNA sequence databases
have been successfully used to identify DNA sequence coding for rRNAs in pro
karyotes. Here, we introduce rRNASelector, which is a computer program for
selecting rRNA genes from massive metagenomic and metatranscriptomic sequences
using hidden Markov models. The program successfully identified prokaryotic 5S,
26S, and 23S rRNA genes from Roche 454 FLX Titanium-based metagenomic and
metatranscriptomic libraries. The rRNASelector program is available at
http://sw.ezbiocloud.net/rrnaselector .
PMID- 21887658
TI - [Our children, our future].
PMID- 21887659
TI - [Universal newborn hearing screening (UNHS): is it possible to successfully
implement it nationwide?].
AB - BACKGROUND: Since 01.01.2009 in Germany a newborn hearing screening (UNHS) is
obligatory for every child. The UNHS is part of the guidelines for the prevention
of diseases for children up to 6 years of age (Kinder-Richtlinien). 2 years after
its introduction in Bavaria, we now evaluate whether the UNHS has been
implemented successfully,and if the quality criteria of the guidelines have been
met. METHODS: In the guidelines details for the procedure and screening quality
are given. The UNHS data from the screening facilities in Bavaria were evaluated
for process quality criteria like screening coverage, screening method, REFER
rate (rate of failed tests) and child age at diagnosis. RESULTS: More than 96 %
of all newborns were screened. The REFER rate for 2010 was 4.5 %. Only 18 % of
the controls were done by a paediatric audiologist.In 38.5 % of the newborns an
intervention of the screening centre was necessary to assure controlling of a
failed screening test. In 2009 the median age at diagnosis for a bilateral
hearing loss was 5.5 months, and the start of therapy was 6.2 months. CONCLUSION:
In Bavaria the UNHS was implemented successfully. A tracking system for all
children who failed the hearing screening test is pivotal for the early diagnosis
of children with bilateral hearing deficiency.
PMID- 21887661
TI - Hepatitis E: an emerging infectious disease in Germany?
AB - Increased frequencies of HEV infections have been reported in several
industrialized countries. We suggest that this finding might be explained by a
better awareness of the disease and not by an increased incidence. Although
reported HEV infections increased more than 6-fold in Germany in recent years,
the seroprevalence remained unchanged (2 %).
PMID- 21887662
TI - Ambrisentan improves exercise capacity and symptoms in patients with
portopulmonary hypertension.
AB - INTRODUCTION: Ambrisentan, a selective endothelin receptor antagonist has been
approved in several countries for pulmonary arterial hypertension. No data have
been published on the efficacy of ambrisentan on improvement of exercise capacity
in patients with portopulmonary hypertension (PoPH). PATIENTS AND METHODS: We
retrospectively analyzed the safety and efficacy of ambrisentan in patients with
PoPH in four German university hospitals. RESULTS: 14 patients with moderate to
severe PoPH were included. The median follow-up was 16 months (IQR, 12 - 21). 6
minute walk tests after 6 and 12 months improved from 376 meters (IQR, 207 - 440)
at baseline to 415 meters (IQR, 393 - 475; p = 0.011) and 413 meters (IQR, 362 -
473, p = 0.005), respectively. WHO- functional class after 1 year of therapy with
ambrisentan also improved significantly (p = 0.014). No significant changes in
blood gas analysis and liver function tests (aspartate aminotransferase, alanine
aminotransferase, total bilirubin, and international normalized ratio) during
therapy with ambrisentan were detectable. CONCLUSIONS: The present study
demonstrates significant improvement of exercise capacity and clinical symptoms
without relevant safety concerns during ambrisentan treatment in patients with
PoPH.
PMID- 21887663
TI - Acute Pancreatitis in a patient with hypercalcemia due to tertiary
hyperparathyroidism.
AB - Hypercalcemia represents an independent risk factor of acute pancreatitis and can
result from hyperfunctioning parathyroid glands. Here, we report on a 35-year-old
patient who was admitted to our hospital with abdominal pain six weeks after
kidney transplantation. Based on laboratory tests and ultrasound imaging, acute
pancreatitis with hypercalcemia due to tertiary hyperparathyroidism was
diagnosed. Subsequently, the patient was treated by parathyroidectomy with
autologous tissue transplantation. This constellation points to acute
pancreatitis as a very rare and severe complication of patients developing
tertiary hyperparathyroidism-related hypercalcemia from secondary
hyperparathyroidism after kidney transplantation.
PMID- 21887664
TI - Weight loss and severe jaundice in a patient with hyperthyroidism.
AB - BACKGROUND: Thyrotoxicosis may significantly alter hepatic function and is
associated with autoimmune disorders of the liver. CASE REPORT: We report the
case of a thyrotoxic patient with Graves' disease and histologically established
cholestatic hepatitis. Medical treatment of hyperthyroidism normalized liver
function tests. CONCLUSIONS: In patients with elevated liver function parameters
and jaundice of unknown origin, thyroid function should generally be tested.
Moreover, medical treatment of hyperthyroidism with thyrostatics may cause severe
hepatitis whereas untreated hyperthyroid patients are at risk of developing
chronic liver failure.
PMID- 21887665
TI - [Gastric stump carcinoma--a surgical and oncological challenge].
AB - Gastric stump carcinoma after gastric surgery for benign disease is now widely
recognized as a distinct clinical entity. An electronic literature search was
performed in the MEDLINE database to identify relevant studies concerning
epidemiology, prognosis, treatment, aetiology and pathology of gastric stump
carcinoma. The references reported in these studies were used to complete the
literature search. It can be assumed that approximately 10 % of patients who had
undergone a distal gastric resection for benign disease will develop a carcinoma
in the gastric remnant about 15 to 20 years after the primary procedure. The
incidence is reported to be higher in males and following Billroth II resection.
The site of tumour growth is predominantly in the anastomotic area, but may occur
anywhere in the stump. Enterogastric reflux, achlorhydria, bacterial overgrowth,
and genetic factors appear to be the major factors involved in the
aetiopathogenesis of the gastric stump cancer. Unfortunately, a significant
proportion of patients presents with synchronous metastases. Clinical symptoms
are mainly attributed to locally advanced tumour growth. Surgical therapy
comprises total removal of the gastric remnant and the jejunal segment including
modified lymphadenectomy (D2 lymphadenectomy and jejunal mesentery). Surveillance
of patients with endoscopy and multiple biopsies should be initiated from the
tenth postoperative year and may provide the means to diagnose tumours at an
early stage.
PMID- 21887666
TI - [Renaissance of the selective COX-2 inhibitors (coxibes)?].
PMID- 21887667
TI - [Rifaximin treatment in hepatic encephalopathy].
PMID- 21887669
TI - [Are neuroleptics neurotoxic?].
PMID- 21887670
TI - [Mandatory community treatment order - experiences from Wales].
PMID- 21887672
TI - [Short report on the "Quality in psychiatry and psychotherapy - incentives for a
sustainable health care system" workshop].
PMID- 21887673
TI - [Position of executive physicians and medical directors of psychiatry,
psychotherapy and psychosomatic medicine clinics on the project of integrated
management of schizophrenic patients by I3G in Lower Saxony].
PMID- 21887674
TI - Glomerular filtration rate in early diabetes: ongoing discussions of causes and
mechanisms.
AB - Glomerular hyperfiltration (>140 ml/min per 1.73 m2 body surface area) is found
in early diabetes and is associated with a poor prognosis with respect to the
development of diabetic kidney disease. This review addresses recent
investigations and discussions of the following hypotheses behind diabetic
hyperfiltration: Increased proximal tubular volume reabsorption results in a
pressure drop in Bowman's capsule which increases glomerular filtration rate
(GFR). Proximal tubular hyperreabsorption induces an increase in GFR mediated by
tubuloglomerular feedback. Dietary NaCl restriction results in a paradoxically
increased GFR and increased urine volume in diabetic animals.
PMID- 21887675
TI - Do HMG-CoA reductase inhibitors improve kidney function? The saga continues.
AB - Several reviews have addressed the role of dyslipidemia in renal injury and the
potential renal protective effects of 3-hydroxy-3-methylglutaryl coenzyme A (HMG
CoA) reductase inhibitors (statins). Experimental evidence in animals strongly
supports the concept that statins may be renal protective. However, data in
humans are scanty and contradictory. A recent controlled study using rosuvastatin
has cast some doubts on the renal protective effect of this drug. This article
reviews the available evidence pro and con the renal protective effects of
statins in human subjects.
PMID- 21887676
TI - Incidence of subsequent pancreatic adenocarcinoma in patients with a history of
nonpancreatic primary cancers.
AB - BACKGROUND: Several environmental risk factors are known to predispose
individuals to pancreatic cancer, and up to 15% of pancreatic cancers have an
inherited component. Understanding metachronous cancer associations can modify
pancreas cancer risk. The objective of this study was to investigate the
association of nonpancreatic cancers with subsequent pancreatic adenocarcinoma.
METHODS: The authors used data from the US Surveillance, Epidemiology, and End
Results (SEER) registries to identify 1,618,834 individuals who had a primary
malignancy and subsequent pancreatic adenocarcinoma (n = 4013). Standardized
incidence ratios were calculated as an approximation of relative risk (RR) for
the occurrence of pancreatic adenocarcinoma after another primary malignancy.
RESULTS: Among patients who were diagnosed with a first primary malignancy at
ages 20 to 49 years, the risk of subsequent pancreatic adenocarcinoma was
increased among patients who had cancers of the ascending colon (relative risk
[RR], 4.62; 95% confidence interval [CI], 1.86-9.52), hepatic flexure (RR, 5.42;
95% CI, 1.12-15.84), biliary system (RR, 13.14; 95% CI, 4.27-30.66), breast (RR,
1.32; 95% CI, 1.09-1.59), uterine cervix (RR, 1.61; 95% CI, 1.02-2.41), testes
(RR, 2.78; 95% CI, 1.83-4.05), and hematopoietic system (RR, 1.83; 95% CI, 1.28
2.53). Among patients who had a first malignancy at ages 50 to 64 years, the risk
was increased after cancers of the stomach (RR, 1.88; 95% CI, 1.13-2.93), hepatic
flexure (RR, 2.25; 95% CI, 1.08-4.13), lung and bronchus (RR, 1.46; 95% CI, 1.16
1.82), pharynx (RR, 2.26; 95% CI, 1.13-4.04), and bladder (RR, 1.24; 95% CI, 1.03
1.48). Among patients who had a primary cancer after age 65 years, the risk was
increased after cancers of the stomach (RR, 1.79; 95% CI, 1.23-2.53), hepatic
flexure (RR, 1.76; 95% CI, 1.06-2.75), biliary system (RR, 2.35; 95% CI, 1.17
4.20), and uterus (RR, 1.23; 95% CI, 1.03-1.47). CONCLUSIONS: The results from
the current population-based data set suggested that pancreatic adenocarcinoma is
associated with certain primary cancers. Genetic predisposition and common
environmental and behavioral risk factors all may contribute to this observation.
Specific tumor associations will guide future risk-stratification efforts.
PMID- 21887677
TI - 18F-fluoro-deoxyglucose positron emission tomography in assessment of myeloma
related bone disease: a systematic review.
AB - BACKGROUND: The goal of this study was to conduct a comparative analysis of whole
body X-ray (WBXR) and (18) F-fluoro-deoxyglucose positron emission tomography
((18) FDG PET) in staging and response assessment of multiple myeloma. METHODS:
We performed a systematic review of studies comparing (18) FDG PET with WBXR
and/or magnetic resonance imaging in terms of sensitivity for myeloma-related
bone disease at staging and during follow-up. RESULTS: Eighteen studies involving
798 patients met the inclusion criteria. The mean Quality Assessment of
Diagnostic Accuracy Studies (QUADAS) score, expressed as a percentage of the
maximum score, was 61%. In 7 studies (n = 242 patients), concordance assessment
between WBXR and (18) FDG PET scan was possible, showing a higher sensitivity of
the (18) FDG PET in the detection of myeloma bone lesions in 6 studies. The only
study reporting on the prognostic value of (18) FDG PET at staging found that the
number of FDG-avid focal lesions was an independent prognostic parameter. In
addition, the limited studies on response monitoring showed that normalization of
(18) FDG PET during treatment correlated with a superior clinical outcome.
CONCLUSIONS: In general, (18) FDG PET has a superior sensitivity for myeloma bone
lesions compared with WBXR. Future studies have to validate the additive value of
myeloma-related bone disease detected on (18) FDG PET-computed tomography (CT) in
predicting outcome. Response monitoring with the use of (18) FDG PET-CT during
treatment is promising, allowing more precise prediction of prognosis compared
with the standard response monitoring. In view of the expanding treatment options
for multiple myeloma, this may provide important information for treatment
decisions in the future.
PMID- 21887678
TI - Pretreatment fertility counseling and fertility preservation improve quality of
life in reproductive age women with cancer.
AB - BACKGROUND: The post-treatment quality of life (QOL) impacts of receiving
precancer-treatment infertility counseling and of pursuing fertility preservation
have not been described in large-scale studies of reproductive age women with
cancer. METHODS: In total, 1041 women who were diagnosed between ages 18 and 40
years responded to a retrospective survey and reported whether they received
infertility counseling before cancer treatment and whether they took action to
preserve fertility. Five cancer types were included: leukemia, Hodgkin disease,
non-Hodgkin lymphoma, breast cancer, and gastrointestinal cancer. Validated QOL
scales were used: the Decision Regret Score, the Satisfaction with Life Scale
(SWLS), and the brief World Health Organization QOL questionnaire. RESULTS:
Overall, 560 women (61%) who received treatment that potentially could affect
fertility were counseled by the oncology team, 45 (5%) were counseled by
fertility specialists, and 36 (4%) took action to preserve fertility.
Pretreatment infertility counseling by a fertility specialist and an oncologist
resulted in lower regret than counseling by an oncologist alone (8.4 vs 11.0; P <
.0001). The addition of fertility preservation (6.6 vs 11.0; P < .0001) also was
associated with even lower regret scores than counseling by an oncologist alone.
Further improvements also were observed in SWLS scores with the addition of
fertility specialist counseling (23.0 vs 19.8; P = .09) or preserving fertility
(24.0 vs 19.0; P = .05). CONCLUSIONS: Receiving specialized counseling about
reproductive loss and pursuing fertility preservation is associated with less
regret and greater QOL for survivors, yet few patients are exposed to this
potential benefit. Women of reproductive age should have expert counseling and
should be given the opportunity to make active decisions about preserving
fertility.
PMID- 21887679
TI - A phase 2 randomized multicenter study of 2 extended dosing schedules of oral
ezatiostat in low to intermediate-1 risk myelodysplastic syndrome.
AB - BACKGROUND: Ezatiostat is a glutathione analog prodrug glutathione S-transferase
P1-1 (GSTP1-1) inhibitor. This study evaluated 2 extended dose schedules of oral
ezatiostat in 89 heavily pretreated patients with low to intermediate-1 risk
myelodysplastic syndrome (MDS). METHODS: Patients were randomized by 1
stratification factor-baseline cytopenia (anemia only vs anemia with additional
cytopenias)-to 1 of 2 extended dosing schedules. Multilineage hematologic
improvement (HI) responses were assessed by International Working Group 2006
criteria. RESULTS: Overall, 11 of 38 (29%) red blood cell (RBC) transfusion
dependent patients had HI-Erythroid (HI-E) response. The median duration of HI-E
response was 34 weeks. Multilineage responses were observed. There was 1
cytogenetic complete response in a del (5q) MDS patient. An important trend was
the effect of prior therapy on response. A 40% HI-E rate (6 of 15 patients) was
observed in patients who had prior lenalidomide and no prior hypomethylating
agents (HMAs), with 5 of 11 (45%) patients achieving significant RBC transfusion
reduction and 3 of 11 (27%) achieving transfusion independence. A 28% HI-E rate
(5 of 18 patients) was observed in patients who were both lenalidomide and HMA
naive, with 4 of 8 (50%) patients achieving clinically significant RBC
transfusion reductions. Most common ezatiostat-related adverse events were grade
1 and 2 gastrointestinal including: nausea (45%, 17%), diarrhea (26%, 7%), and
vomiting (30%, 12%). CONCLUSIONS: Ezatiostat is the first GSTP1-1 inhibitor shown
to cause clinically significant and sustained reduction in RBC transfusions,
transfusion independence, and multilineage responses in MDS patients. The
tolerability and activity profile of ezatiostat may offer a new treatment option
for patients with MDS.
PMID- 21887680
TI - Germline genetic polymorphisms may influence chemotherapy response and disease
outcome in osteosarcoma: a pilot study.
AB - BACKGROUND: Osteosarcoma is the most common malignant bone tumor in children and
young people. Efficacy of multiagent MAP (methotrexate, doxorubicin [Adriamycin],
cisplatin) chemotherapy may be influenced by multiple cellular pathways. This
pilot study aimed to investigate the association of 36 candidate genetic
polymorphisms in MAP pathway genes with histological response, survival, and
grade 3-4 chemotherapy toxicity in osteosarcoma. METHODS: Blood samples were
obtained from 60 patients who had completed MAP chemotherapy. All patients were
manually genotyped for 5 polymorphisms. The remaining 31 polymorphisms were
genotyped in 50 patients using the Illumina 610-Quad microarray. Associations
between candidate polymorphisms and histological response, progression-free
survival, and toxicity were estimated using Pearson chi-square and Fisher exact
tests, the Kaplan-Meier method, the log-rank test, and the Cox proportional
hazards model. RESULTS: Poor histological response was increased in variants of
ABCC2 c.24C>T (P = .011) and GSTP1 c.313A>G p.Ile(105)Val (P = .009), whereas
MTHFD1 c.1958G>A p.Arg(653)Gln was protective (P = .03). Methotrexate toxicity
was increased in variants of MTHFR c.1298A>C p.Glu(429)Ala (P = .038), ABCB1
c.3435T>C Ile(145)Ile (P = .027), and ABCC2 c.3563T>A p.Val(1188)Glu (P = .028).
Variants of GSTP1 c.313A>G p.Ile(105)Val were at increased risk of
myelosuppression (P = .024) and cardiac damage (P = .008). CONCLUSIONS: This
pilot study represents the most comprehensive study to date examining the role of
genetic polymorphisms in osteosarcoma. Although small and retrospective, it shows
that several polymorphisms appear to significantly influence toxicity and
clinical outcome. These deserve prospective validation in the hope of optimizing
treatment for resistant disease and reducing the late effects burden.
PMID- 21887681
TI - Adjuvant trastuzumab reduces locoregional recurrence in women who receive breast
conservation therapy for lymph node-negative, human epidermal growth factor
receptor 2-positive breast cancer.
AB - BACKGROUND: Patients with human epidermal growth factor receptor 2 (HER2)
positive breast cancer have a higher risk of locoregional recurrence (LRR), even
in the setting of early stage, lymph node-negative disease. In this sequential,
retrospective study, the authors evaluated whether adjuvant trastuzumab was
associated with reduced LRR in women with lymph node-negative, HER2-positive
disease who received breast-conservation therapy (BCT). METHODS: By using an
institutional database, 197 women were identified who had lymph node-negative,
HER2-positive breast cancer measuring <=5 cm diagnosed between 2002 and 2008 and
who received BCT, including whole-breast irradiation. Two cohorts were compared:
70 women who did not receive trastuzumab (the no-trastuzumab cohort) and 102
women who did receive trastuzumab (the trastuzumab cohort). Kaplan-Meier methods
were used to estimate LRR-free survival. RESULTS: The 2 cohorts were similar in
age, tumor size, histology, and hormone receptor status. Chemotherapy was
received by 73% of the no-trastuzumab cohort and by 100% of the trastuzumab
cohort. In both groups, 99% of patients completed radiotherapy with a median dose
of 60 Gray. The median recurrence-free follow-up was 86 months for the no
trastuzumab cohort and 47 months for the trastuzumab cohort. The 3-year LRR-free
survival rate was 90% (95% confidence interval, 83%-97%) for the no-trastuzumab
cohort and 99% (95% confidence interval, 97%-100%) for the trastuzumab cohort. In
the no-trastuzumab cohort, LRR occurred in 7 patients (median time to LRR, 14
months). In the trastuzumab cohort, there was 1 LRR at 14 months. CONCLUSIONS:
Even among women with lower risk breast cancer, the relatively high locoregional
failure rates associated with positive HER2 status could be reduced markedly with
adjuvant trastuzumab chemotherapy. Within 3 years, a 10% LRR rate without
trastuzumab and a 1% LRR rate with trastuzumab were observed in women with lymph
node-negative disease who received BCT.
PMID- 21887682
TI - Functional regulatory variants of MCL1 contribute to enhanced promoter activity
and reduced risk of lung cancer in nonsmokers: implications for context-dependent
phenotype of an antiapoptotic and antiproliferative gene in solid tumor.
AB - BACKGROUND: Dysfunction of molecules that regulate both apoptosis and
proliferation is involved in tumorigenesis. A common insertional polymorphism in
promoter of MCL1, a member of BCL2 family gene with the dual regulatory
functions, has been shown to be functional in leukemia, but its association with
cancer predisposition and prognosis has not been well established. We
hypothesized that MCL1 promoter variants may modify risk of solid cancer.
METHODS: We genotyped -190 insertional polymorphism and 3 linked single
nucleotide polymorphisms (SNPs) (-627A>C, -298G>C, and -235C>A) in 320 lung
cancer patients and 362 controls, and analyzed their functional significance.
RESULTS: We confirmed that these regulatory variants correlated with enhanced
promoter activity and elevated expression of both mRNA and protein in solid
cancer cells and tissues. We further demonstrated that heightened expression of
MCL1 resulted in decreased proliferation ability of lung cancer cells. We found a
reduced cancer risk (adjusted odds ratio [OR] = 0.47; 95% confidence interval
[CI] = 0.25-0.88) associated with -190 insertional genotype. Stratification
analysis further showed pronounced associations in nonsmokers (OR, 0.25; 95% CI,
0.09-0.70), in females (OR, 0.22; 95% CI, 0.07-0.74), and in the histological
type of adenocarcinoma (OR, 0.18; 95% CI, 0.05-0.62). Likewise, homologous
diplotype of these polymorhpisms that positively affected gene expression was
associated with reduced risk in nonsmokers (OR, 0.19; 95% CI, 0.06-0.58).
CONCLUSION: The present study demonstrated that common variants in MCL1 promoter
correlated with increased transactivation in solid cancer cells and were
associated with reduced risk of lung cancer in nonsmokers, suggesting a dominant
antiproliferative function of MCL1 against its antiapoptosis effect in
development of solid cancer in nonsmokers.
PMID- 21887683
TI - A meta-analysis evaluating stereotactic radiosurgery, whole-brain radiotherapy,
or both for patients presenting with a limited number of brain metastases.
AB - BACKGROUND: To perform a meta-analysis on newly diagnosed brain metastases
patients treated with whole-brain radiotherapy (WBRT) and stereotactic
radiosurgery (SRS) boost versus WBRT alone, or in patients treated with SRS alone
versus WBRT and SRS boost. METHODS: The meta-analysis primary outcomes were
overall survival (OS), local control (LC), and distant brain control (DBC).
Secondary outcomes were neurocognition, quality of life (QOL), and toxicity.
Using published Kaplan-Meier curves, results were pooled using hazard ratios
(HR). RESULTS: Two RCTs reported on WBRT and SRS boost versus WBRT alone. For
multiple brain metastases (2-4 tumors) we conclude no difference in OS, and LC
significantly favored WBRT plus SRS boost. Three RCTs reported on SRS alone
versus WBRT plus SRS boost (1-4 tumors). There was no difference in OS despite
both LC and DBC significantly favoring WBRT plus SRS boost. Although secondary
endpoints could not be pooled for meta-analysis, those RCTs evaluating SRS alone
conclude better neurocognition using the validated Hopkins Verbal Learning Test,
no adverse risk in deteriorating Mini-Mental Status Exam scores or in maintaining
performance status, and fewer late toxicities. We conclude insufficient data for
QOL outcomes. CONCLUSIONS: For selected patients, we conclude no OS benefit for
WBRT plus SRS boost compared with SRS alone. Although additional WBRT improves
DBC and LC, SRS alone should be considered a routine treatment option due to
favorable neurocognitive outcomes, less risk of late side effects, and does not
adversely affect the patients performance status.
PMID- 21887684
TI - Occult tumor burden contributes to racial disparities in stage-specific
colorectal cancer outcomes.
AB - BACKGROUND: There are differences in outcomes in blacks compared with whites with
lymph node-negative (pN0) colorectal cancer. Recurrence in pN0 patients suggests
the presence of occult metastases undetected by conventional approaches. This
study explores the association of racial differences in outcomes with occult
tumor burden in regional lymph nodes. METHODS: Lymph nodes (range, 2-159) from
282 prospectively enrolled pN0 colorectal cancer patients followed for a median
of 24 months (range, 2-63 months) were subjected to molecular analysis. Occult
tumor burden was estimated by quantifying the expression of GUCY2C, a biomarker
for metastatic colorectal cancer cells. Risk categories defined using occult
tumor burden was the primary outcome measure. Association of prognostic variables
and risk were defined by multivariate polytomous logistic regression. RESULTS:
Occult tumor burden stratified this cohort of 259 whites and 23 blacks into
categories with low (60%; recurrence rate [RR] = 2.3%; 95% confidence interval
[CI], 0.1%-4.5%), intermediate (31%; RR = 33.3%; 95% CI, 23.7%-44.1%), and high
(9%; RR = 68.0%; 95% CI, 46.5%-85.1%; P < .001) risk. Blacks compared with whites
exhibited 4-fold greater occult metastases in individual lymph nodes (P < .001).
Multivariate analysis revealed that race (P = .02), T stage (P = .02), and number
of lymph nodes collected (P = .003) were independent prognostic markers of risk
category. Blacks compared with whites were more likely to harbor levels of occult
tumor burden, associated with the highest recurrence risk (adjusted odds ratio =
5.08; 95% CI, 1.69-21.39; P = .007). CONCLUSIONS: Racial disparities in stage
specific outcomes in colorectal cancer are associated with differences in occult
tumor burden in regional lymph nodes.
PMID- 21887685
TI - A randomized phase 2 trial of a preparative regimen of bortezomib, high-dose
melphalan, arsenic trioxide, and ascorbic acid.
AB - BACKGROUND: Bortezomib is active for newly diagnosed and relapsed multiple
myeloma, and it has synergistic activity with melphalan. The authors of this
report conducted a randomized trial to determine the safety and efficacy of
adding bortezomib to a preparative regimen of arsenic trioxide (ATO), ascorbic
acid (AA), and melphalan. METHODS: Among 60 patients who enrolled between October
2006 and September 2007, 58 patients underwent autologous transplantation with a
preparative regimen of melphalan 200 mg/m(2) intravenously, AA 1000 mg daily
intravenously for 7 days, and ATO 0.25 mg/kg intravenously for 7 days. Patients
were randomized to receive no bortezomib (Group 1), bortezomib 1 mg/m(2) * 3
doses (Group 2), and bortezomib 1.5 mg/m(2) * 3 doses (Group 3). Primary
endpoints were complete response (CR), grade IV toxicity, and 90-day treatment
related mortality (TRM). Secondary endpoints were progression-free survival (PFS)
and overall survival (OS). RESULTS: The median follow-up of all surviving
patients was 36 months (range, 20-43 months). The CR rates in Groups 1, 2, and 3
were 20%, 10%, and 10%, respectively. Grade 3 and 4 nonhematologic toxicities and
TRM were comparable. The median OS was not reached in the groups, whereas the
median PFS in Groups 1, 2, and 3 was 17.8 months, 17.4 months, and 20.7 months,
respectively. PFS and OS were significantly shorter in patients who had high-risk
cytogenetics (P = .016 and P = .0001, respectively) and relapsed disease (P =
.0001 and P = .0001, respectively) regardless of the treatment group.
CONCLUSIONS: Adding bortezomib to a preparative regimen of ATO, AA, and high-dose
melphalan was safe and well tolerated in patients with multiple myeloma. There
was no significant improvement in the CR rate, PFS, or OS in the bortezomib
groups.
PMID- 21887686
TI - Molecular characterization of kidney cancer: association of hyaluronic acid
family with histological subtypes and metastasis.
AB - BACKGROUND: Molecular profiling of renal cell carcinomas (RCCs) may improve the
distinction between oncocytoma and malignant RCC subtypes and aid in early
detection of metastasis. The hyaluronic acid (HA) family includes HA synthases
(HAS1, HAS2, HAS3), hyaluronidases (HYAL-1, HYAL-2, HYAL-3, HYAL-4, PH20, HYAL
P1), and HA receptors (CD44s, CD44v, RHAMM). HA family members promote tumor
growth and metastasis. The authors evaluated the expression of HA family members
in kidney specimens. METHODS: By using quantitative polymerase chain reaction,
mRNA levels of 12 HA family members were measured in tumor specimens obtained
from 86 consecutive patients undergoing nephrectomy; 80 of them also provided
normal specimens. Mean and median follow-up were 15.2 +/- 8.8 and 13.8 months.
RCC specimens included clear cell RCC: 65; papillary: 10; chromophobe: 5;
oncocytoma: 6; metastasis positive: 17. RESULTS: Median HAS1, CD44s, and RHAMM
transcript levels were elevated 3- to 25-fold in clear cell RCC and papillary and
chromophobe tumors when compared with normal tissues. HYAL-4, CD44s, and RHAMM
levels were elevated 4- to 12-fold in clear cell RCC and papillary tumors when
compared with oncocytomas; only HYAL-4 levels distinguished between chromophobe
and oncocytoma (P = .009). CD44s and RHAMM levels were significantly higher in
tumors <4 cm (510 +/- 611 and 19.6 +/- 20.8, respectively) when compared with
oncocytoma (46.4 +/- 20 and 3.8 +/- 2.5; P <= .006). In univariate and
multivariate analyses, CD44s (P < .0001), RHAMM (P < .0001), stage, tumor size,
and/or renal vein involvement were significantly associated with metastasis. The
combined CD44s + RHAMM marker had 82% sensitivity and 86% specificity to predict
metastasis. CONCLUSIONS: CD44s and RHAMM levels distinguish between oncocytoma
and RCC subtypes regardless of tumor size and are potential predictors of RCC
metastasis.
PMID- 21887687
TI - Left ventricular torsional mechanics in uncomplicated pregnancy.
AB - BACKGROUND: Alterations in left ventricular (LV) twist (torsion) and untwist have
been described for a variety of physiologic and pathologic conditions. Little
information is available regarding changes in these parameters during normal
pregnancy. HYPOTHESIS: Pregnancy is associated with significant changes in LV
torsional mechanics. METHODS: Left ventricular twist and untwist was measured in
32 pregnant females (mean gestation 199 +/- 48 d) and 23 nonpregnant controls
using speckle-tracking echocardiography. RESULTS: Left ventricular ejection
fraction (68 +/- 5% vs 66 +/- 5%) was similar between the groups (P not
significant). There was a significant increase in peak LV twist from nonpregnant
controls (9.4 +/- 3.7 degrees) to second-trimester (12.0 +/- 4.2 degrees) and
third-trimester subjects (12.6 +/- 5.9 degrees, all P<0.05). Peak LV twist
velocity was also increased in second- and third-trimester groups compared with
controls (94 +/- 24 degrees/sec and 93 +/- 30 vs 64 +/- 21 degrees/sec,
respectively, both P<0.05). Both peak untwist velocity and time to peak untwist
velocity were not significantly different between groups (P not significant).
Multiple regression analysis indicate that only systolic blood pressure (r =
0.394, P = 0.005) was an independent predictor for increased LV torsion.
CONCLUSIONS: There are significant changes in LV torsional indices during the
course of pregnancy, whereas untwist parameters remain unchanged. Blood pressure
is independently associated with increased torsion during pregnancy.
PMID- 21887688
TI - HDL-C levels and revascularization procedures in coronary heart disease patients
treated with statins to target LDL-C levels.
AB - BACKGROUND: A low level of high-density lipoprotein cholesterol (HDL-C) is a
strong predictor for cardiovascular disease morbidity and mortality at all low
density lipoprotein cholesterol (LDL-C) concentrations. HYPOTHESIS: We evaluated
this association in routine clinical practice among statin-treated coronary heart
disease patients who achieved LDL-C target levels. This association also exists
in routine clinical practice. METHODS: A retrospective dynamic cohort included
all male coronary heart disease patients of the Sharon-Shomron district, Clalit
Health Services, Israel, with LDL-C levels < 100 mg/dL and who were receiving
statins (>= 6 purchases/y) from January 1998 to June 2008. Data were collected on
demographic variables; coexistence of hypertension, diabetes mellitus, and
peripheral vascular diseases; details of revascularization procedures; and lipid
levels. The outcome variable was revascularization procedure, by either
percutaneous intervention or coronary artery bypass graft. RESULTS: The study
group of 909 male patients was stratified into quintiles, based on mean HDL-C
levels: Q1 (n = 179): <= 26.4 mg/dL; Q2 (n = 190): 26.4-<= 30.0 mg/dL; Q3 (n =
191): > 30.0-<= 34.0 mg/dL; Q4 (n = 186): > 34.0-<= 41.0 mg/dL; Q5 (n = 163): >
41.0 mg/dL. During the study period, 307 (33.8%) of the cohort required >= 1
revascularization procedure. Those in the highest quintile underwent
significantly fewer procedures (40.8% for Q1 vs 16.6% for Q5, P<0.001). This
significant effect of the highest HDL-C quintile was not influenced by any
variable. CONCLUSIONS: The protective effect of high HDL-C levels, regardless of
other risk factors, in preventing revascularization procedures was confirmed in
the routine clinical practice among statin-treated CHD patients who reached LDL-C
level < 100 mg/dL. Possible additional benefits of using agents to raise HDL-C
levels should be investigated.
PMID- 21887689
TI - Acquired long QT interval: a case series of multifactorial QT prolongation.
AB - BACKGROUND: Acquired long QT (LQT) interval is thought to be a consequence of
drug therapy and electrolyte disturbances. HYPOTHESIS: We characterize the
potential effects of polypharmacy in a case series of acquired LQT and torsades
de pointes (TdP) in order to determine whether multiple risk factors play a role
in the development of LQT. METHODS: The case series consisted of 11 patients
presenting to 4 tertiary care hospitals with LQT and >= 2 risk factors for
developing LQT. Clinical characteristics, medications, electrolyte disturbances,
and course in hospital were analyzed. RESULTS: Mean age was 49.1 +/- 5.8 years.
Eight patients were female. Four had hypertension, 1 had a history of dilated
cardiomyopathy, and 1 patient demonstrated complete atrioventricular block.
Average QTc interval at presentation was 633.8 +/- 29.2 ms. Nine patients
developed TdP. In 3, LQT was not initially detected and amiodarone was
administered, followed by development of TdP. Patients were taking an average of
2.8 +/- 0.3 QT-prolonging medications-an antidepressant in 6 cases and a diuretic
in 8 cases. All patients had an electrolyte abnormality; 8 patients presented
with severe hypokalemia (<3.0 mmol/L). Average serum potassium and magnesium were
2.82 +/- 0.10 mmol/L and 0.75 +/- 0.03 mmol/L, respectively. There were no
deaths. CONCLUSIONS: This case series highlights the risks of polypharmacy in the
development of LQT and TdP. It illustrates the importance of early detection of
LQT in patients with multiple risk factors in ensuring appropriate treatment.
PMID- 21887690
TI - Comparison of ventricular tachyarrhythmia characteristics in patients with
idiopathic dilated or ischemic cardiomyopathy and defibrillators implanted for
primary prevention.
AB - BACKGROUND: Implantable cardioverter-defibrillator (ICD) therapy for primary
prevention is well established in ischemic cardiomyopathy (ICM). Data on the role
of ICDs in patients with dilated cardiomyopathy (DCM) and no history of
ventricular tachyarrhythmia (VT/VF) are more limited. HYPOTHESIS: DCM patients
with an impaired left ventricular ejection fraction (LVEF) still represent a low
arrhythmic risk subgroup in clinical practice. METHODS: ICD stored data of DCM
patients with an LVEF <=35% was compared to data of ICM patients meeting
Multicenter Automatic Defibrillator Implantation Trial (MADIT) eligibility
criteria. VT/VF occurrences and electrical storm (ES) events were analyzed.
RESULTS: There were 652 patients followed for 50.9 +/- 33.9 months. There were
1978 VT and 241 VF episodes analyzed in 66 out of 203 patients (32.5%) with DCM
and in 118 out of 449 patients (26.3%, P = 0.209) with ICM. Freedom of
appropriate ICD treatment due to VT/VF or ES events did not differ in both
patient populations (log-rank, P>0.05). In patients presenting with VT/VF
episodes, mean event rates were comparable in both patient populations (3.2 +/-
14.1 for DCM and VT vs 3 +/- 13.9 for ICM and VT [P = 0.855], 0.4 +/- 1.3 for DCM
and VF vs 0.4 +/- 1.8 for ICM and VF [P = 0.763], and 0.2 +/- 0.7 for DCM and ES
vs 0.2 +/- 1 for ICM and ES [P = 0.666]). CONCLUSIONS: DCM patients with
prophylactic ICDs implanted due to heart failure and patients fulfilling MADIT
criteria reveal comparable patterns of VT/VF/ES events during long-term follow
up. Incidence, mean number of events, and time to first event did not differ
significantly. Findings support the current guidelines for prophylactic ICD
therapy in DCM patients with heart failure.
PMID- 21887691
TI - Three-dimensional entertainment as a novel cause of takotsubo cardiomyopathy.
AB - Takotsubo cardiomyopathy (TC) is an uncommon entity. It is known to occur in the
setting of extreme catecholamine release and results in left ventricular
dysfunction without evidence of angiographically definable coronary artery
disease. There have been no published reports of TC occurring with visual
stimuli, specifically 3-dimensional (3D) entertainment. We present a 55-year-old
woman who presented to her primary care physician's office with extreme
palpitations, nausea, vomiting, and malaise <48 hours after watching a 3D action
movie at her local theater. Her electrocardiogram demonstrated ST elevations in
aVL and V1, prolonged QTc interval, and T-wave inversions in leads I, II, aVL,
and V2-V6. Coronary angiography revealed angiographically normal vessels,
elevated left ventricular filling pressures, and decreased ejection fraction with
a pattern of apical ballooning. The presumed final diagnosis was TC, likely due
to visual-auditory-triggered catecholamine release causing impaired coronary
microcirculation.
PMID- 21887692
TI - Mildly decreased glomerular filtration rate is associated with poor coronary
collateral circulation in patients with coronary artery disease.
AB - BACKGROUND: The aim of this study was to evaluate the association between mildly
decreased glomerular filtration rate (GFR) and coronary collateral circulation
(CCC). HYPOTHESIS: There would be an association between mildly decreased GFR and
CCC. METHODS: Patients who had an occlusion in at least 1 major coronary artery
were included in this study. Patients with severely and moderately decreased GFR
were excluded. Patient data were obtained from their files. To classify CCC, we
used the Rentrop classification. Patients were classified as having poor CCC
(Rentrop grades 0 to 1) or good CCC (Rentrop grades 2 to 3). We used the
Modification of Diet in Renal Disease (MDRD) equation to calculate GFR. Mildly
decreased GFR was defined as 60 mL/min per 1.73 m(2) >= eGFR <=89 mL/min per 1.73
m(2) according to the MDRD definition. Multivariate logistic regression analysis
was performed to determine independent variables. RESULTS: The study group
consisted of 299 patients. Ninety-three patients had poor CCC and 206 patients
had good CCC. The frequency of mildly decreased GFR was higher in the poor CCC
group than in the good CCC group (P<0.001). Also, the frequency of diabetes and
dyslipidemia, and the plasma high sensitive C-reactive protein levels, were
higher in the poor CCC group (P = 0.003, P = 0.018, P<0.001, respectively).
Logistic regression analysis revealed that eGFR is an independent predictor of
CCC (B = 1.68; odds ratio = 5.4; P<0.001; 95% confidence interval, 3.1-9.4).
CONCLUSIONS: We found that CCC was worse in patients with mildly decreased GFR
compared to patients with normal GFR in patients with coronary artery disease.
PMID- 21887693
TI - Effect of cardiac resynchronization therapy on thyroid function.
AB - BACKGROUND: Heart failure patients frequently have thyroid function
abnormalities. Cardiac resynchronization therapy (CRT) is a major treatment for
patients with advanced chronic heart failure. We aimed to investigate the effects
of CRT on thyroid functions. HYPOTHESIS: CRT improves thyroid functions. METHODS:
Fifty-seven patients (42 male, 15 female; mean age 58 +/- 13 y) undergoing CRT
were included in the study. Serum levels of thyroid hormones and
echocardiographic parameters were measured before and 6 months after CRT. A
response to CRT was defined as a reverse remodeling detected by a relative
increase of >=15% in left ventricular ejection fraction. RESULTS: The clinical
status and functional capacity of the patients in the remodeling group were
improved significantly. The mean New York Heart Association class was reduced
from 3.2 +/- 0.4 to 2.2 +/- 0.4 (P<0.001). The free triiodothyronine (fT3) level
increased from 2.67 pg/mL to 2.97 pg/mL in the reverse remodeling group (P =
0.005). The fT3/fT4 ratio increased from 1.81 to 2.34 (P = 0.006). CONCLUSIONS:
CRT improves fT3 levels and fT3/fT4 ratio, which may play an important role in
reverse remodeling.
PMID- 21887694
TI - Antioxidant effect of red mould rice in hypercholesterolemic Wistar male rats.
AB - The effect of Monascus purpureus red mould rice (RMR) on modulation of lipid
metabolism and oxidative stress was studied in hypercholesterolemic rats.
Cholesterol feeding for 14 weeks caused a significant increase in the lipid
peroxides and total thiols and antioxidant enzymes, viz. glutathione peroxidase
(GPx), glutathione reductase (GRd), superoxide dismutase (SOD) and catalase (CAT)
in serum and liver in comparison to the control group. However, supplementation
of RMR to hypercholesterolemic rats at 8, 12 and 16% significantly increased the
GRd, GPx, SOD and CAT activities in serum and liver tissues. Furthermore, RMR
feeding significantly decreased total thiols and lipid peroxides and also
increased other antioxidant molecules such as glutathione and ascorbic acid in
high-cholesterol fed rats. The efficiency of RMR (16%) in modulating the
antioxidant molecules and antioxidant enzymes is comparable to standard drug
lovastatin. Thus, this study suggests that the long-term administration of RMR
may play an important role in suppressing oxidative stress and, thus, may be
useful for the prevention and/or early treatment of hypercholesterolemia.
PMID- 21887695
TI - Divergent cytokine response following maximum progressive swimming in hot water.
AB - Exercise promotes transitory alterations in cytokine secretion, and these changes
are affected by exercise duration and intensity. Considering that exercise
responses also are affected by environmental factors, the goal of the present
study was to investigate the effect of water temperature on the cytokine response
to maximum swimming. Swiss mice performed a maximum progressive swimming exercise
at 31 or 38 degrees C, and plasma cytokine levels were evaluated immediately or
1, 6 or 24 h after exercise. The cytokine profile after swimming at 31 degrees C
was characterized by increased interleukin (IL)-6 and monocyte chemotactic
protein-1 (MCP-1) levels, which peaked 1 h after exercise, suggesting an adequate
inflammatory milieu to induce muscle regeneration. Transitory reductions in IL-10
and IL-12 levels also were observed after swimming at 31 degrees C. The cytokine
response to swimming was modified when the water temperature was increased to 38
degrees C. Although exercise at 38 degrees C also led to IL-6 secretion, the peak
in IL-6 production occurred 6 h after exercise, and IL-6 levels were
significantly lower than those observed after maximum swimming at 31 degrees C (p
= 0.030). Furthermore, MCP-1 levels were lower and tumour necrosis factor-alpha
levels were higher immediately after swimming at 38 degrees C, suggesting a
dysregulated pro-inflammatory milieu. These alterations in the cytokine profile
can be attributed in part to reduced exercise total work because exhaustion
occurred sooner in mice swimming at 38 degrees C than in those swimming at 31
degrees C.
PMID- 21887696
TI - Induction of apoptotic death by curcumin in human tongue squamous cell carcinoma
SCC-4 cells is mediated through endoplasmic reticulum stress and mitochondria
dependent pathways.
AB - Curcumin from the rhizome of the Curcuma longa plant has been noted for its chemo
preventative and chemo-therapy activities, and it inhibits the growth of many
types of human cancer cell lines. In this study, the mechanisms of cell death
involved in curcumin-induced growth inhibition, including cell cycle arrest and
induction of apoptosis in human tongue cancer SCC-4 cells, were investigated.
Herein, we observed that curcumin inhibited cell growth of SCC-4 cells and
induced cell death in a dose-dependent manner. Treatment of SCC-4 cells with
curcumin caused a moderate and promoted the G(2) /M phase arrest, which was
accompanied with decreases in cyclin B/CDK1 and CDC25C protein levels. Moreover,
curcumin significantly induced apoptosis of SCC-4 cells with a decrease of the
Bcl-2 level, reduction of mitochondrial membrane potential (DeltaPsi(m) ), and
promoted the active forms of caspase-3. Curcumin also promoted the releases of
AIF and Endo G from the mitochondria in SCC-4 cells by using confocal laser
microscope. Therefore, we suggest that curcumin induced apoptosis through a
mitochondria-dependent pathway in SCC-4 cells. In addition, we also found that
curcumin-induced apoptosis of SCC-4 cells was partly through endoplasmic
reticulum stress. In conclusion, curcumin increased G(2) /M phase arrest and
induced apoptosis through ER stress and mitochondria-dependent pathways in SCC-4
cells.
PMID- 21887697
TI - Modulation of protein expression levels and DNA methylation status of breast
cancer metastasis genes by anthracycline-based chemotherapy and the demethylating
agent decitabine.
AB - Epigenetic drugs are promising add-ons to cancer treatment; still, adverse
effects concerning tumour promotion have been reported occasionally. In this in
vitro study, we investigated the effect of combination treatment of decitabine
with anthracycline-based chemotherapy [5-fluorouracil plus epirubicine plus
cyclophosphamide (FEC)] on viability and metastatic activity of breast cancer
cell lines, MDA-MB-231 (estrogen receptor-negative) and MCF-7 (estrogen receptor
positive). The effect of decitabine and its combined treatment with FEC on
viability of both cancer cell lines was assessed using the 3-(4,5-dimethylthiazol
2-yl)-2,5-diphenyltetrazoliumbromide and adenosine triphosphate (ATP) cell
survival assays. DNA methylation specific real-time polymerase chain reaction
(PCR) (Methylight(r)) was employed to document the methylation status of the
metastasis-relevant urokinase-type plasminogen activator (uPA) and plasminogen
activator inhibitor-I (PAI-1) genes. Additionally, protein expression levels of
uPA and PAI-1 were determined using enzyme-linked immunosorbent assays. Invasion
capacity of cells was assayed using Matrigel(r) invasion assay. Decitabine
lowered the viability of MCF-7 cells, although MDA-MB-231 cells were not
affected. Decitabine did not augment FEC-mediated cytotoxicity in both cell
lines. In MCF-7 cells, methylation of the uPA and PAI-1 gene promoter was
significantly reduced by decitabine or decitabine plus FEC. Protein levels of uPA
and PAI-1 were induced by all treatments. Decitabine significantly induced the
invasion capacity of MCF-7 cells, whereas all of the drugs resulted in decreased
invasion capacity of MDA-MB-231. Our results suggest differential effects of
single-dose decitabine and its combination with FEC on the metastatic capacity
and survival of breast cancer cell lines endowed with different metastatic
behaviour.
PMID- 21887698
TI - MicroRNA-194 is a target of transcription factor 1 (Tcf1, HNF1alpha) in adult
liver and controls expression of frizzled-6.
AB - Transcription factor 1 (Tcf1; hepatocyte nuclear factor 1alpha [HNF1alpha]) is
critical for hepatocyte development and function. Whether Tcf1 also regulates
hepatic microRNAs (miRNAs) has not been investigated yet. Here we analyzed Tcf1
dependent miRNA expression in adult mice in which this transcription factor had
been genetically deleted (Tcf1(-/-) ) using miRNA microarray analysis. The miR
192/-194 cluster was markedly down-regulated in liver of Tcf1(-/-) mice. MiR-192/
194 levels were also decreased in two other tissues that express Tcf1, kidney and
small intestine, although to a lesser extent than in liver. In order to identify
targets of miR-192/-194 in vivo we combined Affymetrix gene analysis of liver in
which miR-192/-194 had been silenced or overexpressed, respectively, and tested
regulated messenger RNAs (mRNAs) with multiple binding sites for these miRNAs.
This approach revealed frizzled-6 (Fzd6) as a robust endogenous target of miR
194. MiR-194 also targets human FZD6 and expression of miR-194 and Fzd6 are
inversely correlated in a mouse model of hepatocellular carcinoma
(Dgcr8(flox/flox) p53(flox/flox) * Alb-Cre). CONCLUSION: Our results support a
role of miR-194 in liver tumorigenesis through its endogenous target Fzd6. These
results may have important implications for Tcf1-mediated liver proliferation.
PMID- 21887700
TI - Knee biomechanics early after knee replacement surgery predict abnormal gait
patterns 12 months postoperatively.
AB - An abnormal flexor moment pattern is often evident following total knee
replacement (TKR) surgery. We investigated whether such a pattern at 12 months
post-surgery could be predicted using biomechanical gait measures assessed before
surgery and at 4 months post-surgery. Thirty two TKR patients were evaluated and
classified as normal (biphasic pattern) or abnormal (flexor moment pattern) at
each time point. Biomechanical parameters collected before surgery and at 4
months post-surgery were then explored for their ability to predict gait patterns
at 12 months post-surgery. The gait pattern at 4 months was significantly
associated with the 12 month post-surgery gait pattern, with over half of those
with a flexor moment pattern at 4 months retaining this pattern at 12 months.
Discriminant function analysis indicated that peak knee flexion during early
stance, peak knee extension, and peak knee extension moment at 4 months post
surgery were independent predictors of the gait pattern at 12 months. Thus, an
abnormal knee flexor moment pattern at 12 months post-surgery can be predicted by
biomechanical analysis 4 months after surgery. Therefore, interventions aimed at
improving active extension may need to be implemented early after surgery to
restore a normal gait pattern.
PMID- 21887699
TI - Proline substitutions and threonine pseudophosphorylation of the SH3 ligand of
18.5-kDa myelin basic protein decrease its affinity for the Fyn-SH3 domain and
alter process development and protein localization in oligodendrocytes.
AB - The developmentally regulated myelin basic proteins (MBPs), which arise from the
golli (gene of oligodendrocyte lineage) complex, are highly positively charged,
intrinsically disordered, multifunctional proteins having several alternatively
spliced isoforms and posttranslational modifications, and they play key roles in
myelin compaction. The classic 18.5-kDa MBP isoform has a proline-rich region
comprising amino acids 92-99 (murine sequence -T(92)PRTPPPS(99)-) that contains a
minimal SH3 ligand domain. We have previously shown that 18.5-kDa MBP binds to
several SH3 domains, including that of Fyn, a member of the Src family of
tyrosine kinases involved in a number of signaling pathways during CNS
development. To determine the physiological role of this binding as well as the
role of phosphorylation of Thr92 and Thr95, in the current study we have produced
several MBP variants specifically targeting phosphorylation sites and key
structural regions of MBP's SH3 ligand domain. Using isothermal titration
calorimetry, we have demonstrated that, compared with the wild-type protein,
these variants have lower affinity for the SH3 domain of Fyn. Moreover,
overexpression of N-terminal-tagged GFP versions in immortalized oligodendroglial
N19 and N20.1 cell cultures results in aberrant elongation of membrane processes
and increased branching complexity and inhibits the ability of MBP to decrease
Ca(2+) influx. Phosphorylation of Thr92 can also cause MBP to traffic to the
nucleus, where it may participate in additional protein-protein interactions.
Coexpression of MBP with a constitutively active form of Fyn kinase resulted in
membrane process elaboration, a phenomenon that was abolished by point amino acid
substitutions in MBP's SH3 ligand domain. These results suggest that MBP's SH3
ligand domain plays a key role in intracellular protein interactions in vivo and
may be required for proper membrane elaboration of developing oligodendrocytes
and, further, that phosphorylation of Thr92 and Thr95 can regulate this function.
PMID- 21887701
TI - Bone microarchitecture assessed by TBS predicts osteoporotic fractures
independent of bone density: the Manitoba study.
AB - The measurement of BMD by dual-energy X-ray absorptiometry (DXA) is the "gold
standard" for diagnosing osteoporosis but does not directly reflect deterioration
in bone microarchitecture. The trabecular bone score (TBS), a novel gray-level
texture measurement that can be extracted from DXA images, correlates with 3D
parameters of bone microarchitecture. Our aim was to evaluate the ability of
lumbar spine TBS to predict future clinical osteoporotic fractures. A total of
29,407 women 50 years of age or older at the time of baseline hip and spine DXA
were identified from a database containing all clinical results for the Province
of Manitoba, Canada. Health service records were assessed for the incidence of
nontraumatic osteoporotic fracture codes subsequent to BMD testing (mean follow
up 4.7 years). Lumbar spine TBS was derived for each spine DXA examination
blinded to clinical parameters and outcomes. Osteoporotic fractures were
identified in 1668 (5.7%) women, including 439 (1.5%) spine and 293 (1.0%) hip
fractures. Significantly lower spine TBS and BMD were identified in women with
major osteoporotic, spine, and hip fractures (all p < 0.0001). Spine TBS and BMD
predicted fractures equally well, and the combination was superior to either
measurement alone (p < 0.001). Spine TBS predicts osteoporotic fractures and
provides information that is independent of spine and hip BMD. Combining the TBS
trabecular texture index with BMD incrementally improves fracture prediction in
postmenopausal women.
PMID- 21887702
TI - Gender-specific increase of bone mass by CART peptide treatment is ovary
dependent.
AB - Cocaine- and amphetamine-regulated transcript (CART) has emerged as a
neurotransmitter and hormone that has been implicated in many processes including
food intake, maintenance of body weight, and reward, but also in the regulation
of bone mass. CART-deficient mice are characterized by an osteoporotic phenotype,
whereas female transgenic mice overexpressing CART display an increase in bone
mass. Here we describe experiments that show that peripheral subcutaneous
sustained release of different CART peptide isoforms for a period up to 60 days
increased bone mass by 80% in intact mice. CART peptides increased trabecular
bone mass, but not cortical bone mass, and the increase was caused by reduced
osteoclast activity in combination with normal osteoblast activity. The observed
effect on bone was gender-specific, because male mice did not respond to
treatment with CART peptides. In addition, male transgenic CART overexpressing
mice did not display increased bone mass. Ovariectomy (OVX) completely abolished
the increase of bone mass by CART peptides, both in CART peptide-treated wild
type mice and in CART transgenic mice. The effect of CART peptide treatment on
trabecular bone was not mediated by 17beta-estradiol (E(2)) because
supplementation of OVX mice with E(2) could not rescue the effect of CART
peptides on bone. Together, these results indicate that sustained release of CART
peptides increases bone mass in a gender-specific way via a yet unknown mechanism
that requires the presence of the ovary.
PMID- 21887703
TI - Physiological function of the angiotensin AT1a receptor in bone remodeling.
AB - In order to determine whether the renin-angiotensin system (RAS) has any
physiologic function in bone metabolism, mice lacking the gene encoding the major
angiotensin II receptor isoform, AT1a, were studied using micro CT scanning,
histomorphometric, and biochemical techniques. Three-dimensional (3D) micro CT
analysis of the tibial metaphysis revealed that both male and female AT1a
knockout mice exhibited an increased trabecular bone volume along with increased
trabecular number and connectivity. Histomorphometric analysis of the tibial
metaphysis indicated that the parameters of bone formation as well as resorption
were increased, which was also supported by elevated serum osteocalcin and
carboxy-terminal collagen crosslink (CTX) concentrations in the AT1a-deficient
mice. Osteoclastogenesis and osteoblastogenesis assays in ex vivo cultures,
however, did not reveal any intrinsic alterations in the differentiation
potential of AT1a-deficient cells. Quantitative RT-PCR using RNA isolated from
the tibia and femur revealed that the receptor activator of NF-kappaB ligand
(RANKL)/osteoprotegerin (OPG) ratio and the expression of stromal cell-derived
factor (SDF)1alpha were increased, whereas that of SOST was decreased in AT1a
deficient bone, which may account for the increased bone resorption and
formation, respectively. AT1a-deficient mice also displayed a lean phenotype with
reduced serum leptin levels. They maintained high bone mass with advancing age,
and were protected from bone loss induced by ovariectomy. Collectively, the data
suggest that RAS has a physiologic function in bone remodeling, and that
signaling through AT1a negatively regulates bone turnover and bone mass.
PMID- 21887705
TI - Predicting fractures in an international cohort using risk factor algorithms
without BMD.
AB - Clinical risk factors are associated with increased probability of fracture in
postmenopausal women. We sought to compare prediction models using self-reported
clinical risk factors, excluding BMD, to predict incident fracture among
postmenopausal women. The GLOW study enrolled women aged 55 years or older from
723 primary-care practices in 10 countries. The population comprised 19,586 women
aged 60 years or older who were not receiving antiosteoporosis medication and
were followed annually for 2 years. Self-administered questionnaires were used to
collect data on characteristics, fracture risk factors, previous fractures, and
health status. The main outcome measure compares the C index for models using the
WHO Fracture Risk (FRAX), the Garvan Fracture Risk Calculator (FRC), and a simple
model using age and prior fracture. Over 2 years, 880 women reported incident
fractures including 69 hip fractures, 468 "major fractures" (as defined by FRAX),
and 583 "osteoporotic fractures" (as defined by FRC). Using baseline clinical
risk factors, both FRAX and FRC showed a moderate ability to correctly order hip
fracture times (C index for hip fracture 0.78 and 0.76, respectively). C indices
for "major" and "osteoporotic" fractures showed lower values, at 0.61 and 0.64.
Neither algorithm was better than the model based on age + fracture history alone
(C index for hip fracture 0.78). In conclusion, estimation of fracture risk in an
international primary-care population of postmenopausal women can be made using
clinical risk factors alone without BMD. However, more sophisticated models
incorporating multiple clinical risk factors including falls were not superior to
more parsimonious models in predicting future fracture in this population.
PMID- 21887704
TI - The critical role of the epidermal growth factor receptor in endochondral
ossification.
AB - Loss of epidermal growth factor receptor (EGFR) activity in mice alters growth
plate development, impairs endochondral ossification, and retards growth.
However, the detailed mechanism by which EGFR regulates endochondral bone
formation is unknown. Here, we show that administration of an EGFR-specific small
molecule inhibitor, gefitinib, into 1-month-old rats for 7 days produced profound
defects in long bone growth plate cartilage characterized by epiphyseal growth
plate thickening and massive accumulation of hypertrophic chondrocytes.
Immunostaining demonstrated that growth plate chondrocytes express EGFR, but
endothelial cells and osteoclasts show little to no expression. Gefitinib did not
alter chondrocyte proliferation or differentiation and vascular invasion into the
hypertrophic cartilage. However, osteoclast recruitment and differentiation at
the chondro-osseous junction were attenuated owing to decreased RANKL expression
in the growth plate. Moreover, gefitinib treatment inhibited the expression of
matrix metalloproteinases (MMP-9, -13, and -14), increased the amount of collagen
fibrils, and decreased degraded extracellular matrix products in the growth
plate. In vitro, the EGFR ligand transforming growth factor alpha (TGF-alpha)
strongly stimulated RANKL and MMPs expression and suppressed osteoprotegerin
(OPG) expression in primary chondrocytes. In addition, a mouse model of cartilage
specific EGFR inactivation exhibited a similar phenotype of hypertrophic
cartilage enlargement. Together our data demonstrate that EGFR signaling supports
osteoclastogenesis at the chondro-osseous junction and promotes chondrogenic
expression of MMPs in the growth plate. Therefore, we conclude that EGFR
signaling plays an essential role in the remodeling of growth plate cartilage
extracellular matrix into bone during endochondral ossification.
PMID- 21887706
TI - Runx2 contributes to murine Col10a1 gene regulation through direct interaction
with its cis-enhancer.
AB - We have recently shown that a 150-bp Col10a1 distal promoter (-4296 to -4147 bp)
is sufficient to direct hypertrophic chondrocyte-specific reporter (LacZ)
expression in vivo. More recently, through detailed sequence analysis we
identified two putative tandem-repeat Runx2 binding sites within the 3'-end of
this 150-bp region (TGTGGG-TGTGGC, -4187 to -4176 bp). Candidate electrophoretic
mobility shift assay (EMSA), chromatin immunoprecipitation, and transfection
studies demonstrate that these putative Runx2 sites bind Runx2 and mediate
upregulated Col10a1/reporter activity in vitro. Transgenic studies using the 5'
sequence without Runx2 sites were not able to drive the cell-specific LacZ
reporter activity, suggesting the in vivo requirement of the Runx2 sites located
in the 3'-end in mediating Col10a1/reporter expression. Indeed, mutating the
Runx2 sites in the context of the 150-bp promoter abolishes its capacity to drive
hypertrophic chondrocyte-specific reporter expression in transgenic mice. We have
also generated multiple transgenic mouse lines using only the 3'-sequence
containing the Runx2 sites to drive the LacZ gene. Interestingly, no hypertrophic
chondrocyte-specific blue staining was observed in these transgenic mice.
Together, our data support that Runx2 directly interacts with murine Col10a1 cis
enhancer. This interaction is required but not sufficient for cell-specific
Col10a1 promoter activity in vivo. Additional cooperative/repressive elements
within the 5'- or 3'-sequences of this 150-bp promoter are needed to work with
Runx2 together to mediate cell-specific Col10a1 expression. Further delineation
of these elements/factors has the potential to identify novel therapeutic targets
for multiple skeletal disorders, including osteoarthritis, that show abnormal
Col10a1 expression and altered chondrocyte maturation.
PMID- 21887708
TI - Paroxysmal cervical myoclonus.
PMID- 21887707
TI - Calcium intake and prostate cancer among African Americans: effect modification
by vitamin D receptor calcium absorption genotype.
AB - High dietary intake of calcium has been classified as a probable cause of
prostate cancer, although the mechanism underlying the association between
dietary calcium and prostate cancer risk is unclear. The vitamin D receptor (VDR)
is a key regulator of calcium absorption. In the small intestine, VDR expression
is regulated by the CDX-2 transcription factor, which binds a polymorphic site in
the VDR gene promoter. We examined VDR Cdx2 genotype and calcium intake, assessed
by a food frequency questionnaire, in 533 African-American prostate cancer cases
(256 with advanced stage at diagnosis, 277 with localized stage) and 250 African
American controls who participated in the California Collaborative Prostate
Cancer Study. We examined the effects of genotype, calcium intake, and diet-gene
interactions by conditional logistic regression. Compared with men in the lowest
quartile of calcium intake, men in the highest quartile had an approximately
twofold increased risk of localized and advanced prostate cancer (odds ratio [OR]
= 2.20, 95% confidence interval [CI] = 1.40, 3.46), with a significant dose
response. Poor absorbers of calcium (VDR Cdx2 GG genotype) had a significantly
lower risk of advanced prostate cancer (OR = 0.41, 95% CI = 0.19, 0.90). The gene
calcium interaction was statistically significant (p = 0.03). Among men with
calcium intake below the median (680 mg/day), carriers of the G allele had an
approximately 50% decreased risk compared with men with the AA genotype. These
findings suggest a link between prostate cancer risk and high intestinal
absorption of calcium.
PMID- 21887709
TI - Paroxysmal craniocervical dyskinesia as manifestation of frontal lobe epilepsy.
PMID- 21887710
TI - Safety and efficacy of botulinum toxin type B for treatment of sialorrhea in
Parkinson's disease: a prospective double-blind trial.
AB - Sialorrhea (drooling) is a common symptom of Parkinson's disease (PD) that can
significantly impair a patient's health and quality of life. Fifty-four PD
subjects with troublesome sialorrhea were enrolled using a multicenter,
randomized, double-blind, sequential-dose escalation design in which subjects
received a single intraglandular treatment with botulinum toxin type B (doses of
1,500 Units [0.3 mL]; 2,500 Units [0.5 ml]; or 3,500 Units [0.7 ml]) or placebo.
Postinjection, subjects were followed acutely for 4 weeks and long-term for up to
20 weeks. Safety/tolerability, as assessed by adverse events, was the primary
outcome measure. Efficacy, as assessed by the Drooling Frequency and Severity
Scale and unstimulated salivary flow rate, was secondary. Gastrointestinal
related adverse events occurred more frequently in the active groups versus
placebo group (31% vs 7%), with dry mouth being most common (15%). There were no
serious adverse events attributed to botulinum toxin type B or discontinuations
due to adverse events from treatment. At 4 weeks postinjection, Drooling
Frequency and Severity Scale scores significantly improved versus placebo (-1.3
+/- 1.3) in a dose-related manner (-2.1 +/- 1.2, P = 0.0191; -3.3 +/- 1.4, P <
0.0001; -3.5 +/- 1.1, P < 0.0001, respectively) and unstimulated salivary flow
rates significantly decreased in all active groups versus placebo (P <= 0.0009).
Furthermore, treated subjects appeared to have more sustained improvement in
sialorrhea than placebo subjects. We conclude that intraglandular injection of
botulinum toxin type B was safe, tolerable, and efficacious in treating
sialorrhea in PD patients. Additional studies are warranted to further confirm
the drug's robust efficacy, as well as evaluate its effect with repeated dosing.
PMID- 21887711
TI - Parkinson's disease and alpha-synuclein expression.
AB - Genetic studies of Parkinson's disease over the last decade or more have
revolutionized our understanding of this condition. alpha-Synuclein was the first
gene to be linked to Parkinson's disease, and is arguably the most important: the
protein is the principal constituent of Lewy bodies, and variation at its locus
is the major genetic risk factor for sporadic disease. Intriguingly, duplications
and triplications of the locus, as well as point mutations, cause familial
disease. Therefore, subtle alterations of alpha-synuclein expression can manifest
with a dramatic phenotype. We outline the clinical impact of alpha-synuclein
locus multiplications, and the implications that this has for Parkinson's disease
pathogenesis. Finally, we discuss potential strategies for disease-modifying
therapies for this currently incurable disorder.
PMID- 21887712
TI - CNS live imaging reveals a new mechanism of myelination: the liquid croissant
model.
AB - The overall morphology and with it associated the formation of myelin is
generally thought to be resolved. Based on electron microscopic findings more
than half a century ago, the current model of myelination describes all myelin
membranes to run in parallel with the longitudinal axis of the axon and to form a
smooth surface, reminiscent of a rolled up carpet. However, different studies in
the past demonstrated a distinct myelin morphology with an uneven myelin surface
contour that challenges the established concept. Even though the current model of
myelination has since been recognized as insufficient, CNS myelin formation has
not yet been investigated in real-time with the requisite technique and
resolution. We therefore traced myelin growth in murine organotypic cerebellar
slice cultures using high-resolution confocal live imaging, light and electron
microscopy and assessed myelin morphology in young and adult mice by confocal
microscopy. Our data verify that the myelin surface is indeed not smooth but runs
in a bidirectional, regularly spaced coil along the axon in both young and adult
mice. Time-lapse imaging revealed that the growth of coiled myelin turns emerges
during myelin formation. We therefore propose the "liquid croissant" model as a
new concept of myelination that overcomes not only some of the incongruences of
previous myelination theories, but potentially also explains the development of
certain myelin pathologies observed in remyelination and axonopathies.
PMID- 21887713
TI - A postdeployment expressive writing intervention for military couples: a
randomized controlled trial.
AB - The current study tested the effectiveness of a brief expressive writing
intervention on the marital adjustment of 102 military couples recently reunited
following a deployment to Iraq or Afghanistan. Active duty soldiers and their
spouses were randomly assigned to write about either their relationship or a
nonemotional topic on 3 occasions on a single day. The resulting design included
4 couple-level writing topic conditions: soldier-expressive/spouse-expressive,
soldier-expressive/spouse-control, soldier-control/spouse-expressive, and soldier
control/spouse-control. Participants completed marital adjustment measures before
writing, 1 month, and 6 months after writing. When soldiers, but not spouses, did
expressive writing, couples increased in marital satisfaction over the next
month, particularly if the soldier had had high combat exposure.
PMID- 21887714
TI - Posttraumatic stress and related symptoms among neglected and physically and
sexually maltreated adolescents.
AB - Posttraumatic stress disorder (PTSD) is common among maltreated youth, but few
investigations compare neglect with other maltreatment types. This study examined
84 adolescents who experienced neglect only, physical and/or sexual maltreatment
only, or neglect with physical and/or sexual maltreatment. Symptoms of PTSD,
dissociation, and depression were measured. Adolescents who experienced physical
and/or sexual maltreatment, whether neglected or not, reported significantly
greater symptomatology than adolescents who experienced neglect only (eta2 =
.094). This difference applied to PTSD symptoms, dissociative amnesia,
depersonalization and derealization, negative mood, and anhedonia. Adolescents
exposed to neglect only displayed substantial psychopathology but less than that
of other groups. The findings may reflect emerging cascade models of the etiology
of symptoms of PTSD following extensive maltreatment history.
PMID- 21887715
TI - Negishi cross-coupling reactions catalyzed by an aminophosphine-based nickel
system: a reliable and general applicable reaction protocol for the high-yielding
synthesis of biaryls.
AB - Treatment of NMP solutions of NiCl(2) with 1,1',1''-(phosphanetriyl)tripiperidine
(~2.05 equiv), dissolved in THF, in air at 25 degrees C forms a highly active
catalytic system for the cross-coupling of a large variety of electronically
activated, non-activated, deactivated, and ortho-substituted, heterocyclic, and
functionalized aryl bromides and aryl chlorides with diarylzinc reagents. Very
high levels of conversion and yields were obtained within 2 h at 60 degrees C in
the presence of only 0.1 mol% of catalyst (based on nickel) and thus at catalyst
loadings far lower than typically reported for nickel-catalyzed versions of the
Negishi reaction. Various aryl halides-which may contain trifluoromethyl groups,
fluorides, or other functional groups such as acetals, ketones, ethers, esters,
lactones, amides, imines, anilines, alkenes, pyridines, quinolines, and
pyrimidines-were successfully converted into the corresponding biaryls.
Electronic and steric variations are tolerated in both reaction partners.
Experimental observations indicate that a molecular (Ni(I)/Ni(III)) mechanism is
operative.
PMID- 21887716
TI - Construction of hydrogen-bonded ternary organic crystals derived from L-tartaric
acid and their application to enantioseparation of secondary alcohols.
AB - Ternary organic crystals consisting of an L-tartaric acid-derived dicarboxylic
acid, a commercially available achiral diamine, and a chiral secondary alcohol
have been developed and characterized by X-ray crystallography. 1D, 2D, and 3D
hydrogen-bonded supramolecular networks were constructed, depending on the
structure of the diamine used. Benzylic and aliphatic secondary alcohols were
enantioselectively incorporated into the crystal and were successfully
enantioseparated with up to 86 and 79% enantiomeric excess (ee), respectively.
Selective incorporation of one enantiomer of 2-butanol, which is a small chiral
aliphatic alcohol, was achieved by the cooperative effects of hydrogen bonds,
CH...pi interactions, and van der Waals interactions between the guest and host
molecules, with the aid of two water molecules. The high host potential of the
binary supramolecular system is mainly attributed to the skewed conformation of
two rigid aromatic groups of tartaric acid derivatives, which prevents dense
packing of the molecules and enhances the formation of multicomponent inclusion
crystals.
PMID- 21887717
TI - Presence of cleaved caspase 3 in swine embryos of different developmental
capacities produced by parthenogenetic activation.
AB - This study assessed the presence of cleaved caspase 3 (CC3) during the in vitro
development of swine embryos produced by parthenogenetic activation (PA). Embryos
with high and low capacity to develop into blastocysts and the exposure to a
caspase inhibitor (z-DEVD-fmk) were used to investigate the effect of CC3 on
embryo development. The blastocyst rate (64.3% vs. 16.4%) and the average number
of nuclei per blastocyst (39.7 vs. 19.8) were significantly higher (P < 0.05) in
early- (before 24 hr) compared to late- (between 24 and 48 hr) cleaving embryos
after PA. CC3 was mainly detected in the cytoplasm of Day-2 and -4 embryos, but
was primarily localized in the nucleus of Day-5 and -6 embryos. The fluorescence
signal for CC3 relative to negative controls was significantly higher (P < 0.05)
in early- (2.42-fold) compared to late-cleaving (1.39-fold) embryos at Day 2 of
culture. Treatment with z-DEVD-fmk during the first 24 or 48 hr of the culture
period resulted in more embryos developing into blastocysts compared to the
control group (55.8% and 55.1% vs. 37%, respectively; P < 0.05). This study
confirmed the presence of CC3 in PA embryos from the two-cell to the blastocyst
stage, and revealed that CC3 cellular-localization changed during embryo
development. CC3 was shown to be more abundant in early-cleaving and more
developmentally competent embryos compared to late-cleaving and less
developmentally competent embryos. The inhibition of caspase activity at the
beginning, but not at the end, of the culture period affected development of PA
embryos.
PMID- 21887718
TI - 4E-BP1 degradation and eIF4E truncation occur spatially distinctly in the porcine
uterine epithelia and are features of noninvasive implantation in the pig.
AB - The implantation of the blastocyst into the endometrium is an indispensable
premise for successful embryonic development. This process is regulated by
maternal and embryonic signals that influence gene expression at the
translational level, among other processes. Recently, we have shown that
proteolytical cleavage of the prototypical 25-kDa, mRNA cap-binding protein eIF4E
produces a stable variant with a molecular mass of approximately 23 kDa
exclusively in the porcine endometrium during implantation. This is accompanied
by dephosphorylation and reduction of the abundant repressor 4E-BP1. Here, we
investigate the distribution of the truncated eIF4E and of 4E-BP1 in the porcine
uterine tissue, their binding in native samples, and we analyzed eIF4E-, eIF4G-,
and 4E-BP1-specific proteolytic activities. Our results show that in pigs, the
truncated eIF4E is located in the endometrial luminal epithelium during
implantation. Neither glandulary tissue nor stroma expressed any truncated eIF4E.
The reduced abundance of 4E-BP1 during implantation is mainly the result of decay
in the glandular epithelia. Moreover, steroid replacements, in vitro protease
assays, and cell lysate fractionation showed that eIF4E cleavage and 4E-BP1 decay
both depended on the ovarian steroid hormones estradiol and progestrone, but
these effects are the result of different proteolytic activities. Although eIF4G
cleavage also depends on calcium, stimulation by these steroids could not be
established. We propose that the translation initiation process in the
endometrium is differently regulated by the truncated eIF4E, utilizing different
abundances of 4E-BP1 and binding dynamic of eIF4E/4E-BP1 in distinct forms of
implantation.
PMID- 21887719
TI - Evolution of the acquisition of fertilization competence and polyspermy blocks
during meiotic maturation.
AB - In many animals, fully grown oocytes are arrested at prophase of meiosis I.
Before or after ovulation/spawning, a secondary arrest occurs at metaphase of
meiosis I or II (MI or II, respectively). MI arrest in the ovary is released
after spawning, and is followed by fertilization, whereas MI and MII arrest after
ovulation are released by fertilization. Insemination of isolated oocytes from
the ovaries at an inappropriate time increases the rate of polyspermy, indicating
that ovaries provide the proper environment for acquisition of the polyspermy
blocks and the development of competence to be fertilized normally. Due to MI
arrest in the ovaries or MI/MII arrest after ovulation/spawning, the fertilizable
period can be elongated. Thus, MI and MII arrest may play a role in maintaining
the cell-cycle phases to enable normal fertilization. Here, the evolution of
fertilization timing is discussed.
PMID- 21887721
TI - Polymorphisms in the 5' regulatory region of the GPR54 gene and their association
with sexual maturity in cattle.
PMID- 21887720
TI - Centrosome dynamics during mammalian oocyte maturation with a focus on meiotic
spindle formation.
AB - Oocyte maturation is an important process required to achieve optimal oocyte
quality, and later affects fertilization potential and subsequent embryo
development. The maturation process includes synchronized nuclear and cytoplasmic
remodeling, in which cytoskeletal and centrosome dynamics play an important role
and significantly participate in cellular signaling. Centrosome remodeling within
the maturing oocyte is essential for accurate meioisis I and II spindle
formation, specifically to separate chromosomes accurately during the two
successive, highly asymmetric meiotic cell divisions. Centrosomal abnormalities
result in inaccurate microtubule organization and inaccurate chromosome
alignment, with failures in chromosome segregation leading to aneuploidy and
chromosomal abnormalities. The present review is focused on cytoskeletal and
centrosome remodeling during oocyte maturation, with specific attention to gamma
tubulin, pericentrin, the Nuclear Mitotic Apparatus (NuMA) protein, and
microtubule organization. Species-specific differences will be discussed for
rodent (mouse) and non-rodent (bovine, porcine) species, and for human oocytes.
PMID- 21887722
TI - Lipid rafts function in Ca2+ signaling responsible for activation of sperm
motility and chemotaxis in the ascidian Ciona intestinalis.
AB - Lipid rafts are specialized membrane microdomains that function as signaling
platforms across plasma membranes of many animal and plant cells. Although there
are several studies implicating the role of lipid rafts in capacitation of
mammalian sperm, the function of these structures in sperm motility activation
and chemotaxis remains unknown. In the ascidian Ciona intestinalis, egg-derived
sperm activating- and attracting-factor (SAAF) induces both activation of sperm
motility and sperm chemotaxis to the egg. Here we found that a lipid raft
disrupter, methyl-beta-cyclodextrin (MCD), inhibited both SAAF-induced sperm
motility activation and chemotaxis. MCD inhibited both SAAF-promoted synthesis of
intracellular cyclic AMP and sperm motility induced by ionophore-mediated Ca(2+)
entry, but not that induced by valinomycin-mediated hyperpolarization. Ca(2+)
imaging revealed that lipid raft disruption inhibited Ca(2+) influx upon
activation of sperm motility. The Ca(2+)-activated adenylyl cyclase was clearly
inhibited by MCD in isolated lipid rafts. The results suggest that sperm lipid
rafts function in signaling upstream of cAMP synthesis, most likely in SAAF
induced Ca(2+) influx, and are required for Ca(2+)-dependent pathways underlying
activation and chemotaxis in Ciona sperm.
PMID- 21887723
TI - Measuring the effect of policy interventions at the population level: some
methodological concerns.
AB - Health policy evaluations estimate the response of population aggregate outcomes
to interventions. However, clarity on the form of the expected causal
relationship, the parameter identification strategy, and the mode of hypothesis
testing is required to overcome a number of conceptual and methodological
problems. We use the New Jersey statewide smoking ban as an example. We examine
statewide admission rates for acute myocardial infarctions, strokes and lower
limb fractures, and emergency room encounter rates for asthma exacerbations
before and after the smoking ban. We discuss the identification options and show
the sensitivity of estimates of the response function to different specifications
of the stochastic and intervention components and to different modes of
inference. Model misspecification is demonstrated by rolling Chow tests for
structural breaks in repeated observations.
PMID- 21887724
TI - Hematopoietic prostaglandin D synthase (H-Pgds) is expressed in the early
embryonic gonad and participates to the initial nuclear translocation of the SOX9
protein.
AB - In mammals, the Prostaglandin D(2) (PGD(2) ) signaling pathway is involved in
male gonadal development, regulating Sox9 gene expression and SOX9 protein
subcellular localization through lipocalin prostaglandin D synthase (L-Pgds)
activity. Nevertheless, because L-Pgds is downstream of Sox9, its expression
cannot explain the initial nuclear translocation of the SOX9 protein. Here, we
show that another source of PGD(2) , hematopoietic-Pgds (H-Pgds) enzyme is
expressed in somatic and germ cells of the embryonic gonad of both sexes, as
early as embryonic day (E) 10.5, before the onset of L-Pgds expression.
Inhibition of H-Pgds activity by the specific HQL-79 inhibitor leads to impaired
nuclear translocation of SOX9 protein in E11.5 Sertoli cells. Furthermore,
analysis of H-Pgds(-/-) male embryonic gonads confirms abnormal subcellular
localization of SOX9 protein at the E11.5 early stage of mouse testicular
differentiation suggesting a role for H-Pgds-produced PGD(2) in the initial
nuclear translocation of SOX9.
PMID- 21887725
TI - Mutational spectrum in the Ca(2+)--activated cation channel gene TRPM4 in
patients with cardiac conductance disturbances.
AB - Very recently, mutations in the TRPM4 gene have been identified in four pedigrees
as the cause of an autosomal dominant form of cardiac conduction disease. To
determine the role of TRPM4 gene variations, the relative frequency of TRPM4
mutations and associated phenotypes was assessed in a cohort of 160 unrelated
patients with various types of inherited cardiac arrhythmic syndromes. In eight
probands with atrioventricular block or right bundle branch block--five familial
cases and three sporadic cases--a total of six novel and two published TRPM4
mutations were identified. In patients with sinus node dysfunction, Brugada
syndrome, or long-QT syndrome, no mutations were found. The novel mutations
include six amino acid substitutions and appeared randomly distributed through
predicted TRPM4 protein. In addition, eight polymorphic sites including two in
frame deletions were found. Mutations separated from polymorphisms by absence in
control individuals and familial cosegregation in some families. In summary,
TRPM4 gene mutations appear to play a major role in cardiac conduction disease
but not for other related syndromes so far. The phenotypes are variable and
clearly suggestive of additional factors modulating the disease phenotype in some
patients.
PMID- 21887726
TI - Is there evidence to claim or deny association between variants of the multidrug
resistance gene (MDR1 or ABCB1) and inflammatory bowel disease?
AB - BACKGROUND: Inflammatory bowel disease (IBD) is a complex disease with a genetic
background. Crohn's disease (CD) and ulcerative colitis (UC) are the two main
types of IBD. There is indication that variants in the MDR1 gene are associated
with development of IBD. However, the 20 published genetic association studies
(GAS) for the three most popular variants in the MDR1 gene (C3435T, G2677T/A, and
C1236T) have produced inclusive results. METHODS: In order to decrease the
uncertainty of pooled risk effects and to explore the trend and stability of the
risk effects, a meticulous meta-analysis, including cumulative and recursive
cumulative meta-analysis, of the GAS related to the MDR1 gene with susceptibility
to IBD was conducted. The risk effects were estimated based on the odds ratio
(OR) of the allele contrast and the generalized odds ratio (OR(G) ). RESULTS: The
analysis showed marginal significant association for the C3435T variant in UC:
the risk estimate for the allele contrast was OR = 1.11 (1.00-1.22) and OR(G) =
1.12 (1.01-1.27), indicating that a subject with high mutational load has a 12%
higher probability of being diseased. The respective cumulative meta-analysis
indicated a downward trend of association, as evidence accumulates with the
association being significant during the whole published period. The cumulative
meta-analysis for the other variants showed lack of any trend of association.
However, the recursive cumulative meta-analysis showed that there is no
sufficient evidence for denying or claiming an association for all variants.
CONCLUSIONS: More evidence is needed to draw safe conclusions regarding the
association of MDR1 variants and development of IBD.
PMID- 21887727
TI - Effect of adalimumab on clinical laboratory parameters in patients with Crohn's
disease: results from the CHARM trial.
AB - BACKGROUND: Nutritional deficiencies and anemia are common in Crohn's disease
(CD). METHODS: We evaluated the effect of adalimumab on changes in laboratory
values using data from CHARM, in which patients were randomized to adalimumab 40
mg every other week (eow), adalimumab 40 mg weekly, or placebo for 56 weeks. Mean
changes in laboratory values from baseline to Weeks 26 and 56 were compared
between adalimumab and placebo using analysis of covariance models. Percentages
of patients with suboptimal laboratory values at Weeks 26 and 56 were compared
between treatment groups using Cochran-Mantel-Haenszel (CMH) tests. Pearson
correlation coefficients for associations between changes in Crohn's Disease
Activity Index (CDAI) score and changes in laboratory values were estimated at
Weeks 4, 26, and 56. RESULTS: The intention-to-treat analysis included 778
patients randomized to adalimumab eow (N = 260), adalimumab weekly (N = 257), or
placebo (N = 261). Baseline abnormalities in laboratory values were common across
treatment groups. CMH tests revealed significantly lesser rates of suboptimal
laboratory values with adalimumab vs. placebo at Week 26, including
hypoalbuminemia, calcium deficiency, low hemoglobin, low hematocrit, low red
blood cell count, elevated platelet count, and elevated C-reactive protein
concentration (all P < 0.05). These improvements persisted at Week 56.
Improvements in CDAI from baseline to Weeks 4, 26, and 56 were significantly
correlated with changes from baseline for albumin, hemoglobin, and C-reactive
protein (all P < 0.001). CONCLUSIONS: Adalimumab therapy for moderately to
severely active CD was associated with significant improvements in nutritional,
hematologic, and inflammatory markers.
PMID- 21887728
TI - Lymphoma risk in children and young adults with inflammatory bowel disease:
analysis of a large single-center cohort.
AB - BACKGROUND: Prior studies suggest an increased risk of lymphoma in adults with
inflammatory bowel disease (IBD). Cases of lymphoma have also been reported in
children with IBD. However, the precise risk of lymphoma in relation to drug
exposure has not been ascertained in children. METHODS: We conducted a single
center, retrospective study of 1560 children and young adults with IBD evaluated
at Children's Hospital Boston between 1979 and 2008. Of this group, 186 patients
were excluded due to incorrect diagnosis, one-time second-opinion visits, or
missing hospital records. The remaining 1374 patients had charts reviewed to
determine whether lymphoma developed while they were receiving their clinical
care at our institution and the duration of exposure to various IBD medications.
The rate of lymphoma was calculated in patient-years of exposure for each class
of medications utilized in IBD. RESULTS: Of 1374 patients (741 male; age at
diagnosis 12.1 +/- 4.0 years; 791 Crohn's disease [CD], 535 ulcerative colitis
[UC], 48 IBD unclassified), we identified two patients who developed lymphoma
(one Hodgkin, one anaplastic large cell), in 6624 patient-years of follow-up
(mean duration follow-up 4.8 years per patient). Both patients were males (ages
12 and 18 years at time of lymphoma onset) and were receiving thiopurines but had
not yet received biologics at the time of their cancer diagnosis. They were both
treated with chemotherapy and are alive without cancer 32+ and 76+ months since
diagnosis. The absolute incidence rate of lymphoma for patients having received
thiopurines was 4.5 per 10,000 patient-years compared to the expected rate of
0.58 per 10,000 patient-years, with a standardized incidence ratio (SIR) of 7.51
(95% confidence interval [CI] 0.74-41.98). CONCLUSIONS: The overall risk of
lymphoma in children with IBD is low, with only two cases seen in our hospital
over a 30-year period. The lymphoma risk (as estimated by SIR) in children
receiving thiopurines is comparable to that reported in studies of adults. While
there may be an increased risk of lymphoma in children treated with thiopurines,
the risk did not reach statistical significance in this large cohort.
PMID- 21887729
TI - Genetics of inflammatory bowel disease in Asia: systematic review and meta
analysis.
AB - BACKGROUND: Inflammatory bowel diseases (IBD) result from an interaction between
genetic and environmental factors. Preliminary findings suggest that
susceptibility genes differ between IBD patients in Asia and the West. We aimed
to evaluate disease-predisposing genes in Asian IBD patients. METHODS: A
systematic review and meta-analysis were performed of published studies from 1950
to 2010 using keyword searches in MEDLINE, EMBASE, EBM Reviews, and BIOSIS
Previews. RESULTS: In all, 477 abstracts were identified and data extracted from
93 studies, comprising 17,976 IBD patients and 27,350 age- and sex-matched
controls. Major nucleotide oligomerization domain (NOD)-2 variants in Western
Crohn's disease (CD) patients were not associated with CD in Han Chinese,
Japanese, South Korean, Indian, and Malaysian populations. New NOD2 mutations
were, however, associated with CD in Malaysians (JW1), Han Chinese, and Indians
(P268S). Autophagy-related protein 16-linked 1 (ATG16L1) was not associated with
CD in East Asians (odds ratio [OR] 0.97; 95% confidence interval [CI] 0.84-1.13).
Interleukin (IL)-23R was associated with CD in South Koreans (OR 1.8; 95% CI 1.16
2.82) and a single nucleotide polymorphism in IL-23R (Gly149Arg) was protective
of CD in Han Chinese (OR 0.3; 95% CI 0.15-0.60). Tumor necrosis factor (TNF)
superfamily gene-15 (SF15) polymorphisms were associated with CD (OR 2.68; 95% CI
1.86-3.86), while TNF-308 polymorphisms (OR 1.82; 95% CI 1.15-2.9), cytotoxic T
lymphocyte antigen (CTLA)-4 (OR 2.75; 95% CI 1.22-6.22) and MICA allele (OR 2.41;
95% CI 1.89-3.07) were associated with ulcerative colitis in Asians. CONCLUSIONS:
Genetic mutations of IBD in Asians differ from Caucasians. New mutations and
susceptibility genes identified in Asian IBD patients provide an opportunity to
explore new disease-associated mechanisms in this population of rising incidence.
PMID- 21887730
TI - Control of NOD2 and Rip2-dependent innate immune activation by GEF-H1.
AB - BACKGROUND: Genetic variants of nucleotide-binding oligomerization domain 2
(NOD2) lead to aberrant microbial recognition and can cause chronic inflammatory
diseases in patients with Crohn's disease (CD). METHODS: We utilized gene
specific siRNA mediated knockdown and expression of guanine nucleotide exchange
factor H1 (GEF-H1) in wildtype, Rip2-, and Nod2-deficient macrophages, HCT-116
and HEK 293 cells to determine the role of GEF-H1 in NOD2 and Rip2-mediated NF
kappaB-dependent induction of proinflammatory cytokine expression. Confocal
microscopy was used to determine subcellular distribution of GEF-H1, Rip2, and
NOD2. RESULTS: We identified GEF-H1 as an unexpected component of innate immune
regulation during microbial pattern recognition by NOD2. Surprisingly, GEF-H1
mediated the activation of Rip2 during signaling by NOD2, but not in the presence
of the 3020 insC variant of NOD2 associated with CD. GEF-H1 functioned downstream
of NOD2 as part of Rip2-containing signaling complexes and was responsible for
phosphorylation of Rip2 by Src tyrosine kinase. Rip2 variants lacking the
tyrosine target of GEF-H1-mediated phosphorylation were unable to mediate NF
kappaB activation in Rip2-deficient macrophages and failed to transduce NOD2
signaling. GEF-H1 is required downstream of NOD2 as part of Rip2-containing
signaling complexes for the activation of innate immune responses. CONCLUSIONS:
GEF-H1 connects tyrosine kinase function to NOD-like receptor signaling and is
fundamental to the regulation of microbial recognition by ubiquitous innate
immune mechanisms mediated by Rip2 kinase.
PMID- 21887731
TI - Enterotoxin-producing staphylococci cause intestinal inflammation by a
combination of direct epithelial cytopathy and superantigen-mediated T-cell
activation.
AB - BACKGROUND: Enterotoxin-producing Staphylococcus aureus may cause severe
inflammatory intestinal disease, particularly in infants or immunodeficient or
elderly patients. They are also recognized to be associated with sudden infant
death syndrome. Little is known, however, about mucosal responses to
staphylococci. METHODS: The mucosal lesion in three infants with staphylococcal
enterocolitis was assessed by immunohistochemistry and electron microscopy. The
organisms underwent extensive molecular analysis. Their toxins were assessed for
capacity to induce T-cell activation and host mucosal responses examined by in
vitro organ culture. Epithelial responses were studied by coculture with HEp-2
and Caco-2 cells. RESULTS: Intestinal biopsies from the patients showed marked
epithelial damage with mucosal inflammation. The three staphylococci,
representing two distinct clones, were methicillin-sensitive, producing SEG/I
enterotoxins and Rho-inactivating EDIN toxins. Their enterotoxins potently
activated T cells, but only whole organisms could induce in vitro enteropathy,
characterized by remarkable epithelial desquamation uninhibited by tacrolimus.
EDIN-producing staphylococci, but not their supernatants, induced striking
cytopathy in HEp-2 epithelial cells but not in Caco-2 cells. Although HEp-2 and
Caco-2 cells produced similar IL-8, CCL20, and cathelicidin LL37 responses upon
bacterial exposure, only Caco-2 cells expressed mRNA for the beta-defensins HBD2
and HBD3, while HEp-2 cells were unable to do so. CONCLUSIONS: Staphylococci
induce enterocolitis by a combination of direct enterocyte cytopathy mediated by
EDIN toxins, disrupting the epithelial barrier, and enterotoxin superantigen
induced mucosal T-cell activation. Gut epithelial production of beta-defensins
may contribute to host defense against invasive staphylococcal disease.
PMID- 21887732
TI - Double-blind, placebo-controlled trial of oral tacrolimus (FK506) in the
management of hospitalized patients with steroid-refractory ulcerative colitis.
AB - BACKGROUND: We report a multicenter study of oral tacrolimus (FK506) therapy in
steroid-refractory ulcerative colitis (UC). METHODS: In a placebo-controlled,
double-blind study, 62 patients with steroid-refractory, moderate-to-severe UC
were randomized into either a tacrolimus group or a placebo for 2 weeks. Patients
were evaluated using the Disease Activity Index (DAI). As an entry criterion,
patients had to have a total DAI score of 6 or more as well as a mucosal
appearance subscore of 2 or 3. Clinical response was defined as improvement in
all DAI subscores. Mucosal healing was defined as mucosal appearance subscore of
0 or 1. Clinical remission was defined as a total DAI score <= 2 with an
individual subscore of 0 or 1. RESULTS: The mean total DAI score at study entry
was 9.8 +/- 1.61 in the tacrolimus group and 9.1 +/- 1.05 in the placebo group.
At week 2 the clinical response rate was 50.0% (16/32) in the tacrolimus group
and 13.3% (4/30) in the placebo group (P = 0.003). The rate of mucosal healing
observed was 43.8% (14/32) in the tacrolimus group and 13.3% (4/30) in the
placebo group (P = 0.012) and the rate of clinical remission observed was 9.4%
(3/32) in the tacrolimus group and 0.0% (0/30) in the placebo group (P = 0.238).
The therapies in this study were well tolerated, with only minor side effects.
CONCLUSIONS: Oral tacrolimus therapy in patients with steroid-refractory UC
shortened the acute phase and induced rapid mucosal healing. These results
suggest that tacrolimus therapy is useful as an alternative therapy for steroid
refractory UC.
PMID- 21887733
TI - Staudinger ligation as a method for bioconjugation.
AB - In 1919 the German chemist Hermann Staudinger was the first to describe the
reaction between an azide and a phosphine. It was not until recently, however,
that Bertozzi and co-workers recognized the potential of this reaction as a
method for bioconjugation and transformed it into the so-called Staudinger
ligation. The bio-orthogonal character of both the azide and the phosphine
functions has resulted in the Staudinger ligation finding numerous applications
in various complex biological systems. For example, the Staudinger ligation has
been utilized to label glycans, lipids, DNA, and proteins. Moreover, the
Staudinger ligation has been used as a synthetic method to construct
glycopeptides, microarrays, and functional biopolymers. In the emerging field of
bio-orthogonal ligation strategies, the Staudinger ligation has set a high
standard to which most of the new techniques are often compared. This Review
summarizes recent developments and new applications of the Staudinger ligation.
PMID- 21887734
TI - A combined far-infrared spectroscopic and electrochemical approach for the study
of iron-sulfur proteins.
AB - Herein, we present the development of a far-infrared spectroscopic approach for
studying metalloenzyme active sites in a redox-dependent manner. An
electrochemical cell with 5 mm path and based on silicon windows was found to be
appropriate for the measurement of aqueous solutions down to 200 cm(-1) . The
cell was probed with the infrared redox signature of the metal-ligand vibrations
of different iron-sulfur proteins. Each Fe-S cluster type was found to show a
specific spectral signature. As a common feature, a downshift of the frequency of
the Fe-S vibrations was seen upon reduction, in line with the increase of the Fe
S bond. This downshift was found to be fully reversible. Electrochemically
induced FTIR difference spectroscopy in the far infrared is now possible, opening
new perspectives on the understanding of metalloproteins in function of the redox
state.
PMID- 21887736
TI - Radio frequency glow discharge-induced acidification of fluoropolymers.
AB - Fluoropolymer surfaces are unique in view of the fact that they are quite inert,
have low surface energies, and possess high thermal stabilities. Attempts to
modify fluoropolymer surfaces have met with difficulties in that it is difficult
to control the modification to maintain bulk characteristics of the polymer. In a
previously described method, the replacement of a small fraction of surface
fluorine by acid groups through radio frequency glow discharge created a surface
with unexpected reactivity allowing for attachment of proteins in their active
states. The present study demonstrates that 1-ethyl-3-[3-dimethylaminopropyl]
carbodiimide hydrochloride (EDC) reacts with the acid groups on fluoropolymer
surfaces in a novel reaction not previously described. This reaction yields an
excellent leaving group in which a primary amine on proteins can substitute to
form a covalent bond between a protein and these surfaces. In an earlier study,
we demonstrated that collagen IV could be deposited on a modified PTFE surface
using EDC as a linker. Once collagen IV is attached to the surface, it assembles
to form a functional stratum resembling collagen IV in native basement membrane.
In this study, we show data suggesting that the fluorine to carbon ratio
determines the acidity of the fluoropolymer surfaces and how well collagen IV
attaches to and assembles on four different fluoropolymer surfaces.
PMID- 21887735
TI - Gallic acid provokes DNA damage and suppresses DNA repair gene expression in
human prostate cancer PC-3 cells.
AB - Our earlier studies have demonstrated that gallic acid (GA) induced cytotoxic
effects including induction of apoptosis and DNA damage and inhibited the cell
migration and invasion in human cancer cells. However, GA-affected DNA damage and
repair gene expressions in human prostate cancer cells are still unclear. In this
study, we investigated whether or not GA induces DNA damage and inhibits DNA
repair gene expression in a human prostate cancer cell line (PC-3). The results
from flow cytometric assay indicated that GA decreased the percentage of viable
PC-3 cells in a dose- and time-dependent manner. PC-3 cells after exposure to
different doses (50, 100, and 200 MUM) of GA and various periods of time (12, 24,
and 48 h) led to a longer DNA migration smear (comet tail) occurred based on the
single cell gel electrophoresis (comet assay). These observations indicated that
GA-induced DNA damage in PC-3 cells, which also confirmed by 4,6-diamidino-2
phenylindole dihydrochloride staining and DNA agarose gel electrophoresis.
Alternatively, results from real-time polymerase chain reaction assay also
indicated that GA inhibited ataxia telangiectasia mutated, ataxia-telangiectasia
and Rad3-related, O6-methylguanine-DNA methyltransferase, DNA-dependent
serine/threonine protein kinase, and p53 mRNA expressions in PC-3 cells. Taken
together, the present study showed that GA caused DNA damage and inhibited DNA
repair genes as well as both effects may be the critical factors for GA-inhibited
growth of PC-3 cells in vitro.
PMID- 21887737
TI - Assessment of decellularized porcine diaphragm conjugated with gold nanomaterials
as a tissue scaffold for wound healing.
AB - One million Americans suffer from chronic wounds every year with diabetics and
older populations representing the majority. Mechanisms that may be responsible
for the reduced healing response in these patients include reduction in growth
factors or vascularization and an increase in free radical levels. The focus of
this study was to develop a biocompatible gold/porcine diaphragm scaffold capable
of sustaining fibroblast attachment and proliferation which was measured using
viability and dsDNA assays. The free radical scavenging properties, as measured
by ROS assays, were also investigated as a mechanism for improving the wound
environment. Results indicated 69-89% viability for gold nanoparticle (AuNP)
scaffolds and 51-74% for gold nanorod (AuNR) scaffolds as compared to 100% for
decellularized scaffolds and 77% for crosslinked scaffolds. All scaffolds
exhibited good cell attachment while AuNP-1X scaffolds showed the greatest cell
proliferation with a 74% increase in dsDNA content from Day 3 to 7. AuNP-2X and
AuNP-4X scaffolds generated higher levels of free radicals with AuNP-4X
generating over twice as much as decellularized scaffolds. This study suggests
the capability for gold/porcine diaphragm scaffolds to enhance cell proliferation
while the modification of free radical generation appears to be dependent on
nanomaterial shape and concentration.
PMID- 21887738
TI - In vitro evaluation of 45S5 Bioglass(r)-derived glass-ceramic scaffolds coated
with carbon nanotubes.
AB - Highly porous (> 90% porosity) 45S5 Bioglass(r)-derived glass-ceramic scaffolds
were fabricated by foam replication method, and coated with carbon nanotubes
(CNT) (coating thickness: 1 MUm) using electrophoretic deposition (EPD). In vitro
cell culture using mesenchymal stem cells (MSCs) was carried out on both scaffold
systems (with and without CNT coating) over a 4-week period. By using
AlamarBlueTM, BSA and alkaline phosphatase assays; the cell viability and
differentiation were measured quantitatively measured and compared between the
two scaffold types. The results showed that both scaffold systems are
biocompatible with MSCs and they can support the cellular activity. No cytotoxic
effects of CNT were observed under the conditions of the present experiments.
Although a lower initial cell viability on the CNT-coated scaffolds was observed,
no significant differences were found after 4 weeks of culture compared with the
uncoated scaffolds. This work therefore shows that there is in principle no
significant improvement of cellular responses by creating a CNT-coating on this
type of highly bioactive scaffolds. However, the electrical conductivity
introduced by the coating might have the potential to increase cell viability and
differentiation when cell culture is carried out under the effect of electrical
stimulation.
PMID- 21887739
TI - Hemocompatibility and biofunctionality of two poly(2-(dimethylamino)ethyl
methacrylate-co-poly(ethyleneglycol) copolymers.
AB - To mask the antigenic sites of cells for cell therapies, especially for blood
transfusion, we investigated the hemocompatibility of two poly(2
(dimethylamino)ethyl methacrylate-co-poly(ethyleneglycol) compared with that of
the homopolymer without PEG. Our strategy relies on the potential ability of
these copolymers to self-assemble at the erythrocyte surface. The cationic
sequence of the copolymer should be able to interact with the glycocalyx by ionic
interaction. The other sequence, based on a polyethyleneglycol moiety, should
prevent both nonspecific interactions and specific recognition of the biological
surface. The hemocompatibility of these copolymers was assessed by analyzing
alterations in human erythrocyte membrane viscoelasticity, morphology,
granularity, and aggregation. Their properties to mask ABO system and three
erythrocyte glycophorin sites were investigated. No alterations in the
erythrocyte morphology were observed by confocal microscopy. On the other hand, a
partial masking of different specific glycophorin sites leads to future
optimization of the macromolecular structures of these functionalized copolymers.
PMID- 21887740
TI - Novel injectable biodegradable glycol chitosan-based hydrogels crosslinked by
Michael-type addition reaction with oligo(acryloyl carbonate)-b-poly(ethylene
glycol)-b-oligo(acryloyl carbonate) copolymers.
AB - Novel injectable biodegradable glycol chitosan hydrogels were developed based on
thiolated glycol chitosan (GC-SH) and water soluble oligo(acryloyl carbonate)-b
poly(ethylene glycol)-b-oligo(acryloyl carbonate) (OAC-PEG-OAC) triblock
copolymers via Michael-type addition reaction. The rheology measurements showed
that robust hydrogels were formed rapidly upon mixing aqueous solutions of GC-SH
and OAC-PEG-OAC at remarkably low total polymer concentrations of 1.5-4.5 wt %
under physiological conditions. The gelation times (varying from 10 s to 17 min)
and storage moduli (100 to 4300 Pa) of hydrogels could be controlled by degrees
of substitution (DS) of GC-SH, solution pH, and polymer concentration. These
glycol chitosan hydrogels had microporous structures, low swelling and slow
hydrolytic degradation (stable for over 6 months) under physiological conditions.
Notably, these hydrogels were prone to enzymatic degradation with lysozyme. The
multiple acryloyl functional groups of OAC-PEG-OAC allowed facile conjugation
with thiol-containing biomolecules prior to gelation endowing hydrogels with
specific bioactivity. The preliminary cell culture studies revealed that these
glycol chitosan hydrogels were cell non-adhesive while Gly-Arg-Gly-Asp-Cys
(GRGDC) peptide modified hydrogels could well support adhesion and growth of both
MG63 osteoblast and L929 fibroblast cells. These rapidly in situ forming
enzymatically biodegradable hybrid hydrogels have great potentials in the
development of injectable cell-specific bioactive extracellular matrices for
tissue engineering.
PMID- 21887741
TI - Dual-functional electrospun poly(2-hydroxyethyl methacrylate).
AB - Poly(2-hydroxyethyl methacrylate) (pHEMA) has been widely used in many biomedical
applications due to its well-known biocompatibility. For tissue engineering
applications, porous scaffolds that mimic fibrous structures of natural
extracellular matrix and possess high surface-area-to-volume ratios are highly
desirable. So far, a systematic approach to control diameter and morphology of
pHEMA fibers has not been reported and potential applications of pHEMA fibers
have barely been explored. In this work, pHEMA was synthesized and processed into
fibrous scaffolds using an electrospinning approach. Fiber diameters from 270 nm
to 3.6 MUm were achieved by controlling polymer solution concentration and
electrospinning flow rate. Post-electrospinning thermal treatment significantly
improves integrity of the electrospun membranes in water. The pHEMA microfibrous
membranes exhibited water absorption up to 280% (w/w), whereas the pHEMA hydrogel
only absorbed 70% water. Fibrinogen adsorption experiments demonstrate that the
electrospun pHEMA fibers highly resist nonspecific protein adsorption. Hydroxyl
groups on electrospun pHEMA fibers were further activated for protein
immobilization. A bovine serum albumin (BSA) binding capacity as high as 120 mg
BSA/g membrane was realized at an intermediate fiber diameter. The pHEMA fibrous
scaffolds functionalized with collagen I significantly promoted fibroblast
adhesion, spreading, and proliferation. We conclude that the electrospun pHEMA
fibers are dual functional, that is, they resist nonspecific protein adsorption
meanwhile abundant hydroxyl groups on fibers allow effective conjugation of
biomolecules in a nonfouling background. High water absorption and dual
functionality of the electrospun pHEMA fibers may lead to a number of potential
applications such as wound dressings, tissue scaffolds, and affinity membranes.
PMID- 21887742
TI - Electrospun nanofiber-based regeneration of cartilage enhanced by mesenchymal
stem cells.
AB - Application of biomaterials in combination with stem cells is a novel tissue
engineering approach to regenerate cartilage. The objective of this study was to
investigate the potential of poly(vinyl alcohol)/polycaprolactone (PVA/PCL)
nanofiber scaffolds seeded with rabbit bone marrow-mesenchymal stem cell (BM-MSC)
for cartilage tissue engineering in vitro and in vivo. We tested the
biocompatibility and mechanical properties of nanofibrous scaffolds using
scanning electron microscope, MTT assay, and tensile measurements. The capacity
of MSC for chondrogenic differentiation on scaffolds was examined using reverse
transcription-polymer chain reaction and immunostaining. For in vivo assessments,
PVA/PCL nanofiber scaffolds with or without MSC were implanted into rabbit full
thickness cartilage defects. To evaluate cartilage regeneration, semi
quantitative grading and histological analysis were performed. Our results showed
that PVA/PCL scaffolds supported the proliferation and chondrogenic
differentiation of MSC in vitro. Moreover, the animals treated with cell-seeded
PVA/PCL scaffolds showed improved healing of defects compared with untreated
control and those which received cell-free scaffolds. Our findings suggest that
PVA/PCL scaffolds incorporated with MSC can serve as a suitable graft for
articular cartilage reconstruction.
PMID- 21887743
TI - A novel polymer gel for the delivery of local therapies to intracranial tumors:
In vivo safety evaluation.
AB - The treatment of intracranial malignancies is limited by the ability of
systemically administered therapies to cross the blood-brain barrier. Royer
resorbable matrix, or R-Gel, is a dextran polymer administered in liquid form via
needle injection. Within minutes of preparation, the polymer forms a gel and
subsequently solidifies, thereby conforming to the dimensions of the injection
cavity. R-Gel can accommodate a wide variety of therapeutic agents that may
provide new options for local treatment delivery. This preclinical study
evaluates the neurotoxicity of R-Gel implanted in the rat brain. Fifteen rats
underwent intracranial administration of R-Gel (N = 9) or saline (N = 6) were
monitored for systemic and neurotoxicity, and sacrificed at pre-determined time
points. Animals that received the R-Gel injection demonstrated no behavioral
changes or weight loss. Histopathologic analysis revealed an inflammatory
response in both groups on day 3 and day 7 after implantation, which resolved by
day 42. These results suggest that intracranial R-Gel is well tolerated.
Therapeutic studies of chemotherapy-complexed R-Gel are underway.
PMID- 21887745
TI - Design, synthesis, and polymerase-catalyzed incorporation of click-modified
boronic acid-TTP analogues.
AB - DNA molecules are known to be important materials in sensing, aptamer selection,
nanocomputing, and construction of unique architectures. The incorporation of
modified nucleobases affords unique DNA properties for applications in areas that
would otherwise be difficult or not possible. Earlier, we demonstrated that the
boronic acid moiety can be introduced into DNA through polymerase-catalyzed
reactions. In order to study whether such incorporation by polymerase is a
general phenomenon, we designed and synthesized four boronic acid-modified
thymidine triphosphate (TTP) analogues. The synthesis of certain analogues was
through the use of a single dialkyne tether for both the Sonogashira coupling
with thymidine and the later Cu-mediated [3+2] cycloaddition for linking the
boronic acid moiety. This approach is much more efficient than the previously
described method, and paves the way for the preparation of a large number of
boronic acid-modified TTPs with a diverse set of structural features. All
analogues showed very good stability under polymerase chain reaction (PCR)
conditions and were recognized as a substrate by DNA polymerase, and thus
incorporated into DNA.
PMID- 21887744
TI - Effects of dialdehyde starch on calcification of collagen matrix.
AB - Dialdehyde starch (DAS), a polymeric aldehyde derived from naturally occurring
polysaccharide, was used as an additive to the collagen (COL) matrix in an effort
to improve its physical and biological properties. The effects of DAS on the
thermal stability of COL were characterized by differential scanning calorimetry.
The conformational changes in COL were characterized by resistance to protease
degradation assay, residual amine analysis, and Fourier-transform infrared
spectroscopy. To assess biocompatibility enhancement, the calcium content in
porcine aortic valve interstitial cells (PAVICs) on exposure to cardiovascular
stents coated with DAS-stabilized COL was examined using atomic absorption
spectroscopy. Thermal stability of DAS-stabilized COL was affected by DAS in a
concentration dependent manner, reaching maximum at the saturation concentration
(DAS:COL = 1:120) and decreasing the thermal stability at the concentrations
above saturation. In the long-term exposure condition (21 days), stents coated
with DAS at the lowest concentration significantly reduced the calcification rate
of PAVIC, when compared with bare stent (p = 0.001). DAS appears to be an
efficient additive to the COL matrix in improving its physical and biological
properties. Further optimization process is needed for the suitable crosslinking
conditions of DAS, which subsequently enhances thermal strength and
anticalcification activities of COL matrix.
PMID- 21887746
TI - Steroid/triterpenoid functional molecules based on "click chemistry".
AB - This Focus Review highlights the design and synthesis of various functional
molecules based on steroid/triterpenoid as building blocks through the copper
catalyzed azide-alkyne cycloaddition (CuAAC) "click" reaction, as well as their
applications in bioactivity, recognition, and assembly. This method shows great
promise to allow us to develop novel molecules with characteristic functions
using the CuAAC "click" reaction.
PMID- 21887747
TI - Self-suspended polyaniline doped with a protonic acid containing a polyethylene
glycol segment.
PMID- 21887748
TI - Reactions under the click chemistry philosophy employed in supramolecular and
mechanostereochemical systems.
AB - Supramolecular chemistry and mechanostereochemistry have been major beneficiaries
of the concepts and reactions pioneered under the "click chemistry" philosophy.
The success of the copper(I) 1,3-dipolar cycloaddition between azides and
alkynes, resulting in the triazole ring has inspired the application of other
emerging click reactions, for example, Diels-Alder cycloadditions, thiol-ene/yne
chemistry, and nitrile N-oxide cycloadditions, towards the creation of advanced
functional supramolecular and mechanostereochemical systems. In this Focus
Review, recent advances in the use of click chemistry in these fields are
highlighted.
PMID- 21887749
TI - Anodic formation of Ti-V binary oxide mesosponge layers for supercapacitor
applications.
PMID- 21887750
TI - Synthesis of a novel lithocene that has aromatic-like nature with nonaromatic
rings.
PMID- 21887752
TI - Neurourology and Urodynamics. Editorial.
PMID- 21887755
TI - Educating chemists for the future.
PMID- 21887758
TI - Transformation of carbon dioxide with homogeneous transition-metal catalysts: a
molecular solution to a global challenge?
AB - A plethora of methods have been developed over the years so that carbon dioxide
can be used as a reactant in organic synthesis. Given the abundance of this
compound, its utilization in synthetic chemistry, particularly on an industrial
scale, is still at a rather low level. In the last 35 years, considerable
research has been performed to find catalytic routes to transform CO(2) into
carboxylic acids, esters, lactones, and polymers in an economic way. This Review
presents an overview of the available homogeneous catalytic routes that use
carbon dioxide as a C(1) carbon source for the synthesis of industrial products
as well as fine chemicals.
PMID- 21887759
TI - Stable hexacenes through nitrogen substitution.
PMID- 21887760
TI - Genetic engineering of biomimetic nanocomposites: diblock proteins, graphene, and
nanofibrillated cellulose.
PMID- 21887762
TI - 43rd Congress of the International Society of Paediatric Oncology (SIOP) 2011,
Auckland, New Zealand, 28th-30th October, 2011. SIOP Abstracts.
PMID- 21887764
TI - The effect of calcium phosphate bone substitute on defect resolution around a
rough-surfaced dental implants in dogs.
AB - Gap defects often exist around dental implants due to morphological differences
between the natural tooth extraction socket and the dental implant. Techniques
that can resolve such gap defects include implant surface modification and
filling of the defects with bone substitutes. Modified surfaces are generally
more effective in this regard than smooth surfaces. Favorable results have also
been reported using bone substitutes. This study evaluated the effectiveness of a
calcium phosphate (CaP) bone substitute for resolving gap defects around implant
surfaces that have been treated with grit blasting and thermal etching. Implants
were placed in edentulous areas in four mongrel dogs. Gap defects with a diameter
of 2 mm were prepared surgically around the dental implants. These defects were
either filled with CaP bone substitute (experimental group) or left unfilled
(control group). Defects were evaluated after 8 and 16 weeks of healing. Block
specimens were fixed, sectioned, and stained with hematoxylin and eosin.
Histometric measurements revealed that healing in gap defects that had been
filled with CaP bone substitute proceeded until 16 weeks. Total CaP degradation
seemed to occur at between 4 and 8 weeks of healing. In conclusion, a more
complete defect resolution was observed in gap defects filled with CaP bone
substitute after 16 weeks than after 8 weeks of healing. The beneficial effects
of filling in 2-mm gap defects around implants were attributed to the use of CaP
bone substitute.
PMID- 21887765
TI - Microstructure and characteristics of the metal-ceramic composite (MgCa-HA/TCP)
fabricated by liquid metal infiltration.
AB - In this article, a novel MgCa alloy-hydroxyapatite-tricalcium phosphate (HA/TCP)
composite was fabricated using the liquid alloy infiltration technique. The
feasibility of the composite for biomedical applications was studied through
mechanical testing, electrochemical testing, immersion testing, and cell culture
evaluation. It was shown that the composite had a strength about 200-fold higher
than that of the original porous HA/TCP scaffold but retained half of the
strength of the bulk MgCa alloy. The corrosion test indicated that the resulting
composite exhibited an average corrosion rate of 0.029 mL cm-2 h-1 in the Hank's
solution at 37 degrees C, which was slower than that of the bulk MgCa alloy
alone. The indirect cytotoxicity evaluation revealed that 100% concentrated
(i.e., undiluted or as-collected) extract of the MgCa-HA/TCP composite showed
significant toxicity to L-929 and MG63 cells (p < 0.05). In contrast, the diluted
extracts with 50 and 10% concentrations of the MgCa-HA/TCP composite exhibited a
similar degree of cell viability (p > 0.05), equivalent to the grade I
cytotoxicity of the standard ISO 10993-5: 1999.
PMID- 21887769
TI - Correlation mapping method for generating microcirculation morphology from
optical coherence tomography (OCT) intensity images.
AB - Standard optical coherence tomography (OCT) in combination with software tools
can be harnessed to generate vascular maps in vivo. In this study we have
successfully combined a software algorithm based on correlation statistic to
reveal microcirculation morphology on OCT intensity images of a mouse brain in
vivo captured trans-cranially and through a cranial window. We were able to
estimate vessel geometry at bifurcation as well as along vessel segments down-to
mean diameters of about 24 MUm. Our technique has potential applications in
cardiovascular-related parameter measurements such as volumetric flow as well as
in assessing vascular density of normal and diseased tissue.
PMID- 21887771
TI - Renewable chemicals: dehydroxylation of glycerol and polyols.
AB - The production of renewable chemicals is gaining attention over the past few
years. The natural resources from which they can be derived in a sustainable way
are most abundant in sugars, cellulose and hemicellulose. These highly
functionalized molecules need to be de-functionalized in order to be feedstocks
for the chemical industry. A fundamentally different approach to chemistry thus
becomes necessary, since the traditionally employed oil-based chemicals normally
lack functionality. This new chemical toolbox needs to be designed to guarantee
the demands of future generations at a reasonable price. The surplus of
functionality in sugars and glycerol consists of alcohol groups. To yield
suitable renewable chemicals these natural products need to be defunctionalized
by means of dehydroxylation. Here we review the possible approaches and evaluate
them from a fundamental chemical aspect.
PMID- 21887772
TI - A Li-liquid cathode battery based on a hybrid electrolyte.
PMID- 21887774
TI - Improved enzyme production by bio-pellets of Aspergillus niger: targeted
morphology engineering using titanate microparticles.
AB - The present study describes the design of bio-pellet morphologies of the
industrial working horse Aspergillus niger strains in submerged culture. The
novel approach recruits the intended addition of titanate microparticles
(TiSiO(4), 8 um) to the growth medium. As tested for two recombinant strains
producing fructofuranosidase and glucoamylase, the enzyme titer by the titanate
enhanced cultures in shake flasks was increased 3.7-fold to 150 U/mL (for
fructofuranosidase) and 9.5-fold to 190 U/mL (for glucoamylase) as compared to
the control. This could be successfully utilized for improved enzyme production
in stirred tank reactors. Stimulated by the particles, the achieved final
glucoamylase activity of 1,080 U/mL (fed-batch) and 320 U/mL (batch) was
sevenfold higher as compared to the conventional processes. The major reason for
the enhanced production was the close association between the titanate particles
and the fungal cells. Already below 2.5 g/L the micromaterial was found inside
the pellets, including single particles embedded as 50-150 um particle aggregates
in the center resulting in core shell pellets. With increasing titanate levels
the pellet size decreased from 1,700 um (control) to 300 um. Fluorescence based
resolution of GFP expression revealed that the large pellets of the control were
only active in a 200 um surface layer. This matches with the critical penetration
depth for nutrients and oxygen typically observed for fungal pellets. The biomass
within the titanate derived fungal pellets, however, was completely active. This
was due a reduced thickness of the biomass layer via smaller pellets as well as
the core shell structure. Moreover, also the created loose inner pellet structure
enabled a higher mass transfer and penetration depths for up to 500 um. The
creation of core-shell pellets has not been achieved previously by the addition
of microparticles, for example, made of talc or alumina. Due to this, the present
work opens further possibilities to use microparticles for tailor-made morphology
design of filamentous fungi, especially for pellet based processes which have a
long and strong industrial relevance for industrial production.
PMID- 21887775
TI - Management of late postoperative complications of bariatric surgery.
AB - BACKGROUND: The prevalence of obesity is increasing worldwide and the past decade
has witnessed an exponential rise in the number of bariatric operations
performed. As a consequence, an increasing number of patients are presenting to
non-specialist units with complications following bariatric procedures. This
article outlines the management of the most common late postoperative
complications that are likely to present to the general surgeon. METHODS: A
search was conducted for late postoperative complications after bariatric surgery
using PubMed, Embase, OVID and Google search engines, and combinations of the
terms bariatric surgery, gastric bypass, gastric banding or sleeve gastrectomy,
and late or delayed complications. Only studies with follow-up longer than 6
months were included. RESULTS: The most common long-term complications after
gastric banding include band slippage and erosion. Deflation or removal of the
band is often required. Internal hernia, adhesions and anastomotic stenosis are
common causes of intestinal obstruction after gastric bypass surgery.
Hepatobiliary complications pose a particular challenge because of the altered
anatomy. Functional disorders such as reflux and dumping, and nutritional
deficiencies are common and should be differentiated from conditions that require
urgent investigations and timely surgical intervention. CONCLUSION: The immediate
management of bariatric patients presenting with complications outside the
immediate postoperative period requires adherence to basic surgical principles.
Accurate diagnosis often relies on high-quality contrast and cross-sectional
imaging, and effective surgical intervention necessitates a broad understanding
of the altered anatomy, advanced surgical skills and liaison with specialists in
the field when necessary.
PMID- 21887776
TI - Sentinel lymph node biopsy and survival in elderly patients with cutaneous
melanoma (Br J Surg 2011; 98: 1400-1407).
PMID- 21887777
TI - Cost-utility of bariatric surgery for morbid obesity in Finland.
AB - BACKGROUND: The aim of this study was to evaluate the cost-utility of bariatric
surgery (gastric bypass, sleeve gastrectomy and gastric banding) compared with
ordinary treatment in the Finnish healthcare system. METHODS: Analysis was done
from a healthcare provider's perspective using a combination of a decision tree
and a Markov model, with a time horizon of 10 years. Health-related quality of
life was estimated from a representative population survey, and other parameter
values were based on registers, systematic reviews, controlled studies and expert
opinion. RESULTS: In the base-case analysis, bariatric surgery was both more
effective and less costly than the ordinary treatment. The mean costs were
?33,870 and ?50,495, and the mean number of quality-adjusted life-years 7.63 and
7.05, for bariatric surgery and ordinary treatment respectively. Uncertainty
around the parameter values was tested comprehensively in sensitivity analyses,
and the results were robust. CONCLUSION: Surgery for morbid obesity increases
health-related quality of life, and reduces the need for further treatments and
total healthcare costs. According to this analysis, non-operative care would be
more costly for the Finnish healthcare system on average after 5 years following
surgery.
PMID- 21887778
TI - Cost-utility of bariatric surgery for morbid obesity in Finland (Br J Surg 2011;
98: 1422-1429) [corrected].
PMID- 21887779
TI - Operative notes do not reflect reality in laparoscopic cholecystectomy (Br J Surg
2011; 98: 1431-1436).
PMID- 21887781
TI - The significant rectal neoplasm and mucosectomy by transanal endoscopic
microsurgery (Br J Surg 2011; 98: 1342-1344).
PMID- 21887782
TI - Surgeon volumes in oesophagogastric and hepatopancreatobiliary resectional
surgery (Br J Surg 2011; 98: 891-893).
PMID- 21887786
TI - M cells expressing the complement C5a receptor are efficient targets for mucosal
vaccine delivery.
AB - In the mucosal immune system, M cells are known as specialized epithelial cells
that take up luminal antigens, although the receptors on M cells and the
mechanism of antigen uptake into M cells are not well-understood. Here, we report
the expression of the complement C5a receptor (C5aR) on the apical surface of M
cells. C5ar mRNA expression in co-cultured Caco-2 human M-like cells was six-fold
higher than in mono-cultured cells. C5aR expression was detected together with
glycoprotein 2, an M-cell-specific protein, on the apical surface of M-like cells
and mouse Peyer's patch M cells. Interestingly, after oral administration of
Yersinia enterocolitica which expresses outer membrane protein H (OmpH) that is
homologous to the Skp alpha1 domain of Escherichia coli, a ligand of C5aR, dense
clustering and phosphorylation of C5aR were detected in M cells. Finally,
targeted antigen delivery to M cells using C5aR as a receptor was achieved using
the OmpH alpha1 of Y. enterocolitica such that the induction of ligand-conjugated
antigen-specific immune responses was confirmed in mice after oral immunization
of the OmpH beta1alpha1-conjugated antigen. Collectively, we identified C5aR
expression on M cells and suggest that C5aR could be used as a target receptor
for mucosal antigen delivery.
PMID- 21887787
TI - Chemical reactivity of ethyl (6R)-6-[N-(2-chloro-4
fluorophenyl)sulfamoyl]cyclohex-1-ene-1-carboxylate (TAK-242) in vitro.
AB - Ethyl (6R)-6-[N-(2-chloro-4-fluorophenyl)sulfamoyl]cyclohex-1-ene-1-carboxylate
(TAK-242) was metabolized to cyclohexene and phenyl ring moieties in non-clinical
pharmacokinetic studies and it was suggested that the cyclohexene ring moiety of
TAK-242 is tightly bound to endogenous macromolecules. After incubation of TAK
242 and glutathione (GSH) in phosphate buffer (pH 7.4) at 37 degrees C, TAK-242
reacted with GSH to produce a glutathione conjugate of the cyclohexene ring
moiety of TAK-242, which had been observed as a metabolite (M-SG) in non-clinical
pharmacokinetic studies. Formation of M-SG was time dependent with a first order
reaction and M-I, a metabolite from the phenyl ring moiety of TAK-242, was also
produced in parallel. The formation of M-SG was accelerated with increasing pH,
therefore it was indicated that TAK-242 reacted with GSH by a nucleophilic
substitution reaction. Because glutathione transferase (GST) enhanced M-SG
formation in vitro, it is expected that the conjugation of TAK-242 with GSH is
also facilitated by GST in vivo in addition to a spontaneous chemical reaction.
When radio-labeled TAK-242 ([cyclohexene ring-U-14C]TAK-242) was incubated with
rat serum albumin (RSA) or human serum albumin (HSA) in vitro, the radioactive
material was covalently bound to RSA and HSA, and M-I was generated
simultaneously in the reaction mixture. The chemical structure of the TAK-242
adduct covalently bound to HSA was characterized by the accurate mass spectra
that cyclohexene ring moiety of TAK-242 was covalently bound to the lysine
residue in HSA. The adduct was also detected in the plasma of rats and humans
after single i.v. dosing of TAK-242 (in vivo).
PMID- 21887789
TI - Preclinical risk assessment of drug-induced hypo- and hyperprolactinemia.
AB - Drug-induced changes in prolactin signaling may obscure interpretation of
preclinical toxicological endpoints. However, with informed consideration,
classic hallmarks of hypo-/hyperprolactinemia can be recognized in short- and
long-term rodent bioassays. Findings can be supported and expanded with
additional in vivo and in vitro datasets. When taken together with human
epidemiological evidence pertaining to the consequences of drug-induced hypo
/hyperprolactinemia, such findings permit both an analysis of human relevance and
an assessment of human risk.
PMID- 21887788
TI - IL-33 synergizes with TCR and IL-12 signaling to promote the effector function of
CD8+ T cells.
AB - The effector functions of CD8(+) T cells are influenced by tissue inflammatory
microenvironments. IL-33, a member of the IL-1 family, acts as a danger signal
after its release during cell necrosis. The IL-33/ST2 axis has been implicated in
various Th2 responses. Its role in CD8(+) T-cell-mediated immune response is,
however, not known. Here we find that type 1 cytotoxic T (Tc1) cells cultured in
vitro unexpectedly express high levels of the IL-33 receptor ST2. Interestingly,
the expression of ST2 in Tc1 cells is dependent on T-bet, a master Th1/Tc1
transcription factor. In addition, IL-33 enhances TCR-triggered IFN-gamma
production. IL-33 together with IL-12 can stimulate IFN-gamma production in Tc1
cells. Moreover, IL-33 synergizes with IL-12 to promote CD8(+) T-cell effector
function. The synergistic effect of IL-33 and IL-12 is partly mediated by
Gadd45b. Together, these in vitro data establish a novel role of IL-33 in
promoting effector type 1 adaptive immune responses.
PMID- 21887792
TI - A two-part mixed-effects pattern-mixture model to handle zero-inflation and
incompleteness in a longitudinal setting.
AB - Two-part regression models are frequently used to analyze longitudinal count data
with excess zeros, where the same set of subjects is repeatedly observed over
time. In this context, several sources of heterogeneity may arise at individual
level that affect the observed process. Further, longitudinal studies often
suffer from missing values: individuals dropout of the study before its
completion, and thus present incomplete data records. In this paper, we propose a
finite mixture of hurdle models to face the heterogeneity problem, which is
handled by introducing random effects with a discrete distribution; a pattern
mixture approach is specified to deal with non-ignorable missing values. This
approach helps us to consider overdispersed counts, while allowing for
association between the two parts of the model, and for non-ignorable dropouts.
The effectiveness of the proposal is tested through a simulation study. Finally,
an application to real data on skin cancer is provided.
PMID- 21887793
TI - Semiparametric estimation in copula models for bivariate sequential survival
times.
AB - Sequentially observed survival times are of interest in many studies but there
are difficulties in analyzing such data using nonparametric or semiparametric
methods. First, when the duration of followup is limited and the times for a
given individual are not independent, induced dependent censoring arises for the
second and subsequent survival times. Non-identifiability of the marginal
survival distributions for second and later times is another issue, since they
are observable only if preceding survival times for an individual are uncensored.
In addition, in some studies a significant proportion of individuals may never
have the first event. Fully parametric models can deal with these features, but
robustness is a concern. We introduce a new approach to address these issues. We
model the joint distribution of the successive survival times by using copula
functions, and provide semiparametric estimation procedures in which copula
parameters are estimated without parametric assumptions on the marginal
distributions. This provides more robust estimates and checks on the fit of
parametric models. The methodology is applied to a motivating example involving
relapse and survival following colon cancer treatment.
PMID- 21887794
TI - A simple and flexible Holm gatekeeping procedure.
AB - Major objectives of a clinical trial are commonly stated in a hierarchical order
as primary and secondary. The parallel gatekeeping testing strategy provides an
opportunity to assess secondary objectives when all or partial primary objectives
are achieved. The current available gatekeeping procedures have different pros
and cons so users either need to justify the assumption associated with some
procedures or tolerate suboptimal power performance of other procedures. By
applying the Holm test with a flexible alpha splitting technique, we propose a
procedure which (1) is powerful for assessing the primary objectives, (2) can be
used when no assumption can be made on the dependency structure of test
statistics, and (3) has the full flexibility to allocate user-preferred alpha to
assess the secondary objectives based on the number of primary objectives
achieved. A real clinical trial example is used for illustration of the proposed
procedure.
PMID- 21887795
TI - Analysis of covariance with pre-treatment measurements in randomized trials:
comparison of equal and unequal slopes.
AB - In randomized trials, an analysis of covariance (ANCOVA) is often used to analyze
post-treatment measurements with pre-treatment measurements as a covariate to
compare two treatment groups. Random allocation guarantees only equal variances
of pre-treatment measurements. We hence consider data with unequal covariances
and variances of post-treatment measurements without assuming normality.
Recently, we showed that the actual type I error rate of the usual ANCOVA
assuming equal slopes and equal residual variances is asymptotically at a nominal
level under equal sample sizes, and that of the ANCOVA with unequal variances is
asymptotically at a nominal level, even under unequal sample sizes. In this
paper, we investigated the asymptotic properties of the ANCOVA with unequal
slopes for such data. The estimators of the treatment effect at the observed mean
are identical between equal and unequal variance assumptions, and these are
asymptotically normal estimators for the treatment effect at the true mean.
However, the variances of these estimators based on standard formulas are biased,
and the actual type I error rates are not at a nominal level, irrespective of
variance assumptions. In equal sample sizes, the efficiency of the usual ANCOVA
assuming equal slopes and equal variances is asymptotically the same as those of
the ANCOVA with unequal slopes and higher than that of the ANCOVA with equal
slopes and unequal variances. Therefore, the use of the usual ANCOVA is
appropriate in equal sample sizes.
PMID- 21887796
TI - Latent variable modeling paradigms for genotype-trait association studies.
AB - Characterizing associations among multiple single-nucleotide polymorphisms (SNPs)
within and across genes, and measures of disease progression or disease status
will potentially offer new insight into disease etiology and disease progression.
However, this presents a significant analytic challenge due to the existence of
multiple potentially informative genetic loci, as well as environmental and
demographic factors, and the generally uncharacterized and complex relationships
among them. Latent variable modeling approaches offer a natural framework for
analysis of data arising from these population-based genetic association
investigations of complex diseases as they are well-suited to uncover
simultaneous effects of multiple markers. In this manuscript we describe
application and performance of two such latent variable methods, namely
structural equation models (SEMs) and mixed effects models (MEMs), and highlight
their theoretical overlap. The relative advantages of each paradigm are
investigated through simulation studies and, finally, an application to data
arising from a study of anti-retroviral-associated dyslipidemia in HIV-infected
individuals is provided for illustration.
PMID- 21887797
TI - Synthesis and anticancer activity of 13-membered cyclic enediynes.
AB - We herein describe the synthesis of 15 novel 13-membered cyclic enediyne
derivatives using simple and straightforward approach. Representative examples
were screened for their anticancer activities on 60 different human tumor cell
lines representing various histologies viz. leukemia, melanoma, and cancers of
lung, colon, kidney, ovary, breast, prostate, and central nervous system. The
enediyne derivatives with halogen substitutions, especially fluorides were found
to be active against most of the cell lines. The initial results indicates
marginal to good inhibition for the growth of tumor cells for several cell lines,
which shows the potential of these class of compound towards anticancer
application.
PMID- 21887798
TI - Synthesis and free radical scavenging activity of coumarin derivatives containing
a 2-methylbenzothiazoline motif.
AB - Coumarin and benzothiazole scaffolds can be found in a number of natural or
synthetic antioxidants. In an effort to develop a novel radical scavenger and
potential antioxidant, a series of coumarin derivatives containing 2
methylbenzothiazoline motif and related compounds was synthesized and evaluated
for their DPPH (1,1-diphenyl-2-picrylhydrazyl) and ABTS(*+) (2,2'-azinobis(3
ethylbenzothiazoline-6-sulfonic acid) radicals scavenging activities. Among them,
7-hydroxy-3-(2-methyl-2,3-dihydrobenzo[d]thiazol-2-yl)-2H-chromen-2-one (3e) has
shown a significant free radical scavenging activity. From the structure-activity
point of view, it was found that phenolic coumarin ring and benzothiazoline
moiety in target compounds may contribute to the scavenging activity against free
radicals.
PMID- 21887799
TI - Evaluation of anti-inflammatory, anti-nociceptive, and anti-ulcerogenic
activities of novel synthesized thiazolyl and pyrrolyl steroids.
AB - Developing new therapeutic agents that can overcome gastrointestinal injury and
at the same time could lead to an enhanced anti-inflammatory effect becomes an
urgent need for inflammation patients. Thiazolyl and pyrrolyl steroids were
synthesized via straight forward and efficient methods and their structures were
established based on their correct elemental analysis and compatible IR, (1) H
NMR, (13) C-NMR, and mass spectral data. The dihydrothiazolyl
hydrazonoprogesterone 12 and the aminopyrrolylprogesterone 16a showed anti
inflammatory, antinociceptive, and anti-ulcerogenic activity with various
intensities. Edema were significantly reduced by both doses of tested compounds
(25 and 50 mg/kg) at 2, 3, and 4 h post-carrageenan. The high dose of compound
16a was the most effective in alleviating thermal pain. Gastric mucosal lesions,
caused in the rats by the administration of ethanol or indomethacin (IND), were
significantly inhibited by each of the two tested compounds. These results
provide a unique opportunity to develop new anti-inflammatory drugs which devoid
the ulcerogenic liabilities associated with currently marketed drugs.
PMID- 21887800
TI - Synthesis and anti-bacterial activity of some heterocyclic chalcone derivatives
bearing thiofuran, furan, and quinoline moieties.
AB - 36 Novel heterocyclic chalcone derivatives were synthesized and tested for their
anti-bacterial activity. Some compounds presented good anti-microbial activities
against Gram-positive bacteria (including the multidrug-resistant clinical
isolates). This class of compounds presented high potency against Streptococcus
mutans, among which the derivatives F2 with an MIC of 2 ug/mL was as active as
the standard drug (norfloxacin) and less active than oxacillin. All the compounds
did not inhibit the growth of Gram-negative bacteria (Escherichia coli CCARM 1924
or Escherichia coli CCARM 1356) at 64 ug/mL.
PMID- 21887801
TI - N-Acetyl-5-arylalkoxytryptamine analogs: probing the melatonin receptors for
MT(1) -selectivity.
AB - A series of melatonin analogs obtained by the replacement of the ether methyl
group with larger arylalkyl and aryloxyalkyl substituents was prepared in order
to probe the melatonin receptors for MT(1) -selectivity. The most MT(1)
selective agents 11 and 15 were substituted with a Ph(CH(2) )(3) or a PhO(CH(2)
)(3) group. Compounds 11 and 15 displayed 11.5-fold and 11-fold higher affinity
for the MT(1) receptors than for the MT(2) subtype. Interestingly, in our
binding assay 11 and 15 have shown considerably higher MT(1) -affinity and
selectivity than the reference ligand, the dimeric agomelatine 1a.
PMID- 21887802
TI - Synthesis and cytotoxicity evaluation of novel podophyllotoxin derivatives.
AB - Seven benzylamino derivatives of podophyllotoxin 8a-8g were synthesized and their
chemical structures were confirmed by IR, 1H-NMR, (13)C-NMR and ESI-MS spectral
analyses. Their abilities to inhibit the growth of cancer cells A549, HCT-116 and
HepG2, were investigated by MTT assay. Compound 8b possessed the highest
cytotoxicity on cancer cell lines with average IC(50) values of 3.8 uM. All we
synthetic compounds were cytotoxic against three cancer cell lines at the
micromolar range, indicating podophyllotoxin derivatives with structural
modification of benzylamino possess potent antitumor activity.
PMID- 21887804
TI - A randomized, double-blind, placebo-controlled study to evaluate the efficacy and
tolerability of Fufang Danshen (Salvia miltiorrhiza) as add-on antihypertensive
therapy in Taiwanese patients with uncontrolled hypertension.
AB - Hypertension generally requires the use of a combination therapy to achieve the
satisfactory control of blood pressure. A traditional Chinese herb, Danshen
(Salvia miltiorrhiza), has been shown to have cardioprotective effects in animals
and humans. The study investigated the add-on effect of Fufang Danshen extract
capsule in Taiwanese hypertensive patients with uncontrolled blood pressure. This
was a double-blind, placebo-controlled, randomized, single-center study clinical
trial. Fifty-five patients with uncontrolled mild to moderate hypertension were
enrolled under current conventional antihypertensive treatment, randomized
equally to receive a Fufang Danshen capsule (formula mixture) 1000 mg twice-daily
or a placebo capsule for 12 weeks. Primary endpoints were the control rate and
the response rate. By ITT analysis at week 12, the control rates were 25.5% in
the Fufang Danshen group and 7.3% in the control group (p = 0.016). The response
rates were 45.6% in the Fufang Danshen group and 38.2% in the placebo group (p =
0.946). A significant reduction of systolic blood pressure at week 12 was noted
in the Fufang Danshen group compared with the placebo group (13.8 vs 4.2 mmHg, p
= 0.005). A decrease of pulse rate was also noted in the Fufang Danshen group (-
3.2 vs +2.7/min, p = 0.027). Adverse events were not statistically different
between the two groups. It was concluded that Fufang Danshen (Salvia
miltiorrhiza) extract reduced systolic blood pressure and pulse rate, and was
well tolerated in patients with hypertension.
PMID- 21887805
TI - Baicalin down-regulates the expression of macrophage migration inhibitory factor
(MIF) effectively for rats with ulcerative colitis.
AB - The purpose of this study was to investigate whether baicalin, a Chinese herbal
extract, down-regulates the expression of macrophage migration inhibitory factor
(MIF), an inflammatory factor that regulates the function of macrophages (MPhi),
in rats with trinitrobenzene sulphonic acid (TNBS)-induced ulcerative colitis
(UC). The results showed that baicalin simultaneously down-regulated the
expression of MIF, the quantity of MPhis and the amount of MPhi-related
cytokines, including macrophage chemotactic factor-1 (MCP-1, CCL2) and macrophage
inflammatory protein-3alpha (MIP-3alpha, CCL20), in rats with UC. There was no
statistical difference between baicailin and mesalazine in down-regulating the
expression of MIF. Our study demonstrated that baicalin, an inexpensive but
effective monomer, could be a new and alternative pharmaceutical for UC.
PMID- 21887806
TI - Antiinflammatory activities of Hungarian Stachys species and their iridoids.
AB - The antiinflammatory activities of aqueous extracts prepared from the aerial
parts of ten Hungarian Stachys species were investigated in vivo in the
carrageenan-induced paw oedema test after intraperitoneal and oral administration
to rats. Some of the extracts were found to display significant antiphlogistic
effects when administered intraperitoneally and orally; in particular, the
extracts of S. alpina, S. germanica, S. officinalis and S. recta demonstrated
high activity following intraperitoneal administration. At the same dose of 5.0
mg/kg, these extracts exhibited similar or greater potency than that of the
positive control diclofenac-Na. The main iridoids present in the investigated
extracts, ajugoside, aucubin, acetylharpagide, harpagide and harpagoside, were
also assayed in the same test, and high dose-dependent antiphlogistic effects
were recorded for aucubin and harpagoside. These results led to the conclusion
that most probably iridoids are responsible for the antiinflammatory effect of
Stachys species, but other active constituents or their synergism must also be
implicated in the antiinflammatory effect.
PMID- 21887807
TI - The effect of herbal extract (EstroG-100) on pre-, peri- and post-menopausal
women: a randomized double-blind, placebo-controlled study.
AB - This clinical research study was designed to evaluate the efficacy of a new
herbal product, EstroG-100, containing a mixture of standardized extracts of
Cynanchum wilfordii, Phlomis umbrosa and Angelica gigas, on menopausal symptoms.
This randomized double-blind, placebo-controlled trial was performed for 12 weeks
with 64 pre-, peri- and postmenopausal White Hispanic, White non-Hispanic and
African American women who were randomly allocated to either the EstroG-100 group
(n = 31) or the placebo group (n = 33). Primary end-points were the mean change
in scores of the Kupperman menopause index (KMI) that evaluates 11 symptoms, and
the mean change in scores of vaginal dryness. The mean KMI score was
significantly reduced in the EstroG-100 group from 29.5 +/- 7.4 at baseline to
11.3 +/- 5.8 (p < 0.01) compared with change of the placebo group (29.2 +/- 6.6
at baseline vs 23.7 +/- 7.7 at week 12). The constituting symptoms of vasomotor,
paresthesia, insomnia, nervousness, melancholia, vertigo, fatigue and rheumatic
pain were significantly improved in the EstroG-100 group in comparison with the
placebo group (p < 0.05). Statistically significant improvement in vaginal
dryness in the EstroG-100 group was also observed compared with that of the
placebo group (p < 0.05). In conclusion, EstroG-100 significantly improved the
menopausal symptoms of pre-, peri- and post-menopausal women without weight gain
or any serious side effects.
PMID- 21887808
TI - Evaluation of antioxidant activity and antiproliferative effect of fruit juices
enriched with Pycnogenol(r) in colon carcinoma cells. The effect of in vitro
gastrointestinal digestion.
AB - The aim of this study was to examine the effect of in vitro gastrointestinal
digestion on the antioxidant and antiproliferative effect of fruit juices
enriched with Pycnogenol(r) (0.5 g/L) on a colon carcinoma cell line (Caco-2).
The total phenolic concentration (TPC), antioxidant activity and inhibition cell
growth were studied in fresh and digested pineapple juice and red fruits juice
(both enriched with pine bark extract and not). After in vitro digestion the
level of detectable phenolic compounds (expressed as gallic acid equivalent) was
higher in both pineapple and red fruits juices enriched with Pycnogenol(r) than
in non-enriched commercial juices (155.6 mg/100 mL vs 94.6 mg/100 mL and 478.5
mg/100 mL vs 406.9 mg/100 mL, respectively). Increased antioxidant activity
(measured by 2,2'-azino-bis(3-ethylbenzothiazoline-6-sulphonic acid) (ABTS) and
oxygen radical absorbance capacity assay (ORAC) methods) was observed in digested
enriched juices with respect to the same samples before digestion. Pycnogenol(r)
enrichment led to a high antiproliferative effect between 24 and 72 h of
incubation with undigested pineapple juice compared with the non-enriched juice.
It can be concluded that enrichment of fruit juices with Pycnogenol(r) provides a
source of phenolic compounds with high stability to in vitro gastrointestinal
conditions; however, the antioxidant properties of fruit juices were affected to
a different extent.
PMID- 21887809
TI - A Markov model to estimate Salmonella morbidity, mortality, illness duration, and
cost.
AB - Approximately 690000-1790000 Salmonella cases, 20000 hospitalizations, and 400
deaths occur in the USA annually, costing approximately $2.6bn. Existing models
estimate morbidity, mortality, and cost solely from incidence. They do not
estimate illness duration or use time as an independent cost predictor. Existing
models may underestimate physician visits, hospitalizations, deaths, and
associated costs. We developed a Markov chain Monte Carlo model to estimate
illness duration, physician/emergency room visits, inpatient hospitalizations,
mortality, and resultant costs for a given Salmonella incidence. Interested
parties include society, third-party payers, health providers, federal, state and
local governments, businesses, and individual patients and their families. The
marginal approach estimates individual disease behavior for every patient,
explicitly estimates disease duration and calculates separate time-dependent
costs. The aggregate approach is a Markov equivalent of the existing models; it
assumes average disease behavior and cost for a given morbidity/mortality.
Transition probabilities were drawn from a meta-analysis of 53 Salmonella
studies. Both approaches were tested using the 1993 Salmonella typhimurium
outbreak in Gideon, Missouri. This protocol can be applied to estimate morbidity,
mortality and cost of specific outbreaks, provide better national Salmonella
burden estimates, and estimate the benefits of reducing Salmonella risk.
PMID- 21887810
TI - When do fat taxes increase consumer welfare?
AB - Previous analyses of fat taxes have generally worked within an empirical
framework in which it is difficult to determine whether consumers benefit from
the policy. This note outlines on simple means to determine whether consumers
benefit from a fat tax by comparing the ratio of expenditures on the taxed good
to the weight effect of the tax against the individual's willingness to pay for a
one-pound weight reduction. Our empirical calculations suggest that an individual
would have to be willing to pay about $1500 to reduce weight by one pound for a
tax on sugary beverages to be welfare enhancing. The results suggest either that
a soda tax is very unlikely to increase individual consumer welfare or that the
policy must be justified on some other grounds that abandon standard rationality
assumptions.
PMID- 21887811
TI - Evaluating the effectiveness and efficacy of unguided internet-based self-help
intervention for the prevention of depression: a randomized controlled trial.
AB - The Internet has the potential to increase the capacity and accessibility of
mental health services. This study aimed to investigate whether an unguided
Internet-based self-help intervention delivered without human support or guidance
can reduce symptoms of depression in young people at risk of depression. The
study also aimed to explore the usage of such sites in a real-life setting, to
estimate the effects of the intervention for those who received a meaningful
intervention dose and to evaluate user satisfaction. Young adults were recruited
by means of a screening survey sent to all students at the University of Tromso.
Of those responding to the survey, 163 students (mean age 28.2 years) with
elevated psychological distress were recruited to the trial and randomized to an
Internet intervention condition or the waiting list control group. The Internet
condition comprised a depression information website and a self-help Web
application delivering automated cognitive behavioural therapy. The participants
in the waiting list condition were free to access formal or informal help as
usual. Two-thirds of the users who completed the trial initially reported an
unmet need for help. The findings demonstrated that an unguided intervention was
effective in reducing symptoms of depression and negative thoughts and in
increasing depression literacy in young adults. Significant improvements were
found at 2-month follow up. Internet-based interventions can be effective without
tracking and thus constitute a minimal cost intervention for reaching a large
number of people. User satisfaction among participants was high.
PMID- 21887812
TI - Bipolar vulnerability and extreme appraisals of internal states: a computerized
ratings study.
AB - A recent integrative cognitive model proposed that multiple, extreme,
personalized, positive and negative appraisals of internal states predispose to
maintain and exacerbate bipolar symptoms. This study aimed to directly assess
conviction in a range of positive and negative appraisals of internal states
suggested by the model, by using a laboratory-based computerized task. In a
student sample (n = 68), a history of hypomania was associated with more positive
and less negative appraisals of internal states, and a history of depression was
associated with more negative appraisals and less positive appraisals of internal
states. The sample was then split into three groups for comparison: bipolar risk
(n = 18), depression risk (n = 20) and controls (n = 30). Relative to controls,
the bipolar risk group made more extreme ratings of catastrophic appraisals of
low activation states and tended to make more extreme ratings of appraisals of
high activation states. The depression risk group scored higher on a range of
negative appraisals of low activation states. These findings provide tentative
support for the role of both positive and negative, extreme, personalized
appraisals of internal states in hypomania and depression.
PMID- 21887813
TI - Hypomanic personality, stability of self-esteem and response styles to negative
mood.
AB - OBJECTIVES: This paper aims to study dysfunctional self-schematic processes,
abnormal coping styles, over-responsiveness to reward stimuli (indicative of an
over-sensitive behavioural activation system) and stability of self-esteem in
relation to subclinical hypomania. DESIGN: Three cross-sectional studies were
conducted on selected students on the basis of their scores on the Hypomanic
Personality Scale (HPS) (study 1) and on elevated HPS and Dysfunctional Attitude
Scale scores (studies 2 and 3). METHODS: In studies 1 and 2, participants
completed questionnaires and kept a self-esteem diary for 6 days. In study 3, the
experience sampling method was used to assess momentary self-esteem, emotion and
use of different coping styles over a 6-day period. RESULTS: Study 1 demonstrated
that hypomanic traits are associated with high fluctuations in self-esteem. In
study 2, high scores on both the HPS and the Dysfunctional Attitude Scale, but
not the HPS alone, were associated with bipolar spectrum symptoms. These
participants showed more evidence of alcohol and substance abuse, greater self
esteem fluctuation and dysfunctional coping styles (rumination and risk-taking)
compared with controls. Changes in self-esteem were related to the use of these
strategies. CONCLUSIONS: Vulnerability to bipolar disorder is associated with a
combination of depression-related and reward-related processes.
PMID- 21887814
TI - Estrogen-like response of perfluorooctyl iodide in male medaka (Oryzias latipes)
based on hepatic vitellogenin induction.
AB - Using the in vivo male medaka model, we investigated the estrogen-like response
of perfluorooctyl iodide (PFOI) which is a potential source of perfluorinated
carboxylic acids. Using real-time quantitative polymerase chain reaction, the
expression levels of related estrogenic genes including estrogenic receptor alpha
(ERalpha), ERbeta, vitellogenin I (VTG I), and VTG II in the livers of male
medaka exposed to PFOI were analyzed. The results showed that PFOI upregulated
the expression levels of the tested genes in a dose-dependent manner. VTG protein
levels increased in both dose- and time-dependent manners due to PFOI exposure.
The results suggested that PFOI is a potential estrogenic compound.
PMID- 21887815
TI - Protective effects of vitamin E and selenium against dimethoate-induced
cardiotoxicity in vivo: biochemical and histological studies.
AB - There is considerable interest in the study of free radical-mediated damage to
biological systems due to pesticide exposure. However, there is a lack of
consensus as to which determinations are best used to quantify future risks
arising from xenobiotic exposure and natural antioxidant interventions. Our study
investigated the potential ability of selenium and/or vitamin E, used as
nutritional supplements, to alleviate cardiotoxicity induced by dimethoate.
Female Wistar rats were exposed for 30 days either to dimethoate (0.2 g L-1 of
drinking water), dimethoate+selenium (0.5 mg kg-1 of diet), dimethoate+vitamin E
(100 mg kg-1 of diet), or dimethoate+selenium+vitamin E. The exposure of rats to
dimethoate promoted oxidative stress with a rise in malondialdehyde, advanced
protein oxidation, and protein carbonyl levels. An increase of glutathione
peroxidase, superoxide dismutase, and catalase activities was also noted. A fall
in acetylcholinesterase and Na+ K+-ATPase activities, glutathione, nonprotein
thiols, vitamins C and E levels was observed. Plasma levels of cholesterol,
triglycerides, and low density lipoprotein-cholesterol increased and those of
high density lipoprotein-cholesterol decreased. Coadministration of selenium or
vitamin E to the diet of dimethoate-treated rats ameliorated the biochemical
parameters cited above. The histopathological findings confirmed the biochemical
results and the potential protective effects of selenium and vitamin E against
cardiotoxicity induced by dimethoate.
PMID- 21887816
TI - Classification of polycyclic aromatic hydrocarbons based on mutagenicity in lung
tissue through DNA microarray.
AB - Polycyclic aromatic hydrocarbons (PAHs) are widespread environmental pollutants
produced in the combustion of organic matter. Exposure to PAHs raises the risk of
lung cancer and inflammatory and allergic disorders such as asthma. DNA
microarray technologies have been applied to research on toxicogenomics in the
recent years. To evaluate the mutagenicity of PAHs and constituents of
environmental pollutants in lung tissue, including metabolic activation, human
alveolar epithelial type II cells (A549) were treated with nonmutagenic PAH
pyrene and with the mutagenic PAHs benzo-[a]-pyrene, 1-nitropyrene, or 1,8
dinitropyrene. Comparison of genome-wide microarray expression profiles between a
nonmutagenic and a mutagenic PAH-treated group revealed that xenobiotic response
genes such as CYP1B1 were commonly upregulated in two groups and that DNA damage
induced genes, especially p53-downstream genes such as p21 (CDKN1A) were
upregulated only in the mutagenic PAH-treated group. Pretreatment with cytochrome
P450 inhibitor alpha-naphthoflavone or p53 inhibitor pifithrin-alpha inhibited
the benzo-[a]-pyrene-induced p21 expression. These data suggest that when PAHs
enter the cells, lung epithelium induces PAH metabolic activating enzymes, and
then the DNA damages-recognition signal is converged with p53 downstream genes.
This metabolic activation and DNA damage is induced in lung epithelium, and the
mutagenicity of PAHs can be classified by DNA microarray expression profiles.
PMID- 21887817
TI - Quercetin attenuates lambda cyhalothrin-induced reproductive toxicity in male
rats.
AB - The aim of this study was to evaluate the possible protective effects of
Quercetin (Qe) against oxidative stress induced by lambda cyhalothrin (LTC) in
reproductive system. Thirty-two male rats were divided into four groups. First
group was allocated as the control group. Second group was given a Qe alone while
the third group received a LTC alone. Animals in the fourth group were given a Qe
with LTC. Caudae epididymis was removed for sperm analysis. Lipid peroxidation
(LPO), superoxide dismutase (SOD), catalase (CAT), glutathione peroxidase (GPx),
glutathione-S-transferase (GST), and reduced glutathione (GSH) were determined in
the testis. Additionally, the different histopathologic changes were observed in
the testis of animals. LTC exposure significantly increased the abnormal
morphology and LPO. On the contrary, sperm motility, viability and count, levels
of GSH, and activities of SOD, CAT, GPx, and GST were significantly decreased
compared to controls. Qe with LTC offset the decrease in functional sperm
parameters, antioxidants enzymatic activities, and nonenzymatic antioxidant
levels when compared with LTC-treated rats. Furthermore, LTC showed irregular
seminiferous tubules containing only Sertoli cells and Qe with LTC caused regular
seminiferous tubules showing spermatogenesis at level of spermatocytes. We
conclude that LTC-induced oxidative stress and functional sperm parameters in
male rats, and dietary of Qe attenuates the reproductive toxicity of LTC to
restore the antioxidant system and sperm parameters in male rats.
PMID- 21887818
TI - Rye bran alkylresorcinols suppress adipocyte lipolysis and hormone-sensitive
lipase activity.
AB - The effects of alkylresorcinols (ARs) isolated from rye bran on adipocyte
lipolysis, hormone-sensitive lipase activity and phosphorylation and on
phosphorylation of protein kinase A substrates were studied. Preincubation with
ARs for 18 h suppressed catecholamine-stimulated lipolysis in 3T3-L1 adipocytes.
Furthermore, phosphorylation of hormone-sensitive lipase (HSL), a key lipase
responsible for stimulated lipolysis, and phosphorylation of protein kinase A
substrates, were diminished after preincubation with ARs, whereas HSL protein
expression was unaltered. ARs were also shown to inhibit HSL activity in an in
vitro assay.
PMID- 21887819
TI - Tetrahydrocurcumin is more effective than curcumin in preventing azoxymethane
induced colon carcinogenesis.
AB - SCOPE: Tetrahydrocurcumin (THC), a major metabolite of curcumin (CUR), has been
demonstrated to be anti-cancerogenic and anti-angiogenic and prevents type II
diabetes. In this present study, we investigated the chemopreventive effects and
underlying molecular mechanisms of dietary administration of CUR and THC in
azoxymethane (AOM)-induced colon carcinogenesis in mice. METHODS AND RESULTS: All
mice were sacrificed at 6 and 23 wk, and colonic tissue was collected and
examined. We found that dietary administration of both CUR and THC could reduce
aberrant crypt foci and polyps formation, while THC showed a better inhibitory
effect than CUR. At the molecular level, results from Western blot analysis and
immunohistochemistry staining showed that dietary CUR and THC exhibited anti
inflammatory activity by decreasing the levels of inducible NOS and COX-2 through
downregulation of ERK1/2 activation. In addition, both dietary CUR and THC
significantly decreased AOM-induced Wnt-1 and beta-catenin protein expression, as
well as the phosphorylation of GSK-3beta in colonic tissue. Moreover, dietary
feeding with CUR and THC markedly reduced the protein level of connexin-43, an
important molecule of gap junctions, indicating that both CUR and THC might
interfer with the intercellular communication of crypt cells. CONCLUSION: Taken
together, these results demonstrated for the first time the in vivo
chemopreventive efficacy and molecular mechanisms of dietary THC against AOM
induced colonic tumorigenesis.
PMID- 21887820
TI - Blueberries reduce pro-inflammatory cytokine TNF-alpha and IL-6 production in
mouse macrophages by inhibiting NF-kappaB activation and the MAPK pathway.
AB - Blueberries (BB) have been reported to attenuate atherosclerosis in apoE
deficient (ApoE(-/-) ) mice. The aim of this study was to evaluate the effects of
BB in reducing pro-inflammatory cytokine production in mouse macrophages. ApoE(-/
) mice were fed AIN-93G diet (CD) or CD formulated to contain 1% freeze-dried BB
for 5 wk. TNF-alpha and IL-6 were lower in serum of BB-fed mice and TNF-alpha
expression in aorta was down-regulated with BB feeding. Protein level and mRNA
expression of TNF-alpha and IL-6 were significantly lower in the peritoneal
macrophages from mice fed BB without or with LPS or oxLDL stimulation. RAW264.7
macrophages were treated with polyphenol-enriched extracts made from the sera of
rats fed CD (SEC) or CD containing 10% BB (SEB). SEB significantly inhibited LPS
induced mRNA expression and protein levels of TNF-alpha and IL-6. Furthermore,
SEB inhibited the phosphorylation of IkappaB, NF-kappaB p65, MAPK p38 and JNK.
All of these are important signaling pathways involved in the production of TNF
alpha and IL-6.
PMID- 21887821
TI - Characterization of the Asia Oceania Human Proteome Organisation Membrane
Proteomics Initiative Standard using SDS-PAGE shotgun proteomics.
AB - Although there are now multiple methods for the analysis of membrane proteomes,
there is relatively little systematic characterization of proteomic workflows for
membrane proteins. The Asia Oceania Human Proteome Organisation (AOHUPO) has
therefore embarked on a Membrane Proteomics Initiative (MPI) using a large range
of workflows. Here, we describe the characterization of the MPI mouse liver
microsomal membrane Standard using SDS-PAGE prior to in-gel tryptic digestion and
LC-ESI-MS/MS. The Na(2) CO(3) wash followed by SDS-PAGE prior to in-gel tryptic
digestion and LC-MS/MS strategy was effective for the detection of membrane
proteins with 47.1% of the identified proteins being transmembrane proteins. Gene
Ontology term enrichment analysis showed that biological processes involving
transport, lipid metabolism, cell communication, cell adhesion, and cellular
component organization were significantly enriched. Comparison of the present
data with the previously published reports on mouse liver proteomes confirmed
that the MPI Standard provides an excellent resource for the analysis of membrane
proteins in the AOHUPO MPI.
PMID- 21887822
TI - Proteomic identification of Hsp70 as a new Plk1 substrate in arsenic trioxide
induced mitotically arrested cells.
AB - We previously demonstrated that when arsenic trioxide (ATO)-induced mitotically
arrested HeLa S3 cells (AIMACs) were treated with staurosporine (SSP) the cells
rapidly exited mitosis. To better define the cellular targets and the underlying
mechanisms of AIMACs, we applied 2-D DIGE followed by LC-MS/MS analysis and
showed that SSP induced a significant change in the phosphoproteome of AIMACs.
Among the proteins whose phosphorylation was modulated by SSP, we identified
Hsp70, Rad 23B, and eukaryotic translation initiation factor 4B as potentially
new substrates of polo-like kinase 1 (Plk1), an essential serine/threonine kinase
with versatile mitotic functions. Since Hsp70 is a stress protein responsible for
ATO treatment, we further identified Thr(13) , Ser(362) , Ser(631) , and Ser(633)
on Hsp70 intracellularly phosphorylated in AIMACs by combining TiO(2) phospho
peptides enrichment and MS/MS analysis. Using antibody specifically against
phosph-Ser(631) Hsp70 and further aided by expression of kinase-dead Plk1 and
pharmacological inhibition of Plk1, we concluded that Ser(631) on Hsp70 is
phosphorylated by Plk1 in AIMACs. By immnuofluorescent staining, we found the
colocalization of Hsp70 and Plk1 in AIMACs but not in interphase cells. In
addition, Plk1-mediated phosphorylation of Hsp70 prevented AIMACs from mitotic
death. Our results reveal that Hsp70 is a novel substrate of Plk1 and that its
phosphorylation contributes to attenuation of ATO-induced mitotic abnormalities.
PMID- 21887824
TI - Using side-chain aromatic proton chemical shifts for a quantitative analysis of
protein structures.
PMID- 21887823
TI - The dynamics of T cells during persistent Staphylococcus aureus infection: from
antigen-reactivity to in vivo anergy.
AB - Staphylococcus aureus is an important human pathogen that can cause long-lasting
persistent infections. The mechanisms by which persistent infections are
maintained involve both bacterial escape strategies and modulation of the host
immune response. So far, the investigations in this area have focused on
strategies used by S. aureus to persist within the host. Here, we used an
experimental mouse model to investigate the host response to persistent S. aureus
infection. Our results demonstrated that T cells, which are critical for
controlling S. aureus infection, gradually lost their ability to respond to
antigenic stimulation and entered a state of anergy with the progression of
infection towards persistence. The T cell hyporesponsiveness was reverted by co
stimulation with the phorbol ester PMA, an activator of protein kinase C,
suggesting that a failure in the T cell receptor (TCR)-proximal signalling events
underlie the hyporesponsive phenotype. The presence of these anergic antigen
specific T cells may contribute to the failure of the host immune response to
promote sterilizing immunity during persistent S. aureus infection and also
offers new possibilities for novel immunotherapeutic approaches.
PMID- 21887825
TI - DNA-linked nanoparticle building blocks for programmable matter.
PMID- 21887826
TI - Foldamer-tuned switching kinetics and metastability of [2]rotaxanes.
AB - Slip sliding away: foldamers can function as modular stoppers to regulate the
slippage and de-slippage of pseudorotaxanes and the switching kinetics and
metastability of bistable rotaxanes. By simply changing the solvent or the length
of the hydrogen-bonded foldamer, the lifetime of the metastable co-conformation
state can be increased dramatically, from several minutes to as long as several
days.
PMID- 21887827
TI - Synthesis of phenanthridinones from N-methoxybenzamides and arenes by multiple
palladium-catalyzed C-H activation steps at room temperature.
AB - Many steps make light work: substituted phenanthridinones can be obtained with
high regioselectivity and in very good yields by palladium-catalyzed cyclization
reactions of N-methoxybenzamides with arenes. The reaction proceeds through
multiple oxidative C-H activation and C-C/C-N formation steps in one pot at room
temperature, and thus provides a simple method for generating bioactive
phenanthridinones.
PMID- 21887828
TI - Three-component coupling of arynes and organic bromides.
PMID- 21887829
TI - Structural features and hydrogen-bond properties of galanthamine and codeine: an
experimental and theoretical study.
AB - Structural features of galanthamine and codeine, two allosteric potentiating
ligands of nicotinic acetylcholine receptors (nAChRs), have been investigated
through experimental studies in solution by FTIR and NMR spectroscopy and by
quantum chemical calculations in the isolated state. The infrared spectra
accumulated in solvents of various polarities show that the intramolecular OH...O
hydrogen bond in galanthamine is stronger than the corresponding interaction in
codeine. Molecular electrostatic potential calculations allow rationalisation of
the experimental trends. NOE measurements on the two ligands in the same solvent
range show significant differences. In apolar solvents, the NMR spectroscopic
data indicate the occurrence of CH...O hydrogen-bond interactions, whereas in the
more polar solvents, a trans orientation of the methoxy group with respect to the
furanyl oxygen atom is favoured. A natural bond orbital (NBO) analysis provides
evidence that these stabilising interactions originate in the hyperconjugation
between the lone pairs of the furanyl oxygen atoms, n(O), and the methoxy
antibonding sigma*(C-H) orbitals within the two molecules. Despite the strong
structural similarities between the two allosteric modulators, FTIR equilibrium
constants measurements of hydrogen-bond complexation combined with quantum
chemistry calculations point out the significant increase of hydrogen-bond
accepting strength of galanthamine relative to codeine. This increase is mainly
assignable to the stronger hydrogen-bond basicity of the hydroxyl group, and to a
lesser extent to the higher hydrogen-bond accepting strength of the amino
nitrogen of galanthamine in comparison with the corresponding groups of codeine.
An analysis of the interactions that occur between the two ligands and
acetylcholine esterase (AChE) suggests significant differences with Trp84, a key
component of the AChE catalytic active site. In contrast, both ligands appear to
interact similarly with acetylcholine binding protein (AChBP).
PMID- 21887831
TI - Stereoselective synthesis of trisubstituted olefins by a directed allylic
substitution strategy.
AB - New methodology for the stereoselective synthesis of trisubstituted olefins is
presented. The use of ortho-diphenylphosphanyl benzoate (o-DPPB) as a directing
leaving group for copper-mediated allylic substitution with Grignard reagents
allowed for the stereoselective construction of a wide range of E olefins,
without the need for an adjacent electron-withdrawing group. Our modular three
step approach toward trisubstituted alkenes commenced with geminal alpha
methylene aldehydes. Addition of an organometallic reagent and introduction of
the o-DPPB group by esterification was followed by the o-DPPB-directed copper
mediated allylic substitution with a Grignard reagent to furnish stereodefined
trisubstituted olefins. Additionally, incorporation of a stereocenter from the
chiral pool allowed the preparation of an enantiomerically pure olefin that bore
three alkyl substituents in high E/Z selectivity.
PMID- 21887830
TI - Guest-release control in enzyme-sensitive, amphiphilic-dendrimer-based
nanoparticles through photochemical crosslinking.
AB - Stimuli sensitive, facially amphiphilic dendrimers have been synthesized and
their enzyme-responsive nature has been determined with dual fluorescence
responses of both covalently conjugated and non-covalently bound reporter units.
These dual responses are correlated to ascertain the effect of enzymatic action
on micellar aggregates and the consequential guest release. The release of the
guest molecule is conveniently tuned by stabilizing the micellar aggregates
through photochemical crosslinking of hydrophobic coumarin units. This photo
crosslinking is also utilized as a tool to investigate the mode of enzyme
substrate interaction in the context of aggregate-monomer equilibrium.
PMID- 21887832
TI - Conjugated asymmetric donor-substituted 1,3,5-triazines: new host materials for
blue phosphorescent organic light-emitting diodes.
AB - Conjugated asymmetric donor-substituted 1,3,5-triazines (ADTs) have been
synthesized by nucleophilic substitution of organolithium catalyzed by
[Pd(PPh(3))(4)]. Theoretical and experimental investigations show that ADTs
possess high solubility and thermostability, high fluorescent quantum yield
(35%), low HOMO (-6.0 eV) and LUMO (-2.8 eV), and high triplet energy (E(T), 3.0
eV) according to the different substitution pattern of triazine. The application
as host materials for blue PHOLEDs yielded a maximum current efficiency of 20.9
cd A(-1), a maximum external quantum efficiency of 9.8%, and a brightness of 9671
cd m(-2) at 5.4 V, making ADTs good candidates for optoelectronic devices.
PMID- 21887833
TI - Surface-modified protein microspheres capture amyloid-beta and inhibit its
aggregation and toxicity.
AB - The biocompatible and biodegradable properties of protein microspheres and the
recent advances in their preparation have generated considerable interest of
utilizing these core-shell structures for drug delivery and diagnostic
applications. However, effective targeting of protein microspheres to desirable
cells or loci still remains a challenge. Here, we describe for the first time a
facile one-pot sonochemical approach for covalent modification of protein
microspheres made from serum albumin; the surface of which is covalently
decorated with a short recognition peptide to target amyloid-beta (Abeta) as the
main pathogenic protein in Alzheimer's disease (AD). The microspheres were
characterized for their morphology, size, and entrapment efficacy by electron
microscopy, dynamic light scattering and confocal microscopy. Fluorescence
activated cell-sorting analysis and Thioflavin-T binding assay demonstrated that
the conjugated microspheres bind with high affinity and selectivity to Abeta,
sequester it from the medium and reduce its aggregation. Upon incubation with
Abeta, the microspheres induced formation of amorphous aggregates on their
surface with no apparent fibrillar structure. Moreover, the microspheres directly
reduced the Abeta-induced toxicity toward neuron like PC12 cells. The conjugated
microspheres are smaller than unmodified microspheres and remained stable
throughout the incubation under physiological conditions.
PMID- 21887834
TI - Gas-phase radical-radical reaction dynamics of O(3P)+C2H3->C2H2+OH.
PMID- 21887835
TI - WaterLOGSY NMR experiments in conjunction with molecular-dynamics simulations
identify immobilized water molecules that bridge peptide mimic MDWNMHAA to
anticarbohydrate antibody SYA/J6.
AB - X-ray crystallographic data of the carbohydrate mimic MDWNMHAA when bound to an
anti-Shigella flexneri Y mAb SYA/J6 indicate the immobilization of water
molecules, that is, the presence of "bound" waters, in the active site. Water
Ligand Observed via Gradient Spectroscopy (WaterLOGSY) was used in conjunction
with saturation transfer difference (STD)-NMR spectroscopy to probe the existence
of immobilized water molecules in the complex of MDWNMHAA 1 bound to mAb SYA/J6.
Molecular dynamics simulations using the ZymeCAD Molecular Dynamics platform were
then used to specify the likely locations of these water molecules. Of note,
those waters involved in providing complementarity between the peptide and mAb
SYA/J6 remained throughout the course of the simulation. Together, the
experimental and computational protocols have been used to identify the bound
water molecules present in the antibody-peptide complex.
PMID- 21887836
TI - An efficient, overall [4+1] cycloaddition of 1,3-dienes and nitrene precursors.
AB - Intermolecular cycloadditions of conjugated dienes and nitrene precursors usually
produce aziridines. A generally useful method was lacking to directly provide the
[4+1] cycloadducts, 3-pyrrolines. We have realized this transformation by using
an uniquely active catalyst, copper(II) 1,1,1,5,5,5-hexafluoroacetylacetonate
([Cu(hfacac)(2)]). The method is applicable to a wide array of dienes with good
yields. When 1,4-disubstituted dienes are used as substrates, good-to-excellent
cis or trans selectivity can be obtained. Interestingly, the cis or trans
preference depends on the nature of the substituents, rather than diene geometry.
Mechanistic studies reveal that the [4+1] cycloaddition proceeds through diene
aziridination and subsequent ring expansion. Among common copper catalysts, only
[Cu(hfacac)(2)] can efficiently catalyze both steps, which explains the unique
efficiency of the catalyst.
PMID- 21887837
TI - Bioelectrochemically active infinite coordination polymer nanoparticles: one-pot
synthesis and biosensing property.
PMID- 21887838
TI - One-pot synthesis of chiral aziridines by a domino reaction by using
desulfonylative formation on the N-tosyl imine of chloroacetaldehyde with an
asymmetric Mannich reaction as a key step.
PMID- 21887839
TI - An effective method to introduce carbon acid functionality: 2,2
bis(trifluoromethanesulfonyl)ethylation reaction of arenes.
PMID- 21887840
TI - An acyloxymethyl ketone-based probe to monitor the activity of
glutathionylspermidine amidase in Escherichia coli.
AB - Cellular redox conditions affect Gsp amidase activity in Escherichia coli. Guided
by the structure and catalytic mechanism of the amidase, we designed and
synthesized an acyloxymethyl ketone-based activity probe containing a biotin
handle. This probe was used to monitor Gsp amidase activity in E. coli lysates
that had been subjected to oxidative or methylglyoxal-induced stress.
PMID- 21887841
TI - Highly fluorescent 5-(5,6-dimethoxybenzothiazol-2-yl)-2'-deoxyuridine 5'
triphosphate as an efficient substrate for DNA polymerases.
AB - We herein describe the synthesis of fluorescent 5-(5,6-dimethoxybenzothiazol-2
yl)-2'-deoxyuridine 5'-triphosphate (d(bt)UTP) and primer extension reactions
using d(bt)UTP. We also carried out primer extension reactions using the (bt)U
template. B family DNA polymerases, such as KOD, Deep Vent (exo-), and 9 degrees
N(m) DNA polymerases, were effective for elongation with d(bt)UTP. Deep Vent (exo
) and KOD DNA polymerases have excellent fidelity for incorporating d(bt)UTP only
at the site opposite the adenine template and only dATP when using the (bt)U
template. Therefore, d(bt)UTP is an excellent fluorescent nucleotide that can be
incorporated into DNA by DNA polymerases.
PMID- 21887842
TI - Photon density wave spectroscopy for dilution-free sizing of highly concentrated
nanoparticles during starved-feed polymerization.
PMID- 21887843
TI - Pathogenic mutations of TGFBI and CHST6 genes in Chinese patients with Avellino,
lattice, and macular corneal dystrophies.
AB - OBJECTIVE: To investigate gene mutations associated with three different types of
corneal dystrophies (CDs), and to establish a phenotype-genotype correlation.
METHODS: Two patients with Avellino corneal dystrophy (ACD), four patients with
lattice corneal dystrophy type I (LCD I) from one family, and three patients with
macular corneal dystrophy type I (MCD I) were subjected to both clinical and
genetic examinations. Slit lamp examination was performed for all the subjects to
assess their corneal phenotypes. Genomic DNA was extracted from peripheral blood
leukocytes. The coding regions of the human transforming growth factor beta
induced (TGFBI) gene and carbohydrate sulfotransferase 6 (CHST6) gene were
amplified by polymerase chain reaction (PCR) and subjected to direct sequencing.
DNA samples from 50 healthy volunteers were used as controls. RESULTS: Clinical
examination showed three different phenotypes of CDs. Genetic examination
identified that two ACD subjects were associated with homozygous R124H mutation
of TGFBI, and four LCD I subjects were all associated with R124C heterozygous
mutation. One MCD I subject was associated with a novel S51X homozygous mutation
in CHST6, while the other two MCD I subjects harbored a previously reported W232X
homozygous mutation. CONCLUSIONS: Our study highlights the prevalence of codon
124 mutations in the TGFBI gene among the Chinese ACD and LCD I patients.
Moreover, we found a novel mutation among MCD I patients.
PMID- 21887844
TI - Clinical heterogeneity in patients with early-stage Parkinson's disease: a
cluster analysis.
AB - The aim of this study was to investigate the clinical heterogeneity of
Parkinson's disease (PD) among a cohort of Chinese patients in early stages.
Clinical data on demographics, motor variables, motor phenotypes, disease
progression, global cognitive function, depression, apathy, sleep quality,
constipation, fatigue, and L-dopa complications were collected from 138 Chinese
PD subjects in early stages (Hoehn and Yahr stages 1-3). The PD subject subtypes
were classified using k-means cluster analysis according to the clinical data
from five- to three-cluster consecutively. Kappa statistical analysis was
performed to evaluate the consistency among different subtype solutions. The
cluster analysis indicated four main subtypes: the non-tremor dominant subtype
(NTD, n=28, 20.3%), rapid disease progression subtype (RDP, n=7, 5.1%), young
onset subtype (YO, n=50, 36.2%), and tremor dominant subtype (TD, n=53, 38.4%).
Overall, 78.3% (108/138) of subjects were always classified between the same
three groups (52 always in TD, 7 in RDP, and 49 in NTD), and 98.6% (136/138)
between five- and four-cluster solutions. However, subjects classified as NTD in
the four-cluster analysis were dispersed into different subtypes in the three
cluster analysis, with low concordance between four- and three-cluster solutions
(kappa value=-0.139, P=0.001). This study defines clinical heterogeneity of PD
patients in early stages using a data-driven approach. The subtypes generated by
the four-cluster solution appear to exhibit ideal internal cohesion and external
isolation.
PMID- 21887845
TI - Changes of paired-pulse evoked responses during the development of epileptic
activity in the hippocampus.
AB - Dysfunction of inhibitory synaptic transmission can destroy the balance between
excitatory and inhibitory synaptic inputs in neurons, thereby inducing epileptic
activity. The aim of the paper is to investigate the effects of successive
excitatory inputs on the epileptic activity induced in the absence of
inhibitions. Paired-pulse orthodromic and antidromic stimulations were used to
test the changes in the evoked responses in the hippocampus. Picrotoxin (PTX),
gamma-aminobutyric acid (GABA) type A (GABA(A)) receptor antagonist, was added to
block the inhibitory synaptic transmission and to establish the epileptic model.
Extracellular evoked population spike (PS) was recorded in the CA1 region of the
hippocampus. The results showed that the application of PTX induced a biphasic
change in the paired-pulse ratio of PS amplitude. A short latency increase of the
second PS (PS2) was later followed by a reappearance of PS2 depression. This type
of depression was observed in both orthodromic and antidromic paired-pulse
responses, whereas the GABAergic PS2 depression [called paired-pulse depression
(PPD)] during baseline recordings only appeared in orthodromic-evoked responses.
In addition, the depression duration at approximately 100 ms was consistent with
a relative silent period observed within spontaneous burst discharges induced by
prolonged application of PTX. In conclusion, the neurons may ignore the
excitatory inputs and intrinsically generate bursts during epileptic activity.
The depolarization block could be the mechanisms underlying the PPD in the
absence of GABA(A) inhibitions. The distinct neuronal responses to stimulations
during different epileptic stages may implicate the different antiepileptic
effects of electrical stimulation.
PMID- 21887846
TI - Hyaluronic acid as a rescue therapy for trinitrobenzene sulfonic acid-induced
colitis through Cox-2 and PGE2 in a Toll-like receptor 4-dependent way.
AB - We hypothesized whether systemic administration of high-molecular-weight
hyaluronic acid (HMW HA) could rescue trinitrobenzene sulfonic acid (TNBS)
induced colitis through Toll-like receptor 4 (TLR4) signal. C3H/HeN mice and
C3H/HeJ mice were used. Mice were divided into four groups: control, 50% ethanol
treatment group, TNBS treatment group, and TNBS plus HA treatment group. The
weight changes, clinical scores, macroscopic scores, and histological scores were
recorded. Cyclooxygenase 2 (Cox-2) and prostaglandin E(2) (PGE(2)) expressions
were measured both in colons and peritoneal macrophages from these mice. HA was a
rescue therapy for the colitis induced by TNBS only in C3H/HeN mice. The clinical
score, macroscopic score, and histological score were much lower in C3H/HeN mice
receiving TNBS plus HA treatment. Cox-2 and PGE(2) expressions only increased in
C3H/HeN mice. These Cox-2 expressing cells were macrophages. HA can also promote
the production of Cox-2 and PGE(2) in peritoneal macrophages from C3H/HeN mice.
Our data demonstrated that HMW HA can rescue TNBS-induced colitis through
inducing Cox-2 and PGE(2) expressions in a TLR4-dependent way. Macrophages may be
the effector cells of HMW HA.
PMID- 21887847
TI - Polyethylenimine-cyclodextrin-tegafur conjugate shows anti-cancer activity and a
potential for gene delivery.
AB - Polyethylenimine-cyclodextrin-tegafur (PEI-CyD-tegafur) conjugate was synthesized
as a novel multifunctional prodrug of tegafur for co-delivery of chemotherapeutic
agent tegafur and enhanced green fluorescent protein (EGFP) reporter plasmid DNA.
Conjugation of tegafur to PEI-CyD via chemical linkage was characterized by (1)H
NMR spectrometry and ultraviolet (UV) spectrometry. PEI-CyD-tegafur was able to
condense plasmid DNA into complexes of around 150 nm with positive charge at the
N/P ratio of 25, in accordance with electron microscopy observation of compact
and monodisperse nanoparticles. The results of in vitro experiments showed
enhanced cytotoxicity and considerable transfection efficiency in B16F10 cell
line. Therefore, PEI-CyD-tegafur may have great potential as a co-delivery system
with anti-cancer activity and potential for gene delivery.
PMID- 21887848
TI - Age-dependent expression of forkhead box O proteins in the duodenum of rats.
AB - The O subfamily of forkhead box (FoxO) proteins is the downstream effector of the
insulin-like growth factor-1/phosphoinositide 3-kinase/protein kinase B (IGF
1/PI3K/PKB) signal pathway. The objective of the present study was to examine the
expressions of three members of FoxO proteins, FoxO1, FoxO3a, and FoxO4 in the
duodenum of Sprague-Dawley rats at different ages. The result demonstrated that
the expression of FoxO4 in rat duodenum showed an age-dependent manner. At Day
21, there were no detectable localization and expression of FoxO4 in the
duodenum, while, at Months 2 and 6, localization and expression of FoxO4 were
distinct. In addition, FoxO4 staining was primarily concentrated in the cell
nuclei of the lamina propria around the intestinal gland of the duodenum in 2
month-old rats, but was not detectable in the same area in 6-month-old rats. Our
results showed also that although FoxO3a existed in the cytoplasm of the lamina
propria at a low level at the 2- and 6-month marks, it was still not detectable
at Day 21. Besides, FoxO1 was not detectable in all parts and stages. Taken
together, our findings suggested that the cell-specific and age-dependent
expressional patterns of FoxO4 and FoxO3a proteins in the duodenum play some
roles in the development and growth performance of the rat duodenum.
PMID- 21887850
TI - Evaluation of the antioxidant effects of four main theaflavin derivatives through
chemiluminescence and DNA damage analyses.
AB - Theaflavins (TFs) are the dimers of a couple of epimerized catechins, which are
specially formed during black tea fermentation. To explore the differences among
four main TF derivatives (theaflavin (TF(1)), theaflavin-3-gallate (TF(2)A),
theaflavin-3'-gallate (TF(2)B), and theaflavin-3,3'-digallate (TF(3))) in
scavenging reactive oxygen species (ROS) in vitro, their properties of inhibiting
superoxide, singlet oxygen, hydrogen peroxide, and the hydroxyl radical, and
their effects on hydroxyl radical-induced DNA oxidative damage were
systematically analyzed in the present study. The results show that, compared
with (-)-epigallocatechin gallate (EGCG), TF derivatives were good antioxidants
for scavenging ROS and preventing the hydroxyl radical-induced DNA damage in
vitro. TF(3) was the most positive in scavenging hydrogen peroxide and hydroxyl
radical, and TF(1) suppressed superoxide. Positive antioxidant capacities of
TF(2)B on singlet oxygen, hydrogen peroxide, hydroxyl radical, and the hydroxyl
radical-induced DNA damage in vitro were found. The differences between the
antioxidant capacities of four main TF derivatives in relation to their chemical
structures were also discussed. We suggest that these activity differences among
TF derivatives would be beneficial to scavenge different ROS with therapeutic
potential.
PMID- 21887851
TI - Effect of three cooking methods on nutrient components and antioxidant capacities
of bamboo shoot (Phyllostachys praecox C.D. Chu et C.S. Chao).
AB - Three cooking methods, namely boiling, steaming, and stir-frying for 5 to 10 min,
were used to evaluate the effect on nutrient components, free amino acids, L
ascorbic acid, total phenolic contents, and antioxidant capacities of bamboo
shoots (Phyllostachys praecox). Results showed that boiling and stir-frying had a
great effect on the nutrient components and they decreased the contents of
protein, soluble sugar, and ash, and caused a great loss in the total free amino
acids (decreased by 38.35% and 34.86%, respectively). Significant differences
(P<0.05) in free amino acids were observed in the samples cooked by different
methods. Stir-fried bamboo shoots had a high fat content which increased by
528.57% because of the addition of edible oil. After boiling, the L-ascorbic acid
and total phenolic contents were significantly reduced, while steaming increased
total phenolic content by 3.98% and stir-frying well-preserved L-ascorbic acid
(78.87% of its previous content). Results of the antioxidative property study
showed that stir-frying could increase antioxidant capacities of bamboo shoots.
It is concluded that stir-frying is more suitable for bamboo shoots because it
could obtain the maximum retention of antioxidant capacities.
PMID- 21887849
TI - Effect of dietary fatty acids on serum parameters, fatty acid compositions, and
liver histology in Shaoxing laying ducks.
AB - The effects of different fatty acid (FA) contents in diet on serum parameters, FA
compositions of eggs and meat, and liver morphological changes were studied in
Shaoxing laying ducks. A total of 264 ducks at 17 weeks were fed a control diet
or a diet containing 30 g/kg fish oil (FO), 25 g/kg sunflower oil (SO), or 30
g/kg palm oil with 20 g/kg beef tallow (PBO). Malondialdehyde (MDA) content in
the liver and the serum of ducks fed the PBO diet was significantly (P<0.05)
higher than that of ducks fed the other diets. Triglyceride (TG) and total
cholesterol (TC) levels were significantly lower (P<0.05) in ducks fed the FO
diet. Serum TC also was lower in ducks fed the SO diet. Superoxide dismutase
(SOD) activity was also affected by diets. The contents of polyunsaturated FAs
(PUFAs) in eggs and meat were significantly higher (P<0.001) in ducks fed the FO
and SO diets than in ducks fed the control diet. The level of C22:6 (n-3) FA in
ducks fed the FO diet was significantly higher than that in ducks fed the other
diets. However, the conversion efficiency of the longer-chain C20:5 (n-3) FA was
higher than that of C22:6 (n-3). Ducks fed the PBO diet exhibited lipid droplet
accumulation in the liver. These results demonstrate that a diet enriched with
different FAs has strong effects on serum lipid levels and the deposition of
PUFAs into tissue lipids.
PMID- 21887852
TI - Changes in bacterial community of anthracene bioremediation in municipal solid
waste composting soil.
AB - Polycyclic aromatic hydrocarbons (PAHs) are common contaminants in a municipal
solid waste (MSW) composting site. Knowledge of changes in microbial structure is
useful to identify particular PAH degraders. However, the microbial community in
the MSW composting soil and its change associated with prolonged exposure to PAHs
and subsequent biodegradation remain largely unknown. In this study, anthracene
was selected as a model compound. The bacterial community structure was
investigated using terminal restriction fragment length polymorphism (TRFLP) and
16S rRNA gene clone library analysis. The two bimolecular tools revealed a large
shift of bacterial community structure after anthracene amendment and subsequent
biodegradation. Genera Methylophilus, Mesorhizobium, and Terrimonas had potential
links to anthracene biodegradation, suggesting a consortium playing an active
role.
PMID- 21887853
TI - Digital design of scaffold for mandibular defect repair based on tissue
engineering.
AB - Mandibular defect occurs more frequently in recent years, and clinical repair
operations via bone transplantation are difficult to be further improved due to
some intrinsic flaws. Tissue engineering, which is a hot research field of
biomedical engineering, provides a new direction for mandibular defect repair. As
the basis and key part of tissue engineering, scaffolds have been widely and
deeply studied in regards to the basic theory, as well as the principle of
biomaterial, structure, design, and fabrication method. However, little research
is targeted at tissue regeneration for clinic repair operations. Since mandibular
bone has a special structure, rather than uniform and regular structure in
existing studies, a methodology based on tissue engineering is proposed for
mandibular defect repair in this paper. Key steps regarding scaffold digital
design, such as external shape design and internal microstructure design directly
based on triangular meshes are discussed in detail. By analyzing the theoretical
model and the measured data from the test parts fabricated by rapid prototyping,
the feasibility and effectiveness of the proposed methodology are properly
verified. More works about mechanical and biological improvements need to be done
to promote its clinical application in future.
PMID- 21887854
TI - A tasseled cap transformation for CBERS-02B CCD data.
AB - The tasseled cap transformation of remote sensing data has been widely used in
agriculture, forest, ecology, and landscape. In this paper, tasseled cap
transformation coefficients appropriate for data from a new sensor (China &
Brazil Earth Resource Satellite (CBERS-02B)) are presented. The first three
components after transformation captured 98% of the four-band variance, and
represent the physical characteristics of brightness (coefficients: 0.509, 0.431,
0.330, and 0.668), greenness (coefficients: -0.494, -0.318, -0.324, and 0.741),
and blueness (coefficients: 0.581, -0.070, -0.811, and 0.003), respectively. We
hope these results will enhance the application of CBERS-02B charge-coupled
device (CCD) data in the areas of agriculture, forest, ecology, and landscape.
PMID- 21887855
TI - Yokukansan enhances pentobarbital-induced sleep in socially isolated mice:
possible involvement of GABA(A)-benzodiazepine receptor complex.
AB - In the present study, we investigated the effect of the Kampo medicine Yokukansan
(YKS) on pentobarbital-induced sleep in group-housed and socially isolated mice.
Socially isolated mice showed shorter sleeping time than the group-housed mice.
YKS (300 mg/kg, p.o.) prolonged the pentobarbital-induced sleeping time in
socially isolated mice without affecting pentobarbital sleep in group-housed
mice. The prolongation of sleeping time by YKS was reversed by bicuculline (3
mg/kg, i.p.) and flumazenil (3 mg/kg, i.p.), but not WAY100635. These findings
suggest that the GABA(A)-benzodiazepine receptor complex, but not 5-HT(1A)
receptors, is involved in the reversal effect of YKS on the decrease of
pentobarbital sleep by social isolation.
PMID- 21887856
TI - In memory of Dr. Hitoshi Kamiya.
PMID- 21887857
TI - Widening access to medicine.
PMID- 21887859
TI - Exercising options.
PMID- 21887858
TI - Retraction notice to "Curcumin suppresses constitutive activation of AP-1 by
downregulation of JunD protein in HTLV-1-infected T-cell lines" [Leuk. Res. 30
(2006) 313-321].
PMID- 21887860
TI - Nature Reviews Genetics. From the editors.
PMID- 21887861
TI - Low-dose naltrexone: harnessing the body's own chemistry to treat human ovarian
cancer.
PMID- 21887862
TI - Serum bicarbonate as a marker to predict mortality in toxic epidermal necrolysis.
AB - BACKGROUND: Toxic epidermal necrolysis (TEN) is a rare life-threatening disorder
characterized by extensive epidermal necrolysis. Its mortality which varies from
20% to 60% is related to risk factors such as age, extent of epidermal
detachment, and base deficit. OBJECTIVES: The purpose of this study is to
investigate the risk factors of mortality in our patients with TEN. PATIENTS AND
METHODS: From the year 2000 to 2006, the patients with TEN admitted to the
National Taiwan University Hospital Burn Center were studied retrospectively
using chart review. Eleven potential risk factors including age, gender,
underlying disease, malignancy, extent of epidermal detachment, tachycardia,
serum urea, glucose, base deficit, leucopenia, and intravenous infusion of
immunoglobulin (IVIG) were analyzed. Patients were grouped into survivors (n 1/4
11) and nonsurvivors (n 1/4 5) after intensive care treatment. Logistic
regression was used in multivariate analysis for identifying important predictors
of mortality. RESULTS: The mean age of the patients with TEN was 58 years, while
the mean total body surface area of epidermal necrolysis was 66.3%. The overall
mortality rate was 31.3%. Among the potential risk factors, only serum
bicarbonate <20 mmol/L was found to have significant association with mortality
(P 1/4 .0128) in our patients with TEN. The odds of mortality in the patients
with TEN having serum bicarbonate <20 mmol/L was 40 times higher than those
without. CONCLUSION: This study has shown that serum bicarbonate <20 mmol/L is
the most important risk factor of mortality in our patients with TEN and it may
be used as a marker to predict hospital mortality.
PMID- 21887863
TI - Extubation of the difficult airway.
AB - Managing the airway in the intensive care unit (ICU) is complicated by a wide
array of physiologic factors. Difficult airway may be a consequence of patient's
anatomy or airway edema developed during the ICU stay and mechanical ventilation.
The incidence of failed airways and of cardiac arrest related to airway
instrumentation in the ICU is much higher than that of elective intubations
performed in the operating room. In this article, we will provide a framework for
identifying a difficult airway, criteria for safe extubation, as well as review
the devices that are available for airway management in the ICU. Proficiency in
identifying a potentially difficult airway and thorough familiarity with
strategies and techniques of securing the airway are necessary for safe practice
of critical care medicine
PMID- 21887864
TI - The lipid A assembly pathway: the work of Christian Raetz.
PMID- 21887865
TI - Expression of concern.
PMID- 21887866
TI - A dodecanuclear heterometallic dysprosium-cobalt wheel exhibiting single-molecule
magnet behaviour.
AB - A novel dodecanuclear wheel with ten Dy(III) ions and two Co(II) ions bridged by
four Schiff-base ligands and sixteen acetates represents the highest-nuclearity
3d-4f example of its type displaying single-molecule magnet behaviour.
PMID- 21887867
TI - Profile. Wallace F. Chong, Jr., DDS. Going strong after 44 years.
PMID- 21887868
TI - Magic mouthwash.
PMID- 21887869
TI - Nicholson's power is unrivalled--so is his responsibility to lead.
PMID- 21887870
TI - Nicholson: NHS faces 'big problem' if reforms lose pace.
PMID- 21887872
TI - Legal costs. Negligence claims increasing 10% per year.
PMID- 21887871
TI - Restructure. PCT clusters to survive as arms of the NHS Commissioning Board as
new groups form.
PMID- 21887873
TI - Fighting talk for a year of living dangerously. Interview by Charlotte Santry.
PMID- 21887874
TI - Under the microscope: pulmonary nontuberculous mycobacteria.
PMID- 21887875
TI - Workplace bullying too much to handle.
PMID- 21887876
TI - A personal experience with assisted second stage labor.
PMID- 21887877
TI - A long second stage in Argentina.
PMID- 21887879
TI - [Lung cancer-statistics of hospital-based cancer registry data in Japan-].
PMID- 21887880
TI - Meiotic chromosome pairing and recombination take refuge in the telomeres.
PMID- 21887881
TI - Conversation with Dr. G. K. Rath. [Interviewed by Sapna Gupta].
PMID- 21887882
TI - Conversation with Dr. K. A. Dinshaw. [Interviewed by Meena Tiwari].
PMID- 21887883
TI - FPIN's clinical inquiries: antibiotic prophylaxis to prevent recurrent UTI in
children.
PMID- 21887884
TI - Global Programme to eliminate lymphatic filariasis: progress report on mass drug
administration, 2010.
PMID- 21887885
TI - Leprosy update, 2011.
PMID- 21887886
TI - Corporate colleagues: shared goals, shared value.
PMID- 21887887
TI - Health educators and foundations release competencies and action strategies for
interprofessional education.
PMID- 21887888
TI - Stroop interference, hemodynamic response and aging: an event-related fMRI study.
AB - In a Stroop interference task, subjects are required to name the color of a word,
while ignoring the meaning of the word. The increase in time taken to name the
color name if the underlying word is incongruent to the color is called Stroop
color-word interference effect. With increasing age, reaction time (RT) is
slowed. In an functional magnetic resonance imaging (fMRI) study we investigated
the effects of aging (subjects from 22 to 75 years of age) on the performance in
the color-word matching Stroop task and on the hemodynamic response. The present
study shows that middle-aged adults were generally slowed but no increased
interference effect occurred. Further, middle-aged adults showed increased
activations in several task-related regions, mainly in the inferior frontal
junction (IFJ) area (bilaterally) and the presupplementary motor area. For the
middle-aged subjects, regions in the inferior frontal gyrus (IFG), the basal
putamen and the occipital lobe were additionally recruited, indicating a stronger
dependence on compensatory strategies. Further, middle-aged subjects showed
generally a greater magnitude of the hemodynamic response, resulting in greater
percent signal changes.
PMID- 21887890
TI - Radiation risks of diagnostic imaging.
PMID- 21887889
TI - Interleukin-10 protects against inflammation-mediated degeneration of
dopaminergic neurons in substantia nigra.
AB - Inflammation has been increasingly recognized to play an important role in the
pathogenesis of Parkinson's disease (PD). Using immunocytochemistry and electron
microscopy, we found that intranigral injection of lipopolysaccharide (LPS)
caused marked microglial activation and a dose-dependent selective loss of
dopaminergic neurons, which was mediated by apoptosis as evidenced by prominent
TUNEL labeling. RNase protection assays revealed that mRNA for Bax, Fas and the
pro-inflammatory cytokines interleukin (IL)-1alpha, IL-1beta, IL-6 and tumor
necrosis factor (TNF)-alpha were significant increased ipsilaterally in LPS
injected side of SN, while expression of the anti-apoptotic gene Bcl-2 was
decreased. Osmotic pump infusion of IL-10, a global inhibitor of cytokine
synthesis, protected against LPS-induced cell death of dopaminergic neurons, with
a corresponding decrease in the number of activated microglia, suggesting that
the reduction in microglia-mediated release of inflammatory mediators may
contribute to the anti-inflammatory effect of IL-10. Our results provide evidence
that LPS induces apoptotic cell death in SNpc, which is likely through the
expression of Fas, Bax, caspase-3, and the pro-inflammatory cytokines.
PMID- 21887891
TI - The pH ruler: a Java applet for developing interactive exercises on acids and
bases.
AB - In introductory biochemistry courses, it is often a struggle to teach the basic
concepts of acid-base chemistry in a manner that is relevant to biological
systems. To help students gain a more intuitive and visual understanding of
abstract acid-base concepts, a simple graphical construct called the pH ruler
Java applet was developed. The applet allows students to visualize the abundance
of different protonation states of diprotic and triprotic amino acids at
different pH values. Using the applet, the student can drag a widget on a slider
bar to change the pH and observe in real time changes in the abundance of
different ionization states of this amino acid. This tool provides a means for
developing more complex inquiry-based, active-learning exercises to teach more
advanced topics of biochemistry, such as protein purification, protein structure
and enzyme mechanism.
PMID- 21887892
TI - When unemployed means uninsured: the toll of job loss on health coverage, and how
the Affordable Care Act will help.
AB - Chronically high unemployment has left millions of Americans without health
insurance, which disappeared along with their wages and other job benefits.
Although continuing health coverage through COBRA is an option for some workers,
the often prohibitively high cost means that relatively few elect to purchase it.
When fully implemented in 2014, the Affordable Care Act will dramatically
increase health insurance options for people who lose their jobs. Even so, gaps
in coverage will remain a risk for many workers who become unemployed or are
transitioning to a new job. To help bridge coverage gaps until 2014, policymakers
should consider reestablishing the COBRA premium subsidies that helped millions
of people who lost their jobs in 2008-2010.
PMID- 21887893
TI - Safeguard or mollycoddle? Medical student placements in Aboriginal communities.
Comment.
PMID- 21887894
TI - [Pohoda no. I (death on music festival)].
AB - A fatal accident of a young man, visitor of the summer music festival, shocked
the whole Slovak society. Many unanswered questions concerned a causality of the
incident arose immediately. Altough most questions have been focused to technical
experts concerning the cause of a tent fall, the forensic medicine can bring some
important reflections and conclusions, too. An absence of natural defense
mechanisms of the accident's victim should be explained only through malignant
affection of the body reflectoris reactions by exogenous substance, which might
depress natural senzoric or motoric response while being in acute danger from
falling tent's construction.
PMID- 21887895
TI - [Pohoda no. II (delayed death--following music festival)].
AB - A mass tragedy on the Slovak biggest music festival "POHODA", caused by a
windstorm, shocked whole society, even abroad. Many questions concerned a
causality and a circumstances of the incident arose immediately. The forensic
autopsies of victims (29-aged man and 19-aged woman) represented a very special
expertise act in police investigation of the case.
PMID- 21887896
TI - [Effect of windshield washer fluid on breathalyser results].
AB - In this test were verify the possibility of the influence of windshield washer
fluid containing ethanol on an alcohol breath test. The premise was that anti
freeze washer fluids used in automobiles contain ethanol, and that the use of
such fluids results in ethanol vapour permeating the automobile's cabin. Tests
were carried out on individuals seated on the front seats of an enclosed
automobile cabin. Five different types of anti-freeze washer fluids suited for
temperatures between -19 degrees C to -35 degrees C commonly available at a range
of different petrol station chains throughout the Czech Republic were used. In
total 34 measurements were taken. Not even in one instance could a measurement of
zero be obtained within 60 seconds to 4 minutes of the use of ethanol-based
washer fluid. The longest positive test lasted for 13 minutes. The highest
measured value was 0.71% per hundred. Immediately after exiting the automobile
and taking several breaths of fresh outside air tests were negative in all cases.
All tests subjects described a clear odour of windscreen washer fluid in the
cabin in all instances, which was evident even after breathalyzer tests showed
zero values. It is hence verified that using ethanol-based windscreen washer
fluid which is commonly accessible on our market to spray the windscreen can skew
the results of breathalyzer tests in the sense of generating false positives. Due
to the characteristic odour described, drivers should themselves be aware that
any test undertaken could not be in his or her favour. To eliminate the apparent
presence of alcohol, it is however enough to exit the cabin and to take several
(2-3) breaths of fresh air to absolutely guarantee a fair test. A positive test
at this stage points to a different underlying reason than ethanol-based
windscreen washer fluid being used.
PMID- 21887897
TI - An autopsy case of multiple psychotropic drug poisoning.
AB - A fatal poisoning case involving etizolam, phenobarbital, promethazine and
chlorpromazine is presented. Quantitative toxicological analysis showed that the
concentrations of etizolam, phenobarbital, promethazine and chlorpromazine in the
femoral blood were 86 ng/ml, 5082 microg/ml, 0.107 microg/ml and 0.144 microg/ml,
respectively, and large amounts of drugs were also detected in the stomach
contents. We conclude that the cause of death was due to the interaction of
multiple psychotropic drugs.
PMID- 21887898
TI - [Usage of automatic voice transcription in autopsy service].
AB - The paper describes the computer program NEWTON Dictate which is used for speech
recognition and transcription. The possible uses of this program in forensic
medicine are discussed, especially concerning the recognition and transcription
of the autopsy findings. Specific conditions of the forensic medicine are
introduced, with their influence on speech recognition and translation being the
focus. The authors analyze program improvement aimed at reducing mistakes in
recognition and transcription which may occur during autopsy work flow. Such
improvement involves appropriate vocabulary usage and special vocal adaptation.
The role of the autopsy secretary is acknowledged.
PMID- 21887899
TI - A fatal case of severe methemoglobinemia presumably due to chlorate ingestion.
AB - A fatal case due to severe methemoglobinemia is presented. A male in his forties
was found unconscious in his house and, despite intensive care, death was
confirmed approximately 11 hours later. Toxicological analysis using ion
chromatography revealed the presence of chlorate in the stomach contents.
However, chlorate was not detected in the blood, and no other drugs or ethanol
were detected in the blood either. We concluded that the cause of death was
presumably due to chlorate poisoning, based on the results of the autopsy and the
toxicological examination.
PMID- 21887900
TI - [Analysis of the sudden heart death causes in selected sample of dead].
AB - Sudden cardiac death (SCD) is death from cardiac causes within one hour of the
onset of symptoms. In the Czech Republic, there is no SCD registry, analyses of
SCD causes are rare and there is no functional connection between the results of
an autopsy on a person with SCD and examination of relatives who are at risk of a
similar disease. The authors reviewed available autopsy records of the Department
of Forensic Medicine and Medical Law of the University Hospital Olomouc over a
specified period of time to find persons with severe coronary artery disease,
heart failure or deaths from unknown causes in a specific age range.
Subsequently, the available information about the circumstances of SCD was
analyzed.
PMID- 21887901
TI - Bisphosphonate associated osteonecrosis of the jaw; similarities and differences
in oncologic and non-oncologic patients.
PMID- 21887902
TI - Insulin resistance is associated with increased cardiovascular risk in Asian
Indians with normal glucose tolerance--the Chennai Urban Rural Epidemiology Study
(CURES-66).
AB - OBJECTIVE: The aim of the study was to assess the association of Insulin
Resistance [IR] assessed by Homeostasis Assessment model (HOMA-IR) with
cardiovascular risk factors in subjects with Normal Glucose Tolerance [NGT] in
Asian Indians. METHODS: This cross-sectional study recruited subjects from the
Chennai Urban Rural Epidemiology Study [CURES] an epidemiological study in a
representative population of Chennai [formerly Madras], in South India. We
included 1550 subjects with normal glucose tolerance, ie, fasting plasma glucose
< 100 mg/dl [5.6 mmol/L] and 2 hour post load plasma glucose < 140 mg/dl [7.8
mmol/L]. IR was calculated using the homeostasis assessment model (HOMA-IR) using
the formula: fasting insulin (1IU/mL) fasting glucose (mmol/L)/22.5. Metabolic
syndrome [MS] was defined based on modified Adult Treatment Panel III (ATP III)
guidelines. RESULTS: HOMA-IR was found to be significantly associated with
systolic blood pressure (beta = 0.100, p < 0.001), diastolic pressure (beta =
0.094, p < 0.001), total cholesterol (beta = 0.068, p = 0.005), serum
triglycerides (beta = 0.105, p < 0.001), LDL cholesterol (beta = 0.118, p <
0.005), and HDL cholesterol (beta = -0.060, p < 0.001) even after adjusting age,
gender and BMI. Subjects with family history of type 2 diabetes had significantly
higher HOMA-IR [p = 0.011] compared to those without family history. In relation
to physical activity, subjects with heavy grade activity had significantly lower
HOMA-IR values compared to the light grade activity [p < 0.001] Subjects with
generalized obesity [p < 0.001] and abdominal obesity [p < 0.001] had
significantly higher HOMA-IR which remained statistically significant even after
adjusting for age and gender. There was a linear increase in the mean values of
HOMA IR with increase in number of components of MS [p for trend < 0.001]
CONCLUSION: Among Asian Indians who are known to have high risk of premature
coronary artery disease and diabetes, a significant association exists between
insulin resistance with cardiovascular risk factors even among NGT subjects.
PMID- 21887903
TI - Maternal obesity and pregnancy outcome: a prospective analysis.
AB - OBJECTIVE: To analyze whether the obese women have an increased risk of pregnancy
complications and adverse fetal outcome. METHODS: The longitudinal prospective
study was carried out in the Obst and Gynae department, IPGME and R, Kolkata. The
study enrolled 422 pre-pregnant obese women with pregnancy as study population
and equal number of non obese pregnant mothers as controls. Body mass index (BMI)
was > or = 30.0 kg/m2 and 20-22 kg/m2 in obese and control group respectively.
RESULTS: In comparison to average weight pregnant women, obese pregnant women
were at increased risk of gestational diabetes mellitus (19.43 vs 3.79%; p <
0.001), pregnancy induced hypertension (12.32 vs 2.36%; p < 0.001), pre-eclampsia
(8.76 vs 3.31%; p < 0.001), preterm labor in less than 34 week gestation (7.58 vs
3.55%; p < 0.001), cesarean section (36.72 vs 17.53%; p < 0.001), instrumental
deliveries (12.32 vs 5.21%; p < 0.001) and postpartum infection morbidities (9.95
vs 3.79%; p < 0.001). These women were more prone to develop overt diabetes
(2.36% vs 0) and chronic hypertension (5.21 vs .47% ) in future as well. Neonates
of obese women were mostly large for gestational age, macrosomic and they had
high incidences of birth injuries, shoulder dystocia, premature deliveries, late
fetal deaths and congenital malformations particularly spina bifida, cleft lip,
cleft palate and heart defect. CONCLUSION: As obesity is considered to be a
modifiable risk factor, preconception counseling and creating awareness regarding
health risks associated with over weight and obesity should be encouraged.
PMID- 21887904
TI - CYP2C19 polymorphism as a predictor of personalized therapy in South Indian
population.
AB - OBJECTIVES: To study allelic variants of CYP2C19 gene in South Indians. METHODS:
A total of 220 individuals (167 Males and 53 Females) confirmed to be healthy on
the basis of their physical examination and laboratory studies were recruited in
the study. Genotyping of CYP2C19*2 and *3 polymorphisms was performed by a
Polymerase chain reaction-Restriction fragment length polymorphism method.
RESULTS: The genotypic results of both CYP2C19*2 and CYP2C19*3 are considered to
establish the frequency of poor metabolisers related to monooxygenase mediated
drug metabolism. Individuals who were homozygous mutants for both m1 (m1/m1) and
m2 (m2/m2) and heterozygous for both m1 and m2 (ml/m2) mutations were categorized
as poor metabolisers. In the present study the frequency of Homozygous Extensive
Metabolizers (HomoEM), Heterozygous Extensive Metabolizers (HetEM) and Poor
Metabolizers (PM) are 32.2% (n = 71), 52.8% (n = 116) and 15.0% (n = 33)
respectively. CONCLUSION: Since inter individual genetic variations play crucial
role in variety of drugs, the identification of intermediate and poor drug
metabolizers based on CYP2C19 polymorphism can be a basis for the standardization
of personalized therapy.
PMID- 21887905
TI - Sublingual piroxicam in migraine without aura.
AB - OBJECTIVE: The aim of the present study was to compare the analgesic efficacy of
a single dose of sublingual piroxicam to that of a placebo during acute attacks
of migraine without aura. METHODS: The drug (N = 30) or a placebo (N = 30) was
administered, on randomisation and double-blind basis, to 60 patients between 18
and 50 years of age suffering from migraine without aura. The patients were
instructed to take a single tablet sublingually [corresponding to piroxicam 40 mg
or placebo] and the severity of the painful symptomatology and associated
symptoms were evaluated by this study. RESULTS: The patients treated with
sublingual piroxicam showed a significant (P < 0.05) decrease in pain intensity
15 minutes after ingestion; they went on to show a further reduction in the 24
hours after drug administration. On the contrary, the group treated with placebo
showed a significant reduction of symptoms only after seven hours of observation.
Associated symptoms disappeared significantly (P < 0.05) after sublingual
piroxicam administration. In 83.3%, the drug resulted in excellent to good
response as compared to only 10% in the placebo group. No local and systemic side
effects were reported with sublingual piroxicam. CONCLUSIONS: The present study
has demonstrated that for the acute management of migraine without aura
sublingual piroxicam showed significant analgesic effect with excellent
tolerability.
PMID- 21887906
TI - Retrospective analysis of necropsy findings in patients of H1N1 and their
correlation to clinical features.
AB - India reported its first case of H1N1 in July 2009 in Pune and since then, the
number of reported cases and deaths exploded in India. Since very little data is
available about histopathological findings in patients of H1N1 fatal cases in
India, a retrospective chart analysis of necropsy findings of 15 cases of 2009
H1N1 fatal cases was performed. Common clinical features were fever, cough, and
breathlessness followed by sore throat and rhinorrhea. Common lung findings were
mononuclear cell infiltration, thick alveolar septae, intraalveolar hemorrhage.
The other findings were congested pulmonary blood vessels, pulmonary edema,
cytomegaly, fibrin accumulation and formation of eosinophilic membrane. These
findings are suggestive of diffuse alveolar damage (DAD) and DAD with hemorrhage.
All patients who underwent necropsy had radiographic findings suggestive of
unilobar or multilobar pneumonia. This clinical finding can be correlated
pathologically in these patients as all of them had either polymorphonuclear or
mononuclear infiltrate. Furthermore, necrotizing pneumonitis pattern seen on
these patients is the likely cause of mortality in these patients. Although
clinical ARDS pattern was noted in all these patients, it was well correlated in
lung pathology in all these cases.
PMID- 21887907
TI - Study of patient characteristics/profile and factors determining the (immediate)
outcome in spontaneous subarachnoid hemorrhage.
AB - AIMS AND OBJECTIVES: The aims of the study were to delineate the risk factors,
type and location of underlying pathology, outcome and the determinants of
outcome in patients with spontaneous subarachnoid hemorrhage. MATERIAL AND
METHODS: Forty consecutive patients with spontaneous subarachnoid hemorrhage on
neuroimaging scan were recruited over a period of 1 year. Risk factors profile,
site and type of pathology seen on angiography were assessed in all patients.
Patient outcome at the end of hospital stay was assessed using the Glasgow
Outcome Scale (GOS) and was analysed with respect to demographic factors,
premorbid risk factors, initial WFNS scoring, neuroimaging findings and
complications during in-hospital stay. RESULTS: Of the total 40 patients in the
study, 43% were males and 57% were females. The mean age in study group was 49.63
yrs (SD 13.12). Fifty percent patients were hypertensive, 22.5% were smokers and
alcohol intake was reported by 17.5%. Saccular aneurysms were seen in 80%
patients and arteriovenous malformations in 7.5%. Aneurysms were more common in
the anterior circulation than in the posterior circulation. Poor outcome was
associated with higher age, hyponatremia, higher World Federation of
Neurosurgeons (WFNS) grade on admission, presence of vasospasm on angiography,
fever any time during the course in hospital and requirement of ventilatory
support. CONCLUSION: Gender, site of aneurysmal bleeding (anterior/posterior
circulation) and procedure performed (coiling/clipping) do not influence the
immediate outcome of patients with subarachnoid hemorrhage. Further studies on
Indian subset of patients are necessary to determine the patient characteristics
and factors influencing the long-term outcome in spontaneous subarachnoid
hemorrhage.
PMID- 21887908
TI - Organ donation: victory after death.
AB - Despite the Transplantation of Human Organ Act passed in Indian Parliament in
1994, cadaver liver and/or kidney transplant are infrequently performed (in a few
private hospitals) in our country compared to living donor liver or kidney
transplant. The need for performing more cadaver liver and/or kidney transplants
in private and public hospitals is obvious. Immediate measures which should be
taken to facilitate more cadaver organ transplant both in private and public
hospitals are suggested. Organ donation is for an individual or a family an
invaluable opportunity, to register victory after death.
PMID- 21887909
TI - LA ball thrombus and peripheral embolisation.
PMID- 21887910
TI - Striae--an unusual cause.
PMID- 21887911
TI - Bisphosphonate-induced osteonecrosis of the jaw.
AB - Bisphosphonates are routinely used in the prevention and treatment of
osteoporosis. Osteonecrosis of the jaw is known to be an important but rare
complication of bisphosphonate usage. We present our experience with two patients
of osteonecrosis of the jaw due to bisphosphonate usage.
PMID- 21887912
TI - Lymphoplasmacytic lymphoma and IgA nephropathy in a patient with hepatitis C
infection.
AB - Hepatitis C virus (HCV) infection is known to be associated with
lymphoplasmacytic lymphoma. Reports are available of IgA nephropathy along with
HCV infection. We are reporting the case of a 44 years old male who was diagnosed
to have Hepatitis C infection with lymphoplasmacytic lymphoma and IgA
nephropathy.
PMID- 21887913
TI - Acute myocardial injury and rhabdomyolysis caused by multiple bee stings.
AB - Massive envenomation by honey bees is capable of causing multiorgan dysfunction
as a result of direct toxic effect of massive envenomation and secondary to
systemic anaphylactic reactions. Acute myocardial ischemia due to bee
envenomation is a rare event. We report the case of a 65 year old lady who
presented with acute myocardial ischemia, severe rhabdomyolysis and angioedema
following massive bee envenomation.
PMID- 21887914
TI - Lupus nephritis associated with CD20+ B-cell NHL.
AB - The combination of NHL and documented malignancy-associated glomerulonephritis is
uncommon. Also, no single renal pathological entity is consistently found in
patients with NHL. Epstein-Barr virus (EBV) infection may manifest as systemic
lupus erythematosus (SLE) and/or diffuse large cell lymphoma (DLBCL) in a
genetically/ immunologically susceptible individual with defective cytotoxic T
cell response against EBV. We describe lupus nephritis in a 45 years old male
suffering from untreated NHL. CD20+ DLBCL was demonstrated by
immunohistochemistry of the neck lymph node (LN) biopsy performed for generalized
lymphadenopathy. Renal biopsy revealed class V + IV lupus nephritis. Serology
demonstrated EBV infection. Complete clinical remission of both SLE and DLBCL was
achieved post-therapy with six-cycle rituximab, cyclophosphamide, vincristin,
adriablastin, methylprednisolone (R-CHOP) regime. This case report demonstrated
the complex relationships between NHL, SLE, EBV and membranous
glomerulonephritis. The presented case is remarkable not only because of the rare
association of SLE and DLBCL, but also because of its successful treatment with R
CHOP.
PMID- 21887915
TI - Tubercular liver abscess in immuno-competent patients.
AB - We report two cases, the first one a 35 years old male, chronic alcoholic, and
the other, a 15 years old boy, who presented to us with fever, Rt. hypochondrial
pain, with USG-Abdomen revealing multiple liver abscesses in the first, and a
single large abscess in the second. Both patients showed leucocytosis and, mildly
deranged Liver Function Tests. Aspirated pus was sterile, and the patients did
not show any clinical improvement despite broad spectrum antibiotics and
amoebicides. Re-aspiration of pus after two weeks revealed the presence of
Mycobacterium tuberculosis and both patients showed a dramatic improvement
clinically after starting Anti-tubercular treatment. These cases are being
reported because of the rarity of tubercular liver abscesses, and the importance
of suspecting mycobacterial infection in patients of liver abscess not responding
to conventional treatment.
PMID- 21887916
TI - Acute mixed cellular and humoral rejection of renal allograft with leucopenia.
AB - Diagnosis and management of acute renal allograft dysfunction often pose
challenge to nephrologists during practice. Acute rejection is a major cause of
acute graft dysfunction but is rare in patients with leucopenia. Acute rejection
can have either humoral or cellular components or sometimes mixed components.
Mixed acute cellular and humoral rejection often present as steroid resistant
rejection. Here we report a patient with live related renal transplant recipient
with acute graft dysfunction with leucopenia who was found to have mixed acute
cellular and humoral rejection.
PMID- 21887917
TI - Isolated lingual dystonia : responding to anticholinergic treatment.
AB - We report a 42 year-old woman with 6 month history of isolated lingual dystonia
induced during speaking and was treated with anticholinergic drug. She
experienced a marked improvement in her abnormal tongue movements. Primary
lingual dystonia is a rare presentation.
PMID- 21887918
TI - Medical philately. Discovery of immunoglobulins (antibodies).
PMID- 21887919
TI - Anthropometric changes in patients receiving highly active antiretroviral therapy
(ART).
PMID- 21887920
TI - [Advances in hematopathology].
PMID- 21887921
TI - [Good ideas like good wine need time to mature].
PMID- 21887922
TI - [Diagnostics in hematopathology. Preface].
PMID- 21887923
TI - [Histological diagnosis of Ph-negative myeloproliferative neoplasia. An
overview].
AB - A histological picture in pretreatment bone marrow trephine biopsy is an
essential part of Ph-negative myeloproliferative neoplasm diagnosis according to
WHO classification. Polycythaemia vera is histologically defined as a
hypercellular trilinear myeloproliferation. Hypercellular haematopoiesis with
granulocytic and megakaryocytic proliferation is typical for primary
myelofibrosis. In essential thrombocythaemia the haematopoiesis is normocellular
with proliferation of megakaryocytes only. The most important differential
diagnostic features are morphology and distribution of megakaryocytes, and
presence of fibrosis. In primary myelofibrosis there are typically ,dysplastic"
megakaryocytes forming tight (dense) clusters, and variable extent of fibrosis,
while mature megakaryocytes forming loose clusters and no fibrosis are found in
essential thrombocythaemia. In reactive thrombocytosis and erythrocytosis the
number of normally appearing megakaryocytes is not increased and they are not
forming clusters. Prodromal (latent) phases of myeloproliferative neoplasms often
unrecognized by recent WHO classification criteria are discussed as well as a
differential diagnosis of myeloproliferative disorders associated with
thrombocytosis.
PMID- 21887924
TI - [Malignant lymphomas, or what do clinicians expect from pathologists?].
AB - Although the diagnosis and treatment of malignant lymphomas achieved considerable
progress, their expansion was more or less independent. Therefore, for quick,
fast and clinical relevant diagnostic process is necessary that clinical
physicians and pathologists work closely together. Clinicians have to give
pathologists key informations, but pathologists have to be ready to ask for them
when needed. This article gives some examples which illustrate these principles.
PMID- 21887925
TI - [Importance of cyclin D1 (and CD5) detection in the diagnosis of malignant
lymphomas other than mantle cell lymphoma].
AB - In association to our undestanding of the pathogenesis and biopsy diagnosis of
mantle cell lymphoma using immunohistochemical detection of cyclin D1 expression
and/or FISH detection of t(11;14)(q13;q32) all the lymphomas interfering with
these factors are discussed in a form of a review. This includes a cyclin D1
negative mantle cell lymphoma, as well as other than MCL lymphomas showing
positive intranuclear cyclin D1 positivity due to the changes either at
transcriptional or postranscriptional levels. In addition to the MCL, the cyclin
D1 positivity might be detected in the cells of hairy cell leukemia, plasmocytic
lymphoma and diffuse large B-cell lymphoma. In the first two lymphomas the
differential diagnostic problems usually do not arise (with exception of G3
plasmacytoma) and cyclin D1 expression might be of interess to understand better
their biology, or to represent a prognostically significant factor. In contrast,
cyclin D1 positivity in diffuse large B-cell lymphomas demonstrates the possible
role of cyclins in the pathogenesis of this lymphoma and may lead to the problems
of the differential diagnosis of aggressive variant of pleomorphic MCL
(especially when occuring with CD5 positivity coexpression ). The review includes
discussion related to the significance of cyclin D1 positivity and to the
approach in the immunohistochemical and FISH analysis of the biopsy material.
PMID- 21887926
TI - Quantitative molecular analysis in mantle cell lymphoma.
AB - A molecular analysis has three major roles in modern oncopathology--as an aid in
the differential diagnosis, in molecular monitoring of diseases, and in
estimation of the potential prognosis. In this report we review the application
of the molecular analysis in a group of patients with mantle cell lymphoma (MCL).
We demonstrate that detection of the cyclin D1 mRNA level is a molecular marker
in 98% of patients with MCL. Cyclin D1 quantitative monitoring is specific and
sensitive for the differential diagnosis and for the molecular monitoring of the
disease in the bone marrow. Moreover, the dynamics of cyclin D1 in bone marrow
reflects the disease development and it predicts the clinical course. We employed
the molecular analysis for a precise quantitative detection of proliferation
markers, Ki-67, topoisomerase IIalpha, and TPX2, that are described as effective
prognostic factors. Using the molecular approach it is possible to measure the
proliferation rate in a reproducible, standard way which is an essential
prerequisite for using the proliferation activity as a routine clinical tool.
Comparing with immunophenotyping we may conclude that the quantitative PCR-based
analysis is a useful, reliable, rapid, reproducible, sensitive and specific
method broadening our diagnostic tools in hematopathology. In comparison to
interphase FISH in paraffin sections quantitative PCR is less technically
demanding and less time-consuming and furthermore it is more sensitive in
detecting small changes in the mRNA level. Moreover, quantitative PCR is the only
technology which provides precise and reproducible quantitative information about
the expression level. Therefore it may be used to demonstrate the decrease or
increase of a tumor-specific marker in bone marrow in comparison with a
previously aspirated specimen. Thus, it has a powerful potential to monitor the
course of the disease in correlation with clinical data.
PMID- 21887927
TI - Burkitt lymphoma (BL): reclassification of 39 lymphomas diagnosed as BL or
Burkitt-like lymphoma in the past based on immunohistochemistry and fluorescence
in situ hybridization.
AB - Burkitt lymphoma (BL) is a well characterized entity. For atypical findings a
term Burkitt-like lymphoma (B-LL) was applied in the past, but the interpretation
of the morphological appearances was subjective and poorly reproducible. We used
a combined approach (morphology using classical histological staining;
immunohistochemistry-IHC; fluorescence in situ hybridization-FISH on interphase
nuclei; cytogenetics) to perform a retrospective study on 39 patients diagnosed
as BL and B-LL at our department in the years 1982 to 2002. By FISH we
demonstrated t(8;14)(q24;q32) in 31 patients; in further two we found a break at
8q24, suggestive of a variant translocation. In three patients with the
cytogenetic investigation available we confirmed the findings of FISH--two
lymphomas had the t(8;14)(q24;q32), one had t(2;8)(p12;q24). IHC showed CD20,
CD10, BCL-6, p53 expression, and Ki-67 antigen in > 95% of the tumor cell
population in a majority of the patients. There was a group of 4 patients in whom
the t(8;14)(q24;q32) or a break at 8q24 were not found (FISH). These cases were
reclassified within the WHO defined grey zone subgroup of B-cell lymphoma
unclassifiable with features intermediate between diffuse large cell lymphoma
(DLBCL) and Burkitt lymphoma--I-DLBCL/BL. Two further cases were reclassified as
DLBCL based on a combined IHC and FISH findings. A lymphoma of one of these
patients had breaks at 3q27 (BCL6) and at 14q32 (IGH) suggestive of
t(3;14)(q27;q32). The overall survival estimate of 33 patients with the diagnosis
of BL was 54%. Most of deaths occurred within 6 months after the tumor diagnosis.
The unfavorable clinical outcome appears to be associated with a strong
expression of the p53 protein in the tumor cell population. Individually utilized
methods in the diagnosis of BL may lead to false diagnostic conclusions. A
combined approach helps to establish a more reliable diagnosis of BL and to
separate grey zone lymphomas I-DLBCL/BL and DLBCL with morphological mimics of BL
to start adequate treatment. I-DLBCL/BL is a non-homogenous group of lymphomas
necessitating further analysis in a prospective study.
PMID- 21887928
TI - [Our experience with detection of JAK2 mutations in paraffin-embedded trephine
bone marrow biopsies of patients with chronic myeloproliferative disorders].
AB - Polycythemia vera (PV), essential thrombocythemia (ET) and primary myelofibrosis
(PMF) are Philadelphia chromosome-negative myeloproliferative neoplasms (MPN)
characterized by JAK2 mutation. The exon 14 V617F mutation is present in almost
all patients with PV and in approx. 60% of patients with ET and PMF. The
importance of JAK2V617F in the differential diagnostic considerations is still
unclear and here the BM morphology examination still represents an important
diagnostic tool. In the WHO classification of Ph1-negative MPNs, the
identification of JAK2 mutations represents a major diagnostic criterion of these
diseases. Therefore we decided to implement the examination of JAK2V617F mutation
in formalin-fixed paraffin-embedded biopsy specimens of patients with Ph1
negative MPN using allele-specific PCR. In addition, in all JAK2 V617F negative
patients with PV we sequenced the whole JAK2 exon 12. Until now we examined up to
200 patients with clinically confirmed MPN and our results in all three
categories PV, ET and PMF are in agreement with earlier published data. Paraffin
embedded tissues represent a valuable source of DNA which can be used in the
diagnostics of both JAK2 exon 12 and exon 14 mutations. It is of particular
importance if the fresh material is not available and there is a clinical and/or
research utility for the performance of PCR on archival bone marrow samples with
PV, ET or PMF suspicion.
PMID- 21887929
TI - Coincidence of chronic lymphocytic leukaemia with Merkel cell carcinoma: deletion
of the RB1 gene in both tumors.
AB - The authors report a case of a 64-year-old man with chronic lymphocytic leukaemia
(CLL) diagnosed 5 years ago. Recently, the patient was admitted with a tumour of
the skin in the left lumbar region. Histological and immunohistochemical
examinations established the diagnosis of Merkel cell carcinoma (MCC). Electron
microscopic examination revealed the formation of spherical aggregates of
intermediate-sized filaments in the perinuclear region. The coincidence of MCC
and CLl is rather rare and in published cases, no cytogenetic examinations were
performed. We examined the RB1 gene using the interphase FISH method. A biallelic
deletion in CLL tumour cells was detected; in MCC tumour cells, biallelic
deletion was found in 33% of the cells and monoallelic deletion in 57% of the
cells. In addition, chromosome 6 trisomy and 1p36 deletion were detected.
Examination of non-neoplastic cells of the patient's skin showed a biallelic
presence of the RB1 gene. According to the relevant literature, examination of
the RB1 gene in CLL has informational value as a prognostic factor. The
relationship between deletion of the RB1 gene and prognosis in MCC has not yet
been determined and needs more research.
PMID- 21887930
TI - [What is your diagnosis? 1. Lymphadenopathy in sarcoidosis. 2. Toxoplasmic
lymphadenitis (Piringer-Kuchinkov). 3. Reactive (paraneoplastic) granulomatous
lymphadenopathy. 4. Granulomatous cat-scratch lymphadenitis].
PMID- 21887931
TI - Uterine leiomyoma with amianthoid-like fibers.
AB - A rare case of a gynecologic type leiomyoma with amianthoid-like fibers is
presented. The 6 cm tumor was found in the uterus of a 46-year-old woman.
Histologically, it contained a cellular spindle cell population with numerous
eosinophilic amianthoid-like fibers. The morphology closely resembled that of
palisaded "amianthoid" myofibroblastoma. Immunohistochemically, the lesion showed
a smooth muscle phenotype with expression of h-caldesmon, desmin, alpha smooth
muscle actin, and with negativity for CD10 and the S100 protein. The finding of
amianthoid-like fibers expands the morphologic spectrum of leiomyomas. It
represents one of the overlapping features between leiomyoma and palisaded
myofibroblastoma.
PMID- 21887932
TI - Glomus tumor of the stomach: a case report and review of the literature.
AB - Glomus tumor is a benign soft tissue neoplasm which commonly affects the
subungual region of the fingers. But the tumors can also arise in the other sites
such as the antrum of the stomach. We are reporting a case of a glomus tumor of
the stomach in a 71-year-old female patient who presented with dyspepsia. The
tumor was confined to the lamina muscularis propria, it consisted of round cells
with small uniform nuclei, which surrounded thin walled blood vessels.
Immunohistochemistry revealed the tumor to be positive for smooth muscle actin,
vimentin, calponin, h-caldesmon and negative for c-KIT, S-100, CD34, CD99,
synaptophysin, chromogranin, desmin and EMA. The proliferation marker Ki-67 was
positive in less than 5% of tumor cell nuclei. Glomus tumors are usually benign
but malignant cases have been published. Criteria for the malignant potential of
gastric glomus tumors remain poorly defined.
PMID- 21887933
TI - Mucosal changes after a polyethylene glycol bowel preparation for colonoscopy are
less than those after sodium phosphate.
PMID- 21887934
TI - A perspective on organizational well-being.
PMID- 21887935
TI - Demystifying and improving organizational culture in health-care.
AB - Organizational culture is defined as the shared values and beliefs that guide
behavior within each organization, and it matters because it is related to
performance. While culture is generally considered important, it is mysterious
and intangible to most leaders. The first step toward understanding
organizational culture is to measure it properly. This chapter describes methods
for measuring culture in health-care organizations and how these methods were
implemented in a large academic medical center. Because of the consistent
empirical link between the dimension of communication, other culture dimensions,
and employee satisfaction, special attention is focused in this area.
Specifically, a case study of successful communication behaviors during a major
"change management" initiative at a large academic medical center is described.
In summary, the purpose of this chapter is to demystify the concept of culture
and demonstrate how to improve it.
PMID- 21887936
TI - The organization's mission statement: give up hope or resuscitate? A search for
evidence-based recommendations.
AB - The increasing complexity and dynamicity of their environment compels health-care
managers to search relentlessly for effective management instruments. One
strategic tool that both academics and practitioners have deemed critical to the
success of any health-care organization is the development of a meaningful
mission statement. However, despite the seemingly omnipresence of the concept,
studies indicate that creating an effective mission statement seems to be
extremely difficult, if not downright frustrating for a lot of health-care
managers. This inability to create an effective mission statement roots for the
greater part in the fact that the previous literature has provided little
practical guidance on how health-care administrators should formulate and deploy
mission statements. Given the increasing pressure on health-care organizations to
develop an effective mission statement, this chapter (1) provides a detailed
analysis of the mission statement concept based on a thorough literature analysis
and (b) offers empirically based recommendations on how to successfully formulate
and implement a mission statement within a health-care organization based on a
systematic analysis of relevant empirical research. These analyses and the
derived evidence-based recommendations will help health-care managers to revive
their mission statement and make it more than a piece of paper.
PMID- 21887937
TI - Enhancing the cultural competency of health-care organizations.
AB - According to the Census, racial/ethnic minority populations are growing at such a
fast rate that by 2050 more than 50% of the population will belong to a minority
group (US Census, 2001). The increasing diversity of the U.S. population is one
of the many changes that health-care delivery organizations need to proactively
address in order to better serve their community and improve their performance.
In this paper, we argue that cultural competency not only is important from a
societal perspective, i.e., reducing health disparities, but can also be a
strategy for health-care organizations to improve quality, lower cost, and
attract customers. We provide detailed recommendations for health-care leaders
and managers to adopt in order to successfully serve a diverse patient
population.
PMID- 21887938
TI - The role of mission, organizational culture, and cultural competency in
furthering the development of health-care organizations: a commentary.
PMID- 21887939
TI - Employee engagement: a prescription for organizational transformation.
AB - Ivanitskaya, Glazer, and Erofeev (2009) suggest that "the most fundamental
element of any organization that helps the organization to survive is the
individual person" (p. 109). It is the motivation of human capital that makes a
health-care organization come to life. Health-care is a unique industry; its
accomplishments are directly dependent upon the competencies and technical skills
of its employees. "When people in the workplace fulfill their organizational
roles, then the organization thrives" (Ivanitskaya et al., 2009, p. 110). Health
care systems will require organizations that thrive and exhibit characteristics
of continuous growth, expressing excessive levels of energy and an immense
capacity for flourishing. Anticipating the challenges of the next decade, health
care organizations must achieve a higher degree of employee engagement to enhance
organizational performance and profitability. The data analyzed for this chapter
indicate that employees who are engaged are more enthusiastic and aspired to
achieve both individual and organizational success. The chapter concludes by
suggesting five operating practices to establish an employee engagement culture-
defining the employee's role in fulfilling the organization's purpose, selecting
employees with capability and passion, supporting and valuing the employee,
creating sustainable reward systems, and developing feedback and reinforcement
mechanisms.
PMID- 21887940
TI - The relationship between the survey-guided assessment of culture within specific
nursing work groups and readiness for change.
AB - Change within health-care systems is constant as it relates to the external and
internal demands that require continual adaptation by providers. This chapter
provides a summary of the history and research contributions related to the study
of culture and change through the lens of the nursing profession. The review
focuses upon nursing research publications and the knowledge gained, ranging from
the earliest to current studies. There has been a substantial increase in
research interest regarding the relationship between nursing culture and ability
to change; however, there is a considerable gap that remains in understanding
subgroups such as individual nursing units or departments, consistent use of
tools to measure culture, and interventions that have made a difference over
time. From a practical perspective, this discussion provides insight into the
importance of recognizing the importance of assessing culture and integrating
cultural feedback into operational improvement plans.
PMID- 21887941
TI - Achieving organizational change in health-care through people and culture: a
commentary.
PMID- 21887942
TI - The four C's of leadership development.
AB - One of the top domestic issues of concern to Americans is access to high-quality
and affordable health-care, and there is a growing concern about how institutions
struggling to survive within this trillion-dollar industry will increase their
effectiveness in the future. This chapter outlines a process of leadership
development using an action learning approach in one Midwestern health-care
system over a period of three years. The process addresses both the development
of the individual leader as well as the collective leadership capacity in an
effort to sustain organizational learning and effectiveness over time. A model is
presented that covers four phases or Four C's of development, which includes
movement from individual Competency development, to the development of social
capital through the enhancement of Connections and Creation of shared
understanding, ultimately expanding Capacity for change within the organization.
We also address other factors that must be taken into consideration that will
either enhance or impede the concentric movement such as culture, sponsor
support, and alignment of systems and structures.
PMID- 21887943
TI - Leadership and organization development in health-care: lessons from the
Cleveland Clinic.
AB - Leaders in health-care today are faced with a wide array of complex issues. This
chapter describes an innovative physician leadership development program at the
Cleveland Clinic intended to enhance the leadership capacities of individuals and
the organization. Propositions regarding the program's impact on organizational
innovation, organizational commitment, social capital, and the human element of
physician practice are offered for future examination.
PMID- 21887944
TI - The evolving leadership development agenda in health-care: a commentary.
PMID- 21887945
TI - Addressing dysfunctional relations among healthcare teams: improving team
cooperation through applied organizational theories.
AB - Previous research has demonstrated that communication failure and interpersonal
conflicts are significant impediments among health-care teams to assess complex
information and engage in the meaningful collaboration necessary for optimizing
patient care. Despite the prolific research on the role of effective teamwork in
accomplishing complex tasks, such findings have been traditionally applied to
business organizations and not medical contexts. This chapter, therefore, reviews
and applies four theories from the fields of organizational behavior (OB) and
organization development (OD) as potential means for improving team interaction
in health-care contexts. This study is unique in its approach as it addresses the
long-standing problems that exist in team communication and cooperation in health
care teams by applying well-established theories from the organizational
literature. The utilization and application of the theoretical constructs
discussed in this work offer valuable means by which the efficacy of team work
can be greatly improved in health-care organizations.
PMID- 21887946
TI - Characteristics of positive working relationships between nursing and support
service employees.
AB - Developing greater synergies will become increasingly necessary as the pressure
on the health-care industry continues to increase. This research looks at the
required characteristics to create positive working relationships with clinical
staff in a health-care organization from the perspective of nonclinical staff.
Ten different U.S. hospitals participated in this qualitative study with over 200
individual participants. A recipe for successful relationship building from a
nonclinical perspective is included.
PMID- 21887947
TI - The impact of supervisor-subordinate relationships on nurses' ability to solve
workplace problems: implications for their commitment to the organization.
AB - This chapter uses the structural and relational dimension of social capital
theory (SCT) as a lens for examining the impact of the supervisor-subordinate
relationship on nurses' perceptions of the usefulness of their workplace
networks, sociability, and affective commitment. A survey was used to collect
data from 1,064 Australian nurses. The findings suggest that nurses rely on very
small workplace networks (typically only one other person) with which they have
strong ties. Further, in over half of the cases, the supervisor (the Nurse Unit
Manager (NUM)) holds the centric position. Moreover, for those nurses who did not
include the NUM in their workplace network, their position appears even worse.
For example, the usual reason given by nurses for not including the NUM was that
the NUM was unavailable. This is a concern for health-care management because the
past two decades have delivered many changes to the nursing profession, including
a reduction in the number of nursing positions and subsequent higher workloads.
The consequences suggest that without effective workplace networks, nurses are
working under conditions where solving problems is more difficult.
PMID- 21887948
TI - Systems approach to address incivility and disruptive behaviors in health-care
organizations.
AB - In response to the growing evidence that disruptive behaviors within health-care
teams constitute a major threat to the quality of care, the Joint Commission on
Accreditation of Healthcare Organization (JCAHO; Joint Commission Resources,
2008) has a new leadership standard that addresses disruptive and inappropriate
behaviors effective January 1, 2009. For professionals who work in human
resources and organization development, these standards represent a clarion call
to design and implement evidence-based interventions to create health-care
communities of respectful engagement that have zero tolerance for disruptive,
uncivil, and intimidating behaviors by any professional. In this chapter, we will
build an evidence-based argument that sustainable change must include
organizational, team, and individual strategies across all professionals in the
organization. We will then describe an intervention model--Toxic Organization
Change System--that has emerged from our own research on toxic behaviors in the
workplace (Kusy & Holloway, 2009) and provide examples of specific strategies
that we have used to prevent and ameliorate toxic cultures.
PMID- 21887949
TI - Condition critical: intervening in health-care teams and working relationships: a
commentary.
PMID- 21887950
TI - IT and organization: a delicate partnership.
AB - Information technology (IT) is an important enabler of organization models (OM)
and of innovative strategies, as it fosters information integration and
streamlines information flows. Two case studies offer evidence about the
strategic use of IT innovation (i.e., digital signature) to foster successful OM
and partnerships in health-care, while results from a survey and some case
studies show how institutional reforms can foster the diffusion of mature
technologies (i.e., ERP) as an adaptive strategy of health-care organizations.
Leadership and clear vision lead to consistency between OM and technology and
foster the exploitation full benefits associated with innovation.
PMID- 21887951
TI - Technological iatrogenesis: the manifestation of inadequate organizational
planning and the integration of health information technology.
AB - The Institute of Medicine (IOM) views Health Information Technology (HIT) as an
essential organizational prerequisite for the delivery of safe, reliable, and
cost-effective health services. However, HIT presents the proverbial double-edged
sword in generating solutions to improve system performance while facilitating
the genesis of novel iatrogenic problems. Incongruent organizational processes
give rise to technological iatrogenesis or the unintended consequences to system
integrity and the resulting organizational outcomes potentiated by incongruent
organizational-technological interfaces. HIT is a disruptive innovation for
health services organizations but remains an overlooked organizational
development (OD) concern. Recognizing the technology-organizational misalignments
that result from HIT adoption is important for leaders seeking to eliminate
sources of system instability. The Health Information Technology Iatrogenesis
Model (HITIM) provides leaders with a conceptual framework from which to consider
HIT as an instrument for organizational development. Complexity and Diffusion of
Innovation theories support the framework that suggests each HIT adoption
functions as a technological change agent. As such, leaders need to provide
operational oversight to managers undertaking system change via HIT
implementation. Traditional risk management tools, such as Failure Mode Effect
Analysis and Root Cause Analysis, provide proactive pre- and post-implementation
appraisals to verify system stability and to enhance system reliability.
Reconsidering the use of these tools within the context of a new framework offers
leaders guidance when adopting HIT to achieve performance improvement and better
outcomes.
PMID- 21887952
TI - HIT management research and the tip of the iceberg: setting a research agenda--a
commentary.
PMID- 21887953
TI - E pluribus unum: using group model building with many interdependent
organizations to create integrated health-care networks.
AB - This chapter reports on an action research case study of integrated obstetric
care in the Netherlands. Efficient and patient-friendly patient flows through
integrated care networks are of major societal importance. How to design and
develop such interorganizational patient flows is still a nascent research area,
especially when dealing with a large number (n>3) of stakeholders. We have shown
that a modification of an existing method to support interorganizational
collaboration by system dynamics-based group model building (GMB) (the Renga
method, Akkermans, 2001) may be effective in achieving such collaboration.
PMID- 21887954
TI - Health-care organizations as "patients": transforming the fundamental od
paradigm.
AB - Hidden behind such frequently used phrases as "The system/policy requires...,"
"The organization has decided..." is one simple fact. Systems/policies don't drop
from the sky etched in stone tablets and organizations don't decide anything.
People make decisions and design systems and write policies. Embracing this fact
increases the likelihood that the provision of health-care emanates from a "care
dealership" in contrast to a "car dealership." Ignoring this fact leads to less
humane, less effective, and more costly health-care. This chapter will challenge
all of us concerned with caring for all of us--from Organizational Development
(OD). Practitioners to CEOs to ... to ... all of us at some point in our lives-
to step up to the need to transform our most basic paradigms. To remind ourselves
that human beings give birth to, nurture, sustain, and care for that which we
call an organization. In so doing, we will be able to begin to act from the
premise that a health-care organization is itself a living breathing human
organism, a "Patient" in need of care. The quality of care we afford this
"Patient" directly and inevitably impacts the quality of care we are afforded as
patients. Acting from this premise will transform all of health-care, all "care
dealerships" ... and potentially "car dealerships" as well. OD professionals,
therefore, can propel us all to a fourth dimension of caring for all of us.
PMID- 21887955
TI - Sustaining high performance: dynamic balancing in an otherwise unbalanced system.
AB - As Ovid said, "There is nothing in the whole world which is permanent." It is
this very premise that frames the discoveries in this chapter and the compelling
paradox it has raised. What began as a question of how performance is sustained,
unveiled a collection of core organizational paradoxes. The findings ultimately
suggest that sustained high performance is not a permanent state an organization
achieves, but rather it is through perpetual movement and dynamic balance that
sustainability occurs. The idea of sustainability as movement is predicated on
the ability of organizational members to move beyond the experience of paradox as
an impediment to progress. Through holding three critical "movements"-
agile/consistency, collective/individualism, and informative/inquiry--not as
paradoxical, but as active polarities, the organizations in the study were able
to transcend paradox, and take active steps to continuous achievement in
outperforming their peers. The study, focused on a collection of hospitals across
the Unites States, reveals powerful stories of care and service, of the profound
grace of human capacity, and of clear actions taken to create significant
results. All of this was achieved in an environment of great volatility, in
essence an unbalanced system. It was the discovery of movement and ultimately of
dynamic balancing that allowed the organizations to in this study to move beyond
stasis to the continuous "state" of sustaining high performance.
PMID- 21887956
TI - Learning to think systemically about health-care systems: a commentary.
PMID- 21887957
TI - Organization development in healthcare: conversations on research and strategies.
Concluding remarks.
PMID- 21887958
TI - Autobiographical memory development from an attachment perspective: the special
role of negative events.
AB - The authors propose a novel model of autobiographical memory development that
features the fundamental role of attachment orientations and negative life
events. In the model, it is proposed that early autobiographical memory derives
in part from the need to express and remember negative experiences, a need that
has adaptive value, and that attachment orientations create individual
differences in children's recollections of negative experiences. Specifically,
the role of attachment in the processing of negative information is discussed in
regard to the mnemonic stages of encoding, storage, and retrieval. This model
sheds light on several areas of contradictory data in the memory development
literature, such as concerning earliest memories and children's and adults'
memory/suggestibility for stressful events.
PMID- 21887959
TI - Links between attachment and social information processing: examination of
intergenerational processes.
AB - This chapter describes theory and research on intergenerational connections
between parents' attachment and children's social information processing, as well
as between parents' social information processing and children's attachment. The
chapter begins with a discussion of attachment theorists' early insights into the
role that social information processing plays in attachment processes. Next,
current theory about the mechanisms through which cross-generational links
between attachment and social information processing might emerge is presented.
The central proposition is that the quality of attachment and/or the social
information processing of the parent contributes to the quality of attachment
and/or social information processing in the child, and these links emerge through
mediating processes related to social learning, open communication, gate-keeping,
emotion regulation, and joint attention. A comprehensive review of the literature
is then presented. The chapter ends with the presentation of a current
theoretical perspective and suggestions for future empirical and clinical
endeavors.
PMID- 21887960
TI - The development of episodic foresight: emerging concepts and methods.
AB - Episodic foresight is here defined as the ability to project oneself into the
future and mentally simulate situations and outcomes. Tasks used to study the
development of episodic foresight in young children are reviewed and compared to
tasks used to study other future-oriented abilities (planning, delay of
gratification, and prospective memory) in the same age-group. We argue for the
importance of accounting for and minimizing the role of other cognitive demands
in research tasks. Because episodic foresight is an emerging ability in young
children, more research needs to be directed at the contexts in which it emerges
and the extent to which episodic foresight is part of a growing ability for
mental representation.
PMID- 21887961
TI - From little white lies to filthy liars: the evolution of honesty and deception in
young children.
AB - Though it is frequently condemned, lie-telling is a common and frequent activity
in interpersonal interactions, with apparent social risks and benefits. The
current review examines the development of deception among children. It is argued
that early lying is normative, reflecting children's emerging cognitive and
social development. Children lie to preserve self-interests as well as for the
benefit of others. With age, children learn about the social norms that promote
honesty while encouraging occasional prosocial lie-telling. Yet, lying can become
a problem behavior with frequent or inappropriate use over time. Chronic lie
telling of any sort risks social consequences, such as the loss of credibility
and damage to relationships. By middle childhood, chronic reliance on lying may
be related to poor development of conscience, weak self-regulatory control, and
antisocial behavior, and it could be indicative of maladjustment and put the
individual in conflict with the environment. The goal of the current chapter is
to capture the complexity of lying and build a preliminary understanding of how
children's social experiences with their environments, their own dispositions,
and their developing cognitive maturity interact, over time, to predict their
lying behavior and, for some, their chronic and problem lying. Implications for
fostering honesty in young children are discussed.
PMID- 21887962
TI - A model of moral identity: applications for education.
AB - The purpose of this chapter is to build an intellectual bridge between moral
psychology and education. Our hope is that the findings from moral psychology
will inform and explain best practices in moral education. With that end in mind,
we briefly and selectively review the moral education and character education
literature highlighting some of the challenges these domains have faced. Next, we
review the moral identity literature and offer our own model of moral identity
formation emphasizing the "characteristic adaptations" (i.e., moral orientation,
moral self, moral emotions, and social relationships and opportunities) of the
model. Finally, we illustrate and explain how some of these "characteristic
adaptations" have been or could be used in the development of successful moral
education programs, and provide specific examples for application of our model in
the domain of sex education.
PMID- 21887963
TI - Cultural patterns in children's learning through keen observation and
participation in their communities.
AB - This chapter examines children's learning through careful attention and
participation in the ongoing activities of their community. This form of
learning, which has been called learning through Intent Community Participation,
seems to be especially common in Mesoamerican Indigenous communities. In these
communities, children are integrated into the everyday work and lives of adults
and their learning may not be the central focus. We contrast this pattern with
that of middle-class European American communities where children are segregated
from the primary adult functions of the community. In middle-class communities
and schools, children are often encouraged to engage in abstract lessons where
their attention is explicitly directed to specific events. In contrast, learning
through keen attention and observation may rely on learning through attention to
instructions not specifically directed to the learner. Studies demonstrate
Mesoamerican Indigenous children's ability to learn through simultaneous and open
attention to overheard or observed activities. This form of learning is supported
through multiple modalities of communication and interaction. Motivation to learn
stems from the learner's inclusion into the major activities and goals of the
community. Implications of research and future directions for the study of
learning through keen observation are discussed.
PMID- 21887964
TI - Family relationships and children's stress responses.
AB - In this chapter, the theoretical and empirical associations between family
relationships and children's responses to stressors are reviewed. Family
relationships explored are primarily dyadic, representing the emphasis of past
empirical research; these include parent-parent, parent-child, and sibling
relationships. However, in recognition of the more complicated and interconnected
nature of family relationships, also reviewed are associations between the
broader family context and children's stress responses. Multiple measures of
stress responses are considered, including both physiological and emotional
responses to and recovery from stressful experiences. Overall, the studies
reviewed suggest that poor-quality family environments, including those
characterized by low emotional support or high conflict, are associated with
dysregulated stress responses throughout childhood and adolescence. In contrast,
children and adolescents in families with high emotional support or low conflict
seem to be protected from developing stress regulatory problems. Limitations in
this body of research as well as directions for future research are discussed.
PMID- 21887965
TI - Developmental perspectives on vulnerability to nonsuicidal self-injury in youth.
AB - Nonsuicidal self-injury (NSSI) is defined as intentionally causing bodily harm to
oneself without the intent to kill oneself. Recently, there has been an increase
in research aimed at understanding why individuals, especially youth and young
adults, engage in NSSI. This chapter explores the emergence and maintenance of
NSSI from a developmental perspective. Epidemiological research suggests that
rates of NSSI increase dramatically from early adolescence to young adulthood. No
study has investigated NSSI in youth younger than age 10. Current understanding
of how emotion and cognitions as well as interpersonal processes play a role in
the emergence and maintenance of NSSI is explored. Further, the role of biology
(e.g., neurological underpinnings, genetic associations, HPA-axis functioning) on
NSSI is explored. Throughout the chapter, particular limitations (e.g., sample
selection, measurement issues) in the extant corpus of knowledge are highlighted.
Finally, we consider future research directions that may inform developmentally
sensitive understanding of the proximal and distal risk factors that may affect
the emergence and maintenance of NSSI across the life span.
PMID- 21887967
TI - Monitoring, metacognition, and executive function: elucidating the role of self
reflection in the development of self-regulation.
AB - While an abundance of research has investigated the development of the automatic
and controlled processes through which individuals control their thoughts,
emotions, and actions, less research has emphasized the role of the self in self
regulation. This chapter synthesizes four literatures that have examined the
mechanisms through which the individual acts in a managerial role, evaluating the
current status of the system and initiating regulatory actions as necessary.
Taken together, these literatures (on executive function, error monitoring,
metacognition, and uncertainty monitoring) suggest that self-reflection plays a
critical role in self-regulation, and that developmental improvements in self
reflection (via increasing levels of conscious awareness and enhanced calibration
of monitoring systems) may serve as driving forces underlying developmental
improvement (and temperamental individual differences) in children's ability to
control their thoughts and actions.
PMID- 21887966
TI - More similarities than differences in contemporary theories of social
development?: a plea for theory bridging.
AB - Many contemporary theories of social development are similar and/or share
complementary constructs. Yet, there have been relatively few efforts toward
theoretical integration. The present chapter represents a call for increased
theory bridging. The problem of theoretical fragmentation in psychology is
reviewed. Seven highlighted reasons for this predicament include differences
between behavioral sciences and other sciences, theoretical paradigms as social
identities, the uniqueness assumption, information overload, field fixation,
linguistic fragmentation, and few incentives for theoretical integration.
Afterward, the feasibility of theoretical synthesis is considered. Finally, some
possible directions are proposed for theoretical integration among five
contemporary theories of social and gender development: social cognitive theory,
expectancy-value theory, cognitive-developmental theory, gender schema theory,
and self-categorization theory.
PMID- 21887968
TI - New HVs may fail to find work.
PMID- 21887969
TI - "Trust and culture change are essential to tackle bullying".
PMID- 21887970
TI - "Why did the chicken cross the road? To escape the cannibal".
PMID- 21887971
TI - "A plethora of job titles just serve to confuse our patients".
PMID- 21887972
TI - ECGs 1: how to carry out monitoring.
PMID- 21887973
TI - How to manage harmful drinking.
PMID- 21887974
TI - Measuring vital signs: an integrated teaching approach.
AB - In September 2010, nurse lecturers at the University of the West of Scotland
introduced a holistic, integrated teaching approach to engage students with
essential nursing skills. This article discusses how the integrated approach was
introduced and how it was used to teach students to measure vital signs. It also
provides an evaluation of the approach and recommendations for further
development.
PMID- 21887975
TI - Intentional rounding: its role in supporting essential care.
AB - The King's Fund Point of Care (POC) programme aims to identify and test
interventions that can improve patients' experiences of care. "Intentional
rounding" is one such intervention, which is being trialled by some of the teams
working with the King's Fund on the POC programme. This article explains the
principles of intentional rounding and how nurses can use it to ensure patients'
essential care needs are met.
PMID- 21887976
TI - Collaboration to transform care.
AB - Transforming Care is an improvement initiative introduced at Betsi Cadwaladr
University Health Board in October 2010. The health board collaborated with a
university to develop a degree module to support its implementation. This article
describes the delivery and evaluation of the module, and how Transforming Care is
helping to unite a newly formed organisation.
PMID- 21887977
TI - Care at the top.
PMID- 21887978
TI - Be seen as a leader--behave as one.
PMID- 21887979
TI - At least in Cook County we can talk to officials about access to care.
PMID- 21887980
TI - Examining HIPAA's disclosure exceptions.
AB - HIPAA was enacted to protect the rights and privacy of patients. However,
healthcare providers should be aware that there are disclosure exceptions without
first obtaining the patient's permission. These exceptions are well defined and
explained in the document referenced in this case study.
PMID- 21887981
TI - Preserve your profit.
PMID- 21887982
TI - Protect your practice before you sign an agreement with an independent
contractor.
PMID- 21887983
TI - Farewell, food pyramid.
PMID- 21887984
TI - What you should know about dental X-rays.
PMID- 21887985
TI - Will paying more produce better quality?
PMID- 21887987
TI - Therapeutic substitution. A change for the good--or the bad?
PMID- 21887988
TI - As ACOs grow, new payment models explored.
PMID- 21887986
TI - Has Medicare gone too far to control imaging costs?
PMID- 21887989
TI - Health plans seek leverage when physicians submit extremely high bills.
PMID- 21887990
TI - Will mental health's 'bible' make believers of insurers?
PMID- 21887991
TI - Health plans cannot ignore Express Scripts-Medco deal.
PMID- 21887992
TI - Nurses join the executive pool.
PMID- 21887993
TI - Outcomes and costs associated with initial maintenance therapy with fluticasone
propionate-salmeterol xinafoate 250 microg/50 microg combination versus
tiotropium in commercially insured patients with COPD.
AB - PURPOSE: To compare, in commercially-insured individuals 240 years old, the risk
of chronic obstructive pulmonary disease (COPD) exacerbations and COPD-related
health care utilization and costs in patients initiating maintenance treatment
with fluticasone propionate/salmeterol xinafoate 250 microg/50 microg (FSC) with
those in patients initiating treatment with tiotropium bromide (TIO). DESIGN:
Retrospective observational cohort study. METHODOLOGY: The risk of COPD
exacerbation (moderate, severe, and any), COPD-related health care utilization,
and COPD-related costs (overall and by service setting) were assessed over 12
months after the initiation of treatment with FSC or TIO in commercially-insured
patients > or =40 years old diagnosed with COPD. PRINCIPAL FINDINGS: After
adjusting for covariates, treatment with FSC compared with treatment with TIO was
associated with a 14% reduction in risk of severe exacerbation (p = 0.0406),
defined as the occurrence of a COPD-related hospitalization; with less health
care utilization across several categories of care; with 25% lower COPD-related
medical costs ($1814 versus $2258 per patient, p < 0.0001); and with 10% lower
COPD-related total costs ($2991 versus $3304 per patient, p < 0.0001) over a 12
month follow-up period. Pharmacy costs were equivalent between FSC and TIO.
CONCLUSION: Initiation of maintenance therapy with FSC compared with TIO was
associated with significant reductions in the risk of severe exacerbations,
health care utilization, and COPD-related medical and total costs. Considered in
the context of other findings, these data suggest that earlier maintenance
treatment with FSC offers clinical and economic benefits over maintenance
treatment with TIO.
PMID- 21887994
TI - Part D reduces hospitalizations for some conditions.
PMID- 21887996
TI - Employers look far and wide to reduce expenses.
PMID- 21887995
TI - Teaching the teachers means better doc visits?
PMID- 21887997
TI - Modeling initiation into drug injection among street youth.
AB - This study aimed at examining the predictors of initiation into drug injection
among street youth using social cognitive theory framework. A prospective cohort
study based on semi-annual interviews was carried out. Psychosocial determinants
referred to avoidance of initiation. Other potential predictors were:
sociodemographic characteristics, relationships with injectors, parent's
substance misuse, drug use patterns, homelessness, survival sex, sexual abuse.
Independent predictors were identified using Cox proportional hazards regression
models. Among the 352 participants, high control beliefs about avoidance of
initiation was protective while younger age, daily alcohol consumption, heroin
use, cocaine use, and survival sex all increased risk of initiation. Preventive
strategies targeting street youth should both enhance youth's control beliefs and
actual control over their substance use and improve their life conditions.
PMID- 21887998
TI - Tobacco prevention education in schools for the deaf: the faculty perspective.
AB - We report results of a survey of tobacco education practices and perspectives
among faculty at four Schools for the Deaf participating in the trial of a
tailored tobacco prevention curriculum. Few faculty (20.4%) included tobacco use
among the three most important health problems facing their students, although
88.8% considered tobacco education to be worthwhile. Despite perceived unmet
needs among their students, classroom or school-wide attention to tobacco
prevention was limited. Only 13.9% reported delivering tobacco programming in the
prior year, most often reporting lack of deaf-friendly curriculum and materials
(60.9%), time (47.8%), and training (43.5%) as barriers to program delivery.
Perceptions, attitudes, and institutional issues, including lack of tailored
curriculum, were seen as contributing to the limited focus on this important
health problem.
PMID- 21887999
TI - Neighborhood perceptions and parent outcomes in family based prevention programs
for Thai adolescents: the role of program engagement.
AB - Due to concerns over Thai adolescent risky behaviors, effective prevention
strategies are needed. Determining the role neighborhood context plays in program
engagement and outcomes may inform these strategies. This study includes 170
mother-adolescent pairs (M = 13.44, SD = .52) in Bangkok, Thailand in a
prevention program for adolescent substance use and sexual risk. Neighborhoods
were related to engagement, which was critical to outcomes. Neighborhood
disorganization was related to confidence in program effects and program
completion. Completion was related to increased ATOD communication. Neighborhood
cohesion was related to less program enjoyment, while neighborhood social control
was related to more enjoyment. Enjoyment was related to increased ATOD
communication and formation and monitoring of alcohol rules. Prevention
strategies should focus on neighborhood contexts and enhancing engagement.
PMID- 21888000
TI - Polysubstance use patterns in underground rave attenders: a cluster analysis.
AB - Drug use in mainstream rave parties has been widely documented in a large number
of studies. However, not much is known about drug use in underground raves. The
purpose of this study is to find out the polysubstance use patterns at
underground raves. Two hundred and fifty-two young people between the ages of 18
and 30 who went to underground raves were interviewed. They were given a
questionnaire to collect information on drug use at raves. Ravers used a mean of
4.9 different drugs at the last rave they had been to. Over 75% of them used
tobacco, alcohol, cannabis, and amphetamine, and over half also used powder
ecstasy. Two differentiated use patterns were found: one pattern concentrated
more on the use of stimulants and the other on the use of hallucinogens.
Underground ravers have a "standard" sociodemographic profile. The use of drugs
is much higher than equivalent age group. Higher drug use prevalence than in
mainstream rave parties is also observed. Different patterns of use appear which
will be necessary to consider in designing preventions and risk reduction
strategies,
PMID- 21888002
TI - Nursing inquiry to address pressing empirical and ethical questions.
PMID- 21888001
TI - Preferred drug resistance strategies of urban American Indian youth of the
southwest.
AB - This study explored the drug resistance strategies that urban American Indian
adolescents consider the best and worst ways to respond to offers of alcohol,
cigarettes, and marijuana. Focus group data were collected from 11 female and 9
male American Indian adolescents attending urban middle schools in the southwest.
The youth were presented with hypothetical substance offer scenarios and
alternative ways of responding, based on real-life narratives of similar youth.
They were asked to choose a preferred strategy, one that would work every time,
and a rejected strategy, one they would never use. Using eco-developmental
theory, patterns in the preferred and rejected strategies were analyzed to
identify culturally specific and socially competent ways of resisting substance
offers. The youth preferred strategies that included passive, non-verbal
strategies like pretending to use the substance, as well as assertive strategies
like destroying the substance. The strategies they rejected were mostly socially
non-competent ones like accepting the substance or responding angrily. Patterns
of preferred and rejected strategies varied depending on whether the offer came
from a family member or non-relative. These patterns have suggestive implications
for designing more effective prevention programs for the growing yet underserved
urban American Indian youth population.
PMID- 21888003
TI - Fostering social justice: the possibilities of a socially connected model of
moral agency.
PMID- 21888004
TI - Advance care planning: re-visioning our ethical approach.
AB - This qualitative study explored the applicability and usefulness of a promising
advance care planning (ACP) intervention and examined the ACP process. Nine dyads
(patients newly diagnosed with advanced lung cancer and a family member)
participated in the ACP intervention, with evaluative interviews at 3 and 6
months after the intervention. All interviews were recorded, transcribed
verbatim, and analyzed using constant comparison. The process was found not to be
one of preparing a substitute decision-maker to speak for oneself and direct
health care at a time when one is incapacitated; rather, the families engaged in
a deeply relational process where meaning, values, and preferences were
negotiated in conversation. ACP is theoretically rooted in a traditional notion
of patient autonomy that is not aligned with the relational process that unfolded
in this study. An approach that embraces relational autonomy is more congruent
and provides a stronger foundation for meeting the needs of families.
PMID- 21888005
TI - Remote nursing certified practice: viewing nursing and nurse practitioner
practice through a social justice lens.
AB - Remote Nursing Certified Practice (RNCP) was introduced in 2010 to regulate
nursing practice in remote, largely First Nations communities in British
Columbia, Canada. These are communities that often experience profound health and
health-care inequities. Typically nurses are the main health-care providers.
Using a critical social justice lens, the authors explore the clinical and
ethical implications of RNCP in terms of access to equitable, high-quality
primary health care.They examine the fit between the level and scope of health
services provided by registered nurses working under RNCP and the health needs of
remote First Nations communities. In doing so, they draw comparisons between
nurse practitioners (NPs) and outpost nurses working in NP roles who historically
were employed to provide health care in these communities.The authors conclude by
calling for nursing regulations that support equitable, high-quality primary care
for all British Columbians.
PMID- 21888006
TI - International research collaboration as social relation: an Ethiopian-Canadian
example.
AB - International collaboration in nursing and other health disciplines is vital for
addressing global health issues. While the results and processes of such
collaborations have been reported, few publications have addressed their
philosophical or theoretical underpinnings, particularly with respect to
collaboration between those in low- and high-income countries. Piaget's notion of
social relations of cooperation and constraint and Habermas's notion of
"lifeworld" provide a theoretical lens through which to examine international
collaboration as a construction of knowledge. This article is an exploration of
these ideas as seen in the collective experience of Canadians and Ethiopians
organizing an interdisciplinary forum on intimate partner violence in Ethiopia.
The project is presented as a case study for reflecting on international
collaboration as a manifestation of social relations. Such re-visioning of
international collaboration may be useful for improving collaborative processes
and their outcomes.
PMID- 21888007
TI - Patients' perceptions of individualized care: evaluating psychometric properties
and results of the individualized care scale.
AB - Health-care organizations aim to provide patient-centred care, yet measurement of
this aspect of care quality remains a challenge.This cross-sectional study
investigated the reliability and validity of the bipartite Individualized Care
Scale (ICSA, ICS-B) in a Canadian hip and knee arthroplasty population. Internal
consistency of the ICS-A and ICS-B was high; however, factorial validity was not
fully supported. Twenty-five percent of participants provided additional open
ended comments to describe individual perceptions, needs, and suggestions, noting
that the Likert-scale approach required them to aggregate their feedback about
rather than share their perceptions of individual nurses.The findings indicate
that it is important to patients to be able to share their individual stories
when evaluating nursing care. Future qualitative studies should examine the nurse
perspective on the provision of patient-centred care, including investigation of
systems and process-related features that foster or hinder more individualized
care.
PMID- 21888008
TI - Platelet microRNA profiles and the effect of pathogen reduction on platelet
function.
PMID- 21888009
TI - Biomarkers for the prediction of mortality and morbidity in patients with renal
replacement therapy.
AB - The mortality of end-stage renal disease (ESRD) patients on dialysis remains high
despite great improvement of dialysis technologies in the past decades. These
patients die due to infectious diseases (mainly sepsis), cardiovascular diseases
such as myocardial infarction, heart failure, stroke, and, in particular, sudden
cardiac death. End stage renal disease is a complex condition, where the failure
of kidney function is accompanied by numerous metabolic changes affecting almost
all organ systems of the human body. Many of the biomarker characteristics of the
individually affected organ systems have been associated with adverse outcomes.
These biomarkers are different in patients with ESRD compared to the general
population in the prediction of morbidity and mortality. Biomarker research in
this field should aim to identify patients at risk for the different disease
entities. Traditional biomarkers such as CRP, BNP, and troponins as well as new
biomarkers such as fetuin, CD154, and relaxin were analyzed in patients on
dialysis. We will include observational as well as prospective clinical trials in
this review. Furthermore, we will also discuss proteomics biomarker studies. The
article assess the potential diagnostic value of different biomarkers in daily
clinical practice as well as their usefulness for clinical drug development in
end stage renal disease patients.
PMID- 21888010
TI - Peroxisomal biogenesis disorder biomarkers.
AB - BACKGROUND: The pathological mechanisms underlying peroxisomal biogenesis
disorders (PBD) are not fully understood and the available therapies are not
sufficient. This stresses the importance of identifying biochemical markers that
reflect the extent of peroxisomal dysfunction in plasma of PBD patients. METHODS:
Very long chain fatty acids VLCFAs, Phytanic acid, inflammatory markers: tumor
necrosis-alpha, interleukin-6, and interleukin-2 (TNF-alpha, IL-6, and IL-2),
lipid peroxidation parameter malonedialdhyde (MDA), low density lipoprotein
cholesterol (LDL-C), high density lipoprotein-cholesterol (HDL-C), and catalase
activity were measured. RESULTS: Significant increases in LDL-C, VLCFAs (C26:0,
C26:0/C22:0 and C24:0/C22:0), Phytanic acid, MDA, and Catalase were observed
along with significant decreases in Plasmalogen and HDL-C level. No significant
difference could be found between male and female patients regarding the
biochemical parameters. Both cholesterol and triglycerides showed no significant
difference between patients and controls. The characteristic curve (ROC) showed
that VLCFAs were the most significant diagnostic markers for PBD followed by TNF
alpha, IL2, IL6, MDA, and plasmalogens. CONCLUSIONS: PBD patients have impaired
anti-oxidative defense together with increased inflammatory markers. We provide
biomarkers that could guide therapies and prevention strategies. Based on our
results we suggest clinical trials to investigate the role of dietary
supplementation of antioxidants such as vitamin C and E as an adjuvant therapy
for PBD patients.
PMID- 21888011
TI - An evaluation of the performance of a novel stick-type kit for rapid detection of
Helicobacter pylori antibodies in urine.
AB - BACKGROUND: ODK-0702 is a stick-type urinary Helicobacter pylori (H. pylori)
antibodies detection kit, developed to improve the original housing type urinary
H. pylori antibodies detection kit "RAPIRUN H. pylori Antibody". This stick-type
kit is designed for the efficient daily medical practice at hospital or clinic,
public or school health checkup, to detect H. pylori infection. The aim of this
study was to evaluate the performance and correlation of this kit with the
original kit and the ELISA kit. METHODS: Control kits were "RAPIRUN H. pylori
Antibody" (Kit A) and "URINELISA H. pylori Antibody" (Kit B). Urine samples were
obtained from 249 subjects scheduled for upper endoscopy, 99 subjects suspected
of having upper gastrointestinal disease, and 150 subjects receiving health
checkups. Rates of agreement in results between ODK-0702 and the control kits
were investigated. RESULTS: High agreement rates of 98.4% (245/249) and 88.8%
(221/249) were found between ODK-0702 and the kits, Kit A and B, respectively. In
patients, the agreement rates of ODK-0702 as compared to Kit A and B were 99.0%
(98/99) and 88.9% (88/99), respectively. In control subjects, the agreement rates
of ODK-0702 as compared to Kit A and B were 98.0% (147/150) and 88.7% (133/150),
respectively. CONCLUSIONS: ODK-0702 enabled rapid testing within 15 minutes and
showed equivalent performance as control kits, being clinically very useful in
the diagnosis of H. pylori infection.
PMID- 21888012
TI - Inactivation of herpes simplex virus type 1 & adenovirus type 5 by direct
electric current at a biocompatible level in vitro.
AB - BACKGROUND: In vitro studies were conducted to quantify the effectiveness of low
level direct electric current (DC) on infectivity of Herpes Simplex Virus type 1
(HSV-1), Adenovirus type 5 (AdV-5), and on viability of Vero cells. METHODS: Both
viruses and Vero cells were exposed to DC by using platinum electrodes connected
to a DC source, then the viral infectivity and cell viability were assessed by
plaque and MTT assay, respectively. RESULTS: The results showed that both viruses
were inactivated completely by 200 microA DC in 10 minutes (current density = 20
microA/mm2) while this amount of DC had no significant changes on the viability
of Vero cells (viability > 90 %). Inactivation degree of HSV-1 and AdV-5 was 5
and 4 log per mL, respectively. Further study is required to investigate the
mechanism of inactivation by this method. CONCLUSIONS: DC at a biocompatible
level showed the competency to inactivate the viruses in the solution completely.
So it is a useful tool for designing a noninvasive method for decontamination of
biological or synthetic fluids. This method or derivation can be considered as an
easy, fast, and economical method for fluid decontamination.
PMID- 21888013
TI - Clinical usefulness of the semiquantitative procalcitonin test in the diagnosis
of bacterial infections in a third level children's hospital.
AB - BACKGROUND: The differential diagnosis between viral and bacterial infections can
be challenging in children. Procalcitonin (PCT) has been investigated as an early
marker for bacterial infections. The aim of this study was to assess the
usefulness of procalcitonin (PCT) compared to C-reactive protein (CRP), white
cell blood count (WBC), and absolute neutrophil count (ANC) for differentiating
bacterial from viral infections in a third level pediatric hospital. METHODS:
Children admitted for a clinically suspected infection to the Pediatric Clinic
from January 1, 2005 to December 31, 2008, who had concurrent evaluation of PCT,
CRP, WCB, and ANC were included in the study. According to the diagnosis at
discharge based on the ICD-9 codes, patients were classified into two groups:
children with certain bacterial infections (CBI) and children with certain viral
infections (CVI). PCT concentrations were determined by semiquantitative PCT-Q
strips. The diagnostic performance of the markers were studied by receiver
operating characteristic (ROC) analysis. Logistic regression analysis was used to
evaluate the risk of bacterial infection in relation to all the study markers.
RESULTS: Among the 165 children included in the study PCT sensitivity was the
same as CRP (60.56% vs 66.19%; p = 0.646) while PCT specificity was lower (77.27%
vs 88.18%; p = 0.050) in the detection of bacterial infections. CONCLUSIONS: The
PCT semiquantitative test is not sufficiently sensitive to be used alone as a
marker of bacterial infection.
PMID- 21888014
TI - Renoprotective effects of combined endothelin-converting enzyme/neutral
endopeptidase inhibitor SLV338 in acute and chronic experimental renal damage.
AB - BACKGROUND: Acute kidney injury (AKI) as well as chronic renal failure are
associated with a huge mortality/morbidity. However, so far no drugs have been
approved for the treatment of acute kidney failure and only a few for the
treatment of chronic kidney disease (CKD). We analysed the effect of SLV338, a
neutral endopeptidase (NEP)/endothelin converting enzyme (ECE)-inhibitor in
animal models of acute kidney failure as well as chronic renal failure. METHODS:
Acute renal failure was induced in male Wistar rats by uninephrectomy and
clamping of the remaining kidney for 55 minutes. SLV338 (total dose: 4.9 mg/kg)
or vehicle was continuously infused for 2 hours (starting 20 minutes prior to
clamping). Sham operated animals served as controls. Plasma creatinine was
measured at baseline and day 2 and 8 after renal ischemia-reperfusion.
Hypertensive renal damage was induced in male Sprague Dawley rats by nitric oxide
deficiency using L-NAME (50 mg/kg per day, added to drinking water for 4 weeks).
One group was treated over the same time period with SLV338 (30 mg/kg per day,
mixed with food). Systolic blood pressure was monitored weekly. At study end,
urine and blood samples were collected and kidneys were harvested. RESULTS: Acute
renal ischemia-reperfusion caused a 5-fold plasma creatinine elevation (day 2),
which was significantly attenuated by more than 50% in animals treated with
SLV338 (p < 0.05). Renal failure was accompanied by a 67% mortality in vehicle
treated rats, but only 20% after SLV338 treatment (p = 0.03 compared to sham
controls). Chronic L-NAME administration caused hypertension, urinary albumin
excretion, glomerulosclerosis, renal arterial remodelling, and renal interstitial
fibrosis. Treatment with SLV338 did not significantly affect blood pressure, but
abolished renal tissue damage (interstitial fibrosis, glomerulosclerosis, renal
arterial remodelling (p < 0.05 versus L-NAME group in each case). CONCLUSIONS:
The dual ECE/NEP inhibitor SLV338 preserves kidney function and reduces mortality
in severe acute ischemic renal failure. Moreover, combined ECE/NEP inhibition
prevents hypertensive renal tissue damage in a blood pressure independent manner
in L-NAME-treated rats.
PMID- 21888015
TI - HbA(1c) levels in cardiovascular diseased patients without diabetes in a
developing country.
AB - BACKGROUND: High levels of glycated hemoglobin (HbA(1c)) have been associated
with Coronary Vascular Diseases (CVD) in diabetic patients. Recent studies have
reported no association between elevated glycated hemoglobin (HbA(1c)) and
incident cardiovascular disease (CVD) among women without diabetes. There are
many controversial studies on topics such as "Glycated hemoglobin levels
(HbA(1c)) have been associated with cardiovascular diseases (CVD) in the non
diabetic patients". Therefore, we planned this study. METHODS: The present study
was conducted on 50 age matched controls and 50 clinically diagnosed non-diabetic
CVD patients of either gender. The study included 50 patients with myocardial
infarction (MI) admitted to the ICCU ward of J.L.N. Medical College and Hospital,
Ajmer (Rajasthan). The following information was recorded from admission sheets
of non-diabetic CVD patients of either gender: history of diabetes, hypertension,
and cigarette smoking; demographic indices; coronary heart disease and diabetes
mellitus treatment; serum cholesterol; serum triglycerides (TG); high density
lipoprotein cholesterol (HDL-C), low density lipoprotein cholesterol (LDL-C);
fasting and non-fasting blood glucose levels and Glycated haemoglobin levels
(HbA(1c)). Glycosylated hemoglobin (HbA(1c)) was measured by latex agglutination
inhibition assay. RESULTS AND CONCLUSIONS: The HbA(1c) levels in healthy controls
(n = 50) and non-diabetic CVD subjects (n = 50) observed were 4.32 +/- 0.34% and
5.80 +/- 0.20%, respectively. HbA(1c) levels in these subjects were significantly
higher than controls (p < 0.001). The HbA(1c) levels in non-diabetic CVD patients
are higher in comparison to controls.
PMID- 21888016
TI - Centrifugation after irradiation of red blood cells does not accelerate
haemolysis.
AB - BACKGROUND: For intrauterine transfusion and some other rare indications,
irradiation and washing or adjustment to an elevated haematocrit is necessary. No
data are currently available indicating whether irradiation of red blood cell
concentrates (RBCs) might impair the mechanical stability of erythrocytes during
centrifugation leading to elevated haemolysis. Consequently, if irradiation and
centrifugation of RBCs is necessary, there is no definitive recommendation about
the preferred sequence of steps. METHODS: We divided 20 RBC units that were not
older than 9 days into two subunits. These subunits were prepared to yield
irradiated RBCs with an elevated haematocrit, as they are used for intrauterine
transfusion. One subunit was centrifuged and then irradiated, the other subunit
was irradiated and then centrifuged. The units were evaluated in vitro before
preparation and on days 1 and 7. RESULTS: We could not find any difference in the
haemolysis rate, extracellular LDH or alpha-HBDH between the two groups of RBCs.
This observation indicates that centrifugation after irradiation of RBCs does not
accelerate haemolysis. A similar ATP content in the two subunits demonstrated no
difference in energy metabolism. The extracellular potassium concentration was
significantly lower in the subunits washed after irradiation. CONCLUSIONS: There
is no difference in the haemolysis caused by centrifugation between irradiated
and non-irradiated RBCs. However, it is well known that washing RBCs after
irradiation significantly lowers the potassium content. Summarising these two
findings leads to the conclusion that it is optimal first to irradiate and then
to wash RBCs.
PMID- 21888017
TI - Platelet reactivity in chronic venous insufficiency.
AB - BACKGROUND: Chronic venous insufficiency (CVI) is a common medical problem that
may result in significant morbidity and mortality. Platelets are key players in
haemostasis and thrombosis, but their role in the development of venous
thrombosis is more controversial. AIM: The purpose of this study was to
investigate platelet properties in CVI and their interaction with the venular
endothelium. METHODS: Human peripheral venules were explanted during leg surgery
of patients with CVI and of healthy subjects (C); concurrently, the platelets
were isolated from blood samples collected. The techniques used were:
fluorescence and electron microscopy and Western-blotting. RESULTS: Compared with
the C group, the platelets of patients with CVI are activated, as demonstrated
by: (i) cellular modifications, such as alteration of the discoidal shape by the
development of extended cytoplasmic filopodia and changes of the cells normal
ultrastructure, (ii) biochemical modifications, such as the enhanced protein
levels of FAK, p85 PI3K, Akt and src, accounting for activation of alphaIIbbeta3
outside-in signaling, and (iii) apparent higher adhesion to the venular
endothelium. We demonstrate in addition, that CVI is accompanied by severe
modifications of the ultrastructure of the cells within the venular wall.
CONCLUSIONS: In CVI, platelets circulate in an activated state and may contribute
to the altered dysfunctional response of the venous wall and to the development
of this pathology.
PMID- 21888018
TI - Cathepsin B, D, and L regulation in cyclosporin A-mediated gingival hyperplasia
of a patient with sarcoidosis.
AB - BACKGROUND: Cyclosporin A (CsA) is an immunosuppressant with side effects
including gingival hyperplasia. Sarcoidosis is a systemic disease characterized
by granulomas. Here, we report on a rare case of sarcoidosis with gingival
hyperplasia to clarify whether clinical observation corresponds to in vitro
results. METHODS: Gingival fibroblasts (HGFs) were isolated from healthy gingiva
and cultured with CsA. Total RNA was collected and expression of mRNAs examined
using semi-quantitative RT-PCR analysis. Cathepsin B, D, and L expression in
overgrown gingiva of the patient was examined by immunohistochemistry. RESULTS:
Cathepsin D, L, and vascular endothelial growth factor (VEGF)165 mRNA were
markedly suppressed in CsA-treated HGFs, whereas cathepsin B, matrix
metalloproteinase-1 (MMP-1) and tissue inhibitor of metalloproteinase-1 (TIMP-1)
mRNA were not reduced. Next, the decrease of cathepsin B and L expression in
enlarged gingiva was observed, whereas an increase of cathepsin D expression was
observed. Clinically, the enlarged gingival lesions were fully resolved by
performing oral infection control. CONCLUSIONS: Cathepsins regulation might be an
important factor in the development of CsA-mediated gingival hyperplasia.
PMID- 21888019
TI - Effect of a chloride channel inhibitor, 5-nitro-2-(3-phenylpropylamino)-benzoate,
on ovarian cancer cell migration.
AB - BACKGROUND: Chloride channels (ClC) are involved in normal physiological
processes and pathology of various diseases. Although it is recognized that
suppression of ClC inhibits cell proliferation in different types of cells, the
potential function of ClC in cell migration in ovarian cancer is still unclear.
In this study, we investigated the effect of the ClC inhibitor, 5-nitro-2-(3
phenylpropylamino)-benzoate (NPPB), on cell migration in the human ovarian cancer
cell line SKOV-3 as well as the related signaling pathway involved in this
action. METHODS: In this study, cell viability was measured using the MTT assay.
Transwell migration method was used to study the effect of NPPB on serum-induced
SKOV-3 cell migration. Also, Western blot was performed to detect the
phosphorylation levels of ERK1/2 and AKT1 after treatment with NPPB. RESULTS:
Both NPPB and LY249002 significantly inhibited serum-induced SKOV-3 cell
migration without alteration of cell viability. NPPB's inhibition of
phosphorylation of AKT1 was time-dependent (p < 0.05). There was no significant
effect on the phosphorylation of ERK1/2 after treatment with NPPB. CONCLUSIONS:
ClC plays an important role in ovarian cancer cell migration. NPPB inhibited-SKOV
3 cell migration could be via inactivation of AKT1.
PMID- 21888020
TI - Lipid peroxidation and parathyroid hormone influence the cytosolic calcium levels
of erythrocytes in peritoneal dialysis patients.
AB - BACKGROUND: The aim of this study was to examine the alterations in calcium and
lipid peroxidation in red blood cells (RBCs) and serum samples of continuous
ambulatory peritoneal dialysis (CAPD) patients. We also investigated the
relationship between parathyroid hormone (PTH) and calcium homeostasis in this
study. METHODS: For this purpose, routine blood counts and blood chemistry were
analyzed by standard laboratory procedures in serum samples. The concentration of
TBARS was measured in erythrocytes and serum samples. RBC calcium was measured by
Fura-2AM in a spectrofluorometer. RESULTS: In CAPD patients, hemoglobin, albumin,
and high density lipoprotein cholesterol levels were lower, but glucose, very low
density lipoprotein cholesterol, triglyceride, magnesium, PTH, sensitive C
reactive protein, and uric acid levels were higher than the controls.
Thiobarbituric acid-reactive substance (TBARS) levels in RBCs and serum samples
and cytosolic calcium in RBCs were all found to be significantly increased in
CAPD patients compared to control subjects. Multiple regression analysis showed
that RBC TBARS and serum PTH were the independent predictors of RBC calcium in
our study. CONCLUSIONS: Our results confirm that oxidative stress is an important
risk factor for CAPD. The results of multiple regression analysis suggest that
RBC calcium was affected by both increased levels of TBARS and PTH.
PMID- 21888021
TI - Evaluation of status of calcium, magnesium, potassium, and sodium levels in
biological samples in children of different age groups with normal vision and
night blindness.
AB - BACKGROUND: The most common cause of blindness in developing countries is vitamin
A deficiency. The World Health Organization (WHO) estimates 13.8 million children
have some degree of visual loss related to vitamin A deficiency. The causes of
night blindness in children are multifactorial and particular consideration has
been given to childhood nutritional deficiency, which is the most common problem
found in underdeveloped countries. Such deficiency can result in physiological
and pathological processes that in turn influence biological sample composition.
Vitamin and mineral deficiency prevents more than two billion people from
achieving their full intellectual and physical potential. METHODS: This study was
designed to compare the levels of magnesium (Mg), calcium (Ca), potassium (K),
and sodium (Na) in scalp hair, serum, blood, and urine of night blindness
children in two age groups, (1-5) and (6-10) years, of both genders comparing
them to sex- and age-matched controls. A microwave assisted wet acid digestion
procedure was developed as a sample pretreatment for the determination of Mg, Ca,
K, and Na in biological samples of children with night blindness. The proposed
method was validated by using conventional wet digestion and certified reference
samples of hair, serum, blood, and urine. The digests of all biological samples
were analysed for Mg, Ca, K, and Na by flame atomic absorption spectrometry
(FAAS) using an air/acetylene flame. RESULTS: The results indicated significantly
lower levels of Mg, Ca, and K in the biological samples (blood, serum, and scalp
hair) of male and female children with night blindness and higher values of Na
compared with control subjects of both genders. CONCLUSIONS: These data present
guidance to clinicians and other professionals investigating deficiency of
essential mineral elements in biological samples (scalp hair, serum, and blood)
of children with night blindness.
PMID- 21888022
TI - Effects of selenium supplementation on iodine and thyroid hormone status in a
selected population with goitre in Pakistan.
AB - BACKGROUND: Selenium (Se) has an essential role in thyroid hormone metabolism. It
has the potential to play a major part in the outcome of iodine (I) deficiency
The aim of the present study was to evaluate the Se and I status of biological
samples (serum and urine) of 160 goitrous male (GMPs) and 195 female patients
(GFPs). The supplemental effects of Se (200 microg/day) and I (100 - 125
microg/day) were evaluated after 6 months. For comparison purposes, non-goitrous
subjects of both genders (n = 440) with same age group and socioeconomic status
were also selected. METHODS: Hydride generation atomic absorption spectrometry
(HGAAS) was used to investigate the Se concentration in the biological samples,
prior to microwave assisted acid digestion. Quality control for the methodology
was established by comparing the results obtained with certified samples with
those obtained by conventional wet acid digestion method on the same CRMs and
real samples. RESULTS: The mean serum Se concentration was significantly lower,
while urinary Se was higher in GMPs and GFPs as compared to control subjects (p <
0.005 and < 0.007, respectively). The levels of I, free tri-iodothyronine, and
thyroxin were found in goitrous patients of both genders were low compared to age
matched healthy controls (p < 0.015, < 0.006, and < 0.002, respectively). On the
other hand, high levels of thyroid stimulating hormone were observed in GMPs and
GFPs (p < 0.009). CONCLUSIONS: It was observed that Se in biological samples of
hypothyroid patients can play an important role in determining the severity of
the hypothyroidism associated with iodine deficiency. A wide-scale
epidemiological study is recommended together with the examination of the
potential preventive role of Se supplementation in endemic goiter regions.
PMID- 21888023
TI - Model predicting survival/exitus after traumatic brain injury: biomarker S100B
24h.
AB - BACKGROUND: The enigma of Traumatic Brain Injury (TBI), reflected in recent
scientific literature, is its uncertain consequences, variability of the final
prognosis with apparently similar TBI, necessity for peripheral biomarkers, and
more specific predictive models. OBJECTIVES: To study the relationship between
serum S100B and survival in TBI patients in various serious situations; the S100B
level in patients without traumatic pathology or associated tumour, subjected to
stressful situations such as neurological intensive care unit (NICU) stay; the
possible overestimation caused by extracerebral liberation in TBI patients and
associated polytraumatism; the predictive cutoffs to determine the most sensitive
and specific chronology; and achieve a predictive prognostic model. METHODS:
Patients admitted to the NICU within 6 hours after TBI were selected. We
measured: a) clinical: exitus yes/no; age and gender, traumatic mechanism,
polytraumatism yes/no, GCS score, unconsciousness duration, amnesia duration,
neurological focality, and surgical interventions; b) radiological: CT scan for
radiological lesions; c) biochemical: serum SB100B at 6, 24, 48 and 72 hours
after TBI and drug abuse detected in the urine; d) GOS on hospital discharge.
RESULTS: N: 149 TBI patients, independent of polytraumatism, mean serum S100B at
6, 24, 48, and 72 hours: 2.1, 1.3, 1.2, and 0.6 microg/L, respectively; N: 124
without associated polytraumatism, S100B at 6, 24, 48, and 72 hours: 2.0, 1.4,
1.3, and 0.6 microg/L; N: 50 control I S100B 24 hours: 0.17 microg/L (0.04 -
0.56) and 25 healthy subjects S100B 0.057 microg/L (0.02-0.094). CONCLUSIONS:
Significantly higher S100B levels are observed on exitus, with excellent TBI
prognosis and evolution performance. Hospital stay in the NICU produces
significant increases in S100B compared to healthy subjects, without invalidating
it as a biomarker. Polytraumatism associated to TBI does not significantly alter
S100B levels. S100B at 24 hours > or = 0.90 microg/L appears to predict
unfavourable TBI evolution with a NPV: 94.2% and PPV: 54.9%. We propose a
predictive model when we associate S100B at 24 hours with amnesia duration over
30 minutes with a NPV of 85.5% and a PPV of 83.3%.
PMID- 21888024
TI - The influence of 7-OH methotrexate metabolite on clinical relevance of
methotrexate determination.
AB - BACKGROUND: A modified high performance liquid chromatographic (HPLC) method has
been developed for the simultaneous determination of methotrexate (MTX) and its
main metabolite 7-hydroxymethotrexate (7-OHMTX) and compared to the
immunochemical fluorescence polarization immunoassay (FPIA2) determination of
methotrexate. METHODS: Methotrexate was determined by HPLC with UV detection at
303 nm after precipitation of proteins with trichloroacetic acid. Fluorescence
polarization immunoassays (FPIA2) of methotrexate were performed on the TDx FLx
Immunoassay Analyzer. RESULTS: Our data indicate good correlation between
methotrexate levels > 1 micromol/L determined by HPLC and FPIA2. (r = 0.94,
Spearman correlation coefficient). However, concentrations of methotrexate < 1
micromol/L measured by fluorescence polarization immunoassay were overestimated.
CONCLUSIONS: The concentration of MTX < 1 micromol/L are overestimated due to the
cross reactivity with metabolites 7-OHMTX and 2,4-diamino-N10-methylpteroic acid
(DAMPA). The cross reaction may affect the therapy and lead to relapse in
children with acute lymphoblastic leukemia.
PMID- 21888025
TI - C-reactive protein and complement components but not other acute-phase reactants
discriminate between clinical subsets and organ damage in systemic lupus
erythematosus.
AB - BACKGROUND: Systemic lupus erythematosus (SLE) is an autoimmune disease
characterized by tissue injury mediated by inflammatory mechanisms. Nonetheless,
several acute-phase proteins may remain normal or are decreased. We explore the
association of diverse biomarkers with selected clinical features, disease
activity, and organ damage in SLE. METHODS: One hundred and fifteen SLE patients
were analyzed for clinical manifestations, disease activity, and organ damage.
Serum C-reactive protein (CRP), complement C3, C4 and CH50%, alpha-1-antitrypsin
(AAT), transferrin (Tf), procalcitonin, erythrosedimentation rate (ESR), and
interleukin-6 were measured in patients and twenty-six healthy blood donors.
Statistics include chi-square, Kruskal-Wallis (post hoc by Mann-Whitney) or one
way ANOVA tests (post hoc by t tests) as appropriate. Associations were evaluated
by the Spearman's correlation coefficient (p). RESULTS: SLE patients have lower
C3 (85 vs. 110 mg/dL; p < 0.0001) and C4 (14.2 vs. 24.2 mg/dL; p < 0.0001) than
controls, while CRP (4.1 vs. 1.4 mg/L; p = 0.005) and AAT (147 vs. 138 mg/dL; p =
0.03) were higher, other biomarkers were irrelevant. Disease activity score
positively correlated with ESR (p = 0.23, 95 % CI 0.04 to 0.4; p = 0.01) and CRP
(p = 0.19, 0.0007 to 0.36; p = 0.04), while inverse correlations with C3 (p =
0.26, -0.43 to -0.08; p = 0.004), C4 (p = -0.18, -0.36 to 0.005; p = 0.04), CH50
% (p = -0.20, -0.38 to -0.01; p = 0.02), and Tf (p = -0.35, -0.53 to -0.12; p =
0.002) were found. According to clinical manifestations, patients with arthritis
showed higher levels of ESR (34 vs. 20 mm/h), CRP (10 vs. 2.8 mg/L), and AAT (179
vs. 145 mg/dL), but lower Tf (192 vs. 226 mg/dL) than those without arthritis;
whereas active nephritis was characterized by lower serum concentrations of
complement C3 (73 vs. 92 mg/dL), C4 (10 vs. 15 mg/dL), CH50% (80 vs. 160 U/mL)
and Tf (196 vs. 232 mg/dL) than those patients without this manifestation. No
other significant differences were found. CONCLUSIONS: In patients with SLE,
acute-phase proteins behave differently depending on the kind of organ damage
evaluated. Serum complement proteins remained as the most reliable laboratory
markers for nephritis, while CRP was determined the best in patients with
arthritis. The muted CRP response seen in SLE patients with active nephritis
could have important pathogenic implications.
PMID- 21888026
TI - Amyotrophic lateral sclerosis (ALS), a novel rare cause of elevated plasma
troponin T levels.
AB - In this article, we report on a patient with chronic and modestly elevated plasma
troponin T (TnT) levels and frequent hospitalizations following the first
admission until his death one year later. The patient was initially admitted for
dyspnea and discharged from hospital with a diagnosis of non-ST elevation acute
myocardial infarction (AMI). Coronary angiography and echocardiography were
normal, but the patient received the (false) diagnosis of AMI at two further
admissions, based purely on elevated TnT. Shortly thereafter, severe respiratory
failure with restrictive-type spirometry pattern became the predominant clinical
symptom, with constantly elevated TnT levels at frequent re-admissions. Due to
inconsistent follow-up by primarily junior and non-specialist staff at a number
of different wards, pulmonary function tests and previous smoking history were
mis-interpreted as typical of chronic obstructive pulmonary disease (COPD). The
patient received standard COPD treatment without any improvement. After a year of
gradually worsening respiratory failure and repeated hospitalizations, thorough
assessment by a pulmonologist and neurologist established the final diagnosis of
amyotrophic lateral sclerosis (ALS). The patient died shortly thereafter. While
progressive respiratory failure is well-known to determine morbidity and
mortality in patients with ALS, chronically elevated TnT levels in the absence of
coronary artery disease have, to our best knowledge, not been described so far.
We suggest that chronic myocardial hypoxia due to ALS-related hypoxic respiratory
failure was the most likely underlying etiology for the elevated TnT levels seen
here but other mechanism such as immune-mediated myocardial injury cannot be
excluded.
PMID- 21888027
TI - Analysis of ABL kinase domain mutations conferring resistance to tyrosine kinase
inhibitors in chronic myeloid leukemia cases from India.
AB - BACKGROUND: The current study was undertaken to find out the frequency and
distribution of ABL kinase domain (KD) mutations showing resistance to tyrosine
kinase inhibitors (TKI) in CML patients from India. METHODS: A total of 24 TKI
resistant CML patients were screened for ABL KD mutation by semi-nested reverse
transcription PCR and sequencing. The expression of BCR-ABL transcripts was
quantified by Real Time Taqman assay. RESULTS: Sixteen different point mutations
were detected in 14 (58.3%) of 24 TKI resistant patients. Five out of the 16
mutations were located at the four hot spots of ABL kinase domain: one at the P
loop (Q252H), one at the imatinib binding site (T315I), two at the catalytic
domain (M351, Y353F) and one at the active A loop (H396P). The three mutations,
viz. M244V, T315I and A380V, were the most frequent mutations and accounted for
40.9% of all resistance associated mutations. CONCLUSIONS: In the present study,
the presence of ABL KD mutations was found to be a major cause of drug
resistance. The T315I mutation was found to be resistant to second generation
drugs such as dasatinib. The study reinforces the need for new therapeutic
options which can target this mutation.
PMID- 21888028
TI - Clinical diagnostic performance of different methods for the detection of
antibodies to extractable nuclear antigens in connective tissue diseases: a
cohort study.
AB - BACKGROUND: Different methods for anti-ENA identification have been used. This
can lead to confusion regarding the interpretation of the test results in
clinical practice. Some studies have reported differences in sensitivity and
specificity, but few compare clinical outcomes. Based on that, our aim was to
compare the performance characteristics of various methods commonly used to
detect anti-ENA antibodies in the sera of patients suspected to have connective
tissue diseases (CTDs). METHODS: 189 patients with orders for anti-ENA were
analyzed. Three common methods were used: DID, ELISA, and HA. Sensitivity,
specificity, PPV, NPV, and LR were calculated using CTDs as the reference
standard. RESULTS: 69.3% of the patients had a CTD and 32.8% had SLE. Sensitivity
and specificity, respectively, according to the technique were: ELISA (50.0% -
78.9%); DID (31.3% - 89.5%); HA (40.9% - 87.7%). PPV were: 88.5% (HA), 87.2%
(DID) and 84.6% (ELISA), and NPV were: 40.5% (ELISA), 39.1% (HA) and 36.2% (DID).
CONCLUSIONS: Based on the very similar predictive test values, we believe that,
at least in a moderate to high pretest probability, in our methodological
scenario, there are no significant differences in the interpretation of test
results when using ELISA, HA, and DID for anti-ENA detection.
PMID- 21888029
TI - Presence of murine leukemia virus (MLV)-related virus gene sequences in a
commercial RT-PCR reagent.
AB - BACKGROUND: The recent identification of murine leukemia virus (MLV)-related
viruses in patients with chronic fatigue syndrome (CFS) has aroused much
interest, not least among sufferers. However, other studies failed to detect
these viruses in CFS patients. METHODS: We wanted to establish a MLV-related
virus real-time PCR for routine diagnostics. RESULTS: Our study identified false
positive MLV-related virus results due to a contamination of Superscript III
Platinum One-Step Quantitative RT-PCR System (Invitrogen). CONCLUSIONS: This
observation may be helpful to elucidate discrepant results for the detection of
MLV-related virus like xenotropic MLV-related virus (XMRV) in recently published
studies.
PMID- 21888030
TI - Laboratory reference values of complete blood count for apparently healthy adults
in Ethiopia.
AB - BACKGROUND: The objective of this study was to evaluate reference intervals for
complete blood cell count parameters among apparently healthy 1,807 adults from
Addis Ababa, Ethiopia. METHODS: Blood specimens were collected from each
participant using standard procedures. The collected aliquots were processed
according to standard operating procedures to determine participants' complete
blood counts. Non-parametric methods were employed to calculate the reference
intervals and 90% confidence intervals for complete blood counts. RESULTS:
Overall the results show that reference ranges for women are lower than men. The
white blood cell count, neutrophil, lymphocyte, monocyte, eosinophil, and
basophil reference values appear to be lower than values reported elsewhere.
CONCLUSIONS: Our study is the first comprehensive study on reference intervals of
complete blood count among apparently healthy adults in Ethiopia. Future studies
that assess other hematological parameters and studies that assess reference
values for African pediatric populations are warranted.
PMID- 21888031
TI - Isolation of Cupriavidus metallidurans from razor blade during paraffin embedded
tissue sectioning.
PMID- 21888032
TI - Diallyl disulphide and lovastatin: effects on energy and protein utilisation in,
as well as methane emission from, sheep.
AB - Currently research on feed supplementation with natural compounds to improve
energy and protein utilisation and to mitigate the greenhouse gas methane in
ruminants is intensively pursued. Two compounds, diallyl disulphide (DADS), an
important component of garlic oil, and lovastatin, an inhibitor of a key enzyme
of methanogenic Archaea, were selected on the basis of their in vitro anti
methanogenic potential. In three 23-day experimental runs, six sheep received hay
and concentrate in a duplicate 3 x 3 Latin square design. The concentrate was
either not supplemented or supplemented with either 4 g DADS or 80 mg lovastatin
per kg of total dietary dry matter. There were no refusals of concentrate for any
treatment. Respiratory measurements were conducted on experimental days 7/8
(Period 1) and days 17/18 (Period 2). Relative to the control, digestibility of
neutral detergent fibre (NDF) tended to increase (p = 0.09) with DADS by 14%.
This was associated with an increased (p = 0.07) body energy retention of the
animals. Effects on nitrogen balance and ruminal fermentation traits were never
significant. No influence of supplements or period was found on total daily CH4
production which averaged at 28.6 g per sheep. However, across both periods the
amount of CH4 produced per kg NDF digested was lower (-8%; p = 0.02) with DADS
than without supplementation, and the lovastatin treatment ranged in between. In
conclusion, the study demonstrated a certain potential of DADS to improve fibre
digestion and body energy retention and to limit CH4 formation in relation to
digestible fibre intake, while lovastatin remained ineffective.
PMID- 21888033
TI - Evaluation of complementary effects of 9,10-anthraquinone and fumaric acid on
methanogenesis and ruminal fermentation in vitro.
AB - The objective of the present study was to investigate the hypothesis that 9,10
anthraquinone (AQ) in combination with fumaric acid (FMA) may provide
complementary effects to inhibit methanogens and enhance rumen's capacity for
better utilisation of FMA towards propionate production. Three levels of AQ and
four levels of FMA were tested in a 3 x 4 factorial design using in vitro gas
production technique. AQ reduced the total gas and methane production
significantly. The combination of 4 ppm AQ with FMA had additive effect on
concentration of propionate. Supplementation of AQ alone resulted in hydrogen
accumulation (p < 0.001), whereas presence of FMA (up to 6.5 mM) along with AQ
declined hydrogen concentration (p < 0.001). The level of 4 ppm AQ did not affect
in vitro digestibility, however, a reduction of organic matter digestibility was
caused by 8 ppm AQ (p < 0.001), which was partially compensated by the addition
of FMA (p = 0.06). The optimum FMA level depended on the AQ concentration. At 4
ppm AQ, a FMA level of 3.5 mM had best possible effect on partitioning factor and
microbial biomass production (p < 0.001), though, at 8 ppm AQ the higher level of
FMA (6.5 mM) responded better. Overall, FMA in combination with AQ provided an
alternative hydrogen sink and might be introduced as a novel strategy for
mitigation of enteric methane emission. Nevertheless, the result should be proved
by in vivo experiments.
PMID- 21888034
TI - Nitrogen excretion of adult sheep fed silages made of a mixed sward or of pure
unfertilised grass alone and in combination with barley.
AB - Four adult rumen-fistulated wether sheep were fed silages combined with barley.
The silages consisted of 48% grasses, 28% legumes and 24% other forbs (GCF) or of
pure grass (G). The swards received no mineral fertiliser. The dry matter (DM)
and fibre contents were lower in GCF than in G. Crude protein content of DM in
GCF and G were 145 g/kg and 102 g/kg respectively. DM content as ash, lipids and
non-fibrous carbohydrates were rather similar in both silages. About 40g DM were
offered per kg BW0.75 and day either as silage alone or as a mixture of silage
and barley (60:40). Faecal N excretion was greater with GCF than with G. The
proportion of faecal bacterial and endogenous debris N reached 75 and 73% when
GCF or G was fed, respectively. Undigested dietary N represented about 20%, and
water soluble N accounted for 5-6% of faecal N. GCF caused more urinary N than G.
Barley reduced urinary N excretion when supplemented to GCF. No dietary influence
on urinary non-urea nitrogenous compounds was shown. GCF caused higher urinary
urea N excretion than G and barley reduced this fraction when replacing part of
GCF. Based on the urinary urea N proportions, it is concluded that N intake
exceeded N requirement for any of the four diets fed. Dietary supplementation of
ruminally fermentable carbohydrates can reduce urinary N excretion and this
improves the efficiency of utilisation of N in N-unfertilised biodiverse
grassland/ruminant farming systems.
PMID- 21888035
TI - Effects of keratinase supplementation of corn-soybean meal based diets on
apparent ileal amino acid digestibility in growing pigs and serum amino acids,
cytokines, immunoglobulin levels and loin muscle area in nursery pigs.
AB - Two experiments were conducted to evaluate effects of keratinase for growing and
nursery pigs. In Exp. 1, six pigs (32.3 +/- 2.8 kg body weight), fitted with a
simple T-cannula at the distal ileum, were assigned to one of two 3 x 3 Latin
squares involving three periods and three diets including a basal diet and the
same diets supplemented with 0, 0.05 or 0.1% keratinase. Dietary keratinase
supplementation increased the apparent ileal digestibility of crude protein (CP),
arginine, histidine, isoleucine, leucine, lysine, methionine, phenylalanine,
threonine, tryptophan, alanine, glutamic acid and proline (p < 0.05).
Digestibility coefficients did not differ between pigs fed 0.05 and 0.1%
keratinase. In Exp. 2, 24 piglets weaned at 30 +/- 2 d of age were used in a 2 x
2 factorial design experiment with two CP concentrations (19 vs. 22%) and two
levels of keratinase supplementation (0 vs. 0.05%). Keratinase supplementation
increased (p < 0.05) average daily gain, serum arginine concentration and loin
muscle area but decreased (p < 0.05) serum interleukin-10 concentrations. The
reduction in dietary CP level decreased (p < 0.05) serum urea nitrogen
concentrations, isoleucine, serine and proline concentrations, but increased
serum arginine concentrations. Few interactions between keratinase
supplementation and dietary CP concentration were observed. This study indicated
that dietary keratinase supplementation improved apparent ileal amino acid
digestibility for growing pigs and had a positive effect on weight gain, immune
response and loin muscle area for nursery pigs.
PMID- 21888036
TI - Determination of energy and amino acid digestibility in growing pigs fed corn
distillers' dried grains with solubles containing different lipid levels.
AB - Two experiments were conducted to estimate the digestibility of energy, nitrogen
and amino acids (AA) in growing pigs fed diets containing one of five corn
distillers' dried grains with solubles (DDGS), including three normal oil DDGS
(NO-DDGS) and two low oil DDGS (LO-DDGS) samples. Exp. 1 was conducted to
determine the digestible energy (DE) and metabolisable energy (ME) content. Six
growing barrows (initial body weight [BW]: 35.1 +/- 2.2 kg) were allotted to a 6
x 6 Latin square design, with six periods and six diets. One diet was a corn
soybean meal basal diet and the other five diets were based on corn, soybean meal
and 28.8% DDGS. The average DE and ME values for the three NO-DDGS samples were
16.0 and 14.9 MJ/kg dry matter (DM). These values were 9 and 13% greater than the
LO-DDGS values of 14.7 and 13.2 MJ/kg DM respectively. Exp. 2 was conducted to
determine and compare apparent (AID) and standardised (SID) ileal digestibility
for crude protein and AA in the five DDGS samples. Six growing barrows (initial
BW, 32.2 +/- 1.9 kg) fitted with a simple T-cannula were allotted to a 6 x 6
Latin square design with six periods and six diets. Five of the diets were based
on the five DDGS samples, and the remaining one diet was nitrogen-free diet based
on cornstarch and sucrose. Titanium dioxide (0.1%) was used as inert marker. The
results of the experiment showed the largest variation among the different
samples in AID and SID for lysine (from 41.8 to 65.8% and 53.8 to 73.9%
respectively) and threonine (from 54.3 to 73.8% and 65.2 to 79.5% respectively).
Also, among the indispensable AA, the SID values for arginine, histidine,
threonine and tryptophan observed in LO-DDGS were not different from the values
derived from NO-DDGS. In conclusion, LO-DDGS may have decreased energy compared
with NO-DDGS because of its lower fat content. However, oil removal during the
production of DDGS may not affect amino acid digestibility.
PMID- 21888037
TI - Bioavailability of two organic forms of zinc in comparison to zinc sulphate for
weaning pigs fed a diet composed mainly of wheat, barley and soybean meal.
AB - This study was performed to compare the bioavailability of two organic zinc
compounds, a zinc glycinate complex and a zinc amino acid chelate with that of
zinc sulphate in growing pigs fed a basal diet composed mainly of wheat, barley
and soybean meal. The experiment included 96 pigs with an average body weight of
8 kg, allotted to ten groups of nine to ten pigs each. The first group received
the basal diet, containing 42 mg of native zinc per kg, without zinc
supplementation over a period of five weeks. The other nine groups received the
basal diet supplemented with 15, 30 or 50 mg of zinc/kg as zinc sulphate, zinc
glycinate or the zinc amino acid chelate. Pigs fed the unsupplemented diet had a
lower growth performance (body weight gain, feed conversion ratio) than the other
nine groups. Supplementation of 15 mg zinc/kg diet (irrespective of zinc form)
was sufficient to yield optimum growth performance. Plasma zinc concentration and
activity of alkaline phosphatase were rising with increasing zinc supplementation
levels up to a maximum reached at a supplementary level of 30 or 50 mg/kg diet
for activity of alkaline phosphatase and plasma zinc concentration, respectively.
The response of those parameters to zinc supplementation did, however, not differ
between the three zinc compounds considered. The apparent digestibility of zinc
from the diet was also not different for the three zinc compounds. In conclusion,
these findings show that the bioavailability of the two organic zinc compounds
did not differ from that of zinc sulphate in growing pigs fed a diet with wheat,
barley and soybean meal as major components.
PMID- 21888038
TI - Effects of dietary lipids and Clostridium butyricum on the performance and the
digestive tract of broiler chickens.
AB - The effects of two sources of dietary lipids and supplementation of Clostridium
butyricum on performance and intestinal metabolism of broilers were investigated.
In a 2 x 2 factorial arrangement, 168 one-day-old broiler chicks were divided
into four treatment groups, and fed four diets with two lipid sources (soya bean
oil or fish oil, at 25 g/kg and 30 g/kg in starter and grower diets
respectively), and without or with supplementation of C. butyricum (1 x 10(9)
colony forming units per kg diet). C. butyricum had no effect on broiler
performance. At 20 and 40 d of age, the pH of caecal digesta and the relative
length of caecum were decreased after supplementation of C. butyricum (p < 0.05).
After this treatment, the activity of alkaline phosphatase was increased in
jejunal mucosa at 40 d of age (p < 0.01). Furthermore, there were increases in
populations of lactic acid bacteria and the concentrations of acetic acid, n
butyric acid, n-valeric acid and total short chain fatty acids in caecal digesta
of birds fed C. butyricum (p < 0.05). A significant interaction between lipid
source and C. butyricum was found in the pH of caecal digesta at 20 d of age (p <
0.01). The results of the present study indicated that dietary supplementation of
C. butyricum maybe a benefit for gut health of broiler chickens.
PMID- 21888039
TI - Take home lead exposure in children of oil field workers.
AB - Childhood lead poisoning is a major, preventable environmental health problem.
While residential lead-based paint and lead contaminated dust and soil are the
most common sources of childhood lead poisoning, children can also be at risk if
they live with an adult with a job or hobby that involves exposure to lead.
Currently, the Oklahoma Childhood Lead Poisoning Prevention Program (OCLPPP) has
a small number of cases of "take home" lead exposure in children of oil field
workers. These workers may come in contact with a threading compound, "pipe dope"
that can contain large amounts of lead. Workers handling this product may be
exposed to lead by not following safety instructions. Additionally workers may
not be provided the facilities to shower and change out of the contaminated
clothing before leaving the work location. The OCLPPP recommends employers and
worksites should consider effective alternative options like lead free
biodegradable pipe dopes or dope free connections to prevent workers and their
families from adverse health effects associated with lead.
PMID- 21888040
TI - The University of Oklahoma College of Medicine summer medical program for high
school students.
AB - To enhance diversity of applicants to University of Oklahoma College of Medicine,
a Summer Medical Program for High School Students was started in 2009. This
comprehensive pipeline program included sessions on applying to medical school,
interaction with a panel of minority physicians and health care professionals
role models, clinically oriented didactics taught by physician faculty, shadowing
experiences in clinics and hospitals, and presentation of student research
reports. Students' assessments in 2009 showed increased understanding of the
medical school application process, the medical curriculum and the medical field,
and an increase in students'likeliness to choose a medical career. Importance of
long-term mentoring and follow-up with students to sustain their medical
interests is discussed.
PMID- 21888041
TI - Do intra-articular (IA) steroid injections relieve pain and improve outcomes
beyond two weeks for patients with osteoarthritis (OA) of the knee.
PMID- 21888042
TI - Planned recruitment of the Med Center medical staff to the OSMA.
PMID- 21888043
TI - [Current status of genome research on open-angle glaucoma in Finland].
AB - Loss of vision and visual impairment due to glaucoma can be prevented or delayed,
if the disease is detected at an early stage. The most important risk factors for
open-angle glaucoma include age, elevated intraocular pressure, exfoliation of
the lens, i.e. exfoliation syndrome, and genetic factors. To date, genetic
studies on glaucoma have revealed more than 20 gene loci and three candidate
genes, myocilin (MYOC), optineurin (OPTN) and WD repeat domain 36 (WDR36). A
mutation in both the MYOC and WDR36 genes has been found in Finnish families.
PMID- 21888044
TI - [The significance of attention-deficit hyperactivity disorder for the future of
the child and the young].
AB - Attention-deficit hyperactivity disorder (ADHD) in not necessarily a phenomenon
passing away with childhood, instead in some patients attention problems
continue, causing disturbances until adulthood. ADHD hampers studying and getting
along in working life, social relationships as well as traffic skills. ADHD is
also associated with increased risk of substance abuse and criminal behavior.
Several studies have shown that stimulant medication and psychosocial forms of
treatment are able to reduce long-term handicaps of ADHD and associated
psychiatric morbidity.
PMID- 21888045
TI - [Leucopenia in children].
AB - Decreased leukocyte values in children are usually due to the decrease in the
number of neutrophilic granulocytes. This is usually a transient phenomenon
associated with viral infections. In infancy and early childhood, immune mediated
neutropenias are possible causes of prolonged leukopenia. Causes of rare
leukopenias are numerous, including underlying diseases such as congenital
myelopathy, a syndrome or malignant hematological disease. The risk of infection
associated with neutropenia is increased especially in patients with a production
defect of the bone marrow as the underlying cause.
PMID- 21888046
TI - [Infection control in the intensive care unit].
AB - The basis of infection control is systematic monitoring of infections in the
patient care units, whereby a clear-cut basis for focusing of the control is
obtained. An entity of several distinct evidence-based methods, i.e. treatment
bundle, is increasingly utilized. Though difficult in practice, the goal is zero
tolerance, whereby all infections during the treatment should be prevented.
Central principles of infection control include use of antimicrobial hand rinse
in all patient care, appropriate use of protective devices and contact isolation
as required by the detected microorganisms.
PMID- 21888047
TI - [IOSCA - Infantile onset spinocerebellar ataxia].
AB - IOSCA is a difficult, progressive degenerative disease causing damage to the
peripheral and central nervous system. All known 24 patients are Finnish. Initial
symptoms include ataxia, athetosis, ophthalmoplegia, hearing disability and
muscular hypotonia. Sensory axonal neuropathy and associated optic atrophy are
typical of the disease, as well as primary hypergonadotropic hypogonadism in
girls. The patients are progressively severely disabled from the age of approx.
eighteen months. The pathogenesis is unknown and there is no curative treatment
for the disease.
PMID- 21888048
TI - [How and when is topical treatment applied into the ear?].
AB - Topical treatment is the first-line treatment for inflammation of the external
auditory canal and chronic otitis media, without the need of systemic
antimicrobial drug therapy. The ear canal is cleaned mechanically, by rinsing
with saline, and finally dried by suction. A bacterial or fungal culture specimen
should be taken, if the inflammation does not heal with the first treatment or
recurs rapidly. High local drug levels without systemic adverse effects are
achieved with ear drops. A combinatorial broad-spectrum antibiotic/corticosteroid
ear drop is the most effective remedy for bacterial inflammation, whereas the
most important therapeutic procedure in fungal infections is cleaning and topical
medication.
PMID- 21888049
TI - [An unusual etiology for pain in the back, hip and leg in a young woman].
AB - We present a 28-year old woman, suffering from low back and right leg pain for 5
years, with motor and sensory deficits of the leg. Disc herniation, hip related
pain, depression, and even an osteoid osteoma were suspected during the years,
and several imaging studies had been undertaken. Based on an ENMG-study a lesion
affecting the right L5-nerve root distal to the ganglion was suspected. On a
pelvic MRI a nonenhancing tumor of the right L5-root was seen. The tumor was
resected operatively. On histologic examination an uncommon granulocellular tumor
was diagnosed. The patient recovered almost completely.
PMID- 21888050
TI - [Update on current care guidelines: Hip fracture].
AB - In Finland approximately 7,000 hip fractures occurred annually during 1996-2008.
Risk of hip fracture can be diminished through efforts to prevent falls and
osteoporosis. A hip fracture is treated operatively, with the aim of early
mobilisation and full weight bearing. Postoperative care and rehabilitation
requires multidisciplinary and multifaceted management, focusing on improvement
of the patient's physical condition, appropriate pain management, the prevention
of delirium and other possible complications. Rehabilitation should be
centralised in specialised rehabilitation centres for the elderly. Secondary
prevention of future fractures should include management of osteoporosis and fall
prevention.
PMID- 21888051
TI - [Molecular mechanisms of circadian clock functioning].
AB - Most physiological processes of all organisms are rhythmic with a period of about
24 h and are generated by an endogenous biological CLOCK present in all cells.
However, there is also a central CLOCK--the primary circadian pacemaker which is
localized in the suprachiasmatic nuclei of the mammalian hypothalamus. Factors of
groups Period (PER1, PER2 and PER3), BMAL (BMAL1 and BMAL2), CRYptochromes (CRY1
and CRY2) as well as some other factors are the components of this circadian
CLOCK system. Some of these genes contain E-box sequences and their expression is
regulated by a transcription factor complex CLOCK-BMAL1. The enzymes responsible
for the post-translational modification of circadian gene products are also the
components of circadian CLOCK system. These enzymes define CLOCK's work and
determine the duration of circadian biorhythm and functional state of the whole
organism. The most important of these enzymes are casein kinase-1epsilon and
1delta. We have analysed data about the interconnection between the circadian
CLOCK system, cell cycle, and cancerogenesis as well as about the sensitivity of
circadian gene expression to the action of toxic agents and nanomaterials.
PMID- 21888052
TI - [Coordinative compounds of zinc with N-substituted thiocarbamoyl-N'
pentamethylensulfenamides--activity modifiers of enzymes of proteolytic and
glycolytic action].
AB - The influence of a number of coordinative compounds of zinc with N-substituted
thiocarbamoil-N'-pentamethylensulfenamides on activity of elastase, alpha-L
rhamnosidase and alpha-galactosidases evidence for a possibility of their usage
as stimulators or inhibitors of enzymes tested have been studied. It was shown
that all the compounds in concentration of 0.1 and 0.01% inhibited by 90-100%
Bacillus thuringiensis 27-88Els+ elastase activity. [Zn(L2)Br2], [Zn(L1)(NCS)2]
and [Zn(L3)(NCS)2] at 20 h exposition activated Cryptococcus albidus 1001 alpha-L
rhamnosidase activity. The rest of compounds influenced it on the control level
or inhibited it by 7-23%. The obtained results testify that essential role is not
played by separate fragments (L-ligand and anions), but by molecules of zinc
complexes as a whole. All the studied complexes, exept for [Zn(L3)(NCS)2],
induced alpha-L-rhamnosidase activity of Eupenicillium erubescens 248 (7 to 60%).
All zinc compounds (concentration 0.01%, exposition time - 60 min) influenced at
the control level Aspergillus niger and Cladosporium cladosporioides alpha
galactosidases activity, however inhibited (up to 20%) activity of Penicillium
canescens alpha-galactosidase. The increasing of exposition time of the compounds
tested with enzymes up to 20 h testify to selective action of separate compounds
on enzymes tested. The data obtained prove, that the character of interaction of
zinc complexes is changed depending on the enzyme tested and its strain-producer.
PMID- 21888053
TI - [The influence of ATP-dependent K(+)-channel diazoxide opener on the opening of
mitochondrial permeability transition pore in rat liver mitochondria].
AB - The influence of mitochondrial ATP-dependent K(+)-channel (K+(ATP)-channel)
opener, diazoxide (DZ) on the mitochondrial permeability transition pore (MPTP)
opening in rat liver mitochondria is studied. In the absence of DZ the MPTP
opening leads to the increase in the rate of K(+)- and Ca(2+)-cycling supported
by the simultaneous functioning of K(+)-channels and K+/H(+)-antiporter, and also
Ca(2+)-uniporter together with MPTP as the cations influx and efflux pathways.
Independent of MPTP opening, the activation of both constitutes of K(+)-cycle,
K(+)-uptake as well as K+/H(+)-exchange, by DZ is observed. It is shown that the
activation of transmembrane exchange of K+, combined with MPTP opening, results
in partial inhibition of the latter. A simple methodical approach for the
estimation of DZ influence on the open state of mitochondrial pore is proposed.
It is shown that MPTP closure followed by Ca2+ reentry to the matrix is
accompanied by the K+/H(+)-exchange inhibition which takes place in the same
timeframes as the increase in matrix Ca2+ content. Relevant to physiological
conditions, an important physiological function of MPTP is revealed, that is the
maintenance of relatively low matrix level of Ca2+ accompanied by the
acceleration of transmembrane ion exchange (K+ and Ca2+) which could strongly
influence the energy state and energy-dependent processes in mitochondria.
PMID- 21888054
TI - [Regulation of the mitochondrial ATP-sensitive potassium channel in rat uterus
cells by ROS].
AB - In previous study we demonstrated the presence of ATP-sensitive potassium current
in the inner mitochondrial membrane, which was sensitive to diazoxide and
glybenclamide, in mitochondria isolated from the rat uterus. This current was
supposed to be operated by mitochondrial ATP-sensitive potassium channel
(mitoK(ATP)). Regulation of the mitoK(ATP) in uterus cells is not studied well
enough yet. It is well known that the reactive oxygen species (ROS) can play a
dual role. They can damage cells in high concentrations, but they can also act as
messengers in cellular signaling, mediating survival of cells under stress
conditions. ROS are known to activate mitoK(ATP) during the oxidative stress in
the brain and heart, conferring the protection of cells. The present study
examined whether ROS mediate the mitoK(ATP) activation in myometrium cells.
Oxidative stress was induced by rotenone. ROS generation was measured by 2',7'
dichlorofluorescin diacetate. The massive induction of ROS production was
demonstrated in the presence of rotenone. Hyperpolarization of the mitochondrial
membrane was also detected with the use of the potential-sensitive dye DiOC6
(3,3'-dihexyloxacarbocyanine iodide). Diazoxide, a selective activator of
mitoK(ATP), depolarized mitochondrial membrane either under oxidative stress or
under normal conditions, while mitoK(ATP) blocker glybenclamide effectively
restored mitochondrial potential in rat myocytes. Estimated value for
diazoxide to mitoK(ATP) under normoxia was four times higher than under oxidative
stress conditions: 5.01 +/- 1.47-10(-6) M and 1.24 +/- 0.21 x 10(-6) M
respectively. The ROS scavenger N-acetylcysteine (NAC) successfully eliminates
depolarization of mitochondrial membrane by diazoxide under oxidative stress.
These results suggest that elimination of ROS by NAC prevents the activation of
mitoK(ATP) under oxidative stress. Taking into account the higher affinity of
diazoxide to mitoK(ATP) under stress conditions than under normoxia, we conclude
that the oxidative stress conditions are more favourable than normoxia for the
activation of mitoK(ATP). Thus we hypothesize that the ROS regulate the activity
of the mitoK(ATP) in myocytes.
PMID- 21888056
TI - [Peculiarities of secondary structure of serum albumin of some representatives of
the animal kingdom].
AB - Methods of infrared (IR) spectroscopy and circular dichroism (CD) are suitable
techniques for detection of proteins structural changes. These methods were used
for determinating peculiarities of the secondary structure of serum albumins in
some representatives of two classes of reptiles: Horsfield's tortoise (Testudo
horsfieldi), water snake (Natrix tessellata) and grass snake (Natrix natrix) and
birds: domestic goose (Anser anser), domestic chicken (Gallus domesticus),
domestic duck (Anas platyrhyncha) and dove colored (Columba livia). An analysis
of IR spectra and spectra obtained by the method of CD of serum albumins of both
classes representatives revealed that beta-folding structure and alpha-helical
sections that form the alpha-conformation play an important role in
conformational structure formation of polypeptide chain and also disordered sites
of molecules of these proteins. It was observed that certain redistribution
depending on animals species exists, in the formation of secondary structure of
serum albumins of the investigated representatives of reptiles and birds classes
between the content of beta-folding structure, alpha-helical sections and
disordered sites in molecules of these proteins.
PMID- 21888055
TI - [Advantages of two- or polyvalent binding of a receptor to the corresponding
ligand in comparison to univalent binding].
AB - The features of monovalent and bivalent binding of receptors (or antibodies) with
a polyvalent ligand (or with an antigen) are considered. It is shown that the
rigid connection of the binding sites of the receptor brings to high increase of
binding affinity for the corresponding ligand, but only in case if its epitopes
are fully complementary to both sites of the receptor binding. If not, then there
is no advantage of the binding of bivalent receptor before univalent binding. If
the binding sites of the receptor are connected by a flexible linker, then
regardless of location of epitopes of the corresponding ligand there is the
successful fastening of receptor and ligand. Exactly the connection by a flexible
linker is used by Nature in most cases at constructing of polyvalent receptors.
PMID- 21888057
TI - [Influence of antitumor system rhenium-platinum on biochemical state of the
liver].
AB - Influence of the antitumour rhenium-platinum system on biochemical liver
characteristics in the model of tumor growth (Guerin carcinoma) was studied and
possible hepatoprotective activity of rhenium cluster compounds when introducing
them in different forms was shown, that was confirmed by decreasing of diagnostic
enzymes activity in blood (aminotransferase--AST 6 times and ALT 5.6 times,
lactatedehydrogenase 4.9 times, gamma-glutamyltranspeptidase 3.6 times) and
normalization of morphological state of the liver cells. The hepatoprotective
activity of the cluster rhenium compound with adamanthyl ligands was confirmed in
the model of acute toxic hepatitis. Introduction of this compound led to
reduction of the concentration of MDA in homogenates of liver tissue (2 times),
and in blood plasma (3.8 times); to reduction of levels of diagnostic liver
enzymes in blood--AST and ALT 5.8 and 5.5 times respectively in comparison with
control group. Some aspects of the mechanism of hepatoprotection were discussed,
that included the presence of conjugated systems around the quadrupol rhenium
rhenium bond and alkyl radicals with significant positive inductive effects.
PMID- 21888058
TI - [Tissue specificity of lipid peroxidation under emotional stress in rats].
AB - The intensity of lipid peroxidation and activity of antioxidant system enzymes in
the blood plasma, brain and cardial muscle of laboratory rats under 40 days of
isolation and violation of diurnal cycle was studied. The obtained data show that
on the background of concentration changes in NO changes also take place in the
intensity of lipid peroxidation process, indicated by changes in the
concentration of TBA-active products and diene conjugates. The changes taking
place in the activity of superoxidedismutase, catalase, succinatdehydrogenase,
creatine kinase and aldolase under stress were studied. The resulting data show
that isolation of animals and violation of diurnal cycle are the factors causing
a significant reduction in the energy metabolism in the brain and heart tissue
cells and resulting in oxidative stress that, in its turn, may become the reason
for development of toxic radicals. Furthermore, prolonged stress may result in
irreversible processes that are considered to be the reasons for significant
pathologies of the cardiovascular system.
PMID- 21888059
TI - [Intensity of peroxidation processes and activity of antioxidant enzymes in rat
tissues at high chromium level in the diet].
AB - The data on the influence of chromium in different tissues of rats at its
consumption with mixed fodder in the form of CrCl3 x 6H2O on the intensity of
peroxidation processes and activity of antioxidant enzymes are presented. The
degree of high chromium content in the studied tissues of rats at its addition to
mixed fodder in the amount of 200 microg/kg during 30 days was established.
Chromium content in the rat tissues decreased in the order: the spleen, heart,
kidneys, lungs, brain, liver, skeletal muscle. In all tissues of rats fed with
mixed fodder with chromium addition, except for skeletal muscles, content of
lipid peroxidation products--hydroperoxide and TBARS-products decreased. The
content of lipid peroxidation products decreased in the spleen, kidneys, liver
and lungs. Also in all organs and tissues of rats the activity of glutathione
peroxidase, glutathione reductase and catalase increased at the action of
chromium. In the brain and kidneys the level of reduced glutathione increased.
Superoxide dismutase activity was significantly higher not only in the heart and
skeletal muscles of animals and is probably equal in the lungs and liver, and in
other organs--the brain, kidneys and spleen in animals of the studied group the
enzyme activity was lower as compared to animals of the control group. Obtained
results demonstrate the regulatory influence of chromium on free radical process
in the rat tissues.
PMID- 21888060
TI - [Comparative investigation by spectrofluorimetry and flow cytometry of plasma and
inner mitochondrial membranes polarisation in smooth muscle cell using potential
sensitive probe DiOC6(3)].
AB - Possibility of the use of flow cytometry and spectrofluorimetry analysis for
investigation mitochondria and plasma membrane polarization in myometrium cell
suspension using potential-sensitive probe 3,3'-dihexyloxacarbocyanine [DiOC6(3)]
has been demonstrated. The obtained results confirm the use of DiOC6(3) for
studying the influence of effectors on transmembrane potentials of intact cell
compartments.
PMID- 21888061
TI - [The simplest molecular model of 2'-deoxyribopolinucleotides sugar-phosphate
backbone: quantum-chemical adequacy check].
AB - The physical adequacy of the simplest molecular model "sugar residue (SR)-
phosphate group (PG)--SR" of 2'-deoxyribopolinucleotides sugar-phosphate backbone
is confirmed at DFT B3LYP/6-31++G(d,p) and DFT B3LYP/6-31G(d,p) of quantum
chemical methods. It is proved that complicacy of the model to the "SR-PG-SR-PG
SR" and higher levels does not noticeably change the numerical values of torsion
angles. Also these angles depend negligibly on counterion nature (e.g. Na+ to
Li+, K+ or Cs+ change) and transition from vacuum to continuum approximation with
medium dielectrical values of 1.4, 24.9, and 78.4. It is shown that model loses
its adequacy when PG is the end link.
PMID- 21888062
TI - [Investigation of metabolism of high-molecular phosphorus-containing compounds of
the nervous system at the Palladin Institute of Biochemistry of National Academy
of Sciences of Ukraine (1951-1965)].
PMID- 21888063
TI - [Advances in preservative-free glaucoma drops].
AB - Ophthalmic solutions are the main therapeutic methods used in the treatment of
ocular diseases, including glaucoma. Preservatives are added in multidose
solutions to reduce the risk of microbial contamination. Repeated use of topical
medication, especially in patients treated for glaucoma, has many adverse effects
especially on the ocular surface. This paper provides an overview of the role of
preservatives in antiglaucoma preparations, and their impact on the ocular
surface.
PMID- 21888064
TI - [Alternative treatments in glaucoma, independent from ocular pressure].
AB - Glaucoma is a medical condition that affects the optic nerve of the eye and can
lead to a permanent loss of vision if left untreated. It is now clear that IOP
(intraocular pression) lowering treatment may significantly delay or prevent
glaucoma development and progression. Although current ocular hypotensive therapy
is generally considered safe, alternative treatments may also be considered to
slow the progression and prevent the development of complications of the disease.
PMID- 21888065
TI - [Etiology of central retinal vein occlusion].
AB - Arteriosclerosis is responsible for the majority of primitive pictures of central
retinal vein occlusion occurrence. The venous occlusions appearing during the
development of the already known disorders represent secondary venous occlusions.
In young adults central retinal vein occlusion probably represents a general
nonspecific change emerging from a number of individual causes or maybe a
combination of causes e.g. multifactorial etiology. During unusual cases of
central retinal vein occlusion the etiology is known but within the vast majority
of patients the specific cause or even the causes that contribute the occurrence
of this disease remain unknown since the ophthalmic literature is limited by a
scarcity of histopathologic material.
PMID- 21888066
TI - [Risk factors in central retinal vein occlusion].
AB - Risk factors for the occurrence of central retinal vein occlusion are to a
certain extent similar to those of cardiovascular diseases (e.g.
arteriosclerosis, arterial systemic hypertension, diabetes mellitus,
dislipidemia). Hyperhomocysteinemia is an essential risk factor for
arteriosclerosis intervening also directly in the local mechanism of causing
venous and arterial occlusions. Ocular hypertension and glaucoma are risk factors
significantly associated with pathogenesis of central retinal vein occlusion.
Therapy with anticoagulants and platelet anti-aggregating agents exposes the
patient to developing central retinal vein occlusion influencing also adversely
the visual outcome without having any evidence of protective or beneficial
effect.
PMID- 21888067
TI - [Chronic spontaneous retinal detachment].
PMID- 21888068
TI - [Glaucoma County Center].
AB - Sunday 10 April it was opened in Braila Regional Centre of Glaucoma. It was
located in the hospital in a new and modern space. The Braila Regional Glaucoma
Centre will desire to monitoring and excellent diagnosis in Glaucoma.
PMID- 21888069
TI - [Posttraumatic hyphema with secondary glaucoma].
AB - The authors present in detail (symptoms, signs, predisposing factors,
complications, treatment) one of the most common signs seen in the eyeball
contusion--traumatic hiphema. There are presented concepts for proper treatment
of hiphema with secondary glaucoma and for relapsing hiphema.
PMID- 21888070
TI - [Therapeutic approach in patients with age-related macular degeneration and
cataract].
AB - Management of the patient with coexisting cataract and AMD presents unique
challenges to the cataract surgeon, the retina specialist, and the patient. A
common clinical scenario is the patient in whom both the cataract and macular
pathology appear to be contributing to decreased visual acuity. As with any
surgery, the expectations from cataract removal must be evaluated thoroughly and
understood clearly by both the patient and the cataract surgeon. Most patients
with AMD who undergo cataract surgery feel that the surgery is worthwhile, and
they report improvement of visual function and quality of life. In patients with
mild AMD, improvement in central visual acuity and attainment of driving vision
are realistic and achievable goals. In an eye with central disciform scarring or
geographic atrophy there may be potential for improvement in color
discrimination, contrast, or clarity of peripheral vision. In cases of dense
cataract obscuring macular detail, cataract removal may be necessary to allow for
adequate biomicroscopy and angiography, especially in an eye that may be at high
risk for the development of choroidal neovascularization. It is often challenging
to estimate the relative impact on visual impairment made by the lens opacities
and the macular changes and the benefits and risks of cataract surgery in eyes
with AMD should be carefully evaluated. Is cataract surgery justified in these
patients? Does cataract surgery aggravate AMD in some patients?
PMID- 21888071
TI - [Correlations of fluorescein angiography and optical coherence tomography (OCT)
in the diagnosis of age-related macular degeneration].
AB - Diagnostic tools for macular disease have developped over the last years due both
to the elaboration of new methods of approach and to the improvement of the
already existing ones. Fluorescein angiography (FA) is a minimally invasive
procedure that involves photographic surveillance of the blood circulation of the
retina and choroid, thus offering data on fundus pathology In eyes with AMD (Age
Related Macular Degeneration) the assessment through FA is necessary in order to
confirm the presence of CNV (Choroidal Neovascular Membrane). Beside that, FA
offers details about the size, location and type (occult or classic) of CNV and
it is useful in the followup after treatment. OCT (Optical Coherence Tomography)
is a modern imagistic method, that is non-invasive, easily repetable and lacks
complications. It acquires in vivo images that represent cross-sections of the
retina. In the last years it has become an ever more popular diagnostic tool. OCT
imaging is able to detect the presence of CNV and of sub- and intraretinal fluid
accumulation. During the last decade, the management of neovascular AMD has
changed, as anti-VEGF therapy has become the treatment of choice for all
subfoveal CNV lesions types (occult and classic). Some clinicians tend to
overlook the importance of FA assessment and rely only on visual acuity and OCT
imaging for establishing both diagnosis and indication of treatment. Experts in
the field say that these two investigations provide complementary information.
They agree that the anatomical data offered by OCT imaging should be supported by
the proof that an active exudation exists, which can only be provided by FA. At
this moment the management of AMD includes both FA and OCT scanning. Several
studies have tried to determine which OCT parameters corelate best with the
activity of CNV as it appears on FA photographs. Further on, we shall present a
few clinical cases that we consider representative for the topic.
PMID- 21888072
TI - [Representation and mathematical analysis of human crystalline lens].
AB - The surface of human crystalline lens can be described and analyzed using
mathematical models based on parametric representations, used in biomechanical
studies and 3D solid modeling of the lens. The mathematical models used in lens
biomechanics allow the study and the behavior of crystalline lens on variables
and complex dynamic loads. Also, the lens biomechanics has the potential to
improve the results in the development of intraocular lenses and cataract
surgery. The paper presents the most representative mathematical models currently
used for the modeling of human crystalline lens, both optically and
biomechanically.
PMID- 21888073
TI - [Representation and mathematical analysis of human corneal surface].
AB - In the description and analysis of human corneal surface are used various
mathematical models based on parametric representations, used in biomechanical
studies and 3D solid modeling of the cornea. Mathematical models are important
into the biomechanics of the cornea to model the corneal behavior. Corneal
biomechanics also has the potential to improve outcomes in refractive surgery.
The objective of this paper is to present the most representative mathematical
models currently used for modeling of human corneal in optics and biomechanics
fields.
PMID- 21888074
TI - [Adult onset foveomacular vitelliform dystrophy].
AB - We present the case report of a 43 years old patient with adult-onset
foveomacular vitelliform dystrophy, a rare disease described for the first time
by Gass in 1974. The differential diagnosis was made particularly with Best
disease, based on the aspect, the progression of the macular lesions, and the
EOG.
PMID- 21888075
TI - [Pneumosinus dilatans--general considerations and a case report].
AB - The term "pneumosinus dilatans" was coined by Benjamin in 1918 in his description
of ballooning hyperpneumatization of the frontal sinus. The term was use later on
for excessive pneumatization of individual or several paranasal sinuses, with or
without local compressive signs. Inspite of numerous publications, the phenomenon
of pneumosinus dilatans of paranasal sinuses never became particularly popular.
The diagnosis of sphenoid pneumosinus dilatans in particular was difficult to
establish by conventional radiological methods and demonstration of the indirect
signs of a compressive mechanism was almost impossible prior to the introduction
of thin section CT. The etiology and pathogenesis of pneumosinus dilatans were a
matter of speculation and remained unsatisfactory to researches and clinicians. A
28-year-old male had a six-year history of scotoma and loss of the temporal
visual fields for both eyes; he was admitted to Ophthalmological Department with
visual loss of the right eye. The section CT was performed and revealed massive
hyperpneumatization of the sphenoethmoidal sinusal complex, extending into the
right anterior clinoid process, causing narrowing of the optic canal, more marked
on the right than on the left side. The final diagnosis was: Right eye - Vitreous
hemorrhage. Pneumosinus dilatans.
PMID- 21888076
TI - [Paralysis of the oculomotor nerve caused by aneurysm--general facts and a case
report].
AB - One of the most frequent etiology of oculomotor nerve palsy are intracerebral
aneurysms. Due to anatomical facts (its course and main relations with vascular
structures of the brain) lesions of the oculomotor nerve often occur. In this
paper there are presented essential issues concerning neuroanatomy of the III-rd
cranial nerve pair main locations of the cerebral aneurysms in order to
investigate the effect of nerve compression, clinical data regarding the palsy of
the oculomotor nerve due to a cerebral aneurysm, the treatment and post surgery
recovery followed by a clinical report.
PMID- 21888077
TI - [Results in neovascular glaucoma treatment].
AB - This report presents the results of a study on 34 patients with neovascular
glaucoma. We analyse the difficulties and the results of the management of this
severe form of glaucoma.
PMID- 21888078
TI - [Surgically induced astigmatism in small incisions].
AB - Surgically induced astigmatism (SIA) may represent one of the factors that could
contribute to the patient's postoperative dissatisfaction, especially in the case
of multifocal implants. In the case of the Restore implant, an astigmatism
exceeding 0.75 D may consistently worsen the quality of the vision (Packard).
PMID- 21888079
TI - [Effect of hemodialysis in retinal circulations].
AB - In patients with chronic renal failure undergoing hemodialysis were recorded and
studied by various authors multiple eye damage with time. Studying the literature
we conducted a study to assess the impact of intradialitic-interdialitic and
postdialitic volemic game above the retinal circulation. By measuring diameters
of retinal circulation after processing the digital fundus, we found that, 30
minutes after hemodialysis session takes a degree of dilatation of retinal
vessels, permanent vascular insult that can be responsible for stroke and
ischamic eye and coronary diseases of these patients.
PMID- 21888080
TI - [Guidelines on hand hygiene in health care institutions].
AB - Healthcare associated infections (HCAI) are huge problem all over the world, and
5-10% of all hospitalized patients will develop infection during hospitalization.
From the times of I. P. Semelweiss we know that clean hands are the most
important single factor that can decrease the number of HCAI. World Health
Organization (WHO) has recognised this problem and developed Guidelines for hand
hygiene in healthcare institutions. This also was the reason of developing
Croatian national Guidelines. The main goal of the Guidelines was to decrease
number of HCAI associated with the hands of healthcare workers. These Guidelines
are meant for all healthcare workers and other hospital staff who come to the
direct contact with patients. An interdisciplinary team of experts developed
these Guidelines using WHO Guidelines, other existing guidelines and literature
reviews for hand hygiene. Grades of evidence for specific recommendations were
determined using CDC/HICPAC grading system. Categorization is based on existing
data, theoretical basis, applicability and economic impact. After a broad
discussion in different professional societies, Guidelines were accepted.
Guidelines include recommendations for hand hygiene indications, hand hygiene
technique, surgical hand preparation, choosing hand hygiene preparations, skin
care, nails, glove use, patients and visitors hand hygiene, role of education, as
well as role of healthcare institution and role of government. Furthermore, in
the Guidelines the concept of "Five moments for hand hygiene" is explained in
detail, and main literature data are presented.
PMID- 21888081
TI - [Consensus guidelines for diagnosis, treatment and follow-up of patients with
gastrointestinal stromal tumors].
AB - Gastrointestinal stromal tumors are the most common mesenchymal tumors in
gastrointestinal tract. They are often asymptomatic and discovered incidentally
during endoscopic or barium studies. About 80% GISTs have a KIT (CD 117 antigen)
gene mutation. Most affect exon 11, less commonly exon 9,13 or 17, that results
in uncontrolled KIT signaling. This led to effective systemic therapies in the
form of small molecule inhibitors of the receptor tyrosine kinase such as
imatinib mesylat. With the purpose of providing standardized approach to rational
and effective diagnostic and treatment algorithm in Croatia, a multidisciplinary
session was organized. Results of the session are given in the form of Consensus
guidelines.
PMID- 21888082
TI - [Feasibility of alpha-blockers in chronic category III prostatitis].
AB - Studies were identified on internet by searching on address:
http://www.ncbi.nlm.nih.gov/pubmed/ with criteria that studies should be placebo
controlled and randomized in trials of alpha-blockers in chronic category III
prostatitis evaluated by symptom-score NIH-CPSI. From 13 clinical studies three
were excluded because of not using NIH-CPSI, three were in Chinese language and
two were congress abstracts. Analysed were five studies with four or five Jadad
scale including 563 patients. Alpha-blockers alfuzosin, terazosin, tamsulosin and
doxazosin have been used through 6 weeks and 6 months. Better results were
accomplished by less selective alpha-blockers alfuzosin, terazosin and doxazosin
through 3-6 months in patients having higher NIH-CPSI score and higher voiding
score.
PMID- 21888083
TI - [Adherence to American European Consensus Group classification criteria for
Sjogren's syndrome in differential diagnosis of xerostomia].
AB - The aims were to obtain epidemiological and etiological data on xerostomia in
cross section of Croatian population, and to evaluate adherence to "American
European Consensus Group" (AECG) classification criteria for Sjogren's syndrome
(SSj), in order to identify possible need for improvements in differential
diagnosis of xerostomia. A retrospective study among patients seen at the
Department of oral medicine for complaints of dry mouth was performed. Three
hundred and ninety-five patients met inclusion criteria. A data base was formed,
comprising epidemiological, clinical and sialometric data, immunological,
scintigraphic, ultrasonographic and histopathological findings of salivary
glands, coupled with drug intake listings. Descriptive statistics were used for
data analysis. Objective salivary hypofunction was found in 74% of our patients.
The most common probable cause of xerostomia was side effect of pharmacotherapy.
SSj was diagnosed in 6.7% of patients with xerostomia, whereas in another 8% of
patients Sjogren's syndrome was strongly suspected, but labial gland biopsy
wasn't performed, indicating a lack of adherence to AECG criteria for SSj
diagnosis. Application of AECG criteria in differential diagnosis of patients
with dry mouth is mandatory, as well as educating general practitioners, dentists
and patients on xerogenic side effects of pharmacotherapy.
PMID- 21888084
TI - [Osteochondral fracture of the patella after acute dislocation].
AB - Acute patellar dislocation is a common injury in young athletes, which occurs due
to inappropriate biomechanical relations and anatomic deviations in the area of
knee joint. In these unfavorable conditions, abrupt and vigorous strain of the
quadriceps muscle of the thigh may result in lateral patellar dislocation. A case
is presented of a young male athlete with acute patellar dislocation and
consequential osteochondral fracture. Although x-ray showed normal knee finding,
typical history, clinical examination and magnetic resonance image of the knee
indicated arthroscopy, which confirmed the diagnosis ofpatellar dislocation. The
osteochondral fragment was found and fastened by screws, thus reconstructing the
articular surface of the patella. Medial patellar stabilizers were strengthened
and lateral stabilizers loosened, thus re-establishing its anatomic slide way. On
second-look operation, the screws were removed. In this case, good anatomic and
functional outcome was achieved by timely operative treatment, joint surface
reconstruction and rehabilitation.
PMID- 21888085
TI - [Leiomyomatous renal cell carcinoma - case report].
AB - According to WHO tumor classification histological subtypes of renal cell
carcinoma are clear cell, papillary and chromophobe carcinoma. Carcinomas that do
not fit readily into one of the categories should be assigned as unclassified. In
recent literature new and emerging entities are described; follicular, clear cell
papillary and cystic, oncocytic papillary and leiomyomatous renal cell carcinoma.
The last one is microscopically composed of areas resembling clear cell carcinoma
with sheets of epithelial cells having abundant clear cytoplasm and areas of
mature smooth muscle. There are few articles describing above mentioned new
entity. Altogether 14 cases are reported. In time of the diagnosis our patient
had leiomyomatous renal cell carcinoma and metastatic tumors in both pulmonary
lobes.
PMID- 21888086
TI - [Vitamin D: vitamin from the past and hormone of the future].
AB - In the last decade there has been a rising recognition of potential clinical
significance of the vitamin D. Vitamin D regulates about 3% of the human genome.
Thus, vitamin D deficiency is common and high in various populations across the
world including Croatia. In this paper vitamin D metabolism and up to date
knowledge of vitamin D function in calcium metabolism, muscular function and in
prevention of infection, malignancy, autoimmune and cardiovascular diseases is
presented. Reasons for pandemic vitamin D deficiency and guidelines for vitamin D
supplementation are given.
PMID- 21888087
TI - [Clinical and pathophysiological patterns of otitis externa and overview of
problematic cases].
AB - Otitis externa can sometimes present itself as a difficult clinical problem, in
cases such as unsuccessful outcome of usual therapy, prolonged duration of
symptoms or frequent recurrencies with local or systemic complications. In these
cases more attention should be focused on possible errors made in establishing
the right diagnosis, incorrect sequence of applying therapeutical measures, or
presence of unrecognized problems, including individual patient factors,
characteristics of the pathogen, or other. In this article we present a case
report of a 84-year-old patient with complicated otitis externa, together with a
short review of physiology, patophysiology, diagnostic and therapeutical measures
in external otitis, and suggest a possible clinical approach in the management of
problematic cases.
PMID- 21888088
TI - [100,000 lives can be saved per year in Europe--the new ERC guidelines on
resuscitation 2010].
PMID- 21888089
TI - [Vertebrobasilar insufficiency and obstructive sleep apnea].
PMID- 21888090
TI - A fair deal in retirement.
PMID- 21888091
TI - Counselling for nurses shocked and appalled by hospital deaths.
PMID- 21888093
TI - New alliance will tackle barriers to dignified care of older people.
PMID- 21888092
TI - Increase in non-NHS providers will drive down pay, warns college.
PMID- 21888094
TI - Trust to end incentive payments for forensic mental health staff.
PMID- 21888095
TI - Campaign shows that savings are possible without cutting jobs.
PMID- 21888096
TI - We will be burnt out in three years.
PMID- 21888097
TI - Paying attention.
AB - Attention deficit hyperactivity disorder is often misunderstood. But nurse
specialists are helping families cope with the condition.
PMID- 21888098
TI - Puppy power.
AB - Dogs have been used for many years to help people who are blind or have hearing
loss. Now they are being trained to help people with medical conditions such as
cancer, diabetes, seizures, Addison's disease and narcolepsy.
PMID- 21888099
TI - An indispensible role.
AB - Freezing the vacant posts of diabetes nurse specialists is affecting patient care
and will cost the NHS more in the long run, warns a major charity.
PMID- 21888100
TI - It makes scents.
AB - Aromatherapy, and the claims made for it, is the subject of the second article in
our six-part series on alternative and complementary therapies.
PMID- 21888101
TI - One-page patient passport for people with learning disabilities.
AB - People with learning disabilities can have negative experiences in hospitals. One
of the key reasons for this is the lack of time available for staff to understand
individuals' needs. This article describes the development and implementation of
the one-page patient passport, designed to provide individualised information
about the person for doctors, nurses and administrative staff. The results from a
pilot evaluation indicate that the tool promotes high levels of support for
people with learning disabilities on admission to and during their stay in
hospital.
PMID- 21888102
TI - Wound care for injecting drug users: part 2.
AB - Part one of this article, published last week, examined the issues associated
with injecting drug use and the overall management of patients presenting with
injection drug-related wounds. In part two of this article, the focus is on the
physical problems associated with injecting drug use, in particular the
development of leg ulcers. The article provides nurses with practical guidance on
assessment and management of leg ulcers, including compression therapy.
PMID- 21888103
TI - Pulmonary tuberculosis: clinical features and patient management.
AB - Pulmonary tuberculosis (TB) is a common infectious disease and a major cause of
illness and death throughout the world, particularly in developing countries.
This article explores the difference between latent TB infection and active TB
disease, and discusses the pharmacological management of TB and issues around
adherence to medication. Although TB is usually managed by specialist teams it is
essential that all practitioners have an understanding of the signs and symptoms
of the disease to ensure early referral and accurate diagnosis.
PMID- 21888104
TI - Menopause.
PMID- 21888105
TI - Keep on course.
PMID- 21888106
TI - Nurses lead at every level here.
PMID- 21888107
TI - Home truths.
PMID- 21888108
TI - What public health professionals can learn from obese people.
PMID- 21888109
TI - Disability and obesity.
PMID- 21888110
TI - Obesity: a complex and frustrating challenge but is NICE guidance part of the
problem as well as part of the solution?
PMID- 21888111
TI - The importance of being weaned: from guidelines to practice.
PMID- 21888112
TI - Obesity.
PMID- 21888113
TI - On with the pennies, off with the pounds? The use of taxation policies in obesity
prevention.
PMID- 21888114
TI - Fiscal food policy: equity and practice.
PMID- 21888115
TI - Ethnicity and obesity in the UK.
PMID- 21888116
TI - Tackling maternal obesity: the challenge for public health.
PMID- 21888117
TI - Community gardening and obesity.
PMID- 21888118
TI - Building grass roots capacity to tackle childhood obesity.
AB - AIMS: In recognition of the increasing problem of child obesity in London and
elsewhere, we were commissioned to build capacity to tackle this major public
health concern. This paper describes one of the outputs of this work: to develop
and deliver effective brief intervention training on the subject of childhood
healthy/unhealthy weight and obesity to be used by anyone who works with children
and families, regardless of their job title or level of educational achievement.
METHODS: A literature review informed the process. The slim evidence derived was
combined with the expertise of an expert working group to develop clear learning
objectives for training and then to develop a flexible one-day training programme
suitable for delivery to mixed groups of participants, to meet the learning
objectives. Evaluation was built into the programme by means of a questionnaire
at the end of the training session and by the use of a structured reflective log
to be returned by participants once they had put their training into practice.
RESULTS: The training programme was delivered free of charge to over 560 people
during the course of a Regional Public Health Group-sponsored project.
Subsequently it has been delivered to several more audiences working in the NHS,
local government and third sectors in London on a not-for-profit basis.
CONCLUSIONS: The programme, based on best available evidence and clear evidence
of needs, provides a low-cost evaluated intervention that permits people from
diverse professional and occupational backgrounds to acquire the knowledge,
skills and confidence needed to raise the subject of healthy and unhealthy weight
with parents of primary school-aged children and signpost them to appropriate
local facilities and services. Although developed in London, the programme may be
used anywhere in the UK, with the substitution of local information about
prevalence of overweight and obesity and about local services and facilities.
PMID- 21888119
TI - Obesity, ergonomics and public health.
AB - Obesity within today's workforce is increasingly recognized as a challenge for
both public health professionals and ergonomists. The two disciplines share a
scientific, evidence-based approach to practice and now have the opportunity to
address a common health issue, namely obesity. This paper shows, through an
overview of the current literature, how and where obesity is impacting on the
workplace and how the health of the workforce is being affected. Ergonomics and
public health priorities have been mapped and challenges suitable for the
application of existing knowledge and further research have been identified.
Areas of common endeavour, for example how sedentary work may be exacerbating
obesity, have been identified as candidate topics for joint activity by
ergonomists and public health professionals.
PMID- 21888120
TI - Evaluation of Healthy Choices: a commercial weight loss programme commissioned by
the NHS.
AB - AIM: The aim of this study is to identify factors that influence successful
weight loss in an NHS-funded commercial weight loss programme. METHODS: Baseline
height, weight, body mass index (BMI), age, gender, address, date of referral,
referrer and 12-week attendance and weight were measured. Participants were
classified as having achieved successful weight loss if they had > 5% weight loss
and 'completers' if they had attended at least 10 of the 12 free sessions.
Logistic regression analysis was used to estimate predictors of successful weight
loss. Predictors tested in regression analyses were initial weight, number of
meetings attended, deprivation, age and gender. RESULTS: In total, 2,456 (87%) of
referred participants were given vouchers to attend a commercial weight loss
programme for 12 weeks. The majority of the participants were female and the mean
age group was 45-54 years. Almost half (44%) of all patients referred had > 5%
weight loss at 12 weeks. A statistically significant difference was found in the
mean weight loss between completers (6.1 kg, SD 3.7) and drop outs (2.2 kg, SD
2.5). Participants who had successful weight loss were significantly more likely
to be older, male and in obese class I. They were also significantly more likely
to have attended more meetings. CONCLUSIONS: Commercial weight loss programmes
produce successful weight loss in the short term. There was no difference in
successful weight loss between providers and deprivation quintiles. Age, gender,
initial BMI and number of meetings attended are all predictors of successful
weight loss.
PMID- 21888121
TI - Can worksite nutritional interventions improve productivity and firm
profitability? A literature review.
AB - AIMS: This paper investigates whether and how worksite nutrition policies can
improve employee productivity. METHODS: The questions are pursued through a
literature review, including a systematic search of literature--combined with
literature identified from backward references--on randomized controlled or quasi
experimental worksite intervention trials and observational cross-sectional
studies. Studies were selected on the basis of topic relevance, according to
publication title and subsequently according to abstract content. A quality
appraisal of the studies was based on study design and clarity in definition of
interventions, as well as environmental and outcome variables. RESULTS: The
search identified 2,358 publications, 30 of which were found suitable for the
review. Several of the reviewed studies suggest that diet-related worksite
interventions have positive impacts on employees' nutritional knowledge, food
intake and health and on the firm's profitability, mainly in terms of reduced
absenteeism and presenteeism. CONCLUSIONS: Well-targeted and efficiently
implemented diet-related worksite health promotion interventions may improve
labour productivity by 1%-2%. On larger worksites, such productivity gains are
likely to more than offset the costs of implementing such interventions. These
conclusions are subject to some uncertainty due to the relatively limited amount
of literature in the field.
PMID- 21888122
TI - STEPS approach allows patient to participate in decision making.
PMID- 21888123
TI - Avoiding sore throat morbidity and mortality: when is it not "just a sore
throat?".
PMID- 21888124
TI - Copper intrauterine device vs. depot medroxyprogesterone acetate for
contraception.
PMID- 21888125
TI - Short course of antibiotics for acute otitis media treatment.
PMID- 21888126
TI - Stress fractures: diagnosis, treatment, and prevention.
AB - Stress fractures are common injuries in athletes and military recruits. These
injuries occur more commonly in lower extremities than in upper extremities.
Stress fractures should be considered in patients who present with tenderness or
edema after a recent increase in activity or repeated activity with limited rest.
The differential diagnosis varies based on location, but commonly includes
tendinopathy, compartment syndrome, and nerve or artery entrapment syndrome.
Medial tibial stress syndrome (shin splints) can be distinguished from tibial
stress fractures by diffuse tenderness along the length of the posteromedial
tibial shaft and a lack of edema. When stress fracture is suspected, plain
radiography should be obtained initially and, if negative, may be repeated after
two to three weeks for greater accuracy. If an urgent diagnosis is needed, triple
phase bone scintigraphy or magnetic resonance imaging should be considered. Both
modalities have a similar sensitivity, but magnetic resonance imaging has greater
specificity. Treatment of stress fractures consists of activity modification,
including the use of nonweight-bearing crutches if needed for pain relief.
Analgesics are appropriate to relieve pain, and pneumatic bracing can be used to
facilitate healing. After the pain is resolved and the examination shows
improvement, patients may gradually increase their level of activity. Surgical
consultation may be appropriate for patients with stress fractures in high-risk
locations, nonunion, or recurrent stress fractures. Prevention of stress
fractures has been studied in military personnel, but more research is needed in
other populations.
PMID- 21888127
TI - Information from your family doctor. Stress fractures.
PMID- 21888128
TI - The geriatric assessment.
AB - The geriatric assessment is a multidimensional, multidisciplinary assessment
designed to evaluate an older person's functional ability, physical health,
cognition and mental health, and socioenvironmental circumstances. It is usually
initiated when the physician identifies a potential problem. Specific elements of
physical health that are evaluated include nutrition, vision, hearing, fecal and
urinary continence, and balance. The geriatric assessment aids in the diagnosis
of medical conditions; development of treatment and follow-up plans; coordination
of management of care; and evaluation of long-term care needs and optimal
placement. The geriatric assessment differs from a standard medical evaluation by
including nonmedical domains; by emphasizing functional capacity and quality of
life; and, often, by incorporating a multidisciplinary team. It usually yields a
more complete and relevant list of medical problems, functional problems, and
psychosocial issues. Well-validated tools and survey instruments for evaluating
activities of daily living, hearing, fecal and urinary continence, balance, and
cognition are an important part of the geriatric assessment. Because of the
demands of a busy clinical practice, most geriatric assessments tend to be less
comprehensive and more problem-directed. When multiple concerns are presented,
the use of a "rolling" assessment over several visits should be considered.
Academy of Family Physicians.
PMID- 21888129
TI - Atrial fibrillation: diagnosis and treatment.
AB - Atrial fibrillation is the most common cardiac arrhythmia. It impairs cardiac
function and increases the risk of stroke. The incidence of atrial fibrillation
increases with age. Key treatment issues include deciding when to restore normal
sinus rhythm, when to control rate only, and how to prevent thromboembolism. Rate
control is the preferred management option in most patients. Rhythm control is an
option for patients in whom rate control cannot be achieved or who have
persistent symptoms despite rate control. The current recommendation for strict
rate control is a resting heart rate of less than 80 beats per minute. However,
one study has shown that more lenient rate control of less than 110 beats per
minute while at rest was not inferior to strict rate control in preventing
cardiac death, heart failure, stroke, and life-threatening arrhythmias.
Anticoagulation therapy is needed with rate control and rhythm control to prevent
stroke. Warfarin is superior to aspirin and clopidogrel in preventing stroke
despite its narrow therapeutic range and increased risk of bleeding. Tools that
predict the risk of stroke (e.g., CHADS2) and the risk of bleeding (e.g.,
Outpatient Bleeding Risk Index) are helpful in making decisions about
anticoagulation therapy. Surgical options for atrial fibrillation include
disruption of abnormal conduction pathways in the atria, and obliteration of the
left atrial appendage. Catheter ablation is an option for restoring normal sinus
rhythm in patients with paroxysmal atrial fibrillation and normal left atrial
size. Referral to a cardiologist is warranted in patients who have complex
cardiac disease; who are symptomatic on or unable to tolerate pharmacologic rate
control; or who may be candidates for ablation or surgical interventions.
PMID- 21888130
TI - Information from your family doctor. Atrial fibrillation.
PMID- 21888131
TI - Yellowish papules on a middle-aged man. Eruptive xanthoma.
PMID- 21888132
TI - Comforting a grieving parent.
PMID- 21888133
TI - Interrogating leprosy 'stigma': why qualitative insights are vital.
PMID- 21888134
TI - Responding to the socio-economic implications of leprosy.
PMID- 21888135
TI - Leprosy stigma: ironing out the creases.
AB - Oft-cited as a deterrent to elimination of the disease, stigma is still a
critical feature of the leprosy landscape leading to ostracism, loss of
employment, loss of housing, ridicule and rejection from society. The reason for
leprosy-stigma rests historically and culturally on the mythology about the
disease's origin and transmission, and its aesthetic features such as the
enigmatic physical disfigurement, and the distinctive ulcers consequent of
untreated leprosy. While the literature on leprosy has been consistent in showing
that stigma is a social complication of the condition worldwide, there is seldom
recognition of processes of stigmatisation in broader contexts. Effective and
sustainable interventions directed at curbing leprosy stigma and so improving its
social course must, however, be informed by an appreciation of such contexts;
particularly in the light of the goal to eliminate the disease worldwide.
Examining stigma in the broader contexts of historical, social, economic,
political contexts is the aim of this paper. The paper also has implications for
broad ranging intervention efforts aimed at de-constructing leprosy-stigma in
order to craft a more accommodating ambiance of acceptance, care and support for
people affected by leprosy.
PMID- 21888136
TI - Nuancing 'leprosy stigma' through ethnographic biography in South India.
AB - Synoptic life history accounts and case studies of people with leprosy have
tended to follow conventionalised narrative forms, with the onset of leprosy
causing a violent rupture in otherwise positively construed life courses. Many of
those I worked with in India, well-versed in relating their stories to donor
agencies, were also aware of the power of such narratives to access funding.
While case studies can be informative about the politics of representation, then,
they often obscure as much as they reveal about the lives of those described
within them, emphasising leprosy-related stigma at the expense of other forms or
drivers of social exclusion. Drawing upon a series of interviews with a leprosy
affected man I have known and worked with for 25 years, this paper demonstrates
how more nuanced--and, from a policy perspective, more useful--accounts might be
achieved through intensive biographical interviews carried out over time. In
particular, analysis of such biographies, set against the wider backdrop of
ethnographic research, allows for a more subtle reading of leprosy-related
stigma, contextualised in relation to a range of intersecting socio-political,
cultural and economic concerns.
PMID- 21888137
TI - Leprosy in Eastern Nigeria and the social history of colonial skin.
AB - To the historian, the 'historical' experience of leprosy control is not simply a
backdrop to contemporary patterns or problems in disease control. The control of
leprosy has been enacted in different ways in localities, territories and states
across the world. The specific clinical, political, and institutional choices
made in leprosy control have been highly significant in shaping attitudes and
approaches to leprosy. The term stigma has a history of usage, contention and re
definition. Stigma, then, is a product of its intersecting social, economic, and
medical contexts. In order to capture the degree to which stigma associated with
leprosy has mutated and changed over time, this article concerns itself
specifically with the colonial experience of leprosy, with a focus on the
formerly leprosy-endemic area of southeastern Nigeria (known as the Eastern
Region, or Eastern Nigeria) in the last quarter century of colonial rule ending
in 1960. The article examines how leprosy was presented, identifying some of the
forms in which ideas of stigma and taint with respect to leprosy were
communicated. It goes on to examine how leprosy was encountered as a medical
problem in Eastern Nigeria, placing leprosy in the context of skin diseases most
commonly encountered by colonial medical services. It concludes by demonstrating
how leprosy was understood, looking briefly at local and biomedical means of
identifying and combating these diseases, and the meanings of these diseases in
the rapidly changing contexts of mid- and late-colonial rule and the onset of
Nigerian Independence in 1960.
PMID- 21888138
TI - Pride and prejudice--identity and stigma in leprosy work.
AB - This article sets out to expand the way stigma, and those affected by it, are
understood within leprosy discourse and to apply these insights to the analysis
of the experiences of leprosy workers. The term stigma is often used simply as
shorthand for 'negative social experience'. However, to reduce the negative
aspects of complex everyday life experiences to a single word is often overly
simplistic and can serve to objectify, rather than illuminate, the experiences of
those affected. This article argues that in order to understand the lived
experience of stigma we must come to understand stigma as an ongoing, dialectical
social process and develop an approach to stigma that analytically separates
stigma from its negative social consequences. The article applies these insights
to data collected during 14 months of fieldwork with front-line leprosy workers
in India, which suggests that falling leprosy prevalence rates and a rapidly
changing policy landscape have led to leprosy workers feeling marginalised and
stigmatised within their own organisation. The article argues that, rather than
seeing stigma merely as a negative process in which leprosy workers are passive
victims, we must recognise that stigma also plays a key role in the creation and
maintenance of leprosy workers' identity and is utilised as a strategic tool in
the struggle for influence between different groups within the organisation.
Finally, the article argues for the benefit of expanding our understanding of
stigma across public health and of applying these insights to designing future
interventions.
PMID- 21888139
TI - Leprosy and stigma in the context of international migration.
AB - If it can be argued that no single attribute or condition (leprosy included) is
inherently or universally considered to be 'deeply discrediting,' to quote
Goffman, then we must consider how external factors shape stigma associated with
that condition in different cultural and socioeconomic contexts. Often, an
analysis of what is perceived to be stigma towards people affected by leprosy
uncovers other prejudices or stigmatising attitudes associated with class,
gender, and/or ethnic inequalities in that society. The movement of people across
international borders adds new dimensions to the experience of leprosy, as
affected individuals confront different sets of understandings of the disease
among healthcare professionals, friends, family, and employers in host and
sending countries. Preconceptions of the immigrant 'other' in host countries may
be bound up with notions of disease and danger, further complicating the
experience of leprosy treatment for immigrants. Drawing on the work of others and
on early stage qualitative research on leprosy among Brazilian immigrants to the
United States, this paper will consider the ways in which immigration and
transnational processes could affect the experience of stigma among immigrants
affected by leprosy.
PMID- 21888140
TI - Leprosy, the key to another kingdom.
AB - OBJECTIVE: In India, many people affected by leprosy still live in self
established settlements, commonly referred to as leprosy colonies. Aid
organisations generally attribute the social segregation of colony members to the
stigma attached to leprosy. In this article, I argue that the common approach
towards leprosy colonies is actually based on a limited understanding of social
relations between colony members and others. The insights from this study will
hopefully facilitate re-thinking current approaches to stigma reduction in
leprosy. DESIGN: An ethnographic study conducted over a period of three months in
and around a leprosy colony in India. With the help of a local research
assistant, I carried out semi-structured interviews, informal conversations, and
participant as well as non-participant observation. I conducted interviews with
22 colony members and 25 residents from the adjacent neighbourhoods of the
colony. RESULTS: This study reveals that the differentiation between colony
members and others derived at least as much from community membership as from
stigma. Leprosy-affected people living outside the colony, for example, perceived
the colony members as widely different from themselves, whilst stigma affected
both groups. Programmes with the aim of reducing stigma need to approach stigma
relations as embedded in various interrelated physio-emotional and socio-cultural
processes, rather than approaching social aspects of leprosy by focusing
exclusively on the notion of stigma.
PMID- 21888141
TI - Social implications of leprosy in the Netherlands--stigma among ex-leprosy
patients in a non-endemic setting.
AB - BACKGROUND: In the Netherlands, leprosy is a rare and non-endemic disease, still
occurring as an 'import disease'. Moreover a considerable group of people
affected by leprosy, originating mainly from the former Dutch colonies, suffer
from neuropathic complications. This study investigates the social implications
of leprosy for those affected in the Netherlands. METHODS: Thirty-one people
affected were interviewed as well as six medical leprosy experts. The social
implications were measured by means of semi-structured interviews and the
Participation Scale. RESULTS: Self-stigma, shame and secrecy were often reported.
Discrimination and stigmatisation of ex-leprosy patients by people around them
seems a less frequent problem. Yet, people affected by leprosy seem to be a
forgotten group with a high social burden due to low self-esteem and reduced
social participation. Medical experts do not seem to be aware of the severity of
leprosy-related forms of stigma in their patients. Ex-leprosy patients lack sound
disease-related information and support groups. Due to the low incidence and as a
consequence, the lack of awareness among doctors, leprosy patients in the
Netherlands are faced with substantial diagnostic delay (mean delay 1-8 years).
CONCLUSIONS: Leprosy and its stigma affect the social lives of patients, even in
a non-endemic area such as the Netherlands. Almost all respondents were affected
by self-stigma. There is a need for 1) more information and support groups for
patients, and 2) increased awareness among professionals.
PMID- 21888142
TI - Acceptability of chemoprophylaxis for household contacts of leprosy patients in
Bangladesh: a qualitative study.
AB - OBJECTIVES: Chemoprophylaxis with single dose rifampicin is a promising
intervention to prevent leprosy in close contacts of patients. However,
application in control programmes often requires disclosure of the leprosy
diagnosis, which is still a stigmatised disease in many countries. Promoting
control and treatment of stigmatised diseases without contributing towards stigma
of the individuals involved can be very difficult. The objective of this study
was to assess the social acceptability of disclosure of the diagnosis and the
attitude towards taking prophylactic medicines in a leprosy endemic area in
Bangladesh. DESIGN: Qualitative study through focus group discussions with 136
healthy men and women from different age groups and religions, coming from two
rural villages and an urban area in northwest Bangladesh, and 14 health workers
with extensive experience with leprosy patients. RESULTS: The participants would
not object to disclosure of the diagnosis to household members and nearby family
if they were diagnosed with leprosy. However, many participants were not willing
to share this information with their neighbours and other social contacts due to
stigma of the disease. All healthy participants were willing to take
chemoprophylaxis if any of their close contacts were diagnosed with leprosy, even
after explaining that full protection against leprosy was not guaranteed.
CONCLUSION: It can be concluded that chemoprophylaxis for household contacts of
leprosy patients is an effective and socially acceptable addition to the current
leprosy control programme. Chemoprophylaxis for other categories of contacts
likely to benefit would only be feasible, without disclosure of patient
information, if given in the form of mass campaigns for the whole population in
the area.
PMID- 21888143
TI - Report of the Stigma Research Workshop for the development of scientific
consensus papers and field guidelines on health-related stigma, held in
Amsterdam, the Netherlands from 11-14 october 2010.
AB - Summary In concurrence with the broad body of literature published on health
related stigma, there is a need for practical field guidance to contribute to the
fight against leprosy-related stigma and discrimination. To this end, much can be
gained by considering the accumulated knowledge and learned from experience with
different stigmatising conditions; primarily HIV/AIDS, disability, tuberculosis,
and mental health. Therefore a Stigma Research Workshop was organised from 11-14
October in Amsterdam, The Netherlands. The primary aim of the workshop was to
produce scientific papers and field guidelines that could be used to target
actions against health-related stigma and discrimination. Keynote presentations
were offered by scientists and professionals from different health domains who
shared their knowledge, experiences and research findings regarding health
related stigma. Group work was subsequently conducted to work towards agreed
outputs on four different themes: i.e. research priorities, measurement,
interventions, and counselling. The spectrum of expertise present enabled an
interdisciplinary and inter-profession sharing of knowledge and practices. This
resulted in the commencement of consensus papers and field guidelines related to
the four themes. An evaluation by participants concluded that the workshop had
been an informative and worthwhile activity that will strengthen the fight
against stigma.
PMID- 21888144
TI - How African-Americans fare with susceptibility to disease: forty years after the
founding of the NBNA.
PMID- 21888145
TI - African-American males' knowledge and attitudes toward genetic testing and
willingness to participate in genetic testing: a pilot study.
AB - This descriptive pilot study explored the knowledge and attitudes of African
American males toward genetic testing and their willingness to participate in
genetic testing. A convenience sample of 104 African-American males, from 19 to
79 years of age, was recruited from a national fraternity meeting. Data were
collected using four surveys: Demographic and Background Data, Perceived
Knowledge of Genetic Testing, Attitudes Toward Genetic Testing, and Willingness
to Participate in Genetic Testing. Perceived genetic knowledge was low with a
mean score of 5.6; however, participants had a favorable attitude toward genetic
testing. Findings from this study suggested that participants were willing to
participate in genetic testing with a total score of 46.8. Significant
correlations existed between perceived genetic knowledge and willingness to
participate in genetic testing. Interventions to increase perceived genetic
knowledge and educate the participant on who is conducting the test and how the
test will be performed may be beneficial to increase participation in genetic
testing.
PMID- 21888146
TI - Factors associated with why African-American women from one urban county use
mammography services less.
AB - A two-group comparison pilot study was conducted with 60 (N = 60) U.S. born
African-American women to determine the factors associated with decreased
utilization of mammography among women who have access to mammography services,
yet choose not to utilize them. Findings from the study suggest that African
American acculturation and Health Temporal Orientation were significantly
associated with mammography utilization (p = 0.01). There was no significant
relationship between other cultural beliefs, health-care avoidance, or
sociodemographic status indicators. Six content areas also emerged from a focus
group discussion associated with barriers/factors related to mammography
utilization. Findings from this study also suggest that further research and
outreach is needed to address the development of tailored interventions to
increase the rate of mammography utilization and reduce the incidence and
mortality related to breast cancer in African-American women.
PMID- 21888147
TI - A descriptive study: weight management practices of members of a professional
nursing association who were trying to lose weight.
AB - In the United States, obesity has reached epidemic levels. A critical challenge
today is improving the health behaviors of those providing care to the general
public. This descriptive quantitative study sought to describe the weight
management practices of members of a professional nursing association who were
trying to lose weight. Questions were adapted from the Behavioral Risk Factor
Surveillance Survey (Centers for Disease Control and Prevention [CDC], 2001
2010). In addition, the HealthStyles survey (Pollard, 2002; Kruger, Blanck, &
Gillespie, 2006) was used along with one additionally inserted question. Data
were analyzed with descriptive statistics, one-way ANOVA, Pearson Product Moment
Correlation, and a Spearman Rho. Findings suggested that a small percentage of
the nurses moved from the obese classification into the overweight status,
thereby improving their health. Over 60% of the nurses attempted to lose weight
by combining dietary and exercise strategies. Forty-one percent of the nurses met
the recommended physical activity guidelines of the American College of Sports
Medicine (ACSM) to maintain health and to reduce the risk for chronic disease,
but in order to lose weight, only 13% met the recommended 60-90 minutes of
physical activity guidelines.
PMID- 21888148
TI - Project genesis: self-reported religiosity and spirituality and sexual risk
taking in young African-American women attending a historically African-American
college.
AB - This pilot study explored the relationship between self-reported religiosity,
spirituality, and sexual risk-taking. The participants were a convenience sample
of (N = 100) female students attending a historically African-American college
(HBCU) in the south. On this predominantly female campus, students completed an
anonymous health-risk survey, plus additional items, to measure their religiosity
and spirituality. Correlation analysis revealed that although these students
reported a high degree of religiosity and spirituality, these characteristics did
not predict a decrease in sexual risk-taking behavior. Over six million new cases
of sexually transmitted infections (STIs), including the human papilloma virus
(HPV), are projected in young Americans despite primary prevention measures.
Although no predictive relationships were noted, self-reported spirituality or
religiosity were not protective factors against high-risk sexual behavior. These
findings are relevant to developing effective interventions in this population in
order to decrease STI/HPV rates.
PMID- 21888149
TI - The influence of urban literature on African-American adolescent girls' sexual
behaviors.
AB - Many African-American teenaged girls are reading urban literature. This genre of
literature is known for its gritty portrayal of urban life and has themes of
violence, promiscuity, substance abuse and misogyny. Although research has
demonstrated that the portrayal of sex and violence in the media are influential
on adolescent sexual behavior, to date there has been little research on the
influence of "urban lit" on adolescent sexual risk behaviors. This qualitative
study explores the influence of urban literature on the sexual risk behaviors
among a group of African-American adolescent girls. Findings from this study
suggest that African-American adolescent girls may be influenced by the sexual
themes depicted in this genre of literature. Additional research is needed to
gain a greater understanding of this phenomon.
PMID- 21888150
TI - Physicians' and nurses' experiences of the influence of race and ethnicity on the
quality of healthcare provided to minority patients, and on their own
professional careers.
AB - This qualitative content analysis examines data from African-American and
Hispanic physician and nurse focus groups conducted by the Institute of Medicine
(IOM). Participants discussed the influence of race and ethnicity regarding
perspectives on healthcare provided to ethnic minority patients, and on the
professional careers of ethnic minority physicians and nurses. A majority of
responses related to Racism and Prejudice, which affected ethnic minority
patients and health-care providers at three levels (health-care system to
patient, provider to patient, and provider to provider). Racism and Prejudice
interfered with promotions, obtaining hospital privileges, and advancement in
careers. Communication and Culture was important among patients who preferred
racially concordant care providers. Role Modeling was found to be important as
participants entered and matured in their professional careers. Findings provide
compelling evidence that racism and prejudice are shared experiences between
ethnic minority physicians and nurses throughout their careers. One concerning
finding was that perceived prejudice materialized at the onset of medical and
nursing education and remained a predominant theme throughout the professionals'
careers. Research should be directed towards providing equity in care and on the
careers of ethnic minority health-care professionals.
PMID- 21888151
TI - Issues in community-based care among homeless minorities.
AB - Homelessness is an increasing major public health problem in the United States.
The homeless population consists of men, women, youth and families who live on
the streets or in shelters. Minorities, especially African-Americans and
Hispanics, are particularly at risk for homelessness due to their high rates of
poverty. Homelessness predisposes persons to poor health, including a variety of
acute and chronic physical and psychological diseases for which they often have
difficulty obtaining healthcare. This article discusses common issues and
challenges that homeless minorities and their health-care providers face in
obtaining community-based healthcare, including issues in caring for homeless men
and women, fragmentation of healthcare, perceived discrimination and provider
bias, provider-patient trust issues, lack of access to care, and health literacy
issues. Two programs designed to provide community-based experiential learning
for nursing students to address these issues and the health-care needs of the
homeless are described, i.e., the Men Achieving Self Health (MASH) and Women
Inspired Self Health (WISH) Outreach Programs. Approaches used by faculty and
students who are engaged in providing health-care services to the homeless via
the MASH and WISH Programs are discussed.
PMID- 21888152
TI - Robotics--the future of surgery.
PMID- 21888153
TI - Clinical profile of epilepsy, in a tertiary care centre of North-west India.
AB - The present study has been undertaken in a tertiary care centre of North-west
India to know the clinical profile of epilepsy and response to drug therapy with
special reference to study the effect of reduction of dosage of anti-epileptic
drug after a seizure-free interval of two years. A total of 904 patients were
selected during the period January, 2001 to October, 2006 who attended OPD clinic
of the department of neurology, SMS Medical College and Hospital, Jaipur.
Datailed clinical history was taken, general physical examination, routine blood
examination, ECG and CT scan along with MRI (brain) in some cases were carried
out. Of all the cases, sex ratio (male : female) was 2:1. A high proportion of
cases (62.83%) were from low socio-economic group, 41.15% had normal EEG, 532
patients had normal CT scan (out of 800 cases). Single drug therapy was
instituted in 71.67% cases. Patients went follow-up for 3 years. Most of the
cases proved to be seizure-free after 2 years. Average maintenance dosage in
patients on monotherapy can be reduced after a seizure-free interval of 2 years.
PMID- 21888154
TI - An epidemiological analysis of patients with abdominal trauma in an eastern
Indian metropolitan city.
AB - The profile and pattern of abdominal trauma is changing with progressing
civilisation. We are lacking epidemiological data from most parts of the world.
This study was conducted to prepare a database in our set up and look into the
pattern of abdominal trauma, make an aetiological correlation of abdominal trauma
with the types of injuries, identify the preventable factors causing delay in
intervention and, compare the data with the other available national and
international data. This prospective, observational study was done in a teaching
hospital in a metropolitan city of eastern India. Records of patients with
abdominal trauma were collected in predesigned forms, from admission to
discharge. Data were analysed applying standard statistical techniques. Males
(87.3%) predominated with the age range between 21 and 30 years, and the majority
(73.5%) had blunt abdominal trauma. Compression injury (57.3%) commonly caused
blunt trauma and stab injuries caused majority of penetrating trauma. The
commonest organ injured both in blunt and penetrating trauma was small bowel
(30.7% and 33.3% respectively). It was found that prehospital trauma care is
virtually non-existent in this region. We are lacking a uniform protocol for the
management of abdominal trauma across the hospitals. With the availability of
better investigational modalities we are moving more towards a conservative
approach to the abdominal trauma patients, especially the blunt abdominal trauma
patients with solid organ injuries.
PMID- 21888155
TI - Intra-operative myocardial ischaemia and infarction in patients with coronary
artery disease undergoing prostatectomy/cholecystectomy.
AB - Fifty patients over the age of 40 years of either sex (28 males and 22 females)
having definite coronary artery disease as indicated by history of previous
myocardial infarction, angina or ECG evidences of myocardial infarction (silent
myocardial infarction), undergoing non-cardiac surgery were studied. In order to
detect the incidence of myocardial ischaemia during the peri-operative period,
continuous monitoring of electrocardiogram was performed in all patients for 24
hours, beginning from the morning of surgery using a 2-channel Holter recorder.
Intra-operative events besides myocardial ischaemia were noted. CK-MB isoenzyme
assay was done on days 0, 1, 2, 3, 5, 7 postoperatively in all patients. CK-MB
and ECG were also done whenever indicated. The incidences of intra-operative and
postoperative ischaemia were 40% and 48% respectively. The incidence of
postoperative myocardial ischaemia in patients with intra-operative myocardial
ischaemia was found to be significantly higher than those patients without intra
operative myocardial ischaemia. The rate of myocardial ischaemia and peri
operative myocardial infarction was lower in patients on beta-blockers than those
patients who were not on these drugs though a statistical difference was not
reached. The rate of peri-operative myocardial infarction was also found to be
higher in patients who had intra-operative hypertensive or hypotensive episodes
though a statistical significance was not reached. Patients who had either pre
operative or peri-operative myocardial ischaemia had greater incidence of peri
operative myocardial infarction than those patients without myocardial ischaemia
but the difference was not statistically significant.
PMID- 21888156
TI - National guidelines for diagnosis and management of dengue fever/dengue
haemorrhagic fever and dengue shock syndrome.
PMID- 21888157
TI - Serotonin syndrome in maintenance haemodialysis patients following sertraline
treatment for depression.
AB - Sertraline, a selective serotonin reuptake inhibitor (SSRI), was used in a dose
of 25 mg orally daily in 12 moderate to severely depressed patients of end stage
renal disease (ESRD) on maintenance haemodialysis. Virtually all of them showed
features of hyperserotonin state and in 11 drug was stopped within 3 weeks. One
of the patients developed almost fatal serotonin syndrome and required intensive
care with ventilatory support. In our experience the use of SSRI, sertraline for
depression in ESRD patients on maintenance haemodalysis was associated with a
high incidence of serotonergic side-effects and toxic serotonin syndrome.
PMID- 21888158
TI - Telecommunication technology used in home healthcare.
AB - Current telemedicine applications are usually developed for doctors to do
consultation and case study between several hospitals. Patients see doctor at
home via Internet becomes possible and it might be a part of lifestyle in the
future. Telemedicine has been an active area of research for over 30 years. In
the past, several telemedicine applications using wired EPABX telecommunications
equipment were provided whereas now-a-days the evolution of wireless
communication means enabling telemedicine systems to operate everywhere in the
world, thus expanding telemedicine benefits, services and applications. How these
applications are used in healthcare delivery and what are the technologies used
in this system are explained in this paper.
PMID- 21888159
TI - HIV-TB: the 'cursed duet'.
AB - Tuberculosis is the most common infection among HIV-infected patients in India.
More deaths were reported due to tuberculosis in AIDS patients in pre
antiretroviral therapy era. HIV is the strongest of all known, risk factors for
the development of TB. Tuberculosis can develop at any stage of the HIV disease.
Extrapulmonary tuberculosis is more common and constitues half of the cases in
HIV-infected individual with TB. Hilar lymphadenopathy is frequently observed. TB
in HIV frequently poses a diagnostic challenge. Acid-fast bacillus demonstration
on sputum smear microscopy is the main-stay laboratory investigation for TB. In
addition to antituberculous therapy, antiretroviral therapy must be initiated in
HIV-infected individual with TB. Early referrals to the RNTCP and ART programmes
are the best option for management.
PMID- 21888160
TI - Women and HIV/AIDS.
AB - Out of 39 million people in the world, currently living with HIV or AIDS, half
are women. Of the 45 million new cases expected between 2002 and 2010, 70% likely
to be women. Therefore women empowerment and awareness about the HIV/AIDS are
mandatory and need of the day for control of AIDS. Women must take control of HIV
prevention to curb spread of infection.
PMID- 21888161
TI - Tuberculous meningo-encephalitis mimicking herpes simplex encephalitis on MRI.
AB - A 30-year-old man was admitted in PGIMER with the complaints of fever, altered
sensorium and seizures. Magnetic resonance imaging (MRI) showed bilateral
symmetrical temporal and frontal hyperintensities, which were highly suggestive
of herpes simplex encephalitis. However, brain autopsy revealed it to be
tuberculous meningo-encephalitis.
PMID- 21888162
TI - Fracture of the penis--report of seven cases.
AB - Fracture of the penis is an uncommon emergency consisting of rupture of the
tunica albuginea of the corpus cavernosum resulting from blunt trauma over an
erect penis. Prompt diagnosis and early surgical repair are essential to ensure a
successful outcome with minimal complications. Delay may result in devastating
physical and psychological disabilities that are potentially avoidable. Here in
this article, 7 cases of fracture penis are being reported, who had been managed
successfully by surgery in 6 cases and one case treated conservatively as he
refused surgery.
PMID- 21888163
TI - Hypothyroidism presenting as cardiac tamponade in Down syndrome.
AB - Down syndrome is the commonest chromosomal anomaly. It is often associated with
hypothyroidism, which may rarely present with cardiac tamponade as the earliest
manifestation and prompt treatment with L-thyroxine is life saving. A six-month
old female child diagnosed as a case of Down syndrome presented with shortness of
breathing for last 1 1/2 months. Facial dysmorphism, characteristic of Down
syndrome was present. Echocardiography revealed large pericardial effusion and
right ventricular diagnostic collapse. A case of Down syndrome with
hypothyroidism and cardiac tamponade was kept in mind. She was treated with L
thyroxine and clinical status improved.
PMID- 21888164
TI - A comparative clinical study of injection clonidine versus midazolam in
supraclavicular brachial plexus block for sedation and postoperative analgesia.
PMID- 21888165
TI - SILS--is it natural progression from multiport minimal access surgery?
PMID- 21888166
TI - Prevalence of rheumatic conditions in patients with diabetes mellitus in a
tertiary care hospital.
AB - Musculoskeletal disorders are common in diabetic subjects. The pathophysiology of
these disorders in diabetic patients is not obvious. It could be due to
connective tissue disorders, glycosylated end products, vasculopathy, neuropathy
or combinations. A wide range of musculoskeletal syndromes have been described in
association with diabetes, namely diabetic cheiro-arthropathy, adhesive
capsulitis of shoulder, carpal tunnel syndrome, Dupuytren's contracture,
hyperostosis, osteo-arthritis, hyperuricaemia, etc. This study was undertaken to
find out the prevalence of these conditions in diabetes mellitus and to look for
any associations with diabetic complications or therapy. A tertiary care centre
based cross-sectional study was carried out among 100 consecutive diabetic
patients (WHO criteria) attending medicine department who were enrolled. The
study was done at Calcutta National Medical College and Hospital, Kolkata, from
March 2008 to February 2009. The diagnoses of the rheumatic conditions were made
by unbiased clinical observations on the basis of standardised case definitions
or criteria. Limited joint mobility (29%), adhesive capsulitis (18%), and osteo
arthritis of knee (27%) or hand (17%) were the most common rheumatic conditions
in diabetics. Trigger finger (flexor tenosynovitis) and carpal tunnel syndrome
were also present in 7% and 5% cases of diabetics respectively. Although
hyperuricaemia was present in 9%, clinical gout was present in only 4%. There was
no clear association of these syndromes with diabetic renal disease or micro
albuminuria. Most of these conditions were noted in chronic long duration
diabetic subjects.
PMID- 21888167
TI - Study of referral pattern to ophthalmology outpatient department from various
departments in the medical college.
AB - The study was aimed at finding out the pattern of referrals to ophthalmology
outpatient department (OPD) in a teaching hospital and to provide guidelines for
the improvement. Patients referred from various departments of a medical college
situated in central India for ophthalmic evaluation were scrutinised for age,
sex, diagnosis and the referring OPD. Out of 730 patients referred, 350 (47.95%)
were males and 380 (52.02%) were females. The highest referral was found in the
age group 45-64 years (22.60%). The highest referral was from the medicine OPD
(58.22%) and the lowest was from psychiatry (2.05%). Maximum patients were
referred for fundus examination (27.40%). While there were 280 patients (38.36%)
who had refractive error, no diagnosis was established in 147 cases (20.14%).
Ophthalmic opinion definitely helped patients as well as physician in the
management of the patients. The study also stresses on some areas deficient, when
unnecessary referrals could be avoided by arranging short annual refreshers
courses to acquire basic skills in ophthalmology like visual acuity testing,
colour vision, ophthalmoscopy and the diagnosis of common ocular conditions. We
believe this kind of activity will help to make our services more efficient and
cost effective.
PMID- 21888168
TI - Epidemiology of dengue, its prevention and control in India.
PMID- 21888169
TI - Elimination of malaria--challenges in India.
PMID- 21888170
TI - World Kidney Day 2011 : protect your kidneys, save your heart.
AB - World Kidney Day is observed on March 10 every year and in 2011 the 6th annual
event is going to be celebrated under the joint sponsorers - International
Society of Nephrology and the International Federation of Kidney Foundations. The
presence of chronic kidney disease significantly increases the risk of a
cardiovascular event in both diabetes and hypertension. Proteinuria is always a
marker of kidney disease. The time to development of a cardiovascular event is
accelerated significantly by the presence of proteinuria at all levels of
glomerular filtration rate. It is suggested that renal-targeted interventions
designed to reduce proteinuria and slow progression of chronic renal disease can
reduce cardiovascular disease. The biomarkers of chronic kidney disease
(proteinuria, eGFR) are easy and relatively inexpensive to detect and one of
these, proteinuria emerges early in the generalised vascular disease.
PMID- 21888171
TI - Clinicopathologic profile of non-Hodgkin's lymphoma in a rural medical college.
AB - A prospective study was done at North Bengal Medical College, Sushrutanagar,
Darjeeling, West Bengal over a period of two years. All patients diagnosed as non
Hodgkin's lymphoma were analysed for clinical presentation, classified according
to the Working Formulation and staged according to Ann Arbor staging system. A
lower median age (39.94 years) of onset and higher male to female ratio (3.22:1)
as compared to western countries were observed. We found neck swelling was the
commonest presenting symptom (65.79%) and peripheral lymphadenopathy was the
commonest sign (94.74%). "B symptoms" were noted in 63.16% cases. Cervical lymph
nodes were commonly involved (78.95%), followed by axillary (55.26%). Thoracic
lymph nodes were enlarged in 31.58% cases and abdominal lymph nodes in 18.42%
cases. We found diffuse mixed variant was the commonest (31.58%) followed by
diffuse large cell (18.42%). At presentation most of the cases were of
intermediate grade (55.26%) and in stage III (44.74%). We conclude that there is
a distinct geographical pattern of non-Hodgkin's lymphoma in respect of age, sex,
grade and stage of the disease which is distinctly different from those of
western countries.
PMID- 21888172
TI - Unusual origin and variation in topographical relation of inferior alveolar nerve
in the left infratemporal region.
AB - The inferior alveolar nerve and its topographical relation with the maxillary
artery during routine dissection in the department of anatomy, Dayanand Medical
College and Hospital, Ludhiana was studied in 50 infratemporal fossae. In one
specimen an unusual variation in the origin of inferior alveolar nerve was found.
The inferior alveolar nerve in this case originated from the mandibular nerve by
two roots. Its relationship with the maxillary artery also showed variation. The
second part of maxillary artery was passing between the two roots of inferior
alveolar nerve. An embryological basis of this variation and its clinical
implication is discussed.
PMID- 21888173
TI - Acute gastric volvulus: a report of eight cases.
AB - Acute gastric volvulus is an abnormal rotation of the stomach through 1800. This
study illustrates a series of 8 patients who underwent operative treatment for
acute gastric volvulus. Volvulus was primary in all the cases. Of the 8 patients,
6 had organo-axial (75%) and 2 mesenterio-axial (25%) volvulus. Anterior
gastropexy (gastrostomy) was performed in all the cases. There was no mortality
and all the patients are doing well after one-year follow up.
PMID- 21888174
TI - Immunosuppressive therapy in children.
AB - The treatment of auto-immune diseases is evolving and newer agents become
available. This review will outline treatment options in children with auto
immune disorders. Treatment with current corticosteroids and azathioprine works
in majority but issues of intolerance and incomplete response arise, which led to
window of newer immunosuppressants including mycophenolate mofetil, cyclosporine,
tacrolimus, sirolimus, and various antibodies of human and animal origin. The
newer agents have been studied in fewer numbers of children, so they are not
first-line treatment yet but do have a clear role in patients with intolerance or
incomplete response to standard therapy.
PMID- 21888175
TI - Anti-epileptic drug therapy: an overview of foetal effects.
AB - Anti-epileptic drugs are associated with several foetal effects which necessitate
a rational use of these drugs and early identification of the various adverse
manifestations. This article gives a comprehensive review of foetal adverse
effects described with various anti-epileptic drugs. The risk of malformations is
increased 2-3 folds compared to general population, especially sodium valproate,
more with polytherapy. The various manifestations of foetal anticonvulsant
syndrome include developmental delay, malformations like facial clefts and neural
tube defects, ocular abnormalities, learning difficulties, and digital
hypoplasia. There is less data on effects of new anticonvulsant drugs, but they
appear to be safer. The risk of teratogenicity is high with traditional drugs and
with polytherapy; the newer agents like lamotrigine and oxcarbazepine are
relatively safe. Individualisation of the drug therapy, optimisation of the dose
and concurrent folic acid administration are important measures to reduce the
potential risk to the foetus.
PMID- 21888176
TI - Avian influenza: risk to human health and the need for an effective government
policy.
AB - Since 1997, outbreaks of highly pathogenic avian influenza have increased in
frequency and severity. In December 2003, an outbreak of H5N1 avian influenza
occurred in poultry in eight Asian countries. Vietnam and Thailand also reported
human infection due to H5H1 avian influenza virus. Outbreaks of avian influenza
(flu) among poultry continue and Thailand has reported human mortality in a
second wave of human avian influenza in the year 2004. The main presenting
features of avian influenza H5N1 in humans are fever, pneumonitis, lymphopenia
and diarrhoea. Notably coryza, sore throat and conjunctivitis were absent. H5N1
strains are sensitive to neuraminidase inhibitors which can be used for treatment
and prophylaxis. India has been lucky so far, but with emerging infectious
diseases, what can be predicted is that the unpredictable will happen and with a
population exceeding one billion, the impact will be disastrous. The mortality
rate of H5H1 avian influenza has been greater than 70% so far. The need of the
hour is to have a proactive National Pandemic Influenza Respone and Preparedness
Plan which should outline a coordinated national strategy to prepare for and
respond to an influenza pandemic which is probably imminent. How prepared are we
to handle it, is the question that all nations must ask themselves. This article
summarises the current knowledge about this emerging infectious disease, the
current global situation and the surveillance and diagnostic recommendations.
PMID- 21888177
TI - Triplet pregnancy with partial hydatiform mole.
AB - Triplet pregnancy with a coexisting mole is extremely rare. A 26 years old
primigravida with multiple gestation and severe pre-eclampsia at 32 weeks
gestation was brought to Sri Ramachandra University casualty. In view of abnormal
Doppler study with discordant twins emergency lower segment caesarean section was
done six days later. Part of the placenta showed molar changes. Histopathology
confirmed partial mole. Patient received three cycles of methotrexate in view of
rising titres of betahCG. Three months after delivery both babies are alive and
well and betahCG for the mother became normal. This pregnancy continued beyond 32
weeks gestational age with both babies being alive. Hence this case is being
reported to highlight its rarity.
PMID- 21888178
TI - Scalp abscess due to Salmonella typhimurium-- a case report.
AB - The incidence of non-typhoidal salmonellosis has markedly increased in the past
decade. Localised infection develops in approximately 5-10% of persons with
salmonella bacteraemia. In this report, a 4-year-old female child suffering from
acute lymphoid leukaemia is presented with high grade intermittent fever.
Pustular lesions were observed over the right side of the scalp. The scalp
abscess was drained and pus was sent for culture and sensitivity. Culture grew
Salmonella typhimurium. Blood culture also grew the same organism. She had an
uneventful recovery after treatment.
PMID- 21888179
TI - Complete diphalia with renal agenesis.
PMID- 21888180
TI - Evaluation of efficacy and tolerability of RB Tone forte tablet in the treatment
of pregnancy anaemia.
AB - In India < 90% of anaemia cases are estimated to be due to iron deficiency,
because high iron requirements during pregnancy are not easily fulfilled by
dietary intake. Ferrous ascorbate is widely prescribed iron salt in India but
still no trial of ferrous ascorbate in Indian patients has been published. The
study is to aim the evaluation of efficacy and tolerability of RB Tone forte
tablet in the treatment of pregnancy anaemia. Fifty-five pregnant women (> 18
years) with haemoglobin value between 8 and 11 g/dl in 13th week of pregnancy
were included in the study. The duration of study was 6 months. Study drug RB
Tone forte tablet, (Medley pharmaceutical, Mumbai) containing ferrous ascorbate
equivalent to elemental iron 100 mg + folic acid 1.5 mg + elemental zinc 22.5 mg
was prescribed once daily to all pregnant women from 13th week of pregnancy for a
duration of 6 months. Haemoglobin was assessed at the beginning of the therapy
and at the end of the trial. Study included birth weight and gestational age as
outcomes because of a need for more information on the functional consequences of
iron supplementation during pregnancy. Tolerability was evaluated based on the
global assessment by the investigator and patients on a 3-point scale marked as
excellent/good/poor. Fifty patients were included for final analysis, 5 patients
lost to follow-up. Haemoglobin levels increased from the mean baseline value of
8.950 +/- 0.1422 g/dl to 11.91 +/- 0.07840 g/dl, with mean increase of 2.964 +/-
0.1624 g/dl at the end of trial (p < 0.0001). Mean birth weight of infants (n =
50) was found to be 3079 +/- 25.10 g. Mean gestational age at the time of
delivery was 38 weeks. No preterm delivery was reported, As per investigators
assessment about tolerability of trial drug, 48% of patients reported good, 46%
excellent and 6% reported poor tolerability. As per patient's assessment about
tolerability 92% of patients reported good to excellent tolerability and 8%
reported poor tolerability. All patients reported excellent gastro-intestinal
tolerability of study drug. Positive effect on pregnancy outcome like gestational
age and birth weight is mainly attributed to vasodilating property of ferrous
ascorbate and beneficial effect of zinc. Ferrous ascorbate must be preferred as
first choice of oral iron salt due to positive effect on haemoglobin value,
vasodilating property and superior tolerability.
PMID- 21888182
TI - We will make the difference.
PMID- 21888181
TI - The efficacy and safety of amoxicillin-clavulanic acid 1000/125mg twice daily
extended release (XR) tablet for the treatment of bacterial community-acquired
pneumonia in adults.
AB - This study was designed to demonstrate the efficacy and safety of
pharmacokinetically enhanced amoxicillin/clavulanic acid 2000 mg/125 mg extended
release formulation (ER), than conventional formulations against community
acquired respiratory tract pathogens, particularly Streptococcus pneumoniae, with
reduced susceptibility to amoxicillin. This is an open labelled, multicentric,
prospective, interventional study carried out across India from June 2008 to
March 2009. The study included adult patients (>18 years), weighing between 40 to
60 kg with radiologically confirmed community-acquired pneumonia (CAP). Primary
efficacy parameters were clinical response (fever, cough severity, sputum
characteristics and improvement in dyspnoea grades) and laboratory parameters.
Secondary efficacy parameters were radiological and bacteriological findings at
the end of therapy. A total, 727 clinically and radiologically confirmed
community-acquired pneumonia patients were enrolled in this study. Eighteen
patients were lost to follow-up during study and 709 completed the study as per
the study protocol. There was a significant improvement in clinical as well as
laboratory parameters at the end of therapy. There was a significant improvement
in fever, cough severity, sputum characteristic and dyspnoea grades from 101.88
+/- 1.55, 2.18 +/- 0.76, 1.75 +/- 0.77 and 1.91 +/- 1.23 to 98.14 +/- 0.87 (p <
0.0001), 0.24 +/- 0.45 (p < 0.0001), 0.14 +/- 0.39 (p < 0.0001) and 0.20 +/- 0.47
(p < 0.0001) respectively. Laboratory parameters such as total WBC count and
neutrophil percentage decreased significantly from 15317 +/- 662 and 80 +/- 9 to
9067 +/- 558 (p < 0.0001) and 67 +/- 9 (p < 0.0001) respectively at the end of
treatment. Bacteriological success and radiological success for amoxicillin
clavulanate 1,000/62.5 mg at the end of treatment was 94.33% (150 of 159) and
98.7% (700 of 709) respectively. Mild to moderate diarrhoea was reported in
61/709 patients (8.6%). Amoxicillin-clavulanate 1,000/62.5 mg given twice daily
for ten days was shown to be clinically effective and safe in the treatment of
community-acquired pneumonia in adult patients. Therapy was well tolerated. [J
Indian Med Assoc 2011; 109: 124-7]
PMID- 21888183
TI - The dangerousness of schizophrenia.
PMID- 21888184
TI - [Financial crisis and mental health in Greece].
AB - Several studies indicate an association between economic crises and psychological
burden. To investigate the possible impact of the current economic crisis on
mental health in Greece, the association between two economic indicators
(unemployment and average income) and mental health variables (psychiatric clinic
admittance, visits to outpatients' departments and emergency units, suicides,
homicides, mortality rates and divorces) was studied. The data were gathered by
the Greek Statistical Service and some others were provided by the following
hospitals: Eginition Hospital, Psychiatric Hospital of Attica, Athens General
Hospital and Evaggelismos Hospital. Simple and multiple regression analyses were
performed on the data. There was no significant correlation between the level of
unemployment, as well as the average income, and admittance to the psychiatric
clinics. A significant correlation was isolated between unemployment and visits
to outpatients' department (R2 = 0.40, p = 0.001) and emergency unit (R2 = 0.49,
p = 0.0002) of Eginition Hospital. The unemployment rate during the period 1981
2008 was positively associated with the number of homicides (R2 = 0.16, beta =
0.000049, p = 0.03), as well as the number of divorces (R2 = 0.20, beta = 0.005,
p = 0.02) during the same period. The average income showed positive association
with the visits to both outpatients' department (R2 = 0.55, p < 0.001) and
emergency unit (R2 = 0,37, p = 0.004) of Eginition Hospital. However, the data
from the 4 hospitals of the study revealed a negative correlation between average
income and visits to outpatients' departments (R2 = 0.70, p = 0.02) and emergency
units (R2 = 0.90, p < 0.001). Furthermore, a significant negative correlation
between the average income and suicide rates (R2 = 0.37, p = 0.007), as well as a
positive correlation between the average income and divorce rates (R2 = 0.73, p <
0.001) were found. The findings show several similarities with previous surveys
in countries with analogous economic crises, such as the Former Eastern Bloc
countries, Asian countries and the USA. Future studies, at a more late stage of
the economic crisis, are expected to reveal more reliable associations with
mental health. Finally, these findings are expected to inform intervention
programmes dealing with prevention or mitigation of the impact of economic crisis
on citizens' mental health.
PMID- 21888185
TI - [A volumetric study of brain structures in subtypes of depression].
AB - The aim of this study is to compare the volumes of hippocampus, amygdala and
subgenual prefrontal cortex among patients with melancholic depression, patients
with psychotic depression and normal controls. Thirty nine patients with a
diagnosis of major depression (22 with melancholic and 17 with psychotic subtype)
and 18 normal controls were included in the study. Hippocampal, amygdala,
anterior and posterior subgenual cortex volumes were measured by manual tracings
on magnetic resonance volumetric images and compared across the 3 groups. We
identified larger amygdala volumes and smaller left anterior subgenual cortex
volumes in both patient groups compared to controls. There were no differences in
hippocampal, right anterior and posterior subgenual cortex volumes across the 3
groups. In conclusion, melancholic and psychotic depression were not
differentiated regarding the volumes of the hippocampus, the amygdala, and
anterior and posterior subgenual cortex, even though amygdala volumes and left
anterior subgenual cortex volume of both patient groups were differentiated
compared to controls.
PMID- 21888186
TI - [Development of the Risk Assessment Suicidality Scale (RASS): a population-based
study].
AB - Suicide and suicide attempts are significant and costly public health problems.
In order to prevent suicidal and other self-injurious behavior, research on the
multiple factors involved in these behaviors with comprehensive and user-friendly
instruments is necessary. The aim of the current study was to construct a self
report instrument with emphasis on the items which describe suicide-related
behavior itself rather than strongly related clinical features on the basis of a
general population study. Twelve items comprising a new scale were applied to 734
subjects from the general population (40.6% males and 59.4% females) aged 40.8 +/
11.5, along with the STAI and the CES-D. The scoring method was developed on the
basis of frequency table of responses to the individual scale items. The factor
analysis returned 3 factors explaining 59.19% of total variance (Intention, Life,
and History). The Cronbach's alpha was 0.85 for the Intention, 0.69 for the Life
and 0.52 for the History subscale. The RASS is a reliable and valid instrument
which might prove valuable in the assessment of suicidal risk in the general
population as well as in mental patients.
PMID- 21888187
TI - [Psychotherapeutic interventions in stereotypies].
AB - Stereotypies belong to the psychomotor disorders and they are found in many
different disorders. This article refers to the international literature about
the psychotherapeutic interventions in stereotypies and reviews the
psychotherapeutic techniques that are already being used for these disorders.
This study refers to four kinds of psychotherapeutic treatment: (a) Behavioral
therapy, (b) Milieu therapy which can be combined successfully with an
occupational therapy, (c) Family therapy and (d) Supportive or cognitive or
dynamic psychotherapy. The method used for finding the articles for this review
was the web research. The articles found were 44 in total, but only 25 were
studied extensively since they were absolutely relative to the subject of this
review. 12 of these articles were case studies, 7 theoretical papers and 5 of
them were reviews. The last one was a research epidemiological study. According
to most of the articles, behavioral therapy is considered to be the most
effective psychotherapeutic treatment for attenuating stereotypies and relies on
techniques like systematic desensitization, environmental enrichment, positive
reinforcement of alternative behaviors and negative reinforcement of the
"problematic" behaviors. The milieu therapy, combined with occupational therapy,
endeavours to shape an appropriate environment where the patient can recover.
Family therapy focuses on fully informing the relatives about the nature of
stereotypies. Supportive psychotherapy focuses on the current problems in the
patient's life. The cognitive psychotherapy tries to fix the dysfunctional
thoughts of the patients. Finally, the dynamic psychotherapy is focused on the
restoration or reinforcement of the patient's defensive mechanisms. It should be
noted that there is a lack of systematic research in the field of stereotypies in
general and, especially, regarding psychotherapeutic interventions.
PMID- 21888188
TI - [The clinical impairment of children with Attention Deficit Hyperactivity
Disorder: problematic of diagnostic criteria].
AB - One important consideration in the diagnosis of Attention Deficit Hyperactivity
Disorder (ADHD), as set forth in the criterion D of DSM-IV, is the assessment of
clinically significant impairment in social and academic functioning. Despite the
avowed importance in the assessment of ADHD, there is little guidance in DSM-IV
in defining impairment. Rating scales assessing impairment are few in number and
rarely used in clinical practice. Overlooking impairment in diagnostic approaches
has strong clinical implications, leading to false positive or false negative
diagnoses. The relation between impairment and symptoms remains currently
undefined and a controversial field in the ADHD literature, as many children can
display the full range of ADHD symptoms without necessarily displaying
significant impairment in their functioning. The relation between symptoms and
impairment is more obvious in older children, where domains of impairment are
more expanded. This finding suggests that symptoms and impairment are related,
but yet there are distinct domains that should be measured independently to
confirm the presence of ADHD. In conclusion, an operational definition of
impairment, valid measures and diagnostic decision rules for incorporating
impairment into the assessment of ADHD is warranted. Additional research is also
needed to determine whether the age of onset of symptoms (before the age of
seven) is different or should be separated from the age of onset of impairment.
PMID- 21888189
TI - The impending demise of mortality abstracts.
PMID- 21888190
TI - Whither mortality abstracts?
PMID- 21888191
TI - Modeling total cholesterol as predictor of mortality: the low-cholesterol
paradox.
AB - Elevated total cholesterol is well-established as a risk factor for coronary
artery disease and cardiovascular mortality. However, less attention is paid to
the association between low cholesterol levels and mortality--the low cholesterol
paradox. In this paper, restricted cubic splines (RCS) and complex survey
methodology are used to show the low-cholesterol paradox is present in the
laboratory, examination, and mortality follow-up data from the Third National
Health and Nutrition Examination Survey (NHANES III). A series of Cox
proportional hazard models, demonstrate that RCS are necessary to incorporate
desired covariates while avoiding the use of categorical variables. Valid
concerns regarding the accuracy of such predictive models are discussed. The one
certain conclusion is that low cholesterol levels are markers for excess
mortality, just as are high levels. Restricted cubic splines provide the
necessary flexibility to demonstrate the U-shaped relationship between
cholesterol and mortality without resorting to binning results. Cox PH models
perform well at identifying associations between risk factors and outcomes of
interest such as mortality. However, the predictions from such a model may not be
as accurate as common statistics suggest and predictive models should be used
with caution.
PMID- 21888192
TI - PSA testing standards.
PMID- 21888193
TI - Effect of increasing body weight on morbidity and mortality in South Korea.
AB - The number of overweight and obese people in South Korea is increasing due to
changes in exercise and dietary habits. The World Health Organization estimated
that 45% of Korean men and 54% of women were overweight in 2005, and the
percentages are expected to increase to 66% and 67%, respectively, by 2015.
Studies have also found that more than 10% of Korean children and adolescents are
now obese. These trends are important from both a public health and an insurance
perspective because weight gain increases the likelihood of diabetes,
cardiovascular disease, cancer, and other disorders that affect morbidity and
mortality.
PMID- 21888194
TI - Do I hear what you hear? Two cases and discussion.
AB - It is fascinating to note the astute observations of clinicians almost a century
ago. Familial hematuria was described in 1902 by Dr. Leonard Guthrie. Dr. Cecil
Alport refined the disease description in 1927. (Both papers are still available
online.) Applications for life insurance were recently received on two women who
had Alport syndrome as a known diagnosis. The cases will be presented and used as
a springboard to discuss Alport syndrome and benign familial hematuria (BFH).
PMID- 21888195
TI - Q waves--does depth matter?
AB - Deep narrow Q waves in an electrocardiogram may be significant and should suggest
several possible diagnoses. By considering the leads where they are observed, the
presence of additional ECG abnormalities, the applicant's age and any relevant
clinical information, one can considerably narrow the diagnostic possibilities.
PMID- 21888196
TI - Cryoglobulinemia.
AB - Cryoglobulinemia indicates the presence of immunoglobulins that undergo
reversible precipitation at low temperatures resulting in systemic inflammation
and thrombosis producing variable clinical manifestations.
PMID- 21888197
TI - Why do I do it and not publish it? Part 2.
PMID- 21888198
TI - [Prenatal care attention in the view of a group of women who use the
supplementary subsector].
AB - This qualitative approach study, aimed at understanding the perception of women
who went through the Prenatal Care Attention (PCA), on the context of the
Supplementary Subsector (SS), in relation to the quality of this attention. Data
were collected through semi-structured interviews and analyzed by descriptive
categorization. For the interviews, the PCA was considered of good quality,
meeting the women's needs and exzpectations. Receptivity, bonding with the expert
the consequently transmitted safety feeling and the duration of the appointments
were the prominent criteria in this evaluation. Their'experiences suggest that
the PCA performed in the SS partially meets the recommendations of the Brazilian
Ministry of Health (MH) and the World Health Organization (WHO). The
appointments' frequency and the orientation provided also meet the
recommendations. However, the data suggest that the PCA does not meet the
orientations of the MH and the WHO regarding avoiding the extended use of hard
technology, such as obstetric sonography.
PMID- 21888199
TI - [Search by specialized training in occupational health nursing by nurses].
AB - This article examines and discusses the search for specialized formation in
Occupational Health Nursing for nurses. This is an exploratory descriptive
qualitative study whose data were analyzed using content analysis. Data
collection took place in May, 2010 with nine students from a specialization
course that agreed to participate. All participants signed a free and informed
consent term. The search for specialized formation is governed by the perspective
for professional practice in Occupational Health Nursing and specificity of the
curriculum in occupational health. Interfaces of the educational process confirm
important contributions to a solid formation, projects nursing to
theorical/academic levels and sets a path for the Stricto Sensu formation.
Expectations, motivations and potentialities contributes to a contextualized
governance of the educational process and the contemporary demands for
Occupational Health Nursing.
PMID- 21888200
TI - [Telephone counseling for young users of crack cocaine].
AB - This study seeks to evaluate the use of Brief Motivational Intervention in a
telephone counseling service offered to young people in the process of cessation
of crack cocaine use. A descriptive study was conducted based on a survey of the
records of callsfrom users during the period January 2006 to December 2007. The
researchers included 40 recordsfrom calls of young people aged between 16 and 24
years who consumed crack cocaine, associated or not with other substances. At the
end of the six-month follow up, 65% of youths had stopped the consumption of
crack cocaine. Of the total, 57.5% were in stage of preparation and action on the
first call. The chances of relapse to crack cocaine use were higher in follow-ups
to 30 days. The conclusion suggests that the association of IBM with telephone
counseling is an important treatment option in cessation of crack cocaine use.
PMID- 21888201
TI - [Pregnant teens: signs, symptoms, complications and presence of stress].
AB - The objective of this work is to analyze the correlation between signs, symptoms
and complications and the presence of stress in pregnant adolescents in health
facilities in Maceio, Alagoas, Brazil. Quantitative, cross-sectional data were
collected through questionnaire survey and tests of applied stress in adolescents
treated in prenatal care. Data were analyzed by Epi Info version 3.5, using the
odds as a statistical measure. The survey involved 140 pregnant girls, aged from
10 to 19 years. Results show that 80.7% of them had some degree of stress, 57.1%
being in the resistance phase and 18.6% at the stage of exhaustion. Statistical
association was found between stress and some symptoms. The results indicate the
presence of stress in adolescence and the need for special attention to the
mental health of these women.
PMID- 21888203
TI - [Health education: perceptions of professionals working in a Regional Health
Office].
AB - The aim of this study is to analyze the perceptions of professionals working in a
Regional Health Office in Health Education. This is a qualitative exploratory
descriptive study. Interviews were held with eight professionals from the state
public sector, responsible for implementing public health policies. For analysis
we used analyzing thematic content methodology. The results were discussed based
on proposals of Paulo Freire. It was noted that health professionals have
different perceptions regarding questions related to education and health
education, and their educational practices are supported by the traditional
system. The situation callsfor a change, which includes unifying concepts and
building a new educational praxis, reviewing practices at all times and
incorporating new knowledge to guide the construction of a new model of health.
PMID- 21888202
TI - [Evaluation of organizational and performance features in a Basic Health Unit].
AB - This research aims to evaluate organizational and performance features of a
traditional Basic Health Unit, from the Primary Health Care service network, from
users' perspective. The sample of 55 users was established using the same
criteria of a rapid evaluation methodology, which was already validated and based
on a free lectureship research sampling process. Empirical data were collected
using the Primary Care Assessment Tool instrument validatedfor Brazil. Results
revealed that access is one of the bottlenecks in the system. Primary Health Care
practice does not focus on families and community in its process of work. The
Basic Health Unit offers several services, establishing itself as an entrance to
the system, though it does not always establish a link with users. Investments
are needed in the Brazilian municipal health network in order to strengthen
Primary Health Care attributes.
PMID- 21888204
TI - [Stress in nurses at a hemodynamics ward in Rio Grande do Sul, Brazil].
AB - This study aimed to evaluate the relationship between stress and symptoms
reported by nurses working in units hemodynamics. Data were collected through a
questionnaire. For analysis, the results were considered statistically
significant if p < 0.05, with an interval of 95% confidence. The population
consisted of 63 nurses with a predominance of females (90.5%) and average age of
35.24 (+/- 8.21) years. Most participants werepostgraduate (77.8%) and did not
have another job (77.8%). In relation to stress, 52.4% of nurses had an average
between 1.11 and 1.97, classified as medium stress, and the critical situations
domain presented the highest score (1.63 +/- 0.29). Regarding symptoms, the
domain skeletal muscle had a higher average (1.39 +/- 0.94). In this study, there
was high significant positive correlation between stress and symptoms (r = 0.629,
p < 0.001), thus it is concluded that stress is directly related to the
symptomspresented by the nurses.
PMID- 21888205
TI - [Family in the waiting room of an intensive care unit revealed feelings].
AB - This is a qualitative study that aims to understand the feelings of relatives of
patients admitted to the Intensive Care Unit (ICU). The study was conducted in
the ICU of a large general hospital in the western region of Santa Catarina. The
data collection occurred in 2009 with a semi structured interview to eighteen
families. For data treatment the collective subject discourse was used. Reports
emerged of two items related to feelings: hospitalization in the ICU and while
waiting to enter the unit. The analysis revealed feelings as pain, anguish,
sadness, helplessness,fear, despair, anxiety and expectation infinite. It is
hoped that these results may assist in the training of professionals, to host the
family and its insertion in the ICU environment as an element to be integrated
into nursing care, through actions welcoming, helping them to cope with
hospitalization of a relative in a critical unit.
PMID- 21888206
TI - [Factors associated with delay in children development, Rio Grande, Rio Grande do
Sul, Brazil].
AB - This analytical study aims to evaluate the factors associated to developmental
delay in 8-12 months aged children, indicated as at risk at birth in Rio Grande,
Rio Grande do Sul Brazil. The instruments used were the Denver Development
Screening Test II, anthropometric evaluation and questionnaires. Two hundred and
twenty children (both at risk and out of risk) participated. It was found a
prevalence of o20.5% of potential delay in psychomotor development. Children who
presented a risk of a suspicious DDST II were part of lower income families;
their mothers had not been to more than six prenatal visits; and had
inappropriate weight-age indicator The data suggest the need of a rethinking of
policies on child health, given that other criteria could be included in the risk
conditions to the child at birth.
PMID- 21888207
TI - [Risk factors for low birth weight in public maternities: a cross-sectional
study].
AB - Low birth weight is considered the most influentialfactor in determining neonatal
morbidity and mortality. Also it may be associated with low levels of
socioeconomic development and maternal and child care. This quantitative research
aims to describe the risk factors for low birth weight newborns in public
hospitals in the city of Santa Maria, Rio Grande do Sul Brazil. Quantitative data
were analyzed using descriptive statistics. The results suggest the main risk
factors are: the preterm birth,family income below - two minimum salaries,
nulliparity and multiparity, the occurrence of previous abortion, surgery
delivery, infections, pre-eclampsia and a history of other children of low
weight. It is recommended to invest in the quality of prenatal care, since many
of these risk factors can be avoided or minimized by prenatal care quality.
PMID- 21888208
TI - [Identification and analysis of errors in the preparation of drugs in a pediatric
hospital unit].
AB - This study aims to identify and analyze errors in the preparation of medicines in
the pediatric unit of a hospital. This descriptive study was performed withfour
professionals responsible for the preparation of medicines developed in a
hospital in the interior of Minas Gerais, Brazi. They were submitted to the
technique of structural observation and formulary. A descriptive analysis of the
data was performed. The results highlight the lack of guidelines for the
preparation of drugs, presence of interruptions during theprocess, failure in the
utilization of recommended hand washing techniques and disinfection of bottles
and vials. It is necessary to make professionals aware of the occurrence and
consequences of the main mistakes made by the team, as a stimulus for prevention
through safe and effective practices to improve the quality of care.
PMID- 21888210
TI - [Sexual orientation in the school environment: fact or eagerness?].
AB - This qualitative research aims to analyze how sexual orientation has been
incorporated into pedagogic practices through the point of view of educators from
public schools of fundamental teaching. Twenty three educators from Cajazeiras,
Paralba, Brazil participated in the study. The focus group was elected as
technique of investigation, and the empirical data obtained were organized
according to the technique of analysis of content. It was realized that there is
an effort of the actors to privilege contents related to sexual orientation in
the school environment though they demand that a level of informative and
subjective character about the "sexuality" be encouraged providing the educators
with a space for re-significations of its internality of values. The information
directed to self-care must transcend the limits of prevention and hygienisation,
incorporating extensive, inclusive and reflective methodologies, which recognize
human and social rights and promote the ethical construction of citizenship.
PMID- 21888209
TI - [Civil construction workers and preventive measures against skin cancer: nursing
contribution].
AB - Civil construction's workers are one of the most risk groups to the occupational
cancer. The purpose was to identify these workers knowledge about skin cancer and
describe protection/prevention measures adopted by them. This is a descriptive
and qualitative nature research, developed with 50 workers of a great load
building site firm at municipal district of Rio de Janeiro, Brazil. Data was
collected through a form. From descriptive and statistical analysis, the results
showed that the protection measures adopted by workers to avoid skin cancer are
insufficient; moreover, they are not used in an aligned way with the literature.
The most important necessary change relates to ife styles and access to
information and knowledge about skin cancer. Thus, nursing's interventions along
the Worker's Health stuff can contribute to the implementation of preventive
measures against occupational cancer.
PMID- 21888211
TI - [Principles of the Unified Health System nurses' conception of the Family Health
Strategy].
AB - The study aims to examine nurses' understanding of the principles of the Unified
Health System. universality, equity and integrality. This is a descriptive study
conducted from August to September, 2008, through semi-structured interviews with
26 nurses of the basic units of Health fom Fortaleza, Ceara, Brazil The technique
o f content analysis was used toorganize the data. The results show that nurses
perceive universality as a universal access for all users of health services;
equity as an equal attendance of the population, guaranteeing special attention
to the poor; and integrality of care as the provision of services at all three
levels of care. The conclusion shows that the practitioners' conception of these
principles are similar to those presented in the Federal Constitution. This
represents an important element for the implementation and strengthening of the
model of health care in Brazil.
PMID- 21888212
TI - [Promotion in mental health, nursing creating and intervening with children's
stories].
AB - This paper refers to the importance of the childish stories as an instrument for
the nursing action and the mental health promotion in childhood. The objective
was to veriyj the recognition of the children's emotions, through stories
specific to health. This is a descriptive-exploratory study of a qualitative
nature, conducted in seven groups meetings with six children aged seven to ten
years in an outpatient childish mental health. In the data collection the
following were used as instruments: six fairy tales, the play of emotions,
emotional faces, drawings, paintings and clay sculptures. Thematic analysis was
used in which the following emerged, the recognition of emotions. The children
recognized four basics emotions: sadness, joy, fear and anger. It was found in
the study that the creation of contextualized stories and the strategy of
storytelling group may be useful as a tool for research and qualification of
nursing actions, to promote children's mental health.
PMID- 21888213
TI - [Reactions and feelings of nursing professionals facing their patients' death].
AB - This study aims to know the reactions and feelings of nursing professionals
facing their patients' death. This qualitative research was developed at the
Medical Clinic Unit of a university hospital in Southern Brazil. The population
of the study was composed of four nurses and five nursing technicians that work
at this unit. Data were collected in the second semester of 2006 through semi
structured interviews and analyzed with the use of thematic analysis. The
analysisproduced three categories reactions of nursing professionals facing death
in daily work, feelings towards facing death in daily work; and nursing team
members facing the care of the body after death. Results indicate that there is a
need for discussing this issue in the workplace in order to prepare these
healthcare workers to deal with their patients' death.
PMID- 21888214
TI - [Mental health in primary care: an assistant research approach].
AB - This study aims to comprehend the expectations and aspirations of a community
about the deployment of a group of mental health in primary care. This is a
qualitative study that uses the assistant convergent research approach. Data were
collected through workshops with psychotropics users, accompanied by Primary Care
in the South of Brazil. The first workshop aimed to reflect on and develop
strategies to dealing with asylum model. The second discussed the importance of
spaces that strengthen bonds of affection and act as means of prevention in
mental health. The third discussed the issue of restriction of liberty imposed by
mental suffering. It was found that spaces dedicated to mental health in the
primary care will add to the effectiveness of the practices and to the
construction of new knowledge to the user's health and life
PMID- 21888215
TI - [Pressure ulcers in the elderly: analysis of prevalence and risk factors].
AB - Studies on the prevalence of pressure ulcer and e.rperiences of living with
elderly people with injuries during practice in long-stay institutions for the
elderly have given rise to many concerns. This work aims to examine the
prevalence and risk factors of pressure ulcer in the institutionalized elderly.
This retrospective, quantitative study was held in a public long-stay institution
for the elderly in Fortaleza, Ceara, Brazil. The sample consisted of 300 medical
records of elderly from 2006 to 9009. It was used for data collection form. All
ethical guidelines were followed. The most prevalent risk factors were: stroke
(60%) and hypertension (74.3%). The average prevalence of pressure ulcers in the
period was 18.8%, rangingfrom 11.1% to 23.2%. The recommendations for the
prevention of pressure ulcers include the development of a prevention program to
promote active aging
PMID- 21888216
TI - [A touch on masculinity: prostate cancer prevention in traditionalist Gauchos].
AB - This cross-sectional and quantitative study was carried out with 88
traditionalist gauchos, who took part in the Farroupilha Camp in 2009. It
verified their adherence to prostate cancer examination, also analyzing which
variables influence in the adherence to digital rectal examination. Participants
had an average age of 58.5 years, with high income and schooling level. Most
(92%) lived longer in urban areas, had healthfollow-up at private health services
(70.5%) and have had some preventive examination for prostate cancer (83%). The
ones who had preventive examination had higher education, income and access to
private health services. There was lower demand for preventive exams by those who
lived most of their lives in the rural area. However, there was no relationship
between the place where participants lived longer and adherence to digital
rectalexamination. Findings indicate correspondence in the adherence to
preventive examinations with other studies carried out in Brazil.
PMID- 21888217
TI - [Postpartum depression screening scales: a systematic review].
AB - This study aims to conduct a systematic review of postpartum depression (PPD)
screening scales in puerperal applied until 16 weeks after delivery among women
above 15 years old. Articles were searched in 4 databases. The included articles
should describe precisely the definition and the validation of the used
instruments. Out of the 424 abstracts found, 62 complete articles were accessed
and only 18 articles that fulfilled the above-mentioned requirements were
included. PPD screening period varied from 2 to 10 days postpartum, and patients
were retested between 8 to 16 weeks postpartum. PPD was diagnosed in 8.8 to 40%
of the patients sampled in those studies. The most frequent used scale was the
Edinburgh Postpartum Depression Scale (EPDS). It was concluded that scales are
frequently used in research studies, and may allow the identification of PPD in
gestating and puerperal patient care.
PMID- 21888218
TI - [Nursing classification systems and their application in care: an integrative
literature review].
AB - This study sought for scientific evidences on the use of nursing classification
systems in care through an integrative literature review. The following databases
were used LILACS and PubMed. The keywords used were classification, nursing,
standardized language, system. Thirty-eight articles were selected. Five major
classification systems, implemented in the services, were found: nursing
diagnosis (North American Nursing Diagnosis Association International), nursing
interventions (Nursing Interventions Classification), nursing outcomes (Nursing
Outcomes Classification), the International Classificationfor Nursing Practice
and the International Classificationfor NursingPractice in Colletive Health. The
articles covered aspects related to implementation, assessment continuing
education and validation of terms related to classification systems. The use of
nursing classification systems provides benefits for care, improving it, the
quality of information and service organization.
PMID- 21888219
TI - [Nursing technologies to promote breastfteeding: integrative literature review].
AB - This paper aims to accomplish an integrative literature review on the types of
technologies that nurses have developed or that they could use to promote
breasfeeding. The research was carried out in October 2009 using the descriptors:
breasfeeding, nursing and technology, in the CINAHL, Scopus, PubMed and LILACS
databases. 127 references were founda 10 of them participated in the analysis
since they fit the study selection criteria. It was verified that most (6 - 60%)
of the studies were found in Pubmed in English (8 - 80%) and didn't mention the
study type (4 - 40%). Thirteen types of care technologies were identified
classified as hard (8 - 61.5%) and soft (5 - 38.5%); the main target audience was
formed by children's mothers (9 - 90%), and the video/footage was the most used
technology (4 - 40%). The use of soft and sof-hard technologies should be
stimulated,for they are considered practical and easy to be developed and
applied.
PMID- 21888220
TI - [Possible contributions of acupuncture in the teaching of clinical simulation in
nursing].
AB - This study situates the emerging discussion about the possibility of integration
of knowledge from acupuncture as a contribution to the pedagogicalpractices of
simulated clinical education of undergraduate nursing education. The reflective
work emerged as an approach to the dissertation project "Study on the evaluation
of trigger learning simulation - Morphofunctional Lab/College of
Nursing/Universidade Federal de Pelotas". The integral relationship between man
and nature developed in acupuncture emerges as a suggestion of discussions and a
potential pedagogical toolfor the clinical simulation in nursing. In this
reflection, results prove that there is a need to develop this educational
resource aimed at expanding the teaching of clinical simulation in nursing.
PMID- 21888221
TI - [Nursing care in the perspective of an expanded clinic in the Intensive Care
Unit].
AB - This article aims to reflect on nursing care in view of the expanded clinic in
the Intensive Care Unit (ICU). The expansion of the clinic aims to think of human
beings considering their biological aspects and subjectivity, which is viewed in
the light of each particular case through an integral approach, interdisciplinary
and shared. Joint efforts by interdisciplinary teams are necessary to modify the
ICU setting, where the hegemonic model is historically perpetuated.
Responsibility with the individual their welfare and environment is part of
praxis, a fact that enable a change in hegemonic practicefor extended clinic.
PMID- 21888222
TI - [Sickle-cell disease: short communication on how children express through games
what it means for them to have the disease].
AB - Brief notice of a study aimed at understanding the significance of sickle cell
anemia in children between 3 and 12 years old. This qualitative research is
grounded in Symbolic Interactionism as a theoretical perspective, and in Grounded
Theory as a research method. The data have been collected through interviews with
children, with the use of therapeutic play sessions. Preliminary data analysis
has allowed us to understand that sickle cell anemia is a sad experience for
children, because that more than experiencing pain, children realize they are
powerless in relation to the suffering; they recognize its symptoms, understand
the need for treatment, and consider it only as palliative. Children also
consider their family as an important support, and have the hospital as
reference.
PMID- 21888223
TI - Instantaneous and historical temperature effects on alpha-pinene emissions in
Pinus halepensis and Quercus ilex.
AB - We compared the role of instantaneous temperature and temperature history in the
determination of alpha-pinene emissions in Mediterranean conifer Pinus halepensis
that stores monoterpenes in resin ducts, and in Mediterranean broad-leaved
evergreen Quercus ilex that lacks such specialized storage structures. In both
species, alpha-pinene emission rates (E) exhibited a significant exponential
correlation with leaf temperature and the rates of photosynthetic electron
transport (Jco2+o2) started to decrease after an optimum at approximately 35
degrees C. However, there was a higher dependence of E on mean temperature of
previous days than on mean temperature of current day for P. halepensis but not
for Q. ilex. Jco2+o2 showed a maximum relationship to mean temperature of
previous 3 and 5 days for P. halepensis and Q. ilex respectively. We conclude
that although the best correlation of emission rates were found for instantaneous
foliar temperatures, the effect of accumulated previous temperature conditions
should also be considered in models of monoterpene emission, especially for
terpene (see text) species.
PMID- 21888224
TI - Inhibitory effect of antioxidants on the benz[a]anthracene-induced oxidative DNA
damage in lymphocyte.
AB - Benz[a]anthracene is a ubiquitous environmental contaminant formed during the
incomplete combustion of organic material. Some of the metabolites of
benz[a]anthracene are known to be toxic and carcinogenic. In this investigation,
benz[a]anthracene-induced oxidative damage to lymphocyte DNA was evaluated with
the Comet assay (single cell gel electrophoresis). The level of oxidative DNA
damage caused by benz[a]anthracene increased in a dose-dependent manner (24, 49)
and oxidative DNA damage was significantly inhibited by 5 and 10 microg ml(-1)
ascorbate, 5 microg ml(-1) polyphenols, as well as 5 and 10 microg ml(-1)
curcumin. Moreover, traditional Korean medicinal herbs such as Acanthopanax and
ginseng significantly reduced DNA damage. The results demonstrate that
antioxidant supplementation to lymphocytes inhibits oxidative DNA damage in
vitro, supporting an inhibitory effect against oxidative DNA damage, probably due
to reduction of reactive oxygen species production induced by benz[a]anthracene.
PMID- 21888225
TI - Statistical tools for analysing the data obtained from repeated dose toxicity
studies with rodents: a comparison of the statistical tools used in Japan with
that of used in other countries.
AB - In the present study, an attempt was made to compare the statistical tools used
for analysing the data of repeated dose toxicity studies with rodents conducted
in 45 countries, with that of Japan. The study revealed that there was no
congruence among the countries in the use of statistical tools for analysing the
data obtained from the above studies. For example, to analyse the data obtained
from repeated dose toxicity studies with rodents, Scheffe's multiple range and
Dunnett type (joint type Dunnett) tests are commonly used in Japan, but in other
countries use of these statistical tools is not so common. However, statistical
techniques used for testing the above data for homogeneity of variance and inter
group comparisons do not differ much between Japan and other countries. In Japan,
the data are generally not tested for normality and the same is true with the
most of the countries investigated. In the present investigation, out of 127
studies examined, data of only 6 studies were analysed for both homogeneity of
variance and normal distribution. For examining homogeneity of variance, we
propose Levene's test, since the commonly used Bartlett's test may show
heterogeneity in variance in all the groups, if a slight heterogeneity in
variance is seen any one of the groups. We suggest the data may be examined for
both homogeneity of variance and normal distribution. For the data of the groups
that do not show heterogeneity of variance, to find the significant difference
among the groups, we recommend Dunnett's test, and for those show heterogeneity
of variance, we recommend Steel's test.
PMID- 21888226
TI - Effect of butyl benzyl phthalate on life table-demography of two successive
generations of cladoceran Moina macrocopa Straus.
AB - In this study, the acute toxicity of butyl benzyl phthalate (BBP) to freshwater
cladoceran Moina macrocopa was tested, and its chronic effects on survival and
reproduction of two successive generations of the cladoceran were studied using
life-table demographic method. The results showed that the 48-hr LC50 of BBP for
M. macrocopa was 3.69 mg l(-1). Compared to the blank controls, BBP at 125, 500,
1000 and 2000 microg l(-1) significantly shortened the life expectancy at birth,
BBP at 125-2000 microg l(-1) decreased the net reproductive rate, and BBP at 500
and 1000 microg I(-1) shortened the generation time but increased the intrinsic
rate of population increase of the parental M. macrocopa. BBP at 62.5,125,
500,1000 and 2000 microg l(-1) increased the intrinsic rate of population
increase of the F1 generation. A significant dose-effect relationship existed
between BBP concentration and life expectancy at birth, net reproductive rate as
well as intrinsic rate of population increase of the parental M. macrocopa. The
parental M. macrocopa were more sensitive in survival, development and
reproduction to BBP than the F1 generation, but the reverse was also true in the
population growth. Extending chronic toxicity tests to the second generation of
M. macrocopa increased the cost-effectiveness of the assays.
PMID- 21888227
TI - In-vitro antimicrobial activity and synergistic/antagonistic effect of
interactions between antibiotics and some spice essential oils.
AB - Spices and herbs have been used for many years by different cultures. The aim of
the present study is (1) to investigate in-vitro antimicrobial effects of
different spices and herbs (5 species: Rosmarinus officinalis (Rosemary),
Coriandrum sativum (coriander), Micromeria fruticosa (L.) Druce subsp.
Brachycalyx P.H. Davis (White micromeria), Cumium cyminum (cumin), Mentha
piperita (Peppermint) against different bacteria and fungi species, and (2) to
discuss the in-vitro possible effects between the plants and antibiotics. The
microorganisms used were Micrococcus luteus LA 2971, Bacillus megaterium NRS,
Bacillus brevis FMC 3, Enterococcus faecalis ATCC 15753, Pseudomonas pyocyaneus
DC 127, Mycobacterium smegmatis CCM 2067, Escherichia coil DM, Aeromonas
hydrophila ATCC 7966, Yersinia enterocolitica AU 19, Staphylococcus aureus Cowan
1, Streptococcus faecalis DC 74 bacteria, and Saccharomyces cerevisiae WET 136,
Kluvyeromyces fragilis DC 98 fungi in this study. The results indicated that
essential oils of Rosmarinus officinalis, Coriandrum sativum L., Micromeria
fruticosa (L.) Druce subsp. brachycalyx P.H. Davis, Cumium cyminum L., Mentha
piperita L. were shown antimicrobial activity in the range of 7-60 mm 2 microl(
1) inhibition zone to the microorganisms tested, using disc diffusion method.
Standard antibiotic such as Gentamicin (10 microg), Cephalothin (30 microg),
Ceftriaxone (10 microg), Nystatin (10 U) discs were used for comparison with the
antimicrobial activities of essential oils of these plants. In addition,
antibacterial activity of essential oils of these plants was researched by
effects when it was used together with these standard antibiotics in vitro.
However, antibacterial activity changed also by in vitro interactions between
these standard antibiotics and essential oils of these plants. Synergic, additive
or antagonist effects were observed in antibacterial activity.
PMID- 21888228
TI - Utilization of lipids during aestivation of the African lungfish, Protopterus
annectens.
AB - Sequential alterations of body weights as well as total lipids, triglycerides,
cholesterol and ketone body levels in the blood of Protopterus annectens during
twelve month duration of aestivation were investigated. The results revealed that
after the first trimester of dormancy, there was significant body weight
reduction (p < 0.05) coupled with significant hypolipodaemia, hypotriacylgly
cerolaemia and hypocholesterolaemia respectively (p < 0.05) but without
significant ketonaemia (p < 0.05). The total lipid, triglyceride, cholesterol and
body weight reductions continued through the second, third and fourth trimesters
of aestivation respectively (p < 0.05) but with serum ketone body levels
remaining unaltered (p < 0.05). Thus, the utilization of lipids as a source of
energy during aestivation of P. annectens does not lead to ketone body
accumulation.
PMID- 21888229
TI - Histopathological alterations in hepatopancreas of Gafrarium divaricatum exposed
to xylene, benzene and gear oil-WSF.
AB - Gafrarium divaricatum were exposed to xylene (4.25 and 8.50 mg l(-1)), benzene
(4.35 and 8.70 mg l(-1)) and gear oil-WSF (1 and 2%) for 30 days. Chronic
exposure of clams to the pollutants resulted in loss of bubbling epithelium,
reduction in cytoplasm volume and density, fusion of cell membranes and nuclei
forming darkly stained area at basal part of the cells. Disintegration of
basement membrane due to damaged epithelial cells, disruption of inner lining of
tubule, formation of necrotic spaces, separation of epithelial cells from
basement membrane, increase in internal luminar area, complete necrosis of
epithelial cells as well as occurrence of cell debris in between the tissue were
also observed in the clams due to chronic exposure of the toxicants.
PMID- 21888230
TI - Studies on oxidative stress induced nerve conduction deficits in cigarette
smokers.
AB - An important role of oxidative stress for the development of vascular and
neurological complications has encouraged us to undertake a study to assess the
oxidative stress induced nerve conduction deficits among cigarette smokers.
Eighteen regular male cigarette smokers and twenty nine male non-smokers were
diagnosed for clinical neuro-physiological tests viz., motor and sensory nerve
conduction velocity (MNCV and SNCV) and redox status. Significant depletion of
reduced glutathione (GSH) level (p < 0.05) and significant increase in
malondialdehyde (MDA) level (p < 0.01) was found in smokers compared to non
smokers. Motor and sensory nerve conduction velocity showed no significant
difference among smokers compared to non-smokers. The present study shows that
smoking can induce oxidative stress among smokers but could not exacerbate to
nerve conduction deficits.
PMID- 21888231
TI - Survey of nitrogen use pattern in rice in the irrigated rice-wheat cropping
system of Haryana, India.
AB - Seeing the sustainability of rice-wheat cropping system (RWCS) of the Indo
Gangetic Plain, adequate crop nutrition in general and nitrogen (N) in particular
holds the key to sound crop management. The excessive application or insufficient
management of N means an economic loss to the farmer and may lead to yield
penalties and environmental problems. Improving N management in consonance with
other nutrients is much important to break yield plateaus as breeding for high
yielding is not happening in recent years. Findings from farm survey are used to
evaluate the on-farm N management practices in rice crop of the study area. The
crop management practices (especially time of sowing/transplanting and irrigation
requirement) and resource base of the farmers decided the N use pattern of the
farmers. The N(Physical optimum) and N(economic optimum) exceeding the
recommended levels revealed the apparent need for the revalidation of the
existing recommendations. Paddy yield increased significantly within different
rice types. This study generated comprehensive data on N use pattern in rice in
the study area.
PMID- 21888232
TI - Screening and detection of biomarkers in chickpea plants exposed to chromium and
cadmium.
AB - A broad screening protocol, covering the most general phytochemical groups of
compounds, was developed on the basis of high performance thin layer
chromatography (HPTLC). A total of six TLC systems, comprising three
derivatization reagents, two stationary phases and two mobile phases, were
included. The screening method was applied for the identification of biomarkers
in the chickpea plant exposed to cadmium and chromium. The biomarkers were
selected on the basis of significant changes (0.26-4.6 fold) in concentration
levels of phytochemicals. Totally, five different amino acids, three organic
acids, one sulphur containing compound and one sugar were identified as
biomarkers in chickpea exposed heavy metal.
PMID- 21888233
TI - Role of bioinoculants and organic fertilizers in fodder production and quality of
leguminous tree species.
AB - The comparative effect of dual inoculation of native N fixer (Rhizobium) and AM
fungi consortia with different organic fertilizers (vermicompost and farm yard
manure) on fodder production and quality of two leguminous tree species (Leucaena
leucocephala (Lam) de. Wit. and Sesbania sesban (L.) Merr.) in silvopastoral
system and their impact on the fodder production of un-inoculated Panicum maximum
Jacq. under cut and carry system. After three years of plantation maximum tree
survival was in L. leucocephala in all the treatments in comparison to S. sesban
while fodder production was more in S. sesban for initial two years and in third
year it accelerated in L. leucocephala. Dual inoculation with vermicompost
significantly improved fodder production, fodder quality and rhizosphere
microflora in L. leucocephala but in S. sesban dual inoculation was at par with
single inoculation of N fixer, AM fungi and control (without inoculation). The
grass production was higher with L. leucocephala for two years while in third
year it was more with S. sesban. The association of Rhizobium with AM fungi in L.
leucocephala was better than in S. sesban.
PMID- 21888234
TI - Distribution of diatom Pseudo-nitzschia and dinoflagellates of Dinophysis spp
along coast off Goa.
AB - As a part of an annual analysis on the phytoplankton distribution and
composition, regular monthly sampling carried out during October 2007- September
2008 from salinity gradient zones in the intertidal waters along the coast of
Goa. Among the 179 species of phytoplankton observed during this study, as many
as 11 of them are recognized, potentially toxic ones. The toxic diatom species,
Pseudo-nitzschia pungens was quite preponderant, in particular during the pre
monsoon month of May 2008 off Chapora, a perennially low salinity location. Among
the 10 toxic dinoflagellate species detected, the known toxic species,
Alexandrium minutum followed by Dinophysis acuminata were found to attain maximum
cell numbers in the study area. It is apparent from our results that the toxic
species do occur in all salinity zones sampled and during many months of the year
in coastal waters off Goa. Though directly governed by the variations in nutrient
concentrations, some of these toxic phytoplankton species attain high cell
numbers. It is reasonable for us to therefore caution that the toxic species do
prevail in these waters.
PMID- 21888235
TI - Comparative larvicidal potential of different plant parts of Withania somnifera
against vector mosquitoes in the semi-arid region of Rajasthan.
AB - Larvicidal potential of the extracts from different parts viz. green and red
fruits, seeds, fruit without seeds, leaves and roots of Withania somnifera in
different solvents was evaluated against larvae of Anopheles stephensi, Aedes
aegypti and Culex quinquefasciatus, the important disease vectors prevalent in
the semi-arid region. Experiments were carried out on late 3rd or early 4th
instar larvae of these mosquitoes using standard WHO technique. 24 and 48 hr LC50
values along with their 95% confidence limits, regression equation, chi-square
(chi2)/heterogeneity of the response have been determined by log probit
regression analysis. The 24 hr LC50 values as observed for whole green fruits in
water, methanol and petroleum ether were 350.9, 372.4, 576.9; 115.0, 197.1,
554.6; 154.9, 312.0, 1085.0 while corresponding values for red fruits were 473.5,
406.4,445.2; 94.7, 94.5, 1013.0; 241.8, 535.0, 893.3 mg l(-1) for An. stephensi,
Ae. aegypti and Cx. quinquefasciatus respectively showing that methanol extracts
were more effective against anophelines as compared to culicines when whole
fruits were taken. The 24 hr LC50 values as observed for seeds in acetone,
methanol and petroleum ether were 188.1, 777.5, 822.5; 245.5, 769.0, 1169.0;
140.3, 822.9, 778.4 and for fruit without seeds were 80.2, 97.6, 146.6; 88.4,
404.4, 1030.0; 30.0, 44.5, 54.2 mg l(-1) for the above mosquito species
respectively showing that extract of fruit without seeds were most effective in
petroleum ether followed by acetone and methanol extracts. However, experiments
conducted with methanol extracts of leaves and roots of this plant species did
not show any appreciable larvicidal activity and a 20-40% mortality was observed
up to 500 mg l(-1) of the extracts. Overall larvae of anophelines were found more
susceptible as compared to culicines to all the extracts tested. Petroleum ether
extract of fruit without seeds was found most effective against all the mosquito
species showing that active ingredient might be present in this part of the plant
species. The study would be of great importance while planning vector control
strategy based on alternative plant derived insecticides.
PMID- 21888236
TI - Community composition of aquatic birds in lakes of Bangalore, India.
AB - Observations were made on the occurrence, abundance, richness, and diversity of
waterbirds in 15 major lakes in Bangalore city during 2008 - 2009. During the
study period 35 species of aquatic birds were recorded. The number of aquatic
bird species recorded at various lakes ranged from 23 to 35. The percent
abundance of waterbirds recorded in the study lakes ranged from 2.46 to 21.49 and
itwas significantly different (p < 0.05). The diversity and species evenness of
waterbirds in the lakes ranged from 2.07 to 3.16 and 0.32 to 0.76, respectively.
There was a significant difference (p < 0.05) in the diversity and species
evenness of waterbirds among different lakes. Species richness of the bird
population ranged from 2.89 to 4.01 in the different study lakes and it was not
significantly different (p > 0.05). There was a variation in number of waterbird
species recorded during summer, monsoon and winter seasons. Of the 35 waterbird
species, Bubulcus ibis showed cent percent frequency, whereas less frequently
(4.44%) observed species was Anas platyrhynchos. Among various waterbird species,
Bubulcus ibis, Fulica atra, Tringa hypoleucos, Motacilla maderaspatensis,
Phalacrocorax niger Egretta garzetta, Charadrius dubius, Ardeola grayji, Halcyon
smymensis and Motacilla alba were frequently encountered in most of the study
lakes.
PMID- 21888237
TI - Study of fluoride content in groundwater of Nawa Tehsil in Nagaur, Rajasthan.
AB - There is a severe fluoride problem in Nawa tehsil of Nagaur district. Villagers
are suffering from dental fluorosis and skeletal fluorosis. So an extensive
geochemical study of 27 villages of eastern, south-eastern and southern zone of
Nawa tehsil was done. Total 46 ground water samples were collected and analyzed
for various physicochemical parameters as well as fluoride content. The ground
water samples collected in clean polyethylene plastic containers were analyzed
for pH, electrical conductivity, total dissolved salts, calcium, magnesium, total
hardness, chloride and alkalinity. The fluoride concentration in the three
different zones ranged from 0.64 to 14.62 mg l(-1) where 13.04% samples were
found within permissible limit while 86.96% had fluoride beyond permissible limit
(> 1.5 mg l(-1)). It was found that among the three different zones south-eastern
zone was under serious fluoride contamination where fluoride concentration ranged
between 1.10 to 14.62 mg l(-1). In the eastern zone fluoride concentration was
recorded from 1.52 to 5.13 mg l(-1) whereas in the southern zone it was found
between 0.64 to 3.63 mg l(-1).
PMID- 21888238
TI - Impact of distillery effluent on germination behaviour of Brassica napus L.
AB - The study has been focused on effect of untreated distillery effluent (Devans
Breweries Ltd., Jammu) on germination of gobi sarson (Brassica napus. L. var.
Punjabi Special). Six treatments (E0.... E100) each having three replicates were
made. E0 was taken as control in which tap water was used for irrigation of the
plants. For E20, E40, E60, E80 and E100, different concentrations i.e. 20, 40,
60, 80 and 100% of effluent were used for irrigation, respectively. The 100%
sample of distillery effluent analyzed for various physicochemical parameters
showed acidic nature (pH 4.0) and higher values of COD (2496 mg l(-1)), TDS
(799.7 mg l(-1)) and chlorides (1408 mg l(-1)). The parameters e.g. percent
germination, germination index, speed of germination, and peak value were highest
in treatment receiving 20% effluent concentration which also showed minimum
values for percent inhibition, germination period, and delay index.
PMID- 21888239
TI - Cytogenetic evaluation of Fansidar on human lymphocyte chromosomes in vitro.
AB - Fansidar is a fixed combination of two antimalarial agents a diaminopyrimidine
(Pyrimethamine) and a sulphonamide (Sulphadoxine) in the ratio 1:20- that have
been used extensively worldwide for the treatment of Chloroquine resistant
Plasmodium falciparum malaria, toxoplasmosis and Pneumocystis carinii pneumonia
in patients with the acquired immunodeficiency syndrome. This study examined the
effect of Fansidar on chromosomes in human lymphocyte culture. Fansidar was added
to peripheral blood lymphocyte cultures in vitro at four different
concentrations: 5,15, 25 and 50 microl in the ratio 1:20, 3:60, 5:100 and 10:200
microg ml(-1). Result shows that this drug induces moderate increase in the
frequency of gaps, breaks and rearrangements. Therefore it can be concluded that
Fansidar has moderate clastogenic effect on human chromosomes in vitro.
PMID- 21888240
TI - Impact of temperature on heat shock protein expression of Bombyx mori cross-breed
and effect on commercial traits.
AB - The present study investigated the effect of increasing temperature stress on the
thermotolerance of B. mori cross-breed PM x CSR2 and tissue specific differential
expression of heat shock proteins at IVth and Vth instars. The larvae reared at
25 +/-1 degrees C and 70 +/- 5% relative humidity were treated as control. Larvae
were subjected to heat shock temperatures of 34, 38 and 42 degrees C for 3 hr
followed by 3 hr recovery. Expression of Heat shock protein 72 were analyzed by
SDS-PAGE and confirmed by western blotting analysis. The impact of heat shock on
commercial traits of cocoons was analyzed by following different strategies in
terms of acquired thermotolerance over control. Resistance to heat shock was
increased as larval development proceeds and increased thermotolerance is
achieved with the induction of Heat shock protein 72 in the Vth instar larval
haemolymph. Relative influence of heat shock temperatures on commercial traits
corresponding to the generation of heat shock protein 72 was significantly
improved over control. In PM x CSR2, cocoon and shell weight significantly
increased to 9.90 and 11.90% over control respectively.
PMID- 21888241
TI - A study on traffic noise of two campuses of University, Balasore, India.
AB - Noise pollution is a significant environmental problem in many rapidly urbanizing
areas of Orissa, India. Transportation sector is one of the major contributors to
noise in these areas. The present study is an attempt to estimate traffic noise
pollution at five places on the way from Vyasa Vihar Campus to Gyan Vigyan Vihar
Campus of Fakir Mohan University, Balasore, Orissa. The sources of noise at the
studied sites are predominantly attributable to motor vehicular traffic. The
noise levels of all the five locations were found to be beyond permissible limit
during the day time. The contributions of different types of vehicles to
environmental noise were found to ranging from 70.4-94.2, 79.0-96.1, 77.8-110.2,
70.8-90.3, 71.0-87.5, 71.1-84.4, 72.5-86.9 and 74.0-85.4 dB (A) by cargo carrying
Trucks, Tractors, Dumpers, Town Buses, Motor cycles, Bolero/Trucker, Pick up and
Tempo respectively. The contributions of individual vehicles towards noise
pollution were found to be more than the road traffic noise-limit i.e., 70 dB
(A). On certain local inhabitants interviewed, the impact of noise was observed
in the forms of alterations in their physical, psychological and personal
aspects. This study warrants attention from all sections of people to deal with
the problem of noise pollution.
PMID- 21888242
TI - Ecological problems of tree species in protected ecosystems of Orissa, India.
AB - The tree layer vegetation of two protected ecosystems i.e. Similipal Biosphere
Reserve (SBR) and Bhitarkanika National Park (BNP) of eastern ghat was analysed
for structure, composition and diversity. With respect to the tree species
composition the two protected ecosystems were differed from each other at the
family, genera and species level. About 117 tree species representing 85 genera
and 42 families were recorded in SBR. The average number of species per hectare
was 32.5. However, a total of 29 tree species representing 22 genera and 16
families were recorded in BNP with an average number of species per hectare of
24.17. Species dominancy of the two protected areas was also different due to
their difference in habitat condition. In SBR Shorea robusta was the most
dominant species while in BNP Excoecaria agallocha was the most dominant species.
Shannon-Wiener species diversity was 3.15 for Similipal and 2.314 for
Bhitarkanika indicating that Similipal was highly diverse than Bhitarkanika. In
general both the protected ecosystems of Orissa are highly rich in biodiversity
and are characteristics of good ecological wealth of eastern ghat. Thus
conservation and management practices are essential for the sustainability of
their biodiversity.
PMID- 21888243
TI - Temporal variation of phytoplankton from the tropical reservoir Valle de Bravo,
Mexico.
AB - Valle de Bravo reservoir is used for aquatic, fishing and as a source of drinking
water to Mexico City. Annual data on composition, abundances, species richness
and diversity of the phytoplankton surface community and some physical-chemical
parameters variations were discussed. Results showed a spatial homogeneity for
environmental descriptors and phytoplankton samples but a temporal significant
difference between months. Pulses of high algal densities corresponded to late
stratification (October, 103 x 10(3) cell ml(-1)), early stratification (April,
107 x 10(3) cell ml(-1)) and plenty stratification (June, 69 x 10(3) cell ml(
1)). Taxa that reached higher densities were: Microcystis spp., Snowella
septentrionalis, Anabaena spp., Aphanizomenon yezoense and Fragilaria
crotonensis. Contribution of each taxon to the total phytoplankton density showed
that majorities were rare (41%) or dominants (40%). Frequent alternation between
pulses and low densities and diversity of phytoplankton as well as a relative
high number of taxa found (68), could be explained by daily strong winds,
unstable epilimnion thickness and incorporation and extraction of substantial
volumes of water occurred in the reservoir. Dominances of cyanobacteria and some
chlorococcal species and a high temporal fluctuated Shannon-Wiener diversity
index (0.45- 2.35 bits) pointing to eutrophic and perturbed conditions.
PMID- 21888244
TI - Bioclimatic characteristic of oak species Quercus macranthera subsp. syspirensis
and Quercus petraea subsp. pinnatiloba in Turkey.
AB - This study was carried out to determine some bioclimatic characteristics such as
humidity category (Q2), winter variant (m), the length of the dry season (LDS)
and the dry season water deficit (DSWD) of naturally growing two endemic oak
taxa, Quercus macranthera subsp. syspirensis and Q. petraea subsp. pinnatiloba,
living in Turkey. Our findings showed that bioclimatic tolerance range of Q.
macranthera subsp. syspirensis possess 7 different types of Mediterranean
bioclimate while Q. petraea subsp. pinnatiloba had 8 of them. Although Q.
macranthera subsp. syspirensis was ranging among the semiarid, freezing and very
cold, Q. petraea subsp. pinnatiloba was among sub-humid, freezing and very cold
ambient. It was briefly established that Q. macranthera subsp. syspirensis
prefers semi-arid and very cold/freezing conditions and Q. petraea subsp.
pinnatiloba prefers sub-humid and cold/very cold climatic conditions.
PMID- 21888245
TI - Quantification of polyphenols during retting and characterization of bacteria
from the Kadinamkulam Backwaters, Kerala.
AB - The retting environment which provides a competitive niche for specialized
microbes is speculated to harbour a variety of microbes with high biodegradation
potential. In this context, an effort has been made to isolate and identify
bacterial species having high tolerance to phenol In vitro. Maximum polyphenol
(1.897 mg l(-1)) as observed during the initial period of retting, which
decreased as retting proceeded. Based on biochemical characterization, the
isolated bacterial strains were identified as Micrococcus sp., Moraxella sp.
strain MP1, Moraxella sp. strain MP2 and Moraxella sp. strain MP3, Pseudomonas
sp. strain PP1 and Pseudomonas sp. strain PP2, Amphibacillus sp., Brucella sp.
strain BP1 and Brucella sp. strain BP2, Aquaspirillum sp., Escherichia coli
strain EP1 and Escherichia coli strain EP2, Campylobacter sp., Aeromonas sp.,
Neisseria sp., Vibrio sp., Erwinia sp. and Mesophilobacter sp. These strains were
found to tolerate maximum concentration of phenol viz. 200 to 1000 mg l(-1).
Plasmid analysis of phenol resistant bacterial isolates showed that almost all
the cultures had at least one plasmid of size > 1Kb. Studies on the protein
profile of isolated bacterial cultures showed the presence of proteins with
molecular sizes ranging from 10 to 85 KDa with exception of Mesophilobacter and
Neisseria having still high molecular weight protein (95 KDa). Bacterial strains
isolated from coir-ret-liquor showed tolerance to high phenol concentration.
PMID- 21888246
TI - Concerned by "Smilematters".
PMID- 21888247
TI - What you should know about Michigan's new 'I'm sorry' law.
PMID- 21888248
TI - Medical marijuana: how do you handle it in the workplace?
PMID- 21888249
TI - Questions and answers on care and well-being issues.
PMID- 21888250
TI - Leverage the power of prepaid debit cards.
PMID- 21888251
TI - Dental management of the head and neck cancer patient treated with radiation
therapy.
PMID- 21888252
TI - Oral health care for the pregnant patient.
AB - Pregnancy is a unique time in a woman's life, accompanied by a variety of
physiologic, anatomic and hormonal changes that can affect how oral health care
is provided. However, these patients are not medically compromised and should not
be denied dental treatment simply because they are pregnant. This article
discusses the normal changes associated with pregnancy, general considerations in
the care of pregnant patients, and possible dental complications of pregnancy and
their management.
PMID- 21888253
TI - Mission to Guatemala: a life-changing experience for two Traverse City dentists.
PMID- 21888254
TI - A care model for the future: the oncology medical home.
PMID- 21888255
TI - Management of monoclonal gammopathy of undetermined significance (MGUS) and
smoldering multiple myeloma (SMM).
AB - Monoclonal gammopathy of undetermined significance (MGUS) is defined as a serum M
protein level of less than 3 g/dL, less than 10% clonal plasma cells in the bone
marrow, and the absence of end-organ damage. The prevalence of MGUS is 3.2% in
the white population but is approximately twice that high in the black
population. MGUS may progress to multiple myeloma, AL amyloidosis, Waldenstrom
macroglobulinemia, or lymphoma. The risk of progression is approximately 1% per
year, but the risk continues even after more than 25 years of observation. Risk
factors for progression include the size of the serum M protein, the type of
serum M protein, the number of plasma cells in the bone marrow, and the serum
free light chain ratio. Smoldering (asymptomatic) multiple myeloma (SMM) is
characterized by the presence of an M protein level of 3 g/dL or higher and/or
10% or more monoclonal plasma cells in the bone marrow but no evidence of end
organ damage. The overall risk of progression to a malignant condition is 10% per
year for the first 5 years, approximately 3% per year for the next 5 years, and
1% to 2% per year for the following 10 years. Patients with both MGUS and SMM
must be followed up for their lifetime.
PMID- 21888256
TI - Multiple myeloma precursor disease: current clinical and epidemiological insights
and future opportunities.
PMID- 21888257
TI - MGUS and smoldering myeloma: the most prevalent of plasma cell dyscrasias.
PMID- 21888258
TI - ALK-targeted therapy for lung cancer: ready for prime time.
AB - Lung cancer remains the leading cause of cancer-related death in the United
States. Ongoing research into the molecular basis of lung cancer has yielded
insight into various critical pathways that are deregulated in lung
tumorigenesis, and in particular key driver mutations integral to cancer cell
survival and proliferation. One of the most recent examples of this has been
definition of translocations and functional dysregulation of the anaplastic
lymphoma kinase (ALK) gene in a subset of patients with non-small-cell lung
cancer. The pace of research progress in this area has been remarkable:
chromosomal rearrangements involving this gene in lung cancer were first reported
in 2007 by a team of investigators in Japan. Less than 3 years later, an early
phase clinical trial of a targeted ALK inhibitor has yielded impressive responses
in patients with advanced lung cancer containing ALK rearrangements, and
mechanisms of acquired resistance to ALK-targeted therapy are being reported. A
definitive study randomizing patients with ALK-mutant lung cancer to crizotinib
(also known as PF-02341066 or 1066) versus standard therapy has recently
completed enrollment.Taken together, these data describe a trajectory of research
progress from basic discovery science to real-world implementation that should
serve as a model for future integration of preclinical and clinical therapeutic
research.
PMID- 21888259
TI - ALK-targeted therapy in NSCLC: likely to be of benefit but not quite ready for
prime time.
PMID- 21888260
TI - The future of NSCLC: molecular profiles guiding treatment decisions.
PMID- 21888261
TI - Treatment of immunoglobulin light chain (primary or AL) amyloidosis.
AB - Not all forms of amyloidosis are systemic. Some patients may present with a
localized form and should not be treated with chemotherapy. Some patients with
systemic amyloidosis may have secondary, familial, or dialysis-related
types.These types are not responsive to chemotherapy. Immunoglobulin light chain
(primary or AL) amyloidosis is a plasma cell dyscrasia. Suppression of light
chain production translates to organ response, improved organ function, and
improved quality of life. This review of the various available options for the
treatment of systemic amyloidosis is designed to help the clinician determine
which patients are candidates for stem cell transplantation and which should be
treated with conventional chemotherapy. The role of the recently introduced novel
agents in management of amyloidosis is also reviewed.
PMID- 21888262
TI - Who knows how to treat systemic light chain amyloidosis?
PMID- 21888263
TI - Uniform risk-stratification and response criteria are paving the way to evidence
based treatment of AL amyloidosis.
PMID- 21888264
TI - Aloe vera (Aloe barbadensis, Aloe capensis).
PMID- 21888265
TI - Proton therapy for prostate cancer.
AB - Proton therapy has been used in the treatment of cancer for over 50 years. Due to
its unique dose distribution with its spread-out Bragg peak, proton therapy can
deliver highly conformal radiation to cancers located adjacent to critical normal
structures. One of the important applications of its use is in prostate cancer,
since the prostate is located adjacent to the rectum and bladder. Over 30 years
of data have been published on the use of proton therapy in prostate cancer;
these data have demonstrated high rates of local and biochemical control as well
as low rates of urinary and rectal toxicity. Although before 2000 proton therapy
was available at only a couple of centers in the United States, several new
proton centers have been built in the last decade. With the increased
availability of proton therapy, research on its use for prostate cancer has
accelerated rapidly. Current research includes explorations of dose escalation,
hypofractionation, and patient-reported quality-of-life outcomes. Early results
from these studies are promising and will likely help make proton therapy for the
treatment of prostate cancer more cost-effective.
PMID- 21888266
TI - Proton therapy for prostate cancer: show me the CER!
PMID- 21888267
TI - Back to the future: a proton pro/con.
PMID- 21888268
TI - Monocyte phenotype and cytokine production profiles are dysregulated by short
duration spaceflight.
AB - INTRODUCTION: Immune system dysregulation has been demonstrated to occur during
and immediately following spaceflight. As the initial bias and magnitude for an
immune response is heavily influenced by monocyte/macrophage secreted cytokines,
this study investigated monocyte phenotype and cytokine production patterns
following short-duration spaceflight. METHODS: Secreted cytokine profiles were
examined by cytometric bead array analysis of culture supernatants following
whole blood culture activation with LPS or PMA+ionomycin. Nine short-duration
Space Shuttle crewmembers participated in this study. RESULTS: Peripheral
monocyte percentages were unaltered postflight. Constitutive monocyte expression
of both CD62L and HLA-DR was reduced following spaceflight in a mission-specific
fashion. Loss of either molecule indicates a functional disability of monocytes,
either by inhibition of adhesion and tissue migration (CD62L) or by impaired
antigen presentation (HLA-DR). Following LPS stimulation of monocytes, postflight
expression of IL-6, TNFalpha, and IL-10 were significantly reduced (by 43%, 44%,
and 41%, respectively) and expression of IL-1b was elevated (65%). IL-8
production was either elevated or reduced in a mission-specific fashion.
Following PMA+ionomycin stimulation of all leukocyte populations, only expression
of IL-6 was significantly reduced postflight. DISCUSSION: These data indicate
that changes in monocyte constitutive phenotype and inflammatory cytokine
production occur following short-duration spaceflight, which may impact overall
crewmember immunocompetence. Also, monocyte/macrophage function may be highly
sensitive to mission specific parameters.
PMID- 21888269
TI - Autonomic modulations during 5 hours at 4574 m (15,000 ft) breathing 40% oxygen.
AB - INTRODUCTION: This study was done to evaluate the effects of exposure to
hyperoxic hypobaria on the autonomic reactivity and hence G tolerance of high
performance aircraft aircrews. METHODS: Heart rate variability (HRV) indices and
traditional measures of autonomic functions were measured during normobaric
baseline, hourly at hyperoxic hypobaria for 5 h, and during normobaric recovery
in 15 subjects at an equivalent altitude of 4574 m breathing 40% oxygen. RESULTS:
Supine and resting-sitting R-R interval, E:I ratio, and time domain indices of
HRV increased significantly, with no change in Valsalva ratio. Power in both low-
(LF) and high-frequency (HF) bands of HRV spectra increased significantly, which
persisted even after normalization with mean R-R2 (LF 1177 +/- 801 at baseline to
1837 +/- 1032 at 5 h and HF 944 +/- 683 at baseline to 1545 +/- 1095 at 5 h).
Pressor response to handgrip was attenuated, as evident from a significant
interaction between the effects of handgrip and hypobaria. DISCUSSION: Increases
in R-R interval, E:I ratio, and all the time domain indices of HRV and HF power
suggest an increase in parasympathetic influences. Increase in LF power is
explained by the ability of the parasympathetic system to modulate the HRV
spectrum in regions lower than respiratory frequency. Attenuation of pressor
response to isometric handgrip contraction could have resulted from an increase
in the transmural pressure gradient across the carotid sinuses due to hypobaria,
which can adversely affect the anti-G straining maneuver.
PMID- 21888270
TI - Training simulation for helicopter navigation by characterizing visual scan
patterns.
AB - INTRODUCTION: Helicopter overland navigation is a cognitively complex task that
requires continuous monitoring of system and environment parameters and years of
training. This study investigated potential improvements to training simulation
by analyzing the influences of flight expertise on visual scan patterns. METHODS:
There were 12 military officers who varied in flight expertise as defined by
total flight hours who participated in overland navigation tasks. Their gaze
parameters were tracked via two eye tracking systems while subjects were looking
at out-the-window (OTW) and topographic Map views in a fixed based helicopter
simulator. RESULTS: Flight performance measures were not predicted by the
expertise level of pilots. However, gaze parameters and scan management skills
were predicted by the expertise level. For every additional 1000 flight hours, on
average, the model predicted the median dwell will decrease 28 ms and the number
of view changes will increase 33 times. However, more experienced pilots scanned
more OTW than novice pilots, which was contrary to our expectation. A
visualization tool (FEST: Flight and Eye Scan visualization Tool) to replay
navigation tasks and corresponding gaze data was developed. Qualitative analysis
from FEST revealed visual scan patterns of expert pilots not only looking ahead
on the map, but also revisiting areas on the map they just flew over to retain
confidence in their orientation. DISCUSSION: Based on the analysis provided
above, this work demonstrates that neurophysiological markers, such as eye
movements, can be used to indicate the aspects of a trainee's cognitive state
that are useful for cuing an instructional system.
PMID- 21888271
TI - Prevalence of and biopsychosocial factors associated with low back pain in
commercial airline pilots.
AB - PURPOSE: The aim of this study was to examine the 12-mo prevalence of low back
pain (LBP) and to identify individual, flight-related, and psychosocial factors
associated with the prevalence of LBP in commercial airline pilots. METHODS: A
cross-sectional survey was conducted with a self-administered questionnaire
delivered by hand to 708 Thai airline pilots visiting the Institute of Aviation
Medicine, Royal Thai Air Force, for their regular medical examinations. A
multivariable logistic regression model was used to assess the associations
between the prevalence of LBP and statistically significant factors. RESULTS: A
total of 684 subjects (97%) returned the questionnaires. The 12-mo prevalence of
self-reported LBP among commercial airline pilots was 55.7%. An elevated risk of
experiencing LBP was associated with occasionally to frequently encountering
turbulence in the previous year, lifting luggage four or more times per duty
period, perception of noise in the cockpit as being too loud, and perception of
work hazards at intermediate to high levels, assessed by the JCQ Thai version. On
the other hand, the factors that reduced the risk of experiencing LBP were
performing vigorous exercise regularly and having 5-23 h rest breaks between
flights. CONCLUSIONS: LBP is common among commercial airline pilots. Our findings
suggest that LBP in commercial airline pilots is occupation-related.
Interventions aimed at reducing the occurrence of LBP in commercial airline
pilots should focus on work condition adjustment and mental stress reduction.
PMID- 21888273
TI - Optimizing medical resources for spaceflight using the integrated medical model.
AB - INTRODUCTION: Efficient allocation of medical resources for spaceflight is
important for crew health. The Integrated Medical Model (IMM) was developed to
estimate medical event occurrences, mitigation, and resource requirements. An
optimization module was created for IMM that uses a systematic process of
elimination and preservation to maximize crew health outcomes subject to resource
constraints. METHODS: A maximum medical kit is identified and resources are
eliminated according to their relative impact on outcomes of interest. Additional
steps allow opportunities for resources to be added back into the medical kit if
possible. The effectiveness of the module is demonstrated under six alternative
mission profiles by optimizing the medical kit to maximize the expected Crew
Health Index (CHI), and comparisons are made with minimum and maximum kits.
RESULTS: The optimum and maximum kits had similar expected CHI, but CHI was more
variable for the optimum kit. The maximum kit resulted in the best outcomes, but
required at least 13.7 times the mass of the optimum kit and 26.6 times the
volume. The largest difference in mean CHI between the optimum and maximum kits
occurred for four crewmembers on a 180-d mission (91.1% vs. 95.4%). CONCLUSIONS:
The optimization module may be used as an objective tool to assist with the
efficient allocation of medical resources for spaceflight. The module provides a
flexible algorithm that may be used in conjunction with the IMM model to assist
in medical kit requirements and design.
PMID- 21888272
TI - Helicopter crashes related to oil and gas operations in the Gulf of Mexico.
AB - INTRODUCTION: The hazards inherent in flight operations in the Gulf of Mexico
prompted investigation of the number and circumstances of crashes related to oil
and gas operations in the region. METHODS: The National Transportation Safety
Board (NTSB) database was queried for helicopter crashes during 1983 through 2009
related to Gulf of Mexico oil or gas production. The crashes were identified
based on word searches confirmed by a narrative statement indicating that the
flight was related to oil or gas operations. RESULTS: During 1983-2009, the NTSB
recorded a total of 178 helicopter crashes related to oil and gas operations in
the Gulf of Mexico, with an average of 6.6 crashes per year (5.6 annually during
1983-1999 vs. 8.2 during 2000-2009). The crashes resulted in a total of 139
fatalities, including 41 pilots. Mechanical failure was the most common
precipitating factor, accounting for 68 crashes (38%). Bad weather led to 29
crashes (16%), in which 40% of the 139 deaths occurred. Pilot error was cited by
the NTSB in 83 crashes (47%). After crashes or emergency landings on water, 15
helicopters sank when flotation devices were not activated automatically or by
pilots. DISCUSSION: Mechanical failure, non-activation of flotation, and pilot
error are major problems to be addressed if crashes and deaths in this lethal
environment are to be reduced.
PMID- 21888274
TI - Civilian pilot exposure to ultraviolet and blue light and pilot use of
sunglasses.
AB - Population and animal studies indicate that long-term exposure to short
wavelength visible light and ultraviolet (UV) radiation causes increased risk of
certain ocular pathologies such as cataracts and maculopathy. The potential risk
to flight crew is unknown. The UK Civil Aviation Authority (CAA) has issued
guidance to pilots regarding sunglass selection; however, it is not known if this
guidance is appropriate given pilots' unique occupational environment. A search
and appraisal of the relevant literature was conducted which showed that within
the airline pilot population, there is limited evidence of a higher prevalence of
cataracts. There are no data of other known UV-related ocular pathology. There is
some evidence of higher prevalence of skin melanomas. Studies measuring cockpit
UV radiation levels are limited and leave unanswered questions regarding airline
pilot exposure. Data from optical transmission of cockpit windshields
demonstrates the UV blocking properties at sea level. No studies have addressed
the occupational use of sunglasses in airline pilots. Although it is likely that
an aircraft windshield effectively blocks UV-B, the intensity of UV-A and short
wavelength blue light present within the cockpit at altitude is unknown. Pilots
may be exposed to solar radiation for periods of many hours during flight where
UV radiation is known to be significantly greater. Aircraft windshields should
have a standard for optical transmission, particularly of short-wavelength
radiation. Clear, untinted prescription glasses will offer some degree of UV
protection; however, sunglasses will offer superior protection. Any sunglasses
used should conform to a national standard.
PMID- 21888275
TI - Acceleration forces and cardiac and aortic indexes in jet fighter pilots.
AB - BACKGROUND: Military jet fighter pilots are exposed to acceleration (+G(z))
forces which possibly influence various cardiac parameters. Several previous
studies have evaluated the impact of exposure to acceleration forces on cardiac
morphology and function, but these studies were not prospective and were either
based on small samples or compared jet fighter pilots with pilots of low
performance aircraft. The purpose of this study was to evaluate the effect of
acceleration forces on cardiac morphologic changes in jet fighter pilots.
METHODS: Routine echocardiography has been performed for jet fighter pilots since
2003. Medical records of all military jet fighter pilots who underwent
echocardiography following 2003 were retrospectively evaluated. Of those, all jet
fighter pilots who underwent an additional echocardiography prior to 2003 were
identified. Echocardiographic parameters were recorded using M-mode and included
left ventricular diameter at end systole and end diastole, interventricular
septum thickness, thickness of the posterior wall, aortic root diameter and
aortic valve opening, diameter of the left atrium, and left ventricular mass.
Medical records of the subjects were evaluated for development of adverse events.
RESULTS: There were 72 subjects who underwent 2 echocardiographic examinations
with a mean follow-up period of 8.92 yr. Subjects were 18-50 yr old at the time
of the initial echocardiographic examination. All parameters evaluated by M-mode
were not significantly changed from the baseline examination. No adverse events
occurred during the follow-up period. DISCUSSION: Findings of this study suggest
that exposure to acceleration forces is not associated with cardiac and aortic
morphologic changes.
PMID- 21888276
TI - Decadal electrocardiographic changes between age 40 and 50 in military pilots.
AB - INTRODUCTION: Cardiovascular diseases can lead to sudden in-flight incapacitation
and long-term disability in aircraft pilots. Electrocardiogram (ECG) has been
widely used to screen for these diseases in routine aeromedical examinations.
Several ECG changes such as complete left bundle-branch block (CLBBB) and left
ventricular hypertrophy (LVH) have been associated with increased likelihood of
underlying structural cardiac diseases in addition to the emergence of newly
recognized cardiovascular diseases such as Brugada syndrome. Therefore, the
purpose of this study was to analyze decadal ECG changes in aircraft pilots
between 40 and 50 yr in order to make an appropriate evaluation of these ECG
changes. METHODS: We analyzed the ECGs from the annual aeromedical examination of
age 50 compared to those 40 yr of age in a total of 176 Japan Air Self-Defense
Force pilots. RESULTS: With regard to decadal changes, we detected 34 new ECG
changes (1 of sinus tachycardia, 8 sinus bradycardia, 1 atrial fibrillation, 2
premature atrial contraction, 1 premature ventricular contraction, 2 left axis
deviation, 6 first-degree atrioventricular block, 1 CLBBB, 3 complete right
bundle-branch block, 2 incomplete right bundle-branch block, 1 right ventricular
conduction delay, and 6 LVH). Although the majority of them were concluded to be
normal variants, the results of echocardiography in two hypertensive pilots
without good control demonstrated abnormalities: one had mild hypertrophic
nonobstructive cardiomyopathy and another had heart enlargement. CONCLUSION:
Thus, this study recommends additional cardiovascular examinations, including
echocardiography for hypertensive pilots with ECG changes.
PMID- 21888277
TI - Mobility of an elastic glove for extravehicular activity without prebreathing.
AB - INTRODUCTION: The current U.S. extravehicular activity (EVA) suit is pressurized
at 0.29 atm, which is much lower than the pressures of sea level and inside a
space station. Higher pressure can reduce the risk of decompression sickness
(DCS), but mobility would be sacrificed. We have demonstrated that a glove and
sleeve made of elastic material increased mobility when compared with those made
of nonelastic material, such as that found in the current suit. We hypothesized
that an elastic glove of 0.65 atm that has no risk of DCS also has greater
mobility compared with a non-elastic glove of 0.29 atm. METHODS: The right hands
of 10 healthy volunteers were studied in a chamber with their bare hands at
normal ambient pressure, after donning a non-elastic glove with a pressure
differential of 0.29 atm, and after donning an elastic glove with a pressure
differential of 0.29 and 0.65 atm. Range of motion (ROM) of the index finger and
surface electromyography (EMG) amplitudes during finger flexion were measured.
RESULTS: ROM with gloves was significantly smaller than that of bare hands, but
was similar between conditions of gloves regardless of elasticity and pressure
differentials. However, EMG amplitudes with the elastic glove of 0.29 and 0.65
atm were significantly smaller than those with the non-elastic glove of 0.29 atm.
DISCUSSION: The results suggest that mobility of the elastic glove of 0.65 atm
may be better than that of the non-elastic glove of 0.29 atm, similar to that
used in the current EVA suit.
PMID- 21888278
TI - Rhino-orbital-cerebral mucormycosis (ROCM): a comprehensive case review.
AB - The objective of this paper is to review a recent case of rhino-orbital-cerebral
mucormycosis that involved the successful treatment of an immunocompromised male
patient that took place in a deployed military setting. In this interventional
case review, a comprehensive evaluation of predisposing factors, presenting signs
and symptoms, diagnostic evaluation, and treatment will be discussed in detail.
The patient was a 38-yr-old noncompliant insulin-dependent diabetes mellitus
Iraqi man whose initial presentation encompassed nonspecific signs and symptoms
consistent with sinusitis. Symptoms progressed rapidly including the development
of acute visual loss, unilateral facial edema, fixed dilated right pupil, loss of
extraocular movements, and oropharyngeal eschar formation. With this progressive
clinical picture, a diagnosis of mucormycosis was made in the absence of
histological confirmation due to the nature of the deployed environment.
Treatment included hospitalization for combined intervention with intravenous
antifungal therapy and a series of surgeries which ultimately resulted in orbital
exenteration and preservation of life. Successful treatment was attributed to
having a high index of suspicion in the clinical presentation of nonspecific
otorhinolaryngological and ophthalmological symptoms superimposed with underlying
predisposing immunocompromised host conditions.
PMID- 21888279
TI - Ground vasovagal presyncopes and fighter pilot fitness: aeromedical concerns.
AB - BACKGROUND: Vasovagal episodes are common events but may have consequences for
flight safety, particularly in high-performance aircrafts, where the autonomic
nervous system is impacted during +G(z) accelerations. However, the risk is
difficult to assess in the case of ground presyncopes. CASE REPORT: A 27-yr-old
fighter pilot experienced a feeling of faintness at a daily briefing. He had
previously shown no medical history except for a single episode. The initial
physical examination was normal. During vagal maneuvers, the pilot developed a
cardiac pause and a tilt-table test was positive. Other investigations were
normal.The pilot lost consciousness twice in centrifuge testing while previous
tests had shown a good cardiovascular tolerance. He was declared unfit to fly
fast jets but fit as a multicrew transport pilot with a waiver. DISCUSSION: The
epidemiology of vasovagal reactions is difficult to estimate in aircrew. A late
occurrence in the career can be explained by either a newly acquired
hypervagotonia, or an old predisposition with specific triggering factors in
which psychosocial aspects are important. In such circumstances three steps are
necessary to assess fitness: 1) to check for other etiologies; 2) to look for
vasovagal predisposition; and 3) to check psychiatric condition. The studies
about the predictive value of the tilt-test must be taken into account.
CONCLUSION: In the case of vasovagal presyncope in a fighter pilot, the
aeromedical decision should consider the medical history of the pilot, the
results of investigations, and the experience and motivation of the individual
under review. Centrifuge testing may be useful in difficult situations.
PMID- 21888280
TI - Aircrew exposure to handheld laser pointers: the potential for retinal damage.
AB - Aviation authorities around the world continue to report substantial growth in
the incidence of laser devices being aimed at aircraft in flight. Despite
government restrictions, affordable handheld laser devices, with a power of up to
several thousand mW, are now easily obtainable via the Internet. Lasers of this
power output and wavelength have a nominal ocular hazard distance of around 1000
ft (304.8 m). Aviation medical specialists should be aware these lasers have the
potential to cause retinal damage when aircrew operating at low altitudes are
exposed.
PMID- 21888281
TI - NextGen update.
PMID- 21888282
TI - You're the flight surgeon. Labyrinthine dysfunction.
PMID- 21888283
TI - This month in aerospace medicine history.
PMID- 21888285
TI - [The clinic. Mother of all instruments].
PMID- 21888284
TI - [Instituto Nacional de Psiquiatria "Ramon del la Fuente Muniz"].
PMID- 21888286
TI - F18-FDG-PET/CT in the evaluation of patients with suspected recurrent or
persistent locally advanced cervical carcinoma.
AB - BACKGROUND: Cervical cancer (CC) represents the second most common neoplasm and
the third cause of death by cancer among women. Recurrent or persistent disease
depends on the clinical stage, but can be as high as 70%. Positron emission
tomography/computed tomography (PET/CT) is an image study that can detect
increased glucose uptake in tumor tissues. MATERIAL AND METHODS: PET/CT was
performed in patients with confirmed CC, who had been previously treated, who
developed suspected symptoms of recurrence or persistent disease with or without
evidence of disease on a CT scan. Sensitivity, specificity, predictive values
from PET/CT, and CT scan were evaluated. RESULTS: Sixteen patients with a mean
age of 47.2 years were included in the study from April 2007 to June 2008.
Thirteen patients (81.2%) were symptomatic. PET/CT was positive in 14/16 (85.7%),
of these, 12 True positive (TP) and two, False positive (FP); meanwhile another
two cases were True negative (TN) (12.5%). Cervix, retroperitoneal, iliac,
obturator, and mediastinal lymph nodes were the most common anatomic sites
detected by PET/CT. Mean number of anatomic sites with high Fluoro-deoxy-D
glucose (FDG) uptake was two sites (range 1-7 sites). PET/CT and CT scan had 100
and 91.7% sensitivity, respectively. Specificity for both was 50%. Positive
predictive value (PPV) was 85.4 and 84.6%, respectively. Negative predictive
value (NPV) was 100 and 66%, respectively, and accuracy was 88 vs. 81%,
respectively. CONCLUSIONS: PET/CT has the capability for detecting recurrent or
persistent cervical cancer; it detects increased metabolic activity mainly in
primary site or lymph nodes. Further PET/CT evaluation is required to confirm the
real impact of this study on the early detection of CC recurrence.
PMID- 21888287
TI - Human leukocyte antigens class II genes are associated with cancer development in
the autoimmune rheumatic diseases.
AB - OBJECTIVE: To determine the association between HLA class II alleles and the
probability of developing cancer in patients with autoimmune rheumatic diseases.
MATERIAL AND METHODS; A matched case control study was conducted in which
patients with autoimmune rheumatic disease who later developed malignancy (solid
or lymphoproliferative) were compared with matched controls suffering from the
same auto-immune rheumatic disease and with similar disease duration. The
rheumatic diseases included rheumatoid arthritis, systemic lupus erythematosus,
primary Sjogren syndrome, dermatomyositis-polymyositis, and systemic sclerosis.
HLA-DR typing was performed by sequence-specific primers after DNA amplification
by PCR (PCR-SSP). Statistical analysis was conducted by conditional logistic
regression. RESULTS: HLA-DRBI*02 and DRBI*03 were found to be significantly
associated with the probability for developing cancer (OR = 5.2 and 4.9,
respectively), independent of family history of rheumatoid arthritis and cancer,
recurrent sore throat, alopecia, and clinical activity of rheumatoid arthritis.
CONCLUSIONS: The results suggest an association between HLA class II alleles with
the probability of developing a malignant neoplasm in patients suffering from an
autoimmune rheumatic disease.
PMID- 21888288
TI - Weight gain and metabolic complications in preterm infants with nutritional
support.
AB - OBJECTIVE: To analyze the weight gain and to describe the metabolic complications
in preterm newborns with nutritional support (NS) and to describe nutritional
practices in the first month of hospitalization for 52 preterm newborns. MATERIAL
AND METHODS: Descriptive and prospective study of preterm infants (30-36
gestational weeks), with birth weight > 1 kg, hospital stay > 12 days, without
respiratory support or complications, conducted at a public hospital in Leon,
Guanajuato, Mexico from January to November 2006. Weight, serum glucose, insulin,
cholesterol, triglycerides, gamma-glutamyltransferase, creatinine, urea nitrogen,
type of NS (parenteral PN, enteral EN, mixed MN), energy content, and
macronutrient intake were measured weekly. To obtain representative data,
nutritional practices were not altered by the study protocol. One way ANOVA and
Wilcoxon tests were used in data analyses. RESULTS: Overall, 52 newborns were
included, averaging 33 gestational weeks and 1,590 g of weight. The NS was
started by the fourth day on average. Parenteral nutrition was the most frequent
NS during the first 2 weeks (75%). Energy and macronutrient supply was 50% less
than the recommended. Weight gain ranged from -100 to 130 g/week. Parenteral
nutrition showed better weekly weight gain, followed by EN. The metabolic
complication rate per person-day was greater for MN (0.56), than for EN (0.16) or
PN (0.09). Routine surveillance of weight and metabolic complications was
deficient. CONCLUSIONS: Late onset of NS, insufficient energy supply, and
deficient surveillance were obstacles to weight gain and to prevent the metabolic
complications in these newborns.
PMID- 21888289
TI - Munchausen syndrome by proxy in Mexican children: medical, social, psychological
and legal aspects.
AB - INTRODUCTION: The Munchausen Syndrome by Proxy (MSP), is considered as an unusual
less frequent variety of child abuse (CA). In this type of abuse the perpetrators
purposely provide factitious information, tamper with specimens or actually
induce an illness in a child. Nowadays, it is a clinical entity described in
pediatrics as more frequently than before. Despite the fact of its presence
worldwide, there are still problems in order to get an appropriate diagnostic. It
is also difficult to handle both the clinical and legal aspects in various
countries. OBJECTIVE: Make our academic fellows aware of various pediatric,
psychological, social and legal aspects of a series of cases attended at the
Clinica de Atencion Integral al Nino Maltratado from Instituto Nacional de
Pediatria (CAINM-INP), Mexico [Integral Clinic of Attention for Abused Children,
at National Institute of Pediatrics, Mexico]. MATERIAL AND METHODS: From a series
of 25 cases, 18 minors of age were considered with this syndrome since we found
that they shared medical, psychological, social and legal characteristics.
RESULTS: 18 minors of age belonged to 14 families. 4 of those families had two
affected children each one. These affected children were girls 13/18, predominant
in children under six years in 10/18. Syndrome expression was distributed as
follows: fever from a non determined origin, seizures, chronic diarrhea,
hematuria, and probable sexual abuse. 14 children were hospitalized. In all
cases, the aggressor was the mother. The psychological evaluation of six
perpetrators revealed psychotic, histrionic, and compulsive-obsessive traits. The
socio-economic condition was low in 50% of the cases. A legal demand was posed
for seven patients in which all the children remained under the custody of the
mother. CONCLUSIONS: In Mexico, reports of CA have increased within the last
years according to experience. Some complex forms as MSP require the
participation of an interdisciplinary team for both diagnosis and integral
attention.
PMID- 21888290
TI - [Abnormalities in cervical smears stored in plastic bags: potential cause of
false negatives].
AB - INTRODUCTION: Cervical smear is the most economic and efficient diagnostic tool
for the screening of cervical cancer. However, since plastic bags have been used
in Guanajuato to transport and store smears, we have observed cytological
abnormalities which difficult the diagnosis and lead to false negatives.
OBJECTIVE: To describe those abnormalities. METHODS: Out of 340 women registered
in a primary care center in Mexico, 68 were selected through systematic random
sampling during 2007. A cervical smear was obtained and placed on two slides. The
first sample was allowed to dry but the second one was placed into the plastic
bag immediately after fixation. After 15 days all the smears were stained with
the Papanicolaou technique. A certified pathologist, blinded about the variable
of study, interpreted the samples according to the Bethesda system, and evaluated
the presence of necrosis, edema, holes, and opportunistic microorganisms.
RESULTS: Of the 68 smears exposed to a humid storage, 36 (53%) were inadequate
for diagnosis (Fisher's exact probability < 0.001). From them, 36 (53%) had holes
or lagoons, 34 (50%) had edema, 31 (46%) had necrosis, and 15 (22%) had fungus.
On the other hand, the 68 dried cervical smears were all adequate for diagnosis
and none had the changes or cytological abnormalities. CONCLUSION: The humid
transport and storage of cervical smears produced abnormalities in the normal
morphology that could lead to false negative results. The guideline for the
handling of cervical smears must stress the importance of allowing the smears to
dry completely after fixation and before storing them in plastic bags.
PMID- 21888291
TI - [Role of creative discussion in the learning of critical reading of scientific
articles].
AB - OBJECTIVE: To compare two active educational strategies on critical reading (two
and three stages) for research learning in medical students. MATERIAL AND
METHODS: Four groups were conformed in a quasi-experimental design. The medical
student group, related to three stages (critical reading guide resolution,
creative discussion, group discussion) g1, n = 9 with school marks > 90 and g2, n
= 19 with a < 90, respectively. The two-stage groups (guide resolution and group
discussion) were conformed by pre-graduate interns, g3, n = 17 and g4, n = 12,
who attended social security general hospitals. A validated and consistent survey
with 144 items was applied to the four groups before and after educational
strategies. Critical reading with its subcomponents: interpretation, judgment and
proposal were evaluated with 47, 49 and 48 items, respectively. The case control
studies, cohort studies, diagnostic test and clinical trial designs were
evaluated. Nonparametric significance tests were performed to compare the groups
and their results. A bias calculation was performed for each group. RESULTS: The
highest median was obtained by the three-stage groups (g1 and g2) and so were the
medians in interpretation, judgment and proposal. The several research design
results were higher in the same groups. CONCLUSIONS: An active educational
strategy with three stages is superior to another with two stages in medical
students. It is advisable to perform these activities in goal of better learning
in our students.
PMID- 21888292
TI - [Intrauterine stress impairs spatial learning in the progeny of Wistar rats].
AB - INTRODUCTION: Prenatal stress is a group of psychophysiological responses that a
pregnant female shows when confronting by a threatening situation. This produces
neurochemical changes that may affect hippocampal development of the offspring.
AIM: To analyze the effects of intrauterine stress on spatial learning and memory
of Wistar rat offspring. MATERIAL AND METHODS: Wistar rats were divided in two
groups: Control and prenatal stress. During the critical period for the
development of the central nervous system development (from day 12 to 18 of
gestation), the experimental rats were exposed to prenatal stress using a
restraint stress model. Control rats were kept under standard housing conditions.
At 21-days postpartum, spatial learning and memory were evaluated with the Morris
water maze. RESULTS: Intrauterine-stressed offspring showed less weight gain
(62.7 +/- 11.7 g) compared to controls (71.3 +/- 7.4 g; t (42) = 2.87; P =
0.006). Spatial learning assessment indicated that intrauterine-stressed animals
showed higher escape latencies (63 +/- 14 s) than the control group (49 +/- 13
seg; t (42) = 3.2, P = 0.003). The navigation pattern of the stress group was
allocentric as compared to the egocentric strategy shown by controls. No
significant statistical differences were found in memory consolidation.
CONCLUSIONS: Intrauterine stress impairs hippocampal function during postnatal
development. The knowledge of deleterious effects of intrauterine stress may be
helpful in establishing primary prevention strategies of pregnant women exposed
to this risk factor.
PMID- 21888293
TI - [Bioactivity of thyroid hormones. Clinical significance of membrane transporters,
deiodinases and nuclear receptors].
AB - The study of the different factors regulating the bioactivity of thyroid hormones
is of utmost relevance for an adequate understanding of the glandular
pathophysiology. These factors must be considered by the clinician in order to
achieve a successful diagnosis and treatment of glandular diseases. Among the
factors regulating bioactivity of thyroid hormones are the following: A)
Plasmatic membrane hormone transporters, which tissue-specific expression is
responsible for the cellular uptake of hormones, B) A set of deiodinating enzymes
which activate or inactivate intracellular thyroid hormone, and C) Nuclear
receptors which are responsible for the different cellular responses at the
transcriptional level. This review compiles analysis and discusses the most
recent findings regarding the regulation of thyroid hormone bioactivity, as well
as the clinical relevance of different polymorphisms and mutations currently
described for membrane transporters and deiodinases. In addition, the main issues
and present and future study areas are identified.
PMID- 21888294
TI - [Blood safety in Mexico. Achievements and challenges].
PMID- 21888295
TI - [Fabry-Anderson disease: current state of knowledge].
AB - Fabry-Anderson disease is a lysosomal storage disease caused by deficiency of the
enzyme alpha-galactosidase. This enzymatic defect results in the accumulation of
glycosphingolipid into different lines cells. Usually the deficiency is complete,
resulting in a multisystem disorder, with injury in different organs,
predominantly heart, kidney and nervous system. However, in some patients the
enzymatic deficit is partial and causes diverse clinical variants of the disease
(renal or cardiac variety), this cause a difficult diagnostic and the absence of
real epidemiology data. This review is about the epidemiology, the metabolic
defect of this disease, it's molecular and genetics bases, the different forms of
clinical presentation and the enzyme replacement therapy.
PMID- 21888296
TI - Alopecia as a prominent feature of myotonic dystrophy type 1.
PMID- 21888297
TI - Diabetic peripheral neuropathic pain: is gabapentin effective?
PMID- 21888299
TI - Compromising the medical literature: the hidden influence of industry-biased
articles.
PMID- 21888300
TI - GERD treatment for chronic nonspecific cough in children and adults.
PMID- 21888301
TI - Acellular vaccines for preventing pertussis in children.
PMID- 21888302
TI - Diagnosis and treatment of acute pyelonephritis in women.
AB - Acute pyelonephritis is a common bacterial infection of the renal pelvis and
kidney most often seen in young adult women. History and physical examination are
the most useful tools for diagnosis. Most patients have fever, although it may be
absent early in the illness. Flank pain is nearly universal, and its absence
should raise suspicion of an alternative diagnosis. A positive urinalysis
confirms the diagnosis in patients with a compatible history and physical
examination. Urine culture should be obtained in all patients to guide antibiotic
therapy if the patient does not respond to initial empiric antibiotic regimens.
Escherichia coli is the most common pathogen in acute pyelonephritis, and in the
past decade, there has been an increasing rate of E. coli resistance to extended
spectrum beta-lactam antibiotics. Imaging, usually with contrast-enhanced
computed tomography, is not necessary unless there is no improvement in the
patient's symptoms or if there is symptom recurrence after initial improvement.
Outpatient treatment is appropriate for most patients. Inpatient therapy is
recommended for patients who have severe illness or in whom a complication is
suspected. Practice guidelines recommend oral fluoroquinolones as initial
outpatient therapy if the rate of fluoroquinolone resistance in the community is
10 percent or less. If the resistance rate exceeds 10 percent, an initial
intravenous dose of ceftriaxone or gentamicin should be given, followed by an
oral fluoroquinolone regimen. Oral beta-lactam antibiotics and
trimethoprim/sulfamethoxazole are generally inappropriate for outpatient therapy
because of high resistance rates. Several antibiotic regimens can be used for
inpatient treatment, including fluoroquinolones, aminoglycosides, and
cephalosporins.
PMID- 21888303
TI - Evaluation and management of orthostatic hypotension.
AB - Orthostatic hypotension is defined as a decrease in systolic blood pressure of 20
mm Hg or a decrease in diastolic blood pressure of 10 mm Hg within three minutes
of standing when compared with blood pressure from the sitting or supine
position. It results from an inadequate physiologic response to postural changes
in blood pressure. Orthostatic hypotension may be acute or chronic, as well as
symptomatic or asymptomatic. Common symptoms include dizziness, lightheadedness,
blurred vision, weakness, fatigue, nausea, palpitations, and headache. Less
common symptoms include syncope, dyspnea, chest pain, and neck and shoulder pain.
Causes include dehydration or blood loss; disorders of the neurologic,
cardiovascular, or endocrine systems; and several classes of medications.
Evaluation of suspected orthostatic hypotension begins by identifying reversible
causes and underlying associated medical conditions. Head-up tilt-table testing
can aid in confirming a diagnosis of suspected orthostatic hypotension when
standard orthostatic vital signs are nondiagnostic; it also can aid in assessing
treatment response in patients with an autonomic disorder. Goals of treatment
involve improving hypotension without excessive supine hypertension, relieving
orthostatic symptoms, and improving standing time. Treatment includes correcting
reversible causes and discontinuing responsible medications, when possible.
Nonpharmacologic treatment should be offered to all patients. For patients who do
not respond adequately to nonpharmacologic treatment, fludrocortisone, midodrine,
and pyridostigmine are pharmacologic therapies proven to be beneficial.
PMID- 21888304
TI - Orthostatic hypotension.
PMID- 21888305
TI - Screening for developmental delay.
AB - According to the literature, 12 to 16 percent of children in the United States
have at least one developmental delay, yet as many as one-half of affected
children will not be identified by the time they enter kindergarten. If
developmental delays are detected too late, opportunities for early intervention
may be lost. Empirical literature on clinical recommendations for developmental
delay screening in primary care is inconsistent and often insufficient to direct
the family physician. In addition, multiple barriers exist, which often prevent
physicians from performing initial screening and completing additional evaluation
and referrals. Implementing office-based systems for screening and referrals may
overcome these barriers and improve outcomes. Recent studies support the use of a
validated screening tool at regular, repeated intervals, in addition to physician
surveillance, at all well-child visits. The literature also supports screening
for developmental delay with parent-completed tools rather than directly
administered tools. The most extensively evaluated parent-completed tools are the
Parents' Evaluation of Developmental Status and the Ages and Stages
Questionnaire. Family physicians should be familiar with currently available
screening tools, as well as their limitations and strengths. Additional
evaluations and referrals are recommended if developmental delay is identified or
suspected.
PMID- 21888306
TI - Pharmacologic treatment of hyperlipidemia.
AB - Pharmacologic treatment of hyperlipidemia in conjunction with therapeutic
lifestyle changes can be used for both primary and secondary prevention of
cardiovascular disease. Statins have the most convincing data for primary
prevention, especially for higher risk patients. Therefore, risk stratification
is essential. Statin therapy is also recommended for secondary prevention in all
patients with known cardiovascular disease or the risk equivalent. High-dose
statins should be initiated in patients with acute coronary syndrome. Omega-3
fatty acids may be a good alternative after myocardial infarction for patients
who cannot tolerate statins. Fibrates and niacin have not been shown to reduce
all-cause mortality in secondary prevention, but may be useful adjuncts when
statins alone cannot adequately control lipid levels. Other cholesterol-lowering
medications used for primary or secondary prevention of cardiovascular disease
have not been shown to consistently improve patient-oriented outcomes. There is
good evidence for using statins in the secondary prevention of stroke and
peripheral arterial disease.
PMID- 21888307
TI - Medicines for lowering cholesterol.
PMID- 21888308
TI - Persistent rash in a child: lichen striatus.
PMID- 21888309
TI - AAO-HNS Guidelines for Tonsillectomy in Children and Adolescents.
PMID- 21888310
TI - Production of Galacto-oligosaccharides by the beta-Galactosidase from
Kluyveromyces lactis : comparative analysis of permeabilized cells versus soluble
enzyme.
AB - The transgalactosylation activity of Kluyveromyces lactis cells was studied in
detail. Cells were permeabilized with ethanol and further lyophilized to
facilitate the transit of substrates and products. The resulting biocatalyst was
assayed for the synthesis of galacto-oligosaccharides (GOS) and compared with two
soluble beta-galactosidases from K. lactis (Lactozym 3000 L HP G and Maxilact LGX
5000). Using 400 g/L lactose, the maximum GOS yield, measured by HPAEC-PAD
analysis, was 177 g/L (44% w/w of total carbohydrates). The major products
synthesized were the disaccharides 6-galactobiose [Gal-beta(1->6)-Gal] and
allolactose [Gal-beta(1->6)-Glc], as well as the trisaccharide 6-galactosyl
lactose [Gal-beta(1->6)-Gal-beta(1->4)-Glc], which was characterized by MS and 2D
NMR. Structural characterization of another synthesized disaccharide, Gal-beta(1
>3)-Glc, was carried out. GOS yield obtained with soluble beta-galactosidases was
slightly lower (160 g/L for Lactozym 3000 L HP G and 154 g/L for Maxilact LGX
5000); however, the typical profile with a maximum GOS concentration followed by
partial hydrolysis of the newly formed oligosaccharides was not observed with the
soluble enzymes. Results were correlated with the higher stability of beta
galactosidase when permeabilized whole cells were used.
PMID- 21888311
TI - The self-consistent field study of the adsorption of flexible polyelectrolytes
onto two charged nano-objects.
AB - The continuum self-consistent field theory (SCFT) is applied to the study of the
adsorption of flexible polyelectrolyte (PE) onto the surfaces of two two
dimensional charged square objects with a constant electric field strength
immersed in a weakly charged polyelectrolyte solution. The dependences of the
different chain conformations, that is, bridging, loop, tail, and train, and in
particular, the bridging chain conformation, on various system parameters (the
charge fraction of the PE chains, the surface charge density, the object size,
the salt concentration, etc.) are investigated. The efficient multigrid method is
adopted to numerically solve the modified diffusion equation and the Poisson
equation. It is found that the thickness L(B) of the boundary layer of the
adsorbed PE chains is independent of the chain length and scales with the surface
charge density sigma and the fraction of charges on PE chains alpha(P) as L(B) ~
sigma(-0.36) and L(B) ~ alpha(P)(-0.36), respectively. Simulation results reveal
that the total amount of bridging chain conformation in the system scales
linearly with respect to the size of the charge objects and scales linearly with
the chain length in the long polymer chain regime. Simulation results reveal that
the total amount of the bridging chain conformation in the system scales with the
charge fraction of PE chains as a power law and the scaling exponent is dependent
on all of the other system parameters. Simulation results show that the total
amount of charges on the adsorbed chains in the system can overcompensate the
surface charges for relatively long chains with high charge fractions.
PMID- 21888312
TI - Ultrasensitive aptamer-based multiplexed electrochemical detection by coupling
distinguishable signal tags with catalytic recycling of DNase I.
AB - This work reports an aptamer-based, disposable, and multiplexed sensing platform
for simultaneous electrochemical determination of small molecules, employing
adenosine triphosphate (ATP) and cocaine as the model target analytes. The
multiplexed sensing strategy is based on target-induced release of
distinguishable redox tag-conjugated aptamers from a magnetic graphene platform.
The electronic signal of the aptasensors could be further amplified by coupling
DNase I with catalytic recycling of self-produced reactants. The assay was based
on the change in the current at the various peak potentials in the presence of
the corresponding signal tags. Experimental results revealed that the multiplexed
electrochemical aptasensor enabled the simultaneous monitoring of ATP and cocaine
in a single run with wide working ranges and low detection limits (LODs: 0.1 pM
for ATP and 1.5 pM for cocaine). This concept offers promise for rapid, simple,
and cost-effective analysis of biological samples.
PMID- 21888313
TI - Rheological behavior of clay-nanoparticle hybrid-added bentonite suspensions:
specific role of hybrid additives on the gelation of clay-based fluids.
AB - Two different types of clay nanoparticle hybrid, iron oxide nanoparticle clay
hybrid (ICH) and Al(2)O(3)-SiO(2) nanoparticle clay hybrid (ASCH), were
synthesized and their effects on the rheological properties of aqueous bentonite
fluids in steady state and dynamic state were explored. When ICH particles were
added, bentonite particles in the fluid cross-link to form relatively well
oriented porous structure. This is attributed to the development of positively
charged edge surfaces in ICH that leads to strengthening of the gel structure of
the bentonite susensions. The role of ASCH particles on the interparticle
association of the bentonite fluids is different from that of ICH and sensitive
to pH. As pH of ASCH-added bentonite suspensions increased, the viscosity, yield
stress, storage modulus, and flow stress decreased. In contrast, at low pH, the
clay suspensions containing ASCH additives were coagulated and their rheological
properties become close to those of ICH added bentonite fluids. A correlation
between the net surface charge of the hybrid additives and the rheological
properties of the fluids indicates that the embedded nanoparticles within the
interlayer space control the variable charge of the edge surfaces of the
platelets and determine the particles association behavior of the clay fluids.
PMID- 21888314
TI - Dynamic isolation and unloading of target proteins by aptamer-modified
microtransporters.
AB - We describe here a new strategy for isolating target proteins from complex
biological samples based on an aptamer-modified self-propelled microtube engine.
For this purpose, a thiolated thrombin or a mixed thrombin-ATP aptamer
(prehybridized with a thiolated short DNA) was coassembled with mercaptohexanol
onto the gold surface of these microtube engines. The rapid movement of the
aptamer-modified microtransporter resulted in highly selective and rapid capture
of the target thrombin, with an effective discrimination against a large excess
of nontarget proteins. Release of the captured thrombin can be triggered by the
addition of ATP that can bind and displace the immobilized mixed thrombin-ATP
aptamer in 20 min. The rapid loading and unloading abilities demonstrated by
these selective microtransporters are illustrated in complex matrixes such as
human serum and plasma. The new motion-driven protein isolation platform
represents a new approach in bioanalytical chemistry based on active transport of
proteins and offers considerable promise for diverse diagnostic applications.
PMID- 21888315
TI - Synthetic and structural studies of donor-functionalized alkoxy derivatives of
gallium.
AB - The synthesis of a range of alkyl/chloro-gallium alkoxide and amido/alkoxide
compounds was achieved via a series of protonolysis and alcoholysis steps. The
initial reaction involved the synthesis of [Me(Cl)Ga{N(SiMe(3))(2)}](2) (1) via
methyl group transfer from the reaction of GaCl(3) with two equivalents of
LiN(SiMe(3))(2). Reaction of 1 with varying amounts of ROH resulted in the
formation of [Me(Cl)Ga(OR)](2) (2, R = CH(2)CH(2)OMe; 3, CH(CH(3))CH(2)NMe(2)),
[Me(Cl)Ga{N(SiMe(3))(2)}(MU(2)-OR)Ga(Cl)Me] (4, R = CH(2)CH(2)NMe(2)), or
[MeGa(OR)(2)] (5, R = CH(CH(3))CH(2)NMe(2)). Compound 4 represents an
intermediate in the formation of dimeric complexes, of the type
[Me(Cl)Ga(OR)](2), when formed from compound [Me(Cl)Ga{N(SiMe(3))(2)}](2). A
methylgallium amido/alkoxide complex [MeGa{N(SiMe(3))(2)}(OCH(2)CH(2)OMe)](2) (6)
was isolated when 2 was further reacted with LiN(SiMe(3))(2). In addition,
reaction of 2 with HO(t)Bu resulted in a simple alcohol/alkoxide exchange and
formation of [Me(Cl)Ga(O(t)Bu)](2) (7). In contrast to the formation of 1, the in
situ reaction of GaCl(3) with one equivalent of LiN(SiMe(3))(2) yielded
[Cl(2)Ga{N(SiMe(3))(2)}](2) in low yield, where no methyl group transfer has
occurred. Reaction of alcohol with [Cl(2)Ga{N(SiMe(3))(2)}](2) was then found to
yield [Cl(2)Ga(OR)](2) (8, R = CH(2)CH(2)NMe(2)), and further reaction of 8 with
LiN(SiMe(3))(2) yielded the gallium amido alkoxide complex,
[ClGa{N(SiMe(3))(2)}(OR)](2) (9, R = CH(2)CH(2)NMe(2)), similar to 6. The
structures of compounds 4, 5, 7, and 8 have been determined by single-crystal X
ray diffraction.
PMID- 21888317
TI - Interfacial and self-aggregation of binary mixtures of anionic and nonionic
amphiphiles in aqueous medium.
AB - Interfacial and bulk properties of sodium dodecyl sulfate (SDS), polyoxyethylene
(20) sorbitan monooleate (Tween-80), and their binary mixtures in aqueous medium
have been investigated using surface tension, conductance, and fluorescence
measurements at different temperatures. The critical micelle concentration (CMC),
counterion binding, polarity index, aggregation number, thermodynamics of
interfacial adsorption, and micellization are evaluated. The entropic
contribution is found to be the predominant factor in micelle formation. The
aggregation number and the polarity index of the micelle interior estimated by
the pyrene fluorescence technique show interesting temperature dependence. The
size and composition of the mixed micelles vary with the total concentration. The
micellar compositions, activities of the individual components in the mixed
species, and intersurfactant interactions are also estimated following regular
solution theory, excess thermodynamic functions, and a molecular thermodynamic
model. The surfactant mixtures are found to be nonideal with a lower degree of
counterion binding compared to the individual members. Mixed micelles are
nonspherical in nature at all temperatures when the mole fraction (alpha) of the
ionic part in mixtures is high but transform to spherical when alpha(SDS) is
<=0.50.
PMID- 21888316
TI - NMR in metabolomics and natural products research: two sides of the same coin.
AB - Small molecules are central to biology, mediating critical phenomena such as
metabolism, signal transduction, mating attraction, and chemical defense. The
traditional categories that define small molecules, such as metabolite, secondary
metabolite, pheromone, hormone, and so forth, often overlap, and a single
compound can appear under more than one functional heading. Therefore, we favor a
unifying term, biogenic small molecules (BSMs), to describe any small molecule
from a biological source. In a similar vein, two major fields of chemical
research,natural products chemistry and metabolomics, have as their goal the
identification of BSMs, either as a purified active compound (natural products
chemistry) or as a biomarker of a particular biological state (metabolomics).
Natural products chemistry has a long tradition of sophisticated techniques that
allow identification of complex BSMs, but it often fails when dealing with
complex mixtures. Metabolomics thrives with mixtures and uses the power of
statistical analysis to isolate the proverbial "needle from a haystack", but it
is often limited in the identification of active BSMs. We argue that the two
fields of natural products chemistry and metabolomics have largely overlapping
objectives: the identification of structures and functions of BSMs, which in
nature almost inevitably occur as complex mixtures. Nuclear magnetic resonance
(NMR) spectroscopy is a central analytical technique common to most areas of BSM
research. In this Account, we highlight several different NMR approaches to
mixture analysis that illustrate the commonalities between traditional natural
products chemistry and metabolomics. The primary focus here is two-dimensional
(2D) NMR; because of space limitations, we do not discuss several other important
techniques, including hyphenated methods that combine NMR with mass spectrometry
and chromatography. We first describe the simplest approach of analyzing 2D NMR
spectra of unfractionated mixtures to identify BSMs that are unstable to chemical
isolation. We then show how the statistical method of covariance can be used to
enhance the resolution of 2D NMR spectra and facilitate the semi-automated
identification of individual components in a complex mixture. Comparative studies
can be used with two or more samples, such as active vs inactive, diseased vs
healthy, treated vs untreated, wild type vs mutant, and so on. We present two
overall approaches to comparative studies: a simple but powerful method for
comparing two 2D NMR spectra and a full statistical approach using multiple
samples. The major bottleneck in all of these techniques is the rapid and
reliable identification of unknown BSMs; the solution will require all the
traditional approaches of both natural products chemistry and metabolomics as
well as improved analytical methods, databases, and statistical tools.
PMID- 21888318
TI - Liquid water transport in polylactide homo and graft copolymers.
AB - The successful design of new biodegradable, renewable resource plastics as
replacements to commodity barrier plastics would benefit from an accurate
measurement of sorption and diffusion of liquids. In this study, the diffusion of
liquid water in amorphous polylactide [PLA] and a PLA graft copolymer, poly(1,5
cyclooctadiene-co-5-norbornene-2-methanol-graft-dl-lactide) [PCNL], was examined
with time-resolved Fourier transform infrared attenuated total reflectance (FTIR
ATR) spectroscopy. Non-Fickian behavior was observed for all experiments,
indicated by a slow approach to steady state due to diffusion and polymer
relaxation occurring on similar time scales. This non-Fickian behavior highlights
the variability of the sorption isotherms reported in the literature, where
others have collected nonequilibrium sorption behavior (instead of true steady
state equilibrium sorption) at different time points and film thicknesses. The
dynamic infrared data provided direct evidence for both water diffusion and water
induced polymer relaxation, where both were quantified and regressed to a
diffusion-relaxation model to determine the diffusion coefficient and the polymer
relaxation time constant. In addition to the successful measurement and modeling
of the diffusion-relaxation phenomena for diffusion of a liquid in a
nonequilibrium state glassy polymer, this study also determined that the
diffusivity of water in the PLA graft copolymer (with only 5 wt % rubber) was 3
fold lower than in the PLA homopolymer.
PMID- 21888319
TI - A combined experimental and computational thermodynamic study of the isomers of
pyrrolecarboxaldehyde and 1-methyl- pyrrolecarboxaldehyde.
AB - The present paper reports an experimental calorimetric study of 2
pyrrolecarboxaldehyde and 1-methyl-2-pyrrolecarboxaldehyde, which aims to
determine their standard (p degrees = 0.1 MPa) molar enthalpies of formation, in
the gaseous phase, at T = 298.15 K. These values were derived from the standard
(p degrees = 0.1 MPa) molar enthalpies of formation, in the condensed phase,
Delta(f)H(m) degrees (cr,l), at T = 298.15 K, obtained from the standard molar
enthalpies of combustion, DeltacHm degrees , measured by static bomb combustion
calorimetry, and from the standard molar enthalpies of phase transition,
Delta(cr,l)(g) H(m) degrees at T = 298.15 K, obtained by high temperature Calvet
microcalorimetry. Additionally, the standard enthalpies of formation of these two
compounds were estimated by computations based on standard ab initio molecular
calculations at the G3(MP2)//B3LYP level. The estimated values are in very good
agreement with experimental data, giving us support to estimate the gas-phase
enthalpies of formation of the 3-pyrrolecarboxaldehyde and 1-methyl-3
pyrrolecarboxaldehyde that were not studied experimentally. N-H bond dissociation
enthalpies, gas-phase acidities and basicities, proton and electron affinities,
and adiabatic ionization enthalpies were also calculated. Furthermore, the
molecular structure of the four molecules was established and the structural
parameters were determined at the B3LYP/6-31G(d) level of theory.
PMID- 21888320
TI - Influence of electrostatic and chemical heterogeneity on the electric-field
induced destabilization of thin liquid films.
AB - A numerical model for thin liquid film (<100 nm) drainage in the presence of an
external electric field is developed. Long-wave theory is applied to approximate
and simplify the governing equations. A spatiotemporal film morphology evolution
equation thus obtained is then solved using a combination of finite difference to
resolve the spatial dimensions and an adaptive time step ODE solver for the
temporal propagation. The effect of fluid properties, namely, viscosity and
surface tension, on the film drainage time is observed for a homogeneous electric
field, which leads to random dewetting spots. Electrically heterogeneous fields,
achieved by modeling electrodes with various periodic patterns, are explored to
identify their effect on the drainage time and behavior. Finally, the chemical
heterogeneity of the substrate is coupled with the periodic electric
heterogeneity to understand the implications of combined heterogeneity. It is
observed that the introduction of any heterogeneity results in faster drainage of
the film when compared to that of the homogeneous field. In all cases, the thin
film is drained, leaving submicrometer-scale structures at the interface. Well
controlled surface patterns are found on the application of periodic
heterogeneity. This study effectively demonstrates the immense potential of
electrically induced thin film drainage as a means for faster de-emulsification
and for the creation of ordered submicrometer-scale surface patterns on soft
materials.
PMID- 21888321
TI - CH...pi interactions do not contribute to hydrogen transfer catalysis by glycerol
dehydratase.
AB - The role of the nonbonded CH...pi interaction in the hydrogen abstraction from
glycerol by the coenzyme B(12)-independent glycerol dehydratase (GDH) was
examined using the QM/MM (ONIOM), MP2, and CCSD(T) methods. The studied CH...pi
interaction included the hydrogen atom of the -C(2)H(OH)- group of the glycerol
substrate and the tyrosine-339 residue of the dehydratase. A contribution of this
interaction to the stabilization of the transition state for the transfer of a
hydrogen atom from the adjacent terminal C(1)H(2)(OH) group to cysteine 433 was
determined by ab initio HF, MP2, and CCSD(T) calculations with the aug-cc-pvDZ
basis set for the corresponding methane/benzene, methanol/phenol, and glycerol
radical/phenol subsystems. The calculated CH...pi distance, defined as the
distance between the H atom and the center of the phenol ring, shortened from
2.62 to 2.52 A upon going from the ground- to the transition-state of the GDH
catalyzed reaction. However, this shortening was not accompanied by the expected
lowering of the CH...pi interaction free energy. Instead, this interaction
remained weak (about -1 kcal/mol) along the entire reaction coordinate.
Additionally, the mutual orientation of the CH group and the phenol ring did not
change significantly during the reaction. These results suggest that the phenol
group of the tyrosine-339 does not contribute to lowering the activation barrier
in the enzyme, but do not exclude the possibility that tyrosine 339 facilitates
proper orientation of glycerol for the electrostatic catalysis, or inhibits side
reactions of the reactive glycerol radical intermediate.
PMID- 21888322
TI - Structural, dynamical, and electronic transport properties of modified DNA
duplexes containing size-expanded nucleobases.
AB - Among the distinct strategies proposed to expand the genetic alphabet, size
expanded nucleobases are promising for the development of modified DNA duplexes
with improved biotechnological properties. In particular, duplexes built up by
replacing canonical bases with the corresponding benzo-fused counterparts could
be valuable as molecular nanowires. In this context, this study reports the
results of classical molecular dynamics simulations carried out to examine the
structural and dynamical features of size-expanded DNAs, including both hybrid
duplexes containing mixed pairs of natural and benzo-fused bases (xDNA) and pure
size-expanded (xxDNA) duplexes. Furthermore, the electronic structure of both
natural and size-expanded duplexes is examined by means of density functional
computations. The results confirm that the structural and flexibility properties
of the canonical DNA are globally little affected by the presence of benzo-fused
bases. The most relevant differences are found in the enhanced size of the
grooves, and the reduction in the twist. However, the analysis also reveals
subtle structural effects related to the nature and sequence of benzo-fused bases
in the duplex. On the other hand, electronic structure calculations performed for
xxDNAs confirm the reduction in the HOMO-LUMO gap predicted from the analysis of
the natural bases and their size-expanded counterparts, which suggests that pure
size-expanded DNAs can be good conductors. A more complex situation is found for
xDNAs, where fluctuations in the electrostatic interaction between base pairs
exerts a decisive influence on the modulation of the energy gap.
PMID- 21888323
TI - Exploring the rich energy landscape of sulfate-water clusters SO4(2-) (H2O)(n=3
7): an electronic structure approach.
AB - We present a reinvestigation of sulfate-water clusters SO4(2-) (H2O)(n=3-7),
which involves several new aspects. Using a joint molecular mechanics/first
principles approach, we perform exhaustive searches for stable cluster
geometries, showing that the sulfate-water landscape is much richer than
anticipated previously. We check the compatibility of the new structures with
experiment by comparing vertical detachment energies (VDEs) calculated at the
B3LYP/6-311++G** level of theory and determine the energetic ordering of the
isomers at the RI-MP2/aug-cc-pVTZ level. Our results are bench-marked carefully
against reference energies of estimated CCSD(T)/aug-cc-VTZ quality and VDEs of
CCSD(T)/aug-cc-pVDZ quality. Furthermore, we calculate anharmonic vibrational
corrections for up to the n = 6 clusters, which are shown to be significant for
isomer energy ordering. We use energy decomposition analysis (EDA) based on the
absolutely localized fragment (ALMO) expansion to gain chemical insight into the
binding motifs.
PMID- 21888324
TI - Structure of 2,4-diaminopyrimidine-theobromine alternate base pairs.
AB - We report the structure of clusters of 2,4-diaminopyrimidine with 3,7
dimethylxanthine (theobromine) in the gas phase determined by IR-UV double
resonance spectroscopy in both the near-IR and mid-IR regions in combination with
ab initio computations. These clusters represent potential alternate nucleobase
pairs, geometrically equivalent to guanine-cytosine. We have found the four
lowest energy structures, which include the Watson-Crick base pairing motif. This
Watson-Crick structure has not been observed by resonant two-photon ionization
(R2PI) in the gas phase for the canonical DNA base pairs.
PMID- 21888325
TI - Enantioselective total synthesis of the potent anti-HIV nucleoside EFdA.
AB - A concise enantioselective total synthesis of 4'-ethynyl-2-fluoro-2'
deoxyadenosine (EFdA), an extremely potent anti-HIV agent, has been accomplished
from (R)-glyceraldehyde acetonide in 18% overall yield by a 12-step sequence
involving a highly diastereoselective ethynylation of an alpha-alkoxy ketone
intermediate.
PMID- 21888326
TI - Enantioselective synthesis of sphingadienines and aromatic ceramide analogs.
AB - A new approach to the synthesis of sphingoid bases has been developed. The
strategy is based on Sonogashira coupling of a chiral acetylenic carbamate that
can be prepared in enantiomerically enriched form from 2,3-epoxy-4-pentyn-1-ol,
which is readily accessible by Sharpless asymmetric epoxidation. Several N-Boc
sphingadienines and aromatic ceramide analogs have been synthesized.
PMID- 21888327
TI - Novel approach to the lundurine alkaloids: synthesis of the tetracyclic core.
AB - The tetracyclic core of the lundurine family of alkaloids has been synthesized by
a novel approach that features a double ring-closing olefin metathesis to form
the five-and eight-membered rings.
PMID- 21888330
TI - Combining monitoring data and modeling identifies PAHs as emerging contaminants
in the arctic.
AB - Protecting Arctic ecosystems against potential adverse effects from anthropogenic
activities is recognized as a top priority. In particular, understanding the
accumulation and effects of persistent organic pollutants (POPs) in these
otherwise pristine ecosystems remains a scientific challenge. Here, we combine
more than 20,000 tissue concentrations, a food web bioaccumulation model, and
time trend analyses to demonstrate that the concentrations of legacy-POPs in the
Barents/Norwegian Sea fauna decreased 10-fold between 1985 and 2010, which
reflects regulatory efforts to restrict these substances. In contrast,
concentrations of fossil fuel derived PAHs in lower trophic levels (invertebrates
and fish) increased 10 to 30 fold over the past 25 years and now dominate the
summed POP burden (25 POPs, including 11 PAHs) in these biota. Before 2000, PCBs
dominated the summed POP burden in top predators. Our findings indicate that the
debate on the environmental impacts of fossil fuel burning should move beyond the
expected seawater temperature increase and examine the possible environmental
impact of fossil fuel derived PAHs.
PMID- 21888331
TI - Manganese(II)-containing MRI contrast agent employing a neutral and non
macrocyclic ligand.
AB - The ligand N,N'-bis(2-pyridylmethyl)-bis(ethylacetate)-1,2-ethanediamine (debpn)
coordinates divalent transition metal ions in either a pentadentate or
hexadentate fashion. The coordination number correlates with the ionic radius of
the metal ion, with larger cations being heptacoordinate as assessed by solid
state analysis. With Mn(II), the debpn ligand is hexadentate and remains bound to
the oxophilic metal ion, even when dissolved in water. The ligand's incomplete
coordination of the manganous ion allows water molecules to coordinate to the
metal center. These two properties, coupled with the high paramagnetism
associated with the S = 5/2 metal center, enable [Mn(debpn)(H(2)O)](ClO(4))(2) to
serve as a stable and effective magnetic resonance imaging contrast agent despite
the ligand's lack of both a macrocyclic component and an anionic charge.
PMID- 21888332
TI - Electronic state of a conducting single molecule magnet based on Mn-salen type
and Ni-dithiolene complexes.
AB - The electrochemical oxidation of an acetone solution containing [Mn(III) (5
MeOsaltmen)(H(2)O)](2)(PF(6))(2) (5-MeOsaltmen(2-) = N,N'-(1,1,2,2
tetramethylethylene)bis(5-methoxysalicylideneiminate)) and (NBu(4))[Ni(dmit)(2)]
(dmit(2-) = 2-thioxo-1,3-dithiole-4,5-dithiolate) afforded a hybrid material,
[Mn(5-MeOsaltmen)(acetone)](2)[Ni(dmit)(2)](6) (1), in which [Mn(2)](2+) single
molecule magnets (SMMs) with an S(T) = 4 ground state and [Ni(dmit)(2)](n-)
molecules in a charge-ordered state (n = 0 or 1) are assembled in a layer-by
layer structure. Compound 1 crystallizes in the triclinic space group P1 with an
inversion center at the midpoint of the Mn...Mn dimer. The [Mn(2)](2+) unit has a
typical nonplanar Mn(III) dimeric core and is structurally consistent with
previously reported [Mn(2)] SMMs. The six [Ni(dmit)(2)](n-) (n = 0 or 1) units
have a square-planar coordination geometry, and the charge ordering among them
was assigned on the basis of nu(C?C) in IR reflectance spectra (1386, 1356, 1327,
and 1296 cm(-1)). The [Mn(2)](2+) SMM and [Ni(dmit)(2)](n-) units aggregate
independently to form hybrid frames. Electronic conductivity measurements
revealed that 1 behaved as a semiconductor (rho(rt) = 2.1 * 10(-1) Omega.cm(-1),
E(a) = 97 meV) at ambient pressure and as an insulator at 1.7 GPa (rho(1.7GPa) =
4.5 Omega.cm(-1), E(a) = 76 meV). Magnetic measurements indicated that the
[Mn(2)](2+) units in 1 behaved as S(T) = 4 SMMs at low temperatures.
PMID- 21888334
TI - Structural reassignment of cytosporolides A-C via biomimetic synthetic studies
and reinterpretation of NMR data.
AB - A structure revision for the recently isolated fungal meroterpenoids,
cytosporolides A-C, is suggested based on biosynthetic speculation and
reinterpretation of existing spectroscopic data. The structure revision is
supported by a biomimetic synthetic study, featuring a [4 + 2] cycloaddition
reaction between a presumed o-quinone methide intermediate and beta
caryophyllene.
PMID- 21888333
TI - NH+-F hydrogen bonding in a fluorinated "proton sponge" derivative: integration
of solution, solid-state, gas-phase, and computational studies.
AB - We report detailed studies on the characterization of an intramolecular NH-F
hydrogen bond formed within a fluorinated "proton sponge" derivative. An ammonium
ion, generated from 8-fluoro-N,N-dimethylnaphthalen-1-amine, serves as a charged
hydrogen bond donor to a covalently bound fluorine appropriately positioned on
the naphthalene skeleton. Potentiometric titrations of various N,N
dimethylnaphthalen-1-amines demonstrate a significant increase in basicity when
hydrogen bonding is possible. X-ray crystallography reveals that NH-F hydrogen
bonding in protonated 8-fluoro-N,N-dimethylnaphthalen-1-amine is heavily
influenced by ion pairing in the solid state; bifurcated and trifurcated hydrogen
bonds are formed depending on the counterion utilized. Compelling evidence of
hydrogen bonding in the 8-fluoro-N,N-dimethylnaphthyl-1-ammonium cation is
provided by gas-phase cryogenic vibrational photodissociation spectroscopy.
Solution-phase infrared spectroscopy provides complementary results, and the
frequencies of the N-H stretching mode in both phases are in excellent agreement
with the computed vibrational spectra. NMR analysis of protonated 8-fluoro-N,N
dimethylnaphthalen-1-amine demonstrates significant H-F coupling between the N-H
hydrogen and fluorine that cannot be attributed to long-range, through-bond
interactions; the couplings correlate favorably with calculated values. The
results obtained from these experiments are congruent with the formation of an NH
F hydrogen bond upon protonation of 8-fluoro-N,N-dimethylnaphthalen-1-amine.
PMID- 21888335
TI - Copper-free intramolecular alkyne-azide cycloadditions leading to seven-membered
heterocycles.
AB - Treatment of alk-2-ynyl derivatives of enantiopure phenylglycidol with NaN(3)
triggers a cascade reaction consisting of stereospecific and regioselective
epoxide ring opening followed by intramolecular azide-alkyne cycloaddition under
strictly metal-free conditions. This simple one-pot procedure allows a fast
buildup of molecular complexity, generating a wide array of triazolooxazepinols,
triazolodiazepinols, and triazolothiazepinols.
PMID- 21888336
TI - Total synthesis of (+/-)-chloranthalactone A.
AB - A total synthesis of (+/-)-chloranthalactone A was completed. It features
substrate-controlled epoxidation of ketone and highly diastereoselective
intramolecular cyclopropanation to construct the cis, trans-3/5/6 tricyclic
skeleton.
PMID- 21888337
TI - Highly convergent synthesis of chiral bicyclophosphinates by domino
hydrophosphinylation/Michael/Michael reaction.
AB - Diastereoselective domino reactions of iminoalcohols and allenyl H-phosphinates
produce chiral phosphorus bicycles in a regio- and stereoselective fashion. A
predictive model for diastereoselection is used for these new chiral phosphinic
esters.
PMID- 21888338
TI - Palladium-catalyzed regioselective C-S bond cleavage of thiophenes.
AB - Herein, a Pd-catalyzed reaction of simple and diverse bromothiophenes with
alkynes via regioselective C-S bond activation is reported. This provides a new
approach to prepare sulfur-based heterocycles and fulvenes.
PMID- 21888339
TI - Synthesis of cis and trans bis-alkynyl complexes of Cr(III) and Rh(III) supported
by a tetradentate macrocyclic amine: a spectroscopic investigation of the M(III)
alkynyl interaction.
AB - Alkynyl complexes of the type [M(cyclam)(CCR)(2)]OTf (where cyclam = 1,4,8,11
tetraazacyclotetradecane; M = Rh(III) or Cr(III); and R = phenyl, 4-methylphenyl,
4-trifluoromethylphenyl, 4-fluorophenyl, 1-naphthalenyl, 9-phenanthrenyl, and
cyclohexyl) were prepared in 49% to 93% yield using a one-pot synthesis involving
the addition of 2 equiv of RCCH and 4 equiv of BuLi to the appropriate
[M(cyclam)(OTf)(2)]OTf complex in THF. The cis and trans isomers of the alkynyl
complexes were separated using solubility differences, and the stereochemistry
was characterized using infrared spectroscopy of the CH(2) rocking and NH bending
region. All of the trans-[M(cyclam)(CCR)(2)]OTf complexes exhibit strong Raman
bands between 2071 and 2109 cm(-1), ascribed to nu(s)(C=C). The stretching
frequencies for the Cr(III) complexes are 21-28 cm(-1) lower than for the
analogous Rh(III) complexes, a result that can be interpreted in terms of the
alkynyl ligands acting as pi-donors. UV-vis spectra of the Cr(III) and Rh(III)
complexes are dominated by strong charge transfer (CT) transitions. In the case
of the Rh(III) complexes, these CT transitions obscure the metal centered (MC)
transitions, but in the case of the Cr(III) complexes the MC transitions are
unobscured and appear between 320 and 500 nm, with extinction coefficients (170
700 L mol(-1) cm(-1)) indicative of intensity stealing from the proximal CT
bands. The Cr(III) complexes show long-lived (240-327 MUs), structureless, MC
emission centered between 731 and 748 nm in degassed room temperature aqueous
solution. Emission characteristics are also consistent with the arylalkynyl
ligands acting as pi-donors. The Rh(III) complexes also display long-lived (4-21
MUs), structureless, metal centered emission centered between 524 and 548 nm in
degassed room temperature solution (CH(3)CN).
PMID- 21888341
TI - DFT/MM description of flavin IR spectra in BLUF domains.
AB - A class of photoreceptors occurring in various organisms consists of domains that
are blue light sensing using flavin (BLUF). The vibrational spectra of the flavin
chromophore are spectroscopically well characterized for the dark-adapted resting
states and for the light-adapted signaling states of BLUF domains in solution.
Here we present a theoretical analysis of such spectra by applying density
functional theory (DFT) to the flavin embedded in molecular mechanics (MM) models
of its protein and solvent environment. By DFT/MM we calculate flavin spectra for
seven different X-ray and NMR structures of BLUF domains occurring in the
transcriptional antirepressor AppA and in the blue light receptor B (BlrB) of the
purple bacterium Rb. Sphaeroides as well as in the phototaxis photoreceptor
Slr1694 of the cyanobacterium Synechocystis. By considering the dynamical
stabilities of associated all-atom simulation models and by comparing calculated
with observed vibrational spectra, we show that two of the considered structures
(both AppA) are obviously erroneous and that specific features of two further
crystal structures (BlrB and Slr1694) cannot represent the states of the
respective BLUF domains in solution. Thereby, the conformational transitions
elicited by solvation are identified. In this context we demonstrate how hydrogen
bonds of varying strengths can tune in BLUF domains the C?O stretching
frequencies of the flavin chromophore. Furthermore we show that the DFT/MM
spectra of the flavin calculated for two different AppA BLUF conformations, which
are called Trp(in) and Met(in), fit very well to the spectroscopic data observed
for the dark and light states, respectively, if (i) polarized MM force fields,
which are calculated by an iterative DFT/MM procedure, are employed for the
flavin binding pockets and (ii) the calculated frequencies are properly scaled.
Although the associated analysis indicates that the Trp(in) conformation belongs
to the dark state, no clear light vs dark distinction emerges for the Met(in)
conformation. In this connection, a number of methodological issues relevant for
such complex computations are thoroughly discussed showing, in particular, why
our current descriptions could not decide the light vs dark question for Met(in).
PMID- 21888340
TI - Effects of base polymer hydrophobicity and end-group modification on polymeric
gene delivery.
AB - A new 320-member polymer library of end-modified poly(beta-amino ester)s was
synthesized. This library was chosen such that small differences to the
structures of component backbone, side-chain, and end-group monomers could be
systematically and simultaneously evaluated. The in vitro transfection efficacy
and cytotoxicity of DNA nanoparticles formed from this library were assessed.
This library approach not only enabled us to synthesize and test a large variety
of structures rapidly but also provided us with a robust data set to analyze for
the effect of small structural permutations to polymer chain structure. Small
changes to the side chains, backbones, and end groups within this polymer library
produced dramatic results, with transfection efficacy of CMV-Luc varying over 4
orders in a 96-well plate format. Increasing hydrophobicity of the base polymer
backbone and side chain tended to increase transfection efficacy, but the most
hydrophobic side chains and backbones showed the least requirement for a
hydrophobic pair. Optimal PBAE formulations were superior to commercially
available nonviral alternatives FuGENE HD and Lipofectamine 2000, enabling ~3
fold increased luminescence (2.2 * 10(6) RLU/well vs 8.1 * 10(5) RLU/well) and 2
fold increased transfection percentage (76.7% vs 42.9%) as measured by flow
cytometry with comparable or reduced toxicity.
PMID- 21888342
TI - Controlled silanization of silica nanoparticles to stabilize foams, climbing
films, and liquid marbles.
AB - We describe a method for the synthesis of multigram amounts of silica
nanoparticles which are controllably hydrophobized to different extents using a
room temperature vapor phase silanization process. The extent of hydrophobization
of the particles can be adjusted by changing the amount of dichlorodimethylsilane
reagent used in the reaction. The method produces particles with good uniformity
of surface coating; the silane coating varies from monolayer coverage at low
extents of hydrophobization to approximately trilayer at high extents of
hydrophobization. Acid-base titration using conductivity detection was used to
characterize the extent of hydrophobization which is expressed as the percent of
surface silanol groups remaining after silanization. Particles with %SiOH ranging
from 100% (most hydrophilic) to 20% (most hydrophobic) were hand shaken with
water/methanol mixtures and produced either a particle dispersion, foam, climbing
films, or liquid marbles. The type of colloidal structure produced is discussed
in terms of the liquid-air-particle contact angle and the energy of adsorption of
the particles to the liquid-air surface.
PMID- 21888343
TI - A manganese(V)-oxo pi-cation radical complex: influence of one-electron oxidation
on oxygen-atom transfer.
AB - One-electron oxidation of Mn(V)-oxo corrolazine 2 affords 2(+), the first example
of a Mn(V)(O) pi-cation radical porphyrinoid complex, which was characterized by
UV-vis, EPR, LDI-MS, and DFT methods. Access to 2 and 2(+) allowed for a direct
comparison of their reactivities in oxygen-atom transfer (OAT) reactions. Both
complexes are capable of OAT to PPh(3) and RSR substrates, and 2(+) was found to
be a more potent oxidant than 2. Analysis of rate constants and activation
parameters, together with DFT calculations, points to a concerted OAT mechanism
for 2(+) and 2 and indicates that the greater electrophilicity of 2(+) likely
plays a dominant role in enhancing its reactivity. These results are relevant to
comparisons between Compound I and Compound II in heme enzymes.
PMID- 21888344
TI - Understanding run-in behavior of diamond-like carbon friction and preventing
diamond-like carbon wear in humid air.
AB - The friction behavior of diamond-like carbon (DLC) is very sensitive to the test
environment. For hydrogen-rich DLC tested in dry argon and hydrogen, there was
always an induction period, so-called "run-in" period, during which the friction
coefficient was high and gradually decreased before DLC showed an ultralow
friction coefficient (less than 0.01) behavior. Regardless of friction
coefficients and hydrogen contents, small amounts of wear were observed in dry
argon, hydrogen, oxygen, and humid argon environments. Surprisingly, there were
no wear or rubbing scar on DLC surfaces tested in n-pentanol vapor conditions,
although the friction coefficient was relatively high among the five test
environments. Ex situ X-ray photoelectron and near-edge X-ray absorption fine
structure spectroscopy analyses failed to reveal any differences in chemical
composition attributable to the environment dependence of DLC friction and wear.
The failure of getting chemical information of oxygenated surface species from
the ex situ analysis was found to be due to facile oxidation of the DLC surface
upon exposure to air. The removal or wear of this surface oxide layer is
responsible for the run-in behavior of DLC. It was discovered that the alcohol
vapor can also prevent the oxidized DLC surface from wear in humid air
conditions.
PMID- 21888345
TI - Optical and photocatalytic properties of single crystalline ZnO at the air-liquid
interface by an aminolytic reaction.
AB - Crystalline flowerlike ZnO was synthesized by an aminolytic reaction at the air
liquid interface in an aqueous media at an alkaline pH. A thin visible film was
formed at the air-liquid interface by self-assembly of flowerlike ZnO.
Diffraction studies show rearrangement of the single crystalline units at the air
liquid interface leading to the formation of nanobelts. These nanobelts overlap
systematically to form petals of the flowerlike structure; individual petals get
curved with time. Each nanobelt is found to be single crystalline and can be
indexed as the hexagonal ZnO phase. The organic product formed in the aminolytic
reaction and dissolution-reprecipitation mechanism is the driving force for the
formation of flowerlike ZnO at the air-liquid interface. A clear relationship
between the surface, photocatalytic, and photoluminescent properties of ZnO is
observed. The flowerlike structure exhibits a blue shift (3.56 eV) in the band
emission as compared to bulk ZnO (3.37 eV). The photodegradation of methylene
blue over the flowerlike ZnO catalyst formed at the air-liquid interface and in
the sediments shows enhanced photocatalytic activity. The sub-bands formed due to
surface defects facilitate separation of charge carriers increasing their
lifetime, leading to enhanced photocatalytic activity of flowerlike ZnO.
PMID- 21888346
TI - Fundamental examination of nanoparticle heating kinetics upon near infrared (NIR)
irradiation.
AB - Near infrared (NIR) light, which spans wavelengths from ~700-1100 nm holds
particular promise in bionanotechnology-enabled applications because both NIR
light and nanoparticles (NPs) have the potential for remote activation leading to
exquisite localization and targeting scenarios. In this study, aqueous solutions
of carbon and metal-based NPs (carbon black, single-walled carbon nanotubes,
silver nanoparticles and copper nanoparticles) were exposed to continuous NIR
laser (lambda = 1064 nm) irradiation at powers of 2.2W and 4.5W. The differential
heating of bulk aqueous suspension of NPs with varying physicochemical properties
revealed maximum temperatures of 67 degrees C with visible evidence of
condensation and bubble formation. The basis of the NP heating is due to the
strong intrinsic optical absorbance in the NIR spectral window and the
transduction of this NIR photon energy into thermal energy. In this regard, UV
vis measurements can accurately predict NP heating kinetics prior to NIR
irradiation. Further, a uniform thermodynamic heating model demonstrates close
agreement with the experimental data for the low NIR-absorbing NPs. However, the
uniform thermodynamic heating model used in this study does not accurately
portray the energy release upon localized NP heating because of bubble formation
for the highly absorbing NPs. Therefore, this study reveals the differential
heating kinetics of NPs excited with NIR with implications in the development of
novel NIR-NP-based systems.
PMID- 21888347
TI - Toward mechanistic understanding of nuclear reprocessing chemistries by
quantifying lanthanide solvent extraction kinetics via microfluidics with
constant interfacial area and rapid mixing.
AB - The closing of the nuclear fuel cycle is an unsolved problem of great importance.
Separating radionuclides produced in a nuclear reactor is useful both for the
storage of nuclear waste and for recycling of nuclear fuel. These separations can
be performed by designing appropriate chelation chemistries and liquid-liquid
extraction schemes, such as in the TALSPEAK process (Trivalent Actinide
Lanthanide Separation by Phosphorus reagent Extraction from Aqueous Komplexes).
However, there are no approved methods for the industrial scale reprocessing of
civilian nuclear fuel in the United States. One bottleneck in the design of next
generation solvent extraction-based nuclear fuel reprocessing schemes is a lack
of interfacial mass transfer rate constants obtained under well-controlled
conditions for lanthanide and actinide ligand complexes; such rate constants are
a prerequisite for mechanistic understanding of the extraction chemistries
involved and are of great assistance in the design of new chemistries. In
addition, rate constants obtained under conditions of known interfacial area have
immediate, practical utility in models required for the scaling-up of laboratory
scale demonstrations to industrial-scale solutions. Existing experimental
techniques for determining these rate constants suffer from two key drawbacks:
either slow mixing or unknown interfacial area. The volume of waste produced by
traditional methods is an additional, practical concern in experiments involving
radioactive elements, both from disposal cost and experimenter safety
standpoints. In this paper, we test a plug-based microfluidic system that uses
flowing plugs (droplets) in microfluidic channels to determine absolute
interfacial mass transfer rate constants under conditions of both rapid mixing
and controlled interfacial area. We utilize this system to determine, for the
first time, the rate constants for interfacial transfer of all lanthanides, minus
promethium, plus yttrium, under TALSPEAK process conditions, as a first step
toward testing the molecular mechanism of this separation process.
PMID- 21888348
TI - Theoretical study of absorption and emission properties of green and yellow
emitting iridium(III) complexes.
AB - Iridium(III) complexes are among the most used phosphorescent materials for the
development of organic light emitting diodes (OLEDs). In this work, the
photophysical properties of a family of complexes based on phenyldiazine ligands
were studied. Their ground state geometric and electronic structures as well as
their absorption and emission spectra were investigated by the means of density
functional theory (DFT) and time-dependent DFT (TD-DFT). An extremely good
agreement between the computed and experimental values is obtained, thus
suggesting that the computational protocol here applied could be used for the in
silico screening and design of new Ir-based emitting complexes.
PMID- 21888349
TI - Vibrational characterization of L-leucine phosphonate analogues: FT-IR, FT-Raman,
and SERS spectroscopy studies and DFT calculations.
AB - This study reports the Raman (FT-Raman) and absorption infrared (FT-IR) spectra,
based on calculated wavenumbers and normal modes of vibrations, of the following
compounds: L-Leu-D-NH-CH(Me)-PO(3)H(2) (LI), L-Leu-NH-C(Me)(2)-PO(3)H(2) (LII), L
Leu-D-NH-CH(Et)-PO(3)H(2) (LIII), L-Leu-L-NH-CH(Et)-PO(3)H(2) (LIV), L-Leu-L-NH
CH(EtOH)-PO(3)H(2) (LV), L-Leu-NH-C(Me)(Et)-PO(3)H(2) (LVI), L-Leu-L-NH-CH(PrA)
PO(3)H(2) (LVII), L-Leu-L-NH-CH(c-Pr)-PO(3)H(2) (LVIII), L-Leu-L-NH-CH(t-Bu)
PO(3)H(2) (LIX), L-Leu-L-NH-CH(BuA)-PO(3)H(2) (LX), L-Leu-L-NH-CH(c-Bu)-PO(3)H(2)
(LXI), and L-Leu-L-NH-C(Adm)-PO(3)H(2) (LXII). The equilibrium geometries and
vibrational wavenumbers were calculated using density functional theory (DFT) at
the B3LYP, 6-311++G** level using Gaussian 03, Raint, GaussSum 0.8, and Gar2ped
software. We briefly compare and analyze the experimental and calculated
vibrational wavenumbers in the range 4000-400 cm(-1). In addition, the Raman
wavenumbers are compared to those from the surface-enhanced Raman scattering
(SERS) spectra for the phosphono analogues of l-leucine (l-Leu) adsorbed on a
colloidal silver surface in an aqueous solution. The geometries of these
molecules etched on the silver surface were deduced from observed changes in both
the intensity and broadness of Raman bands in the spectra of the bound versus
free species. For example, LVI appears to adsorb onto the colloidal silver
particles mainly through the amine group and amide bond, which assists in the
adsorption process, whereas LII shows strongly enhanced SERS bands due to the
rocking, twisting, and stretching vibrations of the N(amid)C(sg)(Me)(2)P
fragment, suggesting that this peptide's interaction with the silver surface
occurs mainly via this fragment. On the other hand, the most dominant SERS bands
of LIII and LIV due to the P?O bond stretches reflect P?O...Ag complex formation.
PMID- 21888351
TI - ReaxFF-lg: correction of the ReaxFF reactive force field for London dispersion,
with applications to the equations of state for energetic materials.
AB - The practical levels of density functional theory (DFT) for solids (LDA, PBE,
PW91, B3LYP) are well-known not to account adequately for the London dispersion
(van der Waals attraction) so important in molecular solids, leading to
equilibrium volumes for molecular crystals ~10-15% too high. The ReaxFF reactive
force field is based on fitting such DFT calculations and suffers from the same
problem. In the paper we extend ReaxFF by adding a London dispersion term with a
form such that it has low gradients (lg) at valence distances leaving the already
optimized valence interactions intact but behaves as 1/R(6) for large distances.
We derive here these lg corrections to ReaxFF based on the experimental crystal
structure data for graphite, polyethylene (PE), carbon dioxide, and nitrogen and
for energetic materials: hexahydro-1,3,5-trinitro-1,3,5-s-triazine (RDX),
pentaerythritol tetranitrate (PETN), 1,3,5-triamino-2,4,6-trinitrobenzene (TATB),
and nitromethane (NM). After this dispersion correction the average error of
predicted equilibrium volumes decreases from 18.5 to 4.2% for the above systems.
We find that the calculated crystal structures and equation of state with ReaxFF
lg are in good agreement with experimental results. In particular, we examined
the phase transition between alpha-RDX and gamma-RDX, finding that ReaxFF-lg
leads to excellent agreement for both the pressure and volume of this transition
occurring at ~4.8 GPa and ~2.18 g/cm(3) density from ReaxFF-lg vs 3.9 GPa and
~2.21 g/cm(3) from experiment. We expect ReaxFF-lg to improve the descriptions of
the phase diagrams for other energetic materials.
PMID- 21888350
TI - Smart multifunctional nanostructure for targeted cancer chemotherapy and magnetic
resonance imaging.
AB - Targeted chemotherapy and magnetic resonance imaging of cancer cells in vitro has
been achieved using a smart multifunctional nanostructure (SMN) constructed from
a porous hollow magnetite nanoparticle (PHMNP), a heterobifunctional PEG ligand,
and an aptamer. The PHMNPs were prepared through a three-step reaction and loaded
with the anticancer drug doxorubicin while being functionalized with PEG ligands.
Targeting aptamers were then introduced by reaction with the PEG ligands. The
pores of the PHMNPs are stable at physiological pH, but they are subject to acid
etching. Specific binding and uptake of the SMN to the target cancer cells
induced by aptamers was observed. In addition, multiple aptamers on the surface
of one single SMN led to enhanced binding and uptake to target cancer cells due
to the multivalent effect. Upon reaching the lysosomes of target cancer cells
through receptor-mediated endocytosis, the relatively low lysosomal pH level
resulted in corrosion of the PHMNP pores, facilitating the release of doxorubicin
to kill the target cancer cells. In addition, the potential of using SMN for
magnetic resonance imaging was also investigated.
PMID- 21888352
TI - Role of the somersault rearrangement in the oxidation step for flavin
monooxygenases (FMO). A comparison between FMO and conventional xenobiotic
oxidation with hydroperoxides.
AB - Model quantum mechanical calculations presented for C-4a-flavin hydroperoxide
(FlHOOH) at the B3LYP/6-311+G(d,p) level suggest a new mechanism for flavoprotein
monooxygenase (FMO) oxidation involving a concerted homolytic O-O bond cleavage
in concert with hydroxyl radical transfer from the flavin hydroperoxide rather
than an S(N)2-like displacement by the substrate on the C-4a-hydroperoxide OOH
group. Homolytic O-O bond cleavage in a somersault-like rearrangement of
hydroperoxide C-4a-flavinhydroperoxide (1) (FLHO-OH -> FLHO...HO) produces an
internally hydrogen-bonded HO(*) radical intermediate with a classical activation
barrier of 27.0 kcal/mol. Model hydroperoxide 1 is used to describe the
transition state for the key oxidation step in the paradigm aromatic hydroxylase,
p-hydroxybenzoate hydroxylase (PHBH). A comparison of the electron distribution
in the transition structures for the PHBH hydroxylation of p-hydroxybenzoic acid
(DeltaE(?) = 23.0 kcal/mol) with that of oxidation of trimethylamine (DeltaE(?) =
22.3 kcal/mol) and dimethyl sulfide (DeltaE? = 14.1 kcal/mol) also suggests a
mechanism involving a somersault mechanism in concert with transfer of an HO(*)
radical to the nucleophilic heteroatom center with a hydrogen transfer back to
the FLH-O residue after the barrier is crossed to produce the final product, FLH
OH. In each case the hydroxylation barrier was less than that of the O-O
rearrangement barrier in the absence of a substrate supporting an overall
concerted process. All three transition structures bear a resemblance to the TS
for the comparable hydroxylation of isobutane (DeltaE(?) = 29.2 kcal/mol) and for
simple Fenton oxidation by aqueous iron(III) hydroperoxides. To our surprise the
oxidation of N- and S-nucleophiles with conventional oxidants such as alkyl
hydroperoxides and peracids also proceeds by HO(*) radical transfer in a manner
quite similar to that for tricyclic hydroperoxide 1. Stabilization of the
developing oxyradical produced by somersault rearrangement for concerted
enzymatic oxidation with tricyclic hydroperoxide 1 results in a reduced overall
activation barrier.
PMID- 21888353
TI - The original Michaelis constant: translation of the 1913 Michaelis-Menten paper.
AB - Nearly 100 years ago Michaelis and Menten published their now classic paper
[Michaelis, L., and Menten, M. L. (1913) Die Kinetik der Invertinwirkung.
Biochem. Z. 49, 333-369] in which they showed that the rate of an enzyme
catalyzed reaction is proportional to the concentration of the enzyme-substrate
complex predicted by the Michaelis-Menten equation. Because the original text was
written in German yet is often quoted by English-speaking authors, we undertook a
complete translation of the 1913 publication, which we provide as Supporting
Information . Here we introduce the translation, describe the historical context
of the work, and show a new analysis of the original data. In doing so, we
uncovered several surprises that reveal an interesting glimpse into the early
history of enzymology. In particular, our reanalysis of Michaelis and Menten's
data using modern computational methods revealed an unanticipated rigor and
precision in the original publication and uncovered a sophisticated,
comprehensive analysis that has been overlooked in the century since their work
was published. Michaelis and Menten not only analyzed initial velocity
measurements but also fit their full time course data to the integrated form of
the rate equations, including product inhibition, and derived a single global
constant to represent all of their data. That constant was not the Michaelis
constant, but rather V(max)/K(m), the specificity constant times the enzyme
concentration (k(cat)/K(m) * E(0)).
PMID- 21888354
TI - Volatile organic compounds in small- and medium-sized commercial buildings in
California.
AB - While small- and medium-sized commercial buildings (SMCBs) make up 96% of the
commercial buildings in the U.S., serving a large variety of uses, little
information is available on indoor air quality (IAQ) in SMCBs. This study
investigated 37 SMCBs distributed across different sizes, ages, uses, and regions
of California. We report indoor concentrations and whole building emission rates
of a suite of 30 VOCs and aldehydes in these buildings. There was a considerable
range in the concentrations for each of the contaminants, especially for
formaldehyde, acetaldehyde, decamethylcyclopentasiloxane, d-limonene, 2
butoxyethanol, toluene, 2,2,4-trimethylpentanediol diisobutyrate, and
diethylphthalate. The cause of higher concentrations in some building categories
generally corresponded to expected sources, for example, chloroform was higher in
restaurants and grocery stores, and formaldehyde was higher in retail stores and
offices. Factor analysis suggests sources in SMCBs include automobile/traffic,
cleaning products, occupant sources, wood products/coating, and plasticizers. The
comparison to health guidelines showed that formaldehyde concentrations were
above the chronic RELs required by the OEHHA (9 MUg/m3) in 86% of the buildings.
Data collected in this study begins to fill the knowledge gap for IAQ in SMCBs
and helps us understand the indoor sources of VOCs to further improve indoor air
quality in SMCBs.
PMID- 21888355
TI - A general approach to controlling the surface composition of poly(ethylene oxide)
based block copolymers for antifouling coatings.
AB - To control the surface properties of a polystyrene-block-poly(ethylene oxide)
diblock copolymer, perfluorinated chemical moieties were specifically
incorporated into the block copolymer backbone. A polystyrene-block
poly[(ethylene oxide)-stat-(allyl glycidyl ether)] [PS-b-P(EO-stat-AGE)]
statistical diblock terpolymer was synthesized with varying incorporations of
allyl glycidyl ether (AGE) in the poly(ethylene oxide) block from 0 to 17 mol %.
The pendant alkenes of the AGE repeat units were subsequently functionalized by
thiol-ene chemistry with 1H,1H,2H,2H-perfluorooctanethiol, yielding fluorocarbon
functionalized AGE (fAGE) repeat units. (1)H NMR spectroscopy and size-exclusion
chromatography indicated well-defined structures with complete functionalization
of the pendant alkenes. The surfaces of the polymer films were characterized
after spray coating by X-ray photoelectron spectroscopy (XPS) and near-edge X-ray
absorption fine structure spectroscopy (NEXAFS), showing that the P(EO-stat-fAGE)
block starts to compete with polystyrene to populate the surface after only 1 mol
% incorporation of fAGE. Increasing the incorporation of fAGE led to an increased
amount of perfluorocarbons on the surface and a decrease in the concentration of
PS. At a fAGE incorporation of 8 mol %, PS was not detected at the surface, as
measured by NEXAFS spectroscopy. Water contact angles measured by the captive-air
bubble technique showed the underwater surfaces to be dynamic, with advancing and
receding contact angles varying by >20 degrees . Protein adsorption studies
demonstrated that the fluorinated surfaces effectively prevent nonspecific
binding of proteins relative to an unmodified PS-b-PEO diblock copolymer. In
biological systems, settlement of spores of the green macroalga Ulva was
significantly lower for the fAGE-incorporated polymers compared to the unmodified
diblock and a polydimethylsiloxane elastomer standard. Furthermore, the
attachment strength of sporelings (young plants) of Ulva was also reduced for the
fAGE-containing polymers, affirming their potential as fouling-release coatings.
PMID- 21888356
TI - Preparation, characteristics, and stability of glutathione-loaded nanoparticles.
AB - The aim of this study was to investigate the characteristics and oxidative
stability of chitosan-glutathione conjugate (CS-GSH) and CS-GSH nanoparticles (CS
GSH NPs) to explore the potentials of these nanoparticle systems for GSH
delivery. CS-GSH was synthesized using a radical polymerization method, and CS
GSH NP was prepared by ionic gelation of CS-GSH with sodium tripolyphosphate
(TPP). The sizes of CS-GSH NPs significantly increased with increasing CS-GSH
concentration and CS-GSH/TPP ratio. The entrapment efficiency (EE) significantly
increased with increasing CS-GSH concentration and significantly decreased with
increasing CS-GSH/TPP ratio. The immobilized GSH could be protected against
oxidation compared to free GSH. The thiol content in the nanoencapsulated GSH was
more effectively maintained than those in free GSH and CS-GSH, regardless of the
presence of oxidative stress-inducing agents. These results suggest that CS-GSH
NP can be used to enhance the oxidative stability of GSH.
PMID- 21888357
TI - Monomeric fullerenes in lipid membranes: effects of molecular shape and polarity.
AB - We report a combined theoretical and experimental study on the single-molecule
interaction of fullerenes with phospholipid membranes. We studied pristine C(60)
(1) and two N-substituted fulleropyrrolidines (2 and 3), one of which (3) bore a
paramagnetic nitroxide group. Theoretical predictions of fullerene distribution
and permeability across lipid bilayers were combined with electron paramagnetic
resonance (EPR) experiments in aligned DMPC/DHPC bicelles containing the
paramagnetic fulleropyrrolidine 3 or either one of the diamagnetic fullerenes
together with spin-labeled lipids. We found that, at low concentrations,
fullerenes are present in the bilayer as single molecules. Their preferred
location in the membrane is only slightly influenced by the derivatization: all
derivatives were confined just below the hydrophilic/hydrophobic interface,
because of the key role played by dispersion interactions between the highly
polarizable fullerene cage and the hydrocarbon chains, which are especially tight
within this region. However, the deviation from spherical shape is sufficient to
induce a preferential orientation of 2 and 3 in the membrane. We predict that
monomeric fullerenes spontaneously penetrate the bilayer, in agreement with the
results of molecular dynamics simulations, but we point out the limits of the
currently used permeability model when applied to hydrophobic solutes.
PMID- 21888359
TI - Unusually stable aqueous lyotropic gyroid phases from gemini dicarboxylate
surfactants.
AB - Aqueous lyotropic liquid crystal (LLC) assemblies with bicontinuous cubic
morphologies (Q-phases) have shown promise in applications ranging from selective
chemical separations to ion transporting media, yet universal design criteria for
amphiphiles that adopt these unique structures remain elusive. Recent reports
have demonstrated that cationic gemini surfactants exhibit a tendency to form
bicontinuous cubic LLCs as compared to single-tail amphiphiles; however, the
universality of this surfactant design motif in stabilizing Q-phases remains
untested. Herein, we report the modular synthesis of a new class of anionic
gemini surfactants derived from aliphatic carboxylic acids and demonstrate their
unexpectedly strong propensity to form gyroid LLC phases with unprecedented
stability between 25 and 100 degrees C over amphiphile concentration windows up
to 20 wt % wide. By systematically varying the alkyl spacer length and surfactant
counterions (Na(+), K(+), and (CH(3))(4)N(+)), we identify molecular motifs that
favor formation of technologically useful bicontinuous cubic LLC morphologies.
PMID- 21888360
TI - Theoretical prediction on the thermal stability of cyclic ozone and strong oxygen
tunneling.
AB - Dual-level dynamics calculation with variational transition state theory
including multidimensional tunneling has been performed on the isomerization
reaction of cyclic ozone -> normal (open) ozone, which was believed to be the
stability-determining reaction of the elusive cyclic ozone molecule under thermal
condition. The high-level potential energy surface data were obtained from the
calculation using the MRCISD+Q theory with the aug-cc-pVQZ basis set, while the
low-level reaction path information was obtained using the hybrid density
functional theory B3LYP with the cc-pVTZ basis set. The calculated results showed
very significant tunneling effects below 300 K (a factor of ~200 at 300 K and
over 10(7) at 200 K). Because of the strong tunneling effects and the potential
energy surface crossing of the 1A(1) and 1A(2) states, the isomerization
reactions were found to be significantly faster than previously believed. The
half-life of the cyclic ozone was estimated only ~10 s at 200 K and ~70 s below
100 K, which might partly explain the unsuccessful attempts for its experimental
identification. The kinetic isotope effects (KIEs) for various (18)O substitution
reactions were also calculated as a function of temperature and were as high as
10 at very low temperature. Because of the large KIEs, the experimental
identification of the cyclic (18)O(3) seems more promising.
PMID- 21888358
TI - The N-terminal domain of the Escherichia coli PriA helicase contains both the DNA
and nucleotide-binding sites. Energetics of domain--DNA interactions and
allosteric effect of the nucleotide cofactors.
AB - Functional interactions of the Escherichia coli PriA helicase 181N-terminal
domain with the DNA and nucleotide cofactors have been quantitatively examined.
The isolated 181N-terminal domain forms a stable dimer in solution, most probably
reflecting the involvement of the domain in specific cooperative interactions of
the intact PriA protein--double-stranded DNA (dsDNA) complex. Only one monomer of
the domain dimer binds the DNA; i.e., the dimer has one effective DNA-binding
site. Although the total site size of the dimer--single-stranded DNA (ssDNA)
complex is ~13 nucleotides, the DNA-binding subsite engages in direct
interactions with approximately five nucleotides. A small number of interacting
nucleotides indicates that the DNA-binding subsites of the PriA helicase, i.e.,
the strong subsite on the helicase domain and the weak subsite on the N-terminal
domain, are spatially separated in the intact enzyme. Contrary to current views,
the subsite has an only slight preference for the 3'-end OH group of the ssDNA
and lacks any significant base specificity, although it has a significant dsDNA
affinity. Unlike the intact helicase, the DNA-binding subsite of the isolated
domain is in an open conformation, indicating the presence of the direct helicase
domain--N-terminal domain interactions. The discovery that the 181N-terminal
domain possesses a nucleotide-binding site places the allosteric, weak nucleotide
binding site of the intact PriA on the N-terminal domain. The specific effect of
ADP on the domain DNA-binding subsite indicates that in the intact helicase, the
bound ADP not only opens the DNA-binding subsite but also increases its intrinsic
DNA affinity.
PMID- 21888361
TI - Aryl trihydroxyborate salts: thermally unstable species with unusual gelation
abilities.
AB - A series of aryl trihydroxyborate salts were synthesized and found to form gels
in benzene. The compounds were thermally unstable and readily underwent
protodeboronation in solution and the solid state. Gelation could be induced
without decomposition via sonication. Subsequent characterization studies
revealed an unusual dependence of gel properties on alkyl chain length.
PMID- 21888363
TI - Predicting two-dimensional boron-carbon compounds by the global optimization
method.
AB - We adopt a global optimization method to predict two-dimensional (2D)
nanostructures through the particle-swarm optimization (PSO) algorithm. By
performing PSO simulations, we predict new stable structures of 2D boron-carbon
(B-C) compounds for a wide range of boron concentrations. Our calculations show
that: (1) All 2D B-C compounds are metallic except for BC(3) which is a magic
case where the isolation of carbon six-membered ring by boron atoms results in a
semi-conducting behavior. (2) For C-rich B-C compounds, the most stable 2D
structures can be viewed as boron doped graphene structures, where boron atoms
typically form 1D zigzag chains except for BC(3) in which boron atoms are
uniformly distributed. (3) The most stable 2D structure of BC has alternative
carbon and boron ribbons with strong in-between B-C bonds, which possesses a high
thermal stability above 2000 K. (4) For B-rich 2D B-C compounds, there is a novel
planar-tetracoordinate carbon motif with an approximate C(2)(v) symmetry.
PMID- 21888362
TI - Cross-coupling of mesylated phenol derivatives with potassium
cyclopropyltrifluoroborate.
AB - C-O activation of mesylates by a palladium catalyst and subsequent cross-coupling
with potassium cyclopropyltrifluoroborate have been achieved with high yield.
Both electron-enriched and electron-deficient aryl mesylates are suitable
electrophilic partners for the Suzuki-Miyaura reaction. The scope was
successfully extended to heteroaryl mesylates with yields up to 94%.
PMID- 21888364
TI - Fibronectin and bone morphogenetic protein-2-decorated poly(OEGMA-r-HEMA) brushes
promote osseointegration of titanium surfaces.
AB - To be better used as medical implants in orthopedic and dental clinical
applications, titanium and titanium-based alloys need to be capable of inducing
osteogenesis. Here we describe a method that allows the facile decoration of
titanium surfaces to impart an osteogenesis capacity. A Ti surface was first
deposited on a poly(OEGMA-r-HEMA) film using surface-initiated atom-transfer
radical polymerization (SI-ATRP) with the further step of carboxylation. The
modified surfaces were resistant to cell adhesion. Fibronectin (FN) and
recombinant human bone morphogenetic protein-2 (rhBMP-2) were further immobilized
onto p(OEGMA-r-HEMA) matrices. Our results demonstrate that the FN- and rhBMP-2
conjugated polymer surfaces could induce the adhesion of MC3T3 cells on Ti
surfaces. Moreover, the protein-tethered surface exhibited enhanced cell
differentiation in terms of alkaline phosphatase activity compared to that of the
pristine Ti surface at similar cell proliferation rates. This research
establishes a simple modification method of Ti surfaces via Ti-thiolate self
assembled monolayers (SAMs) and SI-ATRP and identifies a dual-functional Ti
surface that combines antifouling and osseointegration promotion.
PMID- 21888365
TI - Computational studies of lithium diisopropylamide deaggregation.
AB - Density functional theory computations [MP2/6-31G(d)//B3LYP/6-31G(d)] on the
deaggregation of lithium diisopropylamide (LDA) dimer solvated by two
tetrahydrofuran ligands to give the corresponding trisolvated monomer show eight
structurally distinct minima. The barriers to exchange are comparable to those
expected from experimental studies showing rate-limiting deaggregations. The role
of conformational isomerism in deaggregation and the extent that deaggregation
rates dictate LDA reactivity under synthetically important conditions are
considered.
PMID- 21888366
TI - Anion binding by bambus[6]uril probed in the gas phase and in solution.
AB - Electrospray ionization mass spectrometry (ESI-MS) is used to probe the binding
of small anions to the macrocycle of bambus[6]uril. For the halide ions, the
experimental patterns suggest F(-) < Cl(-) < Br(-) < I(-), which is consistent
with the order of anion binding found in the condensed phase. Parallel
equilibrium studies in the condensed phase establish the association constants of
halide anions and bambus[6]uril in mixed solvents. A detailed analysis of the
mass spectrometric data is used to shed light on the correlations between the
binding constants in the condensed phase and the ion abundances observed using
ESI-MS. From the analysis it becomes apparent that ESI-MS can indeed represent
the situation in solution to some extent, but the sampling in the gas-phase
experiment is not 1:1 compared to that in solution.
PMID- 21888367
TI - Interaction of metal ions with biomolecular ligands: how accurate are calculated
free energies associated with metal ion complexation?
AB - To address fundamental questions in bioinorganic chemistry, such as metal ion
selectivity, accurate computational protocols for both the gas-phase association
of metal-ligand complexes and solvation/desolvation energies of the species
involved are needed. In this work, we attempt to critically evaluate the
performance of the ab initio and DFT electronic structure methods available and
recent solvation models in calculations of the energetics associated with metal
ion complexation. On the example of five model complexes
([M(II)(CH(3)S)(H(2)O)](+), [M(II)(H(2)O)(2)(H(2)S)(NH(3))](2+),
[M(II)(CH(3)S)(NH(3))(H(2)O)(CH(3)COO)], [M(II)(H(2)O)(3)(SH)(CH(3)COO)(Im)],
[M(II)(H(2)S)(H(2)O)(CH(3)COO)(PhOH)(Im)](+) in typical coordination geometries)
and four metal ions (Fe(2+), Cu(2+), Zn(2+), and Cd(2+); representing open- and
closed-shell and the first- and second-row transition metal elements), we provide
reference values for the gas-phase complexation energies, as presumably obtained
using the CCSD(T)/aug-cc-pVTZ method, and compare them with cheaper methods, such
as DFT and RI-MP2, that can be used for large-scale calculations. We also discuss
two possible definitions of interaction energies underlying the theoretically
predicted metal-ion selectivity and the effect of geometry optimization on these
values. Finally, popular solvation models, such as COSMO-RS and SMD, are used to
demonstrate whether quantum chemical calculations can provide the overall free
enthalpy (DeltaG) changes in the range of the expected experimental values for
the model complexes or match the experimental stability constants in the case of
three complexes for which the experimental data exist. The data presented
highlight several intricacies in the theoretical predictions of the experimental
stability constants: the covalent character of some metal-ligand bonds (e.g.,
Cu(II)-thiolate) causing larger errors in the gas-phase complexation energies,
inaccuracies in the treatment of solvation of the charged species, and
difficulties in the definition of the reference state for Jahn-Teller unstable
systems (e.g., [Cu(H(2)O)(6)](2+)). Although the agreement between the
experimental (as derived from the stability constants) and calculated values is
often within 5 kcal.mol(-1), in more complicated cases, it may exceed 15
kcal.mol(-1). Therefore, extreme caution must be exercised in assessing the
subtle issues of metal ion selectivity quantitatively.
PMID- 21888368
TI - Origin of giant ionic currents in carbon nanotube channels.
AB - Fluid flow inside carbon nanotubes is remarkable: transport of water and gases is
nearly frictionless, and the small channel size results in selective transport of
ions. Very recently, devices have been fabricated in which one narrow single
walled carbon nanotube spans a barrier separating electrolyte reservoirs. Ion
current through these devices is about 2 orders of magnitude larger than
predicted from the bulk resistivity of the electrolyte. Electroosmosis can drive
these large excess currents if the tube both is charged and transports anions or
cations preferentially. By building a nanofluidic field-effect transistor with a
gate electrode embedded in the fluid barrier, we show that the tube carries a
negative charge and the excess current is carried by cations. The magnitude of
the excess current and its control by a gate electrode are correctly predicted by
the Poisson-Nernst-Planck-Stokes equations.
PMID- 21888369
TI - PsoP1, a milk-clotting aspartic peptidase from the basidiomycete fungus
Piptoporus soloniensis.
AB - The first enzyme of the basidiomycete Piptoporus soloniensis, a peptidase
(PsoP1), was characterized after isolation from submerged cultures, purification
by fractional precipitation, and preparative native-polyarylamide gel
electrophoresis (PAGE). The native molecular mass of PsoP1 was 38 kDa with an
isoelectric point of 3.9. Similar to chymosin from milk calves, PsoP1 showed a
maximum milk-clotting activity (MCA) at 35-40 degrees C and was most stable at
pH 6 and below 40 degrees C. The complete inhibition by pepstatin A identified
this enzyme as an aspartic peptidase. Electrospray ionization-tandem MS showed an
amino acid partial sequence that was more homologous to mammalian milk clotting
peptidases than to the chymosin substitute from a fungal species, such as the
Zygomycete Mucor miehei. According to sodium dodecyl sulfate-PAGE patterns, the
peptidase cleaved kappa-casein in a way similar to chymosin and hydrolyzed beta
casein slowly, as it would be expected from an efficient chymosin substitute.
PMID- 21888370
TI - Laboratory-scale production of 13C-labeled lycopene and phytoene by bioengineered
Escherichia coli.
AB - Consumption of tomato products has been associated with decreased risks of
chronic diseases such as cardiovascular disease and cancer, and therefore the
biological functions of tomato carotenoids such as lycopene, phytoene, and
phytofluene are being investigated. To study the absorption, distribution,
metabolism, and excretion of these carotenoids, a bioengineered Escherichia coli
model was evaluated for laboratory-scale production of stable isotope-labeled
carotenoids. Carotenoid biosynthetic genes from Enterobacter agglomerans were
introduced into the BL21Star(DE3) strain to yield lycopene. Over 96% of
accumulated lycopene was in the all-trans form, and the molecules were highly
enriched with 13C by 13C-glucose dosing. In addition, error-prone PCR was used to
disrupt phytoene desaturase (crtI) function and create a phytoene-accumulating
strain, which was also found to maintain the transcription of phytoene synthase
(crtB). Phytoene molecules were also highly enriched with 13C when the 13C
glucose was the only carbon source. The development of this production model will
provide carotenoid researchers a source of labeled tracer materials to further
investigate the metabolism and biological functions of these carotenoids.
PMID- 21888372
TI - Base-catalyzed Povarov reaction: an unusual [1,3] sigmatropic rearrangement to
dihydropyrimidobenzimidazoles.
AB - A novel base-catalyzed Povarov reaction of arylamines, aldehydes, and electron
deficient dienophiles has been developed. An unprecedented in situ [1,3]
sigmatropic rearrangement leading to 4,10-dihydropyrimido[1,2-a]benzimidazoles
has also been discovered. An insight of the plausible mechanism is discussed and
supported by X-ray crystal study. This cascade reaction is achieved in a one-pot
multicomponent fashion on soluble support under microwave conditions.
PMID- 21888371
TI - NRPS substrate promiscuity diversifies the xenematides.
AB - Xenematide, a cyclic depsipeptide antibiotic produced by Xenorhabdus nematophila,
had a candidate nonribosomal peptide synthetase (NRPS) with atypical features.
Differential metabolite analysis between a mutant and wildtype validated that
this stand-alone NRPS was required for xenematide production, and further
analysis led to a series of new xenematide derivatives encoded by the same NRPS.
Our results indicate that adenylation domain promiscuity and relaxed downstream
processing in the X. nematophila NRPS provide a conduit for xenematide
diversification.
PMID- 21888373
TI - Ultrahigh-performance liquid chromatographic-tandem mass spectrometric
multimycotoxin method for quantitating 26 mycotoxins in maize silage.
AB - A multianalyte method was developed to identify and quantitate 26 mycotoxins
simultaneously in maize silage by means of ultrahigh-performance liquid
chromatography-tandem mass spectrometry (UHPLC-MS/MS). The extraction and cleanup
procedure consists of two extraction steps followed by purification on a Waters
Oasis HLB column. The method developed was validated with the requirements of
Commission Decision 2002/657/EC taken into account. The limit of detection and
quantitation ranges were 5-348 and 11-695 ng/g, respectively. Apparent recovery
varied between 61 and 116%, whereas repeatability and reproducibility were within
the ranges of 3-45 and 5-49%, respectively. The method developed was successfully
applied for maize silage samples taken at the cutting surface and 1 m behind that
surface. Mainly Fusarium toxins (beauvericin, deoxynivalenol, enniatins,
fumonisins, fusaric acid, and zearalenone) were detected, but postharvest toxins
such as mycophenolic acid and roquefortine C were identified as well.
PMID- 21888374
TI - A "carbonizing dragon": China's fast growing CO2 emissions revisited.
AB - China's annual CO(2) emissions grew by around 4 billion tonnes between 1992 and
2007. More than 70% of this increase occurred between 2002 and 2007. While
growing export demand contributed more than 50% to the CO(2) emission growth
between 2002 and 2005, capital investments have been responsible for 61% of
emission growth in China between 2005 and 2007. We use structural decomposition
analysis to identify the drivers for China's emission growth between 1992 and
2007, with special focus on the period 2002 to 2007 when growth was most rapid.
In contrast to previous analysis, we find that efficiency improvements have
largely offset additional CO(2) emissions from increased final consumption
between 2002 and 2007. The strong increases in emissions growth between 2002 and
2007 are instead explained by structural change in China's economy, which has
newly emerged as the third major emission driver. This structural change is
mainly the result of capital investments, in particular, the growing prominence
of construction services and their carbon intensive supply chain. By closing the
model for capital investment, we can now show that the majority of emissions
embodied in capital investment are utilized for domestic household and government
consumption (35-49% and 19-36%, respectively) with smaller amounts for the
production of exports (21-31%). Urbanization and the associated changes in
lifestyle are shown to be more important than other socio-demographic drivers
like the decreasing household size or growing population. We argue that
mitigation efforts will depend on the future development of these key drivers,
particularly capital investments which dictate future mitigation costs.
PMID- 21888375
TI - Halogen bonding interaction between fluorohalides and isocyanides.
AB - The optimized geometries and corresponding binding energies of complexes between
fluorohalides, FX (X = Cl, Br, and I), and isocyanides, CNY (Y = CN, NC, NO(2),
F, CF(3), Cl, Br, H, CCF, CCH, CH(3), SiH(3), Li, and Na), were calculated at the
MP2(Full)/aug-cc-pVTZ (aug-cc-pVTZ-PP on I) level of theory, without and with
basis set superposition error (BSSE) corrections through the counterpoise (CP)
method. The optimized complex geometries were analyzed through the Steiner
Limbach relationship, which can be used to establish correlations between the F-X
and X-C bond lengths. For all complexes, the correlations were shown to improve
considerably when using optimized geometries including BSSE corrections. It was
shown that further improvements can be achieved through the introduction of an
extended four-parameter form of the Steiner-Limbach relationship which accounts
for all differences between the valences associated with the two bonds involving
the halogen in an A-X...B complex. The results indicate that chlorine as a
halogen bond donor is affected by the basicity of the isocyanides and forms
different types of halogen bonds as the F-Cl bond lengthens in parallel with the
shortening of the distance between Cl and the isocyanide carbon. This is not
observed for iodine and bromine as halogen-bond donors, which is illustrated by
the low levels of correlation obtained when applying the standard and extended
Steiner-Limbach relationships to the corresponding complexes.
PMID- 21888377
TI - Utilization of glycosyltransferases for the synthesis of a densely packed
hyperbranched polysaccharide brush coating as artificial glycocalyx.
AB - Densely packed polysaccharide brushes consisting of alpha-D-glucose residues were
grafted from modified silicon substrates. Potato phosphorylase was herein used to
grow linear polysaccharide chains from silicon tethered maltoheptaose
oligosaccharides using glucose-1-phosphate as donor substrate. The combined use
of potato phosphorylase and Deinococcusgeothermalis branching enzyme resulted in
a hyperbranched brush coating as the latter one redistributes short
oligosaccharides from the alpha(1-4)-linked position to the alpha (1-6)-linked
position in the polysaccharide brush. The obtained grafting density of the
brushes was estimated on 1.89 nm(-2) while the thickness was measured with
ellipsometric techniques and determined to be between 12.2 and 20.2 nm.
PMID- 21888376
TI - Synchrotron infrared microspectroscopy detecting the evolution of Huntington's
disease neuropathology and suggesting unique correlates of dysfunction in white
versus gray brain matter.
AB - Huntington's disease (HD), caused by a mutation of the corresponding gene
encoding the protein huntingtin (htt), is characterized by progressive
deterioration of cognitive and motor functions, paralleled by extensive loss of
striatal neurons. At the cellular level, pathogenesis involves an early and
prolonged period of neuronal dysfunction followed by neuronal death.
Understanding the molecular events driving these deleterious processes is
critical to the successful development of therapies to slow down or halt the
progression of the disease. Here, we examined biochemical processes in a HD ex
vivo rat model, as well as in a HD model for cultured neurons using synchrotron
assisted Fourier transform infrared microspectroscopy (S-FTIRM). The model, based
on lentiviral-mediated delivery of a fragment of the HD gene, expresses a mutant
htt fragment in one brain hemisphere and a wild-type htt fragment in the control
hemisphere. S-FTIRM allowed for high spatial resolution and distinction between
spectral features occurring in gray and white matter. We measured a higher
content of beta-sheet protein in the striatal gray matter exposed to mutant htt
as early as 4 weeks following the initiation of mutant htt exposure. In contrast,
white matter tracts did not exhibit any changes in protein structure but
surprisingly showed reduced content of unsaturated lipids and a significant
increase in spectral features associated with phosphorylation. The former is
reminiscent of changes consistent with a myelination deficiency, while the latter
is characteristic of early pro-apoptotic events. These findings point to the
utility of the label-free FTIRM method to follow mutant htt's beta-sheet-rich
transformation in striatal neurons ex vivo, provide further evidence for mutant
htt amyloidogenesis in vivo, and demonstrate novel chemical features indicative
of white matter changes in HD. Parallel studies in cultured neurons expressing
the same htt fragments showed similar changes.
PMID- 21888378
TI - Bioplastics from feather quill.
AB - Poultry feather quills have been extruded in a twin screw extruder with sodium
sulfite treatment as a reducing agent. The effect of four different plasticizers
(ethylene glycol, propylene glycol, glycerol, and diethyl tartrate) on the
thermoplastic properties was then investigated. Conformational changes and
plasticizer-protein interactions in the extruded resins were assessed by Fourier
transform infrared spectroscopy (FTIR), while viscoelastic behavior of the quill
keratin plasticized with different plasticizers was investigated by dynamic
mechanical analysis (DMA). Differential scanning calorimetry (DSC) was used to
determine the effect of different plasticizers on protein denaturation. Thermal
degradation patterns of the extrudates were studied by thermogravimetric analysis
(TGA). The effect of plasticizers on the mechanical properties of resins was also
assessed by tensile strength measurements. Results indicated that ethylene glycol
was able to interact more effectively with quill keratin at the molecular level,
exhibiting only one sharp glass transition, better mechanical properties, and
higher transparency compared to other plasticized resins. The two phases found in
glycerol plasticized material were attributed to glycerol-rich and protein-rich
zones. Propylene glycol and diethyl tartrate exhibited lower H-bonding
interactions and showed wide transition regions in DMA profiles during heating,
suggesting weak and heterogeneous interactions between quill keratin and these
plasticizers.
PMID- 21888379
TI - Sorting mechanisms and communication in phase-separating coupled monolayers.
AB - A continuous model of two coupled monolayers constituting a fluid bilayer
membrane is presented. The model is based on the minimization of a membrane free
energy considering in both monolayer leaflets two different molecule types,
undergoing lateral phase separation. Differences in the mechanical properties of
the molecules, such as shape, stiffness, and length are accounted explicitly by
the model. In the presented model, coupling between monolayers is realized via an
energy-based model depending on the local distance between the two monolayers as
well as the lengths of molecules constituting the local monolayer region. We
numerically study different passive mechanisms for molecule sorting and
correlation across the bilayer induced by first-order mechanical constraints.
Here, we focus on three aspects: First, we find that stretching of the two
monolayers in the normal direction yields a sorting of molecules according to
their length. Furthermore, we show that the length of molecules can be used to
synchronize phases across the bilayer membrane. Moreover, we find that generating
curvature in one layer (induced by different curvature creating mechanisms) sorts
molecules of the other layer according to their shape and stiffness. Many recent
experimental data indicate the importance of specific lipid-protein interactions
and the role of the bilayer thickness in membrane protein function and sorting.
The presented model proposes different mechanisms leading to a colocalization of
different components in different monolayers at the same place at the same time.
PMID- 21888380
TI - Long-range nanometer-scale organization of semifluorinated alkane monolayers at
the air/water interface.
AB - We have determined the structure formed at the air-water interface by
semifluorinated alkanes (C(8)F(17)C(m)H(2m+1) diblocks, F8Hm for short) for
different lengths of the molecule (m = 14, 16, 18, 20) by using surface pressure
versus area per molecule isotherms, Brewster angle microscopy (BAM), and grazing
incidence x-ray experiments (GISAXS and GIXD). The behavior of the monolayers of
diblocks under compression is mainly characterized by a phase transition from a
low-density phase to a condensed phase. The nonzero surface pressure phase is
crystalline and exhibits two hexagonal lattices at two different scales: a long
range-order lattice of a few tens of nanometers lateral parameter and a molecular
array of about 0.6 nm parameter. The extent of this organization is sufficiently
large to impact larger scale behavior. Analysis of the various compressibilities
evidences the presence of non organized molecules in the monolayer for all 2D
pressures. At room temperature, the self-assembled structure appears generic for
all the F8Hm investigated.
PMID- 21888381
TI - Design, overexpression, and purification of polymerization-blocked yeast
alphabeta-tubulin mutants.
AB - Microtubule dynamics play essential roles in intracellular organization and cell
division. They result from structural and biochemical properties of alphabeta
tubulin heterodimers and how these polymerizing subunits interact with themselves
and with regulatory proteins. A broad understanding of the underlying mechanisms
has been established, but fundamental questions remain unresolved. The lack of
routine access to recombinant alphabeta-tubulin represents an obstacle to deeper
insight into alphabeta-tubulin structure, biochemistry, and recognition. Indeed,
the widespread reliance on animal brain alphabeta-tubulin means that very few in
vitro studies have taken advantage of powerful and ordinarily routine techniques
like site-directed mutagenesis. Here we report new methods for purifying wild
type or mutant yeast alphabeta-tubulin from inducibly overexpressing strains of
Saccharomyces cerevisiae. Inducible overexpression is an improvement over
existing approaches that rely on constitutive expression: it provides higher
yields while also allowing otherwise lethal mutants to be purified. We also
designed and purified polymerization-blocked alphabeta-tubulin mutants. These
"blocked" forms of alphabeta-tubulin give a dominant lethal phenotype when
expressed in cells; they cannot form microtubules in vitro and when present in
mixtures inhibit the polymerization of wild-type alphabeta-tubulin. The effects
of blocking mutations are very specific, because purified mutants exhibit normal
hydrodynamic properties, bind GTP, and interact with a tubulin-binding domain.
The ability to overexpress and purify wild-type alphabeta-tubulin, or mutants
like the ones we report here, creates new opportunities for structural studies of
alphabeta-tubulin and its complexes with regulatory proteins, and for biochemical
and functional studies of microtubule dynamics and its regulation.
PMID- 21888382
TI - Application of the nano-positioning system to the analysis of fluorescence
resonance energy transfer networks.
AB - Single-molecule fluorescence resonance energy transfer (sm-FRET) has been
recently applied to distance and position estimation in macromolecular complexes.
Here, we generalize the previously published Nano-Positioning System (NPS), a
probabilistic method to analyze data obtained in such experiments, which accounts
for effects of restricted rotational freedom of fluorescent dyes, as well as for
limited knowledge of the exact dye positions due to attachment via flexible
linkers. In particular we show that global data analysis of complete FRET
networks is beneficial and that the measurement of FRET anisotropies in addition
to FRET efficiencies can be used to determine accurately both position and
orientation of the dyes. This measurement scheme improves localization accuracy
substantially, and we can show that the improvement is a consequence of the more
precise information about the transition dipole moment orientation of the dyes
obtained by FRET anisotropy measurements. We discuss also rigid body docking of
different macromolecules by means of NPS, which can be used to study the
structure of macromolecular complexes. Finally, we combine our approach with
common FRET analysis methods to determine the number of states of a
macromolecule.
PMID- 21888383
TI - Excited-state properties of heteroleptic iridium(III) complexes bearing aromatic
hydrocarbons with extended cores.
AB - The synthesis, complete structural characterization, electrochemistry, and
excited-state dynamics of a series of four bis-heteroleptic iridium(III) charge
transfer complexes composed of a single acac-functionalized and two ortho
metalated 2-phenylpyridine ligands. The formed iodophenyl complex (2) was used as
a metallosynthon to introduce extended-core ethynyltolyl (3), ethynylpyrene (4),
and ethynylperylene (5) residues into these structures projecting from the acac
ancillary ligand. Static and dynamic photoluminescence along with ultrafast and
conventional transient absorption measurements in conjunction with cyclic
voltammetry were employed to elucidate the nature of the intramolecular energy
transfer processes occurring in the excited states of polychromophores 4 and 5
and are directly compared with those of model complexes 2 and 3. Upon charge
transfer excitation of these molecules, the long-lived triplet-state metal-to
ligand charge-transfer ((3)MLCT)-based photoluminescence readily observed in 2
and 3 (tau = 1 MUs) is nearly quantitatively quenched, resulting from production
of the associated triplet intraligand ((3)IL) excited states in 4 and 5 through
intramolecular triplet-triplet energy transfer. The respective formation of the
extended-core (3)*pyrenyl and (3)*perylenyl-localized excited states in 4 and 5
is confirmed by their ultrafast excited-state evolution, which ultimately
generates features associated with these (3)IL excited states and their greatly
extended excited-state lifetimes with respect to the parent complexes 2 and 3.
PMID- 21888384
TI - Selective and sensitive ratiometric detection of Hg(II) ions using a simple amino
acid based sensor.
AB - Synthesis of a novel pyrene derivative sensor (Py-Met) based on amino acid and
its fluorescent behavior for Hg(II) in water was investigated. Upon Hg(II)
binding, the Py-Met-bearing sulfonamide group exhibited a considerable excimer
emission at 480 nm along with a decrease of monomer emission at 383 nm. Py-Met
allows a selective and sensitive ratiometric detection of Hg(II) without any
interference from other metal ions.
PMID- 21888385
TI - Total synthesis of oxazolomycin A.
AB - The first total synthesis of oxazolomycin A, a structurally novel oxazole polyene
gamma-lactam/beta-lactone antibiotic, is described. Key features include the
stereocontrolled construction of the right-hand heterocyclic core by taking
advantage of an In(III)-catalyzed Conia-ene type cyclization and the asymmetric
synthesis of the left-hand segment starting with a Cinchona alkaloid-catalyzed
cyclocondensation of an aldehyde with an acid chloride.
PMID- 21888386
TI - Effects of cyclization on stability, structure, and activity of alpha-conotoxin
RgIA at the alpha9alpha10 nicotinic acetylcholine receptor and GABA(B) receptor.
AB - alpha-Conotoxin RgIA is of interest as a lead in the development of drugs for
neuropathic pain. It modulates the alpha9alpha10 nicotinic acetylcholine receptor
(nAChR) and the GABA(B) receptor, both of which are implicated in
antinociception. However, because of its peptidic nature, RgIA is potentially
susceptible to generic problems encountered by peptide-based drugs of poor oral
bioavailability, short biological half-life, and low stability. Here, we improved
the biopharmaceutical properties of RgIA by backbone cyclization using 3-7
residue peptidic linkers. Cyclization with a six-residue linker does not perturb
the overall structure of RgIA, improves selectivity for the GABA(B) receptor over
the alpha9alpha10 nAChR, and improves stability in human serum. The results
provide insights to further improve the therapeutic properties of RgIA and other
conotoxins being considered as drug leads and confirm that cyclization is a
readily applicable strategy to improve the stability of peptides with proximate N
and C-termini.
PMID- 21888387
TI - Nucleation of silica nanoparticles measured in situ during controlled
supersaturation increase. Restructuring toward a monodisperse nonspherical shape.
AB - The first stages of the nucleation and growth of silica nanoparticles are
followed in situ using both SAXS and Raman spectroscopy. Coupling these two
techniques allows the determination of the fractions of soluble and solid silica
as a function of the reaction time. SAXS also enables demonstrating that major
modifications of the structure occur after the initial precipitation period,
inducing an increase of the precipitate density. These structural modifications
have important implications in the initial nucleation growth stages, which have
never been introduced either in classical models or in more recent kinetic
nucleation theories. Such restructuration stages could contribute to explain the
monodispersity of the obtained silica nanoparticles that is not predicted by
classical models.
PMID- 21888388
TI - Direct functionalization of nanodiamond particles using dopamine derivatives.
AB - The article reports on the strong linking of dopamine derivatives as a simple and
a versatile strategy for the surface functionalization of hydroxyl-terminated
nanodiamond (ND-OH) particles. Azide- (ND-N(3)) or poly-N-isopropylacrylamide
terminated (ND-PNIPAM) particles were obtained from ND-OH particles through the
reaction with the corresponding dopamine derivatives. The azide-terminated ND
particles were further derivatized with a fluorescent probe, alkynyl-pyrene, via
copper(I)-catalyzed Huisgen 1,3-dipolar cycloaddition. The modified ND particles
were characterized using transmission Fourier transform infrared (FTIR)
spectroscopy, UV-vis spectroscopy, electrochemical measurements,
thermogravimetric analysis (TGA), and particle size measurements. The surface
loading of ND particles with dopamine was estimated from TGA and UV-vis
spectroscopy and was found to be around 0.27 mmol g(-1). Because of its simple,
gentle nature and versatility, the chemistry developed in this work can be used
as an avenue for the preparation of functional nanodiamond particles for various
applications.
PMID- 21888389
TI - Formation of interpenetrating hierarchical titania structures by confined
synthesis in inverse opal.
AB - Hierarchical periodic titania nanostructures composed of a macroporous
crystalline scaffold and mesoporous titania were prepared by confined synthesis.
The strategy for the generation of these hierarchical structures involves
preparation of inverse opal titania layers and subsequent filling of the
interstitial macroporous voids with surfactant-containing titania precursors to
obtain a mesostructured titania phase using the surfactant Pluronic P123. The
formation of mesostructure in the confined space of the macroporous scaffold upon
thermal treatment was investigated with in situ grazing incidence small-angle X
ray scattering (GISAXS). The macroporous scaffold strongly influences the
mesostructure assembly and leads to much larger structural parameters of the
formed mesostructure, this effect becoming more pronounced with decreasing pore
size of the macroporous host. Furthermore, the inverse opal scaffold acts as a
stabilizing matrix, limiting the shrinkage of the mesopores upon heating. This
effect is coupled with an enhanced crystallization of the mesophase, which is
attributed to the crystalline walls of the macroporous host. Sorption
measurements of the final hierarchical titania structure of 5 MUm thickness show
that the porous system is fully accessible, has a high total surface area of 154
m(2)/g, and has an average mesopore size of 6.1 nm, which is about 20% larger
than the pore size of 5.1 nm for the reference mesoporous film obtained on a flat
substrate. These hierarchical structures were implemented as anodes in dye
sensitized solar cells (DSCs), showing a conversion efficiency of 4% under one
sun illumination, whereas the calcined macroporous scaffold alone shows an
efficiency of only 0.4%.
PMID- 21888390
TI - N-(2-{3-[3,5-bis(trifluoromethyl)phenyl]ureido}ethyl)-glycyrrhetinamide (6b): a
novel anticancer glycyrrhetinic acid derivative that targets the proteasome and
displays anti-kinase activity.
AB - 18-beta-Glycyrrhetinic acid (GA; 1) and many of its derivatives are cytotoxic in
cancer cells. The current study aims to characterize the anticancer effects of 17
novel 1 derivatives. On the basis of these studies, N-(2-{3-[3,5
bis(trifluoromethyl)phenyl]ureido}ethyl)-glycyrrhetinamide (6b) appeared to be
the most potent compound, with IC(50)in vitro growth inhibitory concentrations in
single-digit micromolarity in a panel of 8 cancer cell lines. Compound 6b is
cytostatic and displays similar efficiency in apoptosis-sensitive versus
apoptosis-resistant cancer cell lines through, at least partly, the inhibition of
the activity of a cluster of a dozen kinases that are implicated in cancer cell
proliferation and in the control of the actin cytoskeleton organization. Compound
6b also inhibits the activity of the 3 proteolytic units of the proteasome.
Compound 6b thus represents an interesting hit from which future compounds could
be derived to improve chemotherapeutic regimens that aim to combat cancers
associated with poor prognoses.
PMID- 21888392
TI - V2O5-anchored carbon nanotubes for enhanced electrochemical energy storage.
AB - Functionalized multiwalled carbon nanotubes (CNTs) are coated with a 4-5 nm thin
layer of V(2)O(5) by controlled hydrolysis of vanadium alkoxide. The resulting
V(2)O(5)/CNT composite has been investigated for electrochemical activity with
lithium ion, and the capacity value shows both faradaic and capacitive
(nonfaradaic) contributions. At high rate (1 C), the capacitive behavior
dominates the intercalation as 2/3 of the overall capacity value out of 2700 C/g
is capacitive, while the remaining is due to Li-ion intercalation. These numbers
are in agreement with the Trasatti plots and are corroborated by X-ray
photoelectron spectroscopy (XPS) studies on the V(2)O(5)/CNTs electrode, which
show 85% of vanadium in the +4 oxidation state after the discharge at 1 C rate.
The cumulative high-capacity value is attributed to the unique property of the
nano V(2)O(5)/CNTs composite, which provides a short diffusion path for Li(+)
ions and an easy access to vanadium redox centers besides the high conductivity
of CNTs. The composite architecture exhibits both high power density and high
energy density, stressing the benefits of using carbon substrates to design high
performance supercapacitor electrodes.
PMID- 21888393
TI - Upright standing graphene formation on substrates.
AB - We propose integrating graphene nanoribbons (GNRs) onto a substrate in an upright
position whereby they are chemically bound to the substrate at the basal edge.
Extensive ab initio calculations show that both nickel (Ni)- and diamond
supported upright GNRs are feasible for synthesis and are mechanically robust.
Moreover, the substrate-supported GNRs display electronic and magnetic properties
nearly the same as those of free-standing GNRs. Due to the extremely small
footprint of an upright GNR on a substrate, standing GNRs are ideal building
blocks for synthesis of subnanometer electronic or spintronic devices.
Theoretically, standing GNR-based microchips with field-effect transistor (FET)
densities up to 10(13) per cm(2) are achievable.
PMID- 21888394
TI - Effect of cigarette menthol content on mainstream smoke emissions.
AB - The 2009 Family Smoking Prevention and Tobacco Control Act empowered the U.S.
Food and Drug Administration to study "the impact of the use of menthol in
cigarettes on the public health, including such use among children, African
Americans, Hispanics and other racial and ethnic minorities," and develop
recommendations. Current scientific evidence comparing human exposures between
menthol and nonmenthol smokers shows mixed results. This is largely because of
the many differences between commercial menthol and nonmenthol cigarettes other
than their menthol content. We conducted an innovative study using two types of
test cigarettes: a commercial nonmenthol brand that we mentholated at four
different levels, and Camel Crush, a commercial cigarette containing a small
capsule in the filter that releases menthol solution into the filter when
crushed. Cigarettes were machine-smoked at each of the menthol levels
investigated, and the total particulate matter (TPM) was collected on a quartz
fiber filter pad and analyzed by gas chromatography/mass spectrometry for
menthol, nicotine, tobacco-specific nitrosamines (TSNAs), polycyclic aromatic
hydrocarbons (PAHs), cotinine, and quinoline. The mainstream smoke was also
monitored continuously in real time on a puff-by-puff basis for seven gas-phase
constituents (acetaldehyde, acetonitrile, acrylonitrile, benzene, 1,3-butadiene,
isoprene, and 2,5-dimethylfuran), using a proton transfer reaction-mass
spectrometer. Average yields (in micrograms/cigarette) for the analytes were
determined. Menthol in the TPM samples increased linearly with applied menthol
concentration, but the amounts of nicotine along with the target TSNAs, PAHs,
cotinine, and quinoline in the cigarettes remained essentially unchanged.
Similarly, yields of the targeted volatile organic compounds (VOCs) in whole
smoke from the mentholated nonmenthol cigarettes that were measured in real-time
were largely unaffected by their menthol levels. In the Camel Crush cigarettes,
however, the VOC yields appeared to increase in the presence of menthol,
especially in the gas phase. Although we succeeded in characterizing key
mainstream smoke constituents in cigarettes that differ only in menthol content,
further study is needed to definitively answer whether menthol affects exposure
to selected cigarette constituents and thereby influences harm.
PMID- 21888395
TI - Toward functional Ni-SOD biomimetics: achieving a structural/electronic
correlation with redox dynamics.
AB - We have prepared and characterized a Ni complex with an N(3)S(2) ligand set (1)
that represents the first isolable synthetic model of the reduced form of the Ni
SOD (SOD = superoxide dismutase) active site featuring all relevant donor
functionality in the proper spatial distribution. As revealed by X-ray
crystallography, the axial py-N donor of 1 does not bind Ni(II) in the solid
state or in solution like SOD. Oxidation of 1 provides a disulfide-linked
dinuclear species, [{Ni(N(3)S(2))}(2)] (2), which we have isolated and
characterized. Moreover, the 1 -> 2 conversion is reversible, much like redox
cycling in the enzyme.
PMID- 21888396
TI - Growth of bilayer graphene on insulating substrates.
AB - Here we demonstrate a general transfer-free method to directly grow large areas
of uniform bilayer graphene on insulating substrates (SiO(2), h-BN, Si(3)N(4),
and Al(2)O(3)) from solid carbon sources such as films of poly(2
phenylpropyl)methysiloxane, poly(methyl methacrylate), polystyrene, and
poly(acrylonitrile-co-butadiene-co-styrene), the latter leading to N-doped
bilayer graphene due to its inherent nitrogen content. Alternatively, the carbon
feeds can be prepared from a self-assembled monolayer of butyltriethoxysilane
atop a SiO(2) layer. The carbon feedstocks were deposited on the insulating
substrates and then caped with a layer of nickel. At 1000 degrees C, under low
pressure and a reducing atmosphere, the carbon source was transformed into a
bilayer graphene film on the insulating substrates. The Ni layer was removed by
dissolution, affording the bilayer graphene directly on the insulator with no
traces of polymer left from a transfer step. The bilayer nature of as-grown
samples was demonstrated by I(G)/I(2D) Raman mapping, the statistics of the full
width at half-maximum of the Raman 2D peak, the selected area electron
diffraction patterns over a large area, and randomly imaged graphene edges by
high-resolution transmission electron microscopy.
PMID- 21888397
TI - On the construction, comparison, and variability of airsheds for interpreting
semivolatile organic compounds in passively sampled air.
AB - Air mass origin as determined by back trajectories often aids in explaining some
of the short-term variability in the atmospheric concentrations of semivolatile
organic contaminants. Airsheds, constructed by amalgamating large numbers of back
trajectories, capture average air mass origins over longer time periods and thus
have found use in interpreting air concentrations obtained by passive air
samplers. To explore some of their key characteristics, airsheds for 54 locations
on Earth were constructed and compared for roundness, seasonality, and
interannual variability. To avoid the so-called "pole problem" and to simplify
the calculation of roundness, a "geodesic grid" was used to bin the back
trajectory end points. Departures from roundness were seen to occur at all
latitudes and to correlate significantly with local slope but no strong
relationship between latitude and roundness was revealed. Seasonality and
interannual variability vary widely enough to imply that static models of
transport are not sufficient to describe the proximity of an area to potential
sources of contaminants. For interpreting an air measurement an airshed should be
generated specifically for the deployment time of the sampler, especially when
investigating long-term trends. Samples taken in a single season may not
represent the average annual atmosphere, and samples taken in linear, as opposed
to round, airsheds may not represent the average atmosphere in the area. Simple
methods are proposed to ascertain the significance of an airshed or individual
cell. It is recommended that when establishing potential contaminant source
regions only end points with departure heights of less than ~700 m be considered.
PMID- 21888398
TI - Facile construction of high-electrocatalytic bilayer counter electrode for
efficient dye-sensitized solar cells.
AB - To improve the mechanical rigidity of the electrocatalyst and assure a higher
number density of catalytic sites of the counter electrode in dye-sensitized
solar cells (DSCs), we have extended widely applied titanium tetrachloride
treatment to construct a rough scaffolding underlayer for the platinized counter
electrode. Field-emission scanning electron microscopy and atomic force
microscopy images clearly depicted the platinum nanoparticles with a diameter of
ca. 10 nm homogeneously distributed on the scaffolding underlayer of the bilayer
counter electrode and thus led to a characteristically high surface roughness.
The electocatalytic activity of this novel bilayer counter electrode was measured
and compared with the corresponding properties of conventional sputtered Pt
electrode. Interestingly, electrochemical impedance spectroscopy and cyclic
voltammetry measurements further demonstrated the notably larger electrochemical
active surface area and thereby higher electrocatalytic activity of the bilayer
counter electrode. Consequently, under standard 1 sun illumination (100 mW cm(
2), AM 1.5), device with this bilayer counter electrode achieved a considerably
improved fill factor of 0.67 and overall energy conversion efficiency of 7.09%,
which was apparently higher than that of 0.60 and 6.37% for sputterd Pt
electrode. Therefore, this present method paves a facile and inexpensive way to
prepare high-electrocatalytic bilayer counter electrode in DSCs.
PMID- 21888399
TI - Directed organization of C70 kagome lattice by titanyl phthalocyanine monolayer
template.
AB - Controlled deposition of titanyl phthalocyanine (TiOPc) on Ag(111) produces a
honeycomb monolayer phase consisting of TiOPc molecules with two distinctive tilt
angles. This periodic arrangement of polar molecules is used to direct C(70)
growth into low-density 3D films with novel C(70) kagome lattice arrangements.
Structural models for the C(70) kagome lattice are determined from layer-by-layer
scanning tunneling microscopy images and related to the dipolar TiOPc template
and C(70)'s anisotropic polarizability. Molecular templates with designed
electrostatic features offer a practical method to control 3D film organization
on the nanoscale by harnessing anisotropic molecular interactions at the growth
interface.
PMID- 21888400
TI - Direct measurement of the dynamics of excess electron transfer through
consecutive thymine sequence in DNA.
AB - Charge transfer in DNA is an essential process in biological systems because of
its close relation to DNA damage and repair. DNA is also an important material
used in nanotechnology for wiring and constructing various nanomaterials.
Although hole transfer in DNA has been investigated by various researchers and
the dynamic properties of this process have been well established, the dynamics
of a negative charge, that is, excess electron, in DNA have not been revealed
until now. In the present paper, we directly measured the rate of excess electron
transfer (EET) through a consecutive thymine (T) sequence in nicked-dumbbell DNAs
conjugated with a tetrathiophene derivative (4T) as an electron donor and
diphenylacetylene (DPA) as an electron acceptor at both ends. The selective
excitation of 4T by a femtosecond laser pulse caused the excess electron
injection into DNA, and led to EET in DNA by a consecutive T-hopping mechanism,
which eventually formed the DPA radical anion (DPA(*-)). The rate constant for
the process of EET through consecutive T was determined to be (4.4 +/- 0.3) *
10(10) s(-1) from an analysis of the kinetic traces of the DeltaO.D. during the
laser flash photolysis. It should be emphasized that the EET rate constant for T
hopping is faster than the rate constants for oxidative hole transfers in DNA
(10(4) to 10(10) s(-1) for A- and G-hopping).
PMID- 21888401
TI - Heterobimetallic complexes containing Ca-Fe or Yb-Fe bonds: synthesis and
molecular and electronic structures of [M{CpFe(CO)2}2(THF)3]2 (M = Ca or Yb).
AB - Reaction of calcium or ytterbium amalgam with [CpFe(CO)(2)](2) (Fp(2)) gave the
isostructural heavy alkaline earth or divalent rare earth compounds
[MFp(2)(THF)(3)](2) (M = Ca or Yb) containing two direct Ca-Fe (3.0185(6) A) or
Yb-Fe (2.9892(4) A) bonds. Density functional theory supports experiment in
finding shorter Yb-Fe than Ca-Fe distances, and Ziegler-Rauk, molecular orbital,
and atoms-in-molecules analyses find the M-Fe bonding to be predominantly
electrostatic in nature. The Yb-Fe interaction energy and bond critical point
electron density are slightly larger than for Ca-Fe, in agreement with the
shorter M-Fe bond in the former. The corresponding reaction for magnesium gave
MgFp(2)(THF)(4) with two O-bound Fp moieties and no Mg-Fe bond.
PMID- 21888402
TI - Non-Nernstian two-electron transfer photocatalysis at metalloporphyrin-TiO2
interfaces.
AB - A long-standing question in the photochemical sciences concerns how to integrate
single-electron transfers to catalytic multielectron transfer reactions that
produce useful chemical fuels. Here we provide a strategy for the two-electron
formation of C-C bonds with molecular catalysts anchored to semiconductor
nanocrystallites. The blue portion of the solar spectrum provides band gap
excitation of the semiconductor while longer wavelengths of light initiate
homolytic cleavage of metal-carbon bonds that, after interfacial charge transfer,
restore the catalyst. The semiconductor utilized was the anatase polymorph of
TiO(2) present as a nanocrystalline, mesoporous thin film. The catalyst was
cobalt meso-5,10,15,20-tetrakis(4-carboxyphenyl)porphyrin chloride, Co(TCPP)Cl.
For this catalyst and iron protoporphyrin IX chloride, Fe(PPIX)Cl, two distinct
and sequential metal-based M(III/II) and M(II/I) reductions were observed under
band gap illumination. Spectroelectrochemical characterization indicated that
both reductions were non-Nernstian, behavior attributed to an environmentally
dependent potential drop across the molecule-semiconductor interface. Reaction of
Co(I)(TCPP)/TiO(2) with organobromides (RBr = 1-Br-hexane or benzyl bromide)
resulted in the formation of Co(III)-R(TCPP)/TiO(2). Visible light excitation
induced homolytic cleavage of the Co-C bond and the formation of C-C-bonded
products. The reactions were catalytic when band gap excitation or an
electrochemical bias provided TiO(2) electrons to the oxidized catalyst.
Sustained photocurrents were quantified in photoelectrosynthetic solar cells
under forward bias.
PMID- 21888403
TI - Light-induced spin change by photodissociable external ligands: a new principle
for magnetic switching of molecules.
AB - Magnetic bistability in spin-crossover materials generally is a collective
phenomenon that arises from the cooperative interaction of a large number of
microscopic magnetic moments within the crystal lattice in the solid state. We
now report on individual molecules in homogeneous solution that are switched
between the diamagnetic and paramagnetic states at room temperature by light
driven coordination-induced spin-state switching (LD-CISSS). Switching of the
coordination number (and concurrently of the spin state) was achieved by using Ni
porphyrin as a square-planar platform and azopyridines as photodissociable axial
ligands. The square-planar Ni-porphyrin is diamagnetic (low-spin, S = 0), and all
complexes with axial ligands are paramagnetic (high-spin, S = 1). Association
constants were determined for all conceivable 1:1 and 1:2 porphyrin/azopyridine
complexes. The binding constants of the trans azopyridines are larger than those
of the corresponding cis isomers. Thus, upon irradiation with UV light (365 nm,
trans -> cis) and visible light (455 nm, cis -> trans), switching of the magnetic
properties was achieved. Upon substitution of the azopyridines at the 4- and 4'
positions with larger substituents, the difference in trans and cis association
constants, and thus the switching efficiency, was increased. A photoinduced,
reversible switching between 20 and 68% paramagnetic Ni species in solution was
achieved with isopropyl substituents at room temperature.
PMID- 21888404
TI - Plasma profiling reveals human fibulin-1 as candidate marker for renal
impairment.
AB - There is a need for reliable and sensitive biomarkers for renal impairments to
detect early signs of kidney toxicity and to monitor progression of disease.
Here, antibody suspension bead arrays were applied to profile plasma samples from
patients with four types of kidney disorders: glomerulonephritis, diabetic
nephropathy, obstructive uropathy, and analgesic abuse. In total, 200 clinical
renal-associated cases and control plasma samples from different cohorts were
profiled. Parallel plasma protein profiles were obtained using biotinylated and
nonfractionated samples and a selected set of 94 proteins targeted by 129 antigen
purified polyclonal antibodies. Out of the analyzed target proteins, human
fibulin-1 was detected at significantly higher levels in the glomerulonephritis
patient group compared to the controls and with elevated levels in patient
samples for all other renal disorders investigated. Two polyclonal antibodies and
one monoclonal antibody directed toward separate, nonoverlapping epitopes showed
the same trend in the discovery cohorts. A technical verification using Western
blot analysis of selected patient plasma confirmed the trends toward higher
abundance of the target protein in disease samples. Furthermore, a verification
study was carried out in the context of glomerulonephritis using an independent
case and control cohort, and this confirmed the results from the discovery
cohort, suggesting that plasma levels of fibulin-1 could serve as a potential
indicator to monitor kidney malfunction or kidney damage.
PMID- 21888405
TI - Blackberry extract attenuates oxidative stress through up-regulation of Nrf2
dependent antioxidant enzymes in carbon tetrachloride-treated rats.
AB - The aim of this study was to investigate the protective ability of blackberry
extract (BE) against oxidative stress in carbon tetrachloride (CCl(4))-treated
rats. The results showed that treatment with BE attenuated lipid peroxidation
that was increased by CCl(4) and also markedly recovered the activity of
antioxidant enzymes, such as superoxide dismutase (SOD), catalase (CAT),
glutathione peroxidase (GPx), and glutathione reductase (GR), that were decreased
by CCl(4). BE also elevated the protein expression levels of NF-E2-related factor
2 (Nrf2), CuZnSOD, MnSOD, GPx-1/2, and heme oxygenase-1 (HO-1), but not that of
catalase. Furthermore, the administration of BE significantly attenuated the
levels of serum aspartate aminotransferase (AST) and alanine aminotransferase
(ALT) that were increased by CCl(4). Therefore, the present study suggests that
BE possesses significant protective effects against in vivo oxidative stress.
PMID- 21888406
TI - Hydrogen-bonded proton transfer in the protonated guanine-cytosine (GC+H)+ base
pair.
AB - The single proton transfer at the different sites of the Watson-Crick (WC)
guanine-cytosine (GC) DNA base pair are studied here using density functional
methods. The conventional protonated structures, transition state (TS) and proton
transferred product (PT) structures of every relevant species are optimized. Each
transition state and proton-transferred product structure has been compared with
the corresponding conventional protonated structure to demonstrate the process of
proton transfer and the change of geometrical structures. The relative energies
of the protonated tautomers and the proton-transfer energy profiles in gas and
solvent are analyzed. The proton-transferred product structure G(+H(+))
H(+)C(N3)(-H(+))(PT) has the lowest relative energy for which only two hydrogen
bonds exist. Almost all 14 isomers of the protonated GC base pair involve
hydrogen-bonded proton transfer following the three pathways, with the exception
of structure G-H(+)C(O2). When the positive charge is primarily "located" on the
guanine moiety (H(+)G-C, G-H(+)C(C4), and G-H(+)C(C6)), the H(1) proton transfers
from the N(1) site of guanine to the N(3) site of cytosine. The structures G
H(+)C(C5) and G-H(+)C(C4) involve H(4a) proton transfer from the N(4) of cytosine
to the O(6) site of guanine. H(2a) proton transfer from the N(2) site of guanine
to the O(2) site of cytosine is found only for the structure G-H(+)C(C4). The
structures to which a proton is added on the six-centered sites adjoining the
hydrogen bonds are more prone to proton transfer in the gas phase, whereas a
proton added on the minor groove and the sites adjoining the hydrogen bonds is
favorable to the proton transfer in energy in the aqueous phase.
PMID- 21888407
TI - The photothermal stability of PbS quantum dot solids.
AB - We combine optical absorption spectroscopy, ex situ transmission electron
microscopy (TEM) imaging, and variable-temperature measurements to study the
effect of ultraviolet (UV) light and heat treatments on ethanedithiol-treated PbS
quantum dot (QD) films as a function of ambient atmosphere, temperature, and QD
size. Film aging occurs mainly by oxidation or ripening and sintering depending
on QD size and the presence of oxygen. We can stop QD oxidation and greatly
suppress ripening by infilling the films with amorphous Al(2)O(3) using room
temperature atomic layer deposition (ALD).
PMID- 21888408
TI - Patterning of plasmonic nanoparticles into multiplexed one-dimensional arrays
based on spatially modulated electrostatic potential.
AB - We report a new strategy to pattern plasmonic nanoparticles into multiplexed one
dimensional arrays based on the spatially modulated electrostatic potential. The
32 nm Au nanoparticles can be simultaneously deposited on one chip with tunable
interparticle distance by solely adjusting the width of the grooves. Furthermore,
32 and 13 nm Au nanoparticles can be selectively deposited in grooves of
different widths on one chip. As a result, the surface plasmon absorption bands
on the chip can be tuned depending on the interparticle distance or the particle
size of multiplex 1D arrays, which could enhance the Raman scattering cross
section of the adsorbed molecules and result in multiplex surface-enhanced Raman
scattering (SERS) response on the chip. This strategy provides a general method
to fabricate 1D multiplex arrays with different particle sizes and interparticle
distances on one chip.
PMID- 21888409
TI - Facile synthesis of Pd-Pt alloy nanocages and their enhanced performance for
preferential oxidation of CO in excess hydrogen.
AB - This article describes a new method for the facile synthesis of Pd-Pt alloy
nanocages with hollow interiors and porous walls by using Pd nanocubes as
sacrificial templates. Differing from our previous work (Zhang, H.; Jin, M. S.;
Wang, J. G.; Li, W. Y.; Camargo, P. H. C.; Kim, M. J.; Yang, D. R.; Xie, Z. X.;
Xia, Y. Synthesis of Pd-Pt Bimetallic Nanocrystals with a Concave Structure
through a Bromide-Induced Galvanic Replacement Reaction. J. Am. Chem. Soc.2011,
133, 6078-6079), we complemented the galvanic replacement (between Pd nanocubes
and PtCl(4)(2-)) with a coreduction process (for PdCl(4)(2-) from the galvanic
reaction and PtCl(4)(2-) from the feeding) to generate Pd-Pt alloy nanocages in
one step. We found that the rate of galvanic replacement (as determined by the
concentrations of Br(-) and PtCl(4)(2-) and temperature) and the rates of
coreduction (as determined by the type of reductant and temperature) played
important roles in controlling the morphology of resultant Pd-Pt alloy nanocages.
The Pd-Pt nanocages exhibited both enhanced activity and selectivity for the
preferential oxidation (PROX) of CO in excess hydrogen than those of Pd nanocubes
and the commercial Pt/C thanks to the alloy composition and hollow structure. In
addition, as the sizes of the Pd-Pt nanocages decreased, they exhibited higher CO
conversion rates and lower maximum conversion temperatures due to the increase in
specific surface area.
PMID- 21888410
TI - Measuring agglomerate size distribution and dependence of localized surface
plasmon resonance absorbance on gold nanoparticle agglomerate size using
analytical ultracentrifugation.
AB - Agglomeration of nanoparticles during measurements in relevant biological and
environmental media is a frequent problem in nanomaterial property
characterization. The primary problem is typically that any changes to the size
distribution can dramatically affect the potential nanotoxicity or other size
determined properties, such as the absorbance signal in a biosensor measurement.
Herein we demonstrate analytical ultracentrifugation (AUC) as a powerful method
for measuring two critical characteristics of nanoparticle (NP) agglomerates in
situ in biological media: the NP agglomerate size distribution, and the localized
surface plasmon resonance (LSPR) absorbance spectrum of precise sizes of gold NP
agglomerates. To characterize the size distribution, we present a theoretical
framework for calculating the hydrodynamic diameter distribution of NP
agglomerates from their sedimentation coefficient distribution. We measure
sedimentation rates for monomers, dimers, and trimers, as well as for larger
agglomerates with up to 600 NPs. The AUC size distributions were found generally
to be broader than the size distributions estimated from dynamic light scattering
and diffusion-limited colloidal aggregation theory, an alternative bulk
measurement method that relies on several assumptions. In addition, the measured
sedimentation coefficients can be used in nanotoxicity studies to predict how
quickly the agglomerates sediment out of solution under normal gravitational
forces, such as in the environment. We also calculate the absorbance spectra for
monomer, dimer, trimer, and larger gold NP agglomerates up to 600 NPs, to enable
a better understanding of LSPR biosensors. Finally, we validate a new method that
uses these spectra to deconvolute the net absorbance spectrum of an unknown bulk
sample and approximate the proportions of monomers, dimers, and trimers in a
polydisperse sample of small agglomerates, so that every sample does not need to
be measured by AUC. These results demonstrate the potential utility of AUC to
characterize NP agglomeration and sedimentation for nanotoxicity and biosensor
studies, as well as to characterize NP agglomerate size and absorbance to improve
LSPR and surface-enhanced Raman spectroscopy based biosensors.
PMID- 21888411
TI - Molecular-scale size tuning of covalently bound assembly of C60 molecules.
AB - The creation of a molecular-scale covalently bound assembly of fullerene C(60)
molecules has been precisely controlled in ultrathin multilayer films of C(60)
molecules. When a negative sample bias voltage is applied to a tunneling junction
between the C(60) film and the tip of a scanning tunneling microscope (STM), a
C(60) molecule beneath the tip covalently bonds to an adjacent molecule in the
underneath layer. We show that such a chemical reaction is not necessarily
limited to the top and second layers of the C(60) film and that the resulting
C(60) oligomer can be tuned to form a dimer, trimer, tetramer, or pentamer; the
number of interconnected C(60) molecules increases one by one upon increasing the
magnitude of the local electric field under the STM tip. The created oligomers
are linear chains of C(60) molecules starting from the top layer and aligned
toward the interface layer in the multilayer C(60) films. We consider that the
electrostatic negative ionization of C(60) molecules and its spatial distribution
in the multilayer C(60) film are critical factors in achieving size-tunable
oligomerization.
PMID- 21888412
TI - Crystalline Gibbs monolayers of DNA-capped nanoparticles at the air-liquid
interface.
AB - Using grazing-incidence small-angle X-ray scattering in a special configuration
(parallel SAXS, or parSAXS), we mapped the crystallization of DNA-capped
nanoparticles across a sessile droplet, revealing the formation of crystalline
Gibbs monolayers of DNA-capped nanoparticles at the air-liquid interface. We
showed that the spatial crystallization can be regulated by adjusting both ionic
strength and DNA sequence length and that a modified form of the Daoud-Cotton
model could describe and predict the resulting changes in interparticle spacing.
Gibbs monolayers at the air-liquid interface provide an ideal platform for the
formation and study of equilibrium nanostructures and may afford exciting routes
toward the design of programmable 2D plasmonic materials and metamaterials.
PMID- 21888413
TI - Tailoring surface properties of paper using nanosized precipitated calcium
carbonate particles.
AB - Pigment particles used in paper coatings are typically of micrometer size and
consequently the thickness of the coatings is, even at its lowest, in micrometer
scale. Progress in nanotechnology has given way to the development of nanosized
materials to be used in coatings, yet their exploitation has not been studied to
a great extent. This study examines utilization of nanosized precipitated calcium
carbonate (nanoPCC) particles in nanoscale thin coating layers. In contrast to
commonly used coatings, a thin nanoparticle-based coating was targeted to change
the substrate surface characteristics via controlled surface structure rather
than via high coat weight. A novel approach for stabilizing and modifying the
nanoPCC particles with pectin and alkenyl succinic anhydride (ASA) was utilized
and a nanoparticle coating with uniform particle distribution was created. The
coating applied on paper substrate was hydrophobic, having a water contact angle
of 125 degrees . Particle surface modification provided dispersion stability,
enabling control of the coating layer structure. The introduced concept provides
a new approach to paper coatings utilizing controlled deposition of nanoparticles
with extremely low coat weight, yet having high impact on substrate surface
properties. Additionally, as paper is an environmentally sound product, the
approach to form a controllable nanostructure on a green substrate has potential
in applications outside the traditional paper products.
PMID- 21888414
TI - Microplates with adaptive surfaces.
AB - Here we present a new and versatile method for the modification of the well
surfaces of polystyrene microtiter plates (microplates) with poly(N
phenylethylene diamine methacrylamide), (poly-NPEDMA). The chemical grafting of
poly-NPEDMA to the surface of microplates resulted in the formation of thin
layers of a polyaniline derivative bearing pendant methacrylamide double bonds.
These were used as the attachment point for various functional polymers through
photochemical grafting of various, for example, acrylate and methacrylate,
polymers with different functionalities. In a model experiment, we have modified
poly-NPEDMA-coated microplates with a small library of polymers containing
different functional groups using a two-step approach. In the first step, double
bonds were activated by UV irradiation in the presence of N,N
diethyldithiocarbamic acid benzyl ester (iniferter). This enabled grafting of the
polymer library in the second step by UV irradiation of solutions of the
corresponding monomers in the microplate wells. The uniformity of coatings was
confirmed spectrophotometrically, by microscopic imaging and by contact angle
measurements (CA). The feasibility of the current technology has been shown by
the generation of a small library of polymers grafted to the microplate well
surfaces and screening of their affinity to small molecules, such as atrazine, a
trio of organic dyes, and a model protein, bovine serum albumin (BSA). The
stability of the polymers, reproducibility of measurement, ease of preparation,
and cost-effectiveness make this approach suitable for applications in high
throughput screening in the area of materials research.
PMID- 21888416
TI - New insights into selective heterogeneous nucleation of metal nanoparticles on
oxides by microwave-assisted reduction: rapid synthesis of high-activity
supported catalysts.
AB - Microwave-based methods are widely employed to synthesize metal nanoparticles on
various substrates. However, the detailed mechanism of formation of such hybrids
has not been addressed. In this paper, we describe the thermodynamic and kinetic
aspects of reduction of metal salts by ethylene glycol under microwave heating
conditions. On the basis of this analysis, we identify the temperatures above
which the reduction of the metal salt is thermodynamically favorable and
temperatures above which the rates of homogeneous nucleation of the metal and the
heterogeneous nucleation of the metal on supports are favored. We delineate
different conditions which favor the heterogeneous nucleation of the metal on the
supports over homogeneous nucleation in the solvent medium based on the
dielectric loss parameters of the solvent and the support and the metal/solvent
and metal/support interfacial energies. Contrary to current understanding, we
show that metal particles can be selectively formed on the substrate even under
situations where the temperature of the substrate is lower than that of the
surrounding medium. The catalytic activity of the Pt/CeO(2) and Pt/TiO(2) hybrids
synthesized by this method for H(2) combustion reaction shows that complete
conversion is achieved at temperatures as low as 100 degrees C with Pt-CeO(2)
catalyst and at 50 degrees C with Pt-TiO(2) catalyst. Our method thus opens up
possibilities for rational synthesis of high-activity supported catalysts using a
fast microwave-based reduction method.
PMID- 21888415
TI - Detection of lysozyme magnetic relaxation switches based on aptamer
functionalized superparamagnetic nanoparticles.
AB - Magnetic relaxation switch (MRSw) detection is based on aggregate formation or
dissociation when magnetic nanoparticles (MNPs) bind to target molecules. In the
aggregated state, the dephasing rate of nearby proton spins is higher than in the
dispersed state, resulting in a decrease in the spin-spin relaxation time, T(2).
In this work, an MRSw-based nanosensor for lysozyme (Lys) protein detection was
achieved using iron oxide nanoparticles conjugated with either Lys aptamer or
linker DNA, which can hybridize with the extended part of the aptamer to form
clusters. Upon the addition of Lys, the aptamers bind with their targets, leading
to disassembly of clusters and an increase in T(2). A detection limit in the
nanomolar range was achieved for Lys detection in both buffer and human serum.
The determination of Lys level in different types of cancer cell lysates was also
performed to demonstrate detection in real clinical samples.
PMID- 21888417
TI - Strong and tough cellulose nanopaper with high specific surface area and
porosity.
AB - In order to better understand nanostructured fiber networks, effects from high
specific surface area of nanofibers are important to explore. For cellulose
networks, this has so far only been achieved in nonfibrous regenerated cellulose
aerogels. Here, nanofibrillated cellulose (NFC) is used to prepare high surface
area nanopaper structures, and the mechanical properties are measured in tensile
tests. The water in NFC hydrogels is exchanged to liquid CO2, supercritical CO2,
and tert-butanol, followed by evaporation, supercritical drying, and sublimation,
respectively. The porosity range is 40-86%. The nanofiber network structure in
nanopaper is characterized by FE-SEM and nitrogen adsorption, and specific
surface area is determined. High-porosity TEMPO-oxidized NFC nanopaper (56%
porosity) prepared by critical point drying has a specific surface area as high
as 482 m(2) g(-1). The mechanical properties of this nanopaper structure are
better than for many thermoplastics, but at a significantly lower density of only
640 kg m(-3). The modulus is 1.4 GPa, tensile strength 84 MPa, and strain-to
failure 17%. Compared with water-dried nanopaper, the material is softer with
substantiallly different deformation behavior.
PMID- 21888418
TI - Electrospun polyurethane fibers for absorption of volatile organic compounds from
air.
AB - Electrospun polyurethane fibers for removal of volatile organic compounds (VOC)
from air with rapid VOC absorption and desorption have been developed.
Polyurethanes based on 4,4-methylenebis(phenylisocyanate) (MDI) and aliphatic
isophorone diisocyanate as the hard segments and butanediol and tetramethylene
glycol as the soft segments were electrospun from their solutions in N,N
dimethylformamide to form micrometer-sized fibers. Although activated carbon
possessed a many-fold higher surface area than the polyurethane fiber meshes, the
sorption capacity of the polyurethane fibers was found to be similar to that of
activated carbon specifically designed for vapor adsorption. Furthermore, in
contrast to VOC sorption on activated carbon, where complete regeneration of the
adsorbent was not possible, the polyurethane fibers demonstrated a completely
reversible absorption and desorption, with desorption obtained by a simple
purging with nitrogen at room temperature. The fibers possessed a high affinity
toward toluene and chloroform, but aliphatic hexane lacked the necessary strong
attractive interactions with the polyurethane chains and therefore was less
strongly absorbed. The selectivity of the polyurethane fibers toward different
vapors, along with the ease of regeneration, makes them attractive materials for
VOC filtration.
PMID- 21888419
TI - Diketopyrrolopyrrole-based pi-bridged donor-acceptor polymer for photovoltaic
applications.
AB - We report the synthesis, properties, and photovoltaic applications of a new
conjugated copolymer (C12DPP-pi-BT) containing a donor group (bithiophene) and an
acceptor group (2,5-didodecylpyrrolo[3,4-c]pyrrole-1,4(2H,5H)-dione), bridged by
a phenyl group. Using cyclic voltammetry, we found the energy levels of C12DPP-pi
BT are intermediate to common electron donor and acceptor photovoltaic materials,
poly (3-hexylthiophene-2,5-diyl) (P3HT) and [6,6]-phenyl-C61-butyric acid methyl
ester (PCBM), respectively. Whereas P3HT and PCBM are exclusively electron
donating or accepting, we predict C12DPP-pi-BT may uniquely serve as either an
electron donor or an acceptor when paired with PCBM or P3HT forming junctions
with large built-in potentials. We confirmed the ambipolar nature of C12DPP-pi-BT
in space charge limited current measurements and in C12DPP-pi-BT:PCBM and C12DPP
pi-BT:P3HT bulk heterojunction solar cells, achieving power conversion
efficiencies of 1.67% and 0.84%, respectively, under illumination of AM 1.5G (100
mW/cm(2)). Adding diiodooctane to C12DPP-pi-BT:PCBM improved donor-acceptor inter
mixing and film uniformity, and therefore enhanced charge separation and overall
device efficiency. Using higher-molecular-weight polymer C12DPP-pi-BT in both
C12DPP-pi-BT:PCBM and C12DPP-pi-BT:P3HT devices improved charge transport and
hence the performance of the solar cells. In addition, we compared the structural
and electronic properties of C12DPP-pi-BT:PCBM and C12DPP-pi-BT:P3HT blends,
representing the materials classes of polymer:fullerene and polymer:polymer
blends. In C12DPP-pi-BT:PCBM blends, higher short circuit currents were obtained,
consistent with faster charge transfer and balanced electron and hole transport,
but lower open circuit voltages may be reduced by trap-assisted recombination and
interfacial recombination losses. In contrast, C12DPP-pi-BT:P3HT blends exhibit
higher open circuit voltage, but short circuit currents were limited by charge
transfer between the polymers. In conclusion, C12DPP-pi-BT is a promising
material with intrinsic ambipolar characteristics for organic photovoltaics and
may operate as either a donor or acceptor in the design of bulk heterojunction
solar cells.
PMID- 21888420
TI - Storable arylpalladium(II) reagents for alkene labeling in aqueous media.
AB - We show that arylpalladium(II) reagents linked to biotin and indocyanine dye
residues can be prepared by decarboxylative palladation of appropriately
substituted electron-rich benzoic acid derivatives. When prepared under the
conditions described, these organometallic intermediates are tolerant of air and
water, can be stored for several months in solution in dimethyl sulfoxide, and
permit biotin- and indocyanine dye-labeling of functionally complex olefinic
substrates in water by Heck-type coupling reactions.
PMID- 21888421
TI - Asymmetric synthesis of ageliferin.
AB - We describe herein an asymmetric synthesis of ageliferin. A Mn(III)-mediated
oxidative radical cyclization reaction was used as the key step to construct the
core skeleton of this pyrrole-imidazole dimer. This approach resembles the
biogenic [4 + 2] dimerization in an intramolecular fashion.
PMID- 21888422
TI - Chemoselective samarium-mediated benzoyloxysulfone eliminations.
AB - An investigation of the substrate dependence on the rate of samarium-mediated
reductive elimination of beta-acyloxysulfones has provided insights into the
mechanism of this transformation and allowed for the development of a
chemoselective elimination process.
PMID- 21888423
TI - Toward the early evaluation of therapeutic effects: an electrochemical platform
for ultrasensitive detection of apoptotic cells.
AB - The ability for early evaluation of therapeutic effects is a significant
challenge in leukemia research. To address this challenge, we developed a novel
electrochemical platform for ultrasensitive and selective detection of apoptotic
cells in response to therapy. In order to construct the platform, a novel three
dimensional (3-D) architecture was initially fabricated after combining nitrogen
doped carbon nanotubes and gold nanoparticles via a layer-by-layer method. The
formed architecture provided an effective matrix for annexin V with high
stability and bioactivity to enhance sensitivity. On the basis of the specific
recognition between annexin V and phosphatidylserine on the apoptotic cell
membrane, the annexin V/3-D architecture interface showed a predominant
capability for apoptotic cell capture. Moreover, a lectin-based nanoprobe was
designed by noncovalent assembly of concanavalin A on CdTe quantum dots (QDs)
labeled silica nanospheres with poly(allylamine hydrochloride) as a linker. This
nanoprobe incorporated both the specific carbohydrate recognition and the
multilabeled QDs-based signal amplification. By coupling with the QDs-based
nanoprobe and electrochemical stripping analysis, the proposed sandwich-type
cytosensor showed an excellent analytical performance for the ultrasensitive
detection of apoptotic cells (as low as 48 cells), revealing great potential
toward the early evaluation of therapeutic effects.
PMID- 21888424
TI - Microscale phosphoproteome analysis of 10,000 cells from human cancer cell lines.
AB - We developed a miniaturized LC-MS system with a high-recovery phosphopeptide
enrichment protocol that allows phosphoproteome analysis of 10(4) cells. In the
enrichment protocol, the key step is to add sodium deoxycholate and sodium
lauroyl sarcosinate to the buffer solution for protein extraction and digestion
and to omit any subsequent desalt/desurfactant step before phosphopeptide
enrichment. The phosphopeptides enriched by hydroxy acid-modified metal oxide
chromatography (HAMMOC) are directly injected onto a miniaturized LC column using
a nitrogen-pressure-driven cell, instead of switching valve-type injectors. The
miniaturized analytical column of 25 MUm diameter provided a 3.6-fold improvement
in sensitivity over the conventional 100 MUm diameter column. Overall, our
analytical system provided approximately 80-fold improvement on average in the LC
MS response, and we identified 1011 unique phosphorylated sites based on 995
unique phosphopeptides from a single analysis of 10(4) HeLa cells (approximately
1 MUg of proteins). This is the most sensitive phosphoproteomics system that has
so far been reported for proteome-wide analysis of in vivo phosphorylation in
mammalian cells.
PMID- 21888426
TI - Direct growth of bilayer graphene on SiO2 substrates by carbon diffusion through
nickel.
AB - Here we report a transfer-free method of synthesizing bilayer graphene directly
on SiO(2) substrates by carbon diffusion through a layer of nickel. The 400 nm
nickel layer was deposited on the top of SiO(2) substrates and used as the
catalyst. Spin-coated polymer films such as poly(methyl methacrylate), high
impact polystyrene or acrylonitrile-butadiene-styrene, or gas-phase methane were
used as carbon sources. During the annealing process at 1000 degrees C, the
carbon sources on the top of the nickel decomposed and diffused into the nickel
layer. When cooled to room temperature, bilayer graphene was formed between the
nickel layer and the SiO(2) substrates. The nickel films were removed by
etchants, and bilayer graphene was then directly obtained on SiO(2), eliminating
any transfer process. The bilayer nature of the obtained graphene films on SiO(2)
substrates was verified by Raman spectroscopy and transmission electron
microscopy. The Raman spectroscopy mapping over a 100 * 100 MUm(2) area indicated
that the obtained graphene is high-quality and bilayer coverage is approximately
70%.
PMID- 21888425
TI - Discovery of novel promising targets for anti-AIDS drug developments by computer
modeling: application to the HIV-1 gp120 V3 loop.
AB - The V3 loop on gp120 from HIV-1 is a focus of many research groups involved in
anti-AIDS drug studies, because this region of the protein determines the
preference of the virus for T-lymphocytes or primary macrophages. Although the V3
loop governs cell tropism and, for this reason, exhibits one of the most
attractive targets for anti-HIV-1 drug developments, its high sequence
variability is a major complicating factor. Nevertheless, the data on the spatial
arrangement of V3 obtained here for different HIV-1 subtypes by computer modeling
clearly show that, despite a wide range of 3D folds, this functionally important
site of gp120 forms at least three structurally invariant segments, which contain
residues critical for cell tropism. It is evident that these conserved V3
segments represent potential HIV-1 vulnerable spots and, therefore, provide a
blueprint for the design of novel, potent and broad antiviral agents able to stop
the HIV's spread.
PMID- 21888427
TI - Cross-linking of interfacial layers affects the salt and temperature stability of
multilayered emulsions consisting of fish gelatin and sugar beet pectin.
AB - This study assessed the stabilizing effect of enzymatic cross-linking on double
coated emulsions (beet pectin-fish gelatin). The beet pectin layer was cross
linked via ferulic acid groups using laccase (an enzyme that is known to catalyze
the oxidation of phenolic groups). Fish gelatin-coated oil droplets (primary
emulsion) were mixed at pH 3.5 to promote electrostatic deposition of the beet
pectin molecules onto the surfaces of the oil droplets (secondary emulsion).
Laccase was then added to promote cross-linking of the adsorbed beet pectin
layer. Cross-linked pectin-coated oil droplets had similar or significantly
better stability (p < 0.05) than oil droplets of primary or secondary emulsions
to NaCl addition (0-500 mM), CaCl(2) addition (0-250 mM), and thermal processing
(30-90 degrees C for 30 min). Freeze-thaw stability and creaming behavior of
enzyme-treated, secondary emulsions after two cycles (-8 degrees C for 22 h; 25
degrees C for 2 h) were significantly improved (p < 0.05). These results may have
important implications for food manufacturers that are in need of emulsions with
improved physical stability, for example, emulsions used in frozen foods for
sauces or dips.
PMID- 21888429
TI - Synthesis, structural transformation, thermal stability, valence state, and
magnetic and electronic properties of PbNiO3 with perovskite- and LiNbO3-type
structures.
AB - We synthesized two high-pressure polymorphs PbNiO(3) with different structures, a
perovskite-type and a LiNbO(3)-type structure, and investigated their formation
behavior, detailed structure, structural transformation, thermal stability,
valence state of cations, and magnetic and electronic properties. A perovskite
type PbNiO(3) synthesized at 800 degrees C under a pressure of 3 GPa
crystallizes as an orthorhombic GdFeO(3)-type structure with a space group Pnma.
The reaction under high pressure was monitored by an in situ energy dispersive X
ray diffraction experiment, which revealed that a perovskit-type phase was formed
even at 400 degrees C under 3 GPa. The obtained perovskite-type phase
irreversibly transforms to a LiNbO(3)-type phase with an acentric space group R3c
by heat treatment at ambient pressure. The Rietveld structural refinement using
synchrotron X-ray diffraction data and the XPS measurement for both the
perovskite- and the LiNbO(3)-type phases reveal that both phases possess the
valence state of Pb(4+)Ni(2+)O(3). Perovskite-type PbNiO(3) is the first example
of the Pb(4+)M(2+)O(3) series, and the first example of the perovskite containing
a tetravalent A-site cation without lone pair electrons. The magnetic
susceptibility measurement shows that the perovskite- and LiNbO(3)-type PbNiO(3)
undergo antiferromagnetic transition at 225 and 205 K, respectively. Both the
perovskite- and LiNbO(3)-type phases exhibit semiconducting behavior.
PMID- 21888428
TI - A novel alignment method and multiple filters for exclusion of unqualified
peptides to enhance label-free quantification using peptide intensity in LC
MS/MS.
AB - Though many software packages have been developed to perform label-free
quantification of proteins in complex biological samples using peptide
intensities generated by LC-MS/MS, two critical issues are generally ignored in
this field: (i) peptides have multiple elution patterns across runs in an
experiment, and (ii) many peptides cannot be used for protein quantification. To
address these two key issues, we have developed a novel alignment method to
enable accurate peptide peak retention time determination and multiple filters to
eliminate unqualified peptides for protein quantification. Repeatability and
linearity have been tested using six very different samples, i.e., standard
peptides, kidney tissue lysates, HT29-MTX cell lysates, depleted human serum,
human serum albumin-bound proteins, and standard proteins spiked in kidney tissue
lysates. At least 90.8% of the proteins (up to 1,390) had CVs <= 30% across 10
technical replicates, and at least 93.6% (up to 2,013) had R(2) >= 0.9500 across
7 concentrations. Identical amounts of standard protein spiked in complex
biological samples achieved a CV of 8.6% across eight injections of two groups.
Further assessment was made by comparing mass spectrometric results to
immunodetection, and consistent results were obtained. The new approach has novel
and specific features enabling accurate label-free quantification.
PMID- 21888430
TI - Cell-permeable Ln(III) chelate-functionalized InP quantum dots as multimodal
imaging agents.
AB - Quantum dots (QDs) are ideal scaffolds for the development of multimodal imaging
agents, but their application in clinical diagnostics is limited by the toxicity
of classical CdSe QDs. A new bimodal MRI/optical nanosized contrast agent with
high gadolinium payload has been prepared through direct covalent attachment of
up to 80 Gd(III) chelates on fluorescent nontoxic InP/ZnS QDs. It shows a high
relaxivity of 900 mM(-1) s(-1) (13 mM(-1 )s(-1) per Gd ion) at 35 MHz (0.81 T)
and 298 K, while the bright luminescence of the QDs is preserved. Eu(III) and
Tb(III) chelates were also successfully grafted to the InP/ZnS QDs. The absence
of energy transfer between the QD and lanthanide emitting centers results in a
multicolor system. Using this convenient direct grafting strategy additional
targeting ligands can be included on the QD. Here a cell-penetrating peptide has
been co-grafted in a one-pot reaction to afford a cell-permeable multimodal
multimeric MRI contrast agent that reports cellular localization by fluorescence
and provides high relaxivity and increased tissue retention with respect to
commercial contrast agents.
PMID- 21888431
TI - Is there a malignant progression associated with a linear change in protein
expression levels from normal canine mammary gland to metastatic mammary tumors?
AB - The molecular mechanisms of the development of canine mammary tumors are still
incompletely understood. In the present study we hypothesized that there is a
malignant progression from normal gland to malignant carcinomas that is
associated with a linear change in protein expression. To this end, the proteome
of canine normal mammary gland, adenomas, nonmetastatic carcinomas, and
metastatic carcinomas was compared. Application of 2D-DIGE and MALDI-TOF-MS
identified 48 proteins with significant changes (fold change >|1.5|; p < 0.05) in
expression levels at the different stages of malignant progression. Forty-two of
these followed three major stepwise but not linear expression patterns. Thirteen
proteins showed the adenoma pattern characterized by a change in protein
expression levels during progression from normal gland to adenomas which
persisted on the same level at the subsequent stages of malignancy. Nine proteins
followed the carcinoma pattern with an up- or down-regulation between adenomas
and carcinomas. The majority of 20 proteins followed the metastasis pattern with
a significant change of protein expression levels between nonmetastatic and
metastatic carcinomas. The present study therefore shows that differences in
malignancy are associated with a stepwise but not linear change in protein
expression levels, which does not finally confirm or disapprove the existence of
a malignant progression in canine mammary tumors. In addition, the acquisition of
metastatic potential seems to be associated with the strongest changes in protein
expression levels.
PMID- 21888432
TI - Catalytic activities of subnanometer gold clusters (Au16-Au18, Au20, and Au27
Au35) for CO oxidation.
AB - Using the CO oxidation as a chemical probe, we perform a comprehensive ab initio
study of catalytic activities of subnanometer gold clusters. Particular attention
is placed on 12 different clusters in the size range of Au(16)-Au(35), whose
atomic structures in the anionic state have been resolved from previous
experiments. Adsorption energies of a single CO or O(2) molecule as well as
coadsorption energies of both CO and O(2) molecules on various distinctive
surface sites of each anionic cluster and their neutral counterpart are computed.
In general, the anionic clusters can adsorb CO and O(2) more strongly than their
neutral counterparts. The coadsorption energies of both CO and O(2) molecules
decrease as the size of gold clusters increases with the exception of Au(34) (an
electronic "magic-number" cluster). Besides the known factor of low coordination
site, we find that a relatively small cone angle (<110 degrees ) associated with
each surface site is another key geometric factor that can enhance the binding
strength of CO and O(2). For the subnanometer clusters, although the size effect
can be important to the strength of CO adsorption, it is less important to the
activation energy. Using Au(34) as a prototype model, we show that strong CO and
O(2) adsorption sites tend to yield a lower reaction barrier for the CO
oxidation, but they have little effect on the stability of the reaction
intermediate. Our calculations support the notion that CO and O(2) adsorption
energies on the gold clusters can be an effective indicator to assess catalytic
activities of subnanometer gold clusters. This systematic study of the site- and
size-dependent adsorption energies and reaction pathways enables a quantitative
assessment of the site-size-activity relationship for the CO oxidation on
subnanometer gold clusters.
PMID- 21888433
TI - Positive and negative photoion spectroscopy study of monochlorothiophenes.
AB - Photolysis dynamics of monochlorothiophenes (2- and 3-chlorothiophenes) is
investigated using positive and negative photoion mass spectrometry combined with
the synchrotron vacuum ultraviolet radiation. A dozen of the daughter cations are
observed in the time-of-flight mass spectra, and their appearance energies are
determined by the photoion efficiency spectroscopy measurements. At the energetic
threshold, the concerted process rather than a stepwise reaction for
C(4)H(3)SCl(+) -> C(2)HSCl(+) + C(2)H(2) and the ring-open isomers of the
dehydrogenated thiophene cations (C(4)H(3)S(+) and C(4)H(2)S(+)) formed in
C(4)H(3)SCl(+) -> C(4)H(3)S(+) + Cl and C(4)H(2)S(+) + HCl are proposed on the
basis of the B3LYP/6-311+G(3df,3pd) calculations. The chlorine anion (Cl(-)) is
observed as the product of the photoion-pair dissociations in the energy range of
10.70-22.00 eV. A set of valence-to-Rydberg state transitions 12a' -> np (n = 6,
7, 8, 9, 10, etc.) and several series of vibrational excitations are tentatively
assigned in the Cl(-) spectrum of 2-chlorothiophene in the lower energy range of
10.90-12.00 eV.
PMID- 21888434
TI - Ion-controlled on-off switch of electron transfer from tetrathiafulvalene
calix[4]pyrroles to Li+@C60.
AB - Binding of chloride anion to a tetrathiafulvalene calix[4]pyrrole (TTF-C4P) donor
results in ET to Li(+)@C(60) to produce the radical pair (TTF
C4P(*+)/Li(+)@C(60)(*-)), the structure of which was characterized by X-ray
crystallographic analysis. The addition of tetraethylammonium cation, which binds
more effectively than Li(+)@C(60)(*-) as a guest within the TTF-C4P cavity, leads
to electron back-transfer, restoring the initial oxidation states of the donor
and acceptor pair.
PMID- 21888436
TI - Detection of allergenic ingredients using real-time PCR: a case study on hazelnut
(Corylus avellena) and soy (Glycine max).
AB - Compliance with the European allergen labeling legislation (Directive 2007/68/EC)
is only possible when coupled with appropriate methods to detect allergens in
food. The aim of the current study was to develop new real-time PCR assays for
the detection of hazelnut and soy and evaluate these assays via comparison with
commercially available kits. Although the new assays were not as sensitive as the
commercial qualitative assays, they proved to be more specific. Moreover, the
cross-reactivity study indicated contamination of some of the food products used
with either hazelnut or soy, which presents a risk for the allergic consumer. The
assays were able to quantify as few as 5-15 genome copies. This unit, used to
express analytical results for allergen detection by means of PCR, needs to be
converted to a unit expressing the amount of allergenic ingredient in order to be
informative. This study emphasizes that the use of real-time PCR for allergen
quantification is complicated by the lack of appropriate reference materials for
allergens.
PMID- 21888435
TI - Myosin binding protein-C slow is a novel substrate for protein kinase A (PKA) and
C (PKC) in skeletal muscle.
AB - Myosin Binding Protein-C slow (MyBP-C slow), a family of thick filament
associated proteins, consists of four alternatively spliced forms, namely
variants 1-4. Variants 1-4 share common structures and sequences; however, they
differ in three regions: variants 1 and 2 contain a novel 25-residue long
insertion at the extreme NH(2)-terminus, variant 3 carries an 18-amino acid long
segment within immunoglobulin (Ig) domain C7, and variant 1 contains a unique
COOH-terminus consisting of 26-amino acids, while variant 4 does not possess any
of these insertions. Variants 1-4 are expressed in variable amounts among
skeletal muscles, exhibiting different topographies and potentially distinct
functions. To date, the regulatory mechanisms that modulate the activities of
MyBP-C slow are unknown. Using an array of proteomic approaches, we show that
MyBP-C slow comprises a family of phosphoproteins. Ser-59 and Ser-62 are
substrates for PKA, while Ser-83 and Thr-84 are substrates for PKC. Moreover, Ser
204 is a substrate for both PKA and PKC. Importantly, the levels of
phosphorylated skeletal MyBP-C proteins (i.e., slow and fast) are notably
increased in mouse dystrophic muscles, even though their overall amounts are
significantly decreased. In brief, our studies are the first to show that the
MyBP-C slow subfamily undergoes phosphorylation, which may regulate its
activities in normalcy and disease.
PMID- 21888437
TI - Chiral indolo[3,2-f][3]benzazecine-type dopamine receptor antagonists: synthesis
and activity of racemic and enantiopure derivatives.
AB - Racemic and enantiopure 8-substituted derivatives of the lead dopamine receptor
antagonist LE 300 (1) were prepared, and their affinities for the dopamine
receptors (D(1)-D(5)) were tested. The separate enantiomers showed significantly
different affinities; the (8S)-methyl and (8R)-hyroxymethyl derivatives where the
substituents point below the reference plane of the indolo[3,2-f][3]benzazecine
scaffold were markedly more active than their enantiomeric counterparts. The
racemic 8-carboxy derivative was shown to be selective for the D(5)-receptor,
even against D(1).
PMID- 21888438
TI - Molecular dynamics investigations of ozone on an ab initio potential energy
surface with the utilization of pattern-recognition neural network for accurate
determination of product formation.
AB - The singlet-triplet transformation and molecular dissociation of ozone (O(3)) gas
is investigated by performing quasi-classical molecular dynamics (MD) simulations
on an ab initio potential energy surface (PES) with visible and near-infrared
excitations. MP4(SDQ) level of theory with the 6-311g(2d,2p) basis set is
executed for three different electronic spin states (singlet, triplet, and
quintet). In order to simplify the potential energy function, an approximation is
adopted by ignoring the spin-orbit coupling and allowing the molecule to switch
favorably and instantaneously to the spin state that is more energetically stable
(lowest in energy among the three spin states). This assumption has previously
been utilized to study the SiO(2) system as reported by Agrawal et al. (J. Chem.
Phys. 2006, 124 (13), 134306). The use of such assumption in this study probably
makes the upper limits of computed rate coefficients the true rate coefficients.
The global PES for ozone is constructed by fitting 5906 ab initio data points
using a 60-neuron two-layer feed-forward neural network. The mean-absolute error
and root-mean-squared error of this fit are 0.0446 eV (1.03 kcal/mol) and 0.0756
eV (1.74 kcal/mol), respectively, which reveal very good fitting accuracy. The
parameter coefficients of the global PES are reported in this paper. In order to
identify the spin state with high confidence, we propose the use of a pattern
recognition neural network, which is trained to predict the spin state of a given
configuration (with a prediction accuracy being 95.6% on a set of testing data
points). To enhance the prediction effectiveness, a buffer series of five points
are validated to confirm the spin state during the MD process to gain better
confidence. Quasi-classical MD simulations from 1.2 to 2.4 eV of total internal
energy (including zero-point energy) result in rate coefficients of singlet
triplet transformation in the range of 0.027 ps(-1) to 1.21 ps(-1). Also, we find
very low dissociation probability up to 2.4 eV of internal energy during the
investigating period (5 ps), which suggests that dissociation does not occur
directly from the singlet ground-state, but it involves the excited triplet-state
as an intermediate step and requires more reaction time to occur.
PMID- 21888439
TI - Design and synthesis of inhaled p38 inhibitors for the treatment of chronic
obstructive pulmonary disease.
AB - This paper describes the identification and optimization of a novel series of DFG
out binding p38 inhibitors as inhaled agents for the treatment of chronic
obstructive pulmonary disease. Structure based drug design and "inhalation by
design" principles have been applied to the optimization of the lead series
exemplied by compound 1a. Analogues have been designed to be potent and selective
for p38, with an emphasis on slow enzyme dissociation kinetics to deliver
prolonged lung p38 inhibition. Pharmacokinetic properties were tuned with high
intrinsic clearance and low oral bioavailability in mind, to minimize systemic
exposure and reduce systemically driven adverse events. High CYP mediated
clearance and glucuronidation were targeted to achieve high intrinsic clearance
coupled with multiple routes of clearance to minimize drug-drug interactions.
Furthermore, pharmaceutical properties such as stability, crystallinity, and
solubility were considered to ensure compatibility with a dry powder inhaler. 1ab
(PF-03715455) was subsequently identified as a clinical candidate from this
series with efficacy and safety profiles confirming its potential as an inhaled
agent for the treatment of COPD.
PMID- 21888440
TI - Comparison of the reactivity of antimalarial 1,2,4,5-tetraoxanes with 1,2,4
trioxolanes in the presence of ferrous iron salts, heme, and ferrous iron
salts/phosphatidylcholine.
AB - Dispiro-1,2,4,5-tetraoxanes and 1,2,4-trioxolanes represent attractive classes of
synthetic antimalarial peroxides due to their structural simplicity, good
stability, and impressive antimalarial activity. We investigated the reactivity
of a series of potent amide functionalized tetraoxanes with Fe(II)gluconate,
FeSO(4), FeSO(4)/TEMPO, FeSO(4)/phosphatidylcholine, and heme to gain knowledge
of their potential mechanism of bioactivation and to compare the results with the
corresponding 1,2,4-trioxolanes. Spin-trapping experiments demonstrate that
Fe(II)-mediated peroxide activation of tetraoxanes produces primary and secondary
C-radical intermediates. Reaction of tetraoxanes and trioxolanes with
phosphatidylcholine, a predominant unsaturated lipid present in the parasite
digestive vacuole membrane, under Fenton reaction conditions showed that both
endoperoxides share a common reactivity in terms of phospholipid oxidation that
differs with that of artemisinin. Significantly, when tetraoxanes undergo
bioactivation in the presence of heme, only the secondary C-centered radical is
observed, which smoothly produces regioisomeric drug derived-heme adducts. The
ability of these tetraoxanes to alkylate the porphyrin ring was also confirmed
with Fe(II)TPP and Mn(II)TPP, and docking studies were performed to rationalize
the regioselectivity observed in the alkylation process. The efficient process of
heme alkylation and extensive lipid peroxidation observed here may play a role in
the mechanism of action of these two important classes of synthetic endoperoxide
antimalarial.
PMID- 21888441
TI - The worldwide opioid epidemic: implications for treatment and research in
pregnancy and the newborn.
PMID- 21888442
TI - Drug treatment of hypertensive crisis in children.
AB - Hypertensive crisis is a relatively rare event and is associated with significant
morbidity and mortality in adults and pediatric patients alike. Rapid, safe, and
effective treatment is imperative to alleviate immediate presenting clinical
symptoms, prevent devastating morbidity, preserve long-term quality of life, and
prevent mortality. Many medications in the hypertensive crisis arsenal have been
used for nearly half a century. Nearly all treatment options have been utilized
in children for decades, yet reliable data and sound clinical literature remain
elusive. Every agent considered to be a first-line, second-line, or adjunctive
option has yet to be evaluated in a randomized controlled trial in pediatric
patients. With a paucity of clinical data to form evidence-based decisions, the
clinician must rely entirely on the extrapolation from adult data and small
retrospective studies, case series, and case reports of medication use in
pediatric patients. Although more research in the treatment of pediatric
hypertensive crisis is desperately needed, current practice demands a sharp
knowledge of the pediatric clinical literature and pharmacology in this area as
an essential tool to consistently improve patient outcomes with respect to
morbidity and mortality.
PMID- 21888443
TI - Clinical usefulness of second-generation antipsychotics in treating children and
adolescents diagnosed with bipolar or schizophrenic disorders.
AB - The onset of severe, chronic or recurrent psychiatric illnesses, such as
schizophrenia-spectrum and bipolar disorders, is a dramatic clinical event often
detectable during adolescence and even in childhood. At any age, pharmacotherapy,
along with enhancement of social skills and family support, is the mainstay for
the management of such disorders. The aim of this review is to critically analyze
findings from randomized controlled trials (RCTs) that have investigated the
clinical utility of second-generation antipsychotics (SGAs) for the treatment of
early-onset schizophrenia and bipolar disorders. Eighteen studies were
considered, all of which were unfortunately impaired by methodologic limitations,
such as the paucity of long-term data and lack of a three-arm comparison (SGA vs
SGA vs placebo). Nevertheless, the results of this review allow us to suggest the
effectiveness of three SGAs (aripiprazole, olanzapine, and risperidone) in the
short-term treatment of both early-onset schizophrenia and bipolar mania,
although such agents show different safety profiles. The use of clozapine should
be strictly limited to patients with non-affective, psychotic symptoms who do not
respond to any of these three SGAs. In contrast, the use of quetiapine and
ziprasidone in young patients with either affective or non-affective psychosis is
not yet supported by evidence-based information. Given our findings, further
studies are urgently required to identify the best treatment option(s) for
pediatric bipolar disorder (especially the depressive phase) and the long-term
management of early-onset schizophrenia.
PMID- 21888444
TI - Antiretroviral therapy for children in resource-limited settings: current
regimens and the role of newer agents.
AB - WHO antiretroviral treatment guidelines for HIV-infected children have influenced
the design of treatment programmes in resource-limited settings. This review
analyses the latest WHO first- and second-line regimen recommendations. The
recommendation to use lopinavir/ritonavir-containing first-line regimens in young
children with prior non-nucleoside reverse transcriptase inhibitor (NNRTI)
exposure is based on good quality evidence. Recent research suggests that
lopinavir/ritonavir-containing first-line regimens should be extended to all
young children, irrespective of prior NNRTI exposure. Strategies for overcoming
the adverse metabolic effects of rifampicin-containing anti-tuberculosis therapy
on antiretroviral therapy regimens have been under-researched in HIV-infected
children, creating uncertainty about global recommendations. Preferred second
line recommendations are largely predictable. The exception is that NNRTI
containing second-line regimens are recommended for children previously exposed
to NNRTIs and who subsequently did not respond to lopinavir/ritonavir-containing
first-line therapy. In these patients, second-line regimens containing newer
protease inhibitors (PIs) such as darunavir and tipranavir, or integrase
inhibitors such as raltegravir, should be evaluated. Newer antiretroviral agents
including second-generation NNRTIs and PIs, C-C chemokine receptor type 5
inhibitors, and integrase inhibitors may assist in further refinement of existing
regimen options.
PMID- 21888445
TI - Drug exposure during the periconceptional period: a study of 1793 women.
AB - BACKGROUND: Many studies have described the prescribing of drugs to pregnant
women, but only very few have data concerning the periconceptional period
specifically. AIM: The aim of the study was to evaluate the incidence of exposure
to teratogenic drugs during early pregnancy and to determine whether a safer drug
exists. METHODS: In a French health insurances database, we analyzed drugs
prescribed during the period starting 1 month before and ending 2 months after
the beginning of pregnancy between 1 January 2006 and 31 December 2007. Based on
the Summary of Product Characteristics (SPC), drugs we considered were those
'contraindicated', 'not recommended', 'to be avoided', and 'possible' for use
during the first trimester of pregnancy. For drugs 'contraindicated', we
established if there were alternatives with similar efficacy for the mother and
lower risk for the fetus. RESULTS: Over a period of 2.25 years, 8754 drugs were
prescribed to 1793 women starting 1 month prior to and ending 2 months after
conception. Among these drugs, 20 (0.2%) were 'contraindicated', 195 (2.2%) were
'not recommended', and 1209 (13.8%) were 'to be avoided' during the first
trimester of pregnancy. Twenty (1.1%) women received at least one drug that was
'contraindicated' during the first trimester, 171 (9.5%) received a drug that was
'not recommended' and 768 (42.8%) received a drug that was 'to be avoided'. At
least one possible alternative was available for all except one 'contraindicated'
drug. CONCLUSIONS: During the highest teratogenic risk period, 1.1% of women
received a contraindicated drug, despite existence of a safer alternative drug.
This may be partly accounted for by physicians not being aware of the pregnancy
at the time the drug was administered and could be reduced by adding a section
entitled 'women of child-bearing potential' to the SPC.
PMID- 21888446
TI - 47th Annual Meeting of the American Society of Clinical Oncology (ASCO), Chicago,
IL, USA, 3-7 June 2011: focus on Pediatric Oncology.
PMID- 21888447
TI - Clonidine extended-release: in attention-deficit hyperactivity disorder.
AB - Clonidine, an alpha(2)-adrenergic agonist, is approved in the US as an extended
release (XR) tablet for the treatment of attention-deficit hyperactivity disorder
(ADHD) in children and adolescents (aged 6-17 years). In two, randomized, double
blind, multicenter, phase III trials of 8 weeks' duration, clonidine XR improved
the symptoms of ADHD in children and adolescents. Significantly greater
reductions from baseline in ADHD rating scale IV (ADHD-RS-IV) total scores at
week 5 (primary endpoint) were achieved by recipients of clonidine XR 0.2 and 0.4
mg/day monotherapy than by recipients of placebo. When added to patients' normal
stimulant regimen, significantly greater reductions from baseline in ADHD-RS-IV
total scores at week 5 (primary endpoint) were achieved with a flexible dose of
clonidine XR 0.1-0.4 mg/day than with placebo. Symptomatic improvement of ADHD
was achieved following 2 weeks' treatment with clonidine XR. In both trials,
significantly greater reductions from baseline in ADHD-RS-IV total scores were
apparent at week 2 onwards for recipients of clonidine XR than for recipients of
placebo. Clonidine XR was generally well tolerated as monotherapy and as
adjunctive therapy with stimulant regimens in clinical trials in children and
adolescents.
PMID- 21888448
TI - 17 alpha-Hydroxyprogesterone caproate (MakenaTM): in the prevention of preterm
birth.
AB - 17 alpha-hydroxyprogesterone caproate is a synthetic progestin of which there is
now a US FDA-approved formulation available for intramuscular administration
(MakenaTM) to reduce the risk of preterm birth. Intramuscular 17 alpha
hydroxyprogesterone caproate (identical in formulation and manufacturing process
to MakenaTM, thus hereafter referred to as MakenaTM) 250 mg once weekly,
initiated at 16-20 weeks' gestation, was effective in reducing the risk of
preterm birth in women with a singleton pregnancy at high risk of delivering
preterm in a large, well designed, placebo-controlled trial (n = 463 randomized).
Rates of delivery before 37 (primary endpoint), 35, or 32 weeks' gestation were
significantly lower with MakenaTM than with placebo, corresponding to relative
risk reductions of 34%, 33%, and 42%, respectively. The benefit of the drug in
reducing the risk of preterm birth was observed when deliveries were spontaneous
(but not when indicated because of complications) and regardless of maternal
race. In addition, there was a significantly lower rate of several adverse
fetal/neonatal outcomes among infants of women who received MakenaTM than among
infants of placebo recipients, including necrotizing enterocolitis, need for
supplemental oxygen, birth weight of <2500 g, and intraventricular hemorrhage.
MakenaTM was generally well tolerated in pregnant women in this trial. Moreover,
fetal exposure to the drug appeared to be safe according to a 2- to 5-year follow
up of the study, with no evidence of a detrimental effect of the drug on child
neurodevelopment and a low overall incidence (~2%) of reproductive or genital
abnormalities that was not significantly different from placebo.
PMID- 21888449
TI - Excess costs associated with patients with chronic thromboembolic pulmonary
hypertension in a US privately insured population.
AB - BACKGROUND: Chronic thromboembolic pulmonary hypertension (CTEPH) is a rare and
potentially fatal disease. Little is known about the economic burden associated
with CTEPH patients in the US. OBJECTIVES: The objective of this study was to
estimate excess direct costs associated with privately insured patients with
CTEPH in the US. METHODS: From a privately insured claims database (>8 million
beneficiaries, 2002-7), 289 CTEPH patients were identified using the criteria:
two or more claims for pulmonary hypertension (PH), International Classification
of Diseases, ninth edition, clinical modification (ICD-9-CM) code 416.0 or 416.8;
one or more claim for pulmonary embolism (ICD-9-CM: 415.1, V12.51; ICD-9
procedure: 38.7; Current Procedural Terminology [CPT]-4 code: 36010, 37620,
75825, 75940; Healthcare Common Procedure Coding System [HCPCS] code: C1880)
within 12 months prior or 1 month after the initial PH claim (index date); one or
more claim for right heart catheterization (RHC) within 6 months prior to any PH
claim or one or more claim for echocardiogram within 6 months prior to a
specialist-diagnosed PH claim; aged 18-64 years. Patients with CTEPH were matched
demographically to controls without PH. Patients were followed as long as
continuously eligible; mean follow-up in CTEPH patients was 21.5 months. Chi
squared tests were used to compare baseline co-morbidities. Wilcoxon rank-sum
tests were used to compare direct (medical and pharmaceutical) patient-month
costs to insurers. RESULTS: The average age for CTEPH patients was 52.2 years,
and 57.1% were women. Compared with controls, CTEPH patients had significantly
higher baseline rates of co-morbidities (e.g. essential hypertension, congestive
heart failure and chronic pulmonary disease) and a higher mean Charlson Co
morbidity Index score. Mean direct patient-month costs (year 2007 values) were
$US4782 for CTEPH patients and $US511 for controls (p < 0.0001). Sensitivity
analysis restricting the sample to patients diagnosed following RHC yielded a 15%
increase in excess costs relative to the original sample. Regarding cost drivers,
inpatient services accounted for 54%, outpatient and other services for 33% and
prescription drugs for 11% of total direct healthcare costs per patient-month in
CTEPH patients. Circulatory-/respiratory-related patient-month costs were $US2496
among CTEPH patients and $US128 among controls (p < 0.0001). CONCLUSIONS: CTEPH
patients had substantially higher costs and co-morbidity than matched controls,
with circulatory-/respiratory-related costs accounting for 55% of excess costs.
The high burden of illness suggests opportunities for savings from improved
management.
PMID- 21888450
TI - Erythroid disturbances before and after treatment of Portuguese psoriasis
vulgaris patients: a cross-sectional and longitudinal study.
AB - BACKGROUND: A few studies in psoriasis vulgaris patients have reported changes
suggesting red blood cell (RBC) damage is linked to neutrophil activation,
oxidative stress, and psoriasis worsening. OBJECTIVE: The aim of this study was
to evaluate erythroid disturbances in Portuguese psoriasis vulgaris patients,
before, during, and after treatment. METHODS: A cross-sectional study (n = 73
patients vs 40 healthy control subjects) followed by a longitudinal study (n = 47
patients) was performed, with assessments before, and at 3, 6, and 12 weeks of
therapy (10 patients started topical treatment, 17 narrow-band UVB, and 20
photochemotherapy [psoralen plus UVA; PUVA]). Evaluations included hematologic
data, total bilirubin levels, membrane-bound hemoglobin (MBH), membrane protein
band 3 profile, total plasma antioxidant status (TAS), lipid peroxidation
(thiobarbituric acid [TBA] assay), elastase, lactoferrin, and C-reactive protein
(CRP). RESULTS: Before treatment, patients presented with higher
leukocyte/neutrophil and reticulocyte counts, elastase, lactoferrin, TBA,
TBA/TAS, reticulocyte production index, total bilirubin and MBH values, lower RBC
and hematocrit, higher percentages of high-molecular-weight aggregates, and lower
percentages of band 3 monomer. After treatment, we observed a reversal in most of
the parameters. However, patients still presented with values suggestive of
accelerated RBC damage, removal, and production, as most of the parameters were
still higher than those in the control group; the same occurred with CRP.
CONCLUSION: Our data suggest that psoriasis vulgaris triggers an inflammatory
response, with release of acute-phase reactants, reactive oxygen species,
cationic proteins, and proteases, leading to enhanced RBC damage/aging and,
ultimately, to enhanced RBC removal. These assumptions were strengthened by the
observation that, with treatment, all of these changes were reversed, the
inflammation was reduced, the production of reticulocytes was increased, and the
RBCs presented changes usually observed in younger/less damaged RBCs. These
erythroid changes were enhanced with PUVA therapy, probably due to the more
pronounced clearing of the lesions, as suggested by Psoriasis Area and Severity
Index (PASI) scores. Finally, after treatment, a residual inflammation still
persisted that might contribute to the observed erythroid disturbances.
PMID- 21888452
TI - Geopolitical changes and trends in Middle Eastern countries' contributions to
world science over the past three decades.
PMID- 21888451
TI - Amphotericin B lipid complex in the management of invasive fungal infections in
immunocompromised patients.
AB - Invasive fungal infections are associated with a poor outcome and their incidence
is rising. Amphotericin B has for a long time been the gold standard for
treatment of these infections, but the conventional formulation is associated
with a high incidence of adverse events. Lipid formulations of amphotericin,
developed to overcome these drawbacks, are now routinely used in clinical
practice for the treatment of invasive fungal infections in immunocompromised
patients. Amphotericin B lipid complex (ABLC) is prepared from amphotericin
complexed to two phospholipids, a process that confers a number of important
pharmacodynamic and pharmacokinetic properties compared with conventional
amphotericin B. The results of retrospective observational studies and the
analysis of databases, including the large Collaborative Exchange of Antifungal
Research (CLEAR) database, have shown ABLC to be associated with response rates
of up to about 80% in patients with confirmed fungal infections and around 60% in
those treated empirically. Intranasal administration of ABLC for prophylaxis of
invasive fungal infection in immunocompromised patients is safe and appears to be
a promising treatment strategy for the future. ABLC is associated with a
substantially lower incidence of nephrotoxicity than conventional amphotericin.
Infusion-related reactions also occur less frequently than with conventional
amphotericin and can be managed using premedication protocols. When direct and
indirect costs are measured, ABLC appears to be less expensive than conventional
amphotericin. The number of approved antifungal agents that are effective
treatments for invasive fungal infections is increasing. However, lipid
formulations of amphotericin, such as ABLC, are effective and well tolerated and
remain the standard of care in the treatment of invasive fungal infections.
Treatment strategies such as intranasal administration for prophylaxis and
combination therapy with newer agents are future directions for these agents.
PMID- 21888453
TI - Dietary nitrate may have a role in development of gastro-esophageal reflux
disease.
AB - BACKGROUND: Gastro-esophageal reflux disease (GERD) has become very common in the
past three decades. The reason for this, as well as its exact pathophysiologic
mechanisms are yet unknown. In this ecologic study we assessed the relation
between water nitrate content and prevalence of GERD in Tehran, Iran. METHODS: We
determined the prevalence of acid regurgitation, heartburn or any of them
occurring on a frequent (at least weekly) or infrequent basis in areas with
different water nitrate. The areas for nitrate were defined as below: <50 mg
nitrate/L, 50-74 mg/L, 75-100 mg/L, and >100 mg/L. Frequency of each symptom was
assessed in each area and compared. Adjustment for age, sex, education, NSAID
consumption, BMI, smoking, history of GERD in first degree relatives and spouse
was done in a multivariate model. RESULTS: People living in areas with water
nitrate content more than 100mg/L had a higher chance of suffering from frequent
AR than those living in areas with water nitrate less than 100mg/L (25.5% vs.
12.0%, OR: 2.53, 95% CI: 1.36-4.73, P=0.006). After adjustment for the named
factors, the relationship remained significant (OR: 3.65, 95% CI: 1.32-10.09).
The relation for frequent heartburn or infrequent symptoms was not significant.
CONCLUSION: In this ecologic study, we found a relation between experiencing
frequent AR and drinking or cooking with water containing more than 100mg
nitrate/L. Considering our current knowledge, if we put dietary nitrate into the
puzzle of increased prevalence and/or pathophysiology of GERD, it can
theoretically answer several questions. Hence we propose a nitrate-hypothesis for
GERD pathogenesis.
PMID- 21888454
TI - Asthma knowledge, attitude, and self-efficacy in Iranian asthmatic patients.
AB - BACKGROUND: Worldwide, numerous people of all ages and ethnicities experience
asthma. The achievements of current medical regimens for patients frequently
depend on three factors: 1) knowledge of patients regarding this disease, 2)
patient's attitude about asthma, including willingness to collaborate with the
therapeutic group for disease control and 3) patient's self-efficacy for
controlling asthma. Therefore, this study examined the relationship between
knowledge, attitudes, and self-efficacy with socio-economic factors in Iranian
asthmatic patients during 2006-2008. METHODS: Participants consisted of 120
adults referred to Milad Hospital, Tehran, Iran during 2006 to 2008 whose
physicians diagnosed their asthma. Socio-demographic factors such as sex, age,
education level, occupation, marital status, family history of asthma, disease
costs, and period of sickness were reviewed. Assessments of knowledge, attitudes
and self-efficacy were performed by the Persian version of an international
standard questionnaire (KASE-AQ). Data were analyzed by SPSS version 14. RESULTS:
Among respondents, only 9 (7.5%) patients had good knowledge about asthma, 108
(90%) patients had a suitable attitude about their asthma and 103 (85.3%)
patients had proper self-efficacy. We found a significant association between
self-efficacy and attitude in asthmatic patients (P<0.05). CONCLUSION: Although
specific knowledge about asthma is low, however, favorable attitudes toward
asthma create opportunities to intervene and improve asthma management among
patients. However, the use of educational tools depends on patients' educational
levels. Therefore, we recommend elevating asthma knowledge.
PMID- 21888455
TI - Molecular cytogenetic analysis of chemoresistant non-Hodgkin's lymphoma patients
with p53 abnormalities using fluorescence in situ hybridisation and comparative
genomic hybridisation.
AB - BACKGROUND: Alterations of the p53 gene at 17p13.1 as well as the gene for a
transmembrane p-glycoprotein, ABCB1 (MDR-1) at 7q21.12, have been shown to be
mostly associated with the phenomenon of multi-drug resistance (MDR) in human
cancers. In order to better understand the mechanisms by which chemoresistance is
mediated, non-hodgkin's lymphoma (NHL) patients overexpressing p53 mutant protein
and resistant to CHOP chemotherapy, NHL patients without p53 overexpression and a
Burkitt's lymphoma Raji cell line with p53 overexpression have been evaluated
using fluorescent in situ hybridization (FISH) and comparative genomic
hybridization (CGH). METHODS: Three chromosomes (1, 7, and 17) known to be
associated with MDR and the presence of p53 mutant protein, were analysed by
FISH. RESULTS: No obvious chromosomal aberrations such as translocations were
found in any of the patients when compared to healthy individuals, which suggests
that the three selected chromosomes might not be specifically related to NHL,
with or without p53 overexpression. For CGH, gains and losses of chromosomal
material have been identified and the changes were not only limited to the three
selected chromosomes associated with MDR. A detailed analysis of the recurrent
aberrations shows that most of the NHL patients have alterations on the
chromosome arms 1p, 6q, 7q, 20q, 22q, and Xp, whereas patients with p53
overexpression predominantly show aberrations on 4p and 17q. CONCLUSION: Further
characterisation of the genetic regions identified might more closely contribute
to our understanding of acquired MDR in NHL. Alterations in the three evaluated
chromosomes may be prevalent in other tumours. In the present study, using FISH
and CGH, there was insufficient difference between NHL patients with and without
p53 overexpression.
PMID- 21888456
TI - Psychometric properties of a modified version of the Roland-Morris disability
questionnaire (M-RMDQ).
AB - BACKGROUND: Chronic pain can be associated with limitations in patient function.
Assessment of pain-related limitations is one of the important outcome domains
that should be considered when designing chronic pain clinical trials. Although a
validated instrument for the assessment of pain-related disability in Iranian
chronic low back pain (CLBP) patients exists, to date there is no
psychometrically sound instrument to measure pain-related physical disability
amongst Iranian chronic pain patients suffering from pain in other parts of their
bodies. METHODS: Six hundred chronic pain patients completed the Modified version
of the Roland-Morris disability questionnaire (M-RMDQ) in addition to
questionnaires on demographic variables, pain intensity and depression. RESULTS:
Internal consistency, test-retest reliability, and concurrent and predictive
validity were calculated for the M-RMDQ. Internal consistency of the M-RMDQ items
was acceptable (Cronbach's alpha=0.88). Test-retest reliability with a mean 36
day interval between assessments in 76 chronic pain patients was high (ICC=0.90).
Concurrent validity was confirmed via significant correlations between the scores
of M-RMDQ, depression and pain intensity. Predictive validity of the M-RMDQ was
confirmed as it successfully differentiated pain clinic chronic pain patients
from the non-pain clinic chronic pain population. CONCLUSION: The M-RMDQ has
adequate reliability and validity and can be used as a sound measure of physical
disability associated with chronic pain among the Iranian population.
PMID- 21888457
TI - Hematopoietic stem cell transplantation in acute promyelocytic leukemia,
experience in Iran.
AB - BACKGROUND: Acute promyelocytic leukemia is a rare indication for hematopoietic
stem cell transplantation. Usually it is indicated as consolidation of salvage
regimens following relpase. Here we report our experience with stem cell
transplantation in acute promyelocytic leukemia patients. METHODS: Between 1989
and 2011, we performed 40 hematopoietic stem cell transplantation in first
complete remission or relapsed acute promyelocytic leukemia patients. Median age
of patients was 23.5 years. Patients received 11 autologous and 29 allogeneic
hematopoietic stem cell transplantation from their HLA fully-matched sibling
donors. Different conditioning regimens were applied. A total of 24 patients
received hematopoietic stem cell transplantation who were in first complete
remission and the remainder with a second or more complete remission. RESULTS:
Hematopoietic stem cell engraftment was observed in all cases. There were no
deaths prior to 100 days after hematopoietic stem cell transplantation. Acute
graft versus host disease was mild to moderate in the majority of patients,
whereas it was grade III in 4 patients. Chronic graft versus host disease was
extensive in 2 cases. With a 4-year median follow up, the relapse rate was 25%. A
total of 26 patients are alive. Five year overall survival was 65.5% and 46.8%
for allogeneic and autologous hematopoietic stem cell transplantation,
respectively. CONCLUSION: Hematopoietic stem cell transplantation is an
acceptable treatment for acute promyelocytic leukemia. Although there is a
statistical difference for overall survival between allogeneic or autologous
hematopoietic stem cell transplantation, the choice between autologous or
allogeneic transplantation needs to have reliable methods for the detection of
molecular remission before hematopoietic stem cell transplantation as well as
close, reliable follow up of patients with clinical and molecular parameters.
PMID- 21888458
TI - Prevalence of symptoms and risk of obstructive sleep apnea syndrome in the
general population.
AB - BACKGROUND: Obstructive sleep apnea (OSA) syndrome is one of the most common
sleep breathing disorders with significant consequences. The present study aims
to determine prevalence of symptoms and risk of OSA in the general population of
Kermanshah, Iran. METHODS: From 2007-2008 by random-cluster-sampling, 527 adult
subjects were selected from the urban region of Kermanshah. The age range of the
sample was from 20 to 87 years. Assessment was carried-out using the Berlin
questionnaire, a valid scale that determined those at "high risk" and "low risk"
for OSA symptoms. Common symptoms were later defined. RESULTS: There were 144
(27.3%) out of the 527 subjects with a mean age of 48.6+/-16.6 years and a body
mass index (BMI) of 25.1+/-3.3 at high risk for OSA (men 19%; women 8.3%); 261
(49.5%) suffered from snoring with a higher frequency among women (51.5%). From
those who snored during sleep, 51 (10%) reported a breathing pause more than once
per week. Subjects considered at high risk had a clinical history of diabetes
(15.3%) and heart failure (16.7%). CONCLUSION: Prevalence of symptoms, risk of
OSA and associated factors in Kermanshah are noticeable. Considering the adverse
effects of this condition on quality of life, further research in an effort for
early diagnosis and treatment are recommended.
PMID- 21888459
TI - A cholera outbreak associated with drinking contaminated well water.
AB - BACKGROUND: Cholera has been a significant public health challenge in many
communities. An outbreak of acute diarrheal illness occurred among participants
in a wedding ceremony in a village in Qazvin, Iran, in 2008. We conducted an
epidemiological, environmental and microbiological investigation to determine the
causative agent, source and extent of this outbreak. METHODS: Clinical and
environmental samples were collected and analyzed for the presence of diarrhea
causing bacterial organisms, which included Vibrio cholera. The relationship
between the strains was determined using enterobacterial repetitive intergenic
consensus polymerase chain reaction (ERIC-PCR). RESULTS: The attack rate was
21.8%. Clinical and environmental samples were positive for V. cholerae serotype
Inaba. All tested isolates had a similar ERIC-PCR pattern, which indicated that a
single clone of V. cholerae was responsible for this outbreak. CONCLUSION: Our
findings demonstrated that well water was the source of this outbreak.
PMID- 21888460
TI - Diagnosis and management of venous thromboembolism: an update a decade into the
new millennium.
AB - Venous thromboembolism refers to thrombotic events in the venous system that are
most commonly manifested as deep vein thromboses in the upper or lower extremity
and/or pulmonary embolism. Venous thromboembolism is a common disorder that is
associated with significant mortality, morbidity and health care-related cost. An
array of hereditary and acquired risk factors are associated with venous
thromboembolism. In recent years, a number of pivotal studies have expanded our
understanding of the pathophysiology of venous thromboembolism, and served as the
basis for evidence-based guidelines on prevention, diagnosis and treatment of
venous thromboembolism. Furthermore, several novel therapeutic agents with
different pharmacokinetics, pharmacodynamics and safety profiles have recently
become available for treatment and prevention of venous thromboembolism. The
purpose of the current paper is to review the pathogenesis and epidemiology of
venous thromboembolism as well as an evidence-based approach to the diagnosis and
management of venous thromboembolism.
PMID- 21888461
TI - Validity of bone mineral density and WHO fracture risk assessment thresholds in
hip fractures.
AB - Hip fractures are common and serious consequence of osteoporosis. Bone mineral
density (BMD) measurement and the World Health Organization (WHO) fracture risk
assessment tool are considered to predict the hip osteoporotic fractures. In this
study, their sensitivities in hip fracture cases are evaluated. BMD and WHO
probability of fracture risk were determined in 71 hip fractures >= 50 years of
old. Totally, 65% of patients had <=-2.5 BMD T score. 81% of patients had above
the upper interventional threshold of WHO fracture risk probability model.
Sensitivities were low in 50-59 year age group with progression in older age
groups. Results of BMD T score and fracture risk probabilities were not
significant between men and women. There were 23% and 49% sensitivities of less
than or equal to -2.5 T score in the 50-59 and 60-69 year age groups with a 31%
sensitivity of greater than 3% probability of hip fracture risk in the 50-59 year
age group, both of which were not valid for predicting hip fracture risk.
PMID- 21888462
TI - Congenital arhinia: case report of a rare congenital anomaly.
AB - Congenital absence of the nose or arhinia is a rare defect of embryogenesis often
associated with other anomalies. Arhinia is a life-threatening condition that
requires a highly skilled neonatal resuscitation team in the delivery room. The
associated anomalies often have a significant effect on the immediate as well as
long-term outcome of the neonate. This report presents a case of congenital
arhinia and reviews the management of such cases.
PMID- 21888463
TI - Obstructive and inflammatory gastric heterotopic pancreatic tissue.
AB - Heterotopic pancreas is defined as pancreatic tissue arising ectopically with no
vascular or anatomic contiguity with the pancreas proper and is believed to arise
embryologically during rotation of the foregut and fusion of the dorsal and
ventral pancreatic buds. We report a case of gastric heterotopic pancreas
presenting as an obstructive inflammatory mass with the clinical differential
diagnosis of gastric carcinoma. A 54 year-old woman presented with a history of
four days of severe, acute-onset abdominal pain. Abdominal ultrasound showed a
gastric antral mass. This was confirmed on computerized tomography, which
revealed a hypo-dense mass with heterogeneous enhancement in the gastric antrum
and multiple ill-defined hypo-dense areas in the liver suspicious for metastases.
A preoperative diagnosis of malignant neoplasm was strongly favored, and a
subtotal gastrectomy was performed. Microscopic examination of the specimen
revealed submucosal and deeply seated intra-muscular and mural heterotopic
pancreatic tissue, comprised of both ductal and acinar structures, surrounded by
exuberant acute and chronic inflammation. The ducts were inflamed and showed
marked cytologic atypia, favored to be of reactive nature. There was overlying
mucosal ulceration with marked acute and chronic full-thickness gastric mural
inflammatory response with abscess formation. This is the second reported case of
obstructive gastric heterotopic pancreas, presenting as an inflammatory mural
gastric mass.
PMID- 21888464
TI - Photoclinic. Pedunculated facial hemangioma in an adult.
PMID- 21888466
TI - Lower fasting plasma glucose criteria and high triglycerides are effective for
screening diabetes mellitus in the rural Japanese population: the Tottori-Kofu
Study.
PMID- 21888468
TI - Well-woman care among obstetrician-gynecologists: opportunity for preconception
care.
AB - OBJECTIVE: To describe the practices of obstetrician-gynecologists who provide
routine gynecological care and assess the relative importance of well-woman care
to their training and practices. METHODS: A questionnaire was mailed to 1000
members of the American College of Obstetricians and Gynecologists, of whom 600
participated in the Collaborative Ambulatory Research Network. RESULTS: The
response rate was 57%. Of these, 403 respondents providing routine obstetric and
gynecologic care (OB&Gyn) are included. Obstetricians-gynecologists spend the
majority of their time on labor/delivery (22%) followed by well-woman care (14%).
It was found that 26% of the respondents rarely or never discuss sexual abuse or
domestic violence with non-pregnant patients and only 19% always discuss folic
acid with non-pregnant patients during well-woman care. Most (71%) say that 50%
or more of their pregnant patients initially contact them once they are pregnant.
Respondents rated their training in well-woman care least strong of several areas
listed. CONCLUSION: Obstetrician-gynecologists devote a substantial proportion of
work time to providing well-woman care, though some important topics are not
addressed and training in this area was rated least strong.
PMID- 21888469
TI - Expression of WT1 during normal human kidney development.
AB - Wilms Tumor 1 (WT1) is a zinc finger protein, expressed by human podocytes in the
adult kidney, which plays a relevant role in different phases of nephrogenesis in
experimental animals. Since no data are available for specific role in the human
fetal kidney, this study aimed at investigating the expression of WT1 during the
different phases of nephrogenesis. To this end, the expression of WT1 was
evaluated in the kidneys, from four human fetuses and two newborns. WT1
immunoreactivity was detected in all the examined kidneys, but not in the kidneys
of the newborn at term. Immunostaining for WT1 was observed in podocytes of the
glomeruli and in the subcapsular regions, in areas of active glomerulogenesis.
The extent and the intensity of immunoreactivity for WT1 changed from one case to
the next according to the different gestational age. This study confirms in human
kidney the relevant role played by WT1 during nephrogenesis. Its expression
pattern suggests a main role in the regulation of the process of Mesenchimal
Epithelial-Transition and in the development and maturation of podocytes. Further
studies are needed to verify the correlation between the expression pattern of
WT1 and that of other genes products involved in nephrogenesis, in order to
better understand their relationship at protein level.
PMID- 21888470
TI - Chinese children at a crossroads: influence of family socioeconomic factors on
diet patterns.
AB - This pilot study explores the roles of family socioeconomic status (SES) in
influencing dietary consumption patterns in 60 Chinese elementary school-aged
children (ages 6-11) in Chengdu, China. Two interviewer-administered
questionnaires were specially developed to gather sociodemographic and food
frequency data. Children from low SES families consumed rice and traditional
staples, and high calcium drinks more frequently, and western fast food less
frequently than children from higher SES families. After controlling for family
SES, children who were primarily cared for by their mothers or grandparents
consumed less healthy snacks less frequently than children who were primarily
cared for by other adults (including fathers).
PMID- 21888471
TI - Dietary non-heme iron bioavailability among children (ages 5-8) in a rural, high
anemia-prevalent area in North India: comparison of algorithms.
AB - Non-heme iron bioavailability of diet consumed by children (ages 5 to 8) in rural
area of India was estimated by comparison of algorithms. A cross-sectional
observational study was conducted among 232 children and consisted of a 24-hour
recall on 2 nonconsecutive days. Four algorithms were chosen to estimate the non
heme iron bioavailability. Daily average non-heme iron bioavailability as
calculated by different algorithms was low (between 3.2% and 4.6%). Correlations
of bioavailable non-heme iron among different algorithms were strong, ranging
from rs = 0.67 to rs = 0.85 (p <= .01).
PMID- 21888472
TI - Three traditional fermented baobab foods from Benin, Mutchayan, Dikouanyouri, and
Tayohounta: preparation, properties, and consumption.
AB - Forest food resources contribute significantly to food supply in areas where they
grow. Three fermented baobab foods were studied: Dikouanyouri (from seeds, pH =
6.5); Tayohounta (from seed kernels, pH = 7), and Mutchayan (from baobab pulp and
sorghum, pH = 4.2). Bacillus spp. (8.5 and 9.5 Log cfu /g) and lactic acid
bacteria (8.9 and 8.4 Log cfu /g,) dominate in Dikouanyouri and Tayohounta,
respectively. In Mutchayan, lactic acid bacteria (8.1 Log cfu/g) and yeasts (7.2
Log cfu/g) predominated. The arbitrary index of protein cleavage increases from
2.3% (unfermented products) to 13.7% in Dikouanyouri and 21.3% in Tayohounta,
indicating significant protein degradation. Mutchayan is the most frequently
consumed product.
PMID- 21888473
TI - Factors affecting rabbit meat consumption among Spanish university students.
AB - This study investigates the rabbit meat consumption patterns among students.
Results of the 342 students surveyed at the University of Seville, Spain showed
that more men than women consumed rabbit meat. More students consumed wild rabbit
meat than farmed rabbit meat. The practice of hunting by students or their
relatives, and the raising of rabbits for self-consumption, positively influenced
the proportion of students that currently consumed rabbit meat. Most of the
students that had eaten rabbit meat before but are currently non-eaters do so
because of the meat's organoleptic characteristics or from lack of consumption
habit.
PMID- 21888474
TI - Healthy habits or damaging diets: an exploratory study of a food blogging
community.
AB - This exploratory study describes the virtual socialization, behaviors, and
attitudes being promoted in one community of food bloggers. Two months of entries
from 45 blogs created by young women belonging to a photography-based food
blogging community were analyzed and coded using a qualitative approach. Analysis
revealed widespread group practices as well as the promotion of attitudes and
behaviors associated with dietary restraint. The present study highlights the
need for further research using food-blogging communities, and concludes with a
cautionary note about blogs as sources of health information in view of the
consequences of dietary restraint.
PMID- 21888476
TI - Is postoperative intensive care unit admission a prerequisite for elective
craniotomy?
AB - OBJECT: Routine postoperative admission to the intensive care unit (ICU) is often
considered a necessity in the treatment of patients following elective craniotomy
but may strain already limited resources and is of unproven benefit. In this
study the authors investigated whether routine postoperative admission to a
regular stepdown ward is a safe alternative. METHODS: Three hundred ninety-four
consecutive patients who had undergone elective craniotomy over 54 months at a
single institution were retrospectively analyzed. Indications for craniotomy
included tumor (257 patients) and transsphenoidal (63 patients), vascular (31
patients), ventriculostomy (22 patients), developmental (13 patients), and base
of skull conditions (8 patients). Recorded data included age, operation, reason
for ICU admission, medical emergency team (MET) calls, in-hospital mortality, and
postoperative duration of stay. RESULTS: Three hundred forty-three patients were
admitted to the regular ward after elective craniotomy, whereas there were 43
planned and 8 unplanned ICU admissions. The most common reasons for planned ICU
admissions were anticipated lengthy operations (42%) and anesthetic risks (40%);
causes for unplanned ICU admissions were mainly unexpected slow neurological
recovery and extensive intraoperative blood loss. Of the 343 regular ward
admissions, 10 (3%) required a MET call; only 3 of these MET calls occurred
within the first 48 postoperative hours and did not lead to an ICU admission. The
overall mortality rate in the investigated cohort was 1%, with no fatalities in
patients admitted to the normal ward postoperatively. CONCLUSIONS: Routine ward
admission for patients undergoing elective craniotomies with selective ICU
admission appears safe; however, approximately 2% of patients may require a
direct postoperative unplanned ICU admission. Patients with anticipated long
operation times, extensive blood loss, and high anesthetic risks should be
selected for postoperative ICU admission, but further study is needed to
determine the preoperative factors that can aid in identifying and caring for
these groups of patients.
PMID- 21888475
TI - Mechanisms of vascular endothelial growth factor-induced pathfinding by
endothelial sprouts in biomaterials.
AB - A critical property of biomaterials for use in regenerative medicine applications
is the ability to promote angiogenesis, the formation of new vascular networks,
to support regenerating tissues. Recent studies have demonstrated that a complex
interplay exists between biomechanical and biochemical regulators of endothelial
cell sprouting, an early step in angiogenesis. Here, we use a microfluidic
platform to study the pathfinding behaviors induced by various stable vascular
endothelial growth factor (VEGF) gradients during sprouting morphogenesis within
biomaterials. Quantitative, time-lapse analysis of endothelial sprouting
demonstrated that the ability of VEGF to regulate sprout orientation during
several stages of sprouting morphogenesis (initiation, elongation, and turning
navigation) was biomaterial dependent. Identical VEGF gradients induced different
types of coordinated cell movements depending on the density of the surrounding
collagen/fibronectin matrix. In denser matrices, sprouts were more likely to have
an initial orientation aligned parallel to the VEGF gradient. In contrast, in
less dense matrices, sprouts were more likely to initially misalign with the VEGF
gradient; however, these sprouts underwent significant turning and navigation to
eventually reorient to be parallel to the VEGF gradient. These less dense
matrices required shallower VEGF gradients and demonstrated lower activating VEGF
thresholds to induce proper sprout alignment and pathfinding. These results
encourage the future use of microfluidic platforms to probe fundamental aspects
of matrix effects on angiogenesis, to screen biomaterials for angiogenic
potential, and to design ex vivo tissues with aligned vascular networks.
PMID- 21888477
TI - Postoperative outcomes following closed head injury and craniotomy for evacuation
of hematoma in patients older than 80 years.
AB - OBJECT: Advances in the management of trauma-induced intracranial hematomas and
hemorrhage (epidural, subdural, and intraparenchymal hemorrhage) have improved
survival in these conditions over the last several decades. However, there is a
paucity of research investigating the relation between patient age and outcomes
of surgical treatment for these conditions. In this study, the authors examined
the relation between patient age over 80 years and postoperative outcomes
following closed head injury and craniotomy for intracranial hemorrhage. METHODS:
A consecutive population of patients undergoing emergent craniotomy for
evacuation of intracranial hematoma following closed head trauma between 2006 and
2009 was identified. Using multivariable logistic regression models, the authors
assessed the relation between age (> 80 vs <= 80 years) and postoperative
complications, intensive care unit stay, hospital stay, morbidity, and mortality.
RESULTS: Of 103 patients, 27 were older than 80 years and 76 patients were 80
years of age or younger. Older age was associated with longer length of hospital
stay (p = 0.014), a higher rate of complications (OR 5.74, 95% CI 1.29-25.34),
and a higher likelihood of requiring rehabilitation (OR 3.28, 95% CI 1.13-9.74).
However, there were no statistically significant differences between the age
groups in 30-day mortality or ability to recover to functional baseline status.
CONCLUSIONS: The findings suggest that in comparison with younger patients,
patients over 80 years of age may be similarly able to return to preinjury
functional baselines but may require increased postoperative medical attention in
the forms of rehabilitation and longer hospital stays. Prospective studies
concerned with the relation between older age, perioperative parameters, and
postoperative outcomes following craniotomy for intracranial hemorrhage are
needed. Nonetheless, the findings of this study may allow for more informed
decisions with respect to the care of elderly patients with intracranial
hemorrhage.
PMID- 21888478
TI - Just say NO to vasospasm.
PMID- 21888479
TI - Reversal of cerebral vasospasm via intravenous sodium nitrite after subarachnoid
hemorrhage in primates.
AB - OBJECT: Subarachnoid hemorrhage (SAH)-induced vasospasm is a significant
underlying cause of aneurysm rupture-related morbidity and death. While long-term
intravenous infusion of sodium nitrite (NaNO(2)) can prevent cerebral vasospasm
after SAH, it is not known if the intravenous administration of this compound can
reverse established SAH-induced vasospasm. To determine if the intravenous
infusion of NaNO(2) can reverse established vasospasm, the authors infused
primates with the compound after SAH-induced vasospasm was established. METHODS:
Subarachnoid hemorrhage-induced vasospasm was created in 14 cynomolgus macaques
via subarachnoid implantation of a 5-ml blood clot. On Day 7 after clot
implantation, animals were randomized to either control (saline infusion, 5
monkeys) or treatment groups (intravenous NaNO(2) infusion at 300 MUg/kg/hr for 3
hours [7 monkeys] or 8 hours [2 monkeys]). Arteriographic vessel diameter was
blindly analyzed to determine the degree of vasospasm before, during, and after
treatment. Nitric oxide metabolites (nitrite, nitrate, and S-nitrosothiols) were
measured in whole blood and CSF. RESULTS: Moderate-to-severe vasospasm was
present in all animals before treatment (control, 36.2% +/- 8.8% [mean +/- SD];
treatment, 45.5% +/- 12.5%; p = 0.9). While saline infusion did not reduce
vasospasm, NaNO(2) infusion significantly reduced the degree of vasospasm (26.9%
+/- 7.6%; p = 0.008). Reversal of the vasospasm lasted more than 2 hours after
cessation of the infusion and could be maintained with a prolonged infusion.
Nitrite (peak value, 3.7 +/- 2.1 MUmol/L), nitrate (18.2 +/- 5.3 MUmol/L), and S
nitrosothiols (33.4 +/- 11.4 nmol/L) increased significantly in whole blood, and
nitrite increased significantly in CSF. CONCLUSIONS: These findings indicate that
the intravenous infusion of NaNO(2) can reverse SAH-induced vasospasm in
primates. Further, these findings indicate that a similar treatment paradigm
could be useful in reversing cerebral vasospasm after aneurysmal SAH.
PMID- 21888480
TI - Sudden death from diffuse leptomeningeal oligodendrogliomatosis.
AB - In this paper the authors describe the rare disorder of diffuse leptomeningeal
oligodendrogliomatosis in a patient with an oligodendroglioma of the cauda equina
who died suddenly. Reviewing this uncommon pathological entity is important so
that it can be recognized and treated appropriately. This young, otherwise
healthy woman with initial symptoms of low-back pain had a mass lesion of the
cauda equina. During a workup, profound refractory intracranial hypertension
suddenly developed despite aggressive surgical and medical intervention. Autopsy
revealed a spinal cord oligodendroglioma with diffuse leptomeningeal
oligodendrogliomatosis of the brain and spinal cord. Given the unforeseen outcome
in this patient, this entity, although rare, should be considered in patients
with similar presentations and addressed early to prevent similar outcomes. A
review of the details of this case as well as the literature is presented below.
PMID- 21888481
TI - Morbidity and mortality after spinal deformity surgery in patients 75 years and
older: complications and predictive factors.
AB - OBJECT: As the population continues to age, relatively older geriatric patients
will present more frequently with complex spinal deformities that may require
surgical intervention. To the authors' knowledge, no study has analyzed factors
predictive of complications after major spinal deformity surgery in the very
elderly (75 years and older). The authors' objective was to determine the rate of
minor and major complications and predictive factors in patients 75 years of age
and older who underwent major spinal deformity surgery requiring a minimum 5
level arthrodesis procedure. METHODS: Twenty-one patients who were 75 years of
age or older and underwent thoracic and/or lumbar fixation and arthrodesis across
5 or more levels for spinal deformity were analyzed retrospectively. The medical
and surgical records were reviewed in detail. Age, diagnosis, comorbidities,
operative data, hospital data, major and minor complications, and deaths were
recorded. Factors predictive of perioperative complications were identified by
logistic regression analysis. RESULTS: The mean patient age was 77 years old
(range 75-83 years). There were 14 women and 7 men. The mean follow-up was 41.2
months (range 24-81 months). Fifteen patients (71%) had at least 1 comorbidity. A
mean of 10.5 levels were fused (range 5-15 levels). Thirteen patients (62%) had
at least 1 perioperative complication, and 8 (38%) had at least one major
complication for a total of 17 complications. There were no perioperative deaths.
Increasing age was predictive of any perioperative complication (p = 0.03).
However, major complications were not predicted by age or comorbidities as a
whole. In a subset analysis of comorbidities, only hypertension was predictive of
a major complication (OR 10, 95% CI 1.3-78; p = 0.02). Long-term postoperative
complications occurred in 11 patients (52%), and revision fusion surgery was
necessary in 3 (14%). CONCLUSIONS: Patients 75 years and older undergoing major
spinal deformity surgery have an overall perioperative complication rate of 62%,
with older age increasing the likelihood of a complication, and a long-term
postoperative complication rate of 52%. Patients in this age group with a history
of hypertension are 10 times more likely to incur a major perioperative
complication. However, the mortality risk for these patients is not increased.
PMID- 21888485
TI - Can we explain why some people do and some people do not act on their intentions?
AB - Behavioural theorists have identified attitudes, perceived norms and self
efficacy as the important determinants of people's intentions to engage in a
given behaviour. Because intentions predict behaviour, these same variables also
account for a considerable amount of the variation in behaviour. Nevertheless,
there is often a substantial proportion of the population who do not act on their
intentions. While a recently proposed integrative theory of behaviour suggests
that these 'failures' are due either to a lack of skills and/or to the presence
of environmental constraints, it has also been argued that the determinants of
intention may have a direct, as well as in indirect, effect on behaviour. This
paper uses data from a longitudinal study (Project RESPECT) to explore the extent
to which attitudes, perceived norms and self-efficacy explain why some people do
and others do not act on their intentions to engage in a health protective
behaviour. Although the data provide further evidence that these three variables
account for a significant proportion of the variance in intentions (and
behaviour), they perform poorly when predicting behaviour for persons with pre
existing high intentions. It may be reasonable to ask whether a 'new' theory is
needed to explain why some people do, and some people do not, act on their
intentions.
PMID- 21888486
TI - Living with an incomplete vagina and womb: an interpretative phenomenological
analysis of the experience of vaginal agenesis.
AB - The objective of this study was to explore women's personal experiences of living
with vaginal agenesis to gain insight in to psychological, social and emotional
consequences of diagnosis and treatment. It employed interpretative
phenomenological analysis for an in-depth exploratory study of a small sample of
women with vaginal agenesis. The verbatim transcripts of semi-structured
interviews with seven women diagnosed with vaginal agenesis were used as data for
an interpretative phenomenological analysis. Four themes emerged which are
described under the following headings: dealing with loss, the experience of
medical services, sharing with others, and the role of time. Participants
struggled to understand the meaning of their diagnosis and to incorporate it in
to a new sense of self. Contact with medical services generally enhanced feelings
of uncertainty and isolation. Emotional distress was managed through cognitive
strategies and choices about disclosure to others. Participants described how the
experience of their loss recurred over time in different ways depending on the
salience of their sexual and reproductive identities. Some implications for
health care professionals are discussed.
PMID- 21888482
TI - A pilot study of poly(N-isopropylacrylamide)-g-polyethylene glycol and poly(N
isopropylacrylamide)-g-methylcellulose branched copolymers as injectable
scaffolds for local delivery of neurotrophins and cellular transplants into the
injured spinal cord.
AB - OBJECT: The authors investigated the feasibility of using injectable hydrogels,
based on poly(N-isopropylacrylamide) (PNIPAAm), lightly cross-linked with
polyethylene glycol (PEG) or methylcellulose (MC), to serve as injectable
scaffolds for local delivery of neurotrophins and cellular transplants into the
injured spinal cord. The primary aims of this work were to assess the
biocompatibility of the scaffolds by evaluating graft cell survival and the host
tissue immune response. The scaffolds were also evaluated for their ability to
promote axonal growth through the action of released brain-derived neurotrophic
factor (BDNF). METHODS: The in vivo performance of PNIPAAm-g-PEG and PNIPAAm-g-MC
was evaluated using a rodent model of spinal cord injury (SCI). The hydrogels
were injected as viscous liquids into the injury site and formed space-filling
hydrogels. The host immune response and biocompatibility of the scaffolds were
evaluated at 2 weeks by histological and fluorescent immunohistochemical
analysis. Commercially available matrices were used as a control and examined for
comparison. RESULTS: Experiments showed that the scaffolds did not contribute to
an injury-related inflammatory response. PNIPAAm-g-PEG was also shown to be an
effective vehicle for delivery of cellular transplants and supported graft
survival. Additionally, PNIPAAm-g-PEG and PNIPAAm-g-MC are permissive to axonal
growth and can serve as injectable scaffolds for local delivery of BDNF.
CONCLUSIONS: Based on the results, the authors suggest that these copolymers are
feasible injectable scaffolds for cell grafting into the injured spinal cord and
for delivery of therapeutic factors.
PMID- 21888487
TI - Lung function, adherence and denial in asthma patients who exhibit a repressive
coping style.
AB - Lung function, adherence and denial were explored in asthma patients who possess
a repressive coping style (repressors). Repressors (low trait anxiety, high
defensiveness) and non-repressors were identified by their trait anxiety and
defensiveness scores. Participants completed measures of self-reported adherence,
denial, morbidity and demographic data. A lung function measure (FEV(1)) was
obtained for a subset of patients. Repressors, who comprised 33% of the sample,
had significantly worse lung function but scored significantly higher on self
reported adherence, compared to non-repressors. Age was positively correlated
with adherence. Although repressive coping was positively correlated with denial,
denial was significantly correlated with defensiveness but not trait anxiety. The
study provides evidence that repressors are an important group to identify in
future studies of asthma.
PMID- 21888488
TI - Family caregivers and indicators of cancer-related distress.
AB - Increases in the cost of medical care coupled with shorter hospital stays and an
aging population are but a few of the reasons why home care for patients has
become so important. This study examines variables that contribute to distress
among lay-caregivers who provide home care to cancer survivors. Participants
consisted of 135 survivor-caregiver dyads in which the caregiver was a member of
the survivor's family or a close friend. Measures of caregiver distress were
selected from the Ferrell et al. (1995) quality of life tool; predictor variables
included cancer survivor and caregiver demographics, physical and emotional
health status and behavioural characteristics. Hierarchical regression analysis
indicated that, jointly, the three sets of indicators accounted for 35% of
caregiver distress. Standardized regression coefficients indicated that
relational deprivation (feelings of loss of togetherness, planned activities and
contact with other individuals) was the most important predictor of caregiver
distress (p<0.01). Female sex, less practice of healthy behaviours, greater
number of patient care needs and pessimistic expectations (all ps<0.05) also were
significant predictors in the final trimmed model. Results suggest that cancer
support services that address the needs of the care receiver with the protection
of the family caregiver in mind should be considered.
PMID- 21888489
TI - Modelling the theory of planned behaviour and past behaviour.
AB - This paper demonstrated two modelling techniques and three interpretations for
the inclusion of past behaviour in a theory of planned behaviour (TPB) framework
using structural equation modelling. Model 1 examined past behaviour as either a
causal influence or as an autoregressive influence on current behaviour. Model 2
demonstrated a novel approach to including past behaviour and current behaviour
while preserving the tenets of the TPB, as it freed the residual correlation
between past and present behaviour but not the causal path. Participants were 305
undergraduate students (mean age = 19.42 years) who completed measures of the
TPB, past exercise behaviour and current exercise behaviour at one-month follow
up. Results demonstrated the importance of a priori conceptualization of past
behaviour, as the models provide differences between estimated coefficients.
Subsequent interpretation and decision to use each modelling strategy depends on
the research objective and theory.
PMID- 21888490
TI - Why do people self-harm?
AB - The accuracy of perceptions about self-harm that are presented in the
psychological and psychiatric literature was assessed with a sample of self
harmers. A list of 20 statements containing ten myths and ten accurate statements
about self-harm behaviour was incorporated into an internet-based questionnaire.
Respondents (n = 243) rated their extent of agreement with each statement. Factor
analysis confirmed the a priori classification of statements as being accurate.
Only one item, regarding the relationship of self-harm to previous sexual abuse,
did not confirm a priori classification; this statement was considered by self
harmers to be an accurate perception of self-harm. It was concluded that this
questionnaire could be a useful aid for group-work training with professionals
who are involved in working with people who engage in self-harm.
PMID- 21888491
TI - Illness representation of arterial hypertension in a sample of health
professionals and the general public.
AB - This work studies the illness representation of high blood pressure in a sample
of primary health care professionals and the general public in order to identify
possible differences. The examination of illness representation was carried out
using a Spanish adaptation of Turk et al.'s ( 1986 ) Implicit Model of Illness
Questionnaire. This questionnaire assesses nine components: identity, cause,
incapacity, cure, personal responsibility, controllability, changeability and
chance. The results showed statistically significant differences between the
general public and doctors in all the components except controllability. Results
also show that nurses' responses are closer to those of the general public in the
social and psychological aspects, but not in the technical knowledge of
hypertension.
PMID- 21888492
TI - A psychometric evaluation of the Hospital Anxiety and Depression Scale during
pregnancy.
AB - The utility of the Hospital Anxiety and Depression Scale (HADS) as a screening
instrument for anxiety and depression during pregnancy was investigated. A
maximum likelihood factor analysis was conducted on the HADS to determine its
psychometric properties and utility in 100 women from two culturally diverse
populations (UK and Uzbekistan) at 12-weeks and 34-weeks pregnancy. The findings
suggest that the HADS lacks the internal reliability requirements of a clinical
assessment tool in this population. There was little evidence found to suggest
that the HADS measures two dimensions of anxiety and depression consistently and
reliably. The findings from this study suggest that the HADS could not be
recommended as a clinical screening tool for anxiety and depression in pregnant
women. Further research in this area is both desirable and required.
PMID- 21888493
TI - Are coping resources related to humoral reaction induced by academic stress? An
analysis of specific salivary antibodies to Epstein-Barr virus and
cytomegalovirus.
AB - The aim of the present study was to investigate whether coping resources mediated
the changes in Epstein-Barr virus (EBV) and human cytomegalovirus (HCMV) specific
salivary antibodies caused by academic stress. Fifty-four first-year female
students of nursing and physiotherapy completed pencil and paper written
questionnaires and concurrently donated saliva samples. The instrument included
the short version of the Sense of Coherence (SOC) scale, measures of social
support, current health, health practices, the scale of psychological distress,
and state anxiety questionnaire. Data and saliva samples were collected one month
after the beginning of the first semester, during term examinations period and a
month into the second semester. Statistically significant changes in the level of
specific salivary EBV and HCMV antibodies were observed between the four study
points. State anxiety and psychological distress were significantly associated
with HCMV-specific salivary antibody level increase during examinations and its
decrease after the stress was over. Coping resources, however, were not
associated with changes in any of the antibodies studied.
PMID- 21888494
TI - A clinical guide to sleep disorders in children and adolescents.
PMID- 21888495
TI - Ocular surface frostbite secondary to ethyl chloride spray.
AB - CONTEXT: Ethyl chloride (EC) is a fast-acting vapo-coolant spray that provides
rapid, transient, local analgesia for minor invasive procedures. Although the
application of EC has decreased, it can be used as a cryoanalgesic agent in minor
surgical procedures. OBJECTIVE: Despite the widespread use of EC as a local
anesthetic, there are few reported cases of serious adverse side effects.
MATERIAL AND METHODS: We report a 67-year old otherwise healthy man who underwent
excision of a papilloma on his superior right eyelid by a general practitioner at
a primary care center. The lesion was removed by curettage after slight freezing
with EC spray. This chemical agent was applied without the adequate eye
protection, and eight hours later the patient presented an acute frost injury of
ocular surface. RESULTS: Urgent treatment included copious irrigation of the
affected eye, especially the conjunctival fornices, corticosteroid (prednisone)
and antibiotic (neomycin) ointment. A week later, the eyelid lesion and
keratoconjunctivitis had resolved but evidence of early cicatrization involving
the inferior conjucntival fornix and symblepharon formation were present.
DISCUSSION: To the best of our knowledge, this is the first reported case of an
acute burn of the ocular surface following EC spray exposure. CONCLUSION: EC
should be avoided for short-term local anesthesia in the periocular region to
prevent this serious complication.
PMID- 21888496
TI - Generalized pustular eruptions due to terbinafine.
AB - Terbinafine, a widely used antifungal agent, may rarely cause cutaneous side
effects with an incidence of 2.7%. Generalized pustular eruptions are quite
uncommon but severe adverse cutaneous reactions of terbinafine have been
reported. The main pustular eruptions due to terbinafine include acute
generalized exanthematous pustulosis and drug induced pustular psoriasis. In this
report, two cases of acute generalized exanthematous pustulosis and one case of
generalized pustular psoriasis triggered with terbinafine are presented.
PMID- 21888497
TI - Reconciling ethical and legal aspects in neonatal intensive care.
AB - During the last two decades there has been an enormous development in treatment
possibilities for the extremely premature infants and the Neonatologists have to
face in their daily practice many decisional problems and ethical, moral and
legal dilemmas. These concern decisions to initiate or withhold treatment
directly at birth, decision to withdrawn treatment with the possible consequence
that the child will die. The debate between "sanctity" and "quality" of life,
aggressive treatment in relation to discrimination toward the disabled, the
principle of "beneficence" and the question of "proportionality" of treatment,
the concept of the newborn's "best interest" are the ethical issues discussed.
According to our opinion, ethical questions should not be regulated by law and
the legal system should not interfere in the relationship patient - physician.
Today more than ever, every neonatologist needs to become familiar with basic
ethical concepts and the legal aspects in neonatal intensive care.
PMID- 21888498
TI - Predicting American Indian adolescent substance use trajectories following
inpatient treatment.
AB - This study examined the effects of psychopathological, peer, family, and cultural
predictors of American Indian adolescents' drug use following inpatient
treatment. Data regarding lifetime, 90 days prior to treatment, and 1-year
posttreatment substance use were collected using interviews, questionnaires, and
observations of 57 American Indian adolescents and their families. Trajectories
of days-used measures were subjected to semiparametric trajectory analysis.
Trajectories were then compared on baseline measures by using both univariate and
multivariate logit regression techniques. The study sought to identify prerelease
predictors of membership in post-inpatient treatment substance use trajectory
groups. Findings provide insight into the unique and shared risk and protective
factors relevant to American Indian adolescents' substance use outcomes. In
particular, this study suggests that a combination of family management and
American Indian traditional cultural practices in families serves as a potential
target for interventions to reduce substance use in adolescence.
PMID- 21888499
TI - Cultural accommodation of substance abuse treatment for Latino adolescents.
AB - Collaboration with community stakeholders is an often suggested step when
integrating cultural variables into psychological treatments for members of
ethnic minority groups. However, there is a dearth of literature describing how
to accomplish this process within the context of substance abuse treatment
studies. This article describes a qualitative study conducted through a series of
focus groups with stakeholders in the Latino community. Data from focus groups
were used by the researchers to guide the integration of cultural variables into
an empirically supported substance abuse treatment for Latino adolescents
currently being evaluated for efficacy. A model for culturally accommodating
empirically supported treatments for ethnic minority participants is also
described.
PMID- 21888501
TI - Beliefs and perceived norms concerning smoking cessation program recruitment
among African American teen smokers: what appeals to youth and what turns them
away.
AB - A qualitative approach was used to investigate the beliefs and perceived norms
about participation in smoking cessation programs among a sample of African
American alternative school students (N = 53), aged 14 to 18 years old, in the
United States who were current smokers. The authors found that the majority of
participants felt that smoking cessation programs were not effective, caused
smoking, or lacked activities. Both boys and girls believed that communication
sources that provided direct messages in real situations were the best motivators
or incentives for African American youth recruitment into smoking cessation
programs. These findings support research that African Americans are more
attentive to communications that involve direct personal stimuli. In addition,
African Americans place heavy emphasis on source and message style to determine
credibility of the intervention strategy.
PMID- 21888500
TI - Racial disparities in substance abuse treatment and the ecological fallacy.
AB - This study examined engagement in treatment in substance abuse treatment programs
that treated primarily either African American or White clients. Findings showed
higher levels of engagement in White programs; however, engagement of African
Americans in White programs was similar to that of Whites and was greater than
Whites in African American programs. No significant differences emerged when a
mixed model analysis considered additional variables of staff consensus
(regarding treatment elements), treatment climate, acceptance of Medicaid
clients, the proportion mandated to treatment, and the quality of the physical
space. Although African American programs may show poorer levels of engagement
than White programs, attribution of engagement in treatment to client level
race/ethnicity should be made with caution.
PMID- 21888502
TI - 'Heads held high': an exploratory study of legal highs in pre-legislation
Ireland.
AB - The research aimed to present a unique 'snapshot' of legal psychoactive drug use
prior to legislative control in Ireland, in relation to the types of products
used; sourcings; consumptive practices and particular social settings for use;
gauging of dosage; licit and illicit drug transitions, individualisation of drug
decision-making and experiences; and future intentions regarding drug switching
post legislation. Semi-structured in depth interviews (n = 32) were conducted
with adults aged 18-33 years who had used legal highs in the 6 months prior to
fieldwork. The findings indicate some support for 'differentiated' displacement
consumptive patterns between illegal and legal drugs, with user pathways grounded
in 'legal high' availability; perceived user effect, safety, legality, quality
and price. Mephedrone emerged as most popular drug of choice. Internet sales,
stockpiling and diversion of previously 'legal highs' onto the illegal street
drug market remain of concern, against a background of emerging new designer
drugs in Ireland.
PMID- 21888503
TI - Are health journalists' practices tied to their perceptions of audience? An
attribution and expectancy-value approach.
AB - This study examines a national survey of U.S. health journalists (N = 774) to
ascertain how journalists' perceptions of audience use of health news shapes
their journalistic practices. We establish a framework through attribution theory
and expectancy-value theory for how journalists choose to fulfill their roles as
providers of health information. Using these theoretical lenses, we look at
whether health journalists' audience orientation is associated with their use of
accessibility-oriented or credibility-focused practices. Our findings show that,
overall, journalists believe readers are individually responsible for their
health outcomes and that journalists focus on providing accessibility-oriented
information when they feel their audience's ability to understand information may
be compromised. Journalists' beliefs about audience behavior are associated with
their attitudes toward communication practices.
PMID- 21888504
TI - Inhibitory effect of antioxidant extracts from various potatoes on the
proliferation of human colon and liver cancer cells.
AB - Antioxidant extracts from 5 potato lines were evaluated for antioxidant activity,
total phenolics, chlorogenic acid, anthocyanin content, and in vitro anticancer
capacity. Analysis showed that Mexican wild species S. pinnatisectum had the
highest antioxidant activity, total phenolic, and chlorogenic acid content. The
proliferation of colon cancer and liver cancer cells was significantly inhibited
by potato antioxidant extracts. The highest antiproliferative activity was
observed in extracts of S. pinnatisectum and the lowest in Northstar. An inverse
correlation was found between total phenolics and the EC(50) of colon cancer cell
(R(2) = 0.9303), as well as liver cancer cell proliferation (R(2) = 0.8992).
The relationship between antioxidant activity and EC(50) of colon cancer/liver
cancer cell proliferation was significant (R(2) = 0.8144; R(2) = 0.956,
respectively). A significant difference in inhibition of cancer cells (P < 0.01)
existed between the 3 polyphenols: chlorogenic acid, pelargonidin chloride, and
malvidin chloride, suggesting that chlorogenic acid was a critical factor in the
antiproliferation of colon cancer and liver cancer cells.
PMID- 21888506
TI - Inhibitory effect of vitamin C in combination with vitamin K3 on tumor growth and
metastasis of Lewis lung carcinoma xenografted in C57BL/6 mice.
AB - Vitamin C in combination with vitamin K3 (vit CK3) has been shown to inhibit
tumor growth and lung metastasis in vivo, but the mechanism of action is poorly
understood. Herein, C57BL/6 mice were implanted (s.c.) with Lewis lung carcinoma
(LLC) for 9 days before injection (i.p.) with low-dose (100 mg vit C/kg + 1 mg
vit K3/kg), high-dose (1,000 mg vit C/kg + 10 mg vit K3/kg) vit CK3 twice a week
for an additional 28 days. As expected, vit CK3 or cisplatin (6 mg/kg, as a
positive control) significantly and dose-dependently inhibited tumor growth and
lung metastasis in LLC-bearing mice. Vit CK3 restored the body weight of tumor
bearing mice to the level of tumor-free mice. Vit CK3 significantly decreased
activities of plasma metalloproteinase (MMP)-2, -9, and urokinase plasminogen
activator (uPA). In lung tissues, vit CK3 1) increased protein expression of
tissue inhibitor of metalloproteinase-1 (TIMP-1), TIMP-2, nonmetastatic protein
23 homolog 1 and plasminogen activator inhibitor-1; 2) reduced protein expression
of MMP-2 and MMP-9; and 3) inhibited the proliferating cell nuclear antigen
(PCNA). These results demonstrate that vit CK3 inhibits primary tumor growth and
exhibits antimetastastic potential in vivo through attenuated tumor invasion and
proliferation.
PMID- 21888505
TI - Ganoderma lucidum (Reishi) inhibits cancer cell growth and expression of key
molecules in inflammatory breast cancer.
AB - Inflammatory breast cancer (IBC) is the most lethal and least understood form of
advanced breast cancer. Its lethality originates from its nature of invading the
lymphatic system and absence of a palpable tumor mass. Different from other
metastatic breast cancer cells, IBC cells invade by forming tumor spheroids that
retain E-cadherin-based cell-cell adhesions. Herein we describe the potential of
the medicinal mushroom Ganoderma lucidum (Reishi) as an attractive candidate for
anti-IBC therapy. Reishi contains biological compounds that are cytotoxic against
cancer cells. We report the effects of Reishi on viability, apoptosis, invasion,
and its mechanism of action in IBC cells (SUM-149). Results show that Reishi
selectively inhibits cancer cell viability although it does not affect the
viability of noncancerous mammary epithelial cells. Apoptosis induction is
consistent with decreased cell viability. Reishi inhibits cell invasion and
disrupts the cell spheroids that are characteristic of the IBC invasive
pathology. Reishi decreases the expression of genes involved in cancer cell
survival and proliferation (BCL-2, TERT, PDGFB), and invasion and metastasis (MMP
9), whereas it increases the expression of IL8. Reishi reduces BCL-2, BCL-XL, E
cadherin, eIF4G, p120-catenin, and c-Myc protein expression and gelatinase
activity. These findings suggest that Reishi is an effective anti-IBC
therapeutic.
PMID- 21888507
TI - A conversation with Elwood Jensen. Interview by David D. Moore.
PMID- 21888510
TI - Small for gestational age preterm infants: nutritional strategies and quality of
growth after discharge.
AB - Infants born preterm are at high risk for poor growth achievement. Small for
gestational age (SGA (birth weight below the 10th percentile) preterm infants are
even more prone to develop postnatal growth retardation in the early neonatal
period, as they do not have a large storage of protein/energy. Both SGA and
appropriate for gestational age (AGA: birth weight between the 10th and 90th
percentiles) infants show persistent postnatal growth failure after discharge.
Although the available data clearly demonstrate that preterm infants, especially
if born SGA, exhibit postnatal growth retardation at the time of hospital
discharge, the importance of the nutritional post discharge management has not
been sufficiently taken into account. We have recently conducted a randomized
controlled trial to assess whether infants born SGA may benefit from an enriched
post discharge formula. This study suggests that the growth pattern in SGA
preterm infants is not affected by the consumption of an enriched post discharge
formula. The ponderal and linear growth of these infants does not accelerate to
achieve early catch up growth. However, as far as the quality of growth is
concerned, the fat mass accretion after term decelerates, so that an increase of
fat free mass accretion takes place. Future research effort should be directed
toward longer follow up and personalized nutrition management.
PMID- 21888508
TI - Nuclear sphingolipid metabolism.
AB - Nuclear lipid metabolism is implicated in various processes, including
transcription, splicing, and DNA repair. Sphingolipids play roles in numerous
cellular functions, and an emerging body of literature has identified roles for
these lipid mediators in distinct nuclear processes. Different sphingolipid
species are localized in various subnuclear domains, including chromatin, the
nuclear matrix, and the nuclear envelope, where sphingolipids exert specific
regulatory and structural functions. Sphingomyelin, the most abundant nuclear
sphingolipid, plays both structural and regulatory roles in chromatin assembly
and dynamics in addition to being an integral component of the nuclear matrix.
Sphingosine-1-phosphate modulates histone acetylation, sphingosine is a ligand
for steroidogenic factor 1, and nuclear accumulation of ceramide has been
implicated in apoptosis. Finally, nuclear membrane-associated ganglioside GM1
plays a pivotal role in Ca(2+) homeostasis. This review highlights research on
the factors that control nuclear sphingolipid metabolism and summarizes the roles
of these lipids in various nuclear processes.
PMID- 21888511
TI - Direct-to-consumer genetic testing: perceptions, problems, and policy responses.
AB - Direct-to-consumer (DTC) genetic testing has attracted a great amount of
attention from policy makers, the scientific community, professional groups, and
the media. Although it is unclear what the public demand is for these services,
there does appear to be public interest in personal genetic risk information. As
a result, many commentators have raised a variety of social, ethical, and
regulatory issues associated with this emerging industry, including privacy
issues, ensuring that DTC companies provide accurate information about the risks
and limitations of their services, the possible adverse impact of DTC genetic
testing on healthcare systems, and concern about how individuals may interpret
and react to genetic risk information.
PMID- 21888512
TI - Recent progress in HIV-associated nephropathy.
AB - The classic kidney disease of HIV infection, HIV-associated nephropathy (HIVAN),
is an aggressive form of collapsing focal segmental glomerulosclerosis with
accompanying tubular and interstitial lesions. HIVAN was first described among
African-Americans and Haitian immigrants with advanced HIV disease, an early
suggestion of a strong genetic association. This genetic susceptibility was
recently linked to polymorphisms on chromosome 22 in individuals of African
descent. The association with advanced HIV infection and evidence from HIV
transgenic mice suggested the possibility that HIV directly infects the kidney
and that specific HIV gene expression induces host cellular pathways that are
responsible for HIVAN pathogenesis. Although combination antiretroviral therapy
has substantially reduced the impact of HIVAN in the United States, continued
growth of the HIV epidemic in susceptible African populations may have important
public health implications. This article reviews recent progress in the
pathogenesis and treatment of HIVAN and describes the changing epidemiology of
HIV-related kidney disease.
PMID- 21888509
TI - A new look at electrolyte transport in the distal tubule.
AB - The distal nephron plays a critical role in the renal control of homeostasis.
Until very recently most studies focused on the control of Na(+), K(+), and water
balance by principal cells of the collecting duct and the regulation of solute
and water by hormones from the renin-angiotensin-aldosterone system and by
antidiuretic hormone. However, recent studies have revealed the unexpected
importance of renal intercalated cells, a subtype of cells present in the
connecting tubule and collecting ducts. Such cells were thought initially to be
involved exclusively in acid-base regulation. However, it is clear now that
intercalated cells absorb NaCl and K(+) and hence may participate in the
regulation of blood pressure and potassium balance. The second paradigm
challenging concept we highlight is the emerging importance of local paracrine
factors that play a critical role in the renal control of water and electrolyte
balance.
PMID- 21888513
TI - Relationships between medicine and industry: approaches to the problem of
conflicts of interest.
AB - Relationships between physicians and industry are prevalent in medical education,
clinical practice, and research, as well as at the level of medical institutions.
These relationships can be valuable for the advancement of medicine but have also
received increased scrutiny in recent years because they create conflicts of
interest that pose a risk of biasing the judgments of physicians. Responses to
these conflicts of interest by medical institutions, journals, and governments
have utilized four main tools: education, disclosure, management, and
prohibition. Each of the four has its advantages and drawbacks. Medicine faces
the challenge of tailoring the use of these tools to minimize the risk of bias
while allowing useful medical-industry collaborations to proceed. Viewing the
dilemmas created by physicians' relationships with industry as a version of the
principal-agent problem, which is much discussed by economists, may help in
developing creative approaches to these issues.
PMID- 21888514
TI - Physician workforce projections in an era of health care reform.
AB - In 2020, the United States may face shortages of 45,400 primary care physicians
and 46,100 medical specialists-a total of 91,500 too few doctors. Unfortunately,
health workforce shortages like these are being advanced as cause for repealing
or "defunding" the Affordable Care Act (ACA). The extension of health insurance
coverage to millions of Americans is a critical first step toward a healthier
America. It would be a national failure to leave millions of Americans without
health insurance coverage because they will generate additional demand. Rather,
the solution is to find ways to meet that demand. Workforce projections utilizing
real data and carefully formulated assumptions to assess how and why supply and
demand change over time can greatly assist policy makers in finding those
solutions. With implementation of the ACA under way, it is time to understand
what lessons such projections can teach, and to begin to heed those lessons.
PMID- 21888515
TI - Multiple sclerosis: new insights in pathogenesis and novel therapeutics.
AB - Concepts of multiple sclerosis (MS) have shifted from the traditional view of a T
cell-mediated, demyelinating disease of the white matter to include a broad range
of immunopathogenic mechanisms, axonal damage, and widespread gray matter
pathology. The cause of MS remains unknown, but recent epidemiological work has
focused on genetic factors; environmental factors such as vitamin D, sunlight,
and Epstein-Barr virus; and the controversial theory of chronic cerebrospinal
venous insufficiency. Revised criteria facilitate making the diagnosis of MS.
Emerging therapies are rapidly expanding treatment options, including both
parenterally administered and oral medications. Strategies to preserve tissue,
promote repair, and restore function are under development, and it is anticipated
that they will provide better options for patients with progressive disease.
PMID- 21888516
TI - Nanoparticle delivery of cancer drugs.
AB - Nanomedicine, the application of nanotechnology to medicine, enabled the
development of nanoparticle therapeutic carriers. These drug carriers are
passively targeted to tumors through the enhanced permeability and retention
effect, so they are ideally suited for the delivery of chemotherapeutics in
cancer treatment. Indeed, advances in nanomedicine have rapidly translated into
clinical practice. To date, there are five clinically approved nanoparticle
chemotherapeutics for cancer and many more under clinical investigation. In this
review, we discuss the various nanoparticle drug delivery platforms and the
important concepts involved in nanoparticle drug delivery. We also review the
clinical data on the approved nanoparticle therapeutics as well as the
nanotherapeutics under clinical investigation.
PMID- 21888517
TI - Sound strategies: the 65-million-year-old battle between bats and insects.
AB - The intimate details regarding the coevolution of bats and moths have been
elucidated over the past 50 years. The bat-moth story began with the evolution of
bat sonar, an exquisite ultrasonic system for tracking prey through the night
sky. Moths countered with ears tuned to the high frequencies of bat echolocation
and with evasive action through directed turns, loops, spirals, drops, and power
dives. Some bat species responded by moving the frequency and intensity of their
echolocation cries away from the peak sensitivity of moth ears, and the arms race
was on. Tiger moths countered by producing anti-bat sounds. Do the sounds
advertise moth toxicity, similar to the bright coloration of butterflies; do they
startle the bat, giving the moth a momentary advantage in their aerobatic battle;
or do they jam the sonar of the bat? The answer is yes. They do all and more in
different situations and in different species. Any insect that flies at night
must deal with bat predation. Beetles, mantids, true crickets, mole crickets,
katydids, green lacewings, and locusts have anti-bat strategies, and we have just
scratched the surface. In an exciting new twist, researchers are taking the
technologies developed in the laboratory back into the field, where they are
poised to appreciate the full richness of this remarkable predator-prey
interaction.
PMID- 21888518
TI - Insect responses to major landscape-level disturbance.
AB - Disturbances are abrupt events that dramatically alter habitat conditions and
resource distribution for populations and communities. Terrestrial landscapes are
subject to various disturbance events that create a matrix of patches with
different histories of disturbance and recovery. Species tolerances to extreme
conditions during disturbance or to altered habitat or resource conditions
following disturbances determine responses to disturbance. Intolerant populations
may become locally extinct, whereas other species respond positively to the
creation of new habitat or resource conditions. Local extinction represents a
challenge for conservation biologists. On the other hand, outbreaks of
herbivorous species often are triggered by abundant or stressed hosts and
relaxation of predation following disturbances. These insect responses can cause
further changes in ecosystem conditions and predispose communities to future
disturbances. Improved understanding of insect responses to disturbance will
improve prediction of population and community dynamics, as well as ecosystem and
global changes.
PMID- 21888519
TI - Approaches and incentives to implement integrated pest management that addresses
regional and environmental issues.
AB - Agricultural, environmental, and social and policy interests have influenced
integrated pest management (IPM) from its inception. The first 50 years of IPM
paid special attention to field-based management and market-driven decision
making. Concurrently, IPM strategies became available that were best applied both
within and beyond the bounds of individual fields and that also provided
environmental benefits. This generated an incentives dilemma for farmers:
selecting IPM activities for individual fields on the basis of market-based
economics versus selecting IPM activities best applied regionally that have
longer-term benefits, including environmental benefits, that accrue to the
broader community as well as the farmer. Over the past several decades, public
supported incentives, such as financial incentives available to farmers from
conservation programs for farms, have begun to be employed to encourage use of
conservation techniques, including strategies with IPM relevance. Combining
private investments with public support may effectively address the incentives
dilemma when advanced IPM strategies are used regionally and provide public goods
such as those benefiting resource conservation. This review focuses on adaptation
of IPM to these broader issues, on transitions of IPM from primarily individual
field-based decision making to coordinated community decision making, and on the
form of partnerships needed to gain long-lasting regional and environmental
benefits.
PMID- 21888520
TI - Transmission of flea-borne zoonotic agents.
AB - Flea-borne zoonoses such as plague (Yersinia pestis) and murine typhus
(Rickettsia typhi) caused significant numbers of human cases in the past and
remain a public health concern. Other flea-borne human pathogens have emerged
recently (e.g., Bartonella henselae, Rickettsia felis), and their mechanisms of
transmission and impact on human health are not fully understood. Our review
focuses on the ecology and epidemiology of the flea-borne bacterial zoonoses
mentioned above with an emphasis on recent advancements in our understanding of
how these organisms are transmitted by fleas, maintained in zoonotic cycles, and
transmitted to humans. Emphasis is given to plague because of the considerable
number of studies generated during the first decade of the twenty-first century
that arose, in part, because of renewed interest in potential agents of
bioterrorism, including Y. pestis.
PMID- 21888521
TI - Group size and its effects on collective organization.
AB - Many insects and arthropods live in colonies or aggregations of varying size.
Group size may affect collective organization either because the same individual
behavior has different consequences when displayed in a larger group or because
larger groups are subject to different constraints and selection pressures than
smaller groups. In eusocial colonies, group size may have similar effects on
colony traits as body size has on organismal traits. Social insects may,
therefore, be useful to test theories about general principles of scaling, as
they constitute a distinct level of organization. However, there is a surprising
lack of data on group sizes in social insects and other group-living arthropods,
and multiple confounding factors have to be controlled to detect effects of group
size. If such rigorous studies are performed, group size may become as important
to understanding collective organization as is body size in explaining behavior
and life history of individual organisms.
PMID- 21888522
TI - Unexpected effect of recruitment procedure on lung volume measured by respiratory
inductive plethysmography (RIP) during high frequency oscillatory ventilation
(HFOV) in preterm neonates with respiratory distress syndrome (RDS).
AB - BACKGROUND: In clinical practice, one of the major problems in optimizing
recruitment or lung volume during HFOV in preterm infants is the inability to
accurately measure direct changes in lung volume at bedside. OBJECTIVE: To
evaluate changes in lung volume during the recruitment phase of elective HFOV in
preterm infants with RDS using respiratory inductive plethysmography. MATERIAL
AND METHODS: The preliminary results of an observational prospective study were
reported. Newborns with GA <= 27 weeks requiring elective HFOV for a diagnosis of
RDS were studied within the first 6 hours of life using RIP technology, before
surfactant instillation. HFOV was performed with Draeger Babylog 8000 plus
ventilator with "optimum lung volume strategy "(continuous distending pressure
(CDP) increased step-by-step until FiO(2) <= 0.25 was reached). Data on
ventilator settings, gas exchange and RIP volume were collected and analyzed. The
analysis package used in this study visualizes measured data from the Bicore-II
device (CareFusion), Pulse Oximeter Masimo, AX300 FiO(2) monitor device and TCM4
shuttle (TCM4, Radiometer, Copenaghen, Denmark). RESULTS: Four preterm infants
(two females) with mean +/- SD gestational age of 26.5 +/- 1.0 weeks and mean +/-
SD birth weight of 978 +/- 188 grams were studied. Relative FRC slightly
increased during the first steps of the recruitment phase, while deeply decreased
at higher CDP values (>= 15 cm H(2)O). Notwithstanding FiO(2) decreased until
0.25 in all the newborns except one. CONCLUSIONS: Because RIP cannot
differentiate between changes in lung fluid or intrathoracic gas, we hypothesized
that as CDP increases and total lung capacity is approached, pulmonary vascular
resistance increases as a consequence of the compression of intra-alveolar
vessels. This increases right ventricular afterload which, combined with re
establishment of right-to left shunting, results in decreased pulmonary blood
flow and then decreased lung volume. Caution should then be used when using high
CDP values during the recruitment procedure.
PMID- 21888523
TI - The appropriateness of early discharge of very low birth weight newborns.
AB - Preterm newborns may be discharged when clinical conditions are stable and
maturity of physiological functions has been gained, but no precise indications
on weight and GA to be reached before discharge are available. In our NICU we
have observed in the last five years 234 newborns < 32 weeks of GA; we have
decided to transfer from incubators at the mean weight of 1737 g, regardless of
their GA and birth weight and to discharge at a mean weight of 1966 g, the lowest
mean weight in comparison to the other twelve NICUs of the Lazio region. We have
analyzed if the major diseases affecting those newborns (RDS, mechanical
ventilation, PDA, IVH/PVL, PHH, NEC, ROP, sepsis ) have influenced weight at
discharge in 13 NICUs of Lazio region. CONCLUSIONS: in our NICU the discharge of
ELBW/VLBW preterm newborns < 32 weeks GA and/or < 1500 g can be feasible at a
mean weight close to 1900 g. An early transfer from incubator at a mean weight of
1737 g promotes an early discharge from hospital. Major diseases affecting those
newborn haven't influenced weight at discharge.
PMID- 21888524
TI - The fractions of respiratory tract cells at risk in formaldehyde carcinogenesis.
AB - Clonal growth modeling of carcinogenesis requires data on the number of cells at
risk of becoming cancerous. We synthesized literature data to estimate the
fraction of respiratory tract epithelial cells that are progenitor cells, and
therefore at risk, in formaldehyde carcinogenesis for specific respiratory tract
regions. We concluded that the progenitor cells for the transitional and
respiratory epithelia of the nose are basal and nonciliated cells and Type II
cells in the alveolar region. In the conducting airways, our evaluation indicated
that ciliated and basal cells are not in the progenitor pool. Respiratory tract
epithelial cell fractions of 0.819 in rats and 0.668 in humans were estimated
from the data. The total numbers of epithelial cells in the lower respiratory
tract of humans and rats were allocated to individual generations. Cell cycle
times were also estimated from literature data, since the reciprocal of cell
cycle time is an important variable in clonal growth modeling. Sensitivity
analyses of a previously published risk model for formaldehyde carcinogenesis
showed that specification of the fraction of cells at risk markedly affects
estimates of some parameters of the clonal growth model. When all epithelial
cells are considered part of the progenitor pool, additional risks for the non
smoking population was typically over predicted by about 35% for high exposure
levels. These results demonstrate the importance of accurately identifying cell
populations at risk when applying quantitative models in risk assessments.
PMID- 21888525
TI - Endotoxin-induced coagulation reactions and phenoloxidase activity modulation in
Sudanonautes africanus hemolymph fractions.
AB - Sudanonautes africanus is a freshwater crab local to Nigeria and West Africa that
has no documentation of its innate immunity reactions. The objective of this
study was to assess the effect of endotoxin (lipopolysaccharide [LPS]) on
coagulation and on phenoloxidase (PO) activity in the hemolymph fractions of S.
africanus. The hemolymph from each of 10 live crabs was obtained by carapace
puncture and then fractionated into plasma and hemocytes. The hemocytes were then
processed and then fractionated into hemocyte lysate (HL), hemocyte lysate
supernatant (HLS), and hemocyte lysate debris (HLD). In one study, each fraction
was then incubated with a fixed level of LPS in the presence or absence of
exogenous calcium (Ca(2+)) ion. In another study, the LPS concentration was
varied in order to study its effect on protein coagulation when an optimal ratio
mixture of plasma:HLS was present as well as on PO activity in the plasma and HLS
fractions. The results of the first set of studies demonstrated that a presence
of Ca(2+) in the LPS-induced clotting reactions was essential. The next set of
studies showed that a 7:1 plasma:HLS mixture yielded a higher level of
coagulation than any other ratio tested in the presence of 1 EU LPS/ml. When this
same plasma:HLS mixture ratio was used to ascertain the effect of varying LPS
level on coagulation, the response trended higher up to a dose of 3.0 EU/ml., and
decreased thereafter until 7 EU/ml. As expected based on the effect of LPS on PO
activation, an increasing presence of LPS led to a general trend increase in
activity of the enzyme in the plasma fraction; however, the effect was moreover
inhibitory in the HLS fraction. From the results here, we conclude that protein
coagulation is an important response, along with increased PO activity, that
could manifest in Sudanonautes africanus after exposure to 'free' LPS or select
LPS-bearing organisms in their environment.
PMID- 21888526
TI - Neuroimaging examination of newborns in vertically acquired infections.
AB - Congenital/perinatal nervous system (CNS) infections are an important cause of
mortality and morbidity in neonatal period, and long-term sequelae. Many
pathogens can lead to infections frequently involving the CNS, with possible
disruption of brain development, which often is related to gestational age of
maternal infection. The mechanism of infection and damage is different among the
infectious agents, leading to more specific pathologic findings. It is necessary
in newborns with confirmed or suspected CNS infection to undergo investigation by
neuroimaging techniques to help healthcare providers, give adequate treatment and
follow-up care and counsel parents. Computed tomography, Magnetic Resonance
Imaging and cerebral ultrasonography are fundamental tools in evaluating infants
with suspected or proved congenital/perinatal infections. Each imaging technique
has its advantages, disadvantages and limits, since they are sometimes
complementary.
PMID- 21888527
TI - Forearm muscle size, strength, force, and power in relation to pQCT-derived bone
strength at the radius in adults.
AB - We aimed to examine the relationship between forearm muscle cross-sectional area
(MCSA), muscle force, or rate of torque development (RTD) and 2 estimated radius
bone strength indices - compressive bone strength index (BSI) at the wrist and
strength strain index in torsion (SSI(p)) at the shaft - in healthy middle-aged
males and females. Distal (4%) and shaft (65%) sites of nondominant forearms were
scanned using peripheral quantitative computed tomography (pQCT) in a sample of
48 adults (mean age +/- SD, 49.4 +/- 2.4 y) to obtain estimated bone strength
indices and MCSA. Muscle force, measured by grip dynamometry and wrist flexion
RTD, was obtained using an isokinetic dynamometer. Hierarchical linear
regressions, adjusted for weight, explained 27% and 36% of the BSI variance at
the 4% site in males and premenopausal females, respectively (p < 0.05). At the
radius shaft, weight explained 26% (p < 0.05) and 83% (p < 0.01) of SSI(p)
variance. The unique variance of BSI explained by MCSA was 16% in males (p <
0.05) and 31% in females (p < 0.01). Grip force predicted variance in SSI(p) in
males (p < 0.01) and BSI in females (p < 0.05). RTD did not explain any variance
in BSI or SSI(p). Body weight was the only significant predictor (p < 0.05) of
SSI(p) in females. Although forearm muscle size and grip strength are associated
with estimates of radius bone strength at midlife, this relationship appears to
be sex dependent. The differences observed between muscle size and strength
properties and bone strength at distal and shaft sites of the radius suggest a
property-, sex-, and site-specific relationship between muscle and bone in the
forearm.
PMID- 21888528
TI - Skeletal muscle and beyond: the role of exercise as a mediator of systemic
mitochondrial biogenesis.
AB - It has been known for more than 4 decades that exercise causes increases in
skeletal muscle mitochondrial enzyme content and activity (i.e., mitochondrial
biogenesis). Increasing evidence now suggests that exercise can induce
mitochondrial biogenesis in a wide range of tissues not normally associated with
the metabolic demands of exercise. Perturbations in mitochondrial content and
(or) function have been linked to a wide variety of diseases, in multiple
tissues, and exercise may serve as a potent approach by which to prevent and (or)
treat these pathologies. In this context, the purpose of this review is to
highlight the effects of exercise, and the underlying mechanisms therein, on the
induction of mitochondrial biogenesis in skeletal muscle, adipose tissue, liver,
brain, and kidney.
PMID- 21888529
TI - Deacetylation of PGC-1alpha by SIRT1: importance for skeletal muscle function and
exercise-induced mitochondrial biogenesis.
AB - Activation of peroxisome proliferator-activated receptor gamma coactivator-1alpha
(PGC-1alpha)-mediated transcription is important for both the determination of
mitochondrial content and the induction of mitochondrial biogenesis in skeletal
muscle. SIRT1 (silent mating type information regulator 2 homolog 1)
deactetylation is proposed as a potential activator of PGC-1alpha transcriptional
activity. The current review examines the importance of SIRT1 deacetylation of
PGC-1alpha in skeletal muscle. Models of SIRT1 overexpression and pharmacological
activation are examined, but changes in SIRT1 expression and deacetylase activity
following acute and chronic contractile activity will be emphasized. In addition,
potential mechanisms of SIRT1 activation in skeletal muscle will be examined. The
importance of the PGC-1alpha acetyltransferase GCN5 will also be briefly
discussed. The current evidence supports the contribution of SIRT1 deacetylation
of PGC-1alpha to exercise-induced mitochondrial biogenesis. Further research
examining exercise-mediated activation of SIRT1 and the role of GCN5 in
regulating PGC-1alpha transcriptional activity in skeletal muscle is required.
PMID- 21888530
TI - Reduction of heart sound interference from lung sound signals using empirical
mode decomposition technique.
AB - During the recording time of lung sound (LS) signals from the chest wall of a
subject, there is always heart sound (HS) signal interfering with it. This
obscures the features of lung sound signals and creates confusion on pathological
states, if any, of the lungs. A novel method based on empirical mode
decomposition (EMD) technique is proposed in this paper for reducing the
undesired heart sound interference from the desired lung sound signals. In this,
the mixed signal is split into several components. Some of these components
contain larger proportions of interfering signals like heart sound, environmental
noise etc. and are filtered out. Experiments have been conducted on simulated and
real-time recorded mixed signals of heart sound and lung sound. The proposed
method is found to be superior in terms of time domain, frequency domain, and
time-frequency domain representations and also in listening test performed by
pulmonologist.
PMID- 21888531
TI - Biomarkers of fruit and vegetable intake in human intervention studies: a
systematic review.
AB - Observational evidence consistently shows that consumption of a diet rich in
fruit and vegetables may offer protection against diseases such as cardiovascular
disease and cancer. Assessment of dietary intake is complex and prone to many
sources of error. More objective biomarkers of fruit and vegetable intake are
therefore of interest. The aim of this review is to examine the usefulness of the
main biomarkers of fruit and vegetable intake to act as objective indicators of
compliance in dietary intervention studies. A comprehensive search of the
literature was conducted using six databases. Suitable papers were selected and
relevant data extracted. The papers were categorized into 3 sub-groups: whole
diet interventions; mixed fruit and vegetable interventions; and studies
involving individual varieties of fruits or vegetables. Ninety-six studies were
included in the review. Overall, the most commonly measured, and most
consistently responsive, biomarkers were the carotenoids and vitamin C. Based on
the results of this systematic review, it remains prudent to measure a panel of
biomarkers in fruit and vegetable intervention studies. The only possible
exception to this is "fruit only" intervention studies where assessment of
vitamin C alone may suffice.
PMID- 21888532
TI - A review on rice bran protein: its properties and extraction methods.
AB - Rice bran protein has been found to be of high quality and of importance for food
and pharmaceutical applications. It is a plant protein that can be derived from
rice bran, an abundant and cheap agricultural byproduct. The protein content in
rice bran is about 10-15% and it consists of 37% water-soluble, 31% salt-soluble,
2% alcohol-soluble, and 27% alkali-soluble storage proteins. Its unique property
as being hypoallergenic and having anti-cancer activity makes it a superior
cereal protein that may find a wide range of applications. There were already
reports on the extraction of rice bran protein several decades ago. However, as
of now, commercial rice bran protein is still unavailable in the market. This
review is aimed at providing valuable discussions on rice bran protein, that is,
storage protein, its various properties, and extraction methods for the
development of an effective processing scheme. Also, an update on the current
processing methods is also included.
PMID- 21888533
TI - Does protein supplementation prevent muscle disuse atrophy and loss of strength?
AB - Recently there has been much interest in the use of dietary amino acids
supplements to decrease the losses in muscle mass and strength observed after
space flight or during aging using bed rest analogs. This interest persists even
if the results have been mixed. Of the six published amino acid supplementation
studies, three showed benefit, three did not. A recent study re-evaluating
protein requirements in humans suggests that the official RDA is 41%
underestimated. Interestingly, the three studies that showed benefits fed their
test subjects a baseline protein level around the old RDA for protein. The three
that did not show benefit gave the subjects a baseline protein intake higher than
the new RDA. We suggest that the positive effects observed on protein metabolism
might just reflect the benefits of adequate protein intake achieved during bed
rest rather than a protective effect against bed-rest induced disuse. In
conclusion, the efficiency of amino acid countermeasures for preventing the loss
in protein mass during space flight or bed rest needs to be seriously questioned.
These results extend to clinical situations such as serious illness and progress
of aging in which physical inactivity is a significant component of the loss in
muscle function.
PMID- 21888534
TI - Reaction kinetics in food extrusion: methods and results.
AB - Extrusion cooking is a highly efficient food processing technology. During the
extrusion process, there are many desirable and undesirable reactions which will
determine final product quality. While being heated and sheared simultaneously,
food raw materials experience a non-isothermal process and their residence time
in the extruder is distributed. All these factors contribute to the difficulties
in determining the kinetic parameters for those reactions. Therefore, this paper
attempts to review the reaction kinetics in food extrusion. First of all, the
kinetic models for the reactions are outlined. After elucidating how to determine
reaction time in an extruder, the methodological approaches for determining the
reaction order, rate constant, and activation energy of a reaction under
isothermal or non-isothermal conditions with or without residence time
distribution (RTD) are presented. Then, different models relating the rate
constant to its various impact factors, with especially focusing on shear stress,
are reviewed. Subsequently, how shear stress is estimated in an extruder, is
illustrated. In the last part of this paper, the reported data of rate constant,
reaction order, and activation energy for the reactions occurring during food
extrusion are summarized, with detailed impacts of temperature, moisture content,
shear stress, and determination method on these kinetic parameters. Finally,
future research needs are suggested.
PMID- 21888535
TI - Update on the relationship of fish intake with prostate, breast, and colorectal
cancers.
AB - A systematic review of prospective cohort and case-control studies investigating
relationships between the intake of fish and incidence of prostate, breast, or
colorectal cancers was conducted. A total of 106 studies fulfilled the
requirements stated in the "Search strategy and selection criteria." Among 273
estimates of association reported by these studies, 53 indicated decreased risk
while 12 indicated increased risk associated with fish intake. The hypothesis
linking fish consumption and low cancer incidence appears to be supported by
little epidemiological data. However, there are several factors that may mask
potential protective associations with fish intake. The type and the amount of
fish eaten, the cooking method, the stage of the cancer and, amongst women,
menopausal status may all be important factors that relate to whether fish is
protective or not. Future epidemiologic studies with a clearer assessment of
these factors are needed to know more about the effects of fish consumption on
cancer risk. Therefore, until there are better measures of dietary exposure or
biomarkers to correlate self-report, no conclusion can be drawn regarding the
recommendation for increasing fish consumption in general to reduce the risk of
developing the most common cancers in Western societies.
PMID- 21888536
TI - Antimicrobial edible films and coatings for fresh and minimally processed fruits
and vegetables: a review.
AB - The use of edible films and coatings is an environmentally friendly technology
that offers substantial advantages for shelf-life increase of many food products
including fruits and vegetables. The development of new natural edible films and
coatings with the addition of antimicrobial compounds to preserve fresh and
minimally processed fruits and vegetables is a technological challenge for the
industry and a very active research field worldwide. Antimicrobial agents have
been successfully added to edible composite films and coatings based on
polysaccharides or proteins such as starch, cellulose derivatives, chitosan,
alginate, fruit puree, whey protein isolated, soy protein, egg albumen, wheat
gluten, or sodium caseinate. This paper reviews the development of edible films
and coatings with antimicrobial activity, typically through the incorporation of
antimicrobial food additives as ingredients, the effect of these edible films on
the control of target microorganisms, the influence of antimicrobial agents on
mechanical and barrier properties of stand-alone edible films, and the effect of
the application of antimicrobial edible coatings on the quality of fresh and
fresh-cut fruits and vegetables.
PMID- 21888537
TI - Midfacial soft tissue changes after leveling Le Fort I osteotomy with
differential reduction. Cone-beam computed tomography volume superimposition.
AB - OBJECTIVE: To compare the short- and long-side soft tissue changes in the
midfacial areas of patients who have undergone superior repositioning Le Fort I
osteotomies for the correction of occlusal cant. The null hypothesis was that
there were no significant differences in the midfacial soft tissue changes
between the greater- and lesser-reduction sides. MATERIALS AND METHODS: The
subjects included 25 patients who had undergone Le Fort I osteotomy with superior
repositioning and mandibular setback sagittal split ramus osteotomy. Using the
cone-beam computed tomography volume superimposition method, the soft tissue
changes were measured and determined both preoperatively and postoperatively. A
10 * 27 grid at 4.5-mm (vertical) and 5-mm (horizontal) intervals was used for
the hard to soft tissue response. RESULTS: The mean difference in the reduction
from leveling Le Fort I osteotomy was 3.2 +/- 1.2 mm between the short and long
sides (P < .05). The mandibular setback movement averaged 5.4 +/- 3.3 mm on the
long side and 5.0 +/- 3.6 mm on the short side (P > .05). The soft tissue areas
below the Frankfort horizontal (FH) plane to 13.5 mm showed different changes
after the differential leveling Le Fort I osteotomies. CONCLUSIONS: The
distinctly changed areas, which showed differences between the greater- and
lesser-reduction sides beyond the 2.5-mm average for the soft tissue response,
were below the FH plane to 13.5 mm and lateral to the midsagittal reference plane
to 30 mm. In light of this, oral surgeons and orthodontists should be concerned
about the volumetric midfacial difference after leveling the maxillary occlusal
plane at the preoperative stage and thus should take appropriate measures to
improve it.
PMID- 21888538
TI - Dedication: professor Vladimir A. Efimov.
PMID- 21888539
TI - Microcin C: biosynthesis, mode of action, and potential as a lead in antibiotics
development.
AB - The natural compound Microcin C (McC) is a Trojan horse inhibitor of aspartyl
tRNA synthetases endowed with strong antibacterial properties, in which a
heptapeptide moiety is responsible for active transport of the inhibitory
metabolite part into the bacterial cell. The intracellularly formed aspartyl AMP
analogue carries a chemically more stable phosphoramidate linkage, in comparison
to the labile aspartyl-adenylate, and in addition is esterified with a 3
aminopropyl moiety. Therefore, this compound can target aspartyl-tRNA synthetase.
The biochemical production and secretion of McC, and the possibilities to develop
new classes of antibiotics using the McC Trojan horse concept in combination with
sulfamoylated adenosine analogues will be discussed briefly.
PMID- 21888540
TI - Versatile solid supports for oligonucleotide synthesis that incorporate urea
bridge.
AB - The universal solid support, USIII, representing a new and improved version of
commercial USII, as well as 2 '-deoxynucleoside and 2 '-deoxy-2 '
fluoronucleoside bound supports, incorporating a labile phenoxyacetyl fragment,
was synthesized by an aminomethyl polystyrene carbamoylation with corresponding
azides in the presence of aqueous triethylammonium bicarbonate. All three solid
phases incorporate a stable urea tether, thus bridging the polymer and functional
linker. These new matrices proved to be potent solid phases for the synthesis of
DNA, RNA, or modified oligonucleotides as well as randomized mixed 2 '-ribo/2 '
deoxy-2 '-fluoro-RNA libraries and/or DNA libraries, randomized with
trinucleotides (codons).
PMID- 21888541
TI - Synthesis of biotin-containing phosphoramidite linker with polyether spacer arm.
AB - A phosphoramidite linker unit, based on glycerol backbone and containing a biotin
residue attached through a tetraethylene glycol spacer arm, was synthesized. DMTr
Glycidol and tetraethylene glycol were used as starting materials. After
conversion of one of hydroxy groups in tetraethylene glycol into an amino group,
the epoxy cycle in DMTr-glycidol was opened by this amino alcohol, resulting in
the corresponding ether and some quantity of secondary amine. After attaching of
biotin residue to the ether followed by phosphitylation, the desirable linker was
obtained. The structure of the linker was confirmed by (1)H-(1)H COSY, (1)H-(13)C
HSQC, (1)H-(13)C HMBC, (1)H-(15)N HSQC, and (1)H-(15)N HMBC spectra. The resulted
phosphoramidite linker unit is suitable for use in common DNA synthesizers. This
approach can be used for preparation of various modifiers containing reporter
groups attached to the primary amino function using conventional procedures.
PMID- 21888542
TI - Facile synthesis of 8-azido-6-benzylaminopurine.
AB - Bromination of 6-benzylaminopurine (1) with Br(2) in AcOH in the presence of
AcONa afforded 6-benzylamino-8-bromopurine (2) in 59% yield. The position of
bromination was confirmed by direct transformation of bromide 2 by reaction with
NaN(3) in dimethyl sulfoxide to 8-azido-6-benzylaminopurine (3) in a yield of 70%
and comparison of its properties with the known compound 2-azido-6
benzylaminopurine (11). Compounds 3 and 11 were checked for their biological
activity in specific biotests based on the primary cytokinin effects in living
plants. Both synthesized compounds displayed effects similar to the typical
cytokinin 6-benzylaminopurine (1).
PMID- 21888543
TI - Synthesis of methylenebis(phosphonate) analogues of 2-, 4-, and 6-pyridones of
nicotinamide adenine dinucleotide.
AB - The synthesis of metabolically stable methylenebis(phosphonate) analogues of 2-,
4-, and 6-pyridones of nicotinamide adenine dinucleotide (NAD) is reported. In
contrast to natural pyrophosphates, these NAD analogues are able to penetrate the
cell membrane and can be used as probes in cellular assays.
PMID- 21888544
TI - Synthesis and properties of ApA analogues with shortened phosphonate
internucleotide linkage.
AB - A complete series of the 2 '-5 ' and 3 '-5 ' regioisomeric types of r(ApA) and 2
'-d(ApA) analogues with the alpha-hydroxy-phosphonate C3 '-O-P-CH(OH)-C4 "
internucleotide linkage, isopolar but non-isosteric with the phosphodiester one,
were synthesized and their hybridization properties with polyU studied. Due to
the chirality on the 5 '-carbon atom of the modified internucleotide linkage
bearing phosphorus and hydroxy moieties, each regioisomeric type of ApA dimer is
split into epimeric pairs. To examine the role of the 5 '-hydroxyl of the alpha
hydroxy-phosphonate moiety during hybridization, the appropriate r(ApA) analogues
with 3 '(2 ')-O-P-CH(2)-C4 " linkage lacking the 5 '-hydroxyl were synthesized.
Nuclear magnetic resonance (NMR) spectroscopy study on the conformation of the
modified sugar-phosphate backbone, along with the hybridization measurements,
revealed remarkable differences in the stability of complexes with polyU,
depending on the 5 '-carbon atom configuration. Potential usefulness of the alpha
hydroxy-phosphonate linkage in modified oligoribonucleotides is discussed.
PMID- 21888545
TI - Nucleotides part LXXX: Synthesis of 3'-O fluorescence labeled thymidine
derivatives and their 5'-O-triphosphates.
AB - A new labeling technique attaching a fluorescent pteridine derivative (3, 5) via
a linker onto the 3'-OH group of 5'-O-dimethoxytritylthymidine (7) was developed
to lead to the conjugates 8 and 11. After detritylation to give 9 and 12, the
final conversion into the corresponding 5'-triphosphates (13, 14), which were
isolated as sodium salts, was performed by known methods.
PMID- 21888547
TI - Synthesis of oligoribonucleotides containing 2'-O-methoxymethyl group by the
phosphotriester method.
AB - An effective procedure for the synthesis of ribonucleotide monomers containing a
2 '-O-methoxymethyl-modifying group was developed. These monomers were used for
the synthesis of RNA fragments by the solid-phase phosphotriester method under O
nucleophilic intramolecular catalysis. The properties of 2 '-O-methoxymethyl
containing oligoribonucleotides were examined.
PMID- 21888546
TI - 31P NMR and computational studies on stereochemistry of conversion of
phosphoramidate diesters into the corresponding phosphotriesters.
AB - 31P NMR spectroscopy was used to investigate a stereochemical course of a nitrite
promoted conversion of phosphoramidate diesters into the corresponding
phosphotriesters. It was found that this reaction occurred with almost complete
epimerization at the phosphorus center and at the C1 atom in the amine moiety. On
the basis of the 31P NMR data, a plausible mechanism for the reaction was
proposed. The density functional theory calculation of the key step of the
reaction, i.e., breaking of the P-N bond and formation of the P-O bond, suggested
a one-step S(N)2(P) process with retention of configuration at the phosphorus
center.
PMID- 21888548
TI - DNA or RNA oligonucleotide 2'-hydrazides for chemoselective click-type ligation
with carbonyl compounds.
AB - An efficient method for the synthesis of DNA or RNA oligonucleotide 2'-hydrazides
is described. Fully deprotected oligonucleotides containing a hydrazide group at
the 2'-position of a uridine residue were obtained by a novel two-step procedure:
periodate cleavage of an oligonucleotide with 1,2-diol group followed by
conversion of the aldehyde to hydrazide with an extended linker arm using a
homobifunctional reagent succinic dihydrazide and NaBH(3)CN. The resulting
oligonucleotide 2'-hydrazides were efficiently conjugated by a click-type
reaction at acidic pH to aliphatic or aromatic aldehydes with or without
NaBH(3)CN reduction to afford novel 2'-conjugates.
PMID- 21888549
TI - Postsynthetic modification of oligonucleotides with imidazophenazine dye and its
effect on duplex stability.
AB - Carboxyalkyl derivative of the intercalating agent imidazo[4,5-b]phenazine was
used for the postsynthetic oligonucleotide modification. Model
pentadecathymidylate-imidazophenazine conjugate was prepared from 5'-aminoalkyl
modified (dT)(15) by using phosphonium coupling reagent BOP in the presence of 1
hydroxybenzotriazole. Spectral-fluorescent properties of the conjugate were
studied. The attachment of the dye was found to increase the thermal stability of
(dT)(15) duplex with poly(dA) by more than 4 degrees C, probably by the
intercalation mechanism.
PMID- 21888550
TI - Polymerase-dependent DNA synthesis from phosphoramidate-activated nucleotides.
AB - Nucleoside triphosphate mimetics, which are substrates for polymerases, can be
used in the enzymatic synthesis of nucleic acids. Alternatively, they might also
become reversible or irreversible enzyme inhibitors. In order to analyze the
effects of 5'-phosphoramidate modification of deoxynucleotide in DNA synthesis, 3
phosphono-L-Ala-dNMP (N = A, T, or G) were evaluated as substrates of HIV-1 RT,
Vent (exo(-)), and Therminator polymerase, respectively. The DNA-dependent DNA
polymerase activity is significantly higher for Vent exo(-) polymerase than for
HIV-1 RT, which is reflected by the capacity of Vent exo(-) polymerase to
efficiently synthesize DNA without stalling effects. In addition, Vent (exo(-))
polymerase proved to be more accurate than Therminator polymerase, based on
Watson-Crick base-pairing. The optimal yield (88%-97%) of full-length elongation
can be obtained in 60 minutes by Vent (exo(-)) polymerase at 0.025 U/MUL, with
the phosphoramidate analogues as substrates. These data led us to conclude that
the optimal pyrophosphate mimetic for the enzyme-catalyzed synthesis of DNA is
polymerase dependent.
PMID- 21888551
TI - The Zn(2+) complex of 1,4,7,10-tetraazacyclododecane as an artificial nucleobase.
AB - {2-Deoxy-3-O-[2-cyanoethoxy(diisopropylamino)phosphino]-5-O-(4,4'
dimethoxytrityl)-alpha-D- erythro-pentofuranosyl}-N-{2-[4,7,10-tris(2,2,2
trifluoroacetyl)-1,4,7,10-tetraazacyclododecan-1- yl]ethyl}acetamide (1) was
prepared and incorporated into a 2'-O-methyl oligoribonucleotide. The
hybridization of this oligonucleotide with complementary 2'-O-methyl
oligoribonucleotides incorporating one to five uracil bases opposite to the
azacrown structure was studied in the absence and presence of Zn(2+).
Introduction of Zn(2+) moderately stabilized the duplex with U-bulged targets.
PMID- 21888552
TI - Mechanism of CpG DNA methyltransferases M.SssI and Dnmt3a studied by DNA
containing 2-aminopurine.
AB - Murine DNA methyltransferases Dnmt3a-CD and M.SssI from Spiroplasma methylate
cytosines at CpG sites. The role of 6-oxo groups of guanines in DNA methylation
by these enzymes has been studied using DNA substrates, which contained 2
aminopurine at different positions. Removal of the 6-oxo group of the guanine
located adjacent to the target cytosine in the CpG site dramatically reduces the
stability of the methyltransferase-DNA complexes and leads to a significant
decrease in the methylation. Apparently, O6 of this guanine is involved in the
recognition of CpG sites by the enzymes. Cooperative binding of Dnmt3a-CD to 2
aminopurine-containing DNA and the formation of nonproductive enzyme-substrate
complexes were observed.
PMID- 21888553
TI - Crosslinking of (cytosine-5)-DNA methyltransferase SsoII and its complexes with
specific DNA duplexes provides an insight into their structures.
AB - (Cytosine-5)-DNA methyltransferase SsoII (M.SsoII) functions as a
methyltransferase and also as a transcription factor. Chemical and photochemical
crosslinking was used for exploring the structure of M.SsoII-DNA complexes and
M.SsoII in the absence of DNA. Photocrosslinking with 4-(N-maleimido)benzophenone
demonstrated that in the M.SsoII complex with DNA containing the regulatory site,
the M.SsoII region responsible for methylation was bound to DNA flanking the
regulatory site, which contained no methylation sequence. This required high
flexibility of the linker connecting the M.SsoII N-terminal domain and the
M.SsoII region responsible for methylation. The flexibility was demonstrated by
crosslinking with bis-maleimidoethane and 1,11-bis-maleimidotetraethyleneglycol.
PMID- 21888554
TI - Modulation of HIV-1 integrase activity by single-stranded oligonucleotides and
their conjugates with eosin.
AB - Integration of the DNA copy of the genomic RNA into an infected cell genome is
one of the key steps of the replication cycle of all retroviruses. It is
catalyzed by the viral enzyme, integrase. We have shown that conjugates of short
single-stranded oligonucleotides with eosin efficiently inhibit the catalytic
activity of the HIV-1 integrase. In this article, we have found that the
dependence of the integrase catalytic activity on the concentration of
oligonucleotides has a bell-shaped pattern. The modulation of HIV-1 integrase
activity correlated with the oligonucleotide length and was not associated with
specific sequences. Moreover, a similar mode of the oligonucleotide action was
found for integrase from the prototype foamy virus. This dual effect of the
oligonucleotide and their conjugates with eosin might be explained by their
binding with retroviral integrase in two different sites; the
oligodeoxynucleotide binding in the first site results in integrase activation,
whereas interactions with another one lead to inhibition of the enzyme activity.
Eosin coupling to oligonucleotides did not change the mode of their action but
enhanced their affinity to both binding sites. The affinity increase was found to
be much more important for the site responsible for the integrase inhibition,
thus explaining the high inhibitory potency of oligonucleotide-eosin conjugates.
PMID- 21888555
TI - Interaction of Escherichia coli RNA polymerase with artificial promoters,
containing nonnucleotide spacers.
AB - To study the functional role of the spacer region between two consensus -10 and
35 elements of promoters, recognized by E. coli RNA polymerase, the model
promoter-like DNA duplexes containing nonnucleotide inserts (mimicking 17-mer
spacer) either in one or both strands, were constructed. The modified duplexes
can form the heparin-resistant binary complexes with RNA polymerase. The DNA
duplex with nonnucleotide insert in the template strand can specifically direct
the synthesis of mRNA in the in vitro run-off transcription assays.
PMID- 21888556
TI - Entinostat for treatment of solid tumors and hematologic malignancies.
AB - INTRODUCTION: A key feature of malignant cells is inappropriate gene suppression
resulting in uncontrolled proliferation, continued cell cycling and a lack of
differentiation. Histone deacetylase inhibitors (HDACi) are an emerging class of
antineoplastic agents that counteract this effect and thus permit re-expression
of silenced genes. Entinostat is an emerging HDACi that has shown promise in
multiple preclinical studies. Additionally, Phase I and II clinical trials have
begun to demonstrate its potential as a well-tolerated agent with anti-tumor
activity. AREAS COVERED: The pharmacokinetics, pharmacodynamics, mechanisms of
action, safety and tolerability, and clinical trials of entinostat are reviewed.
Sources for this review included all relevant, publicly available, entinostat
related peer-reviewed publications and meeting abstracts up to March 2011. EXPERT
OPINION: Entinostat is a well-tolerated HDACi that demonstrates promising
therapeutic potential in both solid and hematologic malignancies. Its efficacy
does not appear directly dose-related, and as such, more relevant biomarkers are
needed to adequately assess its activity. Future clinical trials will likely
focus on its use in combination with other agents that are able to exploit the
epigenetic changes rendered by deacetylase inhibition.
PMID- 21888557
TI - A comparison of Malaysian and Australian speech-language pathologists' practices
with children with developmental disabilities who are pre-symbolic.
AB - The aim of this study was to explore the assessment, intervention, and family
centred practices of Malaysian and Australian speech-language pathologists (SLPs)
when working with children with developmental disabilities who are pre-symbolic.
A questionnaire was developed for the study, which was completed by 65 SLPs from
Malaysia and 157 SLPs from Australia. Data reduction techniques were used prior
to comparison of responses across questionnaire items. Results indicated that
SLPs relied mostly on informal assessments. Malaysian and Australian SLPs
differed significantly in terms of obtaining information from outside the clinic
to inform assessment. When providing intervention, SLPs focused mostly on
improving children's pre-verbal skills. A third of Australian SLPs listed the
introduction of some form of symbolic communication as an early intervention
goal, compared to only a small percentage of Malaysian SLPs. Regarding family
involvement, SLPs most often involved mothers, with fathers and siblings being
involved to a lesser extent. Overall, it appeared that practices of Malaysian
SLPs had been influenced by developments in research, although there were some
areas of service delivery that continued to rely on traditional models. Factors
leading to similarities and differences in practice of SLPs from both countries
as well as clinical and research implications of the study are discussed.
PMID- 21888558
TI - The effect of pause time upon the communicative interactions of young people who
use augmentative and alternative communication.
AB - This study investigated the effect of variation in partner-initiated pause time
on the expressive communication of young people who use Augmentative and
Alternative Communication (AAC). Eight participants aged 8;11-20;08 years (mean
16;02 years) participated in the study. Three pause time conditions (2, 10, and
45 seconds) were trialled during a scripted shared storybook reading task. A
total of 27 turn opportunities were provided for participants during the task.
Participant interactions were analysed for the percentage of responses made to a
turn opportunity, mean length of utterance in words (MLU), percentage of
assertive conversational acts made, and the modes of communication used. Findings
indicated that participants were more likely to respond to a turn opportunity
when their communication partner provided a longer pause time. Additionally, a
longer pause time resulted in longer MLUs. Participants did not use more
assertive conversational acts and continued to use a variety of communication
modes when provided with a longer pause time. Results indicate that increasing
pause time is an effective strategy to support the development of expressive
communication for young people who use AAC. This suggests the need for
professionals providing AAC services to encourage communication partners to
provide extended pauses during interactions.
PMID- 21888559
TI - Complex contextual influences on the communicative interactions of students with
multiple and severe disabilities.
AB - The aim of this study was to explore teachers' perceptions and experiences of
supports and obstacles to engaging students with multiple and severe disabilities
(MSD) in communicative interactions at school. Eleven teachers of students with
MSD participated in two in-depth interviews. Interview transcripts were analysed
for narrative structure and content themes. Inter-coder reliability for coding of
content themes was 87.5%. Participants identified a broad range of factors,
including: characteristics of individual students, attitudes, perceptions and
beliefs of teachers and other staff, class structure, staffing, opportunities for
collegiality, resources, funding, infrastructure, collaboration with speech
language pathologists, appropriate communication education for teachers, the role
of government departments, and broader societal factors. The findings suggest
that there are complex contextual influences on the communicative interactions of
students with MSD. While inadequate systemic supports appear to contribute to low
frequencies of communication, systemic factors can be structured so that students
participate in activities and have opportunities for communication. Further
research is required with teachers of students with MSD to substantiate these
findings.
PMID- 21888560
TI - Gender specific associations of serum levels of brain-derived neurotrophic factor
in anxiety.
AB - OBJECTIVES: Whereas animal models indicate that brain-derived neurotrophic factor
(BDNF) plays a role in anxiety-related behaviour, little is known about BDNF in
patients with an anxiety disorder. We tested the hypothesis that serum BDNF
levels are low in patients with an anxiety disorder as compared to healthy
controls. We further examined the associations of gender and some of the clinical
characteristics of anxiety with BDNF levels. METHODS: Serum BDNF levels were
determined in 393 unmedicated, non-depressed patients with social anxiety
disorder, panic disorder, agoraphobia, and generalised anxiety disorder (66.7%
females) and in 382 healthy controls (62.0% females). RESULTS: Overall, there
were no differences in BDNF levels among patients and controls, regardless of
type of anxiety disorder. Analyses stratified by gender revealed that female
patients had lower levels of BDNF relative to female controls (P < 0.05, d =
0.19), which was stronger in female patients with > 1 anxiety disorder (P < 0.01,
d = 0.32). BDNF levels were similar among male patients and controls and
unrelated to the clinical characteristics of anxiety. CONCLUSION: Our results
mirror preclinical findings indicating that gender plays a role in the
association between BDNF and anxiety and suggest that BDNF might play a role in
the pathophysiology of anxiety in women.
PMID- 21888561
TI - A novel ascorbic acid-resistant nitroxide in fat emulsion is an efficient brain
imaging probe for in vivo EPR imaging of mouse.
AB - The loss of paramagnetism of nitroxide radicals due to reductant reactions in
biological systems, places a fundamental time constraint on their application as
an imaging probe in in vivo EPR imaging studies. However, in vitro studies of the
newly synthesized tetraethyl-substituted piperidine nitroxide radical
demonstrated high resistivity to paramagnetic reduction when exposed to ascorbic
acid, a common reduction agent in biological systems. In this work we
investigated the use of these nitroxides as an imaging probe in EPR imaging of
small rodents. 2,2,6,6-Tetraethyl-piperidine nitroxide (TEEPONE) is not highly
soluble in aqueous media, thus a lipid-based emulsion system of lecithin was used
to solubilize TEEPONE. The obtained solution was homogenous and with low
viscosity, allowing smooth intravenous injection into mice tail vein. Acquired
three dimensional (3D) EPR images of mouse head clearly showed TEEPONE
distributed in all tissues including brain tissues, with an average measurable
signal half-life of more than 80 min, thus demonstrating high resistivity to
reduction due to ascorbic acid in in vivo animal studies, and the potential for
use of this compound in in vivo studies of animal model systems.
PMID- 21888562
TI - Outbreak caused by Proteus mirabilis isolates producing weakly expressed TEM
derived extended-spectrum beta-lactamase in spinal cord injury patients with
recurrent bacteriuria.
AB - We performed a retrospective extended-spectrum beta-lactamase (ESBL) molecular
characterization of Proteus mirabilis isolates recovered from urine of spinal
cord injury patients. A incorrectly detected TEM-24-producing clone and a new
weakly expressed TEM-derived ESBL were discovered. In such patients, ESBL
detection in daily practice should be improved by systematic use of a synergy
test in strains of P. mirabilis resistant to penicillins.
PMID- 21888563
TI - Fever of unknown origin: a retrospective study of 52 cases with evaluation of the
diagnostic utility of FDG-PET/CT.
AB - OBJECTIVES: Fever of unknown origin (FUO) is dynamic in its origin and will be an
ongoing challenge to the clinician because of shifting disease epidemiology. Here
we present a series of patients with classical FUO admitted to an infectious
diseases department during a 5-y period, with an emphasis on the diagnostic
utility of 18F-fluorodeoxyglucose positron emission tomography (PET)/computed
tomography (CT) in present-day cases of FUO. METHODS: Patient records were
reviewed retrospectively. RESULTS: A final diagnosis was achieved for 31 of the
52 cases (60%). The final diagnoses of these 31 cases and their distribution in
the respective diagnostic categories were: infections 32% (10/31), non-infectious
inflammatory disease 55% (17/31), and malignancy 13% (4/31). In our study PET/CT
successfully identified an infectious, inflammatory, or neoplastic cause of fever
in 10 of the 22 patients (45%) who underwent this scan. CONCLUSIONS: During the
past decade the proportion of non-infectious inflammatory diseases in FUO series
has increased. Based on our findings we recommend: (1) a PET/CT scan be performed
early in the diagnostic work-up of patients with FUO, and (2) restraint in
performing invasive procedures in patients with FUO in whom no cause of fever has
been determined during diagnostic work-up.
PMID- 21888564
TI - Staphylococcus aureus in a northern Italian region: phenotypic and molecular
characterization.
AB - BACKGROUND: Staphylococcus aureus is a leading cause of community-acquired
infections and healthcare-associated infections. Epidemiological data are useful
for understanding the dynamics of the diffusion of this pathogen, and to plan
control activities and monitor their efficacy. METHODS: S. aureus isolates were
collected in 13 public hospital laboratories of Emilia-Romagna (northern Italy
region) during February-March 2009; phenotypic and molecular characterizations of
these isolates were performed. RESULTS: The study sample included 267 isolates,
57 from blood, 81 from respiratory tract, and 129 from wounds; 106 (40%) were
methicillin-resistant S. aureus (MRSA). MRSA showed a limited number of
circulating clones with 2 predominant spa types--t008 and t041--accounting for
36% and 27% of MRSA isolates, respectively. The t041 type had a higher prevalence
of antimicrobial resistance compared to other spa types and accounted for most of
the retrieved hetero-vancomycin-intermediate S. aureus (h-VISA), while t008 was
more frequently detected in non-hospital isolates. A higher degree of genetic
diversity was observed in methicillin-susceptible S. aureus (MSSA), with no
predominant clones and low prevalence of antimicrobial resistance. The occurrence
of community-acquired MRSA infection appears to be rare in Emilia-Romagna.
CONCLUSIONS: In contrast to previous studies reporting Italian data, t008 was the
most frequent spa type among MRSA isolates in Emilia-Romagna. The prevalence of
antimicrobial resistance of different MRSA spa types could influence their
ability to cause infections with hospital onset. The presence of only 2 major
MRSA clones circulating in Emilia-Romagna increases the chances that a regional
strategy aimed at MRSA prevention will be effective.
PMID- 21888565
TI - Experiments on the mechanism of underwater hearing.
AB - CONCLUSION: The findings suggest that underwater sound perception is realized by
the middle ear rather than by bone conduction, at least in shallow water
conditions. OBJECTIVE: To prove whether underwater sound perception is effected
by bone conduction or by conduction via the middle ear. METHODS: Five divers,
breathing through snorkels, were tested in a swimming pool, to determine whether
a sound was louder when the acoustic source placed was in front of the head in
comparison with a lateral application facing the ear region. The second
experiment investigated whether sound perception is influenced by ear protection
plugs in underwater conditions. Also, the effect of a 5 mm thick neoprene hood
was determined, with and without an additional perforation in the ear region.
RESULTS: Sounds were louder when applied from a position laterally facing the
ear, louder without than with a protection plug, louder without than with a
neoprene hood on, and louder when the neoprene hood had a perforation in the
region of the ear than with an intact hood.
PMID- 21888566
TI - The first report of bilateral retropharyngeal lymph node metastasis from
papillary thyroid carcinoma and review of the literature.
AB - The sites of lymph node metastasis of papillary thyroid carcinomas are typically
the paratracheal and jugular lymph nodes. On the other hand, metastasis to the
retropharyngeal or parapharyngeal nodes from papillary thyroid carcinomas is very
rare. During the last two decades, limited to cases with a histologically
definite diagnosis by surgery, only 39 cases have been reported. All reported
cases were unilateral retropharyngeal or parapharyngeal node metastasis except
one metachronous bilateral case, and there were no reports of simultaneous
bilateral cases within our literature review. We report three cases of
retropharyngeal node metastasis from thyroid papillary carcinoma, including a
case of bilateral nodal metastasis. Retropharyngeal node metastasis was
successfully resected in all three patients by the transcervical approach. As
pointed out in past reports, this report also suggests that prior neck dissection
and/or metastasis to cervical lymph nodes might alter the direction of lymphatic
drainage to the retrograde fashion, resulting in the unusual metastasis to the
retropharyngeal lymph nodes, and there is a possibility of a bilateral pattern.
Also, it is necessary to consider the possibility of metastasis from a papillary
thyroid carcinoma in the differential diagnosis of lymph node swelling in the
parapharyngeal space.
PMID- 21888567
TI - Risk factors for mortality in patients with Burkholderia cepacia complex
bacteraemia.
AB - BACKGROUND: Over the last 2 decades, Burkholderia cepacia complex has emerged as
a serious human pathogen, especially in critically ill patients. B. cepacia
complex has been associated with increased morbidity and mortality in intensive
care unit patients. However, in our literature search, we could not find studies
on risk factors for mortality in patients with B. cepacia complex bacteraemia.
Therefore, we investigated risk factors for mortality in B. cepacia complex
bacteraemia. METHODS: Clinical characteristics and laboratory parameters of 27
patients with 1 or more blood cultures positive for B. cepacia complex from
January 2006 to October 2010 in Severance Hospital, Yonsei University College of
Medicine, Korea were retrospectively analyzed. The main outcome measure was
overall 28-day mortality. Appropriate initial empirical antimicrobial use was
defined as administration of agent(s) to which the organism was susceptible
within 24 h of obtaining blood for culture. RESULTS: The overall 28-day mortality
rate was 41% (11/27). In univariate analysis, underlying diabetes mellitus (p =
0.033), inappropriate initial empirical antimicrobial therapy (p = 0.033), and an
elevated Sequential Organ Failure Assessment (SOFA) score (p = 0.002) were
significantly associated with mortality. In multivariate analysis, inappropriate
initial empirical antimicrobial therapy and an elevated SOFA score were
independent risk factors for increased mortality (p = 0.032 and p = 0.028,
respectively). CONCLUSIONS: An elevated SOFA score and inappropriate initial
empirical antimicrobial therapy were significantly associated with adverse
outcome in patients with B. cepacia complex bacteraemia.
PMID- 21888568
TI - Methyl-aminolevulinate photodynamic therapy for the treatment of erythroplasia of
Queyrat in 23 patients.
AB - Erythroplasia of Queyrat (EQ) is an intraepithelial squamous cell carcinoma
localized on the mucosal or transitional surfaces. Standard therapy usually
consists of the surgical removal of the cancer. The use of non-invasive
alternative procedures, such as photodynamic therapy (PDT), has been considered
for the treatment of EQ, although only a few reports regarding isolated cases or
small series exist. We describe our cumulative experience with PDT, using topical
methyl-aminolevulinate (MAL), for the management of 23 male patients with EQ of
the glans penis and/or prepuce. Patients underwent two consecutive weekly MAL-PDT
sessions, with the second session postponed in seven patients because of an
excessive local reaction. Nineteen patients obtained a complete clinical
remission without any sign of recurrence over an average post-treatment period of
18 months (range, 8-30 months). Cosmetic outcome was excellent in most patients,
while dyschromic changes occurred in four cases. All patients experienced
transient local adverse reactions and 22 of them reported severe or very severe
symptoms during the session.
PMID- 21888569
TI - The role of tumor necrosis factor-alpha and other cytokines in depression: what
dermatologists should know.
AB - Recent studies have suggested that inflammatory responses may play an important
role in the pathophysiology of depression. In fact, depressed individuals have
been found to have higher levels of pro-inflammatory cytokines, especially tumor
necrosis factor-alpha (TNF-alpha) and interleukin-6. This appears to be
independent of any pre-existing chronic inflammatory disorders. In this article,
various studies correlating increased levels of cytokines to depression are
reviewed. As much as 60% of individuals with psoriasis also suffer from clinical
depression. TNF-alpha antagonists, frequently used in the treatment of psoriasis,
may be helpful in directly reducing depressive symptoms for patients with
psoriasis and other chronic inflammatory conditions.
PMID- 21888570
TI - Abobotulinum toxin A and onabotulinum toxin A for masseteric hypertrophy: a split
face study in 25 Korean patients.
AB - Masseter muscle hypertrophy has been treated for cosmetic purposes using several
modalities, including injection of type A or type B botulinum toxin into the
masseter muscle. In this report, we compared the efficacy and safety of
abobotulinum toxin A with onabotulinum toxin A treatment for masseteric
hypertrophy in 25 Korean patients with a conversion factor of 2.5:1 through a
controlled, split-face, and evaluator-blinded study. The mean grade of clinical
improvement based on clinical assessment was 2.8 +/- 0.9 for abobotulinum toxin A
and 2.7 +/- 0.8 for onabotulinum toxin A at 8 weeks after the injection. At 12
weeks after the injection, the mean grade of clinical improvement based on
clinical assessment was 2.9 +/- 0.9 for abobotulinum toxin A and 2.7 +/- 0.8 for
onabotulinum toxin A. More pronounced improvement was observed with abobotulinum
toxin A than onabotulinum toxin A in three (12%) patients at 8 weeks and five
(20%) patients at 12 weeks. We believe that our data can be used as an essential
reference for determining the dose of type A botulinum toxin in the treatment of
masseteric hypertrophy.
PMID- 21888571
TI - The P-element-induced silencing effect of KP transposons is dose dependent in
Drosophila melanogaster.
AB - Transposable elements are found in the genomes of all eukaryotes and play a
critical role in altering gene expression and genome organization. In Drosophila
melanogaster, transposable P elements are responsible for the phenomenon of
hybrid dysgenesis. KP elements, a deletion-derivative of the complete P element,
can suppress this mutagenic effect. KP elements can also silence the expression
of certain other P-element-mediated transgenes in a process called P-element
dependent silencing (PDS), which is thought to involve the recruitment of
heterochromatin proteins. To explore the mechanism of this silencing, we have
mobilized KP elements to create a series of strains that contain single, well
defined KP insertions that show PDS. To understand the quantitative role of KP
elements in PDS, these single inserts were combined in a series of crosses to
obtain genotypes with zero, one, or two KP elements, from which we could examine
the effect of KP gene dose. The extent of PDS in these genotypes was shown to be
dose dependent in a logarithmic rather than linear fashion. A logarithmic dose
dependency is consistent with the KP products interacting with heterochromatic
proteins in a concentration-dependent manner such that two molecules are needed
to induce gene silencing.
PMID- 21888572
TI - Using social marketing to understand the family dinner with working mothers.
AB - The family dinner is a valued tradition that affords opportunities for social
interaction and attachment, as well as sharing events of the day, role modeling,
connectedness, and problem solving. Guided by the social-marketing framework,
this study explored factors associated with the frequency of the family dinner
among working mothers with children ages 8-11 years. A qualitative design was
used, employing focus groups and Atlas-ti software for thematic analysis. Lack of
time, cost, and exhaustion/lack of energy emerged as barriers. Working mothers
indicated that a youth-based organization operating as a community partner could
increase the frequency of the family dinner by helping with homework completion
during after-school care, thereby providing mothers with the time necessary to
prepare dinner. This research identified both community partners and working
mothers as valued resources for prevention strategies. Interventions developed to
increase family dinner frequency should emphasize the perceived value while
decreasing the costs/barriers.
PMID- 21888573
TI - Analysis of factors influencing organic fruit and vegetable purchasing in
Istanbul, Turkey.
AB - This article examines the influences on the purchasing decisions of fruit and
vegetable consumers and presents findings from a survey conducted with 385
respondents living in urban areas in Istanbul, Turkey. It uses a binary logistic
model to estimate factor effects in organic fruit and vegetable purchasing in
Turkey. The results indicate that concern for human health and safety is a key
factor that influences consumer preferences for organic food. Findings will help
organic product suppliers understand the key factors influencing consumer
purchasing and consumption behaviors.
PMID- 21888574
TI - In search of human placentophagy: a cross-cultural survey of human placenta
consumption, disposal practices, and cultural beliefs.
AB - Maternal placentophagy, the consumption of the placenta or "afterbirth" by the
mother following parturition, is an ubiquitous behavior among eutherian mammals,
including non-human primates. Here we report on a cross-cultural survey of 179
human societies regarding the consumption, treatment, and disposal of human
placenta, in addition to accompanying cultural beliefs and perceptions about the
organ. The conspicuous absence of cultural traditions associated with maternal
placentophagy in the cross-cultural ethnographic record raises interesting
questions relative to its ubiquitous presence among nearly all other mammals, and
the reasons for its absence (or extreme rarity) among prehistoric/historic and
contemporary human cultures.
PMID- 21888575
TI - Household utilization of Manioc (Manihot esculenta Crantz) in Northern
Mozambique.
AB - Mozambique is ranked ninth of top manioc (Manihot esculenta Crantz) producing
countries in the world. Manioc roots are a staple to people living in the
northern part of the country. Despite this, information on production,
utilization, postharvest handling, and marketing is scarce. The purpose of this
baseline study was to document selected information on manioc, from the
production to marketing stage. To gather this information, 70 focus groups
consisting of 1,724 participants purposely sampled from 10 districts were engaged
in discussions using a questionnaire. The results show that manioc is the most
important crop in terms of contribution to household food security and income in
the region. Both men and women participate in the delivery of manioc production
chain. Typically, 5 to 15 varieties identified by local language can be found on
most farms in the study area. Manioc leaves and roots are the parts of the plant
used as human food. Sun dried manioc roots are principally marketed within the
locality of production by individual farmers. This baseline study suggests the
need for location-targeted interventions as the farmers reported varied
challenges along the manioc production chain.
PMID- 21888576
TI - Anemia in rural China's elementary schools: prevalence and correlates in Shaanxi
province's poor counties.
AB - Despite growing wealth in China, a significant share of children across rural
China still have no access to iron-rich foods, vitamins, and other
micronutrients. Such poor diets may result in high incidences of nutritional
problems, including anemia. The objective of the study was to increase
understanding of the extent of anemia, and identify structural correlates of
anemia in poor Shaanxi province's primary schools. The article shows that the
overall anemia rate is 21.5 percent when using a blood hemoglobin cutoff of 115
g/L (39 percent with a cutoff of 120 g/L). We find that those students that are
boarding at school and eat lunch away from home are more likely to be anemic.
Children with anemia are found to have lower height for age (HAZ) scores. If this
part of Shaanxi province is representative of all poor counties in China, these
findings mean millions of children in poor rural China may be anemic.
PMID- 21888578
TI - Difficulty in the food environment and the experience of food insecurity among
refugees resettled in the United States.
AB - The objective of this cross-sectional study was to assess the prevalence and
correlates of food insecurity in 281 refugees resettled in the United States.
Participants were recruited through a resettlement center and word of mouth. In
addition to measures of socioeconomic status, education, time in the U.S., and
food insecurity, we also measured individual difficulty in navigating the food
environment using a food difficulty scale. Only 23% of the sample did not endorse
any of the food insecurity items. Nearly half of the sample also noted difficulty
in navigating the food environment. Food insecurity scores above the median were
predicted by both income and non-income variables. In a multivariable logistic
model, income and having more than one year of education were associated with
lower food insecurity (p < .05), while "difficulty in the food environment" was
associated with high food insecurity (p < .01). Results suggest that income is an
important constraint but that non-income variables may also be important
determinants of food insecurity.
PMID- 21888577
TI - Qualitative perspectives on the use of traditional and nontraditional food venues
among middle- and low-income women in Eastern North Carolina.
AB - The purpose of this qualitative study was to examine motivations for use of food
venues among 23 urban and rural women from eastern North Carolina. Women were
eligible if they cared for children, were non-Hispanic black or white, and were
English speakers. Interviews elicited participants' decisions for food venue use.
Reasons for use of supermarkets were low cost, convenient location,
quality/availability of specific foods, and customer service. Main reasons for
use of supercenters were bulk foods at low prices and one-stop shopping. Rural
and urban nonworking women shopped more frequently at discount superstores
compared to urban working women.
PMID- 21888579
TI - Food aversions and cravings during early pregnancy: association with nausea and
vomiting.
AB - The prospective cohort study examined whether Ecuadorian women with early
pregnancy nausea and vomiting (NVP) are more likely to develop food aversions and
cravings, and if so, whether the specific foods identified as aversive or craved
are the same as those predicted by the popular maternal-embryo protection
hypothesis (MEPH). Consistent with MEPH predictions, women with NVP were more
likely to report increased odor sensitivity and aversions for some predicted
"toxic" foods and more likely to crave fruits. However, other hypothesis
predictions were not supported. The relationship of food aversions and cravings
with NVP appears more complicated than that explained by the MEPH.
PMID- 21888580
TI - Testing the importance of family solidarity, community structure, information
access, and social capital in predicting nutrition health knowledge and food
choices in the Philippines.
AB - This study investigates the influence of family solidarity, community structure,
information access, social capital, and socioeconomic status on the extent of
nutrition and health knowledge (NHK) among primary household meal planners. In
turn, we pose the question: does this knowledge influence dietary decision
making? Data are taken from a survey determining socioeconomic impacts of vitamin
A fortified peanut butter on Philippine households. Questions on the
relationships of nutrition to health were selected to construct a knowledge index
on which household respondents could be ranked. We then tested hypotheses
regarding what types of individual, family-level, and community structural
characteristics would predict performance on this index. The results indicate
that the strongest predictors of NHK come from sociological theory related to
family solidarity and community centrality, in addition to information
accessibility and household income. Our findings also indicate that NHK
influences dietary choices with regard to the purchase of a vitamin fortified
staple food product, which is essential when addressing nutritional deficiency
problems in developing countries.
PMID- 21888581
TI - Consumption patterns and preference of milk and milk products among rural and
urban consumers in semi-arid Kenya.
AB - Milk consumption in the semi-arid regions of Kenya is not well understood. A
study was carried out on consumption of milk and milk products in the semi-arid
region of eastern Kenya. A total of 135 rural and 126 urban households were
interviewed. Raw milk was the most popular and was consumed by 99% and 84% of
rural and urban households, respectively. Generally as degree of processing
increased, the frequency of consumption declined from more than once per day to 1
2 times a week and eventually to occasionally. Richer households consumed
significantly (p < .05) more milk and milk products than poor households. Raw
milk was preferred over pasteurized, ultra high temperature treated, and powdered
milk because it was cheaper and widely available. In order to improve consumption
of different milk products, there is need to improve availability particularly in
the rural areas.
PMID- 21888582
TI - Does frequent eating out cause undesirable food choices? Association of food away
from home with food consumption frequencies and obesity among Korean housewives.
AB - This study examined the relationship among socioeconomic factors, frequency of
food away from home (FAFH) and food-consumption patterns of 1,070 housewives, and
the association of those factors with obesity, using data from the third Korea
National Health and Nutrition Examination Survey. Although lower intakes of
fruits, meats, and fast foods were associated with seldom eating out, no
consistent pattern was observed regarding the relationship between the frequency
of FAFH and food consumption for all groups of housewives. Further analysis
indicated that obesity rates were lower among housewives aged 20-49 years with 7
12 years of education and moderate eating-out frequency, compared to older, less
educated women. It appeared that reducing the frequency of FAFH does not always
entail desirable food intakes among full-time housewives in Korea. Our findings
suggest that choosing healthy meals away from home is more important for
housewives than refraining from eating out.
PMID- 21888583
TI - Edible wild plants of pastorals at high-altitude grasslands of Gurez Valley,
Kashmir, India.
AB - Alpine grasslands of Kashmir are a storehouse of numerous wild edible plants,
besides serving as summer pastures for the flocks of various ethnic communities.
Throughout these grasslands, pastorals, nomads, and other indigenous communities
collect and use these plants in several different ways. This article reports the
richness, distribution, use, mode of use, and frequency of use of edible wild
plants by three ethnic communities viz. Bakerwals, Gujjars, and Puhloos (Kashmiri
herdsmen) from three alpine grasslands of a hitherto unknown Gurez Valley,
Kashmir. Twenty-six plants under 21 genera and 14 families are reported from the
surveyed grasslands which are used as wild edibles. Our results indicated that
for many species, the local names differed between the communities but yet the
folklore uses were common. Further, the frequency of use also varied between the
communities, with Bakerwals and Gujjars using the majority of the species while
the semi-sedentary Puhloos use the least. We hypothesize that this difference in
the use frequency between the communities is a function of differing working
nature and the rapid advancement of contemporary societal ideas into their
culture and hence necessitates the documentation of their traditional practices
and knowledge at the earliest.
PMID- 21888585
TI - Nutrition ecology--a concept for systemic nutrition research and integrative
problem solving.
AB - Many nutrition-related problems (e.g., obesity) are complex and thus
characterized by a multitude of components, interrelatedness, associated
feedbacks, and dynamics. Nutrition ecology is an innovative concept to deal with
complexity and multidimensionality in nutrition science and practice. Along the
food supply chain the dimensions health, environment, society, and economy are
taken into account simultaneously and coequally. By combining special
disciplinary knowledge with methods and principles of research on complexity and
knowledge integration, nutrition ecology offers a concept to develop approaches
to solving complex nutrition-related problems. Accordingly, the conceptual
background and methodological elements of nutrition ecology are presented and
discussed.
PMID- 21888586
TI - Trade and the nutrition transition: strengthening policy for health in the
Pacific.
AB - This article describes pathways through which trade policy change in two Pacific
Island countries has contributed to changes in the food supply, and thereby to
the nutrition transition. The effect of various trade policies from 1960 to 2005
on trends in food imports and availability is described, and case studies are
presented for four foods associated with the nutrition transition and chronic
disease in the Pacific. Trade policies (including liberalization, export
promotion, protection of the domestic meat industry and support for foreign
direct investment) have contributed to a reduced availability of traditional
staples, and increased availability of foods associated with the nutrition
transition, including refined cereals (particularly polished rice and white
flour), meat, fats and oils, and processed food products. This study suggests
that promoting healthier imports and increasing production of healthier
traditional foods, in both of which trade policy has an important effect, has the
potential to improve diets and health, in conjunction with other public health
intervention.
PMID- 21888587
TI - Nutritional status adiposity and body composition of Oraon and Sarak females in
Ranchi District, India--a comparison.
AB - The Oraon and the Sarak are two distinct ethnic groups with respect to their own
religion, culture, language, and food habits, and they live in similar
environmental conditions in Ranchi District in Jharkhand, India. Age differences
in anthropometric and body composition measurements and nutritional status of
adult (>=18 years) female Oraons (N = 216) and Sarak (N = 110) were recorded and
compared. The subjects were categorized into three age groups: < 39 years, 40-59
years, and <= 60 years. The women in both samples were observed to be suffering
from a very high degree of chronic energy deficiency (CED; body mass index [BMI]
< 18.5 kg/m(2) in Oraons = 62.50% and in Saraks = 46.36%). Very low proportions
of body fat mass (FM) and high percentage of fat-free mass (FFM) were recorded in
these two adult samples. Linear regression analyses revealed that age had a
significant impact on all variables and the level of undernutrition and thinness
increased with age. Oraon women had lower BMI, higher rates of undernutrition,
and lower percent body fat (PBF) and FM compared to the Sarak women.
PMID- 21888588
TI - Synbiotic effect of various prebiotics on in vitro activities of probiotic
lactobacilli.
AB - In the present study, five Lactobacillus strains were evaluated for their
viability in presence of different prebiotics viz. inulin, oligofructose,
lactulose, raftilose, and honey. The viability of lactobacilli was observed
before and after 5 weeks of refrigerated storage. The doubling time varied from
5.2 hrs to 9.6 hrs. The lowest doubling time was for Lactobacillus plantarum M5
followed by L. plantarum Ch1 with inulin. Viability of lactobacilli was greatest
with inulin. The growth and viability in presence of prebiotics were found to be
strain-specific. Hence, it could be concluded that the addition of prebiotics
have a significant effect on probiotics, and hence, a combination of suitable
Lactobacillus strain(s) with a specific prebiotic could be a viable probiotic
based functional food approach in administering the beneficial bacteria in-vivo.
PMID- 21888589
TI - Factors influencing the food choices and eating habits of restaurant chefs in
northern New Jersey: a pilot study.
AB - This study was conducted to understand the factors influencing the food habits of
restaurant chefs in northern New Jersey. Data was collected from participants (N
= 12) using dietary recalls, and semi-structured interviews based on the socio
ecological model. Dietary recall analysis revealed multiple nutritional intake
hazards including skipping meals, and substitution of foods rich in fats and
sugar for fruits and vegetables, and increased consumption of alcohol.
Qualitative data analysis revealed that their food habits were influenced by a
repertoire of individual, organizational, and interpersonal factors. The
relevance of these findings to nutrition intervention programs for this
population is discussed.
PMID- 21888592
TI - Preparation, consumption, and nutritional composition of west African cowpea
dishes.
AB - In Africa, nutrient intake deficiencies are widespread. We, therefore,
investigated the potential contribution of cowpea dishes to the ingestion of
several macro- and micronutrients. Processors and consumers were interviewed and
cowpea dishes analyzed. Energy, protein, iron, zinc, and calcium contents ranged
from 1647 to 2570 kJ, 10 to 25 g, 1 to 35 mg, 1.5 to 3.0 mg, 38 to 380 mg per 100
g d.w., respectively. The iron and calcium contents were highest in dishes
containing leaves. The consumption of these dishes should be promoted along with
research on how to further decrease the associated antinutritional factors of
traditional cowpea dishes.
PMID- 21888591
TI - Indigenous perception and characterization of Yanyanku and Ikpiru: two functional
additives for the fermentation of African locust bean.
AB - Indigenous perception, processing methods, and physicochemical and
microbiological characteristics of Yanyanku and Ikpiru, two additives used to
produce fermented African locust bean condiments, Sonru and Iru, were evaluated.
According to producers, these additives accelerate the fermentation and soften
the texture of the condiments. Yanyanku is produced by spontaneous fermentation
with either Hibiscus sabdariffa or Gossypium hirsutum or Adansonia digitata
seeds, whereas only Hibiscus sabdariffa seeds are used for Ikpiru. Both
additives, with pH values ranging between 6.2 and 10 and Bacillus spores varying
between 5.5 and 8.9 Log(10) (CFU/g), could be considered as softening additives
or enrichment inocula to produce condiments.
PMID- 21888593
TI - Comparison of lead and cadmium contents in cruciferous vegetables grown under
diversified ecological conditions: Cracow region of Poland.
AB - The aim of the present study was to compare lead and cadmium contents in
cruciferous vegetables grown under diversified ecological conditions for three
consecutive years, independently of the climatic and agrotechnical conditions.
The research was conducted in the Cracow region of Poland and tests vegetables
near the Steelworks, from ecological farms, and from local markets. The heavy
metal contents were determined using the validated Atomic Absorption Spectrometry
method, including electrothermal atomization, with an ET-AAS graphite cuvette
(Varian AA240Z, made by Varian). Cruciferous vegetables cultivated in the areas
surrounding the steelworks were characterized by alarmingly high lead content
versus ecological and commercially available vegetables, while the contents of
this metal in vegetables from the two latter locations did not differ. It cannot
be definitively stated that the origin of vegetables influenced their cadmium
content.
PMID- 21888595
TI - Seabuckthorn: an underutilized resource for the nutritional security and
livelihood improvement of rural communities in Uttarakhand Himalaya.
AB - Seabuckthorn (Hippophae salicifolia) is one of the potential underutilized plant
species having huge multipurpose benefits including economic and ecological.
Comparative study of fruit morphology, architecture, and juice yield productivity
revealed that fruit berries of Seabuckthorn in Mana valley was found superior and
can provide higher economic return than the berries of other plant species.
Moreover, awareness programmes related to nutritional worth and development and
demonstration of value added product resulted in economy generation for local
inhabitants. Several countries are commercially and ecologically harnessing the
potential of Seabuckthorn for livelihood enhancement and environmental
conservation. Correspondingly, in Indian Himalayan region, Seabuckthorn plant can
also offer benefits of nutrition, food, medicine, cosmetic, etc., to the rural
people for their socioeconomic development. Findings presented here have
important connotations in light of upcoming organic food and nutraceutical
industries in the country. The current study will also help in developing value
chain of Seabuckthorn in Uttarakhand.
PMID- 21888594
TI - Comparison of calcium and magnesium contents in cruciferous vegetables grown in
areas around steelworks, on organic farms, and those available in retail.
AB - The aim of the present study was to compare calcium and magnesium contents in
cruciferous vegetables grown under diversified ecological conditions for three
consecutive years, independently of the climatic and agrotechnical conditions.
The metal contents were determined using validated Atomic Absorption Spectrometry
with atomization in the flame (FAAS method; spectrometer: AA240FS Varian). The
dry mass of various vegetable species cultivated on organic farms contained, in
most cases, significantly higher or similar calcium and magnesium amounts in
comparison with those from farms located in the closest vicinity of steelworks
and those purchased at local markets. Cruciferous vegetables from the two latter
sources showed comparable contents of the essential minerals under study.
PMID- 21888596
TI - Assessment of nutrition knowledge and related aspects among first-year Kuwait
University students.
AB - Assessing nutrition knowledge of populations assists in drawing strategies for
education programs. Nutrition-related problems are common in Kuwait, thus data on
nutrition knowledge are needed. This study involved administration of a
questionnaire to 1,037 first-year Kuwait University students. The overall
nutrition knowledge score was rated as fair, with deficiency in specific areas of
knowledge. Students' dietary habits, attitudes, and interest in nutrition
information were assessed as fair. Our findings will aid in building a nutrition
knowledge database in Kuwait. A simplified course on aspects of healthy nutrition
and lifestyle to all Kuwait University students is highly recommended.
PMID- 21888597
TI - Intraspecific genotypic diversity in plants.
AB - Variations in the nuclear DNA, mainly as a result of quantitative modulations of
DNA repeats belonging to different sequence families of satellite DNA and to the
activity of transposable elements, have been assessed within several angiosperm
species. These variations alter the amount and organization of the DNA and
therefore the genotype, rather than the genome proper. They take place on an
evolutionary time scale as the result of selection processes after the occurrence
of uncontrolled events in the genome or may be due to direct responses of plant
genomes to environmental stimuli that occur under plant-level control within a
short developmental period of a single generation. These DNA changes are
correlated to changes in the developmental dynamics and phenotypic
characteristics of the plants, and the capability to carry out genotypic
variation is an evolutionary trait that allows plant species to adapt to
different environmental conditions, as well as to the variability of conditions
in a given environment. The link between developmental and environmental stimuli
and repetitive DNA that elicits the intraspecific diversity of plant genotypes
may provide models of evolutionary change that extend beyond the conventional
view of evolution by allelic substitution and take into account epigenetic
effects of the genome structure.
PMID- 21888598
TI - Coca-colonization and hybridization of diets among the Tz'utujil Maya.
AB - Biomedical health professionals express increasing concern that rising
consumption of soft drinks and processed foods in Mayan and Latin American eating
patterns may lead to detrimental nutritional and health consequences. Scholars
debate whether the pervading presence of Coca-Cola and Pepsi in developing
countries represents "Coca-Colonization," synonymous with cultural imperialism,
or cultural hybridization. Using mixed qualitative and quantitative research
methods, including participant observation and semi-structured interviews, this
study explores the development of Coca-Colonization and cultural hybridization
among the Tz'utujil Maya of Santiago Atitlan, Guatemala. By specifically
examining biomedical perspectives, cycles of conquest, the political economy,
religion, celebrations, and the physical environment through the lens of soft
drinks, this study finds that Coca-Colonization and cultural hybridization are
complementary rather than mutually exclusive processes that contribute to dietary
transitions, economic development, and differential health beliefs related to
soft drink consumption.
PMID- 21888599
TI - Collecting and learning to identify edible fungi in southeastern Poland: age and
gender differences.
AB - The gathering of 17 folk taxa of edible fungi (most commonly Boletus edulis,
Leccinum spp., Xerocomus spp., Suillus spp., Cantharellus cibarius, Armillaria
spp., Russula spp., Lactarius salmonicolor, Macrolepiota procera, Boletus
erythropus) was recorded in three villages in southeast Poland, but only 13 of
them are gathered by children. Gender and age differences were small (apart from
the fact that more adults than children collect non-Boletaceae species), and
relatives of both sexes took part in teaching children about mushrooms, although
fathers were most frequently mentioned as first teachers. Collecting mushrooms,
mainly for own use, sometimes for sale, is still a culturally significant
activity.
PMID- 21888600
TI - Energy and nutrient intake of Tongan adults estimated by 24-hour recall: the
importance of local food items.
AB - Tongan adults show one of the highest prevalences of obesity in the world. The
present study aims to estimate Tongans' energy and nutrient intakes and food
sources using a 24-hour recall survey for 14 days targeting 15 men and 19 women.
The mean (SD) daily energy intake was 12.2 (2.3) MJ for men and 10.6 (2.2) MJ for
women. Imported foods accounted for about half of their energy and macronutrient
intakes, but for much less of their micronutrients. Some local food items,
specifically pork, kava, and sea hare, contributed significantly to their
vitamin, Fe, and Ca intakes, respectively. These findings suggest that heavy
reliance on imported foods can lead not only to a high prevalence of obesity, but
also to micronutrient deficiencies.
PMID- 21888601
TI - Differences in fatty acid composition between aquatic and terrestrial insects
used as food in human nutrition.
AB - Edible insects may be a source of long-chain polyunsaturated fatty acids (LC
PUFA). The aim of this article is to test for differences in aquatic and
terrestrial insects used in human nutrition. We implemented linear models and
discovered that differences in the proportion of LC-PUFA between aquatic and
terrestrial insects do exist, with terrestrial insects being significantly richer
in particular omega-6 fatty acids. In conclusion, any kind of insect may provide
valuable sources of LC-PUFA. Because terrestrial insects are more abundant and
easier to collect, they can be considered a better source of LC-PUFA than aquatic
ones.
PMID- 21888602
TI - Decontamination of aquatic vegetable leaves by removing trace toxic metals during
pickling process with acetic acid solution.
AB - The heavy-metal content of aquatic plants is mainly dependent upon their
ecological system. This study indicated that although the toxic heavy-metal
contents could be above the recommended maximum levels depending upon their
concentrations in growing water, they can be decontaminated by pickling with 5%
acetic acid solution. Almost all Cd, Hg, Ba, or Sb and 99.5% Pb, 96.7% Ag, or
97.1% Al were removed from Water Spinach leaves by soaking in acetic acid
solution. For Water-Shield leaves, almost all Cd, Hg, Pb, Ba, or Sb and 95.0% Ag
or 96.1% Al were removed. For Watercress leaves, almost all Cd, Hg, Ba, or Sb and
99.0% Pb or 99.7% Ag were removed. For Water Hyacinth leaves, almost all Cd, Ba,
or Sb and 99.0% Hg, 98.5% Pb, 95.0% Ag, or 98.7% Al were removed.
PMID- 21888603
TI - Clozapine use in schizophrenia: findings of the Research on Asia Psychotropic
Prescription (REAP) studies from 2001 to 2009.
AB - OBJECTIVE: Optimizing treatment and outcomes for people with schizophrenia
requires understanding of how evidence-based treatments are utilized. Clozapine
is the most effective antipsychotic drug for treatment-refractory schizophrenia,
but few studies have investigated trends and patterns of its use over time
internationally. This study examined the prescription patterns of clozapine and
its demographic and clinical correlates in Asia from 2001 to 2009. METHOD:
Clozapine prescriptions were collected in a sample of 6761 hospitalized
schizophrenia patients in nine Asian countries and regions using a standardized
protocol and data collection procedure. RESULTS: Overall, the proportion of
patients receiving clozapine prescriptions was stable across the three surveys
from 2001 to 2009, ranging from 14.5% to 15.9%. However, the rates and patterns
observed within different regions and countries at each survey differed
considerably. Clozapine use decreased significantly over time in China, while it
increased in Korea and Singapore. Multiple logistic regression analysis revealed
that patients taking clozapine were significantly younger, had a higher dose of
antipsychotic drugs in chlorpromazine equivalents, were more likely to be female,
had fewer extrapyramidal symptoms, and had more negative symptoms, admissions and
weight gain in the past month than those not receiving clozapine. CONCLUSION: The
variability in overall rates and changes in prescription rates over time in these
samples suggest that factors other than psychopharmacological principles play an
important role in determining the use of clozapine in schizophrenia in Asia.
PMID- 21888604
TI - Voice and speech range profiles and Voice Handicap Index for males-
methodological issues and data.
AB - Reference data for speech range profiles (SRP), voice range profiles (VRP), and
Voice Handicap Index (VHI) are presented for Swedish males (n = 30). For
comparisons, individual data for four male contact granuloma patients are also
reported. For the vocally healthy group mean values were: speaking fundamental
frequency 123 Hz (SD 12.1), speaking equivalent level, Leq, 72.2 dB (SD 2.1), SRP
area 142 ST*dB (SD 24.1), and VRP area 1,706 ST*dB (SD 340). Mean VHI was 5 (SD
4.8). Test-retest recordings of VRP and SRP for three subjects suggested good
reliability. SRP and VRP values for three of the patients fell more than 2 SD
outside the reference values. Protocols and results are discussed and
standardized recording and analyses procedures are suggested.
PMID- 21888606
TI - What kind of evidence do we need for evidence-based mental health policy? The
case of the Better Access initiative.
PMID- 21888607
TI - Australia's Better Access initiative: do the evaluation data support the critics?
PMID- 21888609
TI - Australia's Better Access initiative: an evaluation.
AB - BACKGROUND: Australia's Better Access to Psychiatrists, Psychologists and General
Practitioners through the Medicare Benefits Schedule (Better Access) initiative
involves a series of Medicare Benefits Schedule (MBS) item numbers which offer a
rebate for selected services delivered by eligible clinicians. There has been
considerable debate about the appropriateness and effectiveness of Better Access,
much of which has been based on limited evidence. The current paper contributes
to this debate by presenting the findings of a study which profiled the clinical
and treatment characteristics of Better Access patients and examined the outcomes
of their care. METHOD: We approached a stratified random sample of providers who
had billed for at least 100 occasions of service under the Better Access item
numbers in 2008 (509 clinical psychologists, 640 registered psychologists, 1280
GPs) and invited them to participate. Those who agreed were asked to recruit 5-10
Better Access patients according to a specific protocol. We collected data that
enabled us to profile providers, patients and sessions. We also collected pre-
and post-treatment patient outcome data, using the Kessler-10 (K-10) and the
Depression Anxiety Stress Scales (DASS-21). RESULTS: In total, 883 patients were
recruited into the study (289 by 41 clinical psychologists, 317 by 49 registered
psychologists and 277 by 39 GPs). More than 90% of participating patients had
diagnoses of depression and/or anxiety (compared with 13% of the general
population). More than 80% were experiencing high or very high levels of
psychological distress (compared with 10% of the general population). Around half
of all participating patients had no previous history of mental health care.
Patients experienced statistically significant improvements in average K-10 and
DASS-21 scores from pre- to post-treatment. CONCLUSIONS: The findings suggest
that Better Access is playing an important part in meeting the community's
previously unmet need for mental health care.
PMID- 21888610
TI - Quick Personality Assessment Schedule (PAS-Q): validation of a brief screening
test for personality disorders in a population of psychiatric outpatients.
AB - OBJECTIVE: The internal consistency, test-retest reliability, and validity of the
Quick Personality Assessment Schedule (PAS-Q), as a screening instrument for
personality disorders were studied in a random sample of 195 Dutch psychiatric
outpatients, using the SCID-II as a gold standard. METHOD: All patients were
interviewed with the PAS-Q. With an interval of 1 to 2 weeks, they were
interviewed with the SCID-II. Three weeks later the PAS-Q was re-administered.
RESULTS: According to the SCID-II, 97 patients (50%) were suffering from a
personality disorder. The PAS-Q correctly classified 81% of all participants.
Sensitivity and specificity were 0.80 and 0.82, respectively. CONCLUSION: The
results provide evidence for the usefulness of the PAS-Q as a screening
instrument for personality disorders in clinical populations.
PMID- 21888608
TI - A consensus statement for safety monitoring guidelines of treatments for major
depressive disorder.
AB - OBJECTIVE: This paper aims to present an overview of screening and safety
considerations for the treatment of clinical depressive disorders and make
recommendations for safety monitoring. METHOD: Data were sourced by a literature
search using MEDLINE and a manual search of scientific journals to identify
relevant articles. Draft guidelines were prepared and serially revised in an
iterative manner until all co-authors gave final approval of content. RESULTS:
Screening and monitoring can detect medical causes of depression. Specific
adverse effects associated with antidepressant treatments may be reduced or
identified earlier by baseline screening and agent-specific monitoring after
commencing treatment. CONCLUSION: The adoption of safety monitoring guidelines
when treating clinical depression is likely to improve overall physical health
status and treatment outcome. It is important to implement these guidelines in
the routine management of clinical depression.
PMID- 21888611
TI - National depression and anxiety indices for Australia.
AB - OBJECTIVE: This study aimed to develop a National Depression Index and a National
Anxiety Index to measure the depression and anxiety status of the Australian
population, to compare data between surveys, and to compare relative risk in
different population groups. METHOD: The indices were developed using cross
sectional data from four surveys: the 1997 and 2007 National Surveys of Mental
Health and Wellbeing (NSMHWB) and the 2001 and 2004/5 National Health Surveys
(NHS). Six items from the K10 that most closely related to the ICD-10 diagnosis
of depression and four that most closely related to a diagnosis of an anxiety
disorder were used to create separate scales. The indices were developed by
estimating the predicted probabilities of depression and anxiety on these
separate K10 scales in the 2007 NSMHWB and then applying these predicted
probabilities to the same scales in the other surveys. The 1997 NSMHWB and 2001
NHS were used as benchmarks for the respective surveys, with values greater than
or less than 100 on the indices indicating a higher or lower probability of
depression and anxiety in the subsequent survey year. Overall mean risks of
depression and anxiety were examined along with differences in mean risk by age,
household income, employment status and geographic location for males and
females. RESULTS: There was an overall increase in the mean risk of anxiety
between the 1997 and 2007 NSMHWB but no significant difference in the mean risk
of depression. Significant increases in the mean risk of anxiety were observed
for women aged 45-64, for employed men and women, and for women living in the
inner city and non-regional rural areas. CONCLUSIONS: The increase in the mean
risk of anxiety may support the need for public education to focus on anxiety
disorders, particularly for middle-aged women and for employed men and women.
PMID- 21888612
TI - On narratives and conversations.
PMID- 21888613
TI - Amisulpride in the treatment of somatoform disorders.
PMID- 21888614
TI - Comparison of cortical and cancellous bone remodeling of the pelvis after press
fit cup total hip arthroplasty dependent on patient and prosthesis-specific
characteristics: a computed tomography-assisted osteodensitometry study in vivo.
AB - Even though periprosthetic bone loss is common after total hip arthroplasty,
there is no scientific evidence whether it compromises the survival of the
prosthesis. Using quantitative computed tomography-assisted osteodensitometry, we
determined the pattern of periacetabular bone density (BD) changes of two
different press-fit cups (54 hips) 10 days, 1 year and 3 years post-operatively.
We measured cortical and cancellous BD at three points of time and evaluated the
effects of patient-specific characteristics [age, gender, body mass index (BMI)],
clinical function, and BD at index operation. Cancellous BD decreased in all
periacetabular regions by up to -52% (p <= 0.001). In contrast, cortical BD above
the dome of the cup remained constant while at the level of the cup it decreased
by up to -17% (p <= 0.001). Older patients had significantly lower cortical
(ventral and dorsal) and cancellous (cranial) BD values, obese patients had a
higher cortical BD ventral, and patients with excellent clinical results had a
higher cancellous BD ventral and dorsal to the cup. Changes in BD suggest high
stress shielding of retroacetabular cancellous bone, while load is transmitted to
cortical bone above the dome of the cup. Patient-specific characteristics were
shown to affect BD, but long-term analysis is needed to show whether these
effects are of clinical importance.
PMID- 21888615
TI - Rituximab-hyperfractionated cyclophosphamide, vincristine, adriamycin and
dexamethasone alternating with high-dose cytarabine and methotrexate for
aggressive non-Hodgkin lymphoma.
PMID- 21888616
TI - Progressive transformation of germinal centers and Hodgkin lymphoma: more
insights but maybe more confusion?
PMID- 21888617
TI - Genetic variability in N-acetyltransferase 2 gene determines susceptibility to
childhood lymphoid or myeloid leukemia in Brazil.
AB - Seven single nucleotide polymorphisms (SNPs) were genotyped in 535 Brazilian
children (158 with acute lymphoblastic leukemia [ALL], 74 with acute myeloid
leukemia [AML] and 303 controls). The subjects were classified as fast or slow
acetylators based on their genotypic variants. Logistic regression was used to
estimate odds ratios (ORs) and 95% confidence intervals. N-acetyltransferase 2
(NAT2) SNP 341T > C frequency was higher among both leukemia subtypes compared to
controls. There was also a significant difference in the frequency of SNP 590G >
A in AML (OR, 1.57, 1.07-2.30). The haplotypes *14A, *5A and *5C conferred an
increased risk in cases of ALL, while *14E, *6B and *6F conferred an increased
risk for AML. An age-dependent analysis demonstrated that the NAT2 slow
acetylators conferred an increased risk association with leukemia in children <=
1 year old (OR, 7.91, 3.87-16.16) and also in older children (1 >= 10 years old)
(OR, 1.53, 1.01-2.31). However, in this latter group the magnitude was reduced.
The results demonstrate that the different NAT2 haplotypes contribute to the risk
of either ALL or AML.
PMID- 21888618
TI - Obesity in adult lymphoma survivors.
AB - As a result of therapeutic advances, survivors of lymphoma are now living longer.
However, their mortality is higher when compared to the general population,
probably due to multiple factors. Survivors of childhood leukemia and lymphoma
appear to have an increased prevalence of obesity. The objectives of this
retrospective study were to analyze weight change after lymphoma treatment in an
adult population and determine factors predictive of weight gain. Data were
collected from 219 patients and analyzed sequentially at the initial visit and at
6, 12 and 18 months. There was a progressive increase in weight from the initial
visit to 6 months (1.5% increase of initial body weight), 12 months (4.5%) and 18
months (6.4%). More than 9% of patients experienced weight gain greater than 20%
during follow-up. There was a statistically significant association between the
percentage of increase in weight and age, B symptoms and body mass index (BMI) at
presentation. Younger patients, those with B symptoms or those with lower BMI
manifested more weight gain (p = 0.0008, p = 0.0440 and p = 0.0009,
respectively). Other assessed factors had no effect on weight gain including sex,
race, lymphoma histology, disease outcome, radiation therapy, number of treatment
regimens and use of steroids. Further studies are needed to explore long-term
weight trends and their impact on the health of lymphoma survivors.
PMID- 21888619
TI - Therapeutic applications of the PhiC31 integrase system.
AB - The potential use of the PhiC31 integrase system in gene therapy opens up the
possibilities of new treatments for old diseases. PhiC31 integrase mediates the
integration of plasmid DNA into the chromsomes of mammalian cells in a sequence
specific manner, resulting in robust, long-term transgene expression. In this
article, we review how PhiC31 integrase mediates transgene integration into the
genomes of target cells and summarize the recent preclinical applications of the
system to gene therapy. These applications encompass in vivo studies in liver and
lung, as well as increasing ex vivo uses of the system, including in neural and
muscle stem cells, in cord-lining epithelial cells, and for the production of
induced pluripotent stem cells. The safety of the PhiC31 integrase system for
gene therapy is evaluated, and its ability to provide treatments for hemophilia
is discussed. We conclude that gene therapy strategies utilizing PhiC31 integrase
offer great promise for the development of treatments in the future.
PMID- 21888620
TI - Development of adenovirus hybrid vectors for Sleeping Beauty transposition in
large mammals.
AB - The Sleeping Beauty (SB) transposase system for somatic integration offers great
potential for in vivo gene therapeutic applications and genome engineering. Until
recently, however, efficacy of SB transposase as a gene transfer vector
especially in large animals was lacking. Herein, we report about the newest viral
vector development for delivery of the SB transposase system into large mammals.
Over the past decade various hyperactive versions of SB transposase and advanced
adenovirus vectors enabling efficient and safe delivery of transgenes in vivo
were developed. Already several years ago it was demonstrated that adenovirus
vectors can be used for delivery of the SB transposase system into murine liver.
Our newest study showed for the first time that a hyperactive transposase system
delivered by high-capacity adenoviral vectors can result in somatic integration
of exogenous DNA in canine liver, facilitating stabilized transgene expression
and phenotypic correction for up to three years in a canine model of human
disease. In this review we discuss safety issues and further improvements of this
adenovirus based hybrid vector system for somatic integration. In the future this
approach paves new paths towards the possible cure of human genetic diseases and
novel strategies for in vivo genome engineering in large mammals.
PMID- 21888622
TI - A global survey of CRM1-dependent nuclear export sequences in the human
deubiquitinase family.
AB - The mechanisms that regulate the nucleocytoplasmic localization of human
deubiquitinases remain largely unknown. The nuclear export receptor CRM1 binds to
specific amino acid motifs termed NESs (nuclear export sequences). By using in
silico prediction and experimental validation of candidate sequences, we
identified 32 active NESs and 78 inactive NES-like motifs in human
deubiquitinases. These results allowed us to evaluate the performance of three
programs widely used for NES prediction, and to add novel information to the
recently redefined NES consensus. The novel NESs identified in the present study
reveal a subset of 22 deubiquitinases bearing motifs that might mediate their
binding to CRM1. We tested the effect of the CRM1 inhibitor LMB (leptomycin B) on
the localization of YFP (yellow fluorescent protein)- or GFP (green fluorescent
protein)-tagged versions of six NES-bearing deubiquitinases [USP (ubiquitin
specific peptidase) 1, USP3, USP7, USP21, CYLD (cylindromatosis) and OTUD7B (OTU
domain-containing 7B)]. YFP-USP21 and, to a lesser extent, GFP-OTUD7B relocated
from the cytoplasm to the nucleus in the presence of LMB, revealing their
nucleocytoplasmic shuttling capability. Two sequence motifs in USP21 had been
identified during our survey as active NESs in the export assay. Using site
directed mutagenesis, we show that one of these motifs mediates USP21 nuclear
export, whereas the second motif is not functional in the context of full-length
USP21.
PMID- 21888621
TI - Efficacy and safety of Sleeping Beauty transposon-mediated gene transfer in
preclinical animal studies.
AB - Sleeping Beauty (SB) transposons have been effective in delivering therapeutic
genes to treat certain diseases in mice. Hydrodynamic gene delivery of
integrating transposons to 5-20% of the hepatocytes in a mouse results in
persistent elevated expression of the therapeutic polypeptides that can be
secreted into the blood for activity throughout the animal. An alternative route
of delivery is ex vivo transformation with SB transposons of hematopoietic cells,
which then can be reintroduced into the animal for treatment of cancer. We
discuss issues associated with the scale-up of hydrodynamic delivery to the liver
of larger animals as well as ex vivo delivery. Based on our and others'
experience with inefficient delivery to larger animals, we hypothesize that
impulse, rather than pressure, is a critical determinant of the effectiveness of
hydrodynamic delivery. Accordingly, we propose some alterations in delivery
strategies that may yield efficacious levels of gene delivery in dogs and swine
that will be applicable to humans. To ready hydrodynamic delivery for human
application we address a second issue facing transposons used for gene delivery
regarding their potential to "re-hop" from one site to another and thereby
destabilize the genome. The ability to correct genetic diseases through the
infusion of DNA plasmids remains an appealing goal.
PMID- 21888623
TI - PEG-liposomal oxaliplatin induces apoptosis in human colorectal cancer cells via
Fas/FasL and caspase-8.
AB - Since cellular uptake of PEG [poly(ethylene glycol)]-liposomal L-OHP
(oxaliplatin) induces bioactive changes in CRC (colorectal cancer), we have
investigated its apoptotic effect and anticancer mechanism. Human CRC SW480 cells
were treated with PEG-liposomal L-OHP and a caspase-8 inhibitor [Z-IETD-FMK
(benzyloxycarbonyl-Ile-Glu-Thr-dl-Asp-fluoromethylketone)]. Apoptosis was
measured by FCM (flow cytometry) and TUNEL (terminal deoxynucleotidyl transferase
mediated dUTP nick-end labelling) assay. Expression of Fas/FasL and cytochrome c
was detected using FCM and an immunofluorescence assay. Expression of caspase-8,
Bid, caspase-9, caspase-7 and activated caspase-3 (P17) was examined by Western
blot analyses. The results indicated that PEG-liposomal L-OHP (28 MUg/ml L-OHP)
induced marked apoptosis in SW480 cells compared with 28 MUg/ml free L-OHP. The
expression levels of Fas, FasL, cytochrome c, caspase-9, caspase-7 and activated
caspase-3 proteins were up-regulated, with a corresponding increase in apoptosis;
however, expression of caspase-8 and Bid were down-regulated as apoptosis
increased. When cells were treated with Z-IETD-FMK, apoptosis was inhibited, but
there was little impact on the expression of Fas, FasL, cytochrome c, Bid,
caspase-9, caspase-7 and activated caspase-3. These findings indicate that PEG
liposomal L-OHP enhances the anticancer potency of the chemotherapeutic agent;
moreover, Fas/FasL and caspase-8 signalling pathways play a key role in mediating
PEG-liposomal L-OHP-induced apoptosis.
PMID- 21888624
TI - Low triglyceride levels are associated with a better metabolic control in
patients with type 1 diabetes.
AB - BACKGROUND: Although it is well known in the literature that high triglyceride
serum (TG) levels can jeopardize the metabolic control, little is known about the
influence of low TG on type 1 diabetes patients (T1D). The aim of this study is
to investigate the distribution of TG serum levels in individuals with T1D and
its relationship with metabolic control. FINDINGS: We reviewed the medical charts
of 180 patients with T1D, who were classified in groups according to TG levels:
1) low (below 50 mg/dL); 2) normal (50-150 mg/dL); 3) high (above 150 mg/dL). TG
were low in 21.1% (n = 38; group 1), normal in 68.6% (n = 123; group 2) and high
in 10.6% (n = 19; group 3). High TG was associated with a poor metabolic control
(p < 0.001). Patients with TG lower than 50 mg/dL had a lower HbA1c than those
with TG between 50 and 150 mg/dL (7.41+/-1.50% vs 8.56%+/-1.94%; p = 0.002).
CONCLUSION: TG lower than 50 mg/dL was common and might be associated with a
better metabolic control in patients with T1D, although it is not clear whether
the former is the cause or consequence for the latter.
PMID- 21888625
TI - Metastatic breast carcinoma mimicking a sebaceous gland neoplasm: a case report.
AB - INTRODUCTION: Breast cancer is common in women and its metastases involve the
skin in approximately one quarter of patients. Accordingly, metastatic breast
cancer shown to be cutaneous through histology must be distinguished from a wide
variety of other neoplasms as well as the diverse morphologic variants of breast
cancer itself. CASE PRESENTATION: We report the case of a 61-year-old Caucasian
woman with cutaneous metastases of a bilateral ductal breast carcinoma that in
histopathological examination mimicked an adnexal neoplasm with sebaceous
differentiation. CONCLUSION: Against the background of metastatic breast
carcinoma, dermatopathological considerations of sebaceous differentiation of
skin lesions are presented and discussed focusing on the rare differential
diagnosis of sebaceous carcinoma of the breast.
PMID- 21888626
TI - Sirenomelia in a Nigerian triplet: a case report.
AB - INTRODUCTION: Sirenomelia, also known as mermaid syndrome, is a very rare fatal
congenital abnormality in which the legs are fused together, giving them the
appearance of a mermaid's tail. It is commonly associated with abnormal kidney
development, genital and rectal abnormalities. A handful of cases have been
reported in other parts of the world, however, no cases have previously been
reported in a Nigerian neonate. To the best of our knowledge, we believe that
this is the first case reported from West Africa and in a triplet. CASE
PRESENTATION: A 16-hour-old baby boy, the second of a set of Nigerian triplets,
presented to our facility with fusion of the entire lower limbs, imperforate
anus, indiscernible genital structures, single umbilical artery and a neural tube
defect. His parents were from the Hausa ethnic group and not related. CONCLUSION:
Sirenomelia has not been previously described in a set of triplets, and it is
hoped that this report from West Africa will give information about the non
racial predilection of this condition.
PMID- 21888627
TI - Evaluation of prognostic and predictive value of microtubule associated protein
tau in two independent cohorts.
AB - INTRODUCTION: Microtubule associated proteins (MAPs) endogenously regulate
microtubule stabilization and have been reported as prognostic and predictive
markers for taxane response. The microtubule stabilizer, MAP-tau, has shown
conflicting results. We quantitatively assessed MAP-tau expression in two
independent breast cancer cohorts to determine prognostic and predictive value of
this biomarker. METHODS: MAP-tau expression was evaluated in the retrospective
Yale University breast cancer cohort (n = 651) using tissue microarrays and also
in the TAX 307 cohort, a clinical trial randomized for TAC versus FAC
chemotherapy (n = 140), using conventional whole tissue sections. Expression was
measured using the AQUA method for quantitative immunofluorescence. Scores were
correlated with clinicopathologic variables, survival, and response to therapy.
RESULTS: Assessment of the Yale cohort using Cox univariate analysis indicated an
improved overall survival (OS) in tumors with a positive correlation between high
MAP-tau expression and overall survival (OS) (HR = 0.691, 95% CI = 0.489-0.974; P
= 0.004). Kaplan Meier analysis showed 10-year survival for 65% of patients with
high MAP-tau expression compared to 52% with low expression (P = .006). In TAX
307, high expression was associated with significantly longer median time to
tumor progression (TTP) regardless of treatment arm (33.0 versus 23.4 months, P =
0.010) with mean TTP of 31.2 months. Response rates did not differ by MAP-tau
expression (P = 0.518) or by treatment arm (P = 0.584). CONCLUSIONS: Quantitative
measurement of MAP-tau expression has prognostic value in both cohorts, with high
expression associated with longer TTP and OS. Differences by treatment arm or
response rate in low versus high MAP-tau groups were not observed, indicating
that MAP-tau is not associated with response to taxanes and is not a useful
predictive marker for taxane-based chemotherapy.
PMID- 21888628
TI - Comparison of radioimmuno and carbon nanotube field-effect transistor assays for
measuring insulin-like growth factor-1 in a preclinical model of human breast
cancer.
AB - BACKGROUND: To realize the promise of personalized medicine, diagnostic
instruments used for detecting and measuring biomarkers must become smaller,
faster and less expensive. Although most techniques used currently to detect
biomarkers are sensitive and specific, many suffer from several disadvantages
including their complexity, high cost and long turnaround time. One strategy to
overcome these problems is to exploit carbon nanotube (CNT) based biosensors,
which are sensitive, use inexpensive disposable components and can be easily
adapted to current assay protocols. In this study we investigated the
applicability of using a CNT field-effect transistor (CNT-FET) as a diagnostic
instrument for measuring cancer biomarkers in serum using a mouse model of Breast
Cancer Susceptibility 1-related breast cancer. Insulin like growth factor-1 (IGF
1) was chosen because it is highly relevant in breast cancer and because
measuring serum IGF-1 levels by conventional methods is complicated due to
specific IGF-1 serum binding proteins. FINDINGS: Our results show that there is
good correlation between the two platforms with respect to detecting serum IGF-1.
In fact, the CNT-FETs required only one antibody, gave real-time results and
required approximately 100-fold less mouse serum than the radioimmunoassay.
CONCLUSIONS: Both IGF-1 radioimmuno and CNT-FET assays gave comparable results.
Indeed, the CNT-FET assay was simpler and faster than the radioimmunoassay.
Additionally, the low serum sample required by CNT-FETs can be especially
advantageous for studies constricted by limited amount of human clinical samples
and for mouse studies, since animals often need to be sacrificed to obtain enough
serum for biomarker evaluation.
PMID- 21888629
TI - Conversion of deoxynivalenol to 3-acetyldeoxynivalenol in barley-derived fuel
ethanol co-products with yeast expressing trichothecene 3-O-acetyltransferases.
AB - BACKGROUND: The trichothecene mycotoxin deoxynivalenol (DON) may be concentrated
in distillers dried grains with solubles (DDGS; a co-product of fuel ethanol
fermentation) when grain containing DON is used to produce fuel ethanol. Even low
levels of DON (<= 5 ppm) in DDGS sold as feed pose a significant threat to the
health of monogastric animals. New and improved strategies to reduce DON in DDGS
need to be developed and implemented to address this problem. Enzymes known as
trichothecene 3-O-acetyltransferases convert DON to 3-acetyldeoxynivalenol
(3ADON), and may reduce its toxicity in plants and animals. RESULTS: Two Fusarium
trichothecene 3-O-acetyltransferases (FgTRI101 and FfTRI201) were cloned and
expressed in yeast (Saccharomyces cerevisiae) during a series of small-scale
ethanol fermentations using barley (Hordeum vulgare). DON was concentrated 1.6 to
8.2 times in DDGS compared with the starting ground grain. During the
fermentation process, FgTRI101 converted 9.2% to 55.3% of the DON to 3ADON,
resulting in DDGS with reductions in DON and increases in 3ADON in the Virginia
winter barley cultivars Eve, Thoroughbred and Price, and the experimental line
VA06H-25. Analysis of barley mashes prepared from the barley line VA04B-125
showed that yeast expressing FfTRI201 were more effective at acetylating DON than
those expressing FgTRI101; DON conversion for FfTRI201 ranged from 26.1% to
28.3%, whereas DON conversion for FgTRI101 ranged from 18.3% to 21.8% in VA04B
125 mashes. Ethanol yields were highest with the industrial yeast strain Ethanol
Red(r), which also consumed galactose when present in the mash. CONCLUSIONS: This
study demonstrates the potential of using yeast expressing a trichothecene 3-O
acetyltransferase to modify DON during commercial fuel ethanol fermentation.
PMID- 21888630
TI - Tubular immunostimulating complex based on cucumarioside A2-2 and
monogalactosyldiacylglycerol from marine macrophytes.
AB - BACKGROUND: There is an urgent need to develop safe and effective adjuvants for
the new generation of subunit vaccines. We developed the tubular
immunostimulating complex (TI-complex) as a new nanoparticulate antigen delivery
system. The morphology and composition of TI-complexes principally differ from
the known vesicular immunostimulating complexes (ISCOMs). However, methodology
for the preparation of TI-complexes has suffered a number of shortcomings. The
aim of the present work was to obtain an antigen carrier consisting of triterpene
glycosides from Cucumaria japonica, cholesterol, and monogalactosyldiacylglycerol
from marine macrophytes with reproducible properties and high adjuvant activity.
RESULTS: The cucumarioside A2-2 - cholesterol - MGalDG ratio of 6:2:4 (by weight)
was found to provide the most effective formation of TI-complexes and the minimum
hemolytic activity in vitro. Tubules of TI-complexes have an outer diameter of
about 16 nm, an inner diameter of 6 nm, and a length of 500 nm. A significant
dilution by the buffer gradually destroyed the tubular nanoparticles. The TI
complex was able to increase the immunogenicity of the protein antigens from
Yersinia pseudotuberculosis by three to four times. CONCLUSIONS: We propose an
optimized methodology for the preparation of homogeneous TI-complexes containing
only tubular particles, which would achieve reproducible immunization results. We
suggest that the elaborated TI-complexes apply as a universal delivery system for
different subunit antigens within anti-infectious vaccines and enhance their
economic efficacy and safety.
PMID- 21888632
TI - Temporal trends (1977-2007) and ethnic inequity in child mortality in rural
villages of southern Guinea Bissau.
AB - BACKGROUND: Guinea Bissau is one of the poorest countries in the world, with one
of the highest under-5 mortality rate. Despite its importance for policy
planning, data on child mortality are often not available or of poor quality in
low-income countries like Guinea Bissau. Our aim in this study was to use the
baseline survey to estimate child mortality in rural villages in southern Guinea
Bissau for a 30 years period prior to a planned cluster randomised intervention.
We aimed to investigate temporal trends with emphasis on historical events and
the effect of ethnicity, polygyny and distance to the health centre on child
mortality. METHODS: A baseline survey was conducted prior to a planned cluster
randomised intervention to estimate child mortality in 241 rural villages in
southern Guinea Bissau between 1977 and 2007. Crude child mortality rates were
estimated by Kaplan-Meier method from birth history of 7854 women. Cox regression
models were used to investigate the effects of birth periods with emphasis on
historical events, ethnicity, polygyny and distance to the health centre on child
mortality. RESULTS: High levels of child mortality were found at all ages under
five with a significant reduction in child mortality over the time periods of
birth except for 1997-2001. That period comprises the 1998/99 civil war interval,
when child mortality was 1.5% higher than in the previous period. Children of
Balanta ethnic group had higher hazard of dying under five years of age than
children from other groups until 2001. Between 2002 and 2007, Fula children
showed the highest mortality. Increasing walking distance to the nearest health
centre increased the hazard, though not substantially, and polygyny had a
negligible and statistically not significant effect on the hazard. CONCLUSION:
Child mortality is strongly associated with ethnicity and it should be considered
in health policy planning. Child mortality, though considerably decreased during
the past 30 years, remains high in rural Guinea Bissau. Temporal trends also
suggest that civil wars have detrimental effects on child mortality. TRIAL
REGISTRATION: Current Controlled Trials ISRCTN52433336.
PMID- 21888631
TI - Effects of short-term glucocorticoid treatment on changes in cartilage matrix
degradation and chondrocyte gene expression induced by mechanical injury and
inflammatory cytokines.
AB - INTRODUCTION: Traumatic joint injury damages cartilage and causes adjacent joint
tissues to release inflammatory cytokines, increasing the risk of developing
osteoarthritis. The main objective of this study was to determine whether the
combined catabolic effects of mechanical injury, tumor necrosis factor alpha
(TNFalpha) and interleukin-6 (IL-6)/soluble IL-6 receptor (sIL-6R) on cartilage
could be abolished by short-term treatment with glucocorticoids such as
dexamethasone. METHODS: In an initial dexamethasone-dose-response study, bovine
cartilage explants were treated with TNFalpha and increasing concentrations of
dexamethasone. Bovine and human cartilage explants were then subjected to
individual and combined treatments with TNFalpha, IL-6/sIL-6R and injury in the
presence or absence of dexamethasone. Treatment effects were assessed by
measuring glycosaminoglycans (GAG) release to the medium and synthesis of
proteoglycans. Additional experiments tested whether pre-exposure of cartilage to
dexamethasone could prevent GAG loss and inhibition of biosynthesis induced by
cytokines, and whether post-treatment with dexamethasone could diminish the
effects of pre-established cytokine insult. Messenger ribonucleic acid (mRNA)
levels for genes involved in cartilage homeostasis (proteases, matrix molecules,
cytokines, growth and transcription factors) were measured in explants subjected
to combined treatments with injury, TNFalpha and dexamethasone. To investigate
mechanisms associated with dexamethasone regulation of chondrocyte metabolic
response, glucocorticoid receptor (GR) antagonist (RU486) and proprotein
convertase inhibitor (RVKR-CMK) were used. RESULTS: Dexamethasone dose
dependently inhibited GAG loss and the reduction in biosynthesis caused by
TNFalpha. The combination of mechanical injury, TNFalpha and IL-6/sIL-6R caused
the most severe GAG loss; dexamethasone reduced this GAG loss to control levels
in bovine and human cartilage. Additionally, dexamethasone pre-treatment or post
treatment of bovine explants lowered GAG loss and increased proteoglycan
synthesis in cartilage explants exposed to TNFalpha. Dexamethasone did not down
regulate aggrecanase mRNA levels. Post-transcriptional regulation by
dexamethasone of other genes associated with responses to injury and cytokines
was noted. GR antagonist reversed the effect of dexamethasone on sulfate
incorporation. RVKR-CMK significantly reduced GAG loss caused by TNFalpha + IL-6
+ injury. CONCLUSIONS: Short-term glucocorticoid treatment effectively abolished
the catabolic effects exerted by the combination of pro-inflammatory cytokines
and mechanical injury: dexamethasone prevented proteoglycan degradation and
restored biosynthesis. Dexamethasone appears to regulate the catabolic response
of chondrocytes post-transcriptionally, since the abundance of transcripts
encoding aggrecanases was still elevated in the presence of dexamethasone.
PMID- 21888633
TI - Nanopatterning on silicon surface using atomic force microscopy with diamond-like
carbon (DLC)-coated Si probe.
AB - Atomic force microscope (AFM) equipped with diamond-like carbon (DLC)-coated Si
probe has been used for scratch nanolithography on Si surfaces. The effect of
scratch direction, applied tip force, scratch speed, and number of scratches on
the size of the scratched geometry has been investigated. The size of the groove
differs with scratch direction, which increases with the applied tip force and
number of scratches but decreases slightly with scratch speed. Complex
nanostructures of arrays of parallel lines and square arrays are further
fabricated uniformly and precisely on Si substrates at relatively high scratch
speed. DLC-coated Si probe has the potential to be an alternative in AFM-based
scratch nanofabrication on hard surfaces.
PMID- 21888634
TI - Two novel low-power and high-speed dynamic carbon nanotube full-adder cells.
AB - In this paper, two novel low-power and high-speed carbon nanotube full-adder
cells in dynamic logic style are presented. Carbon nanotube field-effect
transistors (CNFETs) are efficient in designing a high performance circuit. To
design our full-adder cells, CNFETs with three different threshold voltages (low
threshold, normal threshold, and high threshold) are used. First design generates
SUM and COUT through separate transistors, and second design is a multi-output
dynamic full adder. Proposed full adders are simulated using HSPICE based on
CNFET model with 0.9 V supply voltages. Simulation result shows that the proposed
designs consume less power and have low power-delay product compared to other
CNFET-based full-adder cells.
PMID- 21888635
TI - Intracranial internal carotid aneurysm causing diplopia.
AB - Internal carotid intracranial aneurysms are a relatively rare form of
intracranial aneurysm that presents with diplopia, retro-orbital pain and
unilateral headaches. The symptoms are progressive and the diagnosis should be
considered in a patient presenting with these complaints. Underlying hypertension
and advanced age are specific risk factors.
PMID- 21888636
TI - Anisotropic in-plane spin splitting in an asymmetric (001) GaAs/AlGaAs quantum
well.
AB - The in-plane spin splitting of conduction-band electron has been investigated in
an asymmetric (001) GaAs/AlxGa1-xAs quantum well by time-resolved Kerr rotation
technique under a transverse magnetic field. The distinctive anisotropy of the
spin splitting was observed while the temperature is below approximately 200 K.
This anisotropy emerges from the combined effect of Dresselhaus spin-orbit
coupling plus asymmetric potential gradients. We also exploit the temperature
dependence of spin-splitting energy. Both the anisotropy of spin splitting and
the in-plane effective g-factor decrease with increasing temperature.PACS:
78.47.jm, 71.70.Ej, 75.75.+a, 72.25.Fe.
PMID- 21888637
TI - Phase II study of weekly paclitaxel and capecitabine in patients with metastatic
or recurrent esophageal squamous cell carcinoma.
AB - BACKGROUND: This phase II study assessed the response rate and toxicity profile
of weekly paclitaxel and capecitabine in patients with metastatic or recurrent
squamous cell carcinoma of the esophagus (SCCE) METHODS: Patients with
histologically confirmed SCCE were treated with paclitaxel 80 mg/m(2)
intravenously on days 1 and 8 plus capecitabine 900 mg/m(2) orally twice a day on
days 1-14. Treatment cycles were repeated every 3 weeks until disease progression
or unacceptable toxicity. RESULTS: Between 2006 and 2009, 32 patients were
enrolled. Twelve patients were chemotherapy-naive. Twenty patients had received
prior chemotherapy including platinum-based regimens. Patients received a median
of 5 cycles of treatment (range, 1-12). The response rate was 75% (95%CI;
50.5~99.5%) in the first-line and 45% (95%CI; 26.9~73.1%) in the second-line.
With a median follow-up of 20.7 months, median progression-free survival was 5.2
months (95% CI, 4.0 to 6.4) for all patients and median overall survival (OS) was
11.7 months (95% CI, 5.5 to 18.0) for all patients. The median OS was 14.3 months
(95% CI, 10.6 to 18.0) for patients receiving therapy as 1st line and 8.4 months
(95% CI, 6.6 to 10.1) for those receiving as 2nd-line therapy. Grade 3/4
neutropenia was observed in 53.3% of the patients, which was the most common
cause of dose reduction. G3 non-hematologic toxicity included stomatitis (9.4%),
asthenia (6.3%), and hand-foot skin reaction (3.1%). CONCLUSIONS: Weekly
paclitaxel and capecitabine is a highly active and well-tolerated regimen in
patients with metastatic or recurrent SCCE in the first-line as well as second
line setting.
PMID- 21888638
TI - Reexpansion pulmonary edema following a posttraumatic pneumothorax: a case report
and review of the literature.
AB - The reexpansion pulmonary edema is a rare, but life threatening complication of
a pneumothorax. Early recognition and a fast symptom orientated therapy are
necessary for a good outcome. Several cases after non traumatic pneumothoraces
are reported. We describe a patient who presented with a post-traumatic right
pneumothorax. After the insertion of a chest tube he developed a reexpansion
pulmonary edema, which had to be treated by an intubation.Additionally, a review
of the literature regarding case reports of reexpansion pulmonary edema is
presented.
PMID- 21888639
TI - Organic-skinned inorganic nanoparticles: surface-confined polymerization of 6-(3
thienyl)hexanoic acid bound to nanocrystalline TiO2.
AB - There are many practical difficulties in direct adsorption of polymers onto
nanocrystalline inorganic oxide surface such as Al2O3 and TiO2 mainly due to the
insolubility of polymers in solvents or polymer agglomeration during adsorption
process. As an alternative approach to the direct polymer adsorption, we propose
surface-bound polymerization of pre-adsorbed monomers. 6-(3-Thienyl)hexanoic acid
(THA) was used as a monomer for poly[3-(5-carboxypentyl)thiophene-2,5-diyl]
(PTHA). PTHA-coated nanocrystalline TiO2/FTO glass electrodes were prepared by
immersing THA-adsorbed electrodes in FeCl3 oxidant solution. Characterization by
ultraviolet/visible/infrared spectroscopy and thermal analysis showed that the
monolayer of regiorandom-structured PTHA was successfully formed from
intermolecular bonding between neighbored THA surface-bound to TiO2. The
anchoring functional groups (-COOH) of the surface-crawling PTHA were completely
utilized for strong bonding to the surface of TiO2.
PMID- 21888640
TI - Solid-phase molecular recognition of cytosine based on proton-transfer reaction.
Part II. supramolecular architecture in the cocrystals of cytosine and its 5
Fluoroderivative with 5-Nitrouracil.
AB - BACKGROUND: Cytosine is a biologically important compound owing to its natural
occurrence as a component of nucleic acids. Cytosine plays a crucial role in
DNA/RNA base pairing, through several hydrogen-bonding patterns, and controls the
essential features of life as it is involved in genetic codon of 17 amino acids.
The molecular recognition among cytosines, and the molecular heterosynthons of
molecular salts fabricated through proton-transfer reactions, might be used to
investigate the theoretical sites of cytosine-specific DNA-binding proteins and
the design for molecular imprint. RESULTS: Reaction of cytosine (Cyt) and 5
fluorocytosine (5Fcyt) with 5-nitrouracil (Nit) in aqueous solution yielded two
new products, which have been characterized by single-crystal X-ray diffraction.
The products include a dihydrated molecular salt (CytNit) having both ionic and
neutral hydrogen-bonded species, and a dihydrated cocrystal of neutral species
(5FcytNit). In CytNit a protonated and an unprotonated cytosine form a triply
hydrogen-bonded aggregate in a self-recognition ion-pair complex, and this dimer
is then hydrogen bonded to one neutral and one anionic 5-nitrouracil molecule. In
5FcytNit the two neutral nucleobase derivatives are hydrogen bonded in pairs. In
both structures conventional N-H...O, O-H...O, N-H+...N and N-H...N-
intermolecular interactions are most significant in the structural assembly.
CONCLUSION: The supramolecular structure of the molecular adducts formed by
cytosine and 5-fluorocytosine with 5-nitrouracil, CytNit and 5FcytNit,
respectively, have been investigated in detail. CytNit and 5FcytNit exhibit
widely differing hydrogen-bonding patterns, though both possess layered
structures. The crystal structures of CytNit (Dpka = -0.7, molecular salt) and
5FcytNit (Dpka = -2.0, cocrystal) confirm that, at the present level of knowledge
about the nature of proton-transfer process, there is not a strict correlation
between the Dpka values and the proton transfer, in that the acid/base pka
strength is not a definite guide to predict the location of H atoms in the solid
state. Eventually, the absence in 5FcytNit of hydrogen bonds involving fluorine
is in agreement with findings that covalently bound fluorine hardly ever acts as
acceptor for available Bronsted acidic sites in the presence of competing
heteroatom acceptors.
PMID- 21888641
TI - Long-term consequences of an intensive care unit stay in older critically ill
patients: design of a longitudinal study.
AB - BACKGROUND: Modern methods in intensive care medicine often enable the survival
of older critically ill patients. The short-term outcomes for patients treated in
intensive care units (ICUs), such as survival to hospital discharge, are well
documented. However, relatively little is known about subsequent long-term
outcomes. Pain, anxiety and agitation are important stress factors for many
critically ill patients. There are very few studies concerned with pain, anxiety
and agitation and the consequences in older critically ill patients. The overall
aim of this study is to identify how an ICU stay influences an older person's
experiences later in life. More specific, this study has the following
objectives: (1) to explore the relationship between pain, anxiety and agitation
during ICU stays and experiences of the same symptoms in later life; and (2) to
explore the associations between pain, anxiety and agitation experienced during
ICU stays and their effect on subsequent health-related quality of life, use of
the health care system (readmissions, doctor visits, rehabilitation, medication
use), living situation, and survival after discharge and at 6 and 12 months of
follow-up. METHODS/DESIGN: A prospective, longitudinal study will be used for
this study. A total of 150 older critically ill patients in the ICU will
participate (ICU group). Pain, anxiety, agitation, morbidity, mortality, use of
the health care system, and health-related quality of life will be measured at 3
intervals after a baseline assessment. Baseline measurements will be taken 48
hours after ICU admission and one week thereafter. Follow-up measurements will
take place 6 months and 12 months after discharge from the ICU. To be able to
interpret trends in scores on outcome variables in the ICU group, a comparison
group of 150 participants, matched by age and gender, recruited from the Swiss
population, will be interviewed at the same intervals as the ICU group.
DISCUSSION: Little research has focused on long term consequences after ICU
admission in older critically ill patients. The present study is specifically
focussing on long term consequences of stress factors experienced during ICU
admission. TRIAL REGISTRATION: ISRCTN52754370.
PMID- 21888642
TI - Feasibility and antihypertensive effect of replacing regular salt with mineral
salt -rich in magnesium and potassium- in subjects with mildly elevated blood
pressure.
AB - BACKGROUND: High salt intake is linked to hypertension whereas a restriction of
dietary salt lowers blood pressure (BP). Substituting potassium and/or magnesium
salts for sodium chloride (NaCl) may enhance the feasibility of salt restriction
and lower blood pressure beyond the sodium reduction alone. The aim of this study
was to determine the feasibility and effect on blood pressure of replacing NaCl
(Regular salt) with a novel mineral salt [50% sodium chloride and rich in
potassium chloride (25%), magnesium ammonium potassium chloride, hydrate (25%)]
(Smart Salt). METHODS: A randomized, double-blind, placebo-controlled study was
conducted with an intervention period of 8-weeks in subjects (n = 45) with
systolic (S)BP 130-159 mmHg and/or diastolic (D)BP 85-99 mmHg. During the
intervention period, subjects consumed processed foods salted with either NaCl or
Smart Salt. The primary endpoint was the change in SBP. Secondary endpoints were
changes in DBP, daily urine excretion of sodium (24-h dU-Na), potassium (dU-K)
and magnesium (dU-Mg). RESULTS: 24-h dU-Na decreased significantly in the Smart
Salt group (-29.8 mmol; p = 0.012) and remained unchanged in the control group:
resulting in a 3.3 g difference in NaCl intake between the groups. Replacement of
NaCl with Smart Salt resulted in a significant reduction in SBP over 8 weeks (
7.5 mmHg; p = 0.016). SBP increased (+3.8 mmHg, p = 0.072) slightly in the
Regular salt group. The difference in the change of SBP between study groups was
significant (p < 0.002). CONCLUSIONS: The substitution of Smart Salt for Regular
salt in subjects with high normal or mildly elevated BP resulted in a significant
reduction in their daily sodium intake as well as a reduction in SBP.
PMID- 21888643
TI - Atypical presentations and rare metastatic sites of renal cell carcinoma: a
review of case reports.
AB - Renal cell carcinoma is a potentially lethal cancer with aggressive behavior and
a propensity for metastatic spread. Due to the fact that the patterns of
metastases from renal cell carcinomas are not clearly defined, there have been
several reports of cases of renal cell carcinoma associated with rare metastatic
sites and atypical presenting symptoms. The present review focuses on these
atypical rare clinical presentations of renal cell carcinomas both at the time of
diagnosis of the primary tumor but also in the years after radical nephrectomy.
PMID- 21888645
TI - Socioeconomic status and race/ethnicity independently predict health decline
among older diabetics.
AB - BACKGROUND: There are pervasive racial and socioeconomic differences in health
status among older adults with type 2 diabetes. The extent to which racial/ethnic
and socioeconomic disparities unfold to differential health outcomes has yet to
be investigated among older adults with diabetes. This study examines whether or
not race/ethnicity and SES are independent predictors of steeper rates of decline
in self-rated health among older adults in the U.S. with type 2 diabetes.
METHODS: The study population was a subset of diabetic adults aged 65 and older
from the Health and Retirement Study. Respondents were followed up to 16 years.
Multilevel cumulative logit regression models were used to examine the
contributions of socioeconomic indicators, race/ethnicity, and covariates over
time. Health decline was measured as a change in self-reported health status over
the follow-up period. RESULTS: Relative to whites, blacks had a significantly
lower cumulative odds of better health status over time (OR: 0.61, p < .0001).
Hispanics reported significantly lower cumulative odds better health over time
relative to whites (OR: 0.59, p < .05). Although these disparities narrowed when
socioeconomic characteristics were added to the model, significant differences
remained. Including socioeconomic status did not remove the health effects of
race/ethnicity among blacks and Hispanics. CONCLUSIONS: The author found that
race/ethnicity and some socioeconomic indicators were independent predictors of
health decline among older adults with diabetes.
PMID- 21888644
TI - In vitro toxicity of particulate matter (PM) collected at different sites in the
Netherlands is associated with PM composition, size fraction and oxidative
potential--the RAPTES project.
AB - BACKGROUND: Ambient particulate matter (PM) exposure is associated with
respiratory and cardiovascular morbidity and mortality. To what extent such
effects are different for PM obtained from different sources or locations is
still unclear. This study investigated the in vitro toxicity of ambient PM
collected at different sites in the Netherlands in relation to PM composition and
oxidative potential. METHOD: PM was sampled at eight sites: three traffic sites,
an underground train station, as well as a harbor, farm, steelworks, and urban
background location. Coarse (2.5-10 MUm), fine (< 2.5 MUm) and quasi ultrafine PM
(qUF; < 0.18 MUm) were sampled at each site. Murine macrophages (RAW 264.7 cells)
were exposed to increasing concentrations of PM from these sites (6.25-12.5-25-50
100 MUg/ml; corresponding to 3.68-58.8 MUg/cm2). Following overnight incubation,
MTT-reduction activity (a measure of metabolic activity) and the release of pro
inflammatory markers (Tumor Necrosis Factor-alpha, TNF-alpha; Interleukin-6, IL
6; Macrophage Inflammatory Protein-2, MIP-2) were measured. The oxidative
potential and the endotoxin content of each PM sample were determined in a DTT-
and LAL-assay respectively. Multiple linear regression was used to assess the
relationship between the cellular responses and PM characteristics:
concentration, site, size fraction, oxidative potential and endotoxin content.
RESULTS: Most PM samples induced a concentration-dependent decrease in MTT
reduction activity and an increase in pro-inflammatory markers with the exception
of the urban background and stop & go traffic samples. Fine and qUF samples of
traffic locations, characterized by a high concentration of elemental and organic
carbon, induced the highest pro-inflammatory activity. The pro-inflammatory
response to coarse samples was associated with the endotoxin level, which was
found to increase dramatically during a three-day sample concentration procedure
in the laboratory. The underground samples, characterized by a high content of
transition metals, showed the largest decrease in MTT-reduction activity. PM size
fraction was not related to MTT-reduction activity, whereas there was a
statistically significant difference in pro-inflammatory activity between Fine
and qUF PM. Furthermore, there was a statistically significant negative
association between PM oxidative potential and MTT-reduction activity.
CONCLUSION: The response of RAW264.7 cells to ambient PM was markedly different
using samples collected at various sites in the Netherlands that differed in
their local PM emission sources. Our results are in support of other
investigations showing that the chemical composition as well as oxidative
potential are determinants of PM induced toxicity in vitro.
PMID- 21888646
TI - MHC polymorphism and disease resistance to Vibrio anguillarum in 8 families of
half-smooth tongue sole (Cynoglossus semilaevis).
AB - BACKGROUND: Genes in the major histocompatibility complex (MHC) have a critical
role in both the innate and adaptive immune responses because of their
involvement in presenting foreign peptides to T cells. However, the nature has
remained largely unknown. RESULTS: We examined the genetic variation in MHC class
IIB in half-smooth tongue sole (Cynoglossus semilaevis) after challenge with
vibrio anguillarum. Two thousand and four hundred fry from 12 half-smooth tongue
sole families were challenged with Vibrio anguillarum. To determine any
association between alleles and resistance or susceptibility to V. anguillarum,
160 individuals from four high-resistance (HR, < 40.55% mortality) families and
four low-resistance (LR, > 73.27% mortality) families were selected for MHC IIB
exon2 gene sequence analysis. The MHC IIB exon2 genes of tongue sole displayed a
high level of polymorphism and were discovered at least four loci. Meanwhile, the
dN/dS [the ratio of non-synonymous (dN) substitutions to synonymous (dS)
substitutions] in the peptide-binding region (PBR) was higher than that in the
non-peptide-binding region (non-PBR). Eighty-eight alleles were discovered among
160 individuals, and 13 out of 88 alleles were used to analyze the distribution
pattern between the resistant and susceptible families. Certain alleles presented
in HR and LR with a different frequency, while other alleles were discovered in
only the HR or LR families, not both. Five alleles, Cyse-DBB*6501, Cyse-DBB*4002,
Cyse-DBB*6102, Cyse-DBB*5601 and Cyse-DBB*2801, were found to be associated with
susceptibility to V. anguillarum with a frequency of 1.25%, 1.25%, 1.25%, 1.25%
and 2.5% in the HR families, and 35%, 33.75%, 27.5%, 16.25%, 15% in the LR
families (p < 0.01, 0.01, 0.01, 0.01, 0.01), respectively. Four alleles, Cyse
DBB*3301, Cyse-DBB*4701, Cyse-DBB*6801 and Cyse-DBB*5901, were found to be
associated with resistance to V. anguillarum, with a frequency of 13.75%, 11.25%,
11.25%, 8.75% in the HR families and 1.25%, 1.25%, 1.25%, 1.25% and 1.25% in the
LR families (p < 0.01, 0.05, 0.05 and p = 0.064), respectively. CONCLUSIONS:
Elucidation of the role of MHC II B genes in half-smooth tongue sole should prove
to be helpful to the in-depth development of marker-assisted selective breeding
in half-smooth tongue sole.
PMID- 21888647
TI - Uranium and other contaminants in hair from the parents of children with
congenital anomalies in Fallujah, Iraq.
AB - BACKGROUND: Recent reports have drawn attention to increases in congenital birth
anomalies and cancer in Fallujah Iraq blamed on teratogenic, genetic and genomic
stress thought to result from depleted Uranium contamination following the
battles in the town in 2004. Contamination of the parents of the children and of
the environment by Uranium and other elements was investigated using Inductively
Coupled Plasma Mass Spectrometry. Hair samples from 25 fathers and mothers of
children diagnosed with congenital anomalies were analysed for Uranium and 51
other elements. Mean ages of the parents was: fathers 29.6 (SD 6.2); mothers:
27.3 (SD 6.8). For a sub-group of 6 women, long locks of hair were analysed for
Uranium along the length of the hair to obtain information about historic
exposures. Samples of soil and water were also analysed and Uranium isotope
ratios determined. RESULTS: Levels of Ca, Mg, Co, Fe, Mn, V, Zn, Sr, Al, Ba, Bi,
Ga, Pb, Hg, Pd and U (for mothers only) were significantly higher than published
mean levels in an uncontaminated population in Sweden. In high excess were Ca,
Mg, Sr, Al, Bi and Hg. Of these only Hg can be considered as a possible cause of
congenital anomaly. Mean levels for Uranium were 0.16 ppm (SD: 0.11) range 0.02
to 0.4, higher in mothers (0.18 ppm SD 0.09) than fathers (0.11 ppm; SD 0.13).
The highly unusual non-normal Fallujah distribution mean was significantly higher
than literature results for a control population Southern Israel (0.062 ppm) and
a non-parametric test (Mann Whitney-Wilcoxon) gave p = 0.016 for this comparison
of the distribution. Mean levels in Fallujah were also much higher than the mean
of measurements reported from Japan, Brazil, Sweden and Slovenia (0.04 ppm SD
0.02). Soil samples show low concentrations with a mean of 0.76 ppm (SD 0.42) and
range 0.1-1.5 ppm; (N = 18). However it may be consistent with levels in drinking
water (2.28 MUgL-1) which had similar levels to water from wells (2.72 MUgL-1)
and the river Euphrates (2.24 MUgL-1). In a separate study of a sub group of
mothers with long hair to investigate historic Uranium excretion the results
suggested that levels were much higher in the past. Uranium traces detected in
the soil samples and the hair showed slightly enriched isotopic signatures for
hair U238/U235 = (135.16 SD 1.45) compared with the natural ratio of 137.88. Soil
sample Uranium isotope ratios were determined after extraction and concentration
of the Uranium by ion exchange. Results showed statistically significant presence
of enriched Uranium with a mean of 129 with SD5.9 (for this determination, the
natural Uranium 95% CI was 132.1 < Ratio < 144.1). CONCLUSIONS: Whilst caution
must be exercised about ruling out other possibilities, because none of the
elements found in excess are reported to cause congenital diseases and cancer
except Uranium, these findings suggest the enriched Uranium exposure is either a
primary cause or related to the cause of the congenital anomaly and cancer
increases. Questions are thus raised about the characteristics and composition of
weapons now being deployed in modern battlefields.
PMID- 21888648
TI - Re-evaluating a vision-related quality of life questionnaire with item response
theory (IRT) and differential item functioning (DIF) analyses.
AB - BACKGROUND: For the Low Vision Quality Of Life questionnaire (LVQOL) it is
unknown whether the psychometric properties are satisfactory when an item
response theory (IRT) perspective is considered. This study evaluates some
essential psychometric properties of the LVQOL questionnaire in an IRT model, and
investigates differential item functioning (DIF). METHODS: Cross-sectional data
were used from an observational study among visually-impaired patients (n = 296).
Calibration was performed for every dimension of the LVQOL in the graded response
model. Item goodness-of-fit was assessed with the S-X(2)-test. DIF was assessed
on relevant background variables (i.e. age, gender, visual acuity, eye condition,
rehabilitation type and administration type) with likelihood-ratio tests for DIF.
The magnitude of DIF was interpreted by assessing the largest difference in
expected scores between subgroups. Measurement precision was assessed by
presenting test information curves; reliability with the index of subject
separation. RESULTS: All items of the LVQOL dimensions fitted the model. There
was significant DIF on several items. For two items the maximum difference
between expected scores exceeded one point, and DIF was found on multiple
relevant background variables. Item 1 'Vision in general' from the "Adjustment"
dimension and item 24 'Using tools' from the "Reading and fine work" dimension
were removed. Test information was highest for the "Reading and fine work"
dimension. Indices for subject separation ranged from 0.83 to 0.94. CONCLUSIONS:
The items of the LVQOL showed satisfactory item fit to the graded response model;
however, two items were removed because of DIF. The adapted LVQOL with 21 items
is DIF-free and therefore seems highly appropriate for use in heterogeneous
populations of visually impaired patients.
PMID- 21888649
TI - Rapid PCR detection of group A Streptococcus from flocked throat swabs: a
retrospective clinical study.
AB - BACKGROUND: Rapid diagnosis of GAS pharyngitis may improve patient care by
ensuring that patients with GAS pharyngitis are treated quickly and also avoiding
unnecessary use of antibiotics in those without GAS infection. Very few molecular
methods for detection of GAS in clinical throat swab specimens have been
described. METHODS: We performed a study of a laboratory-developed internally
controlled rapid Group A streptococcus (GAS) PCR assay using flocked swab throat
specimens. We compared the GAS PCR assay to GAS culture results using a
collection of archived throat swab samples obtained during a study comparing the
performance of conventional and flocked throat swabs. RESULTS: The sensitivity of
the GAS PCR assay as compared to the reference standard was 96.0% (95% CI 90.1%
to 98.4%), specificity 98.6% (95% CI 95.8% to 99.5%), positive predictive value
(PPV) 96.9% (95% CI 91.4% to 99.0%) and negative predictive value (NPV) of 98.1%
(95% CI 95.2% to 99.2%). For conventional swab cultures, sensitivity was 96.0%
(95% CI 90.1% to 98.4%), specificity 100% (95% CI 98.2% to 100%), PPV 100%, (95%
CI 96.1% to 100%) and NPV 98.1% (95% CI 95.2% to 99.3%) CONCLUSIONS: In this
retrospective study, the GAS PCR assay appeared to perform as well as
conventional throat swab culture, the current standard of practice. Since the GAS
PCR assay, including DNA extraction, can be performed in approximately 1 hour,
prospective studies of this assay are warranted to evaluate the clinical impact
of the assay on management of patients with pharyngitis.
PMID- 21888652
TI - Prevalence of dysglycemia among coronary artery bypass surgery patients with no
previous diabetic history.
AB - BACKGROUND: Dysglycemia is a major risk factor for atherosclerosis. In many
patient populations dysglycemia is under-diagnosed. Patients with severe coronary
artery disease commonly have dysglycemia and there is growing evidence that
dysglycemia, irrespective of underlying history of diabetes, is associated with
adverse outcome in coronary artery bypass graft (CABG) surgery patients,
including longer hospital stay, wound infections, and higher mortality. As HbA1c
is an easy and reliable way of checking for dysglycemia we routinely screen all
patients undergoing CABG for elevations in HbA1c. Our hypothesis was that a
substantial number of patients with dysglycemia that could be identified at the
time of cardiothoracic surgery despite having no apparent history of diabetes.
METHODS: 1045 consecutive patients undergoing CABG between 2007 and 2009 had
HbA1c measured pre-operatively. The 2010 American Diabetes Association (ADA)
diagnostic guidelines were used to categorize patients with no known history of
diabetes as having diabetes (HbA1c >= 6.5%) or increased risk for diabetes (HbA1c
5.7-6.4%). RESULTS: Of the 1045 patients with pre-operative HbA1c measurements,
40% (n = 415) had a known history of diabetes and 60% (n = 630) had no known
history of diabetes. For the 630 patients with no known diabetic history: 207
(32.9%) had a normal HbA1c (< 5.7%); 356 (56.5%) had an HbA1c falling in the
increased risk for diabetes range (5.7-6.4%); and 67 (10.6%) had an HbA1c in the
diabetes range (6.5% or higher). In this study the only conventional risk factor
that was predictive of high HbA1c was BMI. We also found a high HbA1c
irrespective of history of DM was associated with severe coronary artery disease
as indicated by the number of vessels revascularized. CONCLUSION: Among
individuals undergoing CABG with no known history of diabetes, there is a
substantial amount of undiagnosed dysglycemia. Even though labeling these
patients as "diabetic" or "increased risk for diabetes" remains controversial in
terms of perioperative management, pre-operative screening could lead to
appropriate post-operative follow up to mitigate short-term adverse outcome and
provide high priority medical referrals of this at risk population.
PMID- 21888650
TI - Antimicrobial peptides and proteins of the horse--insights into a well-armed
organism.
AB - Antimicrobial peptides play a pivotal role as key effectors of the innate immune
system in plants and animals and act as endogenous antibiotics. The molecules
exhibit an antimicrobial activity against bacteria, viruses, and eukaryotic
pathogens with different specificities and potencies depending on the structure
and amino-acid composition of the peptides. Several antimicrobial peptides were
comprehensively investigated in the last three decades and some molecules with
remarkable antimicrobial properties have reached the third phase of clinical
studies. Next to the peptides themselves, numerous organisms were examined and
analyzed regarding their repertoire of antimicrobial peptides revealing a huge
number of candidates with potencies and properties for future medical
applications. One of these organisms is the horse, which possesses numerous
peptides that are interesting candidates for therapeutical applications in
veterinary medicine. Here we summarize investigations and knowledge on equine
antimicrobial peptides, point to interesting candidates, and discuss prospects
for therapeutical applications.
PMID- 21888651
TI - Cellular kinases incorporated into HIV-1 particles: passive or active passengers?
AB - Phosphorylation is one of the major mechanisms by which the activities of protein
factors can be regulated. Such regulation impacts multiple key-functions of
mammalian cells, including signal transduction, nucleo-cytoplasmic shuttling,
macromolecular complexes assembly, DNA binding and regulation of enzymatic
activities to name a few. To ensure their capacities to replicate and propagate
efficiently in their hosts, viruses may rely on the phosphorylation of viral
proteins to assist diverse steps of their life cycle. It has been known for
several decades that particles from diverse virus families contain some protein
kinase activity. While large DNA viruses generally encode for viral kinases, RNA
viruses and more precisely retroviruses have acquired the capacity to hijack the
signaling machinery of the host cell and to embark cellular kinases when budding.
Such property was demonstrated for HIV-1 more than a decade ago. This review
summarizes the knowledge acquired in the field of HIV-1-associated kinases and
discusses their possible function in the retroviral life cycle.
PMID- 21888653
TI - Suspected idiopathic sclerosing orbital inflammation presenting as immunoglobulin
G4-related disease: a case report.
AB - INTRODUCTION: Idiopathic sclerosing orbital inflammation is a rare and ill
defined heterogeneous entity, and a distinct subset of orbital inflammation.
Recently, attention has been focused on immunoglobulin G4-related disease
complicated with fibrotic changes in some other organs with high serum
immunoglobulin G4 levels. This report presents a case of suspected idiopathic
sclerosing orbital inflammation complicated with high serum immunoglobulin G4
levels. CASE PRESENTATION: An 82-year-old Japanese woman had a 30-year history of
chronic thyroiditis. She experienced right ptosis and eyelid swelling. These
symptoms gradually developed over five years. The clinical and radiographic
findings suggested that our patient had idiopathic sclerosing orbital
inflammation. We were unable to obtain our patient's consent to perform a biopsy.
While the serum immunoglobulin G level was within the normal limits, the serum
immunoglobulin G4 level was significantly elevated. The serum immunoglobulin G4
levels decreased after the administration of oral prednisolone at a daily dose of
20 mg. In addition, the swelling and ptosis of the right upper eyelid disappeared
gradually after four weeks. Our patient was then suspected to have idiopathic
sclerosing orbital inflammation complicated with immunoglobulin G4-related
disease and chronic thyroiditis. CONCLUSION: An orbital pseudotumor of this type
is indicative of idiopathic sclerosing orbital inflammation immunoglobulin G4
related disease. Immunoglobulin G4 may thus be considered a subclass of
immunoglobulin G when the serum immunoglobulin G level is within normal limits.
PMID- 21888654
TI - Transcriptomic analysis of the zebrafish inner ear points to growth hormone
mediated regeneration following acoustic trauma.
AB - BACKGROUND: Unlike mammals, teleost fishes are capable of regenerating sensory
inner ear hair cells that have been lost following acoustic or ototoxic trauma.
Previous work indicated that immediately following sound exposure, zebrafish
saccules exhibit significant hair cell loss that recovers to pre-treatment levels
within 14 days. Following acoustic trauma in the zebrafish inner ear, we used
microarray analysis to identify genes involved in inner ear repair following
acoustic exposure. Additionally, we investigated the effect of growth hormone
(GH) on cell proliferation in control zebrafish utricles and saccules, since GH
was significantly up-regulated following acoustic trauma. RESULTS: Microarray
analysis, validated with the aid of quantitative real-time PCR, revealed several
genes that were highly regulated during the process of regeneration in the
zebrafish inner ear. Genes that had fold changes of >= 1.4 and P -values <= 0.05
were considered significantly regulated and were used for subsequent analysis.
Categories of biological function that were significantly regulated included
cancer, cellular growth and proliferation, and inflammation. Of particular
significance, a greater than 64-fold increase in growth hormone (gh1) transcripts
occurred, peaking at 2 days post-sound exposure (dpse) and decreasing to
approximately 5.5-fold by 4 dpse. Pathway Analysis software was used to reveal
networks of regulated genes and showed how GH affected these networks. Subsequent
experiments showed that intraperitoneal injection of salmon growth hormone
significantly increased cell proliferation in the zebrafish inner ear. Many other
gene transcripts were also differentially regulated, including heavy and light
chain myosin transcripts, both of which were down-regulated following sound
exposure, and major histocompatability class I and II genes, several of which
were significantly regulated on 2 dpse. CONCLUSIONS: Transcripts for GH, MHC
Class I and II genes, and heavy- and light-chain myosins, as well as many others
genes, were differentially regulated in the zebrafish inner ear following
overexposure to sound. GH injection increased cell proliferation in the inner ear
of non-sound-exposed zebrafish, suggesting that GH could play an important role
in sensory hair cell regeneration in the teleost ear.
PMID- 21888655
TI - Sentinel lymph node biopsy is unsuitable for routine practice in younger female
patients with unilateral low-risk papillary thyroid carcinoma.
AB - BACKGROUND: Sentinel lymph node (SLN) biopsy has been used to assess patients
with papillary thyroid carcinoma (PTC). To achieve its full potential the rate of
SLN identification must be as close to 100 percent as possible. In the present
study we compared the combination of preoperative lymphoscintigraphy scanning by
sulfur colloid labeled with 99 m Technetium, gamma-probe guided surgery, and
methylene blue with methylene blue, alone, for sentinel node identification in
younger women with unilateral low-risk PTC. METHODS: From January 2004 to January
2007, 90 female patients, ages 23 to 44 (mean = 35), with unilateral low-risk PTC
(T1-2N0M0) were prospectively studied. Mean tumor size was 1.3 cm (range, 0.8-3.7
cm). All patients underwent unilateral modified neck dissection. Prior to
surgery, patients had, by random assignment, identification and biopsy of SLNs by
methylene blue, alone (Group 1), or by sulfur colloid labeled with 99 m
Technetium, gamma-probe guided surgery and methylene blue (Group 2). RESULTS: In
the methylene blue group, SLNs were identified in 39 of 45 patients (86.7%). Of
the 39 patients, 28 (71.8%) had positive cervical lymph nodes (pN+), and 21
patients (53.8%) had pSLN+. In 7 of the 28 pN+ patients (25%), metastases were
also detected in non-SLN, thus giving a false-negative rate (FNR of 38.9% (7/18),
a negative predictive value (NPV) of 61.1% (11/18), and an accuracy of 82.1%
(32/39). In the combined technique group, the identification rate (IR) of SLN was
100% (45/45). Of the 45 patients, 27 (60.0%) had pN+, 24 (53.3%) had pSLN+. There
was a FNR of 14.3% (3/21), a NPV of 85.7% (18/21), and an accuracy of 93.3%
(42/45). The combined techniques group was significantly superior to the
methylene blue group in IR (p = 0.035). There were no significant differences
between two groups in sensitivity, specificity, NPV, or accuracy. Location of pN+
(55 patients) in 84 patients was: level I and V, no patients; level II, 1 patient
(1.2%); level III, 6 patients (7.2%); level III and IV, 8 patients (9.5%); level
IV, alone, 8 patients (9.5%); level VI, 32 patients (38.1%). In all 90 patients,
IR of SLN was 93.3%, FNR, 25.6%, NPV, 74.4%, and accuracy rate, 88.1 percent.
CONCLUSIONS: Compared to a single technique, there was a significantly higher SLN
identification rate for the combined technique in younger female with
ipsilateral, low-risk PTC (T1-2N0M0). Thus, a combined SLN biopsy technique seems
to more accurately stage lymph nodes, with better identification of SLN located
out of the central compartment. Regardless of the procedure used, the high FNR
renders the current SLN techniques unsuitable for routine practice. Based on
these results, prophylactic node dissection of level VI might be considered
because 38.1% of our patients had such node metastases.
PMID- 21888657
TI - Visual error augmentation enhances learning in three dimensions.
AB - Because recent preliminary evidence points to the use of Error augmentation (EA)
for motor learning enhancements, we visually enhanced deviations from a straight
line path while subjects practiced a sensorimotor reversal task, similar to
laparoscopic surgery. Our study asked 10 healthy subjects in two groups to
perform targeted reaching in a simulated virtual reality environment, where the
transformation of the hand position matrix was a complete reversal--rotated 180
degrees about an arbitrary axis (hence 2 of the 3 coordinates are reversed). Our
data showed that after 500 practice trials, error-augmented-trained subjects
reached the desired targets more quickly and with lower error (differences of 0.4
seconds and 0.5 cm Maximum Perpendicular Trajectory deviation) when compared to
the control group. Furthermore, the manner in which subjects practiced was
influenced by the error augmentation, resulting in more continuous motions for
this group and smaller errors. Even with the extreme sensory discordance of a
reversal, these data further support that distorted reality can promote more
complete adaptation/learning when compared to regular training. Lastly, upon
removing the flip all subjects quickly returned to baseline rapidly within 6
trials.
PMID- 21888656
TI - Effect of praziquantel treatment of Schistosoma mansoni during pregnancy on
immune responses to schistosome antigens among the offspring: results of a
randomised, placebo-controlled trial.
AB - BACKGROUND: Offspring of women with schistosomiasis may exhibit immune
responsiveness to schistosomes due to in utero sensitisation or trans-placental
transfer of antibodies. Praziquantel treatment during pregnancy boosts maternal
immune responses to schistosome antigens and reduces worm burden. Effects of
praziquantel treatment during pregnancy on responses among offspring are unknown.
METHODS: In a trial of anthelminthic treatment during pregnancy in Uganda
(ISRCTN32849447; http://www.controlled-trials.com/ISRCTN32849447/elliott),
offspring of women with Schistosoma mansoni were examined for cytokine and
antibody responses to schistosome worm (SWA) and egg (SEA) antigen, in cord blood
and at age one year. Relationships to maternal responses and pre-treatment
infection intensities were examined, and responses were compared between the
offspring of women who did, or did not receive praziquantel treatment during
pregnancy. RESULTS: Of 388 S. mansoni-infected women studied, samples were
obtained at age one year from 215 of their infants. Stool examination for S.
mansoni eggs was negative for all infants. Cord and infant samples were
characterised by very low cytokine production in response to schistosome antigens
with the exception of cord IL-10 responses, which were substantial. Cord and
infant cytokine responses showed no association with maternal responses. As
expected, cord blood levels of immunoglobulin (Ig) G to SWA and SEA were high and
correlated with maternal antibodies. However, by age one year IgG levels had
waned and were hardly detectable. Praziquantel treatment during pregnancy showed
no effect on cytokine responses or antibodies levels to SWA or SEA either in cord
blood or at age one year, except for IgG1 to SWA, which was elevated in infants
of treated mothers, reflecting maternal levels. There was some evidence that
maternal infection intensity was positively associated with cord blood IL-5 and
IL-13 responses to SWA, and IL-5 responses to SEA, and that this association was
modified by treatment with praziquantel. CONCLUSIONS: Despite strong effects on
maternal infection intensity and maternal immune responses, praziquantel
treatment of infected women during pregnancy had no effect on anti-schistosome
immune responses among offspring by age one year. Whether the treatment will
impact upon the offspring's responses on exposure to primary schistosome
infection remains to be elucidated. TRIAL REGISTRATION: ISRCTN: ISRCTN32849447.
PMID- 21888658
TI - Preferential expression of potential markers for cancer stem cells in large cell
neuroendocrine carcinoma of the lung. An FFPE proteomic study.
AB - BACKGROUND: Large cell neuroendocrine carcinoma (LCNEC) of the lung, a subtype of
large cell carcinoma (LCC), is characterized by neuroendocrine differentiation
that small cell lung carcinoma (SCLC) shares. Pre-therapeutic histological
distinction between LCNEC and SCLC has so far been problematic, leading to
adverse clinical outcome. We started a project establishing protein targets
characteristic of LCNEC with a proteomic method using formalin fixed paraffin
embedded (FFPE) tissues, which will help make diagnosis convincing. METHODS:
Cancer cells were collected by laser microdissection from cancer foci in FFPE
tissues of LCNEC (n = 4), SCLC (n = 5), and LCC (n = 5) with definite
histological diagnosis. Proteins were extracted from the harvested sections,
trypsin-digested, and subjected to HPLC/mass spectrometry. Proteins identified by
database search were semi-quantified by spectral counting and statistically
sorted by pair-wise G-statistics. The results were immunohistochemically verified
using a total of 10 cases for each group to confirm proteomic results. RESULTS: A
total of 1981 proteins identified from the three cancer groups were subjected to
pair-wise G-test under p < 0.05 and specificity of a protein's expression to
LCNEC was checked using a 3D plot with the coordinates comprising G-statistic
values for every two group comparisons. We identified four protein candidates
preferentially expressed in LCNEC compared with SCLC with convincingly low p
values: aldehyde dehydrogenase 1 family member A1 (AL1A1) (p = 6.1 * 10-4), aldo
keto reductase family 1 members C1 (AK1C1) (p = 9.6x10-10) and C3 (AK1C3) (p =
3.9x10-10) and CD44 antigen (p = 0.021). These p-values were confirmed by non
parametric exact inference tests. Interestingly, all these candidates would
belong to cancer stem cell markers. Immunohistochmistry supported proteomic
results. CONCLUSIONS: These results suggest that candidate biomarkers of LCNEC
were related to cancer stem cells and this proteomic approach via FFPE samples
was effective to detect them.
PMID- 21888659
TI - Efficient activation of T cells by human monocyte-derived dendritic cells (HMDCs)
pulsed with Coxiella burnetii outer membrane protein Com1 but not by HspB-pulsed
HMDCs.
AB - BACKGROUND: Coxiella burnetii is an obligate intracellular bacterium and the
etiologic agent of Q fever; both coxiella outer membrane protein 1 (Com1) and
heat shock protein B (HspB) are its major immunodominant antigens. It is not
clear whether Com1 and HspB have the ability to mount immune responses against C.
burnetii infection. RESULTS: The recombinant proteins Com1 and HspB were applied
to pulse human monocyte-derived dendritic cells (HMDCs), and the pulsed HMDCs
were used to stimulate isogenic T cells. Com1-pulsed HMDCs expressed
substantially higher levels of surface molecules (CD83, CD40, CD80, CD86, CD54,
and CD58) and a higher level of interleukin-12 than HspB-pulsed HMDCs. Moreover,
Com1-pulsed HMDCs induced high-level proliferation and activation of CD4(+) and
CD8(+) cells, which expressed high levels of T-cell activation marker CD69 and
inflammatory cytokines IFN-gamma and TNF-alpha. In contrast, HspB-pulsed HMDCs
were unable to induce efficient T-cell proliferation and activation. CONCLUSIONS:
Our results demonstrate that Com1-pulsed HMDCs are able to induce efficient T
cell proliferation and drive T cells toward Th1 and Tc1 polarization; however,
HspB-pulsed HMDCs are unable to do so. Unlike HspB, Com1 is a protective antigen,
which was demonstrated by the adoptive transfer of Com1-pulsed bone marrow
dendritic cells into naive BALB/c mice.
PMID- 21888660
TI - Helping hands: a cluster randomised trial to evaluate the effectiveness of two
different strategies for promoting hand hygiene in hospital nurses.
AB - BACKGROUND: Hand hygiene prescriptions are the most important measure in the
prevention of hospital-acquired infections. Yet, compliance rates are generally
below 50% of all opportunities for hand hygiene. This study aims at evaluating
the short- and long-term effects of two different strategies for promoting hand
hygiene in hospital nurses. METHODS/DESIGN: This study is a cluster randomised
controlled trial with inpatient wards as the unit of randomisation. Guidelines
for hand hygiene will be implemented in this study. Two strategies will be used
to improve the adherence to guidelines for hand hygiene. The state-of-the-art
strategy is derived from the literature and includes education, reminders,
feedback, and targeting adequate products and facilities. The extended strategy
also contains activities aimed at influencing social influence in groups and
enhancing leadership. The unique contribution of the extended strategy is built
upon relevant behavioural science theories. The extended strategy includes all
elements of the state-of-the-art strategy supplemented with gaining active
commitment and initiative of ward management, modelling by informal leaders at
the ward, and setting norms and targets within the team. Data will be collected
at four points in time, with six-month intervals. An average of 3,000
opportunities for hand hygiene in approximately 900 nurses will be observed at
each time point. DISCUSSION: Performing and evaluating an implementation strategy
that also targets the social context of teams may considerably add to the general
body of knowledge in this field. Results from our study will allow us to draw
conclusions on the effects of different strategies for the implementation of hand
hygiene guidelines, and based on these results we will be able to define a
preferred implementation strategy for hospital based nursing. TRIAL REGISTRATION:
The study is registered as a Clinical Trial in ClinicalTrials.gov, dossier
number: NCT00548015.
PMID- 21888661
TI - Differential expression of proteins and phosphoproteins during larval
metamorphosis of the polychaete Capitella sp. I.
AB - BACKGROUND: The spontaneous metamorphosis of the polychaete Capitella sp. I
larvae into juveniles requires minor morphological changes, including segment
formation, body elongation, and loss of cilia. In this study, we investigated
changes in the expression patterns of both proteins and phosphoproteins during
the transition from larvae to juveniles in this species. We used two-dimensional
gel electrophoresis (2-DE) followed by multiplex fluorescent staining and MALDI
TOF mass spectrometry analysis to identify the differentially expressed proteins
as well as the protein and phosphoprotein profiles of both competent larvae and
juveniles. RESULTS: Twenty-three differentially expressed proteins were
identified in the two developmental stages. Expression patterns of two of those
proteins were examined at the protein level by Western blot analysis while seven
were further studied at the mRNA level by real-time PCR. Results showed that
proteins related to cell division, cell migration, energy storage and oxidative
stress were plentifully expressed in the competent larvae; in contrast, proteins
involved in oxidative metabolism and transcriptional regulation were abundantly
expressed in the juveniles. CONCLUSION: It is likely that these differentially
expressed proteins are involved in regulating the larval metamorphosis process
and can be used as protein markers for studying molecular mechanisms associated
with larval metamorphosis in polychaetes.
PMID- 21888662
TI - Genotyping analysis of Helicobacter pylori using multiple-locus variable-number
tandem-repeats analysis in five regions of China and Japan.
AB - BACKGROUND: H. pylori (Helicobacter pylori) is the major causative agent of
chronic active gastritis. The population of H. pylori shows a high genomic
variability among isolates. And the polymorphism of repeat-units of genomics had
participated the important process of evolution. Its long term colonization of
the stomach caused different clinical outcomes, which may relate to the high
degree of genetic variation of H. pylori. A variety of molecular typing tools
have been developed to access genetic relatedness in H. pylori isolates. However,
there is still no standard genotyping system of this bacterium. The MLVA (Multi
locus of variable number of tandem repeat analysis) method is useful for
performing phylogenetic analysis and is widely used in bacteria genotyping;
however, there's little application in H. pylori analysis. This article is the
first application of the MLVA method to investigate H. pylori from different
districts and ethnic groups of China. RESULTS: MLVA of 12 VNTR loci with high
discrimination power based on 30 candidates were performed on a collection of 202
strains of H. pylori which originated from five regions of China and Japan.
Phylogenetic tree was constructed using MLVA profiles. 12 VNTR loci presented
with high various polymorphisms, and the results demonstrated very close
relationships between genotypes and ethnic groups. CONCLUSIONS: This study used
MLVA methodology providing a new perspective on the ethnic groups and
distribution characteristics of H. pylori.
PMID- 21888663
TI - Cyclin D1 expression in colorectal cancer is a favorable prognostic factor in men
but not in women in a prospective, population-based cohort study.
AB - BACKGROUND: Although colorectal cancer (CRC) is generally not considered to be a
hormone-dependent malignancy, several sex-related differences in incidence,
molecular characteristics and survival have been reported. Epidemiological
studies have consistently shown that increased exposure to female sex hormones is
associated with a lower risk of CRC in women, and cyclin D1, an important
downstream effector in estrogen-mediated signaling, is commonly activated in CRC.
In this study, we analyzed the prognostic significance of cyclin D1 expression in
CRC, with particular reference to sex-related differences, in tumors from a
large, prospective, population-based cohort. METHODS: Using tissue microarrays
and immunohistochemistry, the fraction and intensity of cyclin D1 expression was
evaluated in 527 incident CRC cases from the Malmo Diet and Cancer Study. The
chi2 and Spearman's rho (rho) tests were used for comparison of cyclin D1
expression and relevant clinicopathological characteristics. Kaplan-Meier
analysis and Cox proportional hazards modeling were used to assess the effect of
cyclin D1 expression on cancer-specific survival (CSS) in univariate and
multivariate analysis, adjusted for established prognostic factors. RESULTS:
Cyclin D1 intensity was significantly lower in male compared with female CRC (P =
0.018). In the full cohort, cyclin D1 expression was associated with a
significantly prolonged CSS (hazard ratio (HR) = 0.69; 95% CI 0.49 to 0.96, P =
0.026) but subgroup analysis according to gender revealed a strongly accentuated
prognostic effect of cyclin D1 in male CRC (HR = 0.48; 95% CI 0.31 to 0.74, P <
0.001), which was in contrast to female CRC, where cyclin D1 was not prognostic
(HR = 1.05; 95% CI 0.62 to 1.78, P = 0.864) (Pinteraction = 0.024). The
prognostic value of cyclin D1 was not retained in multivariate analysis, either
in the full cohort or in male CRC. CONCLUSIONS: Cyclin D1 expression is strongly
associated with prolonged survival in male CRC. These findings not only support
an important role for cyclin D1 in colorectal carcinogenesis, but also add
further weight to the accumulating evidence that CRC is indeed a hormone
dependent malignancy, for which prognostic and treatment-predictive molecular
biomarkers should be evaluated differently in women and men.
PMID- 21888664
TI - Comparison between observed children's tooth brushing habits and those reported
by mothers.
AB - BACKGROUND: Information bias can occur in epidemiological studies and compromise
scientific outcomes, especially when evaluating information given by a patient
regarding their own health. The oral habits of children reported by their mothers
are commonly used to evaluate tooth brushing practices and to estimate fluoride
intake by children. The aim of the present study was to compare observed tooth
brushing habits of young children using fluoridated toothpaste with those
reported by mothers. METHODS: A sample of 201 mothers and their children (aged 24
48 months) from Montes Claros, Brazil, took part in a cross-sectional study. At
day-care centres, the mothers answered a self-administered questionnaire on their
child's tooth-brushing habits. The structured questionnaire had six items with
two to three possible answers. An appointment was then made with each
mother/child pair at day-care centres. The participants were asked to demonstrate
the tooth-brushing practice as usually performed at home. A trained examiner
observed and documented the procedure. Observed tooth brushing and that reported
by mothers were compared for overall agreement using Cohen's Kappa coefficient
and the McNemar test. RESULTS: Cohen's Kappa values comparing mothers' reports
and tooth brushing observed by the examiner ranged from poor-to-good (0.00-0.75).
There were statistically significant differences between observed tooth brushing
habits and those reported by mothers (p < 0.001). When observed by the examiner,
the frequencies of dentifrice dispersed on all bristles (35.9%), children who
brushed their teeth alone (33.8%) and those who did not rinse their mouths during
brushing (42.0%) were higher than those reported by the mothers (12.1%, 18.9% and
6.5%, respectively; p < 0.001). CONCLUSIONS: In general, there was low agreement
between observed tooth brushing and mothers' reports. Moreover, the different
methods of estimation resulted in differences in the frequencies of tooth
brushing habits, indicative of reporting bias. Data regarding children's tooth
brushing habits as reported by mothers should be considered with caution in
epidemiological surveys on fluoridated dentifrice use and the risk of dental
fluorosis.
PMID- 21888665
TI - A decision aid for considering indomethacin prophylaxis vs. symptomatic treatment
of PDA for extreme low birth weight infants.
AB - BACKGROUND: Decision Aids (DA) are well established in various fields of
medicine. It can improve the quality of decision-making and reduce decisional
conflict. In neonatal care, and due to scientific equipoise, neonatologists
caring for extreme low birth weight (ELBW) infants are in need to elicit parents'
preferences with regard to the use of indomethacin therapy in ELBW infants. We
aimed to develop a DA that elicits parents' preferences with regard to
indomethacin therapy in ELBW infants. METHODS: We developed a DA for the use of
the indomethacin therapy in ELBW infants according to the Ottawa Decision Support
Framework. The development process involved parents, neonatologists, DA
developers and decision making experts. A pilot testing with healthy volunteers
was conducted through an evaluation questionnaire, a knowledge scale, and a
validated decisional conflict scale. RESULTS: The DA is a computer-based
interactive tool. In the first part, the DA provides information about patent
ductus arteriosus (PDA) as a disease, the different treatment options, and the
benefits and downsides of using indomethacin therapy in preterm infants. In the
second part, it coaches the parent in the decision making process through
clarifying values and preferences. Volunteers rated 10 out of 13 items of the DA
positively and showed significant improvement on both the knowledge scale (p =
0.008) and the decisional conflict scale (p = 0.008). CONCLUSION: We have
developed a computer based DA to assess parental preferences with regard to
indomethacin therapy in preterm infants. Future research will involve measurement
of parental preferences to guide and augment the clinical decisions in current
neonatal practice.
PMID- 21888666
TI - HIV/AIDS among youth in urban informal (slum) settlements in Kenya: what are the
correlates of and motivations for HIV testing?
AB - BACKGROUND: Although HIV counseling and testing (HCT) is widely considered an
integral component of HIV prevention and treatment strategies, few studies have
examined HCT behavior among youth in sub-Saharan Africa-a group at substantial
risk for HIV infection. In this paper we examine: the correlates of HIV testing,
including whether associations differ based on the context under which a person
gets tested; and the motivations for getting (or not getting) an HIV test.
METHODS: Drawing on data collected in 2007 from 4028 (51% male) youth (12-22
years) living in Korogocho and Viwandani slum settlements in Nairobi (Kenya), we
explored the correlates of and motivations for HIV testing using the Health
Belief Model (HBM) as a theoretical framework. Multinomial and binary logistic
regression analyses were employed to examine correlates of HIV testing. Bivariate
analyses were employed to assess reasons for or against testing. RESULTS:
Nineteen percent of males and 35% of females had been tested. Among tested youth,
74% of males and 43% of females had requested for their most recent HIV test
while 7% of males and 32% of females reported that they were required to take
their most recent HIV test (i.e., the test was mandatory). About 60% of females
who had ever had sex received an HIV test because they were pregnant. We found
modest support for the HBM in explaining variation in testing behavior. In
particular, we found that perceived risk for HIV infection may drive HIV testing
among youth. For example, about half of youth who had ever had sex but had never
been tested reported that they had not been tested because they were not at risk.
CONCLUSIONS: Targeted interventions to help young people correctly assess their
level of risk and to increase awareness of the potential value of HIV testing may
help enhance uptake of testing services. Given the relative success of Prevention
of Mother-to-Child Transmission (PMTCT) services in increasing HIV testing rates
among females, routine provider-initiated testing and counseling among all
clients visiting medical facilities may provide an important avenue to increase
HIV status awareness among the general population and especially among males.
PMID- 21888668
TI - Ultrasonographic findings in a cow with vascular hamartoma of the liver: case
report.
AB - BACKGROUND: This is the first description of the ultrasonographic findings in a
cow with vascular hamartoma of the liver. CASE PRESENTATION: Ultrasonographic
examination of a six-year-old Swiss Braunvieh cow revealed an excessive number of
hypoechogenic blood vessels in the liver parenchyma and a thrombus in the right
hepatic vein. The activities of the liver enzymes and the concentration of
bilirubin were within the reference ranges. At postmortem examination, a poorly
delineated, non-encapsulated lesion, measuring approximately 10 cm * 10 cm in
diameter, was found in the right liver lobe. The cut surface of the lesion was
sponge-like and contained extremely dilated blood vessels, one of which was
occluded with a branching red thrombus. A vascular hamartoma of the liver with
thrombosis was diagnosed based on the histological findings. CONCLUSIONS: To our
knowledge, this is the first description of the ultrasonographic findings of
vascular hamartoma of the liver in a cow. Hamartoma should be considered part of
the differential diagnosis in cows with an abnormally large number of blood
vessels in the liver parenchyma. This case report broadens the spectrum of liver
diseases and ultrasonographic findings of the liver in cattle.
PMID- 21888667
TI - An overview on ELISA techniques for FMD.
AB - BACKGROUND: FMD is one of the major causes of economic loss of cloven-hoofed
animals in the world today. The assessment of dominant genotype/lineage and
prevalent trends and confirmation the presence of infection or vaccination not
only provides scientific basis and first-hand information for appropriate control
measure but also for disease eradication and regaining FMD free status following
an outbreak. Although different biological and serological approaches are still
applied to study this disease, ELISA test based on the distinct format, antigen
type and specific antibody reinforce its predominance in different research areas
of FMD, and this may replace the traditional methods in the near future. This
review gives comprehensive insight on ELISA currently available for typing,
antigenic analysis, vaccination status differentiation and surveillance vaccine
purity and content at all stages of manufacture in FMDV. Besides, some viewpoint
about the recent advances and trends of ELISA reagent for FMD are described here.
METHODS: More than 100 studies regarding ELISA method available for FMD
diagnosis, antigenic analysis and monitor were thoroughly reviewed. We
investigated previous sagacious results of these tests on their sensitivity,
specificity. RESULTS: We found that in all ELISA formats for FMD, antibody
trapping and competitive ELISAs have high specificity and RT-PCR (oligoprobing)
ELISA has extra sensitivity. A panel of monoclonal antibodies to different sites
or monoclonal antibody in combination of antiserum is the most suitable
combination of antibodies in ELISA for FMD. Even though from its beginning, 3ABC
is proven to be best performance in many studies, no single NSP can differentiate
infected from vaccinated animals with complete confidence. Meanwhile, recombinant
antigens and peptide derived from FMDV NPs, and NSPs have been developed for use
as an alternative to the inactivated virus antigen for security. CONCLUSIONS:
There is a need of target protein, which accurately determines the susceptible
animal status based on the simple, fast and reliable routine laboratory test. A
further alternative based on virus-like particle (VLP, also called empty capsids)
in combination of high throughput antibody technique (Phage antibody
library/antibody microarray) may be the powerful ELISA diagnostic reagents in
future.
PMID- 21888670
TI - With good intentions: complexity in unsolicited informal support for Aboriginal
and Torres Strait Islander peoples. A qualitative study.
AB - BACKGROUND: Understanding people's social lived experiences of chronic illness is
fundamental to improving health service delivery and health outcomes,
particularly in relation to self-management activity. In explorations of social
lived experiences this paper uncovers the ways in which Aboriginal and Torres
Strait Islander people with chronic illness experience informal unsolicited
support from peers and family members. METHODS: Nineteen Aboriginal and Torres
Islander participants were interviewed in the Serious and Continuing Illness
Policy and Practice Study (SCIPPS). Participants were people with Type 2 diabetes
(N = 17), chronic obstructive pulmonary disease (N = 3) and/or chronic heart
failure (N = 11) and family carers (N = 3). Participants were asked to describe
their experience of having or caring for someone with chronic illness. Content
and thematic analysis of in-depth semi-structured interviews was undertaken,
assisted by QSR Nvivo8 software. RESULTS: Participants reported receiving several
forms of unsolicited support, including encouragement, practical suggestions for
managing, nagging, growling, and surveillance. Additionally, participants had
engaged in 'yarning', creating a 'yarn' space, the function of which was
distinguished as another important form of unsolicited support. The implications
of recognising these various support forms are discussed in relation to responses
to unsolicited support as well as the needs of family carers in providing
effective informal support. CONCLUSIONS: Certain locations of responsibility are
anxiety producing. Family carers must be supported in appropriate education so
that they can provide both solicited and unsolicited support in effective ways.
Such educational support would have the added benefit of helping to reduce carer
anxieties about caring roles and responsibilities. Mainstream health services
would benefit from fostering environments that encourage informal interactions
that facilitate learning and support in a relaxed atmosphere.
PMID- 21888669
TI - Clamp-crushing versus stapler hepatectomy for transection of the parenchyma in
elective hepatic resection (CRUNSH)--a randomized controlled trial (NCT01049607).
AB - BACKGROUND: Hepatic resection is still associated with significant morbidity.
Although the period of parenchymal transection presents a crucial step during the
operation, uncertainty persists regarding the optimal technique of transection.
It was the aim of the present randomized controlled trial to evaluate the
efficacy and safety of hepatic resection using the technique of stapler
hepatectomy compared to the simple clamp-crushing technique. METHODS/DESIGN: The
CRUNSH Trial is a prospective randomized controlled single-center trial with a
two-group parallel design. Patients scheduled for elective hepatic resection
without extrahepatic resection at the Department of General-, Visceral- and
Transplantation Surgery, University of Heidelberg are enrolled into the trial and
randomized intraoperatively to hepatic resection by the clamp-crushing technique
and stapler hepatectomy, respectively. The primary endpoint is total
intraoperative blood loss. A set of general and surgical variables are documented
as secondary endpoints. Patients and outcome-assessors are blinded for the
treatment intervention. DISCUSSION: The CRUNSH Trial is the first randomized
controlled trial to evaluate efficacy and safety of stapler hepatectomy compared
to the clamp-crushing technique for parenchymal transection during elective
hepatic resection. TRIAL REGISTRATION: ClinicalTrials.gov: NCT01049607.
PMID- 21888671
TI - True prevalence of twin HDV-HBV infection in Pakistan: a molecular approach.
AB - Hepatitis Delta Virus (HDV) infects only patients that are already infected by
hepatitis B virus (HBV) because this is sub satellite virus which depends on and
propagate only in the presence of HBV. HDV causes co-infection or super infection
with sever complication as compared to only HBV infection. No study on molecular
level on HDV is available from this region; therefore, the aim of this study was
to found out the molecular epidemiology of HDV (as a co-infection with HBV) in
different geographical regions of Pakistan.Total 228 HBsAg positive samples were
received for the study from different geographical regions of the country. Only
HBV DNA PCR positive samples were further utilized for the presence of HDV RNA.
For this purpose, HDV RNA and HBV DNA was extracted and amplified using reverse
transcriptase polymerase chain reaction (RT-PCR), nested PCR and real-time PCR.
Out of the total 228 HBsAg positive samples, HBV DNA was detected in total 190
(83.3%) samples belonged to different patients. Of these 190 patients, HDV RNA
was observed in 53 (28%) patients. Of the 53 HDV positive cases, 37 (69.8%) were
males and 16 (30.2%) were female patients. The percentage of dual infection was
found higher significantly (p < 0.05) in male patients as compared to female
patients. Total 41 (26.8%) patients were below 40 years and 13 (31.7%) were above
40 years of age. No significant difference was seen in patients with ages above
or below 40 years. In the provinces of Sindh, Khyber Pakhtoonkhaw and Punjab the
observed prevalence of HDV was 67%, 6% and 4% respectively.In conclusion, the HDV
infection is not uncommon in Pakistan and its prevalence is higher significantly
in the Province of Sindh (p < 0.01) and male six (p < 0.05).
PMID- 21888672
TI - Refining transcriptional programs in kidney development by integration of deep
RNA-sequencing and array-based spatial profiling.
AB - BACKGROUND: The developing mouse kidney is currently the best-characterized model
of organogenesis at a transcriptional level. Detailed spatial maps have been
generated for gene expression profiling combined with systematic in situ
screening. These studies, however, fall short of capturing the transcriptional
complexity arising from each locus due to the limited scope of microarray-based
technology, which is largely based on "gene-centric" models. RESULTS: To address
this, the polyadenylated RNA and microRNA transcriptomes of the 15.5 dpc mouse
kidney were profiled using strand-specific RNA-sequencing (RNA-Seq) to a depth
sufficient to complement spatial maps from pre-existing microarray datasets. The
transcriptional complexity of RNAs arising from mouse RefSeq loci was catalogued;
including 3568 alternatively spliced transcripts and 532 uncharacterized
alternate 3' UTRs. Antisense expressions for 60% of RefSeq genes was also
detected including uncharacterized non-coding transcripts overlapping kidney
progenitor markers, Six2 and Sall1, and were validated by section in situ
hybridization. Analysis of genes known to be involved in kidney development,
particularly during mesenchymal-to-epithelial transition, showed an enrichment of
non-coding antisense transcripts extended along protein-coding RNAs. CONCLUSION:
The resulting resource further refines the transcriptomic cartography of kidney
organogenesis by integrating deep RNA sequencing data with locus-based
information from previously published expression atlases. The added resolution of
RNA-Seq has provided the basis for a transition from classical gene-centric
models of kidney development towards more accurate and detailed "transcript
centric" representations, which highlights the extent of transcriptional
complexity of genes that direct complex development events.
PMID- 21888673
TI - The association between urinary kidney injury molecule 1 and urinary cadmium in
elderly during long-term, low-dose cadmium exposure: a pilot study.
AB - BACKGROUND: Urinary kidney injury molecule 1 is a recently discovered early
biomarker for renal damage that has been proven to be correlated to urinary
cadmium in rats. However, so far the association between urinary cadmium and
kidney injury molecule 1 in humans after long-term, low-dose cadmium exposure has
not been studied. METHODS: We collected urine and blood samples from 153 non
smoking men and women aged 60+, living in an area with moderate cadmium pollution
from a non-ferrous metal plant for a significant period. Urinary cadmium and
urinary kidney injury molecule 1 as well as other renal biomarkers (alpha1
microglobulin, beta2-microglobulin, blood urea nitrogen, urinary proteins and
microalbumin) were assessed. RESULTS: Both before (r = 0.20; p = 0.01) and after
(partial r = 0.32; p < 0.0001) adjustment for creatinine, age, sex, past smoking,
socio-economic status and body mass index, urinary kidney injury molecule 1
correlated with urinary cadmium concentrations. No significant association was
found between the other studied renal biomarkers and urinary cadmium.
CONCLUSIONS: We showed that urinary kidney injury molecule 1 levels are
positively correlated with urinary cadmium concentration in an elderly population
after long-term, low-dose exposure to cadmium, while other classical markers do
not show an association. Therefore, urinary kidney injury molecule 1 might be
considered as a biomarker for early-stage metal-induced kidney injury by cadmium.
PMID- 21888674
TI - Long-term exposure to traffic-related air pollution and type 2 diabetes
prevalence in a cross-sectional screening-study in the Netherlands.
AB - BACKGROUND: Air pollution may promote type 2 diabetes by increasing adipose
inflammation and insulin resistance. This study examined the relation between
long-term exposure to traffic-related air pollution and type 2 diabetes
prevalence among 50- to 75-year-old subjects living in Westfriesland, the
Netherlands. METHODS: Participants were recruited in a cross-sectional diabetes
screening-study conducted between 1998 and 2000. Exposure to traffic-related air
pollution was characterized at the participants' home-address. Indicators of
exposure were land use regression modeled nitrogen dioxide (NO2) concentration,
distance to the nearest main road, traffic flow at the nearest main road and
traffic in a 250 m circular buffer. Crude and age-, gender- and neighborhood
income adjusted associations were examined by logistic regression. RESULTS: 8,018
participants were included, of whom 619 (8%) subjects had type 2 diabetes.
Smoothed plots of exposure versus type 2 diabetes supported some association with
traffic in a 250 m buffer (the highest three quartiles compared to the lowest
also showed increased prevalence, though non-significant and not increasing with
increasing quartile), but not with the other exposure metrics. Modeled NO2
concentration, distance to the nearest main road and traffic flow at the nearest
main road were not associated with diabetes. Exposure-response relations seemed
somewhat more pronounced for women than for men (non-significant). CONCLUSIONS:
We did not find consistent associations between type 2 diabetes prevalence and
exposure to traffic-related air pollution, though there were some indications for
a relation with traffic in a 250 m buffer.
PMID- 21888675
TI - "Food company sponsors are kind, generous and cool": (mis)conceptions of junior
sports players.
AB - BACKGROUND: Children's exposure to unhealthy food marketing influences their food
knowledge, preferences and consumption. Sport sponsorship by food companies is
widespread and industry investment in this marketing is increasing. This study
aimed to assess children's awareness of sport sponsors and their brand-related
attitudes and purchasing intentions in response to this marketing. METHODS:
Sports clubs known to have food sponsors and representing the most popular sports
for Australian children across a range of demographic areas were recruited.
Interview-based questionnaires were conducted at clubs with children aged 10-14
years (n = 103) to examine their recall of local sports club and elite sport
sponsors, and their attitudes towards sponsors and sponsorship activities.
RESULTS: Most children (68%) could recall sponsors of their sports club, naming a
median of two sponsors, including a median of one food company sponsor each.
Almost half (47%) of children could recall any sponsors of their favourite elite
sporting team. Children aged 10-11 years were more likely than older children to
report that they thought about sponsors when buying something to eat or drink (P
< 0.01); that they liked to return the favour to sponsors by buying their
products (P < 0.01); and that sponsors were 'cool' (P = 0.02). Most children had
received a voucher or certificate from a food or beverage company to reward sport
performance (86% and 76%, respectively). Around one-third of children reported
liking the company more after receiving these rewards. CONCLUSIONS: Children's
high recall of food and beverage company sport sponsors and their positive
attitudes towards these sponsors and their promotions is concerning as this is
likely to be linked to children's food preferences and consumption. Limiting
children's exposure to this marketing is an important initiative to improve
children's nutrition.
PMID- 21888676
TI - DNA double-strand break induction in Ku80-deficient CHO cells following boron
neutron capture reaction.
AB - BACKGROUND: Boron neutron capture reaction (BNCR) is based on irradiation of
tumors after accumulation of boron compound. 10B captures neutrons and produces
an alpha (4He) particle and a recoiled lithium nucleus (7Li). These particles
have the characteristics of high linear energy transfer (LET) radiation and have
marked biological effects. The purpose of this study is to verify that BNCR will
increase cell killing and slow disappearance of repair protein-related foci to a
greater extent in DNA repair-deficient cells than in wild-type cells. METHODS:
Chinese hamster ovary (CHO-K1) cells and a DNA double-strand break (DSB) repair
deficient mutant derivative, xrs-5 (Ku80 deficient CHO mutant cells), were
irradiated by thermal neutrons. The quantity of DNA-DSBs following BNCR was
evaluated by measuring the phosphorylation of histone protein H2AX (gamma-H2AX)
and 53BP1 foci using immunofluorescence intensity. RESULTS: Two hours after
neutron irradiation, the number of gamma-H2AX and 53BP1 foci in the CHO-K1 cells
was decreased to 36.5-42.8% of the levels seen 30 min after irradiation. In
contrast, two hours after irradiation, foci levels in the xrs-5 cells were 58.4
69.5% of those observed 30 min after irradiation. The number of gamma-H2AX foci
in xrs-5 cells at 60-120 min after BNCT correlated with the cell killing effect
of BNCR. However, in CHO-K1 cells, the RBE (relative biological effectiveness)
estimated by the number of foci following BNCR was increased depending on the
repair time and was not always correlated with the RBE of cytotoxicity.
CONCLUSION: Mutant xrs-5 cells show extreme sensitivity to ionizing radiation,
because xrs-5 cells lack functional Ku-protein. Our results suggest that the DNA
DSBs induced by BNCR were not well repaired in the Ku80 deficient cells. The RBE
following BNCR of radio-sensitive mutant cells was not increased but was lower
than that of radio-resistant cells. These results suggest that gamma-ray
resistant cells have an advantage over gamma-ray sensitive cells in BNCR.
PMID- 21888677
TI - Esmolol modulates inhibitory neurotransmission in the substantia gelatinosa of
the spinal trigeminal nucleus of the rat.
AB - BACKGROUND: beta1-adrenaline receptor antagonists are often used to avoid
circulatory complications during anesthesia in patients with cardiovascular
diseases. Of these drugs, esmolol, a short-acting beta antagonist, is also
reported to exert antinociceptive and anesthetic sparing effects. This study was
designed to identify the central mechanism underlying the antinociceptive effect
of esmolol. METHODS: Wistar rats (7-21 d, 17-50 g) were anesthetized with
ketamine (100-150 mg/kg) or isoflurane (5%) and decapitated. Horizontal slices
(400-MUm thick) of the lower brainstem containing the substantia gelatinosa (SG)
of the caudal part of the spinal trigeminal nucleus (Sp5c), in which the
nociceptive primary afferents form the first intracranial synapses, were made
with a vibrating slicer. The miniature inhibitory and excitatory postsynaptic
currents (mIPSCs and mEPSCs, respectively) were simultaneously recorded from
visually identified SG neurons of the Sp5c in the presence of tetrodotoxin (1
MUM). Additionally, mIPSCs were recorded during pharmacological isolation of GABA
and glycine-mediated mIPSCs with kynurenic acid (1 mM). RESULTS: Esmolol (500
MUM) significantly and selectively increased the mIPSC frequency (to 214.2% +/-
34.2% of the control, mean +/- SEM, n = 35; P < 0.001), but not that of mEPSCs,
without changing their amplitude. The increase in mIPSC frequency with esmolol
was not affected by prior activation of beta receptors with isoproterenol (100
MUM) but it was significantly attenuated by removal of extracellular Ca2+.
CONCLUSIONS: These data suggest that esmolol modulates inhibitory transmitter
release in the Sp5c through a mechanism involving Ca2+-entry but in a beta1
adrenoceptor-independent manner. The present results suggest that the
facilitation of inhibitory transmitter release in the central nociceptive network
underlies, at least in part, the antinociceptive effect of esmolol.
PMID- 21888678
TI - A randomised trial and economic evaluation of the effect of response mode on
response rate, response bias, and item non-response in a survey of doctors.
AB - BACKGROUND: Surveys of doctors are an important data collection method in health
services research. Ways to improve response rates, minimise survey response bias
and item non-response, within a given budget, have not previously been addressed
in the same study. The aim of this paper is to compare the effects and costs of
three different modes of survey administration in a national survey of doctors.
METHODS: A stratified random sample of 4.9% (2,702/54,160) of doctors undertaking
clinical practice was drawn from a national directory of all doctors in
Australia. Stratification was by four doctor types: general practitioners,
specialists, specialists-in-training, and hospital non-specialists, and by six
rural/remote categories. A three-arm parallel trial design with equal
randomisation across arms was used. Doctors were randomly allocated to: online
questionnaire (902); simultaneous mixed mode (a paper questionnaire and login
details sent together) (900); or, sequential mixed mode (online followed by a
paper questionnaire with the reminder) (900). Analysis was by intention to treat,
as within each primary mode, doctors could choose either paper or online. Primary
outcome measures were response rate, survey response bias, item non-response, and
cost. RESULTS: The online mode had a response rate 12.95%, followed by the
simultaneous mixed mode with 19.7%, and the sequential mixed mode with 20.7%.
After adjusting for observed differences between the groups, the online mode had
a 7 percentage point lower response rate compared to the simultaneous mixed mode,
and a 7.7 percentage point lower response rate compared to sequential mixed mode.
The difference in response rate between the sequential and simultaneous modes was
not statistically significant. Both mixed modes showed evidence of response bias,
whilst the characteristics of online respondents were similar to the population.
However, the online mode had a higher rate of item non-response compared to both
mixed modes. The total cost of the online survey was 38% lower than simultaneous
mixed mode and 22% lower than sequential mixed mode. The cost of the sequential
mixed mode was 14% lower than simultaneous mixed mode. Compared to the online
mode, the sequential mixed mode was the most cost-effective, although exhibiting
some evidence of response bias. CONCLUSIONS: Decisions on which survey mode to
use depend on response rates, response bias, item non-response and costs. The
sequential mixed mode appears to be the most cost-effective mode of survey
administration for surveys of the population of doctors, if one is prepared to
accept a degree of response bias. Online surveys are not yet suitable to be used
exclusively for surveys of the doctor population.
PMID- 21888679
TI - 'Cooling and cathing' the post-resuscitated.
AB - Cronier and co-workers provide additional evidence that routine use of mild
therapeutic hypothermia combined with emergent coronary angiography and
percutaneous intervention results in excellent survival with intact neurological
function for post-resuscitation patients with ventricular fibrillation.
PMID- 21888680
TI - Give or take? Intravenous immunoglobulin or plasma exchange for Guillain-Barre
syndrome.
AB - A new randomised controlled trial suggested that plasma exchange hastened removal
from the ventilator in mechanically ventilated children with Guillain-Barre
syndrome compared with intravenous immunoglobulin. Two larger trials in adults
showed the opposite result.
PMID- 21888681
TI - Should we use early less invasive hemodynamic monitoring in unstable ICU
patients?
AB - In the previous issue of Critical Care, Takala and colleagues presented the
results of a multicenter study to investigate whether the early presence of less
invasive hemodynamic monitoring improves outcome in patients admitted with
hemodynamic instability to the intensive care unit. The authors' results suggest
that it makes no difference. We discuss these findings and compare them to the
literature on early goal-directed therapy in which monitors are used early but
with a protocol.
PMID- 21888682
TI - Revisiting Mars and Venus: understanding gender differences in critical illness.
AB - Understanding the nature and biological basis of gender-determined differences in
risk of and outcome from infection might identify new therapeutic targets, allow
more individualised treatment, and facilitate better risk prediction and
application of healthcare resources. Gender differences in behaviours,
comorbidities, access to healthcare and biology may result in differences in
acquiring infection, or in response to infection once acquired. Some studies have
reported higher male susceptibility to infection, and higher risk of death with
sepsis, but others have found the opposite effect. The explanation for this
disagreement is probably that different studies have included patients at
different stages on the continuum from infectious agent exposure to death or
recovery. Studying sufficient patient numbers to explore this entire continuum
while accounting for heterogeneity in type of infection and comorbidity is
difficult because of the number of patients required. However, if true gender
effects can be identified, examination of their biological or psychosocial causes
will be warranted.
PMID- 21888683
TI - Clinical review: Special populations--critical illness and pregnancy.
AB - Critical illness is an uncommon but potentially devastating complication of
pregnancy. The majority of pregnancy-related critical care admissions occur
postpartum. Antenatally, the pregnant patient is more likely to be admitted with
diseases non-specific to pregnancy, such as pneumonia. Pregnancy-specific
diseases resulting in ICU admission include obstetric hemorrhage, pre
eclampsia/eclampsia, HELLP (hemolysis, elevated liver enzymes, and low platelet
count) syndrome, amniotic fluid embolus syndrome, acute fatty liver of pregnancy,
and peripartum cardiomyopathy. Alternatively, critical illness may result from
pregnancy-induced worsening of pre-existing diseases (for example, valvular heart
disease, myasthenia gravis, and kidney disease). Pregnancy can also predispose
women to diseases seen in the non-pregnant population, such as acute respiratory
distress syndrome (for example, pneumonia and aspiration), sepsis (for example,
chorioamnionitis and pyelonephritis) or pulmonary embolism. The pregnant patient
may also develop conditions co-incidental to pregnancy such as trauma or
appendicitis. Hemorrhage, particularly postpartum, and hypertensive disorders of
pregnancy remain the most frequent indications for ICU admission. This review
focuses on pregnancy-specific causes of critical illness. Management of the
critically ill mother poses special challenges. The physiologic changes in
pregnancy and the presence of a second, dependent, patient may necessitate
adjustments to therapeutic and supportive strategies. The fetus is generally
robust despite maternal illness, and therapeutically what is good for the mother
is generally good for the fetus. For pregnancy-induced critical illnesses,
delivery of the fetus helps resolve the disease process. Prognosis following
pregnancy-related critical illness is generally better than for age-matched non
pregnant critically ill patients.
PMID- 21888684
TI - Another nail in the hammer's coffin?
AB - Blood pressure saggy? Cardiac output low? Oliguria? Increasing acidosis?
Peripheries a bit cool? Poor cardiac history? No problem. Just start some
dobutamine and watch the numbers improve. And if the patient happens to die, that
is their fault. Or is it? Catecholamines are long-established drugs that have
never undergone formal testing of long-term outcomes and safety. Their use
requires re-evaluation in the light of a wide range of deleterious actions and
retrospective studies suggesting harm.
PMID- 21888685
TI - Cardiovascular disease in systemic sclerosis--an emerging association?
AB - Microvascular disease is a prominent feature of systemic sclerosis (SSc) and
leads to Raynaud's phenomenon, pulmonary arterial hypertension, and scleroderma
renal crisis. The presence of macrovascular disease is less well established,
and, in particular, it is not known whether the prevalence of coronary heart
disease in SSc is increased. Furthermore, in terms of cardiac involvement in SSc,
there remains conjecture about the relative contributions of atherosclerotic
macrovascular disease and myocardial microvascular disease. In this review, we
summarize the literature describing cardiovascular disease in SSc, discuss the
pathophysiological mechanisms common to SSc and atherosclerosis, and review the
surrogate markers of cardiovascular disease which have been examined in SSc.
Proposed mediators of the vasculopathy of SSc which have also been implicated in
atherosclerosis include endothelial dysfunction, a reduced number of circulating
endothelial progenitor cells, and an increased number of microparticles. Excess
cardiovascular risk in SSc is suggested by increased arterial stiffness and
carotid intima thickening and reduced flow-mediated dilatation. Cohort studies of
adequate size are required to resolve whether this translates into an increased
incidence of cardiovascular events in patients with SSc.
PMID- 21888686
TI - Desperately looking for the right target in osteoarthritis: the anti-IL-1
strategy.
AB - Blocking IL-1 in patients with knee osteoarthritis is an attractive strategy.
Cohen and colleagues report a randomised, placebo-controlled, multiple-dose trial
using a monoclonal antibody blocking IL-1 type 1 receptor. They failed to show
any positive results in terms of evolution of pain for up to 12 weeks, in line
with the former trials using intraarticular injections of IL-1 receptor
antagonist. A trend was observed, however, in a subgroup of patients with high
level of pain at baseline. Although these data may suggest cessation of IL-1
therapy in osteoarthritis, other methods such as limited intraarticular anti-IL-1
delivery should still be considered.
PMID- 21888687
TI - T-helper cells as new players in ANCA-associated vasculitides.
AB - In anti-neutrophil cytoplasmic autoantibody-associated vasculitides (AAV),
several observations support a key role of T-helper cells (CD4(+) T cells) in
disease pathophysiology. An expanded population of effector memory CD4(+) T cells
in AAV patients may contribute to tissue injury and disease progression. In
addition, functional impairment of regulatory T cells (T(Regs)) is reported in
AAV patients. A fraction of T(Regs) have the capacity to differentiate into Th17
cells in the context of a proinflammatory environment. Therefore,
nonfunctionality of T(Regs) described in AAV patients may be caused by their
conversion into IL-17-producing cells that may contribute to granulomatous
vasculitis. Further investigations directed at the plasticity of T(Regs) in AAV
patients are warranted.
PMID- 21888689
TI - Challenges in understanding Sjogren's syndrome--improved insights into the
pathogenesis generate hope for innovative therapies?
AB - The reviews in this series on Sjogren syndrome provide an up-to-date summary and
perspectives on the pathogenesis of this interesting entity with glandular and
frequently systemic manifestations, the value of preclinical models, and our
current understanding of therapeutic approaches. The last of these includes what
has been learned from trials blocking tumor necrosis factor and, more recently,
anti-CD20 therapy. Potential therapeutic targets, such as blockade of the B cell
activating factor, the role of interferon-alpha, and targeting CD22, are
discussed.
PMID- 21888688
TI - Immunopathologic differences of Sjogren's syndrome versus sicca syndrome in HCV
and HIV infection.
AB - A clinical picture of dry eye and dry mouth with the histological counterpart of
focal lymphocytic sialoadenitis, usually detected in minor salivary glands, is
considered the hallmark of Sjogren's syndrome. The association of sicca
complaints and focal sialoadenitis can be also found in a number of other
diseases, including some systemic viral infections. Among these conditions,
chronic hepatitis C virus infection, associated with mixed cryoglobulinaemia and
extra-hepatic manifestations, and HIV infection, particularly in the phase of
diffuse interstitial lymphocytic infiltration, may mimic the clinical and
histological aspects of Sjogren's syndrome. However, each disorder is
characterised by specific, disease-related immunopathological aspects. Besides
sicca complaints, the various disorders may also share a number of systemic extra
glandular features and the possible development of mucosa-associated lymphoid
tissue lymphomas. This latter event represents in all of these diseases the final
result of an antigen-driven chronic stimulation of B lymphocytes.
PMID- 21888690
TI - Clinical review: Thinking outside the box--an iconoclastic view of current
practice.
AB - Many advances in medicine have been achieved through challenging established
dogma with revolutionary thought and novel practices. Each and every specialty is
reinvigorated by regular re-evaluation of processes and practices in the light of
new evidence and fresh conceptualization. Challenge can galvanize fresh thinking
and new approaches, yet may also reinforce and strengthen traditional paradigms
if the prevailing orthodoxy is subsequently revalidated. This article is a
synopsis of a roundtable meeting held in Brussels in March 2010 designed
specifically to confront doctrine with reasoned scientific argument, and to
propose new ideas for advancing critical care practices and outcomes.
PMID- 21888691
TI - MicroRNAs miR-221 and miR-222: a new level of regulation in aggressive breast
cancer.
AB - MicroRNAs (miRNAs) are crucial in the initiation and progression of tumors. A
recent study has reported that the miRNAs miR-221 and miR-222 are involved in the
promotion of an aggressive basal-like phenotype in breast cancer, functioning
downstream of the RAS pathway and triggering epithelial-to-mesenchymal
transition. These new insights into the roles of miR-221/222 in breast cancer
metastasis, drug resistance and RAS pathways could potentially have applications
in medical practice.
PMID- 21888692
TI - Technical aspects of amyloid imaging for Alzheimer's disease.
AB - [11C]Pittsburgh Compound B positron emission tomography has now been extensively
used to evaluate the amyloid load in different types of dementia and has become a
powerful research tool in the field of neurodegenerative diseases. In the present
short review we discuss the properties of amyloid imaging agent [11C]Pittsburgh
Compound B, the different modalities of molecular imaging, image processing and
data analysis, and newer amyloid imaging agents.
PMID- 21888695
TI - Cell phones and male infertility: a review of recent innovations in technology
and consequences.
AB - Cell phones have become a vital part of everyday life. However, the health risks
associated with their usage are often overlooked. Recently, evidence from several
studies supports a growing claim that cell phone usage may have a detrimental
effect on sperm parameters leading to decreased male fertility. Nonetheless,
other studies showed no conclusive link between male infertility and cell phone
usage. The ambiguity of such results is attributed to the lack of a centralized
assay for measuring inflicted damage caused by cell phones. Study design, ethics,
and reproducibility are all aspects which must be standardized before any
conclusions can be made.
PMID- 21888696
TI - Initial experience of a novel ergonomic surgical chair for laparoscopic pelvic
surgery.
AB - INTRODUCTION: We present the initial experience of a novel surgical chair for
laparoscopic pelvic surgery, the ETHOSTM (Bridge City Surgical, Portland, OR).
MATERIALS AND METHODS: The ETHOS chair has an adjustable saddle height that
ranges from 0.89 to 1.22 m high, an overall width of 0.89 m, and a depth of 0.97
m. The open straddle is 0.53 m and fits most OR tables. We performed 7 pelvic
laparoscopy cases with the 1st generation ETHOSTM platform including 2
laparoscopic ureteral reimplantations, 5 laparoscopic pelvic lymphadenectomies
for staging prostate cancer in which one case involved a laparoscopic radical
retropubic prostatectomy, performed by 2 different surgeons. RESULTS: All 7
pelvic laparoscopic procedures were successful with the ETHOSTM chair. No
conversion to open surgery was necessary. Survey done by surgeons after the
procedures revealed minimal stress on back or upper extremities by the surgeons
from these operations even when surgery was longer than 120 minutes. Conversely,
the surgical assistants still had issues with their positions since they were on
either sides of the patient stressing their positions during the procedure.
CONCLUSION: The ETHOS chair system allows the surgeon to operate seated in
comfortable position with ergonomic chest, arms, and back supports. These
supports minimize surgeon fatigue and discomfort during pelvic laparoscopic
procedures even when these procedures are longer than 120 minutes without
consequence to the patient safety or detrimental effects to the surgical team.
PMID- 21888697
TI - Robotic-assisted partial Nephrectomy: initial experience in South America.
AB - OBJECTIVE: To report the initial outcomes of robotic-assisted partial nephrectomy
in a tertiary center in South America. MATERIAL AND METHODS: From 11/2008 to
12/2009, a total of 16 transperitoneal robotic-assisted partial nephrectomies
were performed in 15 patients to treat 18 kidney tumors. One patient with
bilateral tumor had two procedures, while two patients with two synchronous
unilateral tumors had a single operation to remove them. Eleven (73%) patients
were male and the right kidney was affected in 7 (46%) patients. The median
patient age and tumor size were 57 years old and 30 mm, respectively. Five (28%)
tumors were hilar and/or centrally located. RESULTS: The median operative time,
warm ischemia time and estimated blood loss was 140 min, 27 min and 120 mL,
respectively. Blood transfusion was required in one patient with bilateral tumor,
and one additional pyelolithotomy was performed due to a 15 mm stone located in
the renal pelvis. The histopathology analysis showed 15 (83%) malignant tumors,
which 10 (67%) were clear cell carcinoma. The median hospital stay was 72 hrs and
no major complication was observed. CONCLUSION: Robotic-assisted partial
nephrectomy is safe and represents a valuable option to perform minimally
invasive nephron-sparing surgery.
PMID- 21888698
TI - The efficacy and safety of oral Tamsulosin controlled absorption system (OCAS)
for the treatment of lower urinary tract symptoms due to bladder outlet
obstruction associated with benign prostatic hyperplasia: an open-label
preliminary study.
AB - AIMS: Tamsulosin, a superselective subtype alpha 1a and 1d blocker, is used for
the treatment of male lower urinary tract symptoms (LUTS) commonly caused by
benign prostatic hyperplasia (BPH). This prospective study evaluated the efficacy
and safety of a new formulation, Tamsulosin OCAS(r) (Oral Controlled Absorption
System), for LUTS associated with BPH in Thai patients. MATERIALS AND METHODS:
Fifty one patients over 40 years old with complaints of LUTS associated with BPH
were recruited. Patients received an 8 week course of once daily 0.4 mg
tamsulosin OCAS(r), and were followed up at 2 (visit 3), 4 (visit 4) and 8 (visit
5) weeks post-treatment. At each visit, patients were assessed using the
International Prostate Symptom Score (IPSS), Nocturia Quality of Life (N-QoL)
Questionnaire, QoL Assessment Index (IPSS-QoL), and International Index of
Erectile Function (IIEF). The primary outcome was efficacy of Tamsulosin. The
secondary outcomes included change in the mean number of nocturia episodes, hours
of undisturbed sleep (HUS) and uroflowmetry measurements. RESULTS: Total IPSS
significantly decreased at week 8 from baseline (from 19.52 to 6.08; p < 0.001).
Similarly, the voiding and storage subscores of IPSS also continued to improve
significantly starting from the second and third visits, respectively (p < 0.001
versus baseline). The IPSS-QoL and N-QoL scores significantly improved at visit 3
through end of study. In addition, we observed significant nocturia and HUS
improvement in their last clinic visit. Uroflowmetry parameters, Qmax and Qave,
improved significantly at 3rd clinic visit. Three patients experienced mild
dizziness. CONCLUSION: Tamsulosin OCAS(r) treatment led to significant
improvements in LUTS, HUS and QoL in Thai patients with bladder outlet
obstruction from BPH with few side effects.
PMID- 21888699
TI - Steinstrasse predictive factors and outcomes after extracorporeal shockwave
lithotripsy.
AB - PURPOSE: Urinary stone disease is a common medical problem. Extracorporeal
shockwave lithotripsy (SWL) has been applied with high success and low
complication rates. Steinstrasse (SS) is a possible complication after SWL. The
aim of the present study was to prospectively evaluate the factors and outcomes
associated with SS after SWL. MATERIALS AND METHODS: We have prospectively
evaluated 265 SWL sessions (2005-2009). Two lithotriptors were used randomly:
Siemens Lithostar and Dornier Compact S. All patients had imaging exams after 30
and 90 days or according to symptoms. RESULTS: SS was observed in 14 (5.3%) out
of 265 SWL procedures (n = 175 patients, 51.5% women/48.5% men, mean +/- SD age =
46.3 +/- 15.5 years). SS was more common after SWL for pelviureteral calculi
rather than caliceal stones (p = 0.036). There was a trend toward more
occurrences of SS after SWL for larger stone area (> 200 mm2, p = 0.072).
Preoperative ureteral stent didn't prevent SS. SWL machine, intensity, number of
pulses and frequency were not associated with SS formation. Post-SWL pain, fever
and gravel elimination were factors associated with SS (p = 0.021; p = 0.011; p =
0.078). When SS occurred, treatment modalities included Medical Expulsive Therapy
(MET), ureteroscopy and SWL. CONCLUSIONS: Steinstrasse is an uncommon event after
SWL and seems to occur more frequently with larger pelviureteral stones.
Impaction of stones is more frequent in the middle ureter. All patients should be
followed after SWL, but SS should be specially suspected if there is macroscopic
gravel elimination, flank pain and/or fever. When SS occurs, treatment should be
promptly introduced, including medical expulsive therapy, surgical approach or
SWL in selected cases. Further prospective studies are awaited to evaluated
preventive measures for SS occurrence.
PMID- 21888700
TI - Periurethral constrictor: late results of the treatment of post prostatectomy
urinary incontinence.
AB - OBJECTIVES: We evaluated retrospectively, the long-term outcome of patients with
post-prostatectomy urinary incontinence (PPUI) after placement of the
Periurethral Constrictor (PUC). MATERIALS AND METHODS: Fifty-six men with severe
PPUI were studied, with a mean age of 68.5 years old. Fifty-one men had PPUI due
to radical surgery having the device placed around the bulbous urethra, and five
individuals with benign prostatic hypertrophy (BPH) had placement around the
bladder neck. The mean follow-up was 82.2 months. RESULTS: Twenty-two patients
(39.28%) became continent (0 to 1 pad a day) and 34 (60.72%) were incontinent.
Complications were as follows: urethral erosion in 15 (26.78%); mechanical
malfunction in 2 (3.5%); infection in 2 (3.5%); urinary fistula in 1 (1.7%);
Urinary tract infection1 (1.7%). Twenty-three patients needed to have the device
removed (41.07%). Success rate (continent me) was 30.35%. CONCLUSION: In the
present series the PUC was not effective for the treatment of severe PPUI in the
long-term follow-up.
PMID- 21888701
TI - Single institution experience with the transobturator sling suspension system
AdVance(r) in the treatment of male urinary incontinence: mid-term results.
AB - PURPOSE: To evaluate the clinical outcome after placement of AdVance(r) sling in
men with stress urinary incontinence after prostate surgery. MATERIALS AND
METHODS: Incontinence was assessed on basis of number of pad usage. Patients'
satisfaction was evaluated using a non-validated patient questionnaire at 12
months post-operatively. RESULTS: Incontinence cure rate (no pad usage) was 61.5%
(16/26) and improvement (1-2 pads per day) was seen in 26.9% (7/26). No
improvement was observed in 11.5% (3/26) of patients. A total of 87.5% (21/24) of
patients were very satisfied with the operation 22 months after surgery. Success
rate in patients with prior radiation therapy (20% cure; 40% improvement) was
significantly worse. CONCLUSIONS: Placement of the AdVance(r) sling represents an
effective and safe treatment option for patients with post prostate surgery
incontinence. Patients that underwent radiotherapy after prostate surgery had
lower success rate.
PMID- 21888702
TI - Apoptotic markers in semen of infertile men: Association with cigarette smoking.
AB - OBJECTIVES: (i) To examine the role of apoptosis in the pathogenesis of DNA
damage in semen from infertile men. (ii) To assess the effects of smoking on
apoptotic markers and seminal parameters among infertile men. (iii) To assess the
correlation of apoptosis with conventional semen parameters. MATERIALS AND
METHODS: The study was carried out on 70 men with idiopathic infertility, divided
into two groups: thirty infertile non smokers and forty infertile smokers. In
addition to 60 fertile men (30 non smokers and 30 smokers) as control group. Each
subject provided semen for analysis of parameters, determination of % of DNA
fragmentation, s-Fas, caspase-3 activity levels and cotinine levels. RESULTS: The
results revealed that infertile men, particularly smokers have significantly
lower semen variables and significantly higher levels of apoptotic variables (%
of DNA fragmentation, s-Fas and caspase-3 activity) in addition to cotinine.
CONCLUSIONS: The present findings provide additional evidence supporting the
importance of the evaluation of apoptotic markers to test male infertility
particularly among smokers.
PMID- 21888703
TI - Socioeconomic status is an independent predictor of biochemical recurrence among
patients with prostate cancer who undergo radical prostatectomy.
AB - PURPOSE: Socioeconomic status (SES) may influence cancer characteristics and
behavior in several aspects. We analyzed PCa characteristics and behavior among
low income uninsured men, and compare them to high income patients with health
insurance in a developing country. MATERIALS AND METHODS: A retrospective case
control study was performed on 934 patients with clinically localized PCa who
underwent radical prostatectomy between March, 1999 and July, 2009. Patients were
divided in two groups, according to their SES. In group 1 (n =380), all had low
income, low educational levels and couldn ' t afford medical insurance. In group
2 (n =554), all had higher income, higher education and had medical insurance.
RESULTS: Patients from group 1 were older, had higher Gleason scores, higher
rates of seminal vesicle and bladder neck involvement. The Kaplan Meier disease
free survival curve demonstrated that after a follow-up of four years, about 50%
of uninsured patients had biochemical recurrence, versus 21% of insured patients
(Log rank test: p < 0.001). A multivariate Cox regression analysis for the risk
of disease recurrence demonstrated that only PSA levels, Gleason score, seminal
vesicle involvement and SES were statistically significant variables. Patients
with a low SES presented 1.8 times the risk of recurrence as compared to patients
with a high SES. CONCLUSIONS: Patients with low SES were older, presented more
aggressive PCa characteristics and a high rate of disease recurrence. A low SES
constituted an independent predictor for disease recurrence.
PMID- 21888704
TI - Renal tumor and trauma: a pitfall for conservative (correction of conversative)
management.
AB - PURPOSE: Conservative management has been largely used for renal trauma. Although
this approach is safe and highly recommended, it can hide a pre-existing unknown
condition, such as tumors or urinary malformations. A high index of suspicion is
needed for early recognition of these conditions. We present four cases treated
at the Pediatric Oncology Institute - Federal University of Sao Paulo, which have
been initially treated conservatively for renal trauma. MATERIALS AND METHODS: We
reviewed all 218 renal cases of renal tumors treated at our institution in a 22
year period, searching for associated trauma events. RESULTS: Four cases of renal
tumors were initially treated conservatively for blunt renal trauma of low energy
mechanism. Patients ' ages ranged from 7 to 12 years old. Two patients had no
previous symptoms, one patient had hematuria and another had an abdominal mass.
Computerized Axial Tomography (CT) of the abdomen revealed disparate magnitude of
the renal bleeding to the low energy mechanism of trauma. All patients underwent
surgical treatment. Kidney specimens showed Wilms tumor in three cases and renal
carcinoma in one. CONCLUSIONS: The association between renal tumors and trauma
should be suspected when renal trauma hemorrhage on abdominal CT scan does not
match the low energy mechanism of blunt abdominal trauma. The key for a
successful diagnosis of renal tumor or congenital malformations is the high index
of suspicion for these conditions.
PMID- 21888705
TI - Comparison of the outcomes of the sling technique using a commercial and hand
made polypropylene sling.
AB - PURPOSE: To compare the outcomes and costs of stress urinary incontinence (SUI)
surgery using a hand-made sling (Marlex(r)) versus a commerciallyavailable
suburethral polypropylene sling (Advantage(r)). MATERIALS AND METHODS: Thirty
nine women with SUI due to bladder neck hypermobility and/or sphincter
incompetence diagnosed by clinical examination and urodynamic studies were
divided into two groups: group 1 (n = 19) consisted of patients from an academic
center (Department of Urology, University Hospital of Federal University of
Maranhao, and group 2 (n = 20) patients from private practice. The hand-made
polypropylene suburethral sling was used in group 1 and the commercial sling in
group 2. The patients were evaluated 30, 60 and 90 days after surgery. RESULTS:
The mean duration of surgery was 43 min. in group 1 and 51 min. in group 2. No
postoperative voiding difficulties were observed in group 1 (100%), as well as,
in 94.7% of patients of group 2. A bladder catheter was not required in any of
the patients of the two groups at the end of the study. The level of satisfaction
was 100% in group 1, whereas, one patient of group 2 considered the surgery to be
unsuccessful. Urodynamic studies showed low amplitude uninhibited contraction in
11.1% of patients of group 1 and 10.5% of group 2. No complications were observed
in either group. CONCLUSION: The hand-made polypropylene mesh (Marlex(r)) can be
used for sling procedures, saving costs and yielding results similar to that
obtained with commercial sling systems.
PMID- 21888706
TI - Obesity and smoking: are they modulators of cough intravesical peak pressure in
stress urinary incontinence?
AB - PURPOSE: SUI (Stress Urinary Incontinence) results from sudden increases in
intravesical peak pressures exceeding urethral resistance leading to involuntary
urine loss. Obesity and smoking are well established reversible risk factors for
SUI and may alter intravesical peak pressures. BMI, smoking status, and other
clinical factors were studied to determine their relationship to CIPP (maximal
Intravesical Peak Pressures generated by Cough) in SUI complaining women.
MATERIALS AND METHODS: Three hundred nineteen women complaining of SUI were
evaluated with medical history and urodynamics. Age, parity, comorbidities,
previous surgery, BMI and history of smoking were obtained. The maximal
intravesical peak pressures generated by cough (CIPP) and cough leak point
pressure (CLPP) were acquired. Univariate and multivariate analysis were
conducted. RESULTS: Current smokers and former smokers had similar CIPP (170cmH2O
and 170cmH2O; p = 0.5, respectively); Those individuals who had never smoked had
significantly lower CIPP (140cmH2O; p = 0.000 and p = 0.009 respectively). BMI
was directly related to CIPP (r = 0.41; p = 0.000). Vaginal deliveries (r =
0.15, p = 0.08) and diabetes (r = 0.15, p = 0.016) were also directly related to
CIPP on univariate analysis. Only smoking status (p = 0.000) and BMI (p = 0.000)
were independently significantly related to CIPP on multivariate analysis.
CONCLUSIONS: Obesity and smoking showed increased CIPP (maximal Intravesical Peak
Pressures generated by Cough). While reduced BMI is related to lower CIPP,
smoking cessation does not appear to diminish CIPP. These findings suggest that
weight loss may reduce incontinence by CIPP modulation. However, the benefits of
smoking cessation without additional lifestyle modification, may have no benefit
to improve urinary incontinence.
PMID- 21888707
TI - Testicular epidermoid cyst - Ultrasound and MR typical findings with macroscopy
correlation.
PMID- 21888726
TI - Account-ability.
PMID- 21888727
TI - Surveying the ashes: experience from the 2007 Peloponnese wildfires six months
after the disaster.
AB - INTRODUCTION: Major disasters disrupt the infrastructure of communities and have
lasting psychological, economic, and environmental effects on the affected areas.
The psychological status and community effects of the devastating 2007 wildfires
on the Peloponnese Peninsula of Greece were assessed six months following the
disaster. METHODS: Adult inhabitants, 18-65 years of age, living in villages
affected by the wildfires were selected randomly and compared with a
demographically similar group living in neighboring villages that were unaffected
by the fires. Regions were chosen based on the extent of fire damage in that
area. There were 409 participants in the fire group, and 391 in the control
group. Participants completed a questionnaire that included the SCL-90-R symptom
checklist, a subjective perception of health status, and a series of items
assessing views about current problems, personal values, and trust in different
institutions. RESULTS: The fire group scored significantly higher on
psychological distress compared to the control group. Both groups viewed their
health status in the previous year as better than at the present time. There were
few significant differences between groups in the designation of regional
problems, attitudes, and values. In the total sample, 41.6% listed unemployment,
and 15.0% listed poverty as the most important problem in their region. The
Church was indicated as the most trusted institution by 36.7% of the group and
the Government by 13.3%. A total of 30.2% did not have a trusted institution.
CONCLUSIONS: The hardiness and resilience of the fire-impacted group was evident.
However, an improvement in economic conditions is needed to maintain the health
and enhance the quality of life of the population living in the Peloponnese
region. This improvement likely would have a positive effect on the attitude of
trust in government institutions.
PMID- 21888728
TI - Evaluation of a standardized morbidity surveillance form for use during disasters
caused by natural hazards.
AB - INTRODUCTION: Surveillance for health outcomes is critical for rapid responses
and timely prevention of disaster-related illnesses and injuries after a disaster
causing event. The Disaster Surveillance Workgroup (DSWG) of the US Centers for
Disease Control and Prevention developed a standardized, single-page, morbidity
surveillance form, called the Natural Disaster Morbidity Surveillance Individual
Form (Morbidity Surveillance Form), to describe the distribution of injuries and
illnesses, detect outbreaks, and guide timely interventions during a disaster.
PROBLEM: Traditional data sources can be used during a disaster; however,
supplemental active surveillance may be required because traditional systems
often are disrupted, and many persons will seek care outside of typical acute
care settings. Generally, these alternative settings lack health surveillance and
reporting protocols. The need for standardized data collection was demonstrated
during Hurricane Katrina, as the multiple surveillance instruments that were
developed and deployed led to varied and uncoordinated data collection methods,
analyses, and morbidity data reporting. Active, post-event surveillance of
affected populations is critical for rapid responses to minimize and prevent
morbidity and mortality, allocate resources, and target public health messaging.
METHODS: The CDC and the Georgia Department of Public Health (GDPH) conducted a
study to evaluate a Morbidity Surveillance Form to determine its ability to
capture clinical presentations. The form was completed for each patient evaluated
in an emergency department (ED) during triage from 01 August, 2007 through 07
August, 2007. Data from the form were compared with the ED discharge diagnoses
from electronic medical records, and kappa statistics were calculated to assess
agreement. RESULTS: Nine hundred forty-nine patients were evaluated, 41% were
male and 57% were Caucasian. According to the forms, the most common reasons for
seeking treatment were acute illness, other (29%); pain (12%); and
gastrointestinal illness (8%). The frequency of agreement between discharge
diagnoses and the form ranged from 3 to 100%. Kappa values ranged from 0.23-1.0,
with nine of the 12 categories having very good or good agreement. CONCLUSION:
With modifications to increase sensitivity for capturing certain clinical
presentations, the Morbidity Surveillance Form can be a useful tool for capturing
data needed to guide public health interventions during a disaster. A validated
collection instrument for a post-disaster event facilitates rapid and
standardized comparison and aggregation of data across multiple jurisdictions,
thus, improving the coordination, timeliness, and accuracy of public health
responses. The DSWG revised the Morbidity Surveillance Form based on information
from this study.
PMID- 21888729
TI - Impact of the 26 December 2003 Bam Earthquake on activities of daily living and
instrumental activities of daily living of older people.
AB - INTRODUCTION: This study compares self-reported Activities of Daily Living (ADL)
and Instrumental Activities of Daily Living (IADL) status among elderly survivors
of the 2003 Bam Earthquake before, two months after, and five years after the
event, and explores related determinants. METHODS: A two-stage cluster survey was
conducted on 210 elderly survivors in the earthquake-stricken area five years
after the event. RESULTS: Both ADL and IADL scores decreased two months after
earthquake compared to prior status (p <0.001). No differences were observed
between two months and five years after the event (p >0.05). Access to medical
services were not related to level of ADL or IADL (p = 0.52 and p = 0.74,
respectively). Elderly survivors with lower functional capability in terms of ADL
experienced more problems in access to relief items (p = 0.04), but no similar
association was found for IADL (p = 0.26). CONCLUSION: The Bam earthquake
adversely affected functional capacity of the elderly. Disaster responders must
take into account functional capacity of elders when planning for medical and
relief operations.
PMID- 21888730
TI - Research issues for nursing and midwifery contributions in emergencies.
AB - This special report was prepared as a white paper for the First World Health
Organization (WHO) Consultation on Nursing and Midwifery Contributions in
Emergencies held in Geneva on 22-24 November 2006. Pertinent issues related to
research of nurses and midwives were identified based on prior international
conferences. Using this information, gaps between the current and proposed state
of preparedness research activities were identified. Global perspective
recommendations were made for consideration by the WHO and other nursing
organizations. Finally, possible discussion questions were posed that were used
during the consultation, but could also be used in other international nursing
conferences.
PMID- 21888731
TI - Infectious respiratory disease outbreaks and pregnancy: occupational health and
safety concerns of Canadian nurses.
AB - INTRODUCTION: This paper is a report of a qualitative study of emergency and
critical care nurses' perceptions of occupational response and preparedness
during infectious respiratory disease outbreaks including severe acute
respiratory syndrome (SARS) and influenza. PROBLEM: Healthcare workers,
predominantly female, face occupational and personal challenges in their roles as
first responders/first receivers. Exposure to SARS or other respiratory pathogens
during pregnancy represents additional occupational risk for healthcare workers.
METHODS: Perceptions of occupational reproductive risk during response to
infectious respiratory disease outbreaks were assessed qualitatively by five
focus groups comprised of 100 Canadian nurses conducted between 2005 and 2006.
RESULTS: Occupational health and safety issues anticipated by Canadian nurses for
future infectious respiratory disease outbreaks were grouped into four major
themes: (1) apprehension about occupational risks to pregnant nurses; (2) unknown
pregnancy risks of anti-infective therapy/prophylaxis; (3) occupational risk
communication for pregnant nurses; and (4) human resource strategies required for
pregnant nurses during outbreaks. The reproductive risk perceptions voiced by
Canadian nurses generally were consistent with reported case reports of pregnant
women infected with SARS or emerging influenza strains. Nurses' fears of
fertility risks posed by exposure to infectious agents or anti-infective therapy
and prophylaxis are not well supported by the literature, with the former not
biologically plausible and the latter lacking sufficient data. CONCLUSIONS:
Reproductive risk assessments should be performed for each infectious respiratory
disease outbreak to provide female healthcare workers and in particular pregnant
women with guidelines regarding infection control and use of anti-infective
therapy and prophylaxis.
PMID- 21888732
TI - Trial to end ambulance diversion in Boston: report from the conference of the
Boston teaching hospitals consortium.
AB - INTRODUCTION: Annual ambulance diversion hours in Boston increased more than six
fold from 1997 to 2006. Although interventions and best practices were
implemented, there was no reduction in the number of diversion hours. OBJECTIVES:
A consortium of Boston teaching hospitals instituted a two-week moratorium on
citywide diversion from 02 October 2006 to 15 October 2006. The hypothesis was
that there would be no significant difference in measures of hospital and
emergency medical services (EMS) efficiency compared with the two weeks
immediately prior. METHODS: A total of nine hospitals and the municipal emergency
medical services in Boston submitted data for analysis. The following mean daily
hospital measures were studied: (1) emergency department volume; (2) number of
emergency department admissions; (3) length of stay (LOS) for all patients; and
(4) number of elopements. Mean EMS at-hospital time by destination and the
percent of all Boston EMS transports to each hospital destination were
calculated. The median differences (MD) were calculated as "before" minus
"during" the study period and were compared with paired, Wilcoxon, non-parametric
tests. Additional mean EMS measures for all destinations included: (1) to
hospital time; (2) number of responses with transport initiated per day; (3)
incident entry to arrival; and (4) at-hospital time. RESULTS: The LOS for
admitted patients (MD = 0.30 hours; IQR 0.10,1.30; p = 0.03) and number of daily
admissions (MD = -1.50 patients; IQR -1.50, -0.10; p = 0.04) were significantly
different statistically. The results for LOS for all patients, LOS for discharged
patients, ED volume, EMS time at hospital by destination, number of elopements,
and percent of Boston EMS transports to each hospital revealed no statistically
significant differences. The difference between the study and control periods for
mean EMS to hospital time, at-hospital time, and incident entry to arrival was a
maximum of 0.6 minutes. The vast majority of EMS respondents to an online survey
believed that the "no diversion" policy should be made routine practice.
CONCLUSIONS: The LOS for admitted patients decreased by 18 minutes, and the
number of admissions increased by 1.5 patients per day during the study period.
The "no diversion" policy resulted in minimal changes in EMS efficiency and
operations. Diversion was temporarily eliminated in a major city without
significant detrimental changes in ED, hospital, or EMS efficiency.
PMID- 21888733
TI - Evaluation of success rate and access time for an adult sternal intraosseous
device deployed in the prehospital setting.
AB - INTRODUCTION: Access to the vascular system of the critically ill or injured
adult patient is essential for resuscitation. Whether due to trauma or disease,
vascular collapse may delay or preclude even experienced medical providers from
obtaining standard intravenous (IV) access. Access to the highly vascular
intramedullary space of long bones provides a direct link to central circulation.
The sternum is a thin bone easily identified by external landmarks that contains
well-vascularized marrow. The intraosseous (IO) route rapidly and reliably
delivers fluids, blood products, and medications. Resuscitation fluids
administered by IV or IO achieve similar transit times to central circulation.
The FAST-1 Intraosseous Infusion System is the first FDA-approved mechanical
sternal IO device. The objectives of this study were to: (1) determine the
success rate of FAST-1 sternal IO device deployment in the prehospital setting;
(2) compare the time of successful sternal IO device placement to published data
regarding time to IV access; and (3) describe immediate complications of sternal
IO use. METHODS: All paramedics in the City of Portsmouth, Virginia were trained
to correctly deploy the FAST-1 sternal IO device during a mandatory education
session with the study investigators. The study subjects were critically ill or
injured adult patients in cardiac arrest treated by paramedics during a one-year
period. When a patient was identified as meeting study criteria, the paramedic
initiated standard protocols; the FAST-1 sternal IO was substituted for the
peripheral IV to establish vascular access. Time to deployment was measured and
successful placement was defined as insertion of the needle, with subsequent
aspiration and fluid flow without infiltration. RESULTS: Over the one-year
period, paramedics attempted 41 FAST-1 insertions in the pre-hospital setting.
Thirty (73%) of these were placed successfully. The mean time to successful
placement was 67 seconds for 28 attempts; three of the 31 insertions did not have
times recorded by the paramedic. Paramedics listed the problems with FAST-1
insertion, including: (1) difficulty with adhesive after device placement (3
events); (2) failure of needles to retract and operator had to pull the device
out of the skin (2 events); and (3) slow flow (1 event). Emergency department
physicians noted two events of minor bleeding around the site of device
placement. CONCLUSION: This is the first study to prospectively evaluate the
prehospital use of the FAST-1 sternal IO as a first-line device to obtain
vascular access in the critically ill or injured patient. The FAST-1 sternal IO
device can be a valuable tool in the paramedic arsenal for the treatment of the
critically ill or injured patient. The device may be of particular interest to
specialty disaster teams that deploy in austere environments.
PMID- 21888734
TI - Retrospective, analytical study of field first aid following the Wenchuan
Earthquake in China.
AB - Field first-aid data from the Wenchuan Earthquake in China was analyzed
retrospectively in order to probe into ways to develop field first-aid operations
and provide a reference for future emergency rescue. Related documents about the
Wenchuan Earthquake were collected and reviewed. The state of injury and leading
causes of death during the disaster were identified. The presnece of emergency
medical resources on-site after the earthquake was relatively insufficient.
Deaths mainly were due to cardiopulmonary arrest, severe craniocerebral injury,
incurable hemorrhagic shock, and crush syndrome that caused multiple organ system
dysfunction syndrome. Only by strengthening the on-site emergency medical
resources, speeding-up triage, and equipping responders with professional,
portable medical equipment, can field first-aid operations be delivered more
efficiently.
PMID- 21888735
TI - China's health challenges after the Yushu Earthquake.
PMID- 21888736
TI - Health impacts of floods.
PMID- 21888737
TI - Vulnerable populations.
PMID- 21888738
TI - Ambulance insignias in a turmoil zone.
PMID- 21888739
TI - Anxious children's ability to generate alternative attributions for ambiguous
situations.
AB - BACKGROUND: Cognitive behavioural therapy (CBT) is increasingly being used to
help children overcome emotional difficulties but its suitability is still a
matter of debate. AIMS: This study investigated young anxious children's ability
to generate alternative interpretations for events, a skill thought to be
important for the effectiveness of CBT. METHOD: A community sample of 60 children
aged 6-7 years (30 high and 30 low in anxiety) was tested. IQ, developmental
level and the ability to generate alternative interpretations for ambiguous
social scenarios were assessed. RESULTS: Both groups generated alternative
interpretations. However, negative self-referent scenarios were more difficult to
view from alternative perspectives than positive or other-referent scenarios.
Correlation analyses suggested that verbal IQ was partially associated with this
skill in both groups, while developmental level was most important in the high
anxious group. A "personalizing" bias was found in the negative responses of both
groups. CONCLUSIONS: It is concluded that young children, whether anxious or not,
do possess the ability to complete one skill thought important in CBT. While
difficulty with negative self-referent scenarios and personalizing seem to be
normative in the sample, those most "at risk" who also have relatively lower
developmental levels may find the task particularly difficult.
PMID- 21888740
TI - Urinary excretion of in vivo 13C-labelled milk oligosaccharides in breastfed
infants.
AB - Recent observations indicate that human milk oligosaccharides (HMO) are involved
in a variety of physiological processes in infants. Their metabolic fate,
however, is virtually unknown. We investigated metabolic aspects in infants after
endogenous 13C-labelling of HMO. An oral bolus of natural and 13C-labelled
galactose (Gal; 23 g Gal+4 g 13C-Gal) was given to ten lactating women. Aliquots
of milk at each nursing as well as breath samples from the mothers and urine from
their infants were collected over 36 h. The 13C-enrichment of HMO and their renal
excretion was determined by isotope ratio-MS; characterisation was achieved by
fast atom bombardment-MS. After the Gal bolus was given, an immediate 13C
enrichment in milk and in infants' urine was observed which lasted 36 h. Mass
spectrometric analysis of 13C-enriched urinary fractions confirmed the excretion
of a variety of neutral and acidic HMO without metabolic modification of their
structures. Components with glucose split off at the reducing end were also
detectable. Quantitative data regarding the infants' intake of lacto-N-tetraose
and its monofucosylated derivative lacto-N-fucopentaose II ranged from 50 to 160
mg with each suckling, respectively; renal excretion of both components varied
between 1 and 3 mg/d. Since the intake of individual HMO by the infants was in
the range of several hundred mg per suckling, i.e. several g/d, and some of these
components were excreted in mg amounts as intact HMO with the infants' urine, not
only local but also systemic effects might be expected.
PMID- 21888741
TI - Changing images, changing visions, changing practices.
PMID- 21888742
TI - Case report: nodular fasciitis of the parotid region.
AB - OBJECTIVE: To demonstrate the clinical and histopathological features of nodular
fasciitis in the parotid region. CASE REPORT: A 24-year-old man presented with a
palpable mass in the superior border of the parotid gland. The mass was firm and
immobile, with a smooth surface. Fine needle aspiration cytology revealed
proliferating fibroblasts, macrophages and adipocytes among the blood cells.
Although a superficial parotidectomy was initially planned, a total excision was
performed, as the mass was observed to be located in the periphery of the parotid
tissue. Nodular fasciitis was diagnosed, based on the results of
immunohistochemical analysis. CONCLUSION: Nodular fasciitis very rarely occurs in
the parotid region. These lesions grow quickly, and may be misdiagnosed as
sarcoma. Trauma may play a role in their aetiology. Total excision is adequate as
treatment.
PMID- 21888743
TI - Could Helicobacter pylori play a role in the aetiopathogenesis of
tympanosclerosis?
AB - AIM: The aetiology of tympanosclerosis is not yet clear. This prospective,
controlled, clinical study investigated the relationship between Helicobacter
pylori and tympanosclerosis aetiology. MATERIALS AND METHODS: The study included
14 patients with tympanosclerosis and 26 with other forms of chronic otitis
media. All patients underwent surgery for chronic otitis media. Mucosal biopsies
were taken, and examined for H pylori using the Campylobacter-Like Organism (CLO)
test. RESULTS: Tympanoplasty was performed in 29 patients (72.5 per cent),
radical mastoidectomy in eight (20 per cent) and myringoplasty in three (7.5 per
cent). The presence of H pylori was tested in all tympanosclerosis biopsies, but
in only 26.9 per cent of biopsies from other forms of chronic otitis media. A
statistically significant difference in H pylori presence was found (p <= 0.01).
CONCLUSION: This study represents a preliminary investigation of the association
between H pylori and tympanosclerosis development.
PMID- 21888744
TI - An unusual presentation of aggressive epithelial-myoepithelial carcinoma of the
nasal cavity with high-grade histology.
AB - BACKGROUND: Epithelial-myoepithelial carcinoma is an uncommon, low-grade
carcinoma that generally occurs in the salivary glands. A few cases of epithelial
myoepithelial carcinoma arising in the nasal cavity have been reported. We
describe a unique case of aggressive epithelial-myoepithelial carcinoma in the
nasal cavity. CASE REPORT: A 36-year-old woman presented with a mass in her left
nasal cavity. Histopathological evaluation revealed it to be an epithelial
myoepithelial carcinoma with overt nuclear atypia, frequent mitoses and necrosis.
The tumour recurred in the contralateral nasal cavity 15 months following primary
excision. Medial maxillectomy and radiation therapy were performed. Seven-month
follow up revealed extensive bone metastases. CONCLUSION: We report a rare case
of aggressive epithelial-myoepithelial carcinoma in the nasal cavity, with high
grade histology.
PMID- 21888745
TI - Serum levels of interleukins 4 and 10 in head and neck squamous cell carcinoma.
AB - OBJECTIVE: There is currently controversy over the association between serum
interleukin-4 and -10 levels and head and neck squamous cell carcinoma in
patients of different ethnicity. This study aimed to investigate serum levels of
these cytokines in Iranian patients with this pathology, and to analyse
correlations with tumour location and tumour stage at diagnosis. DESIGN: Serum
cytokines levels were quantified using commercial enzyme-linked immunosorbent
assays. SUBJECTS: Study groups comprised 93 untreated patients and 53 healthy
donors. RESULTS: Serum interleukin-4 levels were significantly increased in
patients compared with controls (p < 0.000), but were not significantly
associated with tumour stage. Serum interleukin-10 levels were not raised in
patients, nor associated with tumour stage. CONCLUSION: Serum levels of
interleukin-4, but not -10, were increased in Iranian head and neck squamous cell
carcinoma patients. These data do not support an association of these cytokines
with tumour progression; this is consistent with previous findings.
PMID- 21888746
TI - Bilateral cochlear implantation in a patient with petrous bone cholesteatoma in
the only hearing ear: case report.
AB - OBJECTIVE: We report a case of successful cochlear implantation in a patient with
petrous bone cholesteatoma in the only hearing ear. CASE REPORT: A 63-year-old
man presented with a four-year history of right-sided, progressive hearing loss
in his only hearing ear. Computed tomography and magnetic resonance imaging
revealed a right supralabyrinthine petrous bone cholesteatoma, with erosion of
the superior semicircular canal and the roof of the internal auditory canal. Due
to the high risk of post-operative right-sided deafness, we decided first to
perform left cochlear implantation. Five months later, the patient had a 40 per
cent score for open-set two-syllable word recognition and an 85 per cent score
for sentence recognition. Given these good performances, we decided to eradicate
the cholesteatoma via a translabyrinthine approach, with insertion of a second
cochlear implant, as a single-stage procedure. A successful outcome was achieved.
CONCLUSION: Cochlear implantation can be an effective method of hearing
rehabilitation in patients with petrous bone cholesteatoma, following total
eradication of disease, if the cochlea remains intact. To our best knowledge,
this is the first English language report of cochlear implantation in a patient
with petrous bone cholesteatoma.
PMID- 21888747
TI - A rare angiosarcoma: retiform haemangioendothelioma.
AB - OBJECTIVE: We report the case of a rare angiosarcoma, retiform
haemangioendothelioma, in an 18-year-old young man, which presented as a
recurrent ulcerating lesion of the left pinna. METHOD: Case report and literature
review of retiform haemangioendothelioma. This is a low grade angiosarcoma with a
high local recurrence rate and low metastasis rate, and was first described in
1994 by Calonje et al. RESULTS: This patient represents only the third report of
lymph node metastasis in a case of retiform haemangioendothelioma. To date, 31
cases of the tumour have been reported. Histological diagnosis of this group of
vascular neoplasms can be challenging, as their histopathological appearance is
intermediate between haemangioma and angiosarcoma. CONCLUSION: Surgical excision
remains the primary treatment modality, with adjuvant radiotherapy recommended in
patients with large tumour size, local recurrence and lymph node metastasis, as
seen in this case.
PMID- 21888748
TI - Management of vascular complications of head and neck cancer.
AB - BACKGROUND: Major vascular complications in patients with head and neck cancer
have previously been thought of as terminal events. However, it is now possible
to intervene in many situations, with benefits for quality of life as well as
survival. Endovascular techniques have reduced morbidity and mortality in many
situations, both emergency and elective. METHOD: We describe the techniques that
can be employed in such situations, and present illustrative case reports. Life
threatening haemorrhage, carotid compression and radiation-induced carotid
stenosis are all discussed. CONCLUSION: It is possible to predict where
complications may arise, and to take prophylactic steps to allow treatment to
continue. Early intervention can reduce both morbidity and mortality in this high
risk patient group.
PMID- 21888749
TI - Hot water irrigation as treatment for intractable posterior epistaxis in an out
patient setting.
AB - The management of intractable posterior epistaxis is challenging for any
physician. Nasal packing, often combined with use of an endonasal balloon system,
is painful for the patient, and torturous to maintain for two to three days. If
conservative treatment fails, the most commonly used treatment options are
currently invasive procedures such as endoscopic coagulation of bleeding
arteries, external ligation and, rarely, embolisation. This paper describes a
simple, non-invasive technique of treating posterior epistaxis with hot water
irrigation. Technical information is presented, and the benefits of the method
are discussed.
PMID- 21888750
TI - The making of a career: Joseph Toynbee's first steps in otology.
AB - Joseph Toynbee (1815-1866) is considered one of the fathers of modern otology. He
spent his whole life in London, studying and describing the anatomy and pathology
of the main diseases of the ear. This paper presents some of the motivations
behind Toynbee's decision to specialise in otology, by examining several of his
letters published under the signature 'J. T.' in The Lancet between 1838 and
1839. Frustrated by the weakened state of aural surgery in Britain, and by the
popularity of several 'quacks aurists' (including John Harrison Curtis, William
Wright and Alexander Turnbull), Toynbee insisted that the study of the ear needed
to distance itself from quackery and rebuild itself upon a scientific foundation.
This paper evaluates several exchanges between Toynbee and Curtis, Wright and
Turnbull.
PMID- 21888751
TI - Fellowship with professor W P R Gibson in otology and cochlear implantation,
Sydney, Australia, July to December 2010.
PMID- 21888753
TI - 'Flaccid neoglottis' following supracricoid partial laryngectomy: laryngoscopic
revision assisted by navigation system.
AB - OBJECTIVE: Supracricoid partial laryngectomy is a reliable laryngeal preservation
procedure for tumour stage 2 and selected stage 3 to 4 laryngeal cancers. Of 70
patients thus treated, two (3 per cent) had 'flaccid neoglottis', i.e. redundant
mucosa at the inner arytenoid edge which intermittently obstructed the
neoglottis. We discuss the mechanism and management of this complication. METHOD:
The two cases are presented. A navigation system was used to assist surgery.
Neoglottal spatial alteration (specifically cross-sectional area) was assessed
pre- and post-operatively using three-dimensional computed tomography. Voice was
also evaluated. RESULTS: Inspiratory stridor and delayed stomal closure were the
main symptoms. Minimum neoglottal cross-sectional area was smaller in case one
than in non-affected patients. Both patients had relatively rougher and breathier
voices, but had adapted well to this. CONCLUSION: Flaccid neoglottis is mainly
due to excessive anterior retraction of residual laryngeal mucosa and to
excessive mucosal pliability with age. A navigation system was useful for
confirmation, but the potential for incorrect image recognition should be kept in
mind. Flaccid neoglottis was treatable, with improved laryngeal function.
PMID- 21888752
TI - Structural characteristics of septal cartilage and mucoperichondrium.
AB - AIM: During nasal septum surgery, elevation of mucoperichondrium from the
anterior nasal septum may be more difficult than from the medial and posterior
septum. This study aimed to evaluate any histological structural differences
between the anterior and posterior nasal septum cartilage, mucoperichondrium and
intervening tissue. MATERIAL AND METHOD: Unilateral mucoperichondrial flap
elevation without infiltration was performed, after nasal tip and dorsum
decortication, in four patients undergoing open septorhinoplasty. Full-thickness
samples, including cartilage and mucoperichondrium, were removed from the
anterior and posterior nasal septum and examined under light and electron
microscopy. RESULTS: Light microscopy showed no difference between anterior and
posterior septum specimens regarding perichondrial thickness and subperichondrial
cell density. Demarcation between cartilage and perichondrium and between
perichondrium and lamina propria was more regular in the posterior versus the
anterior septum. Electron microscopy showed no difference in chondroblast
activity at the two sites. CONCLUSION: The observed tissue demarcation
irregularities may explain the greater reported difficulty in elevating anterior
versus posterior nasal septum mucoperichondrium. Immunohistochemical examination
would further elucidate these interstructural connections.
PMID- 21888754
TI - Complicated periorbital cellulitis: case report and literature review.
AB - OBJECTIVE: Periorbital cellulitis secondary to rhinosinusitis is common. However,
very rarely this can be complicated by a lacrimal gland abscess. We report such a
case. METHOD: We present a case report and literature review concerning lacrimal
gland abscess secondary to periorbital cellulitis. RESULTS: Due to the location
of this condition, prompt assessment and management is vital to avoid potential
ophthalmological and neurological complications. Our patient failed to respond to
initial conservative medical treatment, and was subsequently identified as having
a lacrimal gland abscess, confirmed on contrast-enhanced computed tomography.
Following definitive surgical treatment, the patient's clinical course improved.
This case furthers our knowledge of this condition, and adds to the two
previously reported paediatric cases. CONCLUSION: This case emphasises the
importance of prompt management, and the fact that failure of clinical
improvement following orbital decompression should alert the clinician to the
rare possibility of an associated lacrimal gland abscess. The case also
emphasises the key role of imaging and a multidisciplinary team approach when
managing this condition.
PMID- 21888755
TI - Study of mural paintings using in situ XRF, confocal synchrotron-MU-XRF, MU-XRD,
optical microscopy, and SEM-EDS--the case of the frescoes from Misericordia
Church of Odemira.
AB - In this work, we present the results of an analytical method developed for
detailed pigment identification, stratigraphy, and degradation of the paint
layers of mural paintings applied in the study of the 17th century frescoes from
the Misericordia Church of Odemira (Southwest Portugal). In situ X-ray
fluorescence spectrometry analyses were performed on three panels of the mural
paintings and complemented by colorimetric measurements. The different color
areas were also sampled as microfragments (approx. 1 mm2) that were studied as
taken or mounted in epoxy resin to expose the different paint layers. The
microfragments of paint layers and their cross sections were characterized by
optical microscopy and scanning electron microscopy coupled with energy
dispersive X-ray spectrometry. Furthermore, elemental analysis was obtained with
spatially resolved confocal synchrotron radiation MU-X-ray fluorescence
spectrometry performed at ANKA synchrotron FLUO beamline. Occasionally, phase
analysis by MU-X-ray diffraction was also performed. Results from the different
techniques allowed pigment identification and, in some cases, the evaluation of
color changes due to degradation processes and, considering the Southern Portugal
geology, the identification of their possible provenance. The pigments used were
essentially yellow, brown and red ochres, smalt blue, copper green, and black
earths, probably from local sources.
PMID- 21888756
TI - Asthma programmes in diverse regions of the world: challenges, successes and
lessons learnt.
AB - International surveys have demonstrated that asthma is still underdiagnosed and
undertreated in many parts of the world. Despite improvements in the standard of
asthma care delivered in many areas, as evidenced by improved global asthma
mortality data, much information on projects and programmes undertaken in
resource-limited regions of the world is not in the public domain. The aim of
this report is to review projects and programmes in diverse regions around the
world so that health care providers, planners and consumers may draw on the
successes, failures and lessons learnt. Such real world experiences may
contribute to achieving Global Initiative for Asthma goals of asthma control.
Asthma projects and programmes in Argentina, Australia, Brazil, China, Japan,
Mexico, Philippines, Russia, South Africa and Turkey were discussed by a group of
experts in asthma care, the Advancing Asthma Care Network, from their respective
countries, over a course of three satellite meetings in 2010. Collective analyses
consistently identified low rates of dissemination and implementation of national
and international treatment guidelines, low levels of continuing medical
education and training of primary health care professionals and access and
distribution of inhaled corticosteroids to be major barriers that are critical to
the overall success of a national asthma management programme. In the less
developed asthma programmes, under-recognition and undertreatment further limited
the success of the programmes. Evidence from well-established national asthma
management programmes suggests that establishment of a successful programme
entails a logical progression through specific developmental stages, starting
with political/stakeholder endorsement and commitment, followed by
epidemiological evaluation, evaluation of disease burden, evaluation of access to
care and best therapy, and finally optimisation and maintenance therapy for
individual patients.
PMID- 21888757
TI - Efficacy and safety of fluticasone furoate nasal spray in Chinese adult and
adolescent subjects with intermittent or persistent allergic rhinitis.
AB - Fluticasone furoate nasal spray (FFNS) is a novel, enhanced-affinity intranasal
corticosteroid administered for the management of allergic rhinitis (AR). Several
studies have shown that FFNS at a dose of 110 MUg once daily (o.p.d.) is
effective in relieving nasal as well as ocular symptoms in adolescents and adults
with AR. The primary objective of this study was to compare the efficacy and
safety of FFNS 110 micrograms o.p.d. with matching placebo nasal spray for 2
weeks in Chinese adult and adolescent subjects with intermittent AR (IAR) or
persistent AR (PAR). In this multicenter, randomized, double-blind, placebo
controlled, parallel-group study, patients (adults and adolescents aged >=12
years with AR) received either FFNS 110 micrograms (n = 181) or placebo (n = 182)
o.p.d. for 2 weeks. AR was defined according to Allergic Rhinitis and Its Impact
on Asthma classification. Efficacy measures included reflective total nasal
symptom score (rTNSS), rhinoscopy score, overall interference in activities of
daily living (ADL) score, and reflective total ocular symptom score (rTOSS). FFNS
significantly improved the mean change from baseline in daily rTNSS compared with
placebo (treatment difference of -1.498, 95% confidence interval [-1.897,
1.099]; p < 0.0001). FFNS was also significantly more effective than placebo in
decreasing rhinoscopy score (treatment difference of -1.3; p < 0.0001) and ADL
score (treatment difference of -0.4; p < 0.0001). In patients with severe ocular
symptoms, FFNS showed numerically better treatment effect in reducing daily rTOSS
than placebo (treatment difference of -0.646; p = 0.0853). FFNS 110 micrograms
o.p.d. was significantly more effective than placebo in improving nasal symptoms
in Chinese patients with IAR and PAR. ClinicalTrials.gov registration number:
NCT01231464.
PMID- 21888758
TI - Combinatorial approach for fabrication of coatings to control bacterial adhesion.
AB - Due to the high importance of bacterial infections in medical devices there is an
increasing interest in the design of anti-fouling coatings. The application of
substrates with controlled chemical gradients to prevent microbial adhesion is
presented. We describe here the co-polymerization of poly(ethylene glycol)
dimethacrylate with a hyperbranched multimethacrylate (H30MA) using a chemical
gradient generator; and the resulting films were characterized with respect to
their ability to serve as coating for biomedical devices. The photo-polymerized
materials present special surface properties due to the hyperbranched structure
of H30MA and phase separation at specific concentrations in the PEGDM matrix.
This approach affords the investigation of cell response to a large range of
different chemistries on a single sample. Two bacterial strains commonly
associated with surgical site infections, Escherichia coli and Pseudomonas
aeruginosa, have been cultured on these substrates to study their attachment
behaviour. These gradient-coated samples demonstrate less bacterial adhesion at
higher concentrations of H30MA, and the adhesion is substantially affected by the
extent of surface phase segregation.
PMID- 21888759
TI - In vitro biocompatibility evaluation of novel urethane-siloxane co-polymers based
on poly(epsilon-caprolactone)-block-poly(dimethylsiloxane)-block-poly(epsilon
caprolactone).
AB - Novel polyurethane co-polymers (TPUs), based on poly(epsilon-caprolactone)-block
poly(dimethylsiloxane)-block-poly(epsilon-caprolactone) (PCL-PDMS-PCL) as soft
segment and 4,4'-methylenediphenyl diisocyanate (MDI) and 1,4-butanediol (BD) as
hard segment, were synthesized and evaluated for biomedical applications. The
content of hard segments (HS) in the polymer chains was varied from 9 to 63 wt%.
The influence of the content and length of the HS on the thermal, surface,
mechanical properties and biocompatibility was investigated. The structure,
composition and HS length were examined using (1)H- and quantitative (13)C-NMR
spectroscopy. DSC results implied that the synthesized TPUs were semicrystalline
polymers in which both the hard MDI/BD and soft PCL-PDMS-PCL segments
participated. It was found that an increase in the average HS length (from 1.2 to
14.4 MDI/BD units) was accompanied by an increase in the crystallinity of the
hard segments, storage moduli, hydrophilicity and degree of microphase separation
of the co-polymers. Depending on the HS content, a gradual variation in surface
properties of co-polymers was revealed by FT-IR, AFM and static water contact
angle measurements. The in vitro biocompatibility of co-polymers was evaluated
using the endothelial EA.hy926 cell line and protein adsorption on the
polyurethane films. All synthesized TPUs adsorbed more albumin than fibrinogen
from multicomponent protein mixture, which may indicate biocompatibility. The
polyurethane films with high HS content and/or high roughness coefficient exhibit
good surface properties and biocompatible behavior, which was confirmed by non
toxic effects to cells and good cell adhesion. Therefore, the non-cytotoxic
chemistry of the co-polymers makes them good candidates for further development
as biomedical implants.
PMID- 21888760
TI - Efficient bone regeneration induced by bone morphogenetic protein-2 released from
apatite-coated collagen scaffolds.
AB - Bone morphogenetic proteins (BMPs) are the most potent osteoinductive growth
factors. Clinically utilized BMP-2 uses a type-I collagen scaffold as a carrier.
Here we hypothesized that an apatite coating on a type-I collagen scaffold would
prolong the BMP-2 release period and enhance bone regeneration in calvarial
defects in mice. Apatite coating was achieved by incubating collagen scaffolds in
simulated body fluid. BMP-2 release kinetics and bioactivity were evaluated by
enzyme-linked immunosorbent assay and alkaline phosphatase activity measurement
of cultured osteoblasts. Computed tomography and histomorphometry were performed
eight weeks after various doses of BMP-2 were delivered to mouse calvarial
defects using either non-modified or apatite-coated collagen scaffolds. Apatite
coated collagen scaffolds released 91.8 +/- 11.5% of the loaded BMP-2 over 13
days in vitro, whereas non-modified collagen scaffolds released 98.3 +/- 2.2%
over the initial one day. The in vivo study showed that BMP-2 delivery with
apatite-coated collagen scaffolds resulted in a significantly greater bone
formation area and higher bone density than that with non-modified collagen
scaffolds. This study suggests that simple apatite coating on collagen scaffolds
can enhance the bone regeneration efficacy of BMP-2 released from collagen
scaffolds.
PMID- 21888761
TI - Enhanced guided bone regeneration by asymmetrically porous PCL/pluronic F127
membrane and ultrasound stimulation.
AB - Recently, we developed a novel method for fabricating a guided bone regeneration
(GBR) membrane with an asymmetrical pore structure and hydrophilicity by an
immersion precipitation method. Results from an animal study, in a cranial defect
model in rats, indicated that the unique asymmetrically porous GBR membrane would
provide a good environment for bone regeneration. In the present study, we
applied low intensity pulsed ultrasound as a simple and non-invasive stimulus to
an asymmetrically porous polycaprolactone (PCL)/Pluronic F127 GBR membrane
implanted site transcutaneously in rats to investigate the feasibility of using
ultrasound to stimulate enhanced bone regeneration through the membrane. It was
observed that the ultrasound-stimulated PCL/F127 GBR membrane group had much
faster bone regeneration behavior than a PCL/F127 membrane group w/o ultrasound
or a control group (w/o membrane and ultrasound). The greater bone regeneration
behavior in the GBR membrane/ultrasound group may be caused by a synergistic
effect of the asymmetrically porous PCL/F127 membrane with unique properties
(selective permeability, hydrophilicity and osteoconductivity), and the
stimulatory effect of ultrasound (induction of angiogenesis and osteogenesis of
cells).
PMID- 21888762
TI - Potential of a PLA-PEO-PLA-based scaffold for skin tissue engineering: in vitro
evaluation.
AB - This study aimed to investigate the in vitro behaviour of porous degradable
scaffolds of the PLA-PEO-PLA-type designed prior to in vivo evaluation for skin
tissue engineering. Two tri-block co-polymers were synthesized from PEO and DL
lactide and their degradation was studied under conditions that mimic a cutaneous
wound environment. 3-D porous scaffolds with interconnected pores were fabricated
using the salt leaching method and characterized by ESEM and Hg porosimetry. The
degrading action of gamma sterilization was studied on the co-polymers. The less
degraded one was selected to make porous scaffolds on which human dermal
fibroblasts and human epidermal keratinocytes were cultured. The capacity of such
scaffolds to act as a dermal equivalent was also considered. Colonization by
human dermal fibroblasts was shown after hematoxylin staining and the production
of major proteins normally found in the extracellular matrix was assessed by
Western blotting of protein extracts. Finally, a skin substitute was generated by
seeding human keratinocytes on the dermal equivalent and a new epidermis was
characterized by using immuno-histological staining. Results show that gamma
sterilization and that degradation under conditions that mimic skin wound healing
were acceptable. The fact that fibroblasts produce extracellular matrix and that
keratinocytes generated an epidermal barrier argues in favour of the interest of
this type of porous scaffold for skin reconstruction.
PMID- 21888763
TI - The role of stereo vision in visual-vestibular integration.
AB - Self-motion through an environment stimulates several sensory systems, including
the visual system and the vestibular system. Recent work in heading estimation
has demonstrated that visual and vestibular cues are typically integrated in a
statistically optimal manner, consistent with Maximum Likelihood Estimation
predictions. However, there has been some indication that cue integration may be
affected by characteristics of the visual stimulus. Therefore, the current
experiment evaluated whether presenting optic flow stimuli stereoscopically, or
presenting both eyes with the same image (binocularly) affects combined visual
vestibular heading estimates. Participants performed a two-interval forced-choice
task in which they were asked which of two presented movements was more
rightward. They were presented with either visual cues alone, vestibular cues
alone or both cues combined. Measures of reliability were obtained for both
binocular and stereoscopic conditions. Group level analyses demonstrated that
when stereoscopic information was available there was clear evidence of optimal
integration, yet when only binocular information was available weaker evidence
of cue integration was observed. Exploratory individual analyses demonstrated
that for the stereoscopic condition 90% of participants exhibited optimal
integration, whereas for the binocular condition only 60% of participants
exhibited results consistent with optimal integration. Overall, these findings
suggest that stereo vision may be important for self-motion perception,
particularly under combined visual-vestibular conditions.
PMID- 21888764
TI - Effects of sound on the tactile perception of roughness in peri-head space.
AB - The aim of this study is to investigate whether or not spatial congruency between
tactile and auditory stimuli would influence the tactile roughness discrimination
of stimuli presented to the fingers or cheeks. In the experiment, when abrasive
films were passively presented to the participants, white noise bursts were
simultaneously presented from the same or different side, either near or far from
the head. The results showed that when white noise was presented from the same
side as the tactile stimuli, especially from near the head, the discrimination
sensitivity on the cheeks was higher than when sound was absent or presented
from a different side. A similar pattern was observed in discrimination by the
fingers but it was not significant. The roughness discrimination by the fingers
was also influenced by the presentation of sound close to the head, but
significant differences between conditions with and without sounds were observed
at the decisional level. Thus, the spatial congruency between tactile and
auditory information selectively modulated the roughness sensitivity of the skin
on the cheek, especially when the sound source was close to the head.
PMID- 21888765
TI - Oxidative stress in Rett syndrome: natural history, genotype, and variants.
AB - OBJECTIVES: Rett syndrome (RTT) is an X-linked autism spectrum disorder caused by
mutations in the MeCP2 gene in the great majority of cases. Evidence suggests a
potential role of oxidative stress (OS) in its pathogenesis. Here, we
investigated the potential value of OS markers (non-protein-bound iron (NPBI) and
F2-isoprostanes (F2-IsoPs)) in explaining natural history, genotype-phenotype
correlation, and clinical heterogeneity of RTT, and gauging the response to omega
3 polyunsaturated fatty acids (omega-3 PUFAs). METHODS: RTT patients (n=113) and
healthy controls were assayed for plasma NPBI and F2-IsoPs, and intraerythrocyte
NPBI. Forty-two patients with typical RTT were randomly assigned to omega-3 PUFAs
supplementation for 12 months. NPBI was measured by HPLC and F2-IsoPs using a gas
chromatography/negative ion chemical ionization tandem mass spectrometry (GC/NICI
MS/MS) technique. RESULTS: F2-IsoPs were significantly higher in the early stages
as compared with the late natural progression of classic RTT. MeCP2 mutations
related to more severe phenotypes exhibited higher OS marker levels than those of
milder phenotypes. Higher OS markers were observed in typical RTT and early
seizure variant as compared with the preserved speech and congenital variants.
Significant reduction in OS markers levels and improvement of severity scores
were observed after omega-3 PUFAs supplementation. DISCUSSION: OS is a key
modulator of disease expression in RTT.
PMID- 21888766
TI - The bacterial redox signaller pyocyanin as an antiplasmodial agent: comparisons
with its thioanalog methylene blue.
AB - The quorum sensor and signalling molecule pyocyanin (PYO) contributes
significantly to the pathophysiology of Pseudomonas aeruginosa infections.
Comparison to phenothiazine drugs suggests that the antimalarial compound
methylene blue (MB) can be regarded as a sulfur analog of PYO. This working
hypothesis would explain why the synthetic drug MB behaves as a compound shaped
in biological evolution. Here we report on redox-associated biological and
biochemical properties of PYO in direct comparison to its synthetic analog MB. We
quantitatively describe the reactivity of both compounds toward cellular
reductants, the reactivity of their reduced leuco-forms towards O2, and their
interactions with FAD-containing disulfide reductases. Furthermore, the
interaction of PYO with human glutathione reductase was studied in structural
detail by x-ray crystallography, showing that a single PYO molecule binds to the
intersubunit cavity of the enzyme. Like MB, also PYO was also found to be active
against blood schizonts of the malaria parasite P. falciparum in vitro.
Furthermore, both compounds were active against the disease transmitting
gametocyte forms of the parasites, which was systematically studied in vitro. As
shown for mice, PYO is too toxic to be used as a drug. It may, however, have
antimalarial activity in numerous human patients with concomitant Pseudomonas
infections. MB, in contrast to PYO, is well tolerated and represents a promising
agent for MB-based combination therapies against malaria. Current and future
clinical studies can be guided by the comparisons between MB and PYO reported
here. Additionally, it is of interest to study if and to what extent the
protection from malaria in patients with cystic fibrosis or with severe wound
infections is based on PYO produced by Pseudomonas species.
PMID- 21888767
TI - Aminoguanidine and N-acetyl-cysteine supress oxidative and nitrosative stress in
EAE rat brains.
AB - Experimental autoimmune encephalomyelitis (EAE) is a well-established animal
model of human multiple sclerosis (MS). We have evaluated the role of oxidative
and nitrosative stress, as the causal factors in the development of EAE,
responsible for the damage of cardinal cellular components, such as lipids,
proteins and nucleic acids, resulting in demyelination, axonal damage, and
neuronal death. EAE was induced in female Sprague-Dawley rats, 3 months old
(300+/-20 g), by immunization with myelin basic protein in combination with
Complete Freund's adjuvant (CFA). The animals were divided into seven groups:
control, EAE, CFA, EAE+aminoguanidine (AG), AG, EAE+N-acetyl-L-cysteine (NAC) and
NAC. The animals were sacrificed 15 days after EAE induction, and the levels of
nitrosative and oxidative stress were determined in 10% homogenate of the whole
encephalitic mass. In EAE rats, brain NO production and MDA level were
significantly increased (P<0.001) compared to the control values, whereas AG and
NAC treatment decreased both parameters in EAE rats compared to EAE group
(P<0.001). Glutathione (GSH) was reduced (P<0.001) in EAE rats in comparison with
the control and CFA groups, but increased in EAE+AG and EAE+NAC group compared to
the EAE group (P<0.01). Superoxide dismutase (SOD) activity was significantly
decreased (P<0.001) in the EAE group compared to all other experimental groups.
The clinical expression of EAE was significantly decreased (P<0.05) in the EAE
groups treated with AG and NAC compared to EAE rats, during disease development.
The obtained results prove an important role of oxidative and nitrosative stress
in the pathogenesis of EAE, whereas AG and NAC protective effects offer new
possibilities for a modified combined approach in MS therapy.
PMID- 21888769
TI - Tyr740 and Tyr751 residues of platelet-derived growth factor beta receptor are
responsible for the redox regulation of phosphatase and tensin homolog in the
cells stimulated with platelet-derived growth factor.
AB - Exposure of cells to hydrogen peroxide or platelet-derived growth factor (PDGF)
induced Akt phosphorylation and oxidation of phosphatase and tensin homolog
(PTEN). The Cys124 and Cys71 residues of PTEN were critical for the formation of
a disulfide bond and the intermediate glutathionylation in the process of
reduction of the disulfide bond. To determine which specific tyrosine residues of
the PDGF beta receptor (PDGFbetaR) is involved in PDGF-induced PTEN oxidation and
Akt phosphorylation, we investigated a kinase activity-deficient mutant and
PDGFbetaR mutants where the tyrosine residues in the binding site for
phosphoinositide 3-kinase (PI3K), GTPase-activating protein of Ras, Src homology
2 domain containing protein-tyrosine phosphatase-2, and phospholipase C-1 were
replaced by Phe. Both PTEN oxidation and Akt phosphorylation did not occur in
response to PDGF in the kinase-deficient mutant and in the PDGFbetaR mutant with
a mutation in the PI3K binding site (Tyr740 and Tyr751). Thus, the kinase
activity and the constituent Tyr740 and Tyr751 residues of PDGFbetaR in the cells
stimulated with PDGF are responsible for the oxidation of PTEN and the Akt
phosphorylation.
PMID- 21888768
TI - N-acetylcysteine protects pancreatic islet against glucocorticoid toxicity.
AB - OBJECTIVES: Reactive oxygen species (ROS) are involved in many physiological and
pathological processes. In the present study, we analysed whether the synthetic
glucocorticoid dexamethasone induces oxidative stress in cultured pancreatic
islets and whether the effects of dexamethasone on insulin secretion, gene
expression, and viability can be counteracted by concomitant incubation with N
acetylcysteine (NAC). METHODS: ROS production was measured by dichlorofluorescein
(DCFH-DA) assay, insulin secretion by radioimmunoassay, intracellular calcium
dynamics by fura-2-based fluorescence, gene expression by real-time polymerase
chain reaction analyses and cell viability by the MTS assay. RESULTS:
Dexamethasone (Dexa) increased ROS production and decreased glucose-stimulated
insulin secretion after 72 hours incubation. Intracellular ROS levels were
decreased and the insulin secretion capacity was recovered by concomitant
treatment with Dexa+NAC. The total insulin content and intracellular Ca2+ levels
were not modulated in either Dexa or Dexa+NAC groups. There was a decrease in the
NAD(P)H production, used as an indicator of viability, after dexamethasone
treatment. Concomitant incubation with NAC returned viability to control levels.
Dexa also decreased synaptotagmin VII (SYT VII) gene expression. In contrast, the
Dexa+NAC group demonstrated an increased expression of SYT VII compared to
controls. Surprisingly, treatment with NAC decreased the gene expression of the
antioxidant enzyme copper zinc superoxide dismutase soluble. DISCUSSION: Our
results indicate that dexamethasone increases ROS production, decreases
viability, and impairs insulin secretion in pancreatic rat islets. These effects
can be counteracted by NAC, which not only decreases ROS levels but also
modulates the expression of genes involved in the secretory pathway and those
coding for antioxidant enzymes.
PMID- 21888771
TI - Preventing oral disease.
PMID- 21888772
TI - Periodontal disease and chronic obstructive pulmonary disease.
AB - The purpose of Linking Research to Clinical Practice is to present evidence based
information to clinical dental hygienists so that they can make informed
decisions regarding patient treatment and recommendations. Each issue will
feature a different topic area of importance to clinical dental hygienists with A
BOTTOM LINE to translate the research findings into clinical application.
PMID- 21888773
TI - Bariatric surgery and implications for oral health: a case report.
AB - PURPOSE: A case representative of issues dental practitioners may face when
providing care to patients with a history of bariatric surgery is reviewed. Meta
analysis shows that, following bariatric surgery, 43 to 79% of diabetes,
hyperlipidemia and hypertension in patients resolved to normal levels or no
longer required therapy. However, bariatric surgery side effects have
implications for oral health, including nutrient deficiencies impacting healing
of oral tissues and gastroesophageal reflux, resulting in tooth erosion. Patients
who have undergone bariatric surgery are seen with increasing frequency in dental
offices and dental professionals need to be familiar with the challenges these
patients present.
PMID- 21888774
TI - Use of social networking for dental hygiene program recruitment.
AB - PURPOSE: Social networking has become a popular and effective means of
communication used by students in the millennial generation. Academic admissions
officers are beginning to utilize social networking methods for recruitment of
students. However, the dental hygiene literature has reported little information
about the use of social networking for recruitment strategies. This paper
describes one institutions' process of creating and implementing a social network
site for prospective and current students.
PMID- 21888775
TI - Extending oral health care services to underserved children through a school
based collaboration: part 1: a descriptive overview.
AB - PURPOSE: The purpose of this report is to describe the process and outcomes of a
collaborative, comprehensive preventive oral health program between the
University of Missouri-Kansas City School of Dentistry, the Olathe School
District and an Extended Care Permit I dental hygienist. The report describes the
programs collectively working to provide school-based services to disadvantaged
children in 4 Title I schools using the community collaborative practice oral
health model and tele-dentistry. METHODS: The "Miles of Smiles" clinic was
assembled in 4 elementary schools using portable dental equipment. Dental hygiene
students, supervised by a dental hygiene faculty member with an extended care
permit, provided comprehensive preventive oral health care to unserved and
underserved children. RESULTS: Twenty-eight dental hygiene students provided
prophylaxis, radiographs, sealants, fluoride varnish, oral health education and
nutritional counseling to 339 children in the Miles of Smiles clinic during the
2008 to 2009 academic year. Sixty-three percent of children had decay and were
referred to a dentist. Upon re-evaluating at the end of the school year, 11% had
begun the transition process of seeking restorative care at a safety net clinic
or from a local dentist. CONCLUSION: School based oral health models, using
dental hygienists with expanded scopes of practice to provide preventive oral
health services and referrals, can serve as one approach to overcoming barriers
and reaching vulnerable children that desperately need oral health care. However,
transitioning children outside of their school to a safety net clinic or local
dentist to receive restorative care was found to be problematic.
PMID- 21888777
TI - Evaluation of educational material for tobacco prevention and cessation used in
west virginia university dental programs.
AB - PURPOSE: Patient educational materials for tobacco prevention and cessation are
crucial for dental and dental hygiene education. The programs rely upon written
educational material for tobacco prevention and cessation, referred to as empty
packs (EMT-PCs), which students distribute to the community during face to face
or community-based oral health and tobacco awareness programs. The public often
does not understand the EMT-PC that is received due to the high level of
complexity and readability of the documents. The authors conducted a study to
investigate the EMT-PCs available and used in the West Virginia University School
of Dentistry programs. It was hypothesized that they were readable at the eighth
grade or lower level, used appropriate font, had good production quality, had
appropriate content and were current. METHODS: The authors selected 40 EMT-PCs
used in tobacco prevention and cessation programs. Initially, the Fry Readability
Formula was applied. Next, they evaluated the font, language, production quality,
appropriateness for the target audience and recency of the document based upon
its copyright or revision within the previous 5 years. RESULTS: The average
reading level of the EMT-PCs was grade 6.67 (range 2-17+). The average font size
was 13.8 (range 8-14) and the average date of production or revision was 2003.
Patient educational materials for the general public should be produced at or
below the eighth grade reading level, have a 12 point or larger font size and be
produced or updated within the previous 5 years. CONCLUSION: The hypothesis was
supported in that EMT-PCs distributed in the West Virginia University School of
Dentistry programs met the criteria for appropriate grade level, font, quality
and content, while the average copyright or revision date was 5.9 years, slightly
beyond the recommended 5 years. Effective EMT-PCs should be readable and
appropriately directed to the target audiences. Dental professionals have access
to current, quality tobacco cessation documents and should be aware of the need
to evaluate the documents for appropriate literacy levels for various groups.
PMID- 21888776
TI - Extending oral health care services to underserved children through a school
based collaboration: part 2: the student experience.
AB - PURPOSE: The purpose of this study was to examine the experiences of dental
hygiene students providing services to unserved and underserved children in a
school-based collaboration between a dental school, school district and Extended
Care Permit I (ECP-I) dental hygienist in Kansas. METHODS: Following
comprehensive preventive oral health care services to children in 4 schools
supervised by an ECP-I dental hygienist, 26 senior dental hygiene students
enrolled in the dental hygiene program at the University of Missouri-Kansas City
submitted rotation data records and self-reflection journals describing the
experience. Using the constant comparative method, 3 faculty researchers unitized
the data by identifying key themes. RESULTS: Data from student reflections was
aggregated into 5 categories: skill development (46%), awareness (19%), type of
experience (15%), description of environment/setting (13%) and role model (7%).
CONCLUSION: Participation in well-designed service learning programs is rewarding
for students providing the services and works toward developing the skills needed
to competently care for the changing needs of society. New models of oral health
care and expanded roles for dental hygienists are providing greater access to
preventive oral health care in Kansas.
PMID- 21888778
TI - Improving access to preventive dental services through a school-based dental
sealant program.
AB - PURPOSE: The lack of access to preventive dental services, such as dental
sealants, can be a major barrier to optimal dental health. School-based dental
sealant programs can serve as programs to improve access to preventive dental
services. METHODS: This school-based dental sealant program managed by a Boston
dental school with collaborating partners in the metro west area of Massachusetts
provides free dental sealants to second grade children. The number of second
grade children having dental sealants was tracked for 6 school years and compared
with the Healthy People 2010 objective of 50% of all children aged 8 years to
have at least 1 dental sealant. RESULTS: From school years 2003 to 2004 through
2008 to 2009, 1,609 dental screenings were provided for second grade children. Of
those, 1,189 received dental sealants. To determine whether or not the Healthy
People 2010 objective was met, the number of children who received dental
sealants from the school-based program was added to the number of children who
already had their permanent first molars sealed by their own dentist at the time
of the dental screening, plus children with sealants per parent report. In total,
the aggregate second grade enrollment having sealants during the designated
school years was 54%. CONCLUSION: The specific Healthy People 2010 objective was
achieved over the designated time period. School-based dental sealant programs
can help to decrease or eliminate barriers for access to preventive dental
services by increasing the number of children who receive dental sealants.
PMID- 21888779
TI - The impact of a continuing education oral health program on providing services
for young children by dentists, dental hygienists and dental assistants.
AB - PURPOSE: The purpose of this study was to determine the impact of a 4 hour
continuing education (CE) program on the oral health knowledge and behaviors of
dentists, dental hygienists and dental assistants in providing oral health
services to young children in West Virginia general dental practices. METHODS: A
free CE program was provided for 92 general dentists, 123 dental hygienists and
37 dental assistants (n=252) at 4 sites across West Virginia. Participants
completed a pre- and post-test on topics including the timing of the first dental
exam, fluorides, xylitol, Alternative Restorative Technique (ART) and their
practice pattern of caring for children under 3 years old. A 6 month follow-up
questionnaire was mailed to participants to assess outcomes. RESULTS:
Participants showed a 22% increase in knowledge from the pre-test to the post
test (p<0.001) for all questions except for ART, which showed no change. The
majority of dentists and hygienists (89%) increased their comfort in providing
services for children under 3 years old. Participants (80%) stated they would
increase the number of children under 3 years old they examine, yet 6 months
after the program only 42% responded affirmatively (p<0.001). At the time of the
program, 62% reported that they currently examine children at 1 year of age, and
there was no significant change 6 months later. While 54% responded that they
would contact their local physicians about early oral health care, only 27%
followed through. CONCLUSION: This program significantly increased the
participants' knowledge and comfort level for providing infant and toddler oral
health care. However, it did not motivate most to alter their practice behaviors
to conform to national best practice guidelines.
PMID- 21888780
TI - A comparison of millennial dental hygiene student and faculty classroom
expectations.
AB - PURPOSE: Research has shown that Millennial students are different than students
in previous generations. This study compares the expectations of the didactic
environment of faculty and students in a baccalaureate dental hygiene program.
Expectations of faculty and students were examined, and comparisons between
Millennial and non-Millennial students and faculty were made in order to improve
the educational experience of dental hygiene students. METHODS: Students and
faculty completed a survey adapted from McCargar's role expectations survey.
Items were chosen from the survey to cover such areas as technology, group work
and authority. The survey consisted of a Likert-type scale including strongly
agree, agree, neutral, disagree and strongly disagree. Data was entered into SPSS
15.0 database. Scoring on negative questions was reversed so that the score would
be positive. Individual answers are given the following scoring assignments:
Strongly Agree (+2), Agree (+1), Neutral (0), Disagree (-1) and Strongly Disagree
(-2). Scores were added together to create a summative score for each item.
Descriptive statistics and an unpaired t-test comparing responses were used to
analyze data. Cronbach's alpha was run to measure the internal consistency of the
instrument. RESULTS: Twelve faculty and 94 students returned surveys. Students
felt strongly that copies of course notes should be available online and faculty
should return emails within 24 hours. Statistically significant differences in
the expectations of Millennial and non-Millennial students were found in regards
to issues of authority, community service, attendance and evaluation. The
majority of significant differences were found between Millennial students and
faculty. Significant differences were found in interaction, community service,
technology and homework. CONCLUSION: Faculty should examine the expectations of
their students and should use the findings to create learning experiences that
are more effective for students. Expectations change with each generation, and it
is important to change techniques and methodologies in order to meet the needs of
current students and the profession.
PMID- 21888781
TI - Rift Valley Fever Vaccine Development, Progress and Constraints.
PMID- 21888782
TI - Risk-based estimate of effect of foodborne diseases on public health, Greece.
AB - The public health effects of illness caused by foodborne pathogens in Greece
during 1996-2006 was quantified by using publicly available surveillance data,
hospital statistics, and literature. Results were expressed as the incidence of
different disease outcomes and as disability-adjusted life years (DALY), a health
indicator combining illness and death estimates into a single metric. It has been
estimated that each year ~370,000 illnesses/million inhabitants are likely caused
because of eating contaminated food; 900 of these illnesses are severe and 3
fatal, corresponding to 896 DALY/million inhabitants. Ill-defined intestinal
infections accounted for the greatest part of reported cases and 27% of the DALY.
Brucellosis, echinococcosis, salmonellosis, and toxoplasmosis were found to be
the most common known causes of foodborne illnesses, being responsible for 70% of
the DALY. Overall, the DALY metric provided a quantitative perspective on the
impact of foodborne illness that may be useful for prioritizing food safety
management targets.
PMID- 21888783
TI - Estimating effect of antiviral drug use during pandemic (H1N1) 2009 outbreak,
United States.
AB - From April 2009 through March 2010, during the pandemic (H1N1) 2009 outbreak,
~8.2 million prescriptions for influenza neuraminidase-inhibiting antiviral drugs
were filled in the United States. We estimated the number of hospitalizations
likely averted due to use of these antiviral medications. After adjusting for
prescriptions that were used for prophylaxis and personal stockpiles, as well as
for patients who did not complete their drug regimen, we estimated the filled
prescriptions prevented ~8,400-12,600 hospitalizations (on the basis of median
values). Approximately 60% of these prevented hospitalizations were among adults
18-64 years of age, with the remainder almost equally divided between children 0
17 years of age and adults >65 years of age. Public health officials should
consider these estimates an indication of success of treating patients during the
2009 pandemic and a warning of the need for renewed planning to cope with the
next pandemic.
PMID- 21888784
TI - Intrahousehold transmission of pandemic (H1N1) 2009 virus, Victoria, Australia.
AB - To examine intrahousehold secondary transmission of pandemic (H1N1) 2009 virus in
households in Victoria, Australia, we conducted a retrospective cross-sectional
study in late 2009. We randomly selected case-patients reported during May-June
2009 and their household contacts. Information collected included household
characteristics, use of prevention and control measures, and signs and symptoms.
Secondary cases were defined as influenza-like illness in household contacts
within the specified period. Secondary transmission was identified for 18 of 122
susceptible household contacts. To identify independent predictors of secondary
transmission, we developed a model. Risk factors were concurrent quarantine with
the household index case-patient, and a protective factor was antiviral
prophylaxis. These findings show that timely provision of antiviral prophylaxis
to household contacts, particularly when household members are concurrently
quarantined during implementation of pandemic management strategies, delays or
contains community transmission of pandemic (H1N1) 2009 virus.
PMID- 21888785
TI - Epidemiologic modeling with FluSurge for pandemic (H1N1) 2009 outbreak,
Queensland, Australia.
AB - At the beginning of the pandemic (H1N1) 2009 outbreak, we estimated the potential
surge in demand for hospital-based services in 4 Health Service Districts of
Queensland, Australia, using the FluSurge model. Modifications to the model were
made on the basis of emergent evidence and results provided to local hospitals to
inform resource planning for the forthcoming pandemic. To evaluate the fit of the
model, a comparison between the model's predictions and actual hospitalizations
was made. In early 2010, a Web-based survey was undertaken to evaluate the
model's usefulness. Predictions based on modified assumptions arising from the
new pandemic gained better fit than results from the default model. The survey
identified that the modeling support was helpful and useful to service planning
for local hospitals. Our research illustrates an integrated framework involving
post hoc comparison and evaluation for implementing epidemiologic modeling in
response to a public health emergency.
PMID- 21888786
TI - Differential effects of pandemic (H1N1) 2009 on remote and indigenous groups,
Northern Territory, Australia, 2009.
AB - Pandemic (H1N1) 2009 influenza spread through the Northern Territory, Australia,
during June-August 2009. We performed 2 cross-sectional serologic surveys on
specimens from Northern Territory residents, with 445 specimens obtained
prepandemic and 1,689 specimens postpandemic. Antibody titers were determined by
hemagglutination inhibition against reference virus A/California/7/2009 on serum
samples collected opportunistically from outpatients. All specimens had data for
patients' gender, age, and address, with patients' indigenous status determined
for 94.1%. Protective immunity (titer >40) was present in 7.6% (95% confidence
interval [CI] 5.2%-10.1%) of prepandemic specimens and 19.5% (95% CI 17.6%-21.4%)
of postpandemic specimens, giving a population-standardized attack rate of 14.9%
(95% CI 11.0%-18.9%). Prepandemic proportion of immune persons was greater with
increasing age but did not differ by other demographic characteristics.
Postpandemic proportion of immune persons was greater in younger groups and
around double in indigenous persons. Postpandemic proportion immune was
geographically heterogeneous, particularly among remote-living and indigenous
groups.
PMID- 21888787
TI - Role of Chlamydia trachomatis in miscarriage.
AB - To determine the role of Chlamydia trachomatis in miscarriage, we prospectively
collected serum, cervicovaginal swab specimens, and placental samples from 386
women with and without miscarriage. Prevalence of immunoglobulin G against C.
trachomatis was higher in the miscarriage group than in the control group (15.2%
vs. 7.3%; p = 0.018). Association between C. trachomatis-positive serologic
results and miscarriage remained significant after adjustment for age, origin,
education, and number of sex partners (odds ratio 2.3, 95% confidence interval
1.1-4.9). C. trachomatis DNA was more frequently amplified from products of
conception or placenta from women who had a miscarriage (4%) than from controls
(0.7%; p = 0.026). Immunohistochemical analysis confirmed C. trachomatis in
placenta from 5 of 7 patients with positive PCR results, whereas results of
immunohistochemical analysis were negative in placenta samples from all 8
negative controls tested. Associations between miscarriage and
serologic/molecular evidence of C. trachomatis infection support its role in
miscarriage.
PMID- 21888788
TI - Classical bovine spongiform encephalopathy by transmission of H-type prion in
homologous prion protein context.
AB - Bovine spongiform encephalopathy (BSE) and BSE-related disorders have been
associated with a single major prion strain. Recently, 2 atypical, presumably
sporadic forms of BSE have been associated with 2 distinct prion strains that are
characterized mainly by distinct Western blot profiles of abnormal protease
resistant prion protein (PrPres), named high-type (BSE-H) and low-type (BSE-L),
that also differed from classical BSE. We characterized 5 atypical BSE-H isolates
by analyzing their molecular and neuropathologic properties during transmission
in transgenic mice expressing homologous bovine prion protein. Unexpectedly, in
several inoculated animals, strain features emerged that were highly similar to
those of classical BSE agent. These findings demonstrate the capability of an
atypical bovine prion to acquire classical BSE-like properties during propagation
in a homologous bovine prion protein context and support the view that the
epidemic BSE agent could have originated from such a cattle prion.
PMID- 21888789
TI - Increasing incidence of invasive Haemophilus influenzae disease in adults, Utah,
USA.
AB - Since the introduction of the Haemophilus influenzae type b vaccine, the
incidence of invasive H. influenzae type b disease among children has fallen
dramatically, but the effect on invasive H. influenzae disease among adults may
be more complex. In this population-based study we examined the epidemiology and
outcomes of invasive disease caused by typeable and nontypeable H. influenzae
among Utah adults during 1998-2008. The overall incidence increased over the
study period from 0.14/100,000 person-years in 1998 to 1.61/100,000 person-years
in 2008. The average incidence in persons >65 years old was 2.74/100,000 person
years, accounting for 51% of cases and 67% of deaths. The incidence was highest
for nontypeable H. influenzae (0.23/100,000 person-years), followed by H.
influenzae type f (0.14/100,000 person-years). The case-fatality rate was 22%.
The incidence of invasive H. influenzae in Utah adults appears to be increasing.
Invasive H. influenzae infection disproportionately affected the elderly and was
associated with a high mortality rate.
PMID- 21888790
TI - Central venous catheter-associated Nocardia bacteremia in cancer patients.
AB - Central venous catheters, often needed by cancer patients, can be the source of
Nocardia bacteremia. We evaluated the clinical characteristics and outcomes of 17
cancer patients with Nocardia bacteremia. For 10 patients, the bacteremia was
associated with the catheter; for the other 7, it was a disseminated infection.
N. nova complex was the leading cause of bacteremia. Nocardia promoted heavy
biofilm formation on the surface of central venous catheter segments tested in an
in vitro biofilm model. Trimethoprim- and minocycline-based lock solutions had
potent in vitro activity against biofilm growth. Patients with Nocardia central
venous catheter-associated bloodstream infections responded well to catheter
removal and antimicrobial drug therapy, whereas those with disseminated
bacteremia had poor prognoses.
PMID- 21888791
TI - Endemic scrub typhus-like illness, Chile.
AB - We report a case of scrub typhus in a 54-year-old man who was bitten by several
terrestrial leeches during a trip to Chiloe Island in southern Chile in 2006. A
molecular sample, identified as related to Orientia tsutsugamushi based on the
sequence of the16S rRNA gene, was obtained from a biopsy specimen of the eschar
on the patient's leg. Serologic analysis showed immunoglobulin G conversion
against O. tsutsugamushi whole cell antigen. This case and its associated
molecular analyses suggest that an Orientia-like agent is present in the Western
Hemisphere that can produce scrub typhus-like illness. The molecular analysis
suggests that the infectious agent is closely related, although not identical, to
members of the Orientia sp. from Asia.
PMID- 21888792
TI - Geographic distribution of endemic fungal infections among older persons, United
States.
AB - To investigate the epidemiology and geographic distribution of histoplasmosis,
coccidioidomycosis, and blastomycosis in older persons in the United States, we
evaluated a random 5% sample of national Medicare data from 1999 through 2008. We
calculated national, regional, and state-based incidence rates and determined 90
day postdiagnosis mortality rates. We identified 776 cases (357 histoplasmosis,
345 coccidioidomycosis, 74 blastomycosis). Patient mean age was 75.7 years; 55%
were male. Histoplasmosis and blastomycosis incidence was highest in the Midwest
(6.1 and 1.0 cases/100,000 person-years, respectively); coccidioidomycosis
incidence rate was highest in the West (15.2). On the basis of available data,
for 86 (11.1%) cases, there was no patient exposure to a traditional disease
endemic area. Knowledge of areas where endemic mycosis incidence is increased may
affect diagnostic or prevention measures for older adults at risk.
PMID- 21888793
TI - Seroepidemiologic study of pandemic (H1N1) 2009 during outbreak in boarding
school, England.
AB - We conducted a seroepidemiologic study during an outbreak of pandemic (H1N1) 2009
in a boarding school in England. Overall, 353 (17%) of students and staff
completed a questionnaire and provided a serum sample. The attack rate was 40.5%
and 34.1% for self-reported acute respiratory infection (ARI). Staff were less
likely to be seropositive than students 13-15 years of age (staff 20-49 years,
adjusted odds ratio [AOR] 0.30; >50 years AOR 0.20). Teachers were more likely to
be seropositive than other staff (AOR 7.47, 95% confidence interval [CI] 2.31
24.2). Of seropositive persons, 44.6% (95% CI 36.2%-53.3%) did not report ARI.
Conversely, of 141 with ARI and 63 with influenza-like illness, 45.8% (95% CI
37.0%-54.0%) and 30.2% (95% CI 19.2%-43.0%) had negative test results,
respectively. A weak association was found between seropositivity and a
prophylactic dose of antiviral agents (AOR 0.55, 95% CI 0.30-0.99); prophylactic
antiviral agents lowered the odds of ARI by 50%.
PMID- 21888794
TI - Leptospirosis as frequent cause of acute febrile illness in southern Sri Lanka.
AB - To determine the proportion of fevers caused by leptospirosis, we obtained serum
specimens and epidemiologic and clinical data from patients in Galle, Sri Lanka,
March-October 2007. Immunoglobulin M ELISA was performed on paired serum
specimens to diagnose acute (seroconversion or 4-fold titer rise) or past (titer
without rise) leptospirosis and seroprevalence (acute). We compared
(individually) the diagnostic yield of acute-phase specimens and clinical
impression with paired specimens for acute leptospirosis. Of 889 patients with
paired specimens, 120 had acute leptosoirosis and 241 had past leptospirosis. The
sensitivity and specificity of acute-phase serum specimens were 17.5% (95%
confidence interval [CI] 11.2%-25.5%) and 69.2% (95% CI 65.5%-72.7%),
respectively, and of clinical impression 22.9% (95% CI 15.4%-32.0%) and 91.7%
(95% CI 89.2%-93.8%), respectively. For identifying acute leptospirosis, clinical
impression is insensitive, and immunoglobulin M results are more insensitive and
costly. Rapid, pathogen-based tests for early diagnosis are needed.
PMID- 21888795
TI - Inpatient capacity at children's hospitals during pandemic (H1N1) 2009 outbreak,
United States.
AB - Quantifying how close hospitals came to exhausting capacity during the outbreak
of pandemic influenza A (H1N1) 2009 can help the health care system plan for more
virulent pandemics. This ecologic analysis used emergency department (ED) and
inpatient data from 34 US children's hospitals. For the 11-week pandemic (H1N1)
2009 period during fall 2009, inpatient occupancy reached 95%, which was lower
than the 101% occupancy during the 2008-09 seasonal influenza period. Fewer than
1 additional admission per 10 inpatient beds would have caused hospitals to reach
100% occupancy. Using parameters based on historical precedent, we built 5 models
projecting inpatient occupancy, varying the ED visit numbers and admission rate
for influenza-related ED visits. The 5 scenarios projected median occupancy as
high as 132% of capacity. The pandemic did not exhaust inpatient bed capacity,
but a more virulent pandemic has the potential to push children's hospitals past
their maximum inpatient capacity.
PMID- 21888797
TI - High rates of malaria among US military members born in malaria-endemic
countries, 2002-2010.
AB - To estimate malaria rates in association with birth country, we analyzed routine
surveillance data for US military members. During 2002-2010, rates were 44*
higher for those born in western Africa than for those born in the United States.
Loss of natural immunity renders persons susceptible when visiting birth
countries. Pretravel chemoprophylaxis should be emphasized.
PMID- 21888796
TI - Mycobacterium chelonae-abscessus complex associated with sinopulmonary disease,
Northeastern USA.
AB - Members of the Mycobacterium chelonae-abscessus complex represent Mycobacterium
species that cause invasive infections in immunocompetent and immunocompromised
hosts. We report the detection of a new pathogen that had been misidentified as
M. chelonae with an atypical antimicrobial drug susceptibility profile. The
discovery prompted a multicenter investigation of 26 patients. Almost all
patients were from the northeastern United States, and most had underlying sinus
or pulmonary disease. Infected patients had clinical features similar to those
with M. abscessus infections. Taxonomically, the new pathogen shared molecular
identity with members of the M. chelonae-abscessus complex. Multilocus DNA target
sequencing, DNA-DNA hybridization, and deep multilocus sequencing (43 full-length
genes) support a new taxon for these microorganisms. Because most isolates
originated in Pennsylvania, we propose the name M. franklinii sp. nov. This
investigation underscores the need for accurate identification of Mycobacterium
spp. to detect new pathogens implicated in human disease.
PMID- 21888798
TI - Differential risk for Lyme disease along hiking trail, Germany.
AB - To estimate relative risk for exposure to ticks infected with Lyme disease
causing spirochetes in different land-use types along a trail in Germany, we
compared tick density and spirochete prevalence on ruminant pasture with that on
meadow and fallow land. Risk was significantly lower on pasture than on meadow
and fallow land.
PMID- 21888799
TI - Bartonella quintana infections in captive monkeys, China.
AB - Bartonella quintana has been considered to be specifically adapted to humans. Our
isolation of the organism from 2 of 36 captive rhesus macaques in China and
finding antibodies against B. quintana in 12 of 33 indicates that the reservoir
hosts of B. quintana may include primates other than humans.
PMID- 21888800
TI - Canine serology as adjunct to human Lyme disease surveillance.
AB - To better define areas of human Lyme disease risk, we compared US surveillance
data with published data on the seroprevalence of Borrelia burgdorferi antibodies
among domestic dogs. Canine seroprevalence >5% was a sensitive but nonspecific
marker of human risk, whereas seroprevalence <1% was associated with minimal risk
for human infection.
PMID- 21888801
TI - Predominance of Cronobacter sakazakii sequence type 4 in neonatal infections.
AB - A 7-loci (3,036 nt) multilocus sequence typing scheme was applied to 41 clinical
isolates of Cronobacter sakazakii. Half (20/41) of the C. sakazakii strains were
sequence type (ST) 4, and 9/12 meningitis isolates were ST4. C. sakazakii ST4
appears to be a highly stable clone with a high propensity for neonatal
meningitis.
PMID- 21888802
TI - Wild rodents and novel human pathogen candidatus Neoehrlichia mikurensis,
Southern Sweden.
AB - We examined small mammals as hosts for Anaplasmataceae in southern Sweden. Of 771
rodents, 68 (8.8%) were infected by Candidatus Neoehrlichia mikurensis, but no
other Anaplasmataceae were found. Candidatus N. mikurensis has recently been
found in human patients in Germany, Switzerland, and Sweden, which suggests that
this could be an emerging pathogen in Europe.
PMID- 21888803
TI - Q fever among culling workers, the Netherlands, 2009-2010.
AB - In 2009, dairy goat farms in the Netherlands were implicated in >2,300 cases of Q
fever; in response, 51,820 small ruminants were culled. Among 517 culling
workers, despite use of personal protective equipment, 17.5% seroconverted for
antibodies to Coxiella burnetii. Vaccination of culling workers could be
considered.
PMID- 21888804
TI - Syndromic surveillance during pandemic (H1N1) 2009 outbreak, New York, New York,
USA.
AB - We compared emergency department and ambulatory care syndromic surveillance
systems during the pandemic (H1N1) 2009 outbreak in New York City. Emergency
departments likely experienced increases in influenza-like-illness significantly
earlier than ambulatory care facilities because more patients sought care at
emergency departments, differences in case definitions existed, or a combination
thereof.
PMID- 21888805
TI - Tubulinosema sp. microsporidian myositis in immunosuppressed patient.
AB - The Phylum Microsporidia comprises >1,200 species, only 15 of which are known to
infect humans, including the genera Trachipleistophora, Pleistophora, and
Brachiola. We report an infection by Tubulinosema sp. in an immunosuppressed
patient.
PMID- 21888806
TI - Listeriosis, Taiwan, 1996-2008.
AB - During 1996-2008, a total of 48 patients with listeriosis were identified at a
Taiwan hospital. Average annual incidence increased from 0.029 to 0.118 cases per
1,000 admissions before and after January 2005. Serotype 1/2b predominated;
serotype 4b emerged since 2004. Food monitoring and disease surveillance systems
could help control listeriosis in Taiwan.
PMID- 21888807
TI - Tattoo-associated Mycobacterium haemophilum skin infection in immunocompetent
adult, 2009.
AB - After a laboratory-confirmed case of Mycobacterium haemophilum skin infection in
a recently tattooed immunocompetent adult was reported, we investigated to
identify the infection source and additional cases. We found 1 laboratory
confirmed and 1 suspected case among immunocompetent adults who had been tattooed
at the same parlor.
PMID- 21888808
TI - Pandemic (H1N1) 2009 transmission during presymptomatic phase, Japan.
AB - During an epidemiologic investigation of pandemic influenza (H1N1) 2009 virus
infection in May 2009 in Osaka, Japan, we found 3 clusters in which virus
transmission occurred during the presymptomatic phase. This finding has public
health implications because it indicates that viral transmission in communities
cannot be prevented solely by isolating symptomatic case-patients.
PMID- 21888809
TI - Increased extent of and risk factors for pandemic (H1N1) 2009 and seasonal
influenza among children, Israel.
AB - During the pandemic (H1N1) 2009 outbreak in Israel, incidence rates among
children were 2* higher than that of the previous 4 influenza seasons;
hospitalization rates were 5* higher. Children hospitalized for pandemic (H1N1)
2009 were older and had more underlying chronic diseases than those hospitalized
for seasonal influenza.
PMID- 21888810
TI - Hospitalized patients with pandemic (H1N1) 2009, Kenya.
AB - To describe the epidemiology and clinical course of patients hospitalized with
pandemic (H1N1) 2009 in Kenya, we reviewed medical records of 49 such patients
hospitalized during July-November 2009. The median age (7 years) was lower than
that in industrialized countries. More patients had HIV than the general Kenyan
population.
PMID- 21888811
TI - Ciprofloxacin-resistant Shigella sonnei among men who have sex with men, Canada,
2010.
AB - In 2010, we observed isolates with matching pulsed-field gel electrophoresis
patterns from 13 cases of ciprofloxacin-resistant Shigella sonnei in Montreal. We
report on the emergence of this resistance type and a study of resistance
mechanisms. The investigation suggested local transmission among men who have sex
with men associated with sex venues.
PMID- 21888812
TI - Multidrug-resistant Acinetobacter baumannii in veterinary clinics, Germany.
AB - An increase in prevalence of multidrug-resistant Acinetobacter spp. in
hospitalized animals was observed at the Justus-Liebig-University (Germany).
Genotypic analysis of 56 isolates during 2000-2008 showed 3 clusters that
corresponded to European clones I-III. Results indicate spread of genotypically
related strains within and among veterinary clinics in Germany.
PMID- 21888813
TI - Escherichia coli O104:H4 from 2011 European outbreak and strain from South Korea.
PMID- 21888814
TI - Respiratory illness in households of school-dismissed students during pandemic
(H1N1) 2009.
PMID- 21888815
TI - Pandemic (H1N1) 2009 virus in swine herds, People's Republic of China.
PMID- 21888817
TI - Carriage of meningococci by university students, United Kingdom.
PMID- 21888816
TI - Pulmonary disease associated with nontuberculous mycobacteria, Oregon, USA.
PMID- 21888818
TI - Pandemic (H1N1) 2009 in neonates, Japan.
PMID- 21888819
TI - Social network as outbreak investigation tool.
PMID- 21888820
TI - Susceptibility of health care students to measles, Paris, France.
PMID- 21888821
TI - Toxigenic Corynebacterium ulcerans in woman and cat.
PMID- 21888822
TI - Isoniazid-resistant tuberculosis, taiwan, 2000-2010.
PMID- 21888823
TI - Novel mycobacterium species in seahorses with tail rot.
PMID- 21888824
TI - Mycoplasma leachii sp. nov. in calves, China.
PMID- 21888825
TI - Bartonella clarridgeiae in fleas, Tahiti, French Polynesia.
PMID- 21888826
TI - Bocavirus in children with respiratory tract infections.
PMID- 21888827
TI - Highly virulent Escherichia coli O26, Scotland.
PMID- 21888828
TI - Perinatal transmission of yellow fever, Brazil, 2009.
PMID- 21888829
TI - Pathogenic Leptospira spp. in wild rodents, Canary Islands, Spain.
PMID- 21888830
TI - Highly pathogenic porcine reproductive and respiratory syndrome virus, Asia.
PMID- 21888831
TI - Unfolded protein response suppresses cisplatin-induced apoptosis via autophagy
regulation in human hepatocellular carcinoma cells.
AB - It has been shown that drug resistance is extremely common in hepatocellular
carcinoma (HCC) and is one of the major problems in HCC chemotherapy. However,
the detailed mechanisms remain largely unknown. We have previously shown that
endoplasmic reticulum (ER) stress is involved in the tumorigenesis of HCC. Here,
we demonstrated that the unfolded protein response (UPR) inhibits cisplatin
induced HCC cell apoptosis. In HCC cells, cisplatin treatment triggers the UPR,
which subsequently inhibits cisplatin-induced apoptosis. Importantly, mild ER
stress precondition suppresses the sensitivity of HCC cells to cisplatin-induced
apoptosis through autophagy regulation. Furthermore, heat-shock protein 27
(Hsp27) is involved in the cytoprotective role of the UPR in cisplatin-induced
apoptosis. We also demonstrated that Hsp27 inhibits cisplatin- induced HCC cell
death through autophagy activation. Taken together, our results indicate that the
UPR inhibits cisplatin-induced apoptosis in HCC cells, at least in part, by Hsp27
mediated autophagy activation.
PMID- 21888832
TI - Glucose and its metabolites have distinct effects on the calcium-induced
mitochondrial permeability transition.
AB - Mitochondrial production of reactive oxygen species (ROS) due to up-regulated
glucose oxidation is thought to play a crucial, unifying role in the pathogenesis
of chronic complications associated with diabetes mellitus. Mitochondrial
permeability transition (MPT) is an interesting phenomenon involved in calcium
signalling and cell death. We investigated the effects of glucose and several of
its metabolites on calcium-induced MPT (measured as mitochondrial swelling) in
isolated rat liver mitochondria. The presence of glucose, glucose 1-phosphate
(both at 30 mM) or methylglyoxal (6 mM) significantly slowed calcium-induced
mitochondrial swelling. Thirty mM glucose also resulted in a significant delay of
MPT onset. In contrast, 30 mM fructose 6-phosphate accelerated swelling, whereas
glucose 6-phosphate did not influence the MPT. The calcium binding potentials of
the three hexose phosphates were tested and found similar. In vitro hydrogen
peroxide production by mitochondria respiring on succinate in the presence of
rotenone was independent of mitochondrial membrane potential and increased
transiently during calcium-induced MPT. Inhibition of MPT with cyclosporine A
resulted in decreased mitochondrial ROS production in response to calcium. In
contrast, inhibition of MPT by methylglyoxal was accompanied by increased ROS
production in response to calcium. In conclusion, we confirm that methylglyoxal
is a potent inhibitor of MPT. In addition, high levels of glucose, glucose 1
phosphate and fructose 6-phosphate can also affect MPT. Methylglyoxal
simultaneously inhibits MPT and increases mitochondrial ROS production in
response to calcium. Our findings provide a novel context for the role of MPT in
glucose sensing and the cellular toxicity caused by methylglyoxal.
PMID- 21888833
TI - Changes in expression of some apoptotic markers in different types of human
endometrium.
AB - The maintenance of tissue homeostasis and highly balanced counteraction of
cellular proliferation and apoptosis are essential for tissue integrity. In our
study, we evaluated the expression of apoptosis- regulating proteins Bcl-2, Bax
and PARP, and executive apoptotic enzyme caspase-3 in normal, atrophic,
hyperplastic and cancerous endometrium. Endometrial samples were obtained from
patients who underwent curettage, hysteroresection or hysterectomy. The protein
levels were quantified by immunoblotting. We observed a higher level of important
apoptotic enzyme pro-caspase-3 and its active form in hyperplastic and cancerous
endometrium, when compared to normal endometrium. The value of Bcl-2/Bax ratio,
which reflects cellular resistance to apoptosis, was determined as > 1 for
cancerous, normal, and atrophic endometrium. Thus, the effort to eliminate pre
neoplastic and neoplastic cells by apoptosis indicated by high pro-caspase-3 and
caspase-3 levels seems to be overcome by a greater proliferative adjustment
suggested by higher Bcl-2/Bax ratios in the samples examined. The PARP levels did
not vary significantly among the groups. The levels of all examined proteins were
significantly lower in atrophic endometrium. Our results suggest that pre
neoplastic and neoplastic states of human endometrium are not influenced simply
by changes in apoptosis, but may also be affected by cellular proliferation. A
high Bcl-2/Bax ratio as observed in cancerous endometrium can point to
deregulation of apoptotic programmes. Thus, the onset and progression of
endometrial malignancy could be linked to increased cellular proliferation with
defects in apoptotic control.
PMID- 21888834
TI - The influence of electroporation on in vitro photodynamic therapy of human breast
carcinoma cells.
AB - Phototoxicity of drugs used in cancer photodynamic therapy could be augmented by
increased accumulation of a photosensitizer in target cells. The intracellular
delivery mode that enhances drug transportation could facilitate therapy by
reducing the exposure time. Doses of the administered drug and related side
effects could be lowered, whilst maintaining the same therapeutic efficiency.
Electroporation supports transport of many drugs by creating electric field
induced transient nanopores in the plasma membrane. In this study, the
electroporation- assisted transport of a photosensitizer was tested in vitro in
human breast carcinoma cell lines: wild-type (MCF-7/WT) and doxorubicin-resistant
(MCF-7/DOX). The efficacy of photodynamic therapy alone and in combination with
electroporation was evaluated by cell viability with MTT test, using a
haematoporphyrin derivative as a model. The data presented show up to 10-fold
greater efficacy of the combined method, with very significantly reduced drug
exposure times.
PMID- 21888835
TI - Isolation and characterization of synovial mesenchymal stem cells.
AB - Synovial membrane and synovial fluid represent a good source of mesenchymal stem
cells. They have been regarded as a promising therapeutic tool for
musculoskeletal regeneration. Synovium-derived mesenchymal stem cells have higher
expression of CD44 and better chondrogenic potential in vitro than mesenchymal
stem cells from other tissues. In this study we compared mesenchymal stem cells
from synovium and synovial fluid on the base of morphological, immunophenotype
and differentiation features. A heterogeneous population of cells with different
morphology was obtained after isolation and 4-day cultivation. The mesenchymal
stem cell immunophenotype was confirmed by positive expression of CD105, CD90,
and CD44 by flow cytometry and cells were negative for CD45. CD105+ cells were
selected by immunomagnetic separation after 2-4 weeks of cultivation. The
percentage of CD105+ cells in the mesenchymal stem cell population from synovia
was between 40-50 % before immunomagnetic separation and increased to 95 %
following the immunomagnetic separation. Von Kossa, Alcian blue and Oil Red O
staining was used to assess the differentiation potential of synovial mesenchymal
stem cells. Long-term cultivation did not affect the morphology and
immunophenotype of synovial mesenchymal stem cells. Our results confirmed that
immunomagnetic separation based on CD 105 antigen is a suitable method to enrich
the subpopulation of CD105+ synovial mesenchymal stem cells.
PMID- 21888836
TI - Comparative analysis of the nuclear presence of adhesion/growth-regulatory
galectins and reactivity in the nuclei of interphasic and mitotic cells.
AB - Nuclear galectins participate in splicing of pre-mRNA. In this study we detected
galectins-1, -2, -3 and -7 and their glycoligands in three types of cells:
fibroblasts, cancer epithelial cells and melanoma cells. The results demonstrated
that the nuclear expression of distinct types of galectins and their ligands in
interphasic nuclei is dependent on the cell type. The extensive binding of
labelled galectins-1 and -2 to mitotic cells (around chromosomes, in mitotic
spindle and in bridge connecting both daughter cells) suggests their role during
the cell division.
PMID- 21888838
TI - Clavicle fractures--is there a standard treatment?
AB - Clavicle fractures, especially of the mid third, are an injury commonly seen in
clinical practice, therefore, there is constant earnest discussion of the optimal
approach to therapy. Until recently clavicle fractures were solely the domain of
non surgical management. Even displaced fractures have been successfully managed
without surgery. However, complications have been reported after non surgical
treatment, the most frequent being post-traumatic shortening of the clavicle with
varying functional consequences for the shoulder joint and range of arm motion as
well as pseudarthrosis, especially after more severely displaced fractures.
Recent studies have now shown that outcomes after non surgical management of
displaced fractures or shortening of the clavicle are worse than had been
previously assumed. Surgical techniques for the stable fixation of clavicle
fractures have been improved and a wider selection of implants for osteosynthesis
of these fractures has become available. Although there is widespread consensus
that undisplaced or minimally displaced clavicle fractures respond well to non
surgical management, optimal treatment of displaced fractures or severe
shortening is under scrutiny with regard to both the basic choice between non
surgical or surgical management and implant selection. According to current
research findings, surgical management of displaced clavicle fractures has
advantages and appears to be superior to non surgical management. Intramedullary
nailing has proven suitable for simple straight fractures, and plate fixation for
multifragmentary fractures.
PMID- 21888837
TI - Clopidogrel and modified-release dipyridamole for the prevention of occlusive
vascular events (review of Technology Appraisal No. 90): a systematic review and
economic analysis.
AB - BACKGROUND: Occlusive vascular events such as myocardial infarction (MI),
ischaemic stroke and transient ischaemic attack (TIA) are the result of a
reduction in blood flow associated with an artery becoming narrow or blocked
through atherosclerosis and atherothrombosis. Peripheral arterial disease is the
result of narrowing of the arteries that supply blood to the muscles and other
tissues, usually in the lower extremities. The primary objective in the treatment
of all patients with a history of occlusive vascular events and peripheral
arterial disease is to prevent the occurrence of new occlusive vascular events.
OBJECTIVES: To assess the clinical effectiveness and cost-effectiveness of
clopidogrel and modified-release dipyridamole (MRD) alone or with aspirin (ASA)
compared with ASA (and each other where appropriate) in the prevention of
occlusive vascular events in patients with a history of MI, ischaemic stroke/TIA
or established peripheral arterial disease. To consider the clinical
effectiveness and cost-effectiveness of clopidogrel in patients with
multivascular disease. This review is an update of the evidence base for the
National Institute for Health and Clinical Excellence (NICE) guidance Technology
Appraisal No. 90 (TA90) entitled Clopidogrel and modified-release dipyridamole
for the prevention of occlusive vascular events (2005). DATA SOURCES: Four
electronic databases (EMBASE, MEDLINE, Web of Science and The Cochrane Library)
were searched for randomised controlled trials (RCTs) and economic evaluations.
Submissions to NICE by the manufacturers of the interventions were also
considered. REVIEW METHODS: A systematic review of clinical effectiveness and
cost-effectiveness was conducted. To manage heterogeneity between trials,
indirect analysis (using a mixed-treatment methodology) was performed on selected
clinical outcomes. A new economic model was developed to assess incremental costs
per life-year gained [quality-adjusted life-years (QALYs)]. RESULTS: For evidence
of clinical effectiveness, four RCTs were identified: CAPRIE (Clopidogrel versus
Aspirin in Patients at Risk of Ischaemic Events), ESPRIT (European/Australasian
Stroke Prevention in Reversible Ischaemia Trial), PRoFESS (Prevention Regimen For
Effectively avoiding Second Strokes) and ESPS-2 (Second European Stroke
Prevention Study). In CAPRIE (patients with MI, ischaemic stroke or peripheral
arterial disease), statistically significant outcomes in favour of clopidogrel
were noted for the primary outcome (first occurrence of ischaemic stroke, MI or
vascular death) compared with ASA [relative risk reduction 8.7%; 95% confidence
interval (CI) 0.3% to 16.5%; p = 0.043]. In ESPRIT (patients with ischaemic
stroke/TIA) for the primary outcome (first occurrence of death from all vascular
causes, non-fatal stroke, non-fatal MI or major bleeding complication), the risk
of event occurrence was statistically significantly lower in the MRD + ASA arm
than in the ASA arm [hazard ratio (HR) 0.80; 95% CI 0.66 to 0.98], with no
statistically significant difference in bleeding events between the two arms. In
PRoFESS (patients with ischaemic stroke) the rate of recurrent stroke of any type
(primary outcome) was similar in the MRD + ASA and clopidogrel groups, and the
null hypothesis (that MRD + ASA was inferior to clopidogrel) could not be
rejected. In ESPS-2 (patients with ischaemic stroke/TIA), on the primary outcome
of stroke, statistically significant differences in favour of MRD + ASA were
observed compared with ASA and MRD alone (relative risk 0.76; 95% CI 0.63 to
0.93). The outcomes addressed in the mixed-treatment comparisons (limited by the
available data) for the ischaemic stroke/TIA population confirmed the results of
the direct comparisons. The 11 economic evaluations included in the review of
cost-effectiveness indicated that for patients with previous peripheral arterial
disease, ischaemic stroke or MI, clopidogrel is cost-effective compared with ASA,
and for patients with previous ischaemic stroke/TIA, treatment with MRD + ASA is
cost-effective compared with any other treatment in patients in the secondary
prevention of occlusive vascular events. The relevance of the review was limited
as the economic evaluations were not based on the most current clinical data.
Cost-effectiveness results generated from the Assessment Group's de novo economic
model suggested that the most cost-effective approach for patients with ischaemic
stroke/TIA is clopidogrel followed by MRD + ASA then ASA. For patients with MI,
the most cost-effective approach is ASA followed by clopidogrel. For patients
with established peripheral arterial disease, the most cost-effective approach is
clopidogrel followed by ASA. For patients with multivascular disease, clopidogrel
followed by ASA is the most cost-effective approach. Incremental cost
effectiveness ratios (ICERs) were also calculated for patients who are intolerant
to ASA. Assuming that the branded price for clopidogrel is used and TA90 guidance
is not applied, all of the ICERs range between L2189 and L13,558 per QALY gained.
Probabilistic sensitivity analyses were fully consistent with these findings.
CONCLUSIONS: The evidence suggests that the most cost-effective treatment for
patients with ischaemic stroke/TIA is clopidogrel followed by MRD + ASA followed
by ASA; for patients with MI, ASA followed by clopidogrel; and for patients with
established peripheral arterial disease or multivascular disease, clopidogrel
followed by ASA. FUNDING: The National Institute for Health Research Health
Technology Assessment programme.
PMID- 21888839
TI - Fractures of the scapula.
AB - The scapula connects the arm with the chest wall and is therefore of great
importance for a free range of shoulder of motion. For a long-term scapular
fractures had been treated predominantly conservative. However, clinical studies
of the past decades revealed that some fracture patterns deserve operative
treatment to prevent unfavorable functional outcome and chronic state of pain.
Scapular fractures are predominantly acquired during high-energy trauma and these
patients' presents with a mean of 3.9 associated injuries in the emergency
department. Injuries to the head, chest and ipsilateral upper extremity are most
common. As some of these injuries are possibly life threatening they are treated
first. Scapular fractures are only very seldom surgical emergencies. Therefore
they are treated during the phase of reconvalescence in polytraumatized patients.
Decision-making should be based on a thoroughgoing diagnostics, including
conventional x-rays and a CT-scan, epically in cases of glenoid neck or cavity
fractures. All fracture patterns should be identified to there full extend and
put into the context of the scapular suspensory complex. The OTA lately presented
a new and comprehensive system for classification of the scapular fractures. It
is divided in two levels. Level one for the general orthopedic or trauma surgeon
and Level two for the advanced upper Extremity or Shoulder surgeon. This
classification scheme allows an easy access to understanding of the severity and
prognostics of scapular fractures. As a general guideline surgery is indicated if
a double disruption of the Scapula suspensory system, a relevant malposition or
dysintegrity of the glenoid (articular surface) or a displacement of the lateral
column is present.
PMID- 21888840
TI - [Current role of methylprednisolone in the treatment of acute spinal cord
injury].
AB - High doses of methylprednisolone (MPSS) came into use as part of a therapeutic
protocol for acute spinal cord injuries following the published results from the
NASCIS II study in 1992; they soon became a standard of care around the world.
However, the results of this study have been critically reviewed and questioned
by many authors since the beginning. The major argument is based on the fact that
its effectiveness in reducing post-injury neurological damage has not been
conclusively proved; in addition, there has been increasing evidence of serious
side effects of steroids administered at high doses. In the Czech Republic, as
part of pre-hospital care, MPSS according to the NASCIS II (or NASCIS III)
protocol is used in all regional centres of emergency medical service. In the
Czech spinal surgery centres involved in treating acute spinal cord injuries,
there are 19 of them, attitudes towards the use of MPSS vary. In 16% of the
centres a certainty of its beneficial effect is still maintained, faith in its
effect together with fear of a "non-lege artis" procedure is the reason for MSPP
use in 21%, and the fear of sanctions only leads to its use in 63% of the
centres. There is no standard practice in application of the NASCIS II and NASCIS
III protocols and no standard exclusion criteria exist. The two protocols are
used equally, and one institution has its own modification. The recommended MPSS
dose is administered with no exception in 63% of the centres; dose adjustment is
employed according to the form of spinal cord lesion in 11%, the level of spinal
cord injury in 5%, associated diseases in 16% and patient age in 11% of the
spinal surgery centres. After the results of studies on MPSS administration in
acute spinal cord injury have been analysed, many medical societies have changed
their recommendations. In view of later relevant publications it is no longer
possible to regard MPSS administration as a standard of cure for acute spinal
cord injury. Current evidence suggests that MPSS administration in a 24-hour
regimen after an initial dose given within 8 hours of injury is the therapeutic
procedure that needs individual consideration in each patient according to their
state of health and potential complications. MPSS administration at an interval
longer than 8 hours after injury and for more than 24 hours is not justified, nor
is it justified to use a high MPSS dose at the place of injury by an emergency
ambulance crew. Key words: corticosteroids, methylprednisolone, spinal cord
trauma, neurological damage.
PMID- 21888841
TI - [Comparison of functional outcomes in angle-stable osteosynthesis of comminuted
fractures of the proximal humerus with those in percutaneous Kirschner-wire
fixation. A prospective study of mid-term results].
AB - PURPOSE OF THE STUDY: To evaluate the mid-term results in a group of patients
with displaced comminuted (three- and four-fragment) fractures of the proximal
humerus treated by angle-stable plate osteosynthesis and compare them with the
results in the patients in whom the method of percutaneous Kirschner-wire (K
wire) fixation was used. MATERIAL AND METHODS: The group treated with angle
stable implants (ASI group) consisted of 55 patients, 13 men and 42 women. A
Targon Ph nail was used in 32 and a Philos plate in 23 patients. These patients
were compared with a group of nine patients, one man and eight women, treated by
percutaneous K-wire fixation (K-wire group). At a follow-up of 12 months at
least, final Constant (CS) and DASH scores were assessed. The CS was related to
the values for the unaffected limb, and an individual relative CS was calculated
and expressed in percent. The mean follow-up was 30 (range, 13-55) months in the
ASI group and 58 (range, 39-76) months in the K-wire group. The following seven
characteristics were evaluated : fracture type, surgical technique, dominance of
the affected limb, patient age, injury-surgery interval, and individual relative
CS and DASH scores. The results were statistically analysed with a 5% level of
statistical significance set for all tests. RESULTS: The average age in the ASI
group was 62.1 years, with 64.7 (range, 29-95) years for women and 35.5 (range,
26-76) years for men. In the K-wire group the average age was 66.1 (range, 53-84)
years. The functional outcomes in four-fragment fractures were significantly
worse than in three-fragment fractures (mean relative CS and DASH scores of 56
and 21 versus 72 and 32). The K-wire group showed a significantly worse
functional outcomes than the ASI group in both the mean relative CS score
(p<0.001) and the mean DASH score (p=0.003). No significant relationship was
found in any other pair of variables. The patient's age had no effect on
functional outcome, as assessed by CS (p=0.412) and DASH (p=0.076) and the injury
surgery interval had no influence, either (CS, p=0.220; DASH, p=0.118). There was
no relation between the patient's age and choice of the surgical method
(p=0.467), between the patient's age and a fracture type (p=0.356) and between
the patient's age and injury to either a dominant or a non-dominant limb
(p=0.659). Dominance or non-dominance of the affected limb had no effect on CS or
DASH scores (p=0.662 and p=0.302, respectively) or on a type of fracture
(p=0.183). DISCUSSION: Several surgical techniques used for the treatment of
proximal humerus fractures suggest the absence of consensus in therapy. Novel
angle-stable implants show better biochemical properties and meet criteria
required in minimally invasive techniques. Some authors prefer intramedullary
nailing for three-fragment fractures and the use of an angle-stable plate for
four-fragment fractures. These indication criteria were also confirmed by the
results of our study. CONCLUSIONS: The analysis of functional outcomes showed
that the therapeutic effect of K-wire transfixation was significantly worse than
the effect of the angle-stable plate technique, and therefore the authors stopped
using this method. At present intramedullary nailing is indicated in two- and
three-fragment fractures and in some less displaced four-fragment fractures. An
angle-stable plate is used in severely displaced four-fragment fractures. If the
head is broken or dislocated, older patients are primarily indicated for
hemiarthroplasty and younger ones for humeral head reconstruction.
PMID- 21888843
TI - [Mathematical simulation of biomechanical background of osteophyte formation in
cervical vertebra].
AB - PURPOSE OF THE STUDY: The aim of this study was to simulate different types of
cervical vertebra loading and to find out whether mechanical stress would
concentrate in regions known in clinical practice as predilection sites for
osteophyte formation. The objective was to develop a theoretical model that would
elucidate clinical observations concerning the predilection site of bone
remodelling in view of the physiological changes inside the cervical vertebral
body. MATERIAL AND METHODS: A real 3D-geometry of the fourth cervical vertebra
had been made by the commercially available system ATOS II. This is a high
resolution measuring system using principles of optical triangulation. This
flexible optical measuring machine projects fringe patterns on the surface of a
selected object and the pattern is observed with two cameras. 3D coordinates for
each camera pixel were calculated with high precision and a polygon mesh of the
object's surface was further generated. In the next step an ANSYS programme was
used to calculate strains and stresses in each finite element of the virtual
vertebra. The applied forces used in the experiment corresponded in both
magnitude and direction to physiological stress. Mechanical loading in neutral
position was characterized by a distribution of 80% mechanical stress to the
vertebral body and 10% to each of the zygoapophyseal joints. Hyperlordotic
loading was simulated by 60% force transfer to the vertebral body end-plate and
20% to each of the small joint while kyphotic loading involved a 90% load on the
vertebral body endplate and 5% on each facet. RESULTS: Mechanical stress
distribution calculated in a neutral position of the model correlated well with
bone mineral distribution of a healthy vertebra, and verified the model itself.
The virtual mechanical loading of a vertebra in kyphotic position concentrated
deformation stress into the uncinate processes and the dorsal apophyseal rim of
the vertebral body. The simulation of mechanical loading in hyperlordosis, on the
other hand, shifted the region of maximum deformation into the articulation
process of the Z-joint. All locations are known as areas of osteophyte formation
in degenerated cervical vertebrae. DISCUSSION AND CONCLUSIONS: The theoretical
model developed during this study corresponded well with human spine behaviour in
terms of predilection sites for osteodegenerative changes, as observed in
clinical practice. A mathematical simulation of mechanical stress distribution in
pre-operative planning may lead to the optimisation of post-operative anatomical
relationship between adjacent vertebrae. Such improvement in our surgical
practice may further reduce the incidence of degenerative changes in adjacent
motion segments of the cervical spine and possibly also lead to better subjective
and clinical results after cervical spine reconstruction.
PMID- 21888842
TI - [Surgical treatment for proximal humerus fracture].
AB - PURPOSE OF THE STUDY: The aim of the study was to compare the medical aspects of
alternative surgical methods for treatment of proximal humerus fractures in
specific indications (two- and three- fragment fractures). MATERIAL AND METHODS:
A prospective randomised study on surgical treatment of two- and three-fragment
fractures of the proximal humerus was carried out at the Department of Surgery,
University Hospital in Hradec Kralove, from January 2006 till January 2010. The
study comprised patients with proximal humerus fractures indicated for surgical
treatment. Study inclusion criteria were as follows: informed consent, AO
fracture types A2, A3, B1 or C1, age between 18 and 80 years, and patient
compliance. Exclusion criteria included open fracture, associated injury (AIS .
2), open growth plates, or such state of the patient's health that would limit
the extent of surgery. Two groups were compared. One included patients treated by
the Zifko method of minimally invasive osteosynthesis with intramedullary K-wire
insertion (MIO group) and the other (ORIF group) consisted of patients undergoing
open reduction with angle-stable osteosynthesis using a Philos plate (Synthes,
Switzerland). The patients were randomised to the groups by a computer programme
which facilitates the maintenance of homogeneity of the groups compared. The
procedure in each patient was based on the sealed-envelope method. RESULTS: The
ORIF group comprised 28 patients. It took them an average of 27.2 weeks (9-72) to
regain normal upper limb function. The final CM score was 86.6% (64-100%) as
compared with the healthy limb. Excellent and good results were achieved in 89%
of the patients; complications were recorded in 39% of them. The MIO group
included 27 patients. The fractures healed in all of them. Normal upper limb
function was regained at an average of 21.4 weeks (13-36). The final CM score was
87.5% (52-100%) in comparison with the healthy limb. Excellent and good results
were achieved in 89% and complications developed in 33% of the patients.
CONCLUSIONS: The statistical evaluation of the results, using the unpaired t
test, did not show any significant differences either in functional outcomes or
the number of complications between the two groups. The only significant
difference was found in operative times (117 min and 72 min in ORIF and MIO
groups, respectively). The difference in time needed to regain limb function (27
and 21 weeks) was at a marginal level of statistical significance. With both
methods 89% of excellent and good results were achieved, and a similar number of
patients had complications (11 and 9).
PMID- 21888844
TI - [A comparative analysis of Socon CS and Socon pedicle screws in view of their use
for treatment of osteoporotic fractures of the thoracolumbal spine--a
biomechanical study].
AB - PURPOSE OF THE STUDY: To compare the anchorage strength of Socon CS cannulated
pedicle screws (B. Braun, Aesculap) with that of Socon screws in human cadaver
vertebrae, using pull-out strength testing. MATERIAL: Twelve samples involving
T12 to L3 vertebrae were prepared and a total of 20 pedicle screws, 10 Socon CS
and 10 Socon screws, were inserted in them. All screws were 55 mm in length and 6
mm in diameter. After placement, Socon CS pedicle screws were augmented with bone
cement (Cimplant cement application kit, B. Braun, Aesculap). METHODS: Both Socon
CS and Socon screws were subjected to monotonous loading in the testing device
ZWICK Z 020-TND with tensile stress applied in the screw axis. We evaluated the
magnitude of strength resulting in screw loosening and the relationship between
this strength and bone density of the sample. RESULTS: Bone density of measured
samples corresponded either to osteopenia, i.e. T-score range of -1 to -2.5 SD
(standard deviation) or osteoporosis, i.e. T-score -2.5 SD. The average bone
density of all samples corresponded to a T-score of -3.1 SD. Bone-screw linkage
was found to be associated with both bone-cement and screw-cement interface. Pull
out strength was significantly higher for the Socon CS than the Socon screws (t
test, p<0.0005). In the Socon screws, the linear correlation between pull-out
strength and bone density was significant at a 5% level of statistical
significance (p=0.008) while, in the Socon CS screws, it was not significant
(p=0.065). DISCUSSION: The poor quality of osteoporotic bone is responsible for a
higher frequency of implant failure due to loosening, particularly when implants
developed for healthy bone are used. In this biomechanical study, we tested one
of the possibilities of how to reduce the risk of implant failure by pedicle
screw augmentation with bone cement. CONCLUSIONS: The results of this study
confirm the hypothesis that the anchorage of Socon CS cannulated pedicle screws
with bone cement augmentation is disrupted by significantly higher pull-out
strength than that of Socon screws, when subjected to monotonous loading.
However, more clinical studies are needed to evaluate clinical outcomes.
PMID- 21888845
TI - [Injection of anaesthetic-corticosteroid to relieve sacroiliac joint pain after
lumbar stabilisation].
AB - PURPOSE OF THE STUDY: Both the range of motion and load transfer of the
sacroiliac (SI) joint improve considerably after lumbar spine surgery. When,
following surgery, SI joint pain develops in spite of appropriate physical
therapy, injection of an anaesthetic with added corticosteroid into the SI joint
is a first choice treatment. The aim of this presentation is to provide
information on our experience with this therapy. MATERIAL AND METHODS: Thirty
four patients after lumbar spine fusion reported lumbalgia different form pain
before surgery. In 14 (41%) of them, pain in one of the SI joints was diagnosed
as the cause. This group included 12 women and two men at an average age of 56
(range, 47 to 68) years. Ten patients underwent lumbosacral fixation and four had
segmental ("floating") lumbar spine fusion. All patients experienced lumbalgia at
more than 3 months following surgery, at 8 months on the average (range, 4 to 12
months). None of them had SI joint pain before surgery. The diagnosis was based
on specific manoeuvres on physical examination of the joint. Each patient was
given an injection of 20 mg (0.5 ml) Methylprednisolone (Depo-Medrol(r), Pfizer,
Puurs, Belgium) and 4.5 ml 1% Mesocain (Zentiva, Praha, CR).They were inquired as
to pain relief 24 h later and then at 1, 3 and 6 months after injection.
Subjective feelings were assessed by a visual analogue scale (VAS). The results
were analysed using descriptive statistics. RESULTS: All patients reported pain
relief within 24 h of injection, but not its complete resolution. The average VAS
score before and after the blockage of the SI joint was 9.1 points (8-10) and 4.8
points (2-7), respectively; this implies improvement by an average of 4.3 points
(1-6), i.e., approximately by 47.3% (12.5-62.5). The duration of effects varied
greatly from patient to patient. The average interval between injection and pain
recurrence lasted for 5 weeks (1-28). Most frequently, relief was experienced for
2 weeks, or for 6.8 weeks with the standard deviation included. DISCUSSION
Sacroiliac joint dysfunction is a very frequent cause of lumbalgia, particularly
after lumbar spine surgery. Physical therapy may not always be effective. SI
joint arthrodesis is indicated only in rare cases. One of the few possibilities
of pain relief involves intra-articular injection of an anaesthetic with
corticosteroid for booster effect. The treatment of SI joint blockage after
spinal fusion has recently been dealt with in three reports in the international
literature; their conclusions are in accordance with the results of this study.
CONCLUSIONS: Lumbar spine stabilisation surgery may result in overloading the SI
joints as the "adjacent segments". An intra-articular injection of anaesthetic
can be considered a reliable method for ascertaining the SI joint as the source
of a patient's problems. However, even with corticosteroid added, pain relief is
not usually long-lasting.
PMID- 21888846
TI - [Arthroscopic technique using crossed K-wires for avulsion fractures of
intercondylar eminence in children].
AB - PURPOSE OF THE STUDY: Avulsion fractures of the intercondylar eminence (ICE) of
the tibia have become increasingly frequent in older school age. Due to recent
advances in arthroscopic techniques, it is now possible to treat them by
minimally invasive methods. This retrospective study presents evaluation of
clinical outcomes of an arthroscopic technique using crossed K-wires. MATERIAL:
Thirty-five patients with ICE avulsion fracture, 17 girls and 18 boys at an
average age of 13 years (7-18), were included in this study. All were indicated
for surgical treatment on the basis of radiographic evidence of McKeever type II
and III fractures. METHODS: The technique of arthroscopic reduction and fixation
with crossed K-wires was used. The clinical evaluation of knee stability
(anterior drawer test and Lachmann s-test) was made by one clinician. The
modified Tegner-Lysholm score was assessed. RESULTS Of the 35 patients, 26 (74%)
had minimal knee instability (anterior drawer up to 5 mm), two patients were
primarily converted to open reduction with good results, and three (8.5%)
experienced temporary knee instability (anterior drawer of 5-10 mm) that resolved
spontaneously within 24 months of surgery. Four patients (11.5%) had symptoms of
persistent residual instability (anterior drawer more than 10 mm) and were
indicated for anterior cruciate ligament reconstruction at a later stage. The
average Tegner-Lysholm scores were 86.3/100 and 98.6/100 at 6 and 24 months,
respectively. DISCUSSION At the present time at our department, McKeever type II
and III avulsion fractures of the intercondylar eminence are indicated for
minimally invasive surgical treatment. By exact reduction it is possible to
decrease the risk of post-operative knee instability. The current trend is the
use of arthroscopy-assisted minimally invasive techniques such as the method of K
wire fixation described here. CONCLUSIONS: Arthroscopic surgery for ICE avulsion
fractures shows good results, is associated with low post-operative risks, is
minimally invasive and easy to reproduce. It can be recommended as the method of
choice for treating ICE avulsion injury in children.
PMID- 21888847
TI - [Patella alta in cerebral palsy patients].
AB - PURPOSE OF THE STUDY: The absence of active knee extension in cerebral palsy
patients is often due to elevation of the patellar ligament causing the patella
to run outside the intercondylar groove. Distal patellar realignment can be
achieved by either patellar ligament shortening or transposition of the patellar
ligament distally. MATERIAL: In the 1992-2008 period we indicated 95 knees for
the distal realignment procedure involving a bone block in children 12 to 18
years old, and 46 knees in children aged between 8 and 16 years for shortening of
the patellar ligament using the method of rafage in the early period, and
modified plication from 2003. METHODS: Clinical and radiographic findings were
evaluated pre-operatively and at 6 weeks, 6 months and 1 year after surgery. In
the cases treated by patellar ligament shortening, the Insall-Salvati index was
assessed post-operatively. Physical examination included local findings and the
patient's ability to change locomotion. RESULTS: Improved locomotion and
maintenance of knee extension during standing and walking were recorded in 89 of
the 95 knees with distal patellar realignment (93.68%) and in 40 of the 46 kness
with patellar ligament shortening (86.96%). DISCUSSION: Distal realignment of the
patellar ligament or its shortening should be indicated as a follow-up treatment
after muscular balance has been gained at persistent knee joint flexion during
standing and walking, and the inability of active extension. The distal
realignment procedure with a bone block should be indicated only after growth
cessation because otherwise genu recurvatum may develop. Concurrently with this
procedure, it is necessary to carry out distal realignment of the proximal
ligament of the rectus femoris muscle. CONCLUSIONS: Shortening of the patellar
ligament using modified plication or its distal realignment involving a bone
block are two options for the treatment of patella alta that is indicated in the
absence of active knee extension in cerebral palsy patients.
PMID- 21888848
TI - [Surgical therapy of primary hyperparathyrodism in the context of orthopaedic
diagnosis and treatment: our experiences in 441 patients].
AB - PURPOSE OF THE STUDY: Primary hyperparathyroidism is an endocrine disorder
affecting calcium and phosphate metabolism. It is surgically treated by removing
hyperfunctional parathyroid tissue. The aim of the study was to show, based on
surgical results, that the introduction of serum calcium screening in orthopaedic
therapy is effective. The detection of hypercalcemia and diagnosis of primary
hyperparathyroidism allow for a good timing of endocrine surgery in relation to
an orthopaedic procedure. MATERIAL AND METHODS: This retrospective study included
441 patients in the age range of 18 to 83 years who underwent parathyroidectomy
between 2004 and 2007. Skeletal disorders were diagnosed by clinical,
radiographic and densitometric examination; calcium levels were measured after
surgery. Bone repair after parathyroidectomy was followed up by the
endocrinologist for 1 year. RESULTS: Before surgery, 48 % of the patients had
skeletal disorders. Adenoma was found in 87 %, double adenoma in 2 %, hyperplasia
in 10 % and parathyroid carcinoma in 0.5 % of the patients. Complications
involved transient paresthesia of the recurrent laryngeal nerve (1 %), transient
hypocalcemia (0.5 %), transient post-operative arrhythmias (0.5 %), temporary
psychological problems (0.7 %) and post-operative bleeding (0.5 %). None of the
patients died. Serum calcium levels were as follows: 2.90 +/- 0.01 mmol/L pre
operatively; 2.44 +/- 0.01 mmol/L on the evening of surgery; 2.30 +/-0.01 mmol/L
on the 1st day; 2.19 +/- 0.01 mmol/L on the 2nd day; and 2.18 +/- 0.01 mmol/L on
the 3rd post-operative day. On the 3rd post-operative day most of the patients
were discharged from the hospital. Normal calcium levels were achieved in 98 % of
the surgically treated patients. DISCUSSION AND CONCLUSIONS: The study provides
evidence for the efficiency of calcium screening in primary hyperparathyroidism
and shows the feasibility of involving endocrine surgery in the course of
orthopaedic treatment.
PMID- 21888849
TI - [Resection and reconstruction of the chest wall for primary or metastatic
tumours].
AB - PURPOSE OF THE STUDY: The aim of the study was to assess mortality and the
complication rate after the extensive resection of chest wall tumour and
subsequent soft tissue reconstruction. We wanted to evaluate the justification
for major surgery in the group of patients with primary or secondary tumours,
including those with an advanced stage of disease. MATERIALS AND METHODS: A total
of 35 patients after major chest wall resection within an eight-year period (2000
2008) were analysed retrospectively. A major resection was defined as resection
of 75 cm2 or more of full thickness of the chest wall. There were 19 cases of
primary malignant tumour of the chest wall, 10 cases of secondary tumour, and 6
cases of benign or semi-malignant lesions. The chest was stabilised with the help
of either polypropylene or a double layer mesh of polyester covered with
polyurethane. For soft tissue reconstruction, a musculocutaneous flap was used in
18 cases. The number of resected ribs ranged from two to seven. The vertebral
body was partially resected in four cases, and total sternectomy was performed in
two cases. This surgery was carried out with potentially curative intent in 30
(85.7%) and with palliative intent in five patients (14.3%). RESULTS: No post
operative mortality occurred. The complication rate was 17.1 %. The one-year
survival rate was 88.6 %. There were seven long-term survivors at 5 or more years
after resection of the chest wall for soft tissue sarcoma. Local recurrence
occurred in six patients (17.1%). Neither the type of prosthesis nor the type of
surgical procedure influenced the complication rate. DISCUSSION: Chest wall
resection is an established surgical procedure in the treatment of primary chest
wall tumours and, occasionally, solitary metastatic disease. The groups of
patients reported in the literature have been heterogeneous and usually small,
and thus an estimation of the true risk of the major procedure is difficult.
Three studies involving large patient groups published in the last 11 years have
shown morbidity in 24.4%, 33.2% and 46.0% of patients and mortality in 7.0%, 3.8%
and 4.1% of patients, respectively. The results in our group of unselected
patients are comparable with these studies; however, we did not perform extensive
procedures like pneumonectomy and chest wall resection, or extended fore quarter
amputation. CONCLUSIONS Complete resection of the chest wall is feasible even in
advanced tumours without significant peri-operative morbidity and mortality.
Major chest wall resection as a palliative procedure remains selective for
motivated patients in a good physical condition but with low quality of life
caused by a chest wall tumour.
PMID- 21888850
TI - [Biomechanical parameters and clinical outcomes of the Oxford Phase III
unicompartmental knee replacement].
AB - PURPOSE OF THE STUDY: The kinematics of an intact knee joint and that of a knee
replacement have been studied in many research centres. In the 1987 radiographic
study, Bradley, Goodfellow and O'Connor reported the movement of a polyethylene
insert in patients with unicompartmental Oxford knee replacement. Views with the
knee at full extension and 90 degrees of flexion were obtained and the movement
of the meniscal bearings over this range of flexion was measured. The bearings
were found to move backwards on the tibia through an average distance of 4.4 mm
in all 16 patients. This measurement was in agreement with the then valid concept
of knee biomechanics and a roll-back phenomenon. However, our observations had
not always agreed with the results of these authors, but gave an impetus for a
detailed evaluation of our own patient group. The aim of the study was to
evaluate the dynamic relationship between the components of a unicompartmental
Oxford knee replacement and elucidate it with the use of recent information from
the field of biomechanics. A relationship of the obtained kinematic values to
clinical outcomes was also investigated. Answers to the following hypotheses were
sought: Would our results be in agreement with the British authors' findings?
Would clinical outcomes depend on the kinematic properties of knee replacements?
MATERIAL AND METHODS: The group comprised 33 patients, 23 women and 10 men. They
all had replacement of the medial compartment of the knee. The construction of
the unicompartmental Oxford Phase III knee replacement enabled us to locate the
centre of rotation of the medial femoral condyle in relation to the tibial
component at flexion and extension of the knee, using radiography. The patients
were examined in a supine position with the knee at full extension, and
subsequently views of the knee were obtained at 80 degrees -90 degrees flexion
in accordance with the method used by the British authors. The clinical findings
of knee joints were assessed using the American Knee Society (AKS) scoring system
(Insall et al.). Pain was rated on the Visual Analogue Scale (VAS). The results
were statistically evaluated with the t-test and Chi-square test. RESULTS: On
moving the knee from extension to flexion, movement of the polyethylene insert
ventrally by an average of 3.4 mm in relation to the tibia was recorded, i.e.,
"paradoxical" ventral translocation. In the patients with insert movement less
than or equal to 3 mm, the average AKS score was 89 points, in those with
movement over 3 mm it was 87 points. The average functional scores were 87 and 83
points in the patients with movement less than 3 mm and more than 3 mm,
respectively. The average VAS score was 1.55 in the former and 1.18 in the
latter. DISCUSSION: The unicompartmental Oxford Phase III knee replacement
substitutes a flexion femoral facet and eliminates the role of an extension
femoral facet. This is the reason why, at knee extension between -5 degrees and
+20 degrees , the centre of rotation of the medial femoral condyle is in the
centre of the flexion facet and not in that of the extension facet. When the
stabilising functions of the extension tibial facet in the ventral direction and
of the dorsal part of the insert in the dorsal direction are missing, the
position of the contact surface centre becomes much dependent also on the
strength and direction of external forces acting in the knee joint vicinity.
CONCLUSIONS: The kinematic parameters of the unicompartmental Oxford Phase III
knee replacement investigated in our group differed from the findings of the
British authors. However, neither the magnitude nor the direction of movement had
any effect on the clinical outcome of knee arthroplasty.
PMID- 21888851
TI - [Aseptic necrosis of the capitulum of the humerus (Panner's disease) treated by
solid chondrograft implantation].
AB - The authors present the case of aseptic necrosis of the humeral capitulum in a
top female gymnast. She was referred to our department with the diagnosis of
aseptic necrosis already made and after repeated arthroscopic treatment of the
elbow including removal of a loose body in another institution. Exercise-related
pain and swelling of the elbow became permanent and did not allow her to pursue
her sports activities. After thorough examination, the treatment by implantation
of autologous cultured chondrocytes, as a solid chondrograft, was chosen. At the
first stage of arthroscopic surgery, a loose body was removed and a sample of
healthy cartilage from an articular region not subject to strain was collected.
The sample was sent to the Tissue Bank for cultivation and chondrocyte formation
into a solid chondrograft, a procedure taking 4 to 5 weeks. At the second stage,
the chondrograft was implanted into the lesion site and fixed with tissue glue
(Tissucol). The arm was immobilised in a plaster cast for 4 weeks. The patient
was followed up clinically and examined with magnetic resonance imaging at 6
month after implantation. The examination showed full graft integration and the
arm's return to full mobility without pain at exercise. Even with this rare
lesion site within the elbow joint, the implantation of a solid chondrograft
proved to be a method achieving very good outcomes.
PMID- 21888853
TI - Serum cystatin C levels in normal pregnancy.
AB - AIM: The aim of this study was to determine the levels of cystatin C, creatinine
and creatinine clearance in different trimesters of uncomplicated pregnancy in
women with normal kidney function. SUBJECTS AND METHODS: A total of 109 pregnant
women were included: group 1 - 38 women (average age 29.63 +/- 4.3 y) in the
first trimester, Group 2 - 32 women (average age 33.56 +/- 5.95 y) in the second
trimester and Group 3 - 39 pregnant women (average age 30.1 +/- 6.95 y) in the
third trimester. Serum cystatin C was determined by the PENIA method (Particle
Enhanced Nephelometric Immuno-Assay), using Behring tests (Behring Diagnostics
GmbH, Marburg, Germany). Results were statistically analyzed using the ANOVA.
RESULTS: A statistically significant increase in serum cystatin C level was found
in the third trimester of pregnancy (0.69 +/- 0.16 mg/l vs. 0.78 +/- 0.26 mg/l
vs. 1.21 +/- 0.30 mg/l). CONCLUSION: It appears that cystatin C is not a reliable
marker of kidney function in pregnancy and that its increase is connected with a
combination of several factors, including endotheliasis, hormonal influence and
glomerular filtration rate (GFR) alterations.
PMID- 21888852
TI - Cytokines in acute kidney injury (AKI).
AB - In acute kidney injury (AKI), many cytokines are released by leukocytes and renal
tubular cells in the injured kidney and are important components of both the
initiation and extension of inflammation. Cytokines are 1) produced by the kidney
and mediate AKI, 2) produced by the kidney, released into the blood or urine and
serve as biomarkers of AKI, and 3) produced by the kidney or other organs in AKI
and mediate or protect against distant organ injury. Further understanding of the
role of cytokines in AKI may result in therapeutic approaches like cytokine
inhibition that may reduce the degree of kidney injury itself, as well as
deleterious effects of kidney injury on other organs.
PMID- 21888854
TI - Kidney biopsy in patients with diabetes mellitus.
AB - BACKGROUND: The clinical consequences of the results obtained by kidney biopsy in
patients with diabetes mellitus Type 1 or Type 2 have been controversial. Our
study was conducted to assess clinical symptoms and histological diagnoses in
patients with diabetes mellitus Type 1 and Type 2 undergoing kidney biopsy.
DESIGN, SETTING AND PATIENTS: Observational study. The study included data from
567 consecutive renal biopsies of patients with diabetes mellitus Type 1 or 2 and
chronic kidney disease (CKD) examined by standard histopathological procedures.
The main outcome measures were incidence of diabetic nephropathy (DN) and
glomerulonephritis (GN), predictors for the presence of both DN or GN. RESULTS:
Approximately 70% of patients with diabetes mellitus Type 1 or 2 and evidence for
CKD had DN. Glomerular diseases present in approximately 30% of patients with
diabetes were predominantly immune complex GN and secondary focal
glomerulosclerosis, followed by IgA-GN, which was associated with microhematuria
(p = 0.01) and hypertension (p = 0.04). Only a minority had membranous GN, which
was associated with nephrotic syndrome (p = 0.004). Progressive CKD predicted the
presence of GN in diabetes mellitus Type 2 (r = -0.98; p = 0.02). CONCLUSION: GN
is not uncommon in patients with diabetes and evidence for CKD. Kidney biopsy
should therefore be considered in patients with diabetes and progressive CKD.
PMID- 21888855
TI - Long-term prognosis for Chinese adult patients with acute postinfectious
glomerulonephritis.
AB - AIMS: The long-term prognosis for adults with acute postinfectious
glomerulonephritis (APIGN) is under debate. This study was designed to
investigate the long-term renal outcome of adult APIGN. MATERIALS AND METHODS: A
total of 64 adults with APIGN were identified and divided into two groups: acute
poststreptococcal glomerulonephritis (APSGN) group and acute nonstreptococcal
postinfectious glomerulonephritis (nonstreptococcal APIGN) group. For the purpose
of outcome analysis, 49 patients with a follow-up of >= 12 months were included.
Univariate and multivariate analyses were performed for prognostic factors.
RESULTS: Nephrotic syndrome was more frequently seen in nonstreptococcal APIGN
patients. At the time of biopsy, the mean proteinuria of the two groups was 1.82
+/- 2.14 and 4.21 +/- 4.39 g/d, respectively (p = 0.005). There was no
significant difference between the two groups on microscopy. Among the 49
patients with >= 12 months of follow-up, the complete remission rate was 85.7%,
and the incidence of chronic renal failure and endstage renal disease (ESRD) was
6.1% and 4.1%, respectively. The complete remission rate of the APSGN group was
higher than that of the nonstreptococcal APIGN group. Univariate analysis showed
that the prognostic factors were age, underlying disease, proteinuria, tubular
damage and C1q deposits. In multivariate analysis, underlying disease was the
only significant and independent inverse correlate of complete remission.
CONCLUSIONS: The long-term prognosis for young Chinese adults with APIGN is
optimistic if there is no underlying disease. The renal outcome for patients with
APSGN is better than for patients with nonstreptococcal APIGN.
PMID- 21888856
TI - Prevalence of abnormal in vitro closure time using the Platelet Function Analyzer
100 in chronic kidney disease patients and analysis of associated factors.
AB - AIM: Platelet Function Analyzer- 100 evaluates platelet function by determining
time to occlusion of an aperture in a membrane coated with collagen and
epinephrine (CEPI) or collagen and ADP (CADP) during the flow of citrated whole
blood. We sought to determine prevalence of abnormal in vitro closure time (CT)
in chronic kidney disease (CKD) patients and to analyze associated factors.
MATERIALS AND METHODS: CEPI-CT (normal, 82 - 182 sec in Korean), CADP-CT (normal,
62 - 109 sec), CBC, serum creatinine (Cr) and blood urea nitrogen (BUN) were
measured in CKD patients, 30 with Stage I, 36 with Stage II, 30 with Stage III,
56 with Stage IV, 283 with Stage V (79 with pre-dialysis Stage V, 130 on chronic
hemodialysis (CHD), and 74 on chronic peritoneal dialysis (CPD)). Estimated
glomerular filtration rate (eGFR) was calculated with a MDRD equation. RESULTS:
Abnormal CEPI-CT and CADP-CT occurred in < 15% of Stage I - III, 20% of Stage IV,
and 41% and 54%, respectively, of Stage V patients. There were no differences in
prevalence of abnormal CEPI-CT and CADP-CT among predialysis Stage V, CHD and CPD
patients. CEPI-CT and CADP-CT were correlated with BUN, Cr and platelet counts in
predialysis patients, and with platelet counts in dialysis patients, and CEPI-CT
was correlated with BUN, Cr in CPD patients. Neither, however, was correlated
with age, gender, hemoglobin or hematocrit. CONCLUSION: Prevalence of abnormal in
vitro CT increases as stage worsens in CKD patients. In vitro CT is correlated
with BUN, Cr and platelet counts in predialysis and total CKD patients.
PMID- 21888857
TI - Clinical significance of FGF-23 measurement in dialysis patients.
AB - AIMS: Considering the growing relevance of fibroblast growth factor-23 (FGF-23)
in the pathogenesis of chronic kidney disease bone and mineral disorder (CKD
MBD), an analysis was performed to determine the relative importance of C
terminal (cFGF-23) and intact (iFGF-23) assays in assessing CKD-MBD status in the
first place and the relationship between FGF-23 and mortality as a secondary aim.
METHODS: In 77 patients (15 peritoneal dialysis and 62 hemodialysis), levels of
calcium, phosphate, parathyroid hormone (PTH), 25-hydroxyvitamin- D (25D), 1,25D,
FGF-23 (C-terminal and intact molecule) were measured and their correlations were
analyzed. The relationship between FGF-23 levels and patient survival was also
analyzed. RESULTS: A significant correlation was found between cFGF-23 and 1,25D,
PTH and 25D while iFGF-23 was significantly correlated with phosphate, 25D and
PTH. PTH and 1,25D were independent predictors of cFGF-23, while for iFGF-23
independent predictors were phosphate and 25D. No significant relationship was
found between FGF-23 and mortality. CONCLUSIONS: C-terminal or intact FGF-23
levels are weakly correlated and thus not clearly indicative of FGF-23 effects on
PTH, P and vitamin D metabolism in dialysis patients. Assays for cFGF-23 and iFGF
23 showed a good correlation, but the intact molecule was not superior in
defining interactions with CKD-MBD molecules. Measuring FGF-23 on a regular basis
with the current assays in CKD and dialysis patients does not yet seem clinically
useful.
PMID- 21888858
TI - Hemoglobin level variability in hemodialysis patients treated with epoetin-beta
during 1 year.
AB - AIM: This analysis was performed to assess the prevalence and the factors
associated with hemoglobin (Hb) variability during treatment with erythropoiesis
stimulating agents (ESA) in France. METHODS: Hb variability was evaluated in a
subgroup of hemodialysis (HD) patients of the French cohort DiaNE. Eligible
patients had received epoetin-beta at least 6 months before entering DiaNE, 12
months during DiaNE and had no missing monthly Hb measurements. Up and down
excursions (Hb variations > 1.5 g/dl with duration > 8 weeks) were assessed.
RESULTS: Of the 499 patients evaluated in this analysis, 295 (59%) had Hb levels
inside the target range of 11 - 13 g/dl at baseline. The number of patients with
constantly stable Hb level inside the target range decreased from baseline to
27.5% at 6 months and 10.8% at 12 months. More than 70% of patients experienced
Hb variability. The number of excursions was 1.7 +/- 0.8 per patient/year. The
amplitude of up excursions was 2.8 +/- 1.0 g/ dl with a duration of 14.7 +/- 4.7
weeks. The amplitude of down excursions was 2.6 +/- 0.9 g/dl with a duration of
14.5 +/- 4.6 weeks. The main factors associated with Hb variability were number
of epoetin-beta dose changes, adverse events and iron therapy changes.
CONCLUSION: Hb variability is frequent in French ESA-treated HD patients and
closely related to practices. Further efforts are needed to improve anemia
management.
PMID- 21888859
TI - The effect of calcineurin inhibitors on endothelial and platelet function in
renal transplant patients.
AB - BACKGROUND/AIM: Posttransplant cardiovascular mortality is still an important
problem in renal transplant patients. In addition to conventional coronary risk
factors, coagulation abnormalities play a key role in the hypercoagulable state
observed in transplanted patients. Though renal transplantation eliminates
cardiovascular disease risk factors by restoring renal function, it introduces
new cardiovascular risks derived, in part from immunosupressive medications. We
aimed to assess the effect of calcineurin inhibitors on endothelial function,
platelet activation and aggregation in renal transplant patients. METHODS: 62
renal transplant were studied. Staging was performed according to
immunosuppression regimen. Group 1 (n = 37) were treated with
cyclosporine/mycophenolate mofetil/methylprednisolone and Group 2 (n = 25) were
treated with tacrolimus/mycophenolate mofetil/methylprednisolone. The control
group consisted of 16 healthy subjects (Group 3). Hematological and biochemical
tests, asymmetric dimethyl arginine (ADMA), sP-selectin levels and platelet
aggregation tests were studied. RESULTS: ADMA levels were higher in Group1 and
statistically significant differences were observed compared with those of Group
2 and Group 3 (p < 0.05). Platelet aggregation values induced by all agonists
(Adenosine diphosphate (ADP), epinephrine, ristocetin, collagen) were lower in
Group 1 than Group 2 and Group 3, but the difference did not reach statistical
significance (p > 0.05). There was a negative correlation between cyclosporine
level and platelet aggregation values induced by ADP (r = -0.43, p < 0.01),
ristocetin (r = -0.40, p < 0.05), epinephrine (r = -0.41, p < 0.05), and collagen
(r = -0.43, p < 0.01). sP-selectin levels were appreciably higher in Group 1 and
statistically significant differences were observed compared with those of Group
2 (p < 0.05) and Group 3 (p < 0.01). CONCLUSION: The results of our study suggest
that CsA induces platelet activation without inducing platelet aggregation.
Endothelial dysfunction due to vascular endothelial damage reflected by increases
in ADMA values may increase the tendency for thrombotic events in patients who
had undergone renal transplantation.
PMID- 21888860
TI - Comparative study of percutaneous nephrostomy using catheters with and without
locking strings.
AB - BACKGROUND: Although it is generally felt that a catheter with a locking string
can achieve better fixation and thus prevent catheter displacement, no formal
study has ever substantiated this. METHODS: We retrospectively reviewed the
charts from 80 patients (mean age of 64.6 +/- 14.76 y) who underwent percutaneous
nephrostomy (PCN) over a 1-year period. RESULTS: Most patients had catheters
without locking strings and only 17 patients (21.3%) had catheters with locking
strings. The median duration of catheter placement was 29 days (interquartile
range 14 - 57 d). There were no significant differences in patients'
characteristics or catheter outcomes between catheters with and catheters without
locking strings (p > 0.05). In addition, no significant difference in the
catheter 90-day survival between catheter types was found (log rank test, p =
0.638). On univariate analysis, tumor as an indication for PCN (p = 0.018),
obstruction (p = 0.021) and displacement (p = 0.007) were associated with reduced
catheter survival. The multivariate analysis indicated that tumor as an
indication for PCN (HR: 0.28, 95% CI: 0.13 - 0.63, p = 0.002), obstruction (HR:
0.25, 95% CI: 0.08 - 0.77, p = 0.015) and catheter displacement (HR: 0.09, 95%
CI: 0.03 - 0.31, p < 0.001) were independent hazard factors for reduced catheter
90-day survival. CONCLUSION: No significant difference in either complication
rate or 90-day survival was found between catheters with or without locking
strings. These findings may prove helpful to the clinician in deciding the type
of catheter to use during PCN.
PMID- 21888862
TI - WT1 mutations may be a cause of severe renal failure due to nephroblastomatosis
in Wilms' tumor patients.
AB - Wilms' tumor suppressor gene (WT1) encodes a transcription factor required for
normal development of the genitourinary system. Germline WT1 mutations have been
described in a wide spectrum of pathological conditions, including kidney
diseases, genital abnormalities and Wilms' tumor. Here we report a 4-year-old
male patient who presented with bilateral cryptorchidism, Wilms' tumor,
nephroblastomatosis and renal failure without nephrotic proteinuria. Sequence
analysis of the WT1 gene demonstrated a constitutional heterozygous nonsense
mutation in exon 7, which leads to a truncation of the WT1 protein at the zinc
finger 1. In the DNA of the tumor, we observed the same mutation in
homo/hemizygosity. Given the requirement of WT1 for normal development, the WT1
mutation is likely to be responsible for the nephroblastomatosis and, in
consequence, for the severe renal failure observed in our patient. This finding
extends the spectrum of kidney diseases related to WT1 mutations and points to
the need to screen for this gene in children with genitourinary abnormalities and
Wilms' tumor because of the associated risk of nephroblastomatosis and renal
failure in those carrying WT1 mutations.
PMID- 21888861
TI - Cinacalcet reduces plasma intact parathyroid hormone, serum phosphate and calcium
levels in patients with secondary hyperparathyroidism irrespective of its
severity.
AB - AIMS: To evaluate the relationship between the severity of secondary
hyperparathyroidism (SHPT) - defined in terms of baseline plasma intact
parathyroid hormone (iPTH) level - and the magnitude of response to cinacalcet.
MATERIALS AND METHODS: In this post hoc analysis, data were pooled from three
randomized, placebo-controlled trials in which dialysis patients with iPTH >= 300
pg/ml were dose-titrated with cinacalcet or placebo in addition to conventional
treatment to achieve iPTH <= 250 pg/ml. In 953 patients analyzed (cinacalcet,
545; placebo, 408), baseline iPTH levels were categorized in 100 pg/ml intervals
(300 - >= 1,000 pg/ml), and the impact of baseline iPTH on changes in iPTH,
phosphate (P), calcium (Ca) and calcium- phosphate product (Ca * P) was
evaluated. RESULTS: Cinacalcet reduced iPTH (47% reduction), P (9%), Ca (7%), and
Ca * P (15%) across all subgroups. For patients receiving cinacalcet, the mean
percentage reduction from baseline in iPTH varied from 35 to 55%, being
consistently decreased across the severity subgroups. The mean absolute change in
iPTH was more pronounced in patients with higher baseline iPTH levels,
particularly in the >= 1,000 pg/ml subgroup vs. the other subgroups. However, as
baseline iPTH levels increased, iPTH <= 250 pg/ml was achieved in fewer patients.
A trend towards greater absolute change from baseline was observed for P in
patients with more severe disease (iPTH >= 800 pg/ml) treated with cinacalcet
compared with patients with less severe disease (iPTH 300 - < 800 pg/ml).
CONCLUSIONS: Cinacalcet lowers plasma iPTH and serum P, Ca and Ca * P levels in
dialysis patients with SHPT, regardless of disease severity. Patients with more
severe disease experienced greater reductions in PTH and P, but fewer achieved
iPTH <= 250 pg/ml by the efficacy assessment phase. Use of cinacalcet when
baseline PTH is lower may result in more stable control of SHPT and help to
control bone and mineral alterations.
PMID- 21888863
TI - A case of renal infarction associated with elevated factor VIII level.
AB - Elevated factor VIII level has recently been shown to be associated with
increased risk of thrombosis. We report here a case of renal infarction in
association with elevated factor VIII level. The patient presented with a three
day history of flank pain. Laboratory studies on presentation showed an elevated
serum creatinine concentration and microscopic hematuria. He was found to have
bilateral pulmonary emboli and left common femoral vein thrombosis; imaging
studies showed evidence of renal arterial thrombosis with infarction.
Hypercoagulability assessment showed an elevated factor VIII level. He was
treated with heparin and warfarin with significant improvement in his renal
function. Consideration should be given to measurement of factor VIII level as a
part of the workup of unexplained thrombo-embolic events.
PMID- 21888864
TI - The incidence of fatal kidney biopsy.
PMID- 21888865
TI - A survey on clinical study participation and study infrastructure at non
university hospitals in Germany.
AB - OBJECTIVE: In order to participate in multicenter clinical trials a fair amount
of infrastructure and human resources has to be provided by hospitals. Therefore
clinical trials are carried out predominantly in university hospitals. Data
concerning participation in clinical trials and the infrastructure of study
centers in non-university hospitals in Germany do not exist. A survey was thus
conducted to evaluate the current status of clinical study performance in non
university hospitals. MATERIALS AND METHODS: A questionnaire comprising 10
questions covering hospital infrastructure, local study history, and the
individual interest in performing studies was sent to 790 non-university
hospitals in Germany. RESULTS: 58.7% of questionnaires were returned for
evaluation. 74.1% of nonuniversity hospitals participate in clinical studies.
Hospital size is a significant predictor of study participation. 25.5% of
hospitals have established a multidisciplinary study center. 86.2% have a
certified study nurse and in 34.5% this nurse is the only person running the
study center. Only 25.5% of hospitals were not interested in participating in
clinical studies at all, even if an individual tailored concept were to be
offered. CONCLUSIONS: The demand for more hospitals to participate in clinical
trials is urgent since high quality studies are a fundamental part of clinical
research. Even though 75% of non-university hospitals in Germany already
participate in clinical trials, it may be possible to increase this number. In
addition by establishing and developing study centers in hospitals the quality of
studies will presumably rise, and due to the concentration of study resources,
the number of clinical trials may increase.
PMID- 21888866
TI - Prescriber adherence to pharmacokinetic monitoring service recommendations for
aminoglycoside dosing and the risk of acute kidney injury.
AB - OBJECTIVE: The importance of adherence to aminoglycoside dosing recommendations
by a pharmacokinetic monitoring service for preventing acute kidney injury (AKI)
is unknown. We aimed to examine the association between AKI and discordance in
aminoglycoside dosing between physician orders and recommendations by a
pharmacokinetic monitoring service. MATERIALS: We utilized 2000 - 2003 data from
a large quaternary care academic medical center, including: hand-written
pharmacokinetic monitoring service recommendations; computerized physician order
entry inpatient medication orders; and electronic inpatient laboratory orders and
results. METHODS: We conducted a case-control study, nested within users of
intravenous aminoglycosides. Outcomes of interest were cases of AKI, as
determined by changes in serum creatinine. Exposures of interest were
discordances between pharmacokinetic monitoring service recommendations and
physician orders in the past 2 days with regard to total daily aminoglycoside
dose. RESULTS: Most patients received once-daily or less frequent aminoglycoside
dosing. In 1,414 evaluable aminoglycoside courses, 220 patients developed AKI,
for a cumulative incidence of 15.6%. We identified 690 controls, matched these to
220 cases, and found adjusted odds ratios of 0.72 (95% CI: 0.37 - 1.39) for
overdose discordance and of 0.83 (0.51 - 1.34) for underdose discordance,
suggesting that discordance in dosing is not associated with AKI. CONCLUSION: Non
adherence to dosing recommendations for aminoglycosides was not associated with
risk of AKI in a setting primarily of once-daily aminoglycoside administration.
PMID- 21888868
TI - Influence of common cardiac drugs on gastroesophageal reflux disease: multicenter
questionnaire survey.
AB - BACKGROUND: Although gastroesophageal reflux disease (GERD) causes noncardiac
chest pain mimicking angina pectoris, systemic studies surveying the effects of
common cardiac drugs on symptomatic GERD are rare. METHODS: To investigate the
drugrelated GERD, this multicenter trial enrolled 201 consecutive cardiac
outpatients (69.7 +/- 10.5 y) after obtaining written informed consent. They were
assessed using the Frequency Scale for Symptoms of GERD (F-scale) to screen for
GERD with a cut-off value of 8.0. Clinical background was obtained from medical
records. Gastric medicine was empirically administered at the discretion of the
attending physician. F-scale score and incidence of GERD were analyzed
individually in relation to background and prescription. RESULTS: The average F
scale score did not correlate with gender, age or underlying diseases. F-scale
score was elevated significantly (p = 0.006) by administration of calcium channel
blockers to the patients treated with gastric medicine, suggesting that calcium
channel blockers exacerbate the possibly preexisting GERD. Incidence of GERD
within 2 months after starting warfarin tended to be greater than that at other
durations (p = 0.087). Patients showing a high score (>= 8.0) suggestive of GERD
showed a correlation with the combined administration of calcium channel blockers
(OR = 3.19; 95% CI of 1.01 - 10.11; p = 0.049) and warfarin (OR = 3.05; 95% CI of
1.00 - 9.27; p = 0.049) in the best logistic model. CONCLUSION: Although larger
cohort is required, this survey demonstrates that the combination of calcium
channel blockers and warfarin is an independent risk factor for GERD.
PMID- 21888867
TI - The effect of beta-adrenergic blockade and COX-2 inhibition on healing of colon,
muscle, and skin in rats undergoing colonic anastomosis.
AB - OBJECTIVE: COX inhibitors and beta-adrenergic blockers were recently shown to
reduce cancer progression in animal models through various mechanisms. These
include the prevention of immune suppression during the critical perioperative
period, and the preclusion of direct promoting effects of catecholamines and
prostaglandins on malignant tissue growth. To assess the safety of such
pharmacological treatments in the context of oncologic surgery, the current study
evaluates wound healing efficacy in the skin, muscle, and colon tissues in rats
undergoing colonic anastomosis. METHODS: F344 rats were treated daily with a COX
2 inhibitor (etodolac), a beta-adrenergic blocker (propranolol), both drugs or
vehicles. All rats underwent skin punch biopsy, and half were also subjected to
laparotomy and colonic anastomosis. Tensile strength of the abdominal wall and
colonic bursting pressure were assessed on Days 3, 7, and 30 postoperatively, and
skin biopsy site healing was scored on Days 2, 4, and 6 postoperatively. RESULTS:
None of the drug treatments produced any deleterious effects along the expected
course of tissue healing. On Day 30, colon bursting pressure showed an abnormal
strengthening in animals undergoing anastomosis compared to non-operated animals,
across all drug treatments. This abnormal strengthening was attenuated by
etodolac. In the skin, surgery reduced healing rate, irrespective of drug
treatments. CONCLUSIONS: Effective doses of etodolac and propranolol caused no
negative effects on wound healing processes in rats. The apparent safety of such
treatments, together with their potential clinical benefits, suggests the
incorporation of these treatments in oncologic patients undergoing curative tumor
resection.
PMID- 21888869
TI - Pharmacokinetics and pharmacodynamics of tonapofylline in subjects with severe
renal impairment and in elderly subjects.
AB - OBJECTIVE: The study was conducted to characterize the pharmacokinetics and
pharmacodynamics of tonapofylline in subjects with severe renal impairment and in
elderly subjects. METHOD: Subjects with severe renal impairment were matched
demographically with healthy subjects. Elderly subjects with normal renal
function for their ages were also enrolled. All subjects (n = 8 per group)
received a single intravenous administration of tonapofylline at 1 mg/kg.
RESULTS: The pharmacokinetics of tonapofylline was not significantly different in
subjects with severe renal impairment, or in elderly subjects, as compared to
healthy subjects. Among all pharmacokinetic parameters, the only statistically
significant difference was observed for Cmax between the healthy and the severe
renal impairment groups, which was 21% and considered clinically insignificant.
Pharmacodynamic assessment demonstrated the natriuretic effects of tonapofylline
across groups, with little accompanying kaliuresis. No change in renal function
occurred after single dose of tonapofylline, despite substantial increases in
excretion of urinary sodium. Single 1 mg/kg intravenous administration of
tonapofylline was generally safe. CONCLUSION: The pharmacokinetics of
tonapofylline in subjects with severe renal impairment and elderly subjects with
normal renal function for age is similar to that in healthy subjects. It has been
demonstrated in all groups that tonapofylline has natriuretic effects and is able
to maintain renal function, which can be beneficial to patients with congestive
heart failure.
PMID- 21888870
TI - Comparative bioavailability study of cefuroxime axetil (equivalent to 500 mg
cefuroxime/tablet) tablets (Zednad(r) versus Zinnat(r)) in healthy male
volunteers.
AB - This study was performed to investigate the bioequivalence of cefuroxime axetil
tablets between a generic test product (A) Zednad(r) Tablet (500 mg cefuroxime/
tablet, Diamond Pharma, Syria), and the Reference Product (B) Zinnat(r) Tablet
(500 mg cefuroxime/tablet, GlaxoSmithKline, Saudi Arabia). The bioavailability
study was carried out for 24 healthy male volunteers. The subjects received 1
Zednad(r) Tablet (500 mg/ tablet) and 1 Zinnat(r) Tablet (500 mg/tablet) in a
randomized, two-way crossover design fashion on 2 treatment days, after an
overnight fast of at least 10 h, with a washout period of 7 days. 24 volunteers
plus 2 alternatives completed the crossover. The bioanalysis of clinical plasma
samples was accomplished by HPLC method, which was developed and validated in
accordance with international guidelines. Pharmacokinetic parameters, determined
by standard non-compartmental methods, and ANOVA statistics were calculated using
SAS Statistical Software. The significance of a sequence effect was tested using
the subjects nested in sequence as the error term. The 90% confidence intervals
for the ratio between the test and reference product pharmacokinetic parameters
of AUC0->t, AUC0->infinity, and Cmax were calculated and found to be within the
confidence limits of 80.00 - 125.00% for AUC0->t, AUC0->infinity and Cmax. The
study demonstrated that the test product (A) was found bioequivalent to the
reference product (B) following an oral dose of 500 mg tablet. Therefore, the two
formulations were considered to be bioequivalent.
PMID- 21888871
TI - Mental contamination: the perpetrator effect.
AB - In order to test the proposition that imagining carrying out an unacceptable non
consensual act can evoke contamination-related feelings in the perpetrator, 4
connected experiments were carried out involving male students. The effects of
the experimental procedure were enhanced by the introduction of a theme of
betrayal which boosted the feelings of contamination and urges to wash. The non
consensual scenarios were followed by substantial increases in negative emotions,
notably shame, disgust and guilt, and these increases were boosted over
successive enhancements of the procedure. Overall the results show that
perpetrators of (imagined) unacceptable acts report a range of negative emotions
and feelings of dirtiness. The main conclusion of this research is that imagining
an unacceptable, non-consensual act can produce feelings of contamination. It is
an experimental illustration of mental contamination, that is, contamination
which is evoked by a mental event without any contact with a tangible
contaminant.
PMID- 21888872
TI - Examining waterborne and dietborne routes of exposure and their contribution to
biological response patterns in fathead minnow (Pimephales promelas).
AB - The objectives of the current study were: (i) to gain a better understanding of
the relative importance of water and diet as routes of exposure causing toxicity
in fathead minnow (FHM) exposed to metal mining effluents (MME) using a full
factorial water/food experimental design (Experiment 1), and (ii) to assess
differences in the effects of food quality on toxicity by comparing FHM fed both
a live and frozen diet of Chironomus dilutus (Experiment 2). The results showed
significant increases in general water quality parameters (e.g., hardness,
conductivity) and various metals in the effluent treatment waters compared to
control waters, with maximum increase seen in the multi-trophic streams. Metals
accumulation (Rb, Al, Se, Sr, Tl, Ce, Co, Cu, Pb) effects of both waterborne and
multi-trophic exposures were significant in one or more fathead minnow tissue
type (muscle, gonads, liver, larvae) relative to those in the control systems.
Condition factor and liver somatic index (LSI) of FHM were also significantly
affected in both exposures by one or both routes of exposure (water and/or diet).
In addition, cumulative total egg production and cumulative spawning events were
significantly affected by both waterborne and dietborne exposures, with maximum
effect found in the multi-trophic streams. These results suggest that under
environmentally relevant exposure conditions, trophic transfer of metals may lead
to greater reproductive effects and increased metal toxicity in fish. It also
indicates that metals are assimilated in tissues differently depending on the
quality of the food (live vs. frozen). Overall, it appears that the multi-trophic
bioassay provides an important link between the laboratory and field, which may
allow for a more realistic assessment of the true impact of MME's in the
environment.
PMID- 21888873
TI - Levels and patterns of hydroxylated polychlorinated biphenyls (OH-PCBs) and their
associations with thyroid hormones in hooded seal (Cystophora cristata) mother
pup pairs.
AB - Blood (plasma/serum) samples from 14 adult female and their pups (1-4 days old)
captured in the West Ice, east of Greenland were analysed for concentrations of
total and free thyroxine and triiodothyronine (TT4, FT4, TT3, FT3), and
hydroxylated polychlorinated biphenyls (OH-PCBs). The levels of all thyroid
hormones (THs) were significantly higher in pups than in mothers. Sum OH-PCB
levels (SigmaOH-PCBs: 4-OH-CB107, 3'-OH-CB138, 4-OH-CB146, 4'-OH-CB172, 4-OH
CB187) were significantly higher in mothers (3.98 +/- 1.55 pmol/g; 1.40 +/- 0.54
ng/g wet weight) as compared to pups (1.95 +/- 0.78 pmol/g; 0.68 +/- 0.28 ng/g
wet weight). Plasma levels of TT4 and FT4 in mothers increased as a function of
pup age, as did levels of individual OH-PCBs in both mothers and pups. The
pattern of OH-PCBs in the pups was similar to their mothers. We suggest that OH
PCBs found in pups are transferred from their mothers during gestation and that
the transfer also continues after parturition via milk. Principal component
analysis (PCA) showed that in pups, 4-OH-CB107 and 3'-OH-CB138 were negatively
associated with FT4:FT3 and TT3:FT3 ratios, respectively. These relationships
were confirmed by partial correlation analysis correcting for pup age. PCA
suggested that 4'-OH-CB172 and 4-OH-CB187 were negatively associated with TT3 in
mothers. However, this was not confirmed by correlation tests. Although
statistical relationships should be interpreted with caution, the study indicates
that young developing seals are more sensitive compared to adults with respect to
TH-related effects of OH-PCBs.
PMID- 21888874
TI - Inducible and repressable oncogene-addicted hepatocellular carcinoma in Tet-on
xmrk transgenic zebrafish.
AB - BACKGROUND & AIMS: Liver cancer, mainly hepatocellular carcinoma, is a major
malignancy and currently there are no effective treatment protocols due to
insufficient understanding of hepatocarcinogenesis. As a potentially high
throughput and cost-effective experimental model, the zebrafish is increasingly
recognized for disease studies. Here, we aim at using the zebrafish to generate a
convenient hepatocellular carcinoma model. METHODS: Using the Tet-on system for
liver-specific expression of fish oncogene xmrk, a hyperactive version of
epidermal growth factor receptor homolog, we have generated transgenic zebrafish
with inducible development of liver cancer. RESULTS: Liver tumors were rapidly
induced with 100% penetrance in both juvenile and adult xmrk transgenic fish.
Histological examination indicated that they all showed features of
hepatocellular carcinoma. The induced liver tumors regressed rapidly upon inducer
withdrawal. During the tumor induction stage, we detected increased cell
proliferation and activation of Xmrk downstream targets Erk and Stat5, which were
important for liver tumorigenesis as proved by inhibition experiments. When
tumors regressed, there were decreased phosphorylated Erk and Stat5 accompanied
with an increase in apoptosis. CONCLUSIONS: Our zebrafish model demonstrates the
potential of a hyperactivated epidermal growth factor receptor pathway in
initiating heptocarcinogenesis. It provides clear evidence for the requirement of
only a single oncogene for HCC initiation and maintenance and is thus a
convenient model for further investigation of oncogene addiction and future anti
cancer drug screening.
PMID- 21888875
TI - MicroRNA-135a contributes to the development of portal vein tumor thrombus by
promoting metastasis in hepatocellular carcinoma.
AB - BACKGROUND & AIMS: Portal vein tumor thrombus (PVTT) has previously been
demonstrated to correlate with poor prognosis of hepatocellular carcinoma.
Approximately 50-80% of HCC is accompanied by portal or hepatic vein invasion.
The underlying mechanisms of PVTT development remain unclear. This study aimed to
elucidate the role of miR-135a in PVTT tumorigenesis. METHODS: In the present
study, we investigated the expression of microRNAs and mRNAs in PVTT tissues
using advanced microRNA and cDNA microarray techniques. MicroRNA (miR)-135a was
noted to be highly over-expressed in PVTT and the cell line CSQT-2 and was
selected for further study. We characterized the function of miR-135a in vitro
and in vivo. We also analyzed the clinical relevance of miR-135a in relation to
the prognosis and survival of HCC patients with PVTT. RESULTS: Our analyses found
that the miRNA and mRNA expression profiles of PVTT were distinct from the
parenchyma tumor. Overexpression of miR-135a favors invasive and metastatic
behavior in vitro. Furthermore, in a CSQT-2 orthotopic transplantation nude mouse
model, blockade of miR-135a significantly reduced PVTT incidence. We also found
that miR-135a was transcribed by forkhead box M1 (FOXM1), and metastasis
suppressor 1 (MTSS1) was identified as the direct and functional target of miR
135a. Additionally, the cohort analysis revealed the relevance of miR-135a with
respect to the prognosis and survival of HCC patients with PVTT. CONCLUSIONS: Our
data suggest an important role for miR-135a in promoting PVTT tumorigenesis and
indicate the potential application of miR-135a in PVTT therapy.
PMID- 21888877
TI - C reactive protein levels in non-alcoholic fatty liver disease.
PMID- 21888876
TI - A functional genomic screen reveals novel host genes that mediate interferon
alpha's effects against hepatitis C virus.
AB - BACKGROUND & AIMS: The precise mechanisms by which IFN exerts its antiviral
effect against HCV have not yet been elucidated. We sought to identify host genes
that mediate the antiviral effect of IFN-alpha by conducting a whole-genome siRNA
library screen. METHODS: High throughput screening was performed using an HCV
genotype 1b replicon, pRep-Feo. Those pools with replicate robust Z scores >=2.0
entered secondary validation in full-length OR6 replicon cells. Huh7.5.1 cells
infected with JFH1 were then used to validate the rescue efficacy of selected
genes for HCV replication under IFN-alpha treatment. RESULTS: We identified and
confirmed 93 human genes involved in the IFN-alpha anti-HCV effect using a whole
genome siRNA library. Gene ontology analysis revealed that mRNA processing (23
genes, p=2.756e-22), translation initiation (nine genes, p=2.42e-6), and IFN
signaling (five genes, p=1.00e-3) were the most enriched functional groups. Nine
genes were components of U4/U6.U5 tri-snRNP. We confirmed that silencing squamous
cell carcinoma antigen recognized by T cells (SART1), a specific factor of tri
snRNP, abrogates IFN-alpha's suppressive effects against HCV in both replicon
cells and JFH1 infectious cells. We further found that SART1 was not IFN-alpha
inducible, and its anti-HCV effector in the JFH1 infectious model was through
regulation of interferon stimulated genes (ISGs) with or without IFN-alpha.
CONCLUSIONS: We identified 93 genes that mediate the anti-HCV effect of IFN-alpha
through genome-wide siRNA screening; 23 and nine genes were involved in mRNA
processing and translation initiation, respectively. These findings reveal an
unexpected role for mRNA processing in generation of the antiviral state, and
suggest a new avenue for therapeutic development in HCV.
PMID- 21888878
TI - Coffee consumption and reduced self-reported side effects in HIV-HCV co-infected
patients during PEG-IFN and ribavirin treatment: results from ANRS CO13 HEPAVIH.
PMID- 21888880
TI - Aortobifemoral prosthesis penetrating into the duodenal lumen.
PMID- 21888881
TI - Variceal bleeding in cirrhotic patients is associated with adrenal dysfunction:
what is the evidence?
PMID- 21888882
TI - Portal biliopathy: diagnosis by endosonography.
PMID- 21888883
TI - The dynamic nature of fluid resuscitation in acute pancreatitis.
PMID- 21888884
TI - Gastrointestinal bleeding caused by primary small bowel lymphoma in a patient who
received a renal transplant.
PMID- 21888885
TI - Contraction-excitation coupling?
PMID- 21888887
TI - Prevalence of the alternative lengthening of telomeres telomere maintenance
mechanism in human cancer subtypes.
AB - Approximately 10% to 15% of human cancers lack detectable telomerase activity,
and a subset of these maintain telomere lengths by the telomerase-independent
telomere maintenance mechanism termed alternative lengthening of telomeres (ALT).
The ALT phenotype, relatively common in subtypes of sarcomas and astrocytomas,
has rarely been reported in epithelial malignancies. However, the prevalence of
ALT has not been thoroughly assessed across all cancer types. We therefore
comprehensively surveyed the ALT phenotype in a broad range of human cancers. In
total, two independent sets comprising 6110 primary tumors from 94 different
cancer subtypes, 541 benign neoplasms, and 264 normal tissue samples were
assessed by combined telomere-specific fluorescence in situ hybridization and
immunofluorescence labeling for PML protein. Overall, ALT was observed in 3.73%
(228/6110) of all tumor specimens, but was not observed in benign neoplasms or
normal tissues. This is the first report of ALT in carcinomas arising from the
bladder, cervix, endometrium, esophagus, gallbladder, kidney, liver, and lung.
Additionally, this is the first report of ALT in medulloblastomas,
oligodendrogliomas, meningiomas, schwannomas, and pediatric glioblastoma
multiformes. Previous studies have shown associations between ALT status and
prognosis in some tumor types; thus, further studies are warranted to assess the
potential prognostic significance and unique biology of ALT-positive tumors.
These findings may have therapeutic consequences, because ALT-positive cancers
are predicted to be resistant to anti-telomerase therapies.
PMID- 21888888
TI - Quantitation of amyloid beta peptides Abeta(1-38), Abeta(1-40), and Abeta(1-42)
in human cerebrospinal fluid by ultra-performance liquid chromatography-tandem
mass spectrometry.
AB - Critical events in Alzheimer's disease (AD) involve an imbalance between the
production and clearance of amyloid beta (Abeta) peptides from the brain. Current
methods for Abeta quantitation rely heavily on immuno-based techniques. However,
these assays require highly specific antibodies and reagents that are time
consuming and expensive to develop. Immuno-based assays are also characterized by
poor dynamic ranges, cross-reactivity, matrix interferences, and dilution
linearity problems. In particular, noncommercial immunoassays are especially
subject to high intra- and interassay variability because they are not subject to
more stringent manufacturing controls. Combinations of these factors make
immunoassays more labor-intensive and often challenging to validate in support of
clinical studies. Here we describe a mixed-mode solid-phase extraction method and
an ultra-performance liquid chromatography tandem mass spectrometry (SPE UPLC
MS/MS) assay for the simultaneous quantitation of Abeta(1-38), Abeta(1-40), and
Abeta(1-42) from human cerebrospinal fluid (CSF). Negative ion versus positive
ion species were compared using their corresponding multiple reaction monitoring
(MRM) transitions, and negative ions were approximately 1.6-fold greater in
intensity but lacked selectivity in matrix. The positive ion MRM assay was more
than sufficient to quantify endogenous Abeta peptides. Abeta standards were
prepared in artificial CSF containing 5% rat plasma, and quality control samples
were prepared in three pooled CSF sources. Extraction efficiency was greater than
80% for all three peptides, and the coefficient of variation during analysis was
less than 15% for all species. Mean basal levels of Abeta species from three CSF
pools were 1.64, 2.17, and 1.26 ng/ml for Abeta(1-38); 3.24, 3.63, and 2.55 ng/ml
for Abeta(1-40); and 0.50, 0.63, and 0.46 ng/ml for Abeta(1-42).
PMID- 21888890
TI - C-terminal de novo sequencing of peptides using oxazolone-based derivatization
with bromine signature.
AB - Due to almost identical chemical properties of C-terminal and side-chain
carboxylic groups, selective C-terminal derivatization has been difficult.
Although oxazolone-based C-terminal derivatization is the only selective C
terminal modification available, it has not been used widely because of its low
derivatization efficiency. In this paper, an improved oxazolone chemistry for
incorporation of Br signature to C-terminus is reported. MS/MS analysis of the
brominated peptides led to a series of y ions with Br signature, facilitating de
novo C-terminal sequencing.
PMID- 21888889
TI - An electrochemical sensor based on single-stranded DNA-poly(sulfosalicylic acid)
composite film for simultaneous determination of adenine, guanine, and thymine.
AB - Poly(sulfosalicylic acid) and single-stranded DNA composite (PSSA-ssDNA)-modified
glassy carbon electrode (GCE) was prepared by electropolymerization and then
successfully used to simultaneously determine adenine (A), guanine (G), and
thymine (T). The characterization of electrochemically synthesized PSSA-ssDNA
film was investigated by scanning electron microscopy (SEM) and electrochemical
impedance spectroscopy (EIS). The modified electrode exhibited enhanced
electrocatalytic behavior and good stability for the simultaneous determination
of A, G, and T in 0.1M phosphate buffer solution (PBS, pH 7.0). Well-separated
voltammetric peaks were obtained among A, G, and T presented in the analyte
mixture. Under the optimal conditions, the peak currents for A, G, and T
increased linearly with the increase of analyte mixture concentration in the
ranges of 6.5*10(-8) to 1.1*10(-6), 6.5*10(-8) to 1.1*10(-6), and 4.1*10(-6) to
2.7*10(-5)M, respectively. The detection limits (signal/noise=3) for A, G, and T
were 2.2*10(-8), 2.2*10(-8), and 1.4*10(-6)M, respectively.
PMID- 21888891
TI - Detecting multiple proteins by Western blotting using same-species primary
antibodies, precomplexed serum, and hydrogen peroxide.
AB - Western blot detection of multiple proteins is challenged by the need to use
antibodies from the same species and the harsh stripping methods that can remove
protein or reduce protein antigenicity. Quenching using 27% hydrogen peroxide was
developed as an alternative to stripping to inhibit horseradish peroxidase used
to detect secondary antibodies. To detect two epitopes with same-species primary
antibodies, quenching was followed by incubation in a precomplexed mixture of
primary and secondary antibodies for the second epitope plus serum from that
species. Both methods will be valuable in specific detection of multiple proteins
by Western blotting, and will save time, valuable samples, and reagents.
PMID- 21888892
TI - Structural elements of the mitochondrial preprotein-conducting channel Tom40
dissolved by bioinformatics and mass spectrometry.
AB - Most mitochondrial proteins are imported into mitochondria from the cytosolic
compartment. Proteins destined for the outer or inner membrane, the inter
membrane space, or the matrix are recognized and translocated by the TOM
machinery containing the specialized protein import channel Tom40. The latter is
a protein with beta-barrel shape, which is suggested to have evolved from a porin
type protein. To obtain structural insights in the absence of a crystal structure
the membrane topology of Tom40 from Neurospora crassa was determined by limited
proteolysis combined with mass spectrometry. The results were interpreted on the
basis of a structural model that has been generated for NcTom40 by using the
structure of mouse VDAC-1 as a template and amino acid sequence information of
approximately 270 different Tom40 and approximately 480 VDAC amino acid sequences
for refinement. The model largely explains the observed accessible cleavage sites
and serves as a structural basis for the investigation of physicochemical
properties of the ensemble of our Tom40 sequence data set. By this means we
discovered two conserved polar slides in the pore interior. One is possibly
involved in the positioning of a pore-inserted helix; the other one might be
important for mitochondrial pre-sequence peptide binding as it is only present in
Tom40 but not in VDAC proteins. The outer surface of the Tom40 barrel reveals two
conserved amino acid clusters. They may be involved in binding other components
of the TOM complex or bridging components of the TIM machinery of the
mitochondrial inner membrane.
PMID- 21888893
TI - Analysis of the human HP1 interactome reveals novel binding partners.
AB - Heterochromatin protein 1 (HP1) has first been described in Drosophila as an
essential component of constitutive heterochromatin required for stable
epigenetic gene silencing. Less is known about the three mammalian HP1 isotypes
CBX1, CBX3 and CBX5. Here, we applied a tandem affinity purification approach
coupled with tandem mass spectrometry methodologies in order to identify
interacting partners of the mammalian HP1 isotypes. Our analysis identified with
high confidence about 30-40 proteins co-eluted with CBX1 and CBX3, and around 10
with CBX5 including a number of novel HP1-binding partners. Our data also suggest
that HP1 family members are mainly associated with a single partner or within
small protein complexes composed of limited numbers of components. Finally, we
showed that slight binding preferences might exist between HP1 family members.
PMID- 21888894
TI - The sphingosine-1-phosphate derivative NHOBTD inhibits angiogenesis both in vitro
and in vivo.
AB - Sphingosine-1-phosphate (S1P) plays an important role in angiogenesis by
stimulating DNA synthesis, chemotactic motility, and early blood vessel
formation. Accordingly, the S1P signaling pathway is an attractive target for
novel anti-angiogenic therapeutics. Here, we describe a small synthetic
derivative of S1P that acts as an anti-angiogenic agent. We found that the S1P
derivative NHOBTD [N-((2S,3R)-3-hydroxy-1-morpholino-4-(3-octylphenyl)butan-2
yl)tetradecanamide] suppressed S1P-induced invasion and tube formation by human
umbilical vein endothelial cells. NHOBTD also suppressed S1P signaling, as seen
by destabilization of hypoxia inducible factor-1 alpha (HIF-1alpha) and secretion
of VEGF, a transcriptional target of HIF-1alpha. Moreover, NHOBTD profoundly
blocked endogenous neovascularization of the chick embryo chorioallantoic
membrane, without rupturing any existing vessels. Together, these results
demonstrate that NHOBTD is a new anti-angiogenic molecule that is capable of
perturbing S1P signaling, and provides the basis for developing new anti
angiogenic drugs.
PMID- 21888895
TI - Cheating death at the dawn of life: developmental control of apoptotic repression
in the preimplantation embryo.
AB - During early development, the mammalian embryo is resistant to pro-apoptotic
signals because of biochemical properties of the mitochondrion and nucleus.
Mitochondria of the bovine two-cell embryo are resistant to depolarization
because of low amounts of the proapoptotic protein BAX and high concentrations of
the anti-apoptotic protein BCL2. As development proceeds, BAX content increases,
BCL2 content declines, and mitochondria becomes capable of pore formation and
depolarization in response to pro-apoptotic signals. The nucleus of the two-cell
embryo is resistant to degradation by the DNase DFFB because epigenetic
modifications, including DNA methylation and histone deacetylation, mask
internucleosomal sites for DNA cleavage. Blastomere DNA becomes progressively
less methylated during development so that DNA becomes accessible to cleavage by
DFFB.
PMID- 21888896
TI - Crystal structure of the Cys2His2-type zinc finger domain of human DPF2.
AB - DPF2 is an evolutionary highly conserved member of the d4-protein family
characterized by an N-terminal 2/3 domain, a C2H2-type zinc finger (ZF), and a C
terminal tandem PHD zinc finger. DPF2 is identified as a transcription factor and
may be related with some cancers in human. Here, we report the crystal structure
of the C2H2-type zinc finger domain of human DPF2 with a canonical C2H2 fold,
which contains two beta strands and one alpha helix. Several conserved residues,
including Lys207, Lys216 and Arg217, constitute a positively charged surface in
C2H2 domain, which implicates that it has the potential to bind DNA. The side
chains of the residues Y209, C211, C214, K216, Y218, L224, H227 and H232 form the
hydrophobic core of C2H2 domain, which indicates a potential-binding surface in
the human DPF2.
PMID- 21888897
TI - Kelch-like 20 up-regulates the expression of hypoxia-inducible factor-2alpha
through hypoxia- and von Hippel-Lindau tumor suppressor protein-independent
regulatory mechanisms.
AB - Despite their structural similarity, hypoxia-inducible factor (HIF)-1alpha and
HIF-2alpha have distinct functional properties and exhibit distinct
spatiotemporal expression patterns, suggesting that the expressions of the two
proteins are regulated by different mechanisms. To clarify the HIF-2alpha
specific regulatory mechanism, we screened HIF-2alpha-associated proteins in a
yeast two-hybrid system and identified kelch-like 20 (KLHL20). HIF-2alpha, but
not HIF-1alpha, interacted with KLHL20. siRNA-mediated knockdown of KLHL20
decreased HIF-2alpha protein, but not HIF-2alpha mRNA or HIF-1alpha protein.
Depletion of KLHL20 decreased hypoxia-induced HIF activity, and consequently
resulted in decreased expression levels of HIF-2alpha-responsive genes such as
VEGF and CITED2. In contrast, overexpression of KLHL20 increased the expression
levels and transcriptional activities of the O(2)-sensitive wild-type and O(2)
insensitive mutant forms of HIF-2alpha. KLHL20 siRNA also inhibited HIF-2
activity in von Hippel-Lindau tumor suppressor protein (pVHL)-deficient 786-O
cells. These results indicate that KLHL20 is a novel player that regulates HIF
2alpha protein expression through mechanisms independent of hypoxia and pVHL.
PMID- 21888898
TI - Effect of heparin and alendronate coating on titanium surfaces on inhibition of
osteoclast and enhancement of osteoblast function.
AB - The failure of orthopedic and dental implants has been attributed mainly to
loosening of the implant from host bone, which may be due to weak bonding of the
implant material to bone tissue. Titanium (Ti) is used in the field of orthopedic
and dental implants because of its excellent biocompatibility and outstanding
mechanical properties. Therefore, in the field of materials science and tissue
engineering, there has been extensive research to immobilize bioactive molecules
on the surface of implant materials in order to provide the implants with
improved adhesion to the host bone tissue. In this study, chemically active
functional groups were introduced on the surface of Ti by a grafting reaction
with heparin and then the Ti was functionalized by immobilizing alendronate onto
the heparin-grafted surface. In the MC3T3-E1 cell osteogenic differentiation
study, the alendronate-immobilized Ti substrates significantly enhanced alkaline
phosphatase activity (ALP) and calcium content. Additionally, nuclear factor
kappa B ligand (RANKL)-induced osteoclast differentiation of RAW264.7 cells was
inhibited with the alendronate-immobilized Ti as confirmed by TRAP analysis. Real
time PCR analysis showed that mRNA expressions of osteocalcin and osteopontin,
which are markers for osteogenesis, were upregulated in MC3T3-E1 cells cultured
on alendronate-immobilized Ti. The mRNA expressions of TRAP and Cathepsin K,
markers for osteoclastogenesis, in RAW264.7 cells cultured on alendronate
immobilized Ti were down-regulated. Our study suggests that alendronate
immobilized Ti may be a bioactive implant with dual functions to enhance
osteoblast differentiation and to inhibit osteoclast differentiation
simultaneously.
PMID- 21888899
TI - Regulation of phycoerythrin synthesis and cellular morphology in Fremyella
diplosiphon green mutants.
AB - Light-dependent modification of photosynthetic pigmentation and cellular growth
responses is commonly associated with increased fitness in photosynthetic
organisms, including cyanobacteria. Prior analyses of pigmentation mutants in the
freshwater cyanobacterium Fremyelladiplosiphon has resulted in the observation
that RcaE is a photosensor responsible for regulating organismal responses to
changes in red light (RL) and green light (GL). RcaE regulates both pigmentation
and cellular morphology, yet previous investigations and the analysis of
additional pigmentation mutants here show that the signaling pathways regulating
pigmentation and morphology appear to branch downstream of RcaE. We provide
evidence that a DeltacpeR mutant has altered regulation of cellular morphology in
addition to a known disruption in phycoerythrin synthesis. This marks the first
description of the association of a regulator with the control of cellular
morphology under both RL and GL in F.diplosiphon, apart from RcaE. In addition to
providing a link between CpeR and the photoregulation of morphology in
F.diplosiphon, the isolation of a DeltacpeR::IS66 mutant in the UTEX 481 strain
represents both the first isolation of an IS66-based gene disruption and
verification of the existence of an IS66-related element in F. diplosiphon.
PMID- 21888900
TI - Anti-CENPI autoantibodies in scleroderma patients with features of autoimmune
liver diseases.
AB - BACKGROUND: Anticentromere autoantibodies have been reported to be associated
with scleroderma and serve as a marker in different rheumatic diseases in humans.
Major centromere autoantigens described so far include constitutive kinetochore
proteins such as CENPA, CENPB, CENPC and CENPH and facultative proteins such as
CENPE, CENPF and INCENP. We examined the inner kinetochore component CENPI as a
new putative centromere autoantigen in scleroderma patients. METHODS: To test for
the presence of CENPI centromere autoantibodies, 72 sera from patients with
systemic lupus erythematosus and systemic sclerosis were assayed by
immunofluorescence and further tested by immunoblots with an Nt-CENPI recombinant
protein. RESULTS: 8 out of 31 (25.8%) patients diagnosed of scleroderma or
Undifferentiated Connective Tissue Disease (UCTD) produced anti-CENPI
autoantibodies. Epitopes were demonstrated to be located mainly but not
exclusively in the N-terminal domain of the human CENPI protein. Five of the 8
(62.5%) CENPI positive sera also had other autoantibodies related to primary
biliary cirrhosis. Further, two patients (25%) with anti-CENPI autoantibodies had
concurrent diagnosis of primary biliary cirrhosis. CONCLUSIONS: This study
demonstrates that CENPI, a centromere protein that localizes to the inner
kinetochore structure, is a human autoantigen. The significance of anti-CENPI
autoantibodies could be relevant in scleroderma patients as a marker for
concurrent autoimmune liver disease.
PMID- 21888901
TI - Proguanylin and prouroguanylin--assay evaluation and clinical analyte
characterization.
AB - BACKGROUND: The biomarkers proguanylin and prouroguanylin are members of the
natriuretic peptide family. The aim of this study was to evaluate two
commercially available assays for proguanylin and prouroguanylin and to further
characterize both analytes in terms of important clinical features. METHODS: We
evaluated precision and linearity of the BioVendor human proguanylin and
prouroguanylin ELISAs. In order to characterize both analytes, we tested in vitro
analyte stabilities at -80 degrees C, and determined biological variability and
reference values for proguanylin and prouroguanylin. RESULTS: Within-run and
total coefficients of variation were <10% for the BioVendor proguanylin and
prouroguanylin assays. Both methods were linear across the tested measurement
ranges. The analytes proguanylin and prouroguanylin were stable for at least 2
months at -80 degrees C. With respect to biological variability, the reference
change values (RCV) were 27% and 59% for proguanylin and prouroguanylin,
respectively. For proguanylin, age-independent reference values were 4.0-13.4
ng/mL in males and 4.6-16.3 ng/mL in females. For prouroguanylin, age- and sex
independent reference values were 2.1-11.2 ng/mL. CONCLUSION: The BioVendor human
proguanylin ELISA and the BioVendor human prouroguanylin ELISA meet the needs of
quality specifications of laboratory medicine. The results of the
characterization of both analytes provide essential information for further
clinical studies.
PMID- 21888902
TI - Serum pigment epithelium-derived factor levels are increased in patients with
biopsy-proven nonalcoholic fatty liver disease and independently associated with
liver steatosis.
AB - BACKGROUND: Increased serum concentrations of pigment epithelium-derived factor
(PEDF) have been linked to the metabolic syndrome in the general population.
However, the relationship between serum PEDF and nonalcoholic fatty liver disease
(NAFLD), a hepatic manifestation of the metabolic syndrome, remains unknown.
METHODS: We assayed serum PEDF levels in 156 patients with biopsy-proven NAFLD
and 103 nonsteatotic control subjects who were matched for age and sex. The
association between levels of PEDF and clinical, biochemical, and histological
phenotypes was examined. RESULTS: NAFLD patients had significantly higher serum
PEDF levels (1.97+/-0.50 MUg/mL) than control subjects (1.51+/-0.49 MUg/mL,
Student's t test, P<0.001). Multivariable-adjusted stepwise regression analysis
showed that PEDF ([beta]=0.32, t=3.13, P=0.002) and triglycerides ([beta]=0.22,
t=2.23, P=0.02) were, in the order they entered into the model, the main
independent predictors of steatosis scores in our patients with NAFLD.
CONCLUSIONS: Serum PEDF levels are significantly increased in patients with
biopsy-proven NAFLD and are associated with liver steatosis independently of
traditional risk factors.
PMID- 21888903
TI - Ngn3(+) endocrine progenitor cells control the fate and morphogenesis of
pancreatic ductal epithelium.
AB - During pancreas development, endocrine and exocrine cells arise from a common
multipotent progenitor pool. How these cell fate decisions are coordinated with
tissue morphogenesis is poorly understood. Here we have examined ductal
morphology, endocrine progenitor cell fate and Notch signaling in Ngn3(-/-) mice,
which do not produce islet cells. Ngn3 deficiency results in reduced branching
and enlarged pancreatic duct-like structures, concomitant with Ngn3 promoter
activation throughout the ductal epithelium and reduced Notch signaling.
Conversely, forced generation of surplus endocrine progenitor cells causes
reduced duct caliber and an excessive number of tip cells. Thus, endocrine
progenitor cells normally provide a feedback signal to adjacent multipotent
ductal progenitor cells that activates Notch signaling, inhibits further
endocrine differentiation and promotes proper morphogenesis. These results
uncover a novel layer of regulation coordinating pancreas morphogenesis and
endocrine/exocrine differentiation, and suggest ways to enhance the yield of beta
cells from stem cells.
PMID- 21888904
TI - NO donor induces Nec-1-inhibitable, but RIP1-independent, necrotic cell death in
pancreatic beta-cells.
AB - Nitric oxide (NO) has been implicated in pancreatic beta-cell death in the
development of diabetes. The mechanisms underlying NO-induced beta-cell death
have not been clearly defined. Recently, receptor-interacting protein-1 (RIP1)
dependent necrosis, which is inhibited by necrostatin-1, an inhibitor of RIP1,
has emerged as a form of regulated necrosis. Here, we show that NO donor-induced
beta-cell death was inhibited by necrostatin-1. Unexpectedly, however, RIP1
knockdown neither inhibited cell death nor altered the protective effects of
necrostatin-1 in NO donor-treated beta-cells. These results indicate that NO
donor induces necrostatin-1-inhibitable necrotic beta-cell death independent of
RIP1. Our findings raise the possibility that NO-mediated beta-cell necrosis may
be a novel form of signal-regulated necrosis, which play a role in the
progression of diabetes.
PMID- 21888905
TI - Role of Sch9 in regulating Ras-cAMP signal pathway in Saccharomyces cerevisiae.
AB - In Saccharomyces cerevisiae PKA plays a major role in regulating cell growth,
metabolism, and stress resistance. We report that Sch9 regulates PKA directly and
SCH9 deletion enhances PKA activity by showing that: (1) Bcy1 predominately
localized in the nucleus in glycerol-grown sch9Delta cells; (2) large part of the
catalytic subunits of PKA transferred from the nucleus to the cytoplasm in
sch9Delta cells; (3) higher protein stability of Tpk2 resulted in higher protein
level of Tpk2 in sch9Delta than in wild type cells. Our investigations suggest
that Sch9 regulates phosphorylation of Bcy1. We also observed hyper
phosphorylation of Cdc25 in sch9Delta, in contrast to the tpk2Delta and
tpk2Deltasch9Delta mutants, suggesting that feedback inhibition of PKA on Cdc25
is through Tpk2.
PMID- 21888906
TI - Evidence for beta-nicotinamide adenine dinucleotide as a purinergic, inhibitory
neurotransmitter in doubt.
PMID- 21888907
TI - Uncommon cause of an inflammatory pancreatic head tumor. Diagnosis: Purulent
actinomycosis and incidental T1-carcinoid of the pancreatic head.
PMID- 21888908
TI - Circulating salmon 28- and 22-kDa insulin-like growth factor binding proteins
(IGFBPs) are co-orthologs of IGFBP-1.
AB - Circulating insulin-like growth factor binding proteins (IGFBPs) play pivotal
roles in stabilizing IGFs and regulating their availability to target tissues. In
the teleost circulation, three major IGFBPs are typically detected by ligand
blotting with molecular masses around 20-25, 28-32 and 40-45kDa. However, their
identity is poorly established and often confused. We previously identified
salmon 22- and 41-kDa forms as IGFBP-1 and -2b, respectively. In the present
study, we cloned the cDNA of 28-kDa IGFBP from Chinook salmon (Oncorhynchus
tshawytscha) as well as rainbow trout (Oncorhynchus mykiss) based on the partial
N-terminal amino acid sequence of purified protein and identified it as an
ortholog of IGFBP-1. Structural and phylogenetic analyses revealed that the 28
kDa IGFBP is more closely related to human IGFBP-1 and zebrafish IGFBP-1a than
the previously identified salmon IGFBP-1 (i.e. 22-kDa IGFBP). We thus named
salmon 28- and 22-kDa forms as IGFBP-1a and -1b, respectively. Salmon IGFBP-1a
contains a potential PEST region involved in rapid protein turnover and
phosphorylation sites typically found in mammalian IGFBP-1, although the PEST and
phosphorylation scores are not as high as those of human IGFBP-1. There was a
striking difference in tissue distribution patterns between subtypes; Salmon
igfbp-1a was expressed in a variety of tissues while igfbp-1b was almost
exclusively expressed in the liver, suggesting that IGFBP-1a has more local
actions. Direct seawater exposure (osmotic stress) of Chinook salmon parr caused
increases in both IGFBP-1s in plasma, while IGFBP-1b appeared to be more
sensitive. The presence of two co-orthologs of IGFBP-1 in the circulation in
salmon, and most likely in other teleosts, provides a good opportunity to
investigate subfunction partitioning of duplicated IGFBP-1 during postnatal
growth.
PMID- 21888909
TI - PDEs create local domains of cAMP signaling.
AB - In the light of the knowledge accumulated over the years, it becomes clear that
intracellular cAMP is not uniformly distributed within cardiomyocytes and that
cAMP compartmentation is required for adequate processing and targeting of the
information generated at the membrane. Localized cAMP signals may be generated by
interplay between discrete production sites and restricted diffusion within the
cytoplasm. In addition to specialized membrane structures that may limit cAMP
spreading, degradation of the second messenger by cyclic nucleotide
phosphodiesterases (PDEs) appears critical for the formation of dynamic
microdomains that confer specificity of the response to various hormones. This
review will cover the role of the different cAMP-PDE isoforms in this process.
This article is part of a Special Issue entitled "Local Signaling in Myocytes."
PMID- 21888910
TI - Calcium-calmodulin dependent protein kinase II (CaMKII): a main signal
responsible for early reperfusion arrhythmias.
AB - To explore whether CaMKII-dependent phosphorylation events mediate reperfusion
arrhythmias, Langendorff perfused hearts were submitted to global
ischemia/reperfusion. Epicardial monophasic or transmembrane action potentials
and contractility were recorded. In rat hearts, reperfusion significantly
increased the number of premature beats (PBs) relative to pre-ischemic values.
This arrhythmic pattern was associated with a significant increase in CaMKII
dependent phosphorylation of Ser2814 on Ca(2+)-release channels (RyR2) and Thr17
on phospholamban (PLN) at the sarcoplasmic reticulum (SR). These phenomena could
be prevented by the CaMKII-inhibitor KN-93. In transgenic mice with targeted
inhibition of CaMKII at the SR membranes (SR-AIP), PBs were significantly
decreased from 31+/-6 to 5+/-1 beats/3min with a virtually complete disappearance
of early-afterdepolarizations (EADs). In mice with genetic mutation of the CaMKII
phosphorylation site on RyR2 (RyR2-S2814A), PBs decreased by 51.0+/-14.7%. In
contrast, the number of PBs upon reperfusion did not change in transgenic mice
with ablation of both PLN phosphorylation sites (PLN-DM). The experiments in SR
AIP mice, in which the CaMKII inhibitor peptide is anchored in the SR membrane
but also inhibits CaMKII regulation of L-type Ca(2+) channels, indicated a
critical role of CaMKII-dependent phosphorylation of SR proteins and/or L-type
Ca(2+) channels in reperfusion arrhythmias. The experiments in RyR2-S2814A
further indicate that up to 60% of PBs related to CaMKII are dependent on the
phosphorylation of RyR2-Ser2814 site and could be ascribed to delayed
afterdepolarizations (DADs). Moreover, phosphorylation of PLN-Thr17 and L-type
Ca(2+) channels might contribute to reperfusion-induced PBs, by increasing SR
Ca(2+) content and Ca(2+) influx.
PMID- 21888912
TI - Identification of cardiovascular microRNA targetomes.
AB - MicroRNAs (miRNAs) are strong post-transcriptional regulators targeting multiple
targets. Endogenously transcribed, miRNAs specifically bind to complementary
sequences of mRNAs and repress their expression thus govern control of cellular
signaling pathways. An altered miRNA expression is causally related to
cardiovascular disease. Identification of miRNA-dependent pathways is therefore
an important aim to develop new therapeutic approaches. To understand miRNA
function in various cardiovascular cells, the identification of individual miRNA
target genes is of utmost importance. Indeed, the biological function of a miRNA
is dependent on the availability of potential targets in a cell. We here
summarize and discuss current challenging approaches to identify miRNA targetomes
which will help to understand miRNA function in cardiac homeostasis and disease.
PMID- 21888911
TI - Different subcellular populations of L-type Ca2+ channels exhibit unique
regulation and functional roles in cardiomyocytes.
AB - Influx of Ca(2+) through L-type Ca(2+) channels (LTCCs) contributes to numerous
cellular processes in cardiomyocytes including excitation-contraction (EC)
coupling, membrane excitability, and transcriptional regulation. Distinct
subpopulations of LTCCs have been identified in cardiac myocytes, including those
at dyadic junctions and within different plasma membrane microdomains such as
lipid rafts and caveolae. These subpopulations of LTCCs exhibit regionally
distinct functional properties and regulation, affording precise spatiotemporal
modulation of L-type Ca(2+) current (I(Ca,L)). Different subcellular LTCC
populations demonstrate variable rates of Ca(2+)-dependent inactivation and
sometimes coupled gating of neighboring channels, which can lead to focal,
persistent I(Ca,L). In addition, the assembly of spatially defined macromolecular
signaling complexes permits compartmentalized regulation of I(Ca,L) by a variety
of neurohormonal pathways. For example, beta-adrenergic receptor subtypes signal
to different LTCC subpopulations, with beta(2)-adrenergic activation leading to
enhanced I(Ca,L) through caveolar LTCCs and beta(1)-adrenergic stimulation
modulating LTCCs outside of caveolae. Disruptions in the normal subcellular
targeting of LTCCs and associated signaling proteins may contribute to the
pathophysiology of a variety of cardiac diseases including heart failure and
certain arrhythmias. Further identifying the characteristic functional properties
and array of regulatory molecules associated with specific LTCC subpopulations
will provide a mechanistic framework to understand how LTCCs contribute to
diverse cellular processes in normal and diseased myocardium. This article is
part of a Special Issue entitled "Local Signaling in Myocytes".
PMID- 21888915
TI - Spectroscopy and a high-resolution crystal structure of Tyr263 mutants of
cyanobacterial phytochrome Cph1.
AB - Phytochromes are biliprotein photoreceptors that can be photoswitched between red
light-absorbing state (Pr) and far-red-light-absorbing state (Pfr). Although
three-dimensional structures of both states have been reported, the
photoconversion and intramolecular signaling mechanisms are still unclear. Here,
we report UV-Vis absorbance, fluorescence and CD spectroscopy along with various
photochemical parameters of the wild type and Y263F, Y263H and Y263S mutants of
the Cph1 photosensory module, as well as a 2.0-A-resolution crystal structure of
the Y263F mutant in its Pr ground state. Although Y263 is conserved, we show that
the aromatic character but not the hydroxyl group of Y263 is important for Pfr
formation. The crystal structure of the Y263F mutant (Protein Data Bank ID: 3ZQ5)
reaffirms the ZZZssa chromophore configuration and provides a detailed picture of
its binding pocket, particularly conformational heterogeneity around the
chromophore. Comparison with other phytochrome structures reveals differences in
the relative position of the PHY (phytochrome specific) domain and the
interaction of the tongue with the extreme N-terminus. Our data support the
notion that native phytochromes in their Pr state are structurally heterogeneous.
PMID- 21888913
TI - AMP-activated protein kinase connects cellular energy metabolism to KATP channel
function.
AB - AMPK is an important sensor of cellular energy levels. The aim of these studies
was to investigate whether cardiac K(ATP) channels, which couple cellular energy
metabolism to membrane excitability, are regulated by AMPK activity. We
investigated effects of AMPK on rat ventricular K(ATP) channels using
electrophysiological and biochemical approaches. Whole-cell K(ATP) channel
current was activated by metabolic inhibition; this occurred more rapidly in the
presence of AICAR (an AMPK activator). AICAR had no effects on K(ATP) channel
activity recorded in the inside-out patch clamp configuration, but ZMP (the
intracellular intermediate of AICAR) strongly activated K(ATP) channels. An AMPK
mediated effect is demonstrated by the finding that ZMP had no effect on K(ATP)
channels in the presence of Compound C (an AMPK inhibitor). Recombinant AMPK
activated Kir6.2/SUR2A channels in a manner that was dependent on the AMP
concentration, whereas heat-inactivated AMPK was without effect. Using mass
spectrometry and co-immunoprecipitation approaches, we demonstrate that the AMPK
alpha-subunit physically associates with K(ATP) channel subunits. Our data
demonstrate that the cardiac K(ATP) channel function is directly regulated by
AMPK activation. During metabolic stress, a small change in cellular AMP that
activates AMPK can be a potential trigger for K(ATP) channel opening. This
article is part of a Special Issue entitled "Local Signaling in Myocytes".
PMID- 21888914
TI - Structural and thermodynamic comparison of the catalytic domain of AMSH and AMSH
LP: nearly identical fold but different stability.
AB - AMSH plays a critical role in the ESCRT (endosomal sorting complexes required for
transport) machinery, which facilitates the down-regulation and degradation of
cell-surface receptors. It displays a high level of specificity toward cleavage
of Lys63-linked polyubiquitin chains, the structural basis of which has been
understood recently through the crystal structure of a highly related, but ESCRT
independent, protein AMSH-LP (AMSH-like protein). We have determined the X-ray
structure of two constructs representing the catalytic domain of AMSH: AMSH244,
the JAMM (JAB1/MPN/MOV34)-domain-containing polypeptide segment from residues 244
to 424, and AMSH219(E280A), an active-site mutant, Glu280 to Ala, of the segment
from 219 to 424. In addition to confirming the expected zinc coordination in the
protein, the structures reveal that the catalytic domains of AMSH and AMSH-LP are
nearly identical; however, guanidine-hydrochloride-induced unfolding studies show
that the catalytic domain of AMSH is thermodynamically less stable than that of
AMSH-LP, indicating that the former is perhaps structurally more plastic. Much to
our surprise, in the AMSH219(E280A) structure, the catalytic zinc was still held
in place, by the compensatory effect of an aspartate from a nearby loop moving
into a position where it could coordinate with the zinc, once again suggesting
the plasticity of AMSH. Additionally, a model of AMSH244 bound to Lys63-linked
diubiquitin reveals a type of interface for the distal ubiquitin significantly
different from that seen in AMSH-LP. Altogether, we believe that our data provide
important insight into the structural difference between the two proteins that
may translate into the difference in their biological function.
PMID- 21888916
TI - Discovery of improved EGF agonists using a novel in vitro screening platform.
AB - Directed evolution is a powerful strategy for protein engineering; however,
evolution of pharmaceutical proteins has been limited by the reliance of current
screens on binding interactions. Here, we present a method that identifies
protein mutants with improved overall cellular efficacy, an objective not
feasible with previous approaches. Mutated protein libraries were produced in
soluble, active form by means of cell-free protein synthesis. The efficacy of
each individual protein was determined at a uniform dosage with a high-throughput
protein product assay followed by a cell-based functional assay without requiring
protein purification. We validated our platform by first screening mock libraries
of epidermal growth factor (EGF) for stimulation of cell proliferation. We then
demonstrated its effectiveness by identifying EGF mutants with significantly
enhanced mitogenic activity at low concentrations compared to that of wild-type
EGF. This is the first report of EGF mutants with improved biological efficacy
despite much previous effort. Our platform can be extended to engineer a broad
range of proteins, offering a general method to evolve proteins for improved
biological efficacy.
PMID- 21888917
TI - Roles of carboxyl groups in the transmembrane insertion of peptides.
AB - We have used pHLIP(r) [pH (low) insertion peptide] to study the roles of carboxyl
groups in transmembrane (TM) peptide insertion. pHLIP binds to the surface of a
lipid bilayer as a disordered peptide at neutral pH; when the pH is lowered, it
inserts across the membrane to form a TM helix. Peptide insertion is reversed
when the pH is raised above the characteristic pK(a) (6.0). A key event that
facilitates membrane insertion is the protonation of aspartic acid (Asp) and/or
glutamic acid (Glu) residues, since their negatively charged side chains hinder
membrane insertion at neutral pH. In order to gain mechanistic understanding, we
studied the membrane insertion and exit of a series of pHLIP variants where the
four Asp residues were sequentially mutated to nonacidic residues, including
histidine (His). Our results show that the presence of His residues does not
prevent the pH-dependent peptide membrane insertion at ~pH 4 driven by the
protonation of carboxyl groups at the inserting end of the peptide. A further pH
drop leads to the protonation of His residues in the TM part of the peptide,
which induces peptide exit from the bilayer. We also find that the number of
ionizable residues that undergo a change in protonation during membrane insertion
correlates with the pH-dependent insertion into the lipid bilayer and exit from
the lipid bilayer, and that cooperativity increases with their number. We expect
that our understanding will be used to improve the targeting of acidic diseased
tissue by pHLIP.
PMID- 21888918
TI - Redesign of the PAK1 autoinhibitory domain for enhanced stability and affinity in
biosensor applications.
AB - The inhibitory switch (IS) domain of p21-activated kinase 1 (PAK1) stabilizes
full-length PAK1 in an inactive conformation by binding to the PAK1 kinase
domain. Competitive binding of small guanosine triphosphatases to the IS domain
disrupts the autoinhibitory interactions and exposes the IS domain binding site
on the surface of the kinase domain. To build an affinity reagent that
selectively binds the activated state of PAK1, we used molecular modeling to
reengineer the isolated IS domain so that it was soluble and stable, did not bind
to guanosine triphosphatases and bound more tightly to the PAK1 kinase domain.
Three design strategies were tested: in the first and second cases, extension and
redesign of the N-terminus were used to expand the hydrophobic core of the
domain, and in the third case, the termini were redesigned to be adjacent in
space so that the domain could be stabilized by insertion into a loop in a host
cyan fluorescent protein (CFP). The best-performing design, called CFP-PAcKer,
was based on the third strategy and bound the kinase domain of PAK1 with an
affinity of 400 nM. CFP-PAcKer binds more tightly to a full-length variant of
PAK1 that is stabilized in the "open" state (K(d)=3.3 MUM) than to full-length
PAK1 in the "closed" state (undetectable affinity), and binding can be monitored
with fluorescence by placing an environmentally sensitive fluorescence dye on CFP
PAcKer adjacent to the binding site.
PMID- 21888920
TI - Stochastic theory of protein synthesis and polysome: ribosome profile on a single
mRNA transcript.
AB - The process of polymerizing a protein by a ribosome, using a messenger RNA (mRNA)
as the corresponding template, is called translation. Ribosome may be regarded as
a molecular motor for which the mRNA template serves also as the track. Often
several ribosomes may translate the same (mRNA) simultaneously. The ribosomes
bound simultaneously to a single mRNA transcript are the members of a
polyribosome (or, simply, polysome). Experimentally measured polysome profile
gives the distribution of polysome sizes. Recently a breakthrough in determining
the instantaneous positions of the ribosomes on a given mRNA track has been
achieved and the technique is called ribosome profiling (Ingolia et al., 2009;
Guo et al., 2010). Motivated by the success of these techniques, we have studied
the spatio-temporal organization of ribosomes by extending a theoretical model
that we have reported elsewhere (Sharma and Chowdhury, 2011). This extended
version of our model incorporates not only (i) mechano-chemical cycle of
individual ribomes, and (ii) their steric interactions, but also (iii) the
effects of (a) kinetic proofreading, (b) translational infidelity, (c) ribosome
recycling, and (d) sequence inhomogeneities. The theoretical framework developed
here will serve in guiding further experiments and in analyzing the data to gain
deep insight into various kinetic processes involved in translation.
PMID- 21888919
TI - Overcoming hysteresis to attain reversible equilibrium folding for outer membrane
phospholipase A in phospholipid bilayers.
AB - The free energy of unfolding of a membrane protein from lipids into water
(DeltaG(o)(w,l)) describes its equilibrium thermodynamic stability. Knowing this
parameter gives insight into a membrane protein's sequence-structure-energy
relationships. However, there are few measures of membrane protein stability
because of the technical difficulties associated with unfolded and partially
folded states. Here, we describe the experimental process that allowed us to
measure the DeltaG(o)(w,l) of the outer membrane phospholipase A into large
unilamellar vesicles (LUVs) of 1,2-dilauroyl-sn-glycero-3-phosphocholine. To
arrive at this reversible folding condition, we screened a large number of
experimental variables: temperature, incubation time, salt concentration, pH,
lipid composition and liposome morphology. The principal challenge we encountered
under most conditions was hysteresis between folding and unfolding titrations. A
second factor that compromised reversible folding was the observation that a
fraction of the protein population tended to aggregate. We found that hysteresis
could be completely eliminated on a feasible timescale by conducting experiments
at acidic pH, by the slow dilution of the protein in the initial titration setup
and by utilizing a low concentration of a detergent as a temporary "holdase" to
solubilize the protein upon its initial dilution into folding conditions. We
confirmed that the detergent did not disrupt the LUVs using fluorescence emission
of lipid-sensitive dyes and light scattering. The results of our parameter search
should be generally useful for efforts to measure DeltaG(o)(w,l) for other
membrane proteins.
PMID- 21888921
TI - Population stochasticity, random determination of handedness, and the genetic
basis of antisymmetry.
AB - Conspicuous lateral asymmetries of organisms are classified into two major
categories: antisymmetry (AS), characterized by almost equal frequencies of
dextral and sinistral morphs, and directional asymmetry (DA), in which one morph
dominates. I compared and characterized two types of genes, both with existing
examples, in their roles in the evolutionary transitions between AS and DA for
the first time. Handedness genes (HGs) determine the chirality in a strict sense,
while randomization genes (RGs) randomize the chirality. A theory predicts that,
in an AS population maintained by HGs under negative frequency-dependent
selection, RGs harness fluctuation of the morph frequencies as their driving
force and thus increase their frequency until half of the population flips the
phenotype. These predictions were confirmed by simulations. Consequently, RGs
mask the genetic effects of HGs, which provides a possible explanation for the
apparent lack of a genetic basis for AS in empirical AS studies.
PMID- 21888922
TI - Unusual feeding behavior in wild great apes, a window to understand origins of
self-medication in humans: role of sociality and physiology on learning process.
AB - Certain toxic plants are beneficial for health if small amounts are ingested
infrequently and in a specific context of illness. Among our closest living
relatives, chimpanzees are found to consume plants with pharmacological
properties. Providing insight on the origins of human self-medication, this study
investigates the role social systems and physiology (namely gut specialization)
play on learning mechanisms involved in the consumption of unusual and
potentially bioactive foods by two great ape species. We collected data from a
community of 41-44 wild chimpanzees in Uganda (11 months, 2008), and a group of
11-13 wild western gorillas in Central African Republic (10 months, 2008-2009).
During feeding, we recorded food consumed, its availability, and social
interactions (including observers watching conspecifics and the observers'
subsequent activity). Unusual food consumption in chimpanzees was twice higher
than in gorillas. Additionally chimpanzees relied more on social information with
vertical knowledge transmission on unusual foods by continually acquiring
information during their life through mostly observing the fittest (pre
senescent) adults. In contrast, in gorillas observational learning primarily
occurred between related immatures, showing instead the importance of horizontal
knowledge transmission. As chimpanzees' guts are physiologically less specialized
than gorillas (more capable of detoxifying harmful compounds), unusual-food
consumption may be more risky for chimpanzees and linked to reasons other than
nutrition (like self-medication). Our results show that differences in sociality
and physiology between the two species may influence mechanisms that discriminate
between plants for nutrition and plants with potential therapeutic dietary
components. We conclude that self-medication may have appeared in our ancestors
in association with high social tolerance and lack of herbivorous gut
specialization.
PMID- 21888923
TI - Adverse childhood experiences, allostasis, allostatic load, and age-related
disease.
AB - How do adverse childhood experiences get 'under the skin' and influence health
outcomes through the life-course? Research reviewed here suggests that adverse
childhood experiences are associated with changes in biological systems
responsible for maintaining physiological stability through environmental
changes, or allostasis. Children exposed to maltreatment showed smaller volume of
the prefrontal cortex, greater activation of the HPA axis, and elevation in
inflammation levels compared to non-maltreated children. Adults with a history of
childhood maltreatment showed smaller volume of the prefrontal cortex and
hippocampus, greater activation of the HPA axis, and elevation in inflammation
levels compared to non-maltreated individuals. Despite the clear limitations in
making longitudinal claims from cross-sectional studies, work so far suggests
that adverse childhood experiences are associated with enduring changes in the
nervous, endocrine, and immune systems. These changes are already observable in
childhood years and remain apparent in adult life. Adverse childhood experiences
induce significant biological changes in children (biological embedding),
modifying the maturation and the operating balance of allostatic systems. Their
chronic activation can lead to progressive wear and tear, or allostatic load and
overload, and, thus, can exert long-term effects on biological aging and health.
PMID- 21888924
TI - 19-Nor-2alpha-(3-hydroxypropyl)-1alpha,25-dihydroxyvitamin D3 (MART-10) is a
potent cell growth regulator with enhanced chemotherapeutic potency in liver
cancer cells.
AB - The discovery that the active form of vitamin D, 1alpha,25-dihydroxyvitamin D
[1alpha,25(OH)(2)D] can modulate cellular proliferation and differentiation of
cancer cells has led to its potential application as a chemotherapeutic agent to
treat a variety of cancers. However, the use of 1alpha,25(OH)(2)D is limited due
to its lethal side effect of hypercalcemia upon systemic administration. To
overcome this drawback, numerous analogs have been synthesized. In this report,
we examined the anti-proliferative activity of a new analog, 19-nor-2alpha-(3
hydroxypropyl)-1alpha,25(OH)(2)D(3) (MART-10), in HepG2 liver cancer cells, and
studied the potential mechanisms mediating this action. We found that MART-10
exhibited approximately 100-fold greater activity than 1alpha,25(OH)(2)D(3) in
inhibiting HepG2 cell proliferation as determined by cell number counting method.
MART-10 was also approximately 100-fold more potent than 1alpha,25(OH)(2)D(3) in
the upregulation of p21 and p27, that in turn arrested HepG2 cells at the
G(0)/G(1) phase to a greater extent. Given that no active caspase 3 was detected
and treatment with 1alpha,25(OH)(2)D(3) or MART-10 did not further increase the
fractions of apoptotic and necrosis cells over the controls, the growth
inhibitory effect of 1alpha,25(OH)(2)D(3) and MART-10 on HepG2 cells may not
involve apoptosis. Overall, our findings suggest that MART-10 is a good candidate
as a novel therapeutic regimen against liver cancer. Further pre-clinical studies
using animal models and the subsequent human clinical trials are warranted.
PMID- 21888925
TI - The consequences of rare sexual reproduction by means of selfing in an otherwise
clonally reproducing species.
AB - Clonal reproduction of diploids leads to an increase in heterozygosity over time.
A single round of selfing will then create new homozygotic genotypes. Given the
same allele frequencies, heritable genetic variation is larger when there are
more extreme, i.e. homozygotic genotypes. So after a long clonal expansion, one
round of selfing increases heritable genetic variation, but any fully or
partially recessive deleterious alleles simultaneously impose a fitness cost.
Here we calculate that the cost of selfing in the yeast Saccharomyces is
experienced only by a minority of zygotes. This allows a round of selfing to act
as an evolutionary capacitor to unlock genetic variation previously found in a
cryptic heterozygous form. We calculate the evolutionary consequences rather than
the evolutionary causes of sex. We explore a range of parameter values describing
sexual frequencies, focusing especially on the parameter values known for wild
Saccharomyces. Our results are largely robust to many other parameter value
choices, so long as meiosis is rare relative to the strength of selection on
heterozygotes. Results may also be limited to organisms with a small number of
genes. We therefore expect the same phenomenon in some other species with similar
reproductive strategies.
PMID- 21888926
TI - Causality in medicine: getting back to the Hill top.
AB - Evidence from randomized controlled trials (RCTs) is almost universally regarded
as setting the "gold standard" for medical evidence. Claims that RCTs carry
special epistemic weight are often based on the notion that evidence from
randomized studies, and only such evidence, can establish that any observed
connection between treatment and outcome was caused by the treatment on trial.
Any non-randomized trial, on the contrary, inevitably leaves open the possibility
that there is some underlying connection independent of receiving the treatment
between outcome and one or more differentiating characteristics between those in
the experimental and control groups; and hence inevitably leaves open the
possibility that treatment and an observed better outcome were "merely
correlated" rather than directly causally connected. Here I scrutinize this
argument and point towards a more tenable and more modest position by recalling
some of the forgotten insights of the RCT pioneer, Austin Bradford Hill.
PMID- 21888927
TI - Importance of physicians in Chlamydia trachomatis control.
AB - INTRODUCTION: During 2002-2006, reported rates of Chlamydia (CT) increased 17.3%
nationally, with 43.6% of guideline eligible females screened in 2006. Annual
costs associated with CT exceed $1.88 billion. We sought to determine the
importance of private medical doctors (PMDs) and hospitals as screening venues in
Illinois, USA and if this varied by county Rural-Urban Continuum Code (RUCC).
METHODS: A retrospective analysis of all CT cases reported in Illinois during
2002-2006. Counties were stratified by RUCC and eighteen provider types were
condensed into nine venues. Venue positivity rates were derived from laboratory
data. RESULTS: PMDs and hospitals reported 247,725 CT cases (33.1% and 25.4%,
respectively). Sample positivity rates were 6.2% and 6.1%, comparable to 5.7% for
family planning clinics (FPC). Distribution of cases within these venues (and STD
clinics) by RUCC was highly significant (p<0.001). DISCUSSION: Even though
screening guideline compliance is low, PMDs identify the majority of cases in
Illinois and are consistently important screening venues at all levels of
urbanization. As PMDs (and/or hospitals) exist in every Illinois county, it may
be more efficient to augment screening rates at these venues rather than create
new venues of other types which may be cost-ineffective in rural or low
prevalence areas.
PMID- 21888928
TI - Fatal hemophagocytic syndrome in a patient with a previously well-controlled
asymptomatic HIV infection after EBV reactivation.
AB - We present a rare case of hemophagocytic lymphohistiocytosis (HLH) in a 70 year
old male patient with previously well-controlled HIV infection. We could confirm
HLH in different organs post mortem. Since the diagnosis of HLH was delayed, the
patient died despite initiation of chemotherapy. As cause for HLH, an EBV
reactivation could be confirmed. In HIV infection, HLH may be the first HIV
manifestation, often triggered by an opportunistic infection or immune
reconstitution syndrome, but it is uncommon in the state of a well-controlled or
aviremic HIV infection.
PMID- 21888929
TI - Sustained attention in mice: expanding the translational utility of the SAT by
incorporating the Michigan Controlled Access Response Port (MICARP).
AB - Advances in mouse genetic technology have spurred increasing interest in the
development of cognitive tasks for mice. Here, we describe and discuss the
modifications necessary to adapt a task for the assessment of sustained attention
performance for use in mice, including for taxing the top-down control of such
performance. The validity of the Sustained Attention Task (SAT), including the
distractor version (dSAT), has previously been demonstrated in rats and humans.
This task requires moveable or retractable operanda; insertion of operanda into
the operant chambers cues animals to respond to a prior signal or non-signal
event, reporting either a hit or a miss, or a correct rejection or false alarm,
respectively. Retractable levers did not support sufficiently high and stable
levels of performance in mice. Given the widespread use of static nose-poke
devices for testing operant performance in mice, we therefore designed and
fabricated a retractable nose-poke device. As this device extends into chambers,
a hole for nose-poking is slowly opened and closed again as the device retracts
(termed the "Michigan Controlled Access Response Port", MICARP). Results describe
the effects of variation of signal duration and event rate, trial outcome and
trial type probability, effects of mice deprivation levels, and the reliability
of SAT and dSAT performance. Mice perform the SAT and dSAT at levels comparable
to those observed in rats. This task will be of assistance in expanding the
translational usefulness of the SAT and dSAT.
PMID- 21888930
TI - Differential gene expression and immune localization of the orexin system in the
major salivary glands of pigs.
AB - The aim of the present work was to characterize the expression and cell type
distribution of the orexinic system in the major swine salivary glands by RT-PCR
and immunohistochemistry techniques. Tissue samples of the mandibular (MSG), sub
lingual, and parotid glands were obtained from six pigs. Marked differences in
the expression of the orexinergic system were observed among the salivary glands.
Prepro-orexin (PPOX) mRNA abundance was approximately 15-fold higher (P<0.05) in
MSG than in the others salivary glands. The expression level of OX1R mRNA did not
differ among the salivary glands, while that for OX2R transcript was
undetectable. The hypothalamus, used as positive control tissues, showed the
highest expression level for each component of the orexinic system.
Immunoreactivity (IR) for both orexins A and B (OXA and OXB), and cognate
receptors (OX1R and OX2R) were identified only in the excretory striated ducts of
the MSG while acinar cells were not immunoreactive. Both sub-lingual and parotid
glands completely lacked IR for any component of the orexinergic system. In the
MSG, parasympathetic neurons and axons of local salivary gland ganglia were IR to
both OXA and OXB and also to their receptors.
PMID- 21888931
TI - Cross talk between smooth muscle cells and monocytes/activated monocytes via
CX3CL1/CX3CR1 axis augments expression of pro-atherogenic molecules.
AB - OBJECTIVE: In atherosclerotic lesions, fractalkine (CX3CL1) and its receptor
(CX3CR1) expressed by smooth muscle cells (SMC) and monocytes/macrophages,
mediate the heterotypic anchorage and chemotaxis of these cells. We questioned
whether, during the close interaction of monocytes with SMC, the CX3CL1/CX3CR1
pair modulates the expression of pro-atherogenic molecules in these cells.
METHODS AND RESULTS: SMC were co-cultured with monocytes or LPS-activated
monocytes (18h) and then the cells were separated and individually investigated
for the gene and protein expression of TNFalpha, IL-1beta, IL-6, CX3CR1 and
metalloproteinases (MMP-2, MMP-9). We found that SMC-monocyte interaction
induced, in each cell type, an increased mRNA and protein expression of TNFalpha,
IL-1beta, IL-6, CX3CR1, MMP-2 and MMP-9. Blocking the binding of fractalkine to
CX3CR1 (by pre-incubation of monocytes with anti-CX3CR1 or by CX3CR1 siRNA
transfection) before cell co-culture decreased the production of TNFalpha, CX3CR1
and MMP-9. Monocyte-SMC interaction induced the phosphorylation of p38MAPK and
activation of AP-1 transcription factor. Silencing the p65 (NF-kB subunit)
inhibited the IL-1beta and IL-6 and silencing c-jun inhibited the TNFalpha,
CX3CR1 and MMP-9 induced by SMC-monocyte interaction. CONCLUSIONS: The cross-talk
between SMC and monocytes augments the inflammatory response in both cell types
as revealed by the increased expression of TNFalpha, IL-1beta, IL-6, CX3CR1 and
MMPs. Up-regulation of TNFalpha, CX3CR1 and MMP-9 is further increased upon
interaction of SMC with activated monocytes and is dependent on
fractalkine/CXRCR1 pair. These data imply that the fractalkine/CX3RCR1 axis may
represent a therapeutic target to impede the inflammatory process associated with
atherosclerosis.
PMID- 21888932
TI - The AAA ATPase spastin links microtubule severing to membrane modelling.
AB - In 1999, mutations in the gene encoding the microtubule severing AAA ATPase
spastin were identified as a major cause of a genetic neurodegenerative condition
termed hereditary spastic paraplegia (HSP). This finding stimulated intense study
of the spastin protein and over the last decade, a combination of cell
biological, in vivo, in vitro and structural studies have provided important
mechanistic insights into the cellular functions of the protein, as well as
elucidating cell biological pathways that might be involved in axonal maintenance
and degeneration. Roles for spastin have emerged in shaping the endoplasmic
reticulum and the abscission stage of cytokinesis, in which spastin appears to
couple membrane modelling to microtubule regulation by severing.
PMID- 21888933
TI - Ultrasound and microbubble-assisted gene delivery in Achilles tendons: long
lasting gene expression and restoration of fibromodulin KO phenotype.
AB - The aim of this study is to deliver genes in Achilles tendons using ultrasound
and microbubbles. The rationale is to combine ultrasound-assisted delivery and
the stimulation of protein expression induced by US. We found that mice tendons
injected with 10 MUg of plasmid encoding luciferase gene in the presence of 5*105
BR14 microbubbles, exposed to US at 1 MHz, 200 kPa, 40% duty cycle for 10 min
were efficiently transfected without toxicity. The rate of luciferase expression
was 100-fold higher than that obtained when plasmid alone was injected.
Remarkably, the luciferase transgene was stably expressed for up to 108 days. DNA
extracted from these sonoporated tendons was efficient in transforming competent
E. coli bacteria, indicating that persistent intact pDNA was responsible for this
long lasting gene expression. We used this approach to restore expression of the
fibromodulin gene in fibromodulin KO mice. A significant fibromodulin expression
was detected by quantitative PCR one week post-injection. Interestingly,
ultrastructural analysis of these tendons revealed that collagen fibrils diameter
distribution and circularity were similar to that of wild type mice. Our results
suggest that this gene delivery method is promising for clinical applications
aimed at modulating healing or restoring a degenerative tendon while offering
great promise for gene therapy due its safety compared to viral methods.
PMID- 21888935
TI - Heart transplantation with donor-specific antibodies directed toward denatured
HLA-A*02:01: a case report.
AB - The development of solid-phase assays for antibody detection has aided in the
frequent detection of human leukocyte antigen (HLA) antibodies in
nonalloimmunized males. Some scientists have reported that these HLA antibodies
are produced to pathogens or allergens and the reactivity with HLA coated beads
is the result of cross-reactive epitopes. These antibodies may also be directed
toward cryptic epitopes exposed on the denatured beads. In this report, we
describe the case of a heart transplanted patient who exhibited anti-HLA-A*02:01
donor-specific antibodies detected with a bead-based assay (Luminex) and
undetected with the complement-dependent cytotoxicity (CDC) test. Posttransplant
monitoring, carried out with CDC and with Luminex on sera from this patient
collected at the 2nd, 4th, 8th, and 12th posttransplant weeks and at 1 year
confirmed the presence of anti-HLA-A*02:01 in all serum samples. Additional tests
carried out with denatured and intact HLA molecules using single antigen beads
demonstrated that the antibody was directed toward a cryptic epitope. One year
after transplantation the patient is doing well. No sign of antibody-mediated
rejection was observed throughout the follow-up. A comprehensive evaluation of
the anamnesis and of antibodies is critical to avoid needless exclusion of organ
donors.
PMID- 21888934
TI - Photoactivation switch from type II to type I reactions by electron-rich micelles
for improved photodynamic therapy of cancer cells under hypoxia.
AB - Photodynamic therapy (PDT) is an emerging clinical modality for the treatment of
a variety of diseases. Most photosensitizers are hydrophobic and poorly soluble
in water. Many new nanoplatforms have been successfully established to improve
the delivery efficiency of PS drugs. However, few reported studies have
investigated how the carrier microenvironment may affect the photophysical
properties of photosensitizer (PS) drugs and subsequently, their biological
efficacy in killing malignant cells. In this study, we describe the modulation of
type I and II photoactivation processes of the photosensitizer, 5,10,15,20
tetrakis(meso-hydroxyphenyl)porphyrin (mTHPP), by the micelle core environment.
Electron-rich poly(2-(diisopropylamino)ethyl methacrylate) (PDPA) micelles
increased photoactivations from type II to type I mechanisms, which significantly
increased the generation of O(2)(-) through the electron transfer pathway over
(1)O(2) production through energy transfer process. The PDPA micelles led to
enhanced phototoxicity over the electron-deficient poly(D,L-lactide) control in
multiple cancer cell lines under argon-saturated conditions. These data suggest
that micelle carriers may not only improve the bioavailability of photosensitizer
drugs, but also modulate photophysical properties for improved PDT efficacy.
PMID- 21888936
TI - Two meanings of reverse vaccinology and the empirical nature of vaccine science.
PMID- 21888937
TI - A novel high-throughput neutralization assay for supporting clinical evaluations
of human cytomegalovirus vaccines.
AB - Neutralizing antibodies are considered an important component of protective
immunity against congenital infection of human cytomegalovirus (HCMV), a
frequently cited cause of birth defects. An effective HCMV vaccine is desired to
induce potent neutralizing antibodies in seronegative females, so that the viral
transmission to fetus would be blocked if the women contracted HCMV infections
during their pregnancies. We describe a novel microneutralization assay to
measure antiviral activities against HCMV in serum samples. The assay is based on
detection of a dominant HCMV antigen expressed in cells, using near infrared dye
labeled immune reagents. Since the detection is independent of viral cytopathic
effects, this assay format has the appeal of a short turn-around time and a read
out that is not subject to operator experience and judgment, making it a
promising platform to support large scale clinical studies. In a serological
survey of a cohort of 200 healthy females, we showed that the neutralizing titers
measured in this assay are highly comparable to those from a neutralization assay
based on an enzyme-linked immunostaining method. Lastly, to demonstrate the
utility of this assay to support HCMV vaccine study, we presented the results of
neutralizing titers from a rhesus macaque vaccination study.
PMID- 21888938
TI - A novel alphavirus replicon-vectored vaccine delivered by adenovirus induces
sterile immunity against classical swine fever.
AB - Low efficacy of gene-based vaccines due to inefficient gene delivery and
expression has been major bottleneck of their applications. Efforts have been
made to improve the efficacy, such as gene gun and electroporation, but the
strategies are difficult to put into practical use. In this study, we developed
and evaluated an adenovirus-delivered, alphavirus replicon-vectored vaccine
(chimeric vector-based vaccine) expressing the E2 gene of classical swine fever
virus (CSFV) (rAdV-SFV-E2). Rabbits immunized with rAdV-SFV-E2 developed CSFV
specific antibodies as early as 9 days and as long as 189 days and completely
protected from challenge with C-strain. Pigs immunized with rAdV-SFV-E2 (n=5)
developed robust humoral and cell-mediated responses to CSFV and were completely
protected from subsequent lethal CSFV infection clinically and virologically. The
level of immunity and protection induced by rAdV-SFV-E2 was comparable to that
provided by the currently used live attenuated vaccine, C-strain. In contrast,
both the conventional alphavirus replicon-vectored vaccine pSFV1CS-E2 and
conventional adenovirus-vectored vaccine rAdV-E2 provided incomplete protection.
The chimeric vector-based vaccine represents the first gene-based vaccine that is
able to confer sterile immunity and complete protection against CSFV. The new
concept vaccination strategy may also be valuable in vaccine development against
other pathogens.
PMID- 21888939
TI - Behavioral change with influenza vaccination: factors influencing increased
uptake of the pandemic H1N1 versus seasonal influenza vaccine in health care
personnel.
AB - BACKGROUND: Many health care personnel (HCP) choose not to get vaccinated against
influenza despite recommendations to do so. The pH1N1 epidemic gave a unique
opportunity to evaluate the attitudes to influenza vaccination of a group of HCP
who routinely choose not to get vaccinated, but accepted the pH1N1 vaccine.
METHODS: HCP employed at a tertiary care hospital in Winnipeg, Canada who
received the pH1N1 vaccine were invited to participate in an online survey asking
about attitudes and experiences regarding seasonal and pH1N1 influenza and
vaccination. Those eligible included primarily nurses, other clinical staff, and
support staff, as few physicians work as employees. RESULTS: Of the 684
respondents (29% return rate), 504 reported routinely getting vaccinated (RV) for
seasonal influenza and 180 reported routinely not getting vaccinated (NRV). These
two groups had different attitude towards the two strains of influenza, with
markedly lower level of concern about seasonal influenza than pH1N1 for the NRV
group. The contrast was especially notable regarding the NRV's view of the
seriousness of the illness, their sense of exposure risk, and their confidence in
the vaccine effectiveness (for all, seasonal50%) in particles and an antigen release study indicated particle stability up
to 50 days at 8 degrees C. Spleen and head kidney were analyzed for pro
inflammatory markers (TNF-alpha, IL-1beta, IL-8, C3a) and T cell cytokines,
effector molecules and transcription factors (IFN-gamma, T-bet, GATA-3, granzyme
A, IL-10, Foxp3) at mRNA transcription levels 2, 4 and 8 days post i.p.
immunization. NPs alone were able to moderately up-regulate pro-inflammatory
immune responses. Addition of immunogenic cargo, either an antigen or beta-glucan
generally increased the gene expression of pro-inflammatory markers, while
administering both resulted in the highest gene expression. These findings were
also reflected by concurrently increased levels of IL-10. Comparing the treatment
groups injected with antigen and beta-glucan co-administered either in NPs or FCA
demonstrated that the magnitude of the acute pro-inflammatory responses was equal
between the treatments or highest in the NP injected group. Although elevated
expression of granzyme A in the NP injected groups (carrying antigen and/or beta
glucan) was observed, PLGA NPs were unable to induce T cell differentiation on
mRNA gene expression levels, as increased levels of the indicating cytokines and
transcriptions factors failed to occur. In conclusion, this study demonstrates
that PLGA NPs have potential as an adjuvant in salmon vaccines as they enhance
the early pro-inflammatory responses to immunization.
PMID- 21888941
TI - The anti-oxidant and anti-apoptotic effects of nebivolol and zofenopril in a
model of cerebral ischemia/reperfusion in rats.
AB - The aim of this experiment was to investigate whether nebivolol and zofenopril
have protective effects against oxidative damage and apoptosis induced by
cerebral ischemia/reperfusion (I/R). There were seven groups of rats, with each
containing eight rats. The groups were: the control group, I/R group, I/R plus
zofenopril, I/R plus nebivolol, I/R plus nebivolol and zofenopril, zofenopril
only and nebivolol only. Cerebral I/R was induced by clamping the bilateral
common carotid artery and through hypotension. The rats were sacrificed 1h after
ischemia, and histopathological and biochemical analyses were carried out on
their brains. The total antioxidant capacity was evaluated by using an automated
and colorimetric measurement method developed by Erel. I/R produced a significant
increase in the levels of total oxidant status and malondialdehyde levels, the
number of caspase-3 immunopositive cells and activities of prolidase and
paraoxonase in brain when compared with the control group (p<0.05). A significant
decrease in brain total antioxidant capacity and nitric oxide levels were found
in I/R group when compared with the control group (p<0.05). Both nebivolol and
zofenopril treatment prevented decreasing of the total antioxidant capacity and
nitric oxide levels, produced by I/R in the brain (p<0.05). Both nebivolol and
zofenopril treatment prevented the total oxidant status, malondialdehyde levels,
activities of paraoxonase and prolidase from increasing in brains of rats exposed
to I/R (p<0.05). In conclusion, both nebivolol and zofenopril protected rats from
ischemia-induced brain injury. The protection may be due to the indirect
prevention of oxidative stress and apoptosis.
PMID- 21888942
TI - No genetic association between SLC7A10 and Japanese patients with schizophrenia.
AB - Disrupted glutamatergic neurotransmission may be a pathophysiological feature in
the brains from patients with schizophrenia, and glutamatergic amino acids
including D-serine have been found to be involved in pathophysiology. Endogenous
and exogenous D-serine have shown potential as biological markers for the
pathophysiology of schizophrenia and especially as a therapeutic strategy in
treatment-resistant schizophrenia (TRS). This is the first study investigating
whether SLC7A10, a d-serine transporter gene, is associated with schizophrenia in
Japanese patients. We investigated the association between schizophrenia in
Japanese patients with SLC7A10 using six tag single nucleotide polymorphisms
(SNPs). Results failed to show any association between Japanese schizophrenia and
each individual SNP or with two-, three-, or four-window haplotype analyses. We
also investigated whether SLC7A10 contributes to TRS in Japanese participants.
Results showed no association. In conclusion, SLC7A10 had no apparent degree of
association with schizophrenia as a candidate susceptibility gene in the disease
per se.
PMID- 21888943
TI - The altered cortical connectivity during spatial search for facial expressions in
major depressive disorder.
AB - To characterize the altered directed connectivity within a distributed cortical
network, as is associated with the impaired attention modulation involved in the
manifestation of mood disorder in depression, short-window partial directed
coherence (PDC) combining with the event-related brain potentials (ERPs) was
applied in this study. ERPs were recorded from 13 normal subjects and 12
depressed patients during visual search for facial expressions. The evoked N2
component of ERPs by responding to all neutral faces (F(1,22)=5.51, P<0.05) and
the positive face was reduced in the depressed patients as compared to the normal
subjects (F(1,22)=5.71, P<0.05), while the evoked N2 component by detecting the
negative face showed no significant between-group effect (F(1,22)=2.10, P=0.16).
The reduced N2 amplitude reflected deficits in effortful attentional modulation
in depression. Obtained PDC values within the N2 time-window (150-300 ms post
stimulus) showed weaker intra-frontal and intra-central directed interactions and
enhanced occipital information output when responding to all neutral faces in
depression relative to those in the normal group. Few decreased intra-frontal
directed interactions were observed when detecting the emotional face in
depression. The altered cortical directed connectivity contributed to the
impairment occurring in the effortful attention modulation in depression. Our
findings supported that the impaired attention modulation processing in
depression was associated with the altered cortical connectivity.
PMID- 21888944
TI - Structural analysis of nuclear receptors: from isolated domains to integral
proteins.
AB - Nuclear receptors (NRs) are ligand dependent transcription factors that regulate
gene expression. A number of in depth structure-function relationship studies
have been performed, in particular with drug design perspectives. Recent
structural results concerning integral receptors in diverse functional states,
obtained using a combination of different methods, now allow a better
understanding of the mechanisms involved in molecular regulation. The structural
data highlight the importance of DNA sequences for binding selectivity and the
role of promoter response elements in the spatial organization of the protein
domains into functional complexes. The solution structures of several heterodimer
complexes reveal how the DNA directs the positioning of coactivators. In the case
of PPARgamma-RXRalpha the comparison with the crystal structure reveals two
different conformational states that illustrate the flexibility of the receptors.
The results shed light on the dynamics of the molecular recognition process.
PMID- 21888945
TI - Peroxisome proliferator-activated receptor activity is involved in the
osteoblastic differentiation regulated by bone morphogenetic proteins and tumor
necrosis factor-alpha.
AB - Recent studies have suggested possible adverse effects of thiazolidinediones on
bone metabolism. However, the detailed mechanism by which the activity of PPAR
affects bone formation has not been elucidated. Impaired osteoblastic function
due to cytokines is critical for the progression of inflammatory bone diseases.
In the present study, we investigated the cellular mechanism by which PPAR
actions interact with osteoblast differentiation regulated by BMP and TNF-alpha
using mouse myoblastic C2C12 cells. BMP-2 and -4 potently induced the expression
of various bone differentiation markers including Runx2, osteocalcin, type-1
collagen and alkaline phosphatase (ALP) in C2C12 cells. When administered in
combination with a PPARalpha agonist (fenofibric acid) but not with a PPARgamma
agonist (pioglitazone), BMP-4 enhanced osteoblast differentiation through the
activity of PPARalpha. The osteoblastic changes induced by BMP-4 were readily
suppressed by treatment with TNF-alpha. Interestingly, the activities of
PPARalpha and PPARgamma agonists reversed the suppression by TNF-alpha of
osteoblast differentiation induced by BMP-4. Furthermore, TNF-alpha-induced
phosphorylation of MAPKs, NFkappaB, IkappaB and Stat pathways was inhibited in
the presence of PPARalpha and PPARgamma agonists with reducing TNF-alpha receptor
expression. In view of the finding that inhibition of SAPK/JNK, Stat and NFkappaB
pathways reversed the TNF-alpha suppression of osteoblast differentiation, we
conclude that these cascades are functionally involved in the actions of PPARs
that antagonize TNF-alpha-induced suppression of osteoblast differentiation. It
was further discovered that the PPARalpha agonist enhanced BMP-4-induced
Smad1/5/8 signaling through downregulation of inhibitory Smad6/7 expression,
whereas the PPARgamma agonist impaired this activity by suppressing BMPRII
expression. On the other hand, BMPs increased the expression levels of PPARalpha
and PPARgamma in the process of osteoblast differentiation. Thus, PPARalpha
actions promote BMP-induced osteoblast differentiation, while both activities of
PPARalpha and PPARgamma suppress TNF-alpha actions. Collectively, our present
data establishes that PPAR activities are functionally involved in modulating the
interaction between the BMP system and TNF-alpha receptor signaling that is
crucial for bone metabolism.
PMID- 21888946
TI - Low barometric pressure aggravates neuropathic pain in guinea pigs.
AB - Several clinical studies have demonstrated a consistent relationship between
changes in meteorological factors, particularly barometric pressure, and pain
intensity in subjects with chronic pain. We have previously demonstrated that
exposure to artificially low barometric pressure (LP) intensifies pain-related
behaviors in rats with neuropathic pain. In the present study, guinea pigs with
unilateral L5 spinal nerve ligation (SNL) were placed in a pressure-controlled
chamber and subjected to LP of 10 or 27hPa below the ambient pressure. The SNL
surgery led to increased hindpaw withdrawal frequencies to 34-, 59-, and 239-mN
von Frey filaments (VFFs). When the SNL animals were subjected to both LP
exposures consecutively, the hindpaw withdrawal frequencies further increased;
the effect was most significant when the animals were exposed to LP 27hPa below
ambient pressure. In contrast, no change was seen in a group of sham-operated
control animals. These results indicate that fluctuations in LP within the range
of natural weather patterns can potentiate neuropathic pain in guinea pigs.
PMID- 21888947
TI - An event-related potential study on cross-modal conversion of Chinese characters.
AB - In the current study, we explored the effects of ERPs (event-related potentials),
related to the cross-modal transfer from visual input to phonological retrieval.
Using Chinese single-character words, participants were asked to make
orthographic (intra-modal) and phonological (cross-modal) responses to visually
presented words. By comparing the cross-modal and intra-modal tasks, we found
that both tasks evoke similar activity in the early stage of lexical processing,
showing the same pattern of N2 effect (a negative component peaking around 220
ms) and P2 effect (a positive component peaking around 270 ms). However, the
effect of the task was significant in the 300-700 ms time window, consisting of a
frontal-based N400 effect and a parietal based late positive component (LPC)
effect. These findings suggest that the frontal-based N400 is associated with
orthography-to-phonology mapping in Chinese, and the LPC reflects greater
requirement of maintaining retrieved information in working memory for the cross
modal processing.
PMID- 21888948
TI - Neurocognitive effects of first- and second-generation antipsychotic drugs in
early-stage schizophrenia: a naturalistic 12-month follow-up study.
AB - The study aimed to assess the cognitive effects of first- and second-generation
antipsychotics on neurocognition under naturalistic treatment conditions. In a 12
month, open-label, multicenter study, 698 patients with early-stage schizophrenia
(duration of illness <=5 years) were prescribed chlorpromazine, sulpiride,
clozapine, risperidone, olanzapine, quetiapine, or aripiprazole monotherapy. A
neuropsychological battery including tests of attention, processing speed,
learning/memory, and executive functioning was administered at baseline, 6- and
12-months. The primary outcome was change in a cognitive composite score after 12
months of treatment. At 12 months, treatment resulted in mild to moderate
neurocognitive improvements of z=0.32 for chlorpromazine, 0.33 for sulpiride,
0.43 for clozapine, 0.51 for risperidone, 0.69 for olanzapine, 0.64 for
quetiapine and 0.46 for aripiprazole. However, the olanzapine and quetiapine
groups demonstrated greater improvement in the composite score and processing
speed than did the chlorpromazine and sulpiride groups. Both first- and second
generation antipsychotics may improve cognitive function in patients with early
stage schizophrenia. Given that some neurocognitive improvement is attributable
to a practice effect, any improvement is likely to be in the range of a small
effect size.
PMID- 21888949
TI - Cocaine must enter the brain to evoke unconditioned dopamine release within the
nucleus accumbens shell.
AB - In addition to blocking dopamine (DA) uptake, cocaine also causes an
unconditioned increase in DA release. In drug naive rats, this effect is most
robust within the nucleus accumbens (NAc) shell. Recent studies have shown that,
in rats trained to self-administer cocaine, cocaine may act in the periphery to
enhance mesolimbic DA release. Further, these studies have suggested that
peripheral cocaine action may also enhance unconditioned DA release. Here, we
test if it is necessary for cocaine to enter the brain to evoke unconditioned
increases in DA release within the NAc shell. Administration of a cocaine
analogue that crosses the blood brain barrier (cocaine HCl) enhances electrically
evoked DA release and the number of cocaine-evoked phasic DA release events
(i.e., DA transients) within the NAc shell. However, administration of a cocaine
analogue that does not cross the blood brain barrier (cocaine MI) does not alter
either measure. We therefore conclude that cocaine must act within the central
nervous system to evoke unconditioned DA release within the NAc shell.
PMID- 21888950
TI - Chronic progesterone treatment of male rats with unilateral 6-hydroxydopamine
lesion of the dorsal striatum exacerbates [corrected] parkinsonian symptoms.
AB - Progesterone (PROG) shows neuroprotective effects in numerous lesion models,
including a mouse model of Parkinson's disease (PD) induced by 1-methyl-4-phenyl
1,2,3,6-tetrahydropyridine (MPTP). However, the possible beneficial effects of
PROG on the behavioral and neurochemical impairments incurred in the
hemiparkinsonian 6-hydroxydopamine (6-OHDA) model have not been investigated.
Vehicle or PROG (4 mg/kg or 8 mg/kg) was daily applied over 13 days after
unilateral injection of 6-OHDA into the dorsal striatum of male rats. Turning
behavior, foot slips on a horizontal grid, and forelimb use during rearing in a
cylinder were observed on days 4, 5, 9, 10, 13, and 14 postlesion, and then the
brain samples were analyzed by HPLC-EC. Chronic 8 mg/kg of PROG administration
increased the DOPAC/dopamine (DA) ratio in the lesioned striatum, ipsiversive
turnings, and the number of hind limb slips and decreased the symmetrical use of
forelimbs. Thus, contrary to hypothesis, the chronic treatment with PROG
exasperated rather than alleviated the motor impairments in the hemiparkinsonian
rats. Because previous studies with the MPTP model had shown protective effects
when PROG treatment was administrated before the lesion, our results do not rule
out such potential neuroprotective action with prelesion PROG treatment. However,
our results raise the question of possible negative interactions between PROG and
parkinsonian symptoms in males.
PMID- 21888952
TI - Adolf Hopf's 1954 myeloarchitectonic parcellation of the human temporal lobe: a
review and assessment.
AB - In this review, Adolph Hopf's [21] myeloarchitectonic parcellation of the left
hemisphere human temporal lobe is examined in light of current functional
(neuroimaging) data, and by comparison with classical and recently published
partial parcellations of the macaque temporal lobe. In order to effect these
comparisons, the components of Hopf's parcellation were mapped to the human
cortical PALS atlas using the Caret software, as were components of more recent
partial temporal lobe parcellations. Correspondences between functionally defined
structures, including the occipital and fusiform face areas and the
parahippocampal place area, with components of the Hopf parcellation were
determined by plotting the locations of activation foci published in neuroimaging
studies of face and scene recognition studies on the PALS atlas, and comparing
the location and extent of foci clusters with the topography of Hopf's
myeloarchitectonic areas. In addition, potential homologies between macaque
temporal lobe structures and Hopf's areas were established by mapping the
component areas of macaque temporal cortex to the Caret software F99 macaque
atlas, and comparing the topography of this mapping with the corresponding
topography of the Hopf parcellation in the PALS atlas mapping. Relative expansion
and contraction of temporal lobe structures over phylogeny are discussed in light
of these potential homologies.
PMID- 21888951
TI - Exogenous growth hormone attenuates cognitive deficits induced by intermittent
hypoxia in rats.
AB - Sleep disordered breathing (SDB), which is characterized by intermittent hypoxia
(IH) during sleep, causes substantial cardiovascular and neurocognitive
complications and has become a growing public health problem. SDB is associated
with suppression of growth hormone (GH) secretion, the latter being integrally
involved in the growth, development, and function of the CNS. Since GH treatment
is able to attenuate neurocognitive deficits in a hypoxic-ischemic stroke model,
GH, GH receptor (GHR) mRNA expression, and GH protein expression were assessed in
rat hippocampus after exposures to chronic sustained hypoxia (CH, 10% O(2)) or IH
(10% O(2) alternating with 21% O(2) every 90 s). In addition, the effect of GH
treatment (50 MUg/kg daily s.c. injection) on erythropoietin (EPO), vascular
endothelial growth factor (VEGF), heme oxygenase-1 (HO-1), and GLUT-1 mRNA
expression and neurobehavioral function was assessed. CH significantly increased
GH mRNA and protein expression, as well as insulin-like growth factor-1 (IGF-1).
In contrast, IH only induced a moderate increase in GH mRNA and a slight
elevation in GH protein at day 1, but no increases in IGF-1. CH, but not IH, up
regulated GHR mRNA in the hippocampus. IH induced marked neurocognitive deficits
compared with CH or room air (RA). Furthermore, exogenous GH administration
increased hippocampal mRNA expression of IGF-1, EPO, and VEGF, and not only
reduced IH-induced hippocampal injury, but also attenuated IH-induced cognitive
deficits. Thus, exogenous GH may provide a viable therapeutic intervention to
protect IH-vulnerable brain regions from SDB-associated neuronal loss and
associated neurocognitive dysfunction.
PMID- 21888953
TI - Permeability determination and pharmacokinetic study of nobiletin in rat plasma
and brain by validated high-performance liquid chromatography method.
AB - In the present study, we are reporting permeability and pharmacokinetics of
nobiletin in rat plasma and brain, using a validated reverse phase high
performance liquid chromatographic method. Protein precipitation method was used
for the extraction of nobiletin and coumarin (IS) from rat plasma and brain
tissue. The system was run in isocratic mode with mobile phase consisting of
potassium dihydrogen ortho-phosphate (pH 4.5; 0.04 mM) and acetonitrile in ratio
of 50:50, v/v. The total chromatographic run time was 9.0 min. The method was
proved to be accurate and precise at linearity range of 0.05-10 MUg/mL with a
correlation coefficient (r) of >= 0.994 in rat plasma and >= 0.995 in rat brain.
The intra- and inter-day precision and accuracy values are found to be within the
assay variability limits as per the FDA guidelines. Nobiletin was found stable in
the battery of stability studies viz., bench-top, auto-sampler, freeze/thaw
cycles and long term storage in a freezer at -70+/-10 degrees C. Maximum
concentrations of nobiletin in both plasma and brain were observed at 1h after
single oral dosing (50 mg/kg). The maximum concentration in plasma and brain were
1.78 and 4.20 MUg/mL, respectively. The AUC(0-t) in plasma and brain were 7.49
and 20.66 MUg.h/mL, respectively. The mean elimination half life (t(1/2) in
plasma and brain were 1.80 and 11.42 h, respectively. The Parallel Artificial
Membrane Permeability Assay (PAMPA) permeability of nobiletin was found to be
high at both pH 4.0 and 7.0.
PMID- 21888954
TI - In vitro metabolism in Sprague-Dawley rat liver microsomes of forsythoside A in
different compositions of Shuang-Huang-Lian.
AB - Shuang-Huang-Lian (SHL), a traditional Chinese formula containing Lonicerae
japonicae flos (LJF), Scutellariae radix (SR) and Forsythiae fructus (FF), is
commonly used to treat acute upper respiratory tract infection, acute bronchitis
and light pneumonia. Forsythoside A is one of the main active ingredients in
Forsythiae fructus, a key herb in SHL. In the present study, effects of different
compositions in SHL on the in vitro metabolism in Sprague-Dawley rat liver
microsomes of forsythoside A were investigated. The observations from Sprague
Dawley rat liver microsomes in the presence of beta-NADPH or UDPGA that
forsythoside A may be the substrates of CYP3A4, CYP2C9, CYP1A2, UGT1A6, UGT1A3,
UGT1A1 and UGT1A9; Chlorogenic acid may be the substrates of CYP3A4, CYP2C9,
CYP1A2, CYP2C19, UGT1A6, UGT1A3 and UGT1A1; Baicalin may be the substrates of
CYP3A4, CYP2C19, CYP1A2, UGT1A9, UGT1A1 and UGT1A3; Baicalein may be the
substrates of CYP3A4, CYP2E1 and UGT1A6. It was also found that the residue of
forsythoside A in SHL, FF+LJF and FF+SR was greatly increased compared with that
in FF in Sprague-Dawley rat liver microsomes in the presence of beta-NADPH or
UDPGA, which indicated that the metabolism of forsythoside A in SHL may be
influenced by chlorogenic acid in LJF acting on the CYP3A4, CYP2C9, CYP1A2,
UGT1A6, UGT1A3 and UGT1A1; baicalin in SR acting on the CYP3A4, CYP1A2, UGT1A9,
UGT1A1 and UGT1A3; baicalein acting on the CYP3A4 and UGT1A6 respectively.
PMID- 21888955
TI - Effects of Pinus massoniana bark extract on the adhesion and migration
capabilities of HeLa cells.
AB - Pinus massoniana Lamb is a Chinese red pine species used in traditional medicine
for the treatment of a variety of human health disorders. Recent studies have
shown that P. massoniana bark extract (PMBE) has an anti-proliferation effect on
cancer cells. However, it is not clear if PMBE affects cancer cell migration
and/or invasion. We tested the effect of PMBE, which has B-type procyanidin as
its main constituent, on the adhesion and migration capabilities of HeLa cells, a
human cervical cancer cell line, cultured in vitro. Our results showed that PMBE
has no significant effect on the adhesion capability of HeLa cells, but strongly
inhibits their migration. This finding suggests that PMBE could be a potential
therapeutic agent for metastatic cancer.
PMID- 21888957
TI - WITHDRAWN: Cytochrome P450 CYP3A in marsupials: Characterisation of the first
identified CYP3A subfamily member, isoform 3A70 from Eastern grey kangaroo
(Macropus giganteus).
AB - This article has been withdrawn at the request of the author(s) and/or editor.
The Publisher apologizes for any inconvenience this may cause. The full Elsevier
Policy on Article Withdrawal can be found at
http://www.elsevier.com/locate/withdrawalpolicy.
PMID- 21888956
TI - Mating increases male's interest in other females: a cognitive study in socially
monogamous prairie voles (Microtus ochrogaster).
AB - To determine whether socio-sexual interactions with females influence the male
prairie vole's cognitive processing, three groups of males were simultaneously
exposed to sensory stimuli of a control and a focal female then tested for their
behavioral and neuronal responsiveness to the female cues. From the control
female, all males received distal cues. From the focal female, the Unmated males
received distal cues, the Unmated-Contact males received all cues but did not
mate with her, and the Mated-Contact males received all cues and mated with her.
Males were tested for their attentiveness to enclosures holding each female and
for their memory of the females' previous location. Males' brains were then
examined to localize activated regions following exposure to the odor of familiar
versus unfamiliar focal females. The Mated-Contact males spent more time in the
cage of the control female attending to her enclosure than in the cage of the
focal female. Exposure to odors of unfamiliar focal females activated the
cingulate cortex of Unmated-Contact males. There was a negative correlation
between the level of neuronal activation in the retrosplenial cortex of males
that were exposed to the odors of a familiar focal female and their attentiveness
to the enclosure of the control female. The data suggest that the effect of socio
sexual interactions with a female on males' cognition depends on the type of
sensory signals males receive from females and how individual males perceive
those signals.
PMID- 21888958
TI - Recent trends in biomonitoring of bisphenol A, 4-t-octylphenol, and 4
nonylphenol.
AB - Bisphenol A (BPA), 4-t-octylphenol (4-t-OP), and 4-nonylphenol (4-NP) are man
made alkylphenolic environmental contaminants possessing controversial endocrine
disruption properties. Nowadays, an increased interest is raised for their
accurate determination in biological media in order to estimate the exposure to
these compounds and the associated health risk. The aim of this review is to
present the available analytical methodologies for biomonitoring these three EDCs
in human population. In non-occupational human exposure, they are detected in
human matrices in trace level concentrations, commonly lower than 1ng/mL. The use
of mass spectrometry based methods is particularly emphasized due to their well
known superiority over sensitivity, selectivity and precision, even in difficult
matrices, such as blood plasma and serum. Recent and most applicable sample
preparation techniques are thoroughly presented. The benefits of solid phase
extraction (SPE) and expected developments are demonstrated. Recent results from
exposure assessment and epidemiologic studies for BPA, 4-t-OP and 4-NP are
summarized and future trends are discussed.
PMID- 21888959
TI - Cognitive deficits induced by melamine in rats.
AB - Many studies reported that infants and animals were affected by food containing
melamine, and the renal pathology was the main manifestation in intoxicated case.
Our previous studies showed that melamine could impair hippocampal function and
inhibited differentiated PC12 cell proliferation in vitro. The present study
aimed to examine the effect on hippocampus and the possible mechanism induced by
melamine in vivo. To address the hypothesis that melamine would impair the
hippocampal function in vivo and then induce cognitive deficits, male Wistar rats
were used to establish an animal model and melamine administered at a dose of 300
mgkg/day for 4 weeks. Morris water maze (MWM) test was employed to evaluate the
learning and memory. The long term potentiation (LTP) from Schaffer collaterals
to CA1 region in the hippocampus was recorded. The result of MWM test showed that
there were significant deficits of learning and memory induced by melamine. LTP
test presented that field excitatory postsynaptic potentials (fEPSPs) slopes were
significantly lower in melamine group compared to that in control group. In
conclusion, melamine had a toxic influence on hippocampus, which induced the
learning and memory deficits. It suggested that the potential mechanism was
associated with impairments of synaptic plasticity.
PMID- 21888960
TI - Preliminary evaluation of a novel oral delivery system for rhPTH1-34: in vitro
and in vivo.
AB - rhPTH1-34 is clinically used for osteoporosis treatment. However, this peptide
drug has no oral bioavailability because of proteolysis and low membrane
permeability in gastrointestinal gut. This study explored the possibility of
absorption enhancement for rhPTH1-34 through the oral delivery of the
microemulsion. The microemulsion (85:15, oil/water) consisting of Labrasol,
Crodamol GTCC, Solutol HS 15, d-alpha-tocopheryl acetate (6:2:1:1, w/w) and
saline water was developed and characterized, including particle size,
morphology, drug loading efficiency and permeability, stability and
pharmacokinetics. The microemulsion showed high drug loading efficiency (83%) and
permeability, and significantly higher resistance to proteolysis in vitro study.
The relative oral bioavailability was 5.4% and 12.0% when delivered to gastric
and ileum. Besides, osteoporosis rats were induced and treated with oral rhPTH1
34 microemulsion (0.05 mg/kg), injection (0.01 mg/kg) and vehicle, respectively,
for 8 weeks. The proximal tibia bone mineral content and density in oral rats
(0.188 +/- 0.008 g, 0.283 +/- 0.014 g/cm(2)) was significantly increased compared
to the control rats (0.169 +/- 0.006 g, 0.266 +/- 0.011 g/cm(2)), reaching to the
sham rats. And the proximal tibia microstructure of oral rats was improved
greatly, approaching sham level too. These findings revealed that oral
microemulsion may represent an effective oral delivery system for rhPTH1-34.
PMID- 21888961
TI - Restoration of 3D vestibular sensation in rhesus monkeys using a multichannel
vestibular prosthesis.
AB - Profound bilateral loss of vestibular hair cell function can cause chronically
disabling loss of balance and inability to maintain stable vision during head and
body movements. We have previously shown that chinchillas rendered bilaterally
vestibular-deficient via intratympanic administration of the ototoxic antibiotic
gentamicin regain a more nearly normal 3-dimensional vestibulo-ocular reflex (3D
VOR) when head motion information sensed by a head-mounted multichannel
vestibular prosthesis (MVP) is encoded via rate-modulated pulsatile stimulation
of vestibular nerve branches. Despite significant improvement versus the unaided
condition, animals still exhibited some 3D VOR misalignment (i.e., the 3D axis of
eye movement responses did not precisely align with the axis of head rotation),
presumably due to current spread between a given ampullary nerve's stimulating
electrode(s) and afferent fibers in non-targeted branches of the vestibular
nerve. Assuming that effects of current spread depend on relative orientation and
separation between nerve branches, anatomic differences between chinchilla and
human labyrinths may limit the extent to which results in chinchillas accurately
predict MVP performance in humans. In this report, we describe the MVP-evoked 3D
VOR measured in alert rhesus monkeys, which have labyrinths that are larger than
chinchillas and temporal bone anatomy more similar to humans. Electrodes were
implanted in five monkeys treated with intratympanic gentamicin to bilaterally
ablate vestibular hair cell mechanosensitivity. Eye movements mediated by the 3D
VOR were recorded during passive sinusoidal (0.2-5 Hz, peak 50 degrees /s) and
acceleration-step (1000 degrees /s(2) to 150 degrees /s) whole-body rotations in
darkness about each semicircular canal axis. During constant 100 pulse/s
stimulation (i.e., MVP powered ON but set to stimulate each ampullary nerve at a
constant mean baseline rate not modulated by head motion), 3D VOR responses to
head rotation exhibited profoundly low gain [(mean eye velocity amplitude)/(mean
head velocity amplitude) < 0.1] and large misalignment between ideal and actual
eye movements. In contrast, motion-modulated sinusoidal MVP stimuli elicited a 3D
VOR with gain 0.4-0.7 and axis misalignment of 21-38 degrees , and responses to
high-acceleration transient head rotations exhibited gain and asymmetry closer to
those of unilaterally gentamicin-treated animals (i.e., with one intact
labyrinth) than to bilaterally gentamicin-treated animals without MVP
stimulation. In comparison to responses observed under similar conditions in
chinchillas, acute responses to MVP stimulation in rhesus macaque monkeys were
slightly better aligned to the desired rotation axis. Responses during combined
rotation and prosthetic stimulation were greater than when either stimulus was
presented alone, suggesting that the central nervous system uses MVP input in the
context of multisensory integration. Considering the similarity in temporal bone
anatomy and VOR performance between rhesus monkeys and humans, these observations
suggest that an MVP will likely restore a useful level of vestibular sensation
and gaze stabilization in humans.
PMID- 21888963
TI - Rice functional genomics research: progress and implications for crop genetic
improvement.
AB - Rice is a staple food crop and has become a reference of monocot plant for
functional genomic research. With the availability of high quality rice genome
sequence, there has been rapid accumulation of functional genomic resources,
including: large mutant libraries by T-DNA insertion, transposon tagging, and
chemical mutagenesis; global expression profiles of the genes in the entire life
cycle of rice growth and development; full-length cDNAs for both indica and
japonica rice; sequences from resequencing large numbers of diverse germplasm
accessions. Such resource development has greatly accelerated gene cloning. By
the end of 2010, over 600 genes had been cloned using various methods. Many of
the genes control agriculturally useful traits such as yield, grain quality,
resistances to biotic and abiotic stresses, and nutrient-use efficiency, thus
have potential utility in crop genetic improvement. This review was aimed to
provide a comprehensive summary of such progress. We also presented our
perspective for future studies.
PMID- 21888962
TI - Plant adaptation to dynamically changing environment: the shade avoidance
response.
AB - The success of competitive interactions between plants determines the chance of
survival of individuals and eventually of whole plant species. Shade-tolerant
plants have adapted their photosynthesis to function optimally under low-light
conditions. These plants are therefore capable of long-term survival under a
canopy shade. In contrast, shade-avoiding plants adapt their growth to perceive
maximum sunlight and therefore rapidly dominate gaps in a canopy. Daylight
contains roughly equal proportions of red and far-red light, but within
vegetation that ratio is lowered as a result of red absorption by photosynthetic
pigments. This light quality change is perceived through the phytochrome system
as an unambiguous signal of the proximity of neighbors resulting in a suite of
developmental responses (termed the shade avoidance response) that, when
successful, result in the overgrowth of those neighbors. Shoot elongation induced
by low red/far-red light may confer high relative fitness in natural dense
communities. However, since elongation is often achieved at the expense of leaf
and root growth, shade avoidance may lead to reduction in crop plant
productivity. Over the past decade, major progresses have been achieved in the
understanding of the molecular basis of shade avoidance. However, uncovering the
mechanisms underpinning plant response and adaptation to changes in the ratio of
red to far-red light is key to design new strategies to precise modulate shade
avoidance in time and space without impairing the overall crop ability to compete
for light.
PMID- 21888964
TI - Neuroimmunological response of beluga whales (Delphinapterus leucas) to
translocation and a novel social environment.
AB - This study assessed changes in phagocyte function and activation of the sympatho
adrenal medullary and hypothalamo-pituitary adrenal axes of beluga whales
(Delphinapterus leucas) in response to translocation and introduction to a novel
social environment. Transported belugas exhibited increases in epinephrine (E),
norepinephrine (NE), and cortisol levels in response to the translocation
process. In response to the introduction of the transported belugas, resident
belugas exhibited an increase in E and NE but not cortisol. Moreover, the
increase in E and NE shown by the transported belugas was significantly greater
than the increase exhibited by the resident belugas. Resident belugas exhibited a
concomitant decrease in neutrophil and monocyte phagocytosis associated with the
introduction of the transported belugas. In contrast, transported belugas
exhibited an attendant increase in phagocytosis and respiratory burst activity
immediately following transport. Differences in phagocyte response may derive
from differences in hormonal milieu, stressor modality and/or intensity, or
phagocyte priming. Investigating the complex interactions between types of
stressors, neuroendocrine response, and immunocompetence will lead to a better
understanding of the impacts of environmental challenges, including anthropogenic
perturbations, on the health of cetacean populations.
PMID- 21888965
TI - Imbalance between sympathetic and sensory innervation in peritoneal
endometriosis.
AB - To investigate possible mechanisms of pain pathophysiology in patients with
peritoneal endometriosis, a clinical study on sensory and sympathetic nerve fibre
sprouting in endometriosis was performed. Peritoneal lesions (n=40) and healthy
peritoneum (n=12) were immunostained and analysed with anti-protein gene product
9.5 (PGP 9.5), anti-substance P (SP) and anti-tyrosine hydroxylase (TH), specific
markers for intact nerve fibres, sensory nerve fibres and sympathetic nerve
fibres, respectively, to identify the ratio of sympathetic and sensory nerve
fibres. In addition, immune cell infiltrates in peritoneal endometriotic lesions
were analysed and the nerve growth factor (NGF) and interleukin (IL)-1beta
expression was correlate with the nerve fibre density. Peritoneal fluids from
patients with endometriosis (n=40) and without endometriosis (n=20) were used for
the in vitro neuronal growth assay. Cultured chicken dorsal root ganglia (DRG)
and sympathetic ganglia were stained with anti-growth associated protein 43 (anti
GAP 43), anti-SP and anti-TH. We could detect an increased sensory and decreased
sympathetic nerve fibres density in peritoneal lesions compared to healthy
peritoneum. Peritoneal fluids of patients with endometriosis compared to patients
without endometriosis induced an increased sprouting of sensory neurites from DRG
and decreased neurite outgrowth from sympathetic ganglia. In conclusion, this
study demonstrates an imbalance between sympathetic and sensory nerve fibres in
peritoneal endometriosis, as well as an altered modulation of peritoneal fluids
from patients with endometriosis on sympathetic and sensory innervation which
might directly be involved in the maintenance of inflammation and pain.
PMID- 21888966
TI - Estimation of the effective intercellular diffusion coefficient in cell
monolayers coupled by gap junctions.
AB - OBJECTIVE: A recently developed dye-based assay to study gap junction
permeability is analysed. The assay is based on electroporation of dye into a
large number of connexin 43 expressing cells, grown to confluency on electrically
conductive slides. The subsequent intercellular spread of dye to non
electroporated parts of the monolayer enables estimation of the intercellular
coupling. So far, the extent of dye spread has been analyzed in qualitative terms
only and not in a manner based directly on the physics of the underlying
diffusion process. METHODS: We apply a continuum approximation assuming that the
observed dye spread can be described by Fick's law of diffusion. Deduced from
Fick's law, new measures are presented which directly relate the observed spread
of dye to the diffusion coefficient. RESULTS: The theoretical framework enables
the estimation of an effective diffusion coefficient from Fick's law
independently of the specific indicator substance used in the assay. For Lucifer
Yellow, diffusion stops within few minutes after the electroporation. Therefore
only an order-of-magnitude estimate of the diffusion coefficient can be given for
this dye. CONCLUSION: In terms of the underlying diffusion coefficient, the
hitherto used measures give a relatively poor degree of quantification. In
contrast, the present methods may yield direct information on the effective
intercellular diffusion coefficient and hence provide additional and more precise
information as to the permeability modulating effect of various substances.
PMID- 21888967
TI - The encapsulation of beta-lapachone in 2-hydroxypropyl-beta-cyclodextrin
inclusion complex into liposomes: a physicochemical evaluation and molecular
modeling approach.
AB - The aim of this study was to encapsulate lapachone (beta-lap) or inclusion
complex (beta-lap:HPbeta-CD) in liposomes and to evaluate their physicochemical
characteristics. In addition, the investigation of the main aspects of the
interaction between beta-lap and 2-hydroxypropyl-beta-cyclodextrin (HPbeta-CD),
using both experimental and molecular modeling approaches was discussed.
Furthermore, the in vitro drug release kinetics was evaluated. First, a phase
solubility study of beta-lap in HPbeta-CD was performed and the beta-lap:HPbeta
CD was prepared by the freeze-drying technique. A 302-fold increase of solubility
was achieved for beta-lap in HPbeta-CD solution with a constant of association
K(1:1) of 961 M(-1) and a complexation efficiency of beta-lap of 0.1538. (1)H
NMR, TG, DSC, IR, Raman and SEM indicated a change in the molecular environment
of beta-lap in the inclusion complex. Molecular modeling confirms these results
suggesting that beta-lap was included in the cavity of HPbeta-CD, with an
intermolecular interaction energy of -23.67 kJ mol(-1). beta-lap:HPbeta-CD and
beta-lap-loaded liposomes presented encapsulation efficiencies of 93% and 97%,
respectively. The kinetic rate constants of 183.95+/-1.82 MUg/h and 216.25+/-2.34
MUg/h were calculated for beta-lap and beta-lap:HPbeta-CD-loaded liposomes,
respectively. In conclusion, molecular modeling elucidates the formation of the
inclusion complex, stabilized through hydrogen bonds, and the encapsulation of
beta-lap and beta-lap:HPbeta-CD into liposomes could provide an alternative means
leading eventually to its use in cancer research.
PMID- 21888968
TI - Virtual tissue engineering of the human atrium: modelling pharmacological actions
on atrial arrhythmogenesis.
AB - Computational models of human atrial cells, tissues and atria have been
developed. Cell models, for atrial wall, crista terminalis, appendage, Bachmann's
bundle and pectinate myocytes are characterised by action potentials, ionic
currents and action potential duration (APD) restitution. The principal effect of
the ion channel remodelling of persistent atrial fibrillation (AF), and a
mutation producing familial AF, was APD shortening at all rates. Electrical
alternans was abolished by the modelled action of Dronedarone. AF induced gap
junctional remodelling slows propagation velocity at all rates. Re-entrant spiral
waves in 2-D models are characterised by their frequency, wavelength, meander and
stability. For homogenous models of normal tissue, spiral waves self-terminate,
due to meander to inexcitable boundaries, and by dissipation of excitation. AF
electrical remodelling in these homogenous models led to persistence of spiral
waves, and AF fibrotic remodelling to their breakdown into fibrillatory activity.
An anatomical model of the atria was partially validated by the activation times
of normal sinus rhythm. The use of tissue geometry from clinical MRI, and tissue
anisotropy from ex vivo diffusion tensor magnetic resonance imaging is outlined.
In the homogenous model of normal atria, a single scroll breaks down onto spatio
temporal irregularity (electrical fibrillation) that is self-terminating; while
in the AF remodelled atria the fibrillatory activity is persistent. The
persistence of electrical AF can be dissected in the model in terms of ion
channel and intercellular coupling processes, that can be modified
pharmacologically; the effects of anatomy, that can be modified by ablation; and
the permanent effects of fibrosis, that need to be prevented.
PMID- 21888970
TI - Simulating kinetic parameters in transporter mediated permeability across Caco-2
cells. A case study of estrone-3-sulfate.
AB - Substances that compete for the same saturable intestinal transporters may when
dosed together lead to altered permeability and hence influence bioavailability.
The aim was to simulate kinetic parameters, i.e. K(m) and J(max), for transporter
mediated E(1)S permeability across Caco-2 cells by a combined experimental
modeling approach. 4 classes of transporters were suggested to be involved in the
permeability of E(1)S, i.e. apical influx (T(I)) and efflux (T(III)) as well as
basolateral efflux (T(II)) and influx (T(IV)). Efflux ratio of E(1)S was
determined to 6.8. E(1)S is suggested to have highest affinity to T(III). T(IV)
is however suggested to be rate limiting in exsorptive P(APP) due to lower J(max)
of T(IV), compared to T(III). Possible interactions between E(1)S and the
excipients erythrosine and Brij35 on these 4 classes of transporters were also
studied. From these studies it is suggested that erythrosine does interact with
E(1)S on apical efflux transporter T(III) by competitive inhibition. Furthermore
interaction between erythrosine and E(1)S is suggested on apical influx
transporter (T(I)). Brij35 does not seem to interact with E(1)S on apical
transporters. The present model seem to be a valuable tool to simulate kinetic
parameters for compounds being substrates to multiple transporters as well as to
estimate kinetic parameters for compounds interacting on the same transporters.
PMID- 21888969
TI - A mathematical modelling approach to assessing the reliability of biomarkers of
glutathione metabolism.
AB - One of the main pathways for the detoxification of reactive metabolites in the
liver involves glutathione conjugation. Metabolic profiling studies have shown
paradoxical responses in glutathione-related biochemical pathways. One of these
is the increase in 5-oxoproline and ophthalmic acid concentrations with increased
dosage of paracetamol. Experimental studies have thus far failed to resolve these
paradoxes and the robustness of how these proposed biomarkers correlate with
liver glutathione levels has been questioned. To better understand how these
biomarkers behave in the glutathione system a kinetic model of this pathway was
made. By using metabolic control analysis and by simulating biomarker levels
under a variety of conditions, we found that 5-oxoproline and ophthalmic acid
concentrations may not only depend on the glutathione but also on the methionine
status of the cell. We show that neither of the two potential biomarkers are
reliable on their own since they need additional information about the methionine
status of the system to relate them uniquely to intracellular glutathione
concentration. However, when both biomarkers are measured simultaneously a direct
inference of the glutathione concentration can be made, irrespective of the
methionine concentration in the system.
PMID- 21888971
TI - License to kill: Formulation requirements for optimal priming of CD8(+) CTL
responses with particulate vaccine delivery systems.
AB - Induction of CD8(+) T-cell responses is critical for the immunological control of
a variety of diseases upon vaccination. Modern subunit vaccines are based on
highly purified recombinant proteins. The high purity represents a major
advancement in terms of vaccine safety compared to previous vaccination
strategies with live attenuated or whole killed pathogens, but typically renders
vaccine antigens poorly immunogenic and insufficient in mobilizing protective
immunity. Adjuvants are therefore needed in vaccine formulations to enhance,
direct and maintain the immune response to vaccine antigens. However, a weakness
of many adjuvants is the lack of induction of CD8(+) T-cell responses against
protein antigens, which are required for protection against challenging and
difficult infectious diseases such as AIDS and for therapeutic cancer
vaccination. Within the last decade, adjuvant systems that can induce CD8(+) T
cell responses have been developed and the first clinical trials demonstrating
the clinical relevance of such formulations have been performed. This paper
reviews the current status of lipid- and polymer-based particulate antigen
delivery systems capable of stimulating CD8(+) T-cell immunity with special focus
on mechanisms of priming and pharmaceutical requirements for optimal activation
of cytotoxic T-lymphocytes that can kill virus-infected or abnormal (cancer)
cells.
PMID- 21888972
TI - Expert opinion: Responsive polymer nanoparticles in cancer therapy.
AB - Polymeric nanoparticles are emerging as an attractive treatment options for
cancer due to their favorable size distribution, drug carrying capacity, and
tunable properties. In particular, intelligent nanoparticles that respond to
biological cues are of interest because of their ability to provide controlled
release at a specific site. Tumor sites display abnormal pH profiles and
pathophysiology that can be exploited to provide localized release. In this
expert opinion, we discuss passive and active targeting of nanoparticles and
several classes of pH-responsive nanoparticles.
PMID- 21888973
TI - Advances in the understanding of the structure and function of ER-alpha36,a novel
variant of human estrogen receptor-alpha.
AB - Estrogen receptors (ERs) belong to the nuclear receptor superfamily, whose
members include ER-alpha66, ER-alpha36, ER-alpha46 and ER-beta. Each receptor
performs specific functions through binding with a specific ligand, such as
estrogen. Recently, ER-alpha36, a novel variant of human estrogen receptor-alpha
(ER-alpha), was identified and cloned. ER-alpha36 inhibits, in a dominant
negative manner, the transactivation of both the wild-type ER-alpha (ER-alpha66)
and ER-beta. As a predominantly membrane-based ER, ER-alpha36 mediates nongenomic
estrogen signaling and is involved in the resistance of breast cancer to
endocrine therapy, i.e., tamoxifen. This review summarizes recent studies on the
structure and function of ER-alpha36 and the relationship of ER-alpha36 with
cancer, with special emphasis on its function in the resistance of breast cancer
to endocrine therapy.
PMID- 21888974
TI - Purification, cDNA structure and biological significance of a single insulin-like
growth factor-binding domain protein (SIBD-1) identified in the hemocytes of the
spider Cupiennius salei.
AB - Cupiennius salei single insulin-like growth factor-binding domain protein (SIBD
1), which exhibits an IGFBP N-terminal domain-like profile, was identified in the
hemocytes of the spider C. salei. SIBD-1 was purified by RP-HPLC and the sequence
determined by a combination of Edman degradation and 5'-3'- RACE PCR. The peptide
(8676.08 Da) is composed of 78 amino acids, contains six intrachain disulphide
bridges and carries a modified Thr residue at position 2. SIBD-1 mRNA expression
was detected by quantitative real-time PCR mainly in hemocytes, but also in the
subesophageal nerve mass and muscle. After infection, the SIBD-1 content in the
hemocytes decreases and, simultaneously, the temporal SIBD-1 expression seems to
be down-regulated. Two further peptides, SIBD-2 and IGFBP-rP1, also exhibiting
IGFBP N-terminal domain variants with unknown functions, were identified on cDNA
level in spider hemocytes and venom glands. We conclude that SIBD-1 may play an
important role in the immune system of spiders.
PMID- 21888975
TI - The structural basis of the two-dimensional net pattern observed in the X-ray
diffraction pattern of avian keratin.
AB - Feather keratin has a composite structure with a filament-matrix texture, and
transmission electron microscopy studies of thin transverse sections of feather
rachis by Rogers and Filshie in the early 1960s showed that the filaments have a
strong tendency to form sheets. Potentially this could account for the unusual X
ray diffraction pattern noted by Bear and Rugo in the early 1950s, which was
interpreted by them as indicating a two-dimensional net structure. Although it is
50years since these major advances were made the possibility of extracting
information on the nature of the filament packing from the diffraction pattern
has never been explored. The present contribution shows how, when taken together
with current information on the nature of beta-sheets in feather keratin, certain
features of the X-ray diffraction pattern can now be used to determine the likely
arrangement of the filaments in the sheet.
PMID- 21888976
TI - Antigenic identification of virion structural proteins from infectious spleen and
kidney necrosis virus.
AB - Infectious spleen and kidney necrosis virus (ISKNV), belonging to the genus
Megalocytivirus in the family Iridoviridae, is one of the major agents causing
mortality and economic losses to the freshwater fish culture industry in Asian
countries. Currently, little information regarding the antigenic properties of
Megalocytivirus (especially ISKNV) is available. Our previous study using four
different workflows with systematic and comprehensive proteomic approaches led to
the identification of 38 ISKNV virion-associated proteins (J. Virol. 2869-2877,
2011). Thus, in this report, the antigenicity of 31 structural proteins from
ISKNV virion was investigated. A one-dimensional gel electrophoresis immunoblot
profile coupled with MALDI-TOF-TOF MS/MS was applied to identify six immunogenic
viral proteins, namely, ORFs major capsid protein (006L), 054L, 055L, 101L, 117L,
and 125L. Then, the antigenicity of 31 structural proteins was characterized by
Western blot by using pooled sera from mandarin fish that survived ISKNV
infection. Of the 31 viral proteins, 22 were recognized by the fish ISKNV
antiserum. Furthermore, this antiserum neutralizes MFF-1 cells ISKNV infection.
To our knowledge, this study is the first report on the immunogenicity of viral
proteins and characterization of the proteome of megalocytivirus infective
agents. Our findings are expected to promote the development of effective vaccine
candidates.
PMID- 21888977
TI - Dietary supplementation with Cynodon dactylon (L.) enhances innate immunity and
disease resistance of Indian major carp, Catla catla (Ham.).
AB - Indian major carp (Catla catla) was subjected to study the immunostimulatory
effects when the grass Cynodon dactylon(L) ethanolic extract administrated as
feed supplement. C. catla was fed with 0% (Control), 0.05% (group I), 0.5% (group
II) and 5% (group III) extract provided for 60 days. Blood samples were collected
at every 10 days of interval up to 60 days for analyzing the non-specific humoral
(lysozyme activity, antiprotease activity and haemolytic complement) and cellular
(production of reactive oxygen and nitrogen species, myeloperoxidase activity)
immune response study. The results indicate that C. dactylon ethanolic extract
administered as feed supplement significantly (P < 0.05) enhanced most of the non
specific immune parameters tested. Among the experimental diet groups,
significantly increased response of non-specific immunity was seen in group III
(5%). Disease resistant analysis against Aeromonas hydrophila was performed in
control group and plant extract treated fish for 7, 14, 21 and 28 days. Relative
percent survival rate (RPS) was observed in treated samples, which is directly
proportional to concentration of the extract. Additionally, electron microscopic
studies and gelatin zymography for Matrix Metalo Proteinase (MMPs) were examined
in spleen at 7th and 28th days of feeding. Administration of C. dactylon mixed
diet delayed the lymphocyte destruction with positive ultrastructural changes. An
induced stress (A. hydrophila infection) was observed by using MMPs expression,
which was reduced in the experimental diet groups than the control. All these
experimental results prove that C. dactylon ethanolic extract enhances the
immunity of Catla fish.
PMID- 21888978
TI - Alpha2-macroglobulin from an Atlantic shrimp: biochemical characterization, sub
cellular localization and gene expression upon fungal challenge.
AB - In this study, we report on the isolation and characterization of an alpha2
macroglobulin (alpha2M) from the plasma of the pink shrimp Farfantepenaeus
paulensis, its sub-cellular localization and transcriptional changes after
infection by fungi. The molecular mass of the alpha2M was estimated at 389 kDa by
gel filtration and 197 kDa by SDS-PAGE, under reducing conditions, suggesting
that alpha2M from F. paulensis consists of two identical sub-units, covalently
linked by disulphide bonds. The N-terminal amino acid sequence of the alpha2M
from F. paulensis was very similar to those of other penaeid shrimps, crayfish
and lobster (70-90% identity) and to a less extent with that of freshwater prawn
(40% identity). A monoclonal antibody raised against the Marsupenaeus japonicus
alpha2M made it possible to demonstrate that alpha2M of F. paulensis is stored in
the vesicles of the shrimp granular hemocytes (through immunogold assay).
Quantitative real-time PCR (qPCR) analysis showed that alpha2M mRNA transcripts
significantly increased 24 h after an experimental infection with the shrimp
pathogen Fusarium solani and it returned to the basal levels at 48 h post
injection. This is the first report on a alpha2M characterization in an Atlantic
penaeid species and its expression profile upon a fungal infection.
PMID- 21888979
TI - Distinct pathways of neural coupling for different basic emotions.
AB - Emotions are complex events recruiting distributed cortical and subcortical
cerebral structures, where the functional integration dynamics within the
involved neural circuits in relation to the nature of the different emotions are
still unknown. Using fMRI, we measured the neural responses elicited by films
representing basic emotions (fear, disgust, sadness, happiness). The amygdala and
the associative cortex were conjointly activated by all basic emotions.
Furthermore, distinct arrays of cortical and subcortical brain regions were
additionally activated by each emotion, with the exception of sadness. Such
findings informed the definition of three effective connectivity models, testing
for the functional integration of visual cortex and amygdala, as regions
processing all emotions, with domain-specific regions, namely: i) for fear, the
frontoparietal system involved in preparing adaptive motor responses; ii) for
disgust, the somatosensory system, reflecting protective responses against
contaminating stimuli; iii) for happiness: medial prefrontal and temporoparietal
cortices involved in understanding joyful interactions. Consistently with these
domain-specific models, the results of the effective connectivity analysis
indicate that the amygdala is involved in distinct functional integration effects
with cortical networks processing sensorimotor, somatosensory, or cognitive
aspects of basic emotions. The resulting effective connectivity networks may
serve to regulate motor and cognitive behavior based on the quality of the
induced emotional experience.
PMID- 21888980
TI - Componential Granger causality, and its application to identifying the source and
mechanisms of the top-down biased activation that controls attention to affective
vs sensory processing.
AB - We describe a new measure of Granger causality, componential Granger causality,
and show how it can be applied to the identification of the directionality of
influences between brain areas with functional neuroimaging data. Componential
Granger causality measures the effect of y on x, but allows interaction effects
between y and x to be measured. In addition, the terms in componential Granger
causality sum to 1, allowing causal effects to be directly compared between
systems. We show using componential Granger causality analysis applied to an fMRI
investigation that there is a top-down attentional effect from the anterior
dorsolateral prefrontal cortex to the orbitofrontal cortex when attention is paid
to the pleasantness of a taste, and that this effect depends on the activity in
the orbitofrontal cortex as shown by the interaction term. Correspondingly there
is a top-down attentional effect from the posterior dorsolateral prefrontal
cortex to the insular primary taste cortex when attention is paid to the
intensity of a taste, and this effect depends on the activity of the insular
primary taste cortex as shown by the interaction term. Componential Granger
causality thus not only can reveal the directionality of effects between areas
(and these can be bidirectional), but also allows the mechanisms to be understood
in terms of whether the causal influence of one system on another depends on the
state of the system being causally influenced. Componential Granger causality
measures the full effects of second order statistics by including variance and
covariance effects between each time series, thus allowing interaction effects to
be measured, and also provides a systematic framework within which to measure the
effects of cross, self, and noise contributions to causality. The findings reveal
some of the mechanisms involved in a biased activation theory of selective
attention.
PMID- 21888981
TI - Large scale brain activations predict reasoning profiles.
AB - Deduction is the ability to draw necessary conclusions from previous knowledge.
Here we propose a novel approach to understanding the neural basis of deduction,
which exploits fine-grained inter-participant variability in such tasks.
Participants solved deductive problems and were grouped by the behavioral
strategies employed, i.e., whether they were sensitive to the logical form of
syllogistic premises, whether the problems were solved correctly, and whether
heuristic strategies were employed. Differential profiles of neural activity can
predict membership of the first two of these groups. The predictive power of
activity profiles is distributed non-uniformly across the brain areas activated
by deduction. Activation in left ventro-lateral frontal (BA47) and lateral
occipital (BA19) cortices predicts whether logically valid solutions are sought.
Activation of left inferior lateral frontal (BA44/45) and superior medial frontal
(BA6/8) cortices predicts sensitivity to the logical structure of problems. No
specific pattern of activation was associated with the use of a non-logical
heuristic strategy. Not only do these findings corroborate the hypothesis that
left BA47, BA44/45 and BA6/8 are critical for making syllogistic deductions, but
they also imply that they have different functional roles as components of a
dedicated network. We propose that BA44/45 and BA6/8 are involved in the
extraction and representation of the formal structure of a problem, while BA47 is
involved in the selection and application of relevant inferential rules. Finally,
our findings suggest that deductive reasoning can be best described as a cascade
of cognitive processes requiring the concerted operation of several, functionally
distinct, brain areas.
PMID- 21888982
TI - Do distinct atypical cortical networks process biological motion information in
adults with Autism Spectrum Disorders?
AB - Whether people with Autism Spectrum Disorders (ASDs) have a specific deficit when
processing biological motion has been a topic of much debate. We used
psychophysical methods to determine individual behavioural thresholds in a point
light direction discrimination paradigm for a small but carefully matched groups
of adults (N=10 per group) with and without ASDs. These thresholds were used to
derive individual stimulus levels in an identical fMRI task, with the purpose of
equalising task performance across all participants whilst inside the scanner.
The results of this investigation show that despite comparable behavioural
performance both inside and outside the scanner, the group with ASDs shows a
different pattern of BOLD activation from the TD group in response to the same
stimulus levels. Furthermore, connectivity analysis suggests that the main
differences between the groups are that the TD group utilise a unitary network
with information passing from temporal to parietal regions, whilst the ASD group
utilise two distinct networks; one utilising motion sensitive areas and another
utilising form selective areas. Furthermore, a temporal-parietal link that is
present in the TD group is missing in the ASD group. We tentatively propose that
these differences may occur due to early dysfunctional connectivity in the brains
of people with ASDs, which to some extent is compensated for by rewiring in high
functioning adults.
PMID- 21888983
TI - Test-retest reliability of resting-state connectivity network characteristics
using fMRI and graph theoretical measures.
AB - Characterizing the brain connectome using neuroimaging data and measures derived
from graph theory emerged as a new approach that has been applied to brain
maturation, cognitive function and neuropsychiatric disorders. For a broad
application of this method especially for clinical populations and longitudinal
studies, the reliability of this approach and its robustness to confounding
factors need to be explored. Here we investigated test-retest reliability of
graph metrics of functional networks derived from functional magnetic resonance
imaging (fMRI) recorded in 33 healthy subjects during rest. We constructed
undirected networks based on the Anatomic-Automatic-Labeling (AAL) atlas template
and calculated several commonly used measures from the field of graph theory,
focusing on the influence of different strategies for confound correction. For
each subject, method and session we computed the following graph metrics:
clustering coefficient, characteristic path length, local and global efficiency,
assortativity, modularity, hierarchy and the small-worldness scalar. Reliability
of each graph metric was assessed using the intraclass correlation coefficient
(ICC). Overall ICCs ranged from low to high (0 to 0.763) depending on the method
and metric. Methodologically, the use of a broader frequency band (0.008-0.15 Hz)
yielded highest reliability indices (mean ICC=0.484), followed by the use of
global regression (mean ICC=0.399). In general, the second order metrics (small
worldness, hierarchy, assortativity) studied here, tended to be more robust than
first order metrics. In conclusion, our study provides methodological
recommendations which allow the computation of sufficiently robust markers of
network organization using graph metrics derived from fMRI data at rest.
PMID- 21888984
TI - hERG potency estimates based upon dose solution analysis: What have we learned?
AB - INTRODUCTION: Measurement of drug-induced inhibition of potassium current flow
through the hERG channel is used to determine potency at the channel, which is
used as an in vitro risk assessment for QTc interval prolongation in vivo. In the
hERG assay, test solutions of varying strength are prepared to construct a
concentration-response curve based upon the nominal drug concentration (NOM).
Dose-solution analysis (DSA) is an analytical approach to confirm the test
concentration achieved in an in vitro assay (Herron, Towers, & Templeton, 2004),
and can be included as a component of hERG channel study to confirm drug
concentration in the assay buffer to determine potency using the "actual" drug
level in solution (ACT). Thus, DSA could be helpful in confirming test article
concentrations. This study examined whether inclusion of DSA improved the
accuracy of potency estimates based upon the ACT compared to the NOM
concentration during hERG voltage clamp assays (non-GLP) for 99 diverse agents.
METHODS: We examined the correlation of hERG IC(50) derived from NOM with hERG
IC(50) derived from ACT, and analyzed potential mechanisms of deviation between
ACT and NOM potency values, including solubility, cLogP, PKa, and molecular
weights. RESULTS: Seventy-four (74) of 99 agents (73.7%) had NOM- and ACT-derived
IC(50) values within 3-fold, 87 of 99 (87.8%) had an IC(50) ratio within 10-fold,
and 12 (12.1%) had a >10-fold difference in their NOM IC(50) and ACT IC(50)
values. On average, these 12 compounds had less soluble, more lipophilic (high
cLogP values), and more basic characters (high pKa values). DISCUSSION: Our
investigation indicated that DSA did not alter hERG potency estimation for the
majority of compounds in this dataset, i.e., DSA confirmed the NOM concentration
within 3-fold. For poorly soluble agents or agents with high cLogP and pKa
values, however, DSA did not clarify or improve hERG potency estimates.
PMID- 21888985
TI - The 14-3-3 proteins in regulation of cellular metabolism.
AB - Thirty years ago, it was discovered that 14-3-3 proteins could activate enzymes
involved in amino acid metabolism. In the following decades, 14-3-3s have been
shown to be involved in many different signaling pathways that modulate cellular
and whole body energy and nutrient homeostasis. Large scale screening for
cellular binding partners of 14-3-3 has identified numerous proteins that
participate in regulation of metabolic pathways, although only a minority of
these targets have yet been subject to detailed studies. Because of the wide
distribution of potential 14-3-3 targets and the resurging interest in metabolic
pathway control in diseases like cancer, diabetes, obesity and cardiovascular
disease, we review the role of 14-3-3 proteins in the regulation of core and
specialized cellular metabolic functions. We cite illustrative examples of 14-3-3
action through their direct modulation of individual enzymes and through
regulation of master switches in cellular pathways, such as insulin signaling,
mTOR- and AMP dependent kinase signaling pathways, as well as regulation of
autophagy. We further illustrate the quantitative impact of 14-3-3 association on
signal response at the target protein level and we discuss implications of recent
findings showing 14-3-3 protein membrane binding of target proteins.
PMID- 21888986
TI - The biomarker is not the end.
AB - As drug discovery and translational scientists think about using stratification
with biomarkers to improve the chances of getting medicines to patients, several
areas of expertise need to be considered. These include analytical validation,
clinical validation, regulatory affairs and intellectual property. Getting the
right input from the right expert at the right time can make or break an effort
to bring a biomarker-based companion diagnostic successfully into clinical
practice.
PMID- 21888987
TI - Drug-diagnostic co-development: how to harness the value.
AB - Some years ago, we developed a value assessment process that was predicated on
certain assumptions about how valuation might be influenced by drug development
and marketing factors. Here, we discuss how our assumptions have held up
particularly in light of regulatory and reimbursement changes. In addition, we
assess how the relationship models derived from the value-assessment process have
been substantiated by reviewing some recent cases of testing and stratification.
PMID- 21888988
TI - Considerations for safety pharmacogenetics in clinical practice.
AB - The focus of treating an individual patient is the identification of the
individual's specific needs. The measurement of the patient's characteristics,
such as blood pressure or body temperature, and also the measurement of
biomarkers, such as cholesterol or hemoglobin A1C is part of the patient's health
assessment. The deeper the insights into the phenotypic and molecular
characteristics of the patient, the better we are positioned to treat a patient.
Increasingly, this assessment includes testing for certain pharmacologically
relevant genetic variations (pharmacogenetics). Evaluating how the patient's
genetic makeup combined with the patient's exposure to environmental influences
could impact disease and treatment decisions is becoming the cornerstone of
personalized medicine. However, we often use such assessments for finding the
most 'effective' treatment, but we might not always be as rigorous in our
assessment of potential safety risks. This is particularly apparent when looking
at how safety risks are communicated. Often this information is only available as
general, population-based statements and a small amount of information is
available to evaluate whether or not an individual patient is at risk. Although
pharmacogenetic tests that can help to assess whether an individual patient's
personal risk exist (safety pharmacogenetics), they are not always performed.
PMID- 21888989
TI - Integrating clinical research with the Healthcare Enterprise: from the RE-USE
project to the EHR4CR platform.
AB - BACKGROUND: There are different approaches for repurposing clinical data
collected in the Electronic Healthcare Record (EHR) for use in clinical research.
Semantic integration of "siloed" applications across domain boundaries is the
raison d'etre of the standards-based profiles developed by the Integrating the
Healthcare Enterprise (IHE) initiative - an initiative by healthcare
professionals and industry promoting the coordinated use of established standards
such as DICOM and HL7 to address specific clinical needs in support of optimal
patient care. In particular, the combination of two IHE profiles - the
integration profile "Retrieve Form for Data Capture" (RFD), and the IHE content
profile "Clinical Research Document" (CRD) - offers a straightforward approach to
repurposing EHR data by enabling the pre-population of the case report forms
(eCRF) used for clinical research data capture by Clinical Data Management
Systems (CDMS) with previously collected EHR data. OBJECTIVE: Implement an
alternative solution of the RFD-CRD integration profile centered around two
approaches: (i) Use of the EHR as the single-source data-entry and persistence
point in order to ensure that all the clinical data for a given patient could be
found in a single source irrespective of the data collection context, i.e.
patient care or clinical research; and (ii) Maximize the automatic pre-population
process through the use of a semantic interoperability services that identify
duplicate or semantically-equivalent eCRF/EHR data elements as they were
collected in the EHR context. METHODS: The RE-USE architecture and associated
profiles are focused on defining a set of scalable, standards-based, IHE
compliant profiles that can enable single-source data collection/entry and cross
system data reuse through semantic integration. Specifically, data reuse is
realized through the semantic mapping of data collection fields in electronic
Case Report Forms (eCRFs) to data elements previously defined as part of patient
care-centric templates in the EHR context. The approach was evaluated in the
context of a multi-center clinical trial conducted in a large, multi-disciplinary
hospital with an installed EHR. RESULTS: Data elements of seven eCRFs used in a
multi-center clinical trial were mapped to data elements of patient care-centric
templates in use in the EHR at the George Pompidou hospital. 13.4% of the data
elements of the eCRFs were found to be represented in EHR templates and were
therefore candidate for pre-population. During the execution phase of the
clinical study, the semantic mapping architecture enabled data persisted in the
EHR context as part of clinical care to be used to pre-populate eCRFS for use
without secondary data entry. To ensure that the pre-populated data is viable for
use in the clinical research context, all pre-populated eCRF data needs to be
first approved by a trial investigator prior to being persisted in a research
data store within a CDMS. CONCLUSION: Single-source data entry in the clinical
care context for use in the clinical research context - a process enabled through
the use of the EHR as single point of data entry, can - if demonstrated to be a
viable strategy - not only significantly reduce data collection efforts while
simultaneously increasing data collection accuracy secondary to elimination of
transcription or double-entry errors between the two contexts but also ensure
that all the clinical data for a given patient, irrespective of the data
collection context, are available in the EHR for decision support and treatment
planning. The RE-USE approach used mapping algorithms to identify semantic
coherence between clinical care and clinical research data elements and pre
populate eCRFs. The RE-USE project utilized SNOMED International v.3.5 as its
"pivot reference terminology" to support EHR-to-eCRF mapping, a decision that
likely enhanced the "recall" of the mapping algorithms. The RE-USE results
demonstrate the difficult challenges involved in semantic integration between the
clinical care and clinical research contexts.
PMID- 21888990
TI - Expansion of the modified Zelen's approach randomization and dynamic
randomization with partial block supplies at the centers to unequal allocation.
AB - Modified Zelen's approach is a randomization technique useful in multi-center
trials where balance in treatment assignments within a center is desired. It has
great balancing properties in a study with equal allocation to several treatment
arms. This technique can also be used in studies with unequal allocation, where
it would provide an allocation ratio close to the targeted one within centers as
well as across centers. However, the implementation of the modified Zelen's
approach for unequal allocation involves more than just imposing a constraint on
within-center imbalance in treatment assignments, as is the case with equal
allocation. Failure to account for this might provide a potential for accidental
bias and (even in a double-blind study) selection and evaluation bias. The naive
expansion of the modified Zelen's approach to unequal allocation can also lead to
a shift in the re-randomization distribution of the between-group difference in
treatment means. This paper will explain why and will offer an easy way to expand
the modified Zelen's approach to unequal allocation that allows one to avoid
these issues. The expansion to unequal allocation of another very useful in multi
center trials technique where partial blocks of supplies are sent to the centers
will be offered.
PMID- 21888991
TI - Genetic comparison among dolphin morbillivirus in the 1990-1992 and 2006-2008
Mediterranean outbreaks.
AB - In 1990, dolphin morbillivirus (DMV) killed thousands of striped dolphins in the
Mediterranean. Subsequently, the prevalence of the infection declined in this
species. In 2006-2008, the virus killed not only numerous striped dolphins but
also long-finned pilot whales. All partial sequences of the phosphoprotein and
nucleoprotein genes obtained thus far from different host species during the 2006
2008 outbreak show 100% identity, suggesting that a single virus was involved,
and these sequences are nearly identical to the 1990 Spanish strain. Here our
first objective was to determine the sequence identity between the morbillivirus
from the 2006-2008 outbreak and the 1990 Spanish strain by sequencing more
extensive genomic regions of strains from one pilot whale and one striped dolphin
stranded in 2007. The second objective was to investigate the relationship
between the 1990 and 2007 strains by constructing a phylogenetic tree based on
the phosphoprotein gene to compare several Cetacean morbilliviruses, and another
tree based on the nearly complete genomes of Mediterranean DMV. The third
objective was to identify the most variable regions in the DMV genomes. Results
showed that the two 2007 Spanish strains were 99.9% identical over 9050 bp and
should be considered the same virus, and that this virus is 99.3-99.4% similar to
the 1990 Spanish strain. The phylogenetic trees, together with the common
geographical area for the two outbreaks, suggest that the 2007 DMV strains
evolved from the 1990 DMV strain. Pilot whales do not seem to have been exposed
or infected during the 1990-1992 epidemic, since these populations appeared to be
immunologically naive in 2006-2008. Our results suggest that the virus may have
evolved in striped dolphin populations prior to the 2006-2008 outbreak, after
which it entered the long-finned pilot whale, perhaps aided by an alanine to
valine mutation in the N-terminal domain of the fusion protein.
PMID- 21888993
TI - Effects of dead space loading on neuro-muscular and neuro-ventilatory coupling of
the respiratory system during exercise in healthy adults: implications for
dyspnea and exercise tolerance.
AB - We examined the effects of dead space loading (DSL) on ventilation (VE), neural
respiratory drive (EMGdi%max, diaphragm EMG expressed as a % of maximal EMGdi),
contractile respiratory muscle effort (Pes,tidal%P(Imax), tidal esophageal
pressure swing expressed as a % of maximal inspiratory Pes) and exertional
dyspnea intensity ratings in 11 healthy adults with normal spirometry. Subjects
completed, in random order, symptom-limited incremental cycle exercise tests
under control (CTRL) and DSL (500 ml) conditions. Compared with CTRL, DSL
decreased exercise tolerance by 20-25%; increased exertional dyspnea intensity
ratings in direct proportion to concurrent increases in EMGdi%max,
Pes,tidal%P(Imax) and VE; and had little/no effect on the inter-relationships
between EMGdi%max, Pes,tidal%P(Imax) and VE during exercise. In conclusion, DSL
was associated with an earlier onset of intolerable dyspnea; however, neuro
muscular and neuro-ventilatory coupling of the respiratory system remained
relatively preserved during exercise in the presence of an increased external
dead space. Under these circumstances, DSL-induced increases in exertional
dyspnea intensity ratings reflected, at least in part, the awareness of increased
neural respiratory drive, contractile respiratory muscle effort and ventilatory
output.
PMID- 21888992
TI - Population structure of hyperinvasive serotype 12F, clonal complex 218
Streptococcus pneumoniae revealed by multilocus boxB sequence typing.
AB - At least four outbreaks of invasive disease caused by serotype 12F, clonal
complex 218 Streptococcus pneumoniae have occurred in the United States over the
past two decades. We studied the population structure of this clonal complex
using a sample of 203 outbreak and surveillance isolates that were collected over
22 years from 34 US states and eight other countries. Conventional multilocus
sequence typing identified five types and distinguished a single outbreak from
the others. To improve typing resolution, multilocus boxB sequence typing (MLBT)
was developed from 10 variable boxB minisatellite loci. MLBT identified 86 types
and distinguished between each of the four outbreaks. Diversity across boxB loci
tended to be positively correlated with repeat array size and, overall, best fit
the infinite alleles mutation model. Multilocus linkage disequilibrium was
strong, but pairwise disequilibrium decreased with the physical distance between
loci and was strongest in one large region of the chromosome, indicating recent
recombinations. Two major clusters were identified in the sample, and they were
differentiated geographically, as western and more easterly US clusters, and
temporally, as clusters that predominated before and after the licensure of
pneumococcal conjugate vaccines. The diversity and linkage disequilibrium within
these two clusters also differed, suggesting different population dynamics. MLBT
revealed hidden aspects of the population structure of these hyperinvasive
pneumococci, and it may provide a useful adjunct tool for outbreak
investigations, surveillance, and population genetics studies of other
pneumococcal clonal complexes.
PMID- 21888994
TI - Bone integration capability of alkali- and heat-treated nanobimorphic Ti-15Mo-5Zr
3Al.
AB - The role of nanofeatured titanium surfaces in a number of aspects of in vivo bone
implant integration, and, in particular, their potential advantages over
microfeatured titanium surfaces, as well as their specific contribution to
osteoconductivity, is largely unknown. This study reports the creation of a
unique nanobimorphic titanium surface comprised of nanotrabecular and nanotuft
like structures and determines how the addition of this nanofeature to a
microroughened surface affects bone-implant integration. Machined surfaces
without microroughness, sandblasted microroughened surfaces, and micro-nano
hybrid surfaces created by sandblasting and alkali and heat treatment of Ti-15Mo
5Zr-3Al alloy were subjected to biomechanical, interfacial and histological
analyses in a rat model. The presence of microroughness enabled accelerated
establishment of biomechanical implant fixation in the early stages of healing
compared to the non-microroughened surfaces; however, it did not increase the
implant fixation at the late stages of healing. The addition of nanobimorphic
features to the microroughened surfaces further increased the implant fixation by
as much as 60-100% over the healing time. Bone area within 50 MUm of the implant
surface, but not beyond this distance, was significantly increased by the
presence of nanobimorphic features. Although the percentage of bone-implant
contact was also significantly increased by the addition of nanobimorphic
features, the greatest improvement was found in the soft tissue intervention
between the bone and the implant, which was reduced from >30% to <5%. Mineralized
tissue densely deposited with calcium-binding globular proteins was observed in
an extensive area of nanobimorphic surfaces after biomechanical testing. This
study clearly demonstrates the nanofeature-enhanced osteoconductivity of titanium
by an alkali- and heat-treated nanobimorphic surface compared to that by
microfeatured surfaces, which results not only in an acceleration but also an
improvement of bone-implant integration. The identified biological parameters
that successfully detect the advantages of nanofeatures over microfeatures will
be useful in evaluating new implant surfaces in future studies.
PMID- 21888995
TI - Progressive hearing loss associated with a unique cervical node due to a
homozygous SLC29A3 mutation: a very mild phenotype.
AB - In 2008, SLC29A3 has been implicated in a syndromic form of genodermatosis: H
syndrome. The major features encountered in H syndrome are Hearing loss,
Hyperglycaemia, Heart anomalies, Hypertrichosis, Hyperpigmentation, Hepatomegaly
and Hypogonadism. More recently, SLC29A3 mutations have been described in
families presenting syndromes associating generalized histiocytosis to systemic
progressive features: severe camptodactyly, hearing loss, hypogonadism,
hepatomegaly, heart defects and skin hyperpigmentation. We have identified a
homozygous missense SLC29A3 mutation in a patient presenting with only a
progressive sensorineural hearing impairment and a single cervical node (Rosai
Dorfman). SLC29A3 mutations appear to be involved in a large phenotypic continuum
which should prompt physicians to study this gene even in mild clinical
presentations.
PMID- 21888996
TI - Overexpression and characterization of a novel transgalactosylic and hydrolytic
beta-galactosidase from a human isolate Bifidobacterium breve B24.
AB - After the complete gene of a beta-galactosidase from human isolate
Bifidobacterium breve B24 was isolated by PCR and overexpressed in E. coli, the
recombinant beta-galactosidase was purified to homogeneity and characterized for
the glycoside transferase (GT) and glycoside hydrolase (GH) activities on
lactose. One complete ORF encoding 691 amino acids (2,076 bp) was the structural
gene, LacA (galA) of the beta-gal gene. The recombinant enzyme shown by activity
staining and gel-filtration chromatography was composed of a homodimer of 75 kDa
with a total molecular mass of 150 kDa. The K(m) value for lactose (95.58 mM) was
52.5-fold higher than the corresponding K(m) values for the synthetic substrate
ONPG (1.82 mM). This enzyme with the optimum of pH 7.0 and 45 degrees C could
synthesize approximately 42.00% of GOS from 1M of lactose. About 97.00% of
lactose in milk was also quickly hydrolyzed by this enzyme (50 units) at 45
degrees C for 5h to produce 46.30% of glucose, 46.60% of galactose and 7.10% of
GOS. The results suggest that this recombinant beta-galactosidase derived from a
human isolate B. breve B24 may be suitable for both the hydrolysis and synthesis
of galacto-oligosaccharides (GOS) in milk and lactose processing.
PMID- 21888997
TI - RT-SVR+q: a strategy for post-Mascot analysis using retention time and q value
metric to improve peptide and protein identifications.
AB - Shotgun proteomics commonly utilizes database search like Mascot to identify
proteins from tandem MS/MS spectra. False discovery rate (FDR) is often used to
assess the confidence of peptide identifications. However, a widely accepted FDR
of 1% sacrifices the sensitivity of peptide identification while improving the
accuracy. This article details a machine learning approach combining retention
time based support vector regressor (RT-SVR) with q value based statistical
analysis to improve peptide and protein identifications with high sensitivity and
accuracy. The use of confident peptide identifications as training examples and
careful feature selection ensures high R values (>0.900) for all models. The
application of RT-SVR model on Mascot results (p=0.10) increases the sensitivity
of peptide identifications. q Value, as a function of deviation between predicted
and experimental RTs (DeltaRT), is used to assess the significance of peptide
identifications. We demonstrate that the peptide and protein identifications
increase by up to 89.4% and 83.5%, respectively, for a specified q value of 0.01
when applying the method to proteomic analysis of the natural killer leukemia
cell line (NKL). This study establishes an effective methodology and provides a
platform for profiling confident proteomes in more relevant species as well as a
future investigation of accurate protein quantification.
PMID- 21888999
TI - Restoration of the anterior maxilla after thermal trauma as a sequela to post
removal: a clinical report.
AB - Removing posts from endodontically treated teeth without considering the effects
of heat trauma can have adverse consequences for the tissues surrounding the
roots of the associated teeth. Ultrasonic instrumentation has been widely used as
a more conservative approach than other methods of removing posts without
untoward sequelae. However, copious irrigation must be used to prevent elevated
temperatures caused by ultrasonic energy. This clinical report presents the
consequences of post removal in 2 maxillary incisors with ultrasonic
instrumentation without sufficient regard to root overheating. Necrosis of the
surrounding tissues was observed after 2 weeks. The functional and esthetic
reconstruction of the area affected by the heat trauma is described.
PMID- 21889000
TI - Complete and partial contour zirconia designs for crowns and fixed dental
prostheses: a clinical report.
AB - Since the introduction of milled zirconia copings for ceramic crowns, a variety
of techniques have been introduced to reduce the incidence of chipping or
fracturing of the porcelain veneer. These include methods of improving the
interface between the coping and the veneer, reducing thermal incompatibility
between the two, and optimizing the coping design to minimize tensile loading on
the porcelain. Another method of reducing porcelain chipping and fracture is to
limit or eliminate the porcelain coverage of zirconia copings and frameworks.
Even though patients often demand tooth colored or nonmetallic restorations, they
tend to be less concerned with the optimal esthetics of their posterior teeth.
This article describes 4 representative clinical situations where efforts were
made to minimize or eliminate porcelain coverage on posterior zirconia crowns and
a fixed dental prosthesis, while still achieving acceptable, but not optimal,
esthetics.
PMID- 21888998
TI - Chromatin-mediated Candida albicans virulence.
AB - Candida albicans is the most prevalent human fungal pathogen. To successfully
propagate an infection, this organism relies on the ability to change morphology,
express virulence-associated genes and resist DNA damage caused by the host
immune system. Many of these events involve chromatin alterations that are
crucial for virulence. This review will focus on the studies that have been
conducted on how chromatin function affects pathogenicity of C. albicans and
other fungi. This article is part of a Special Issue entitled: Histone chaperones
and Chromatin assembly.
PMID- 21889001
TI - An interdisciplinary treatment to manage pathologic tooth migration: a clinical
report.
AB - Pathologic tooth migration (PTM) is a common symptom of periodontal disease and a
motivation for the patient to seek dental therapy. The primary factors causing
PTM are periodontitis and occlusal trauma. Comprehensive treatment for managing a
moderate degree of PTM, including periodontal, orthodontic and prosthodontic
treatment is described. Increasing the occlusal vertical dimension (OVD) with
provisional restorations was attempted to create space for retracting maxillary
flared teeth. Retraction and intrusion of maxillary flared incisors were achieved
by a sectional orthodontic appliance. Splinted crowns from maxillary right
lateral incisor to left lateral incisor were fabricated and connected to
posterior prostheses by means of attachments to prevent relapse and to provide
long-term stability.
PMID- 21889002
TI - Effect of repeated screw joint closing and opening cycles on implant prosthetic
screw reverse torque and implant and screw thread morphology.
AB - STATEMENT OF PROBLEM: Clinicians must know if a new screw can predictably
increase reverse torque after multiple screw insertion cycles. PURPOSE: The
purpose of this study was (1) to compare the effect of multiple implant
prosthetic screw insertion and removal cycles on reverse torque, (2) to determine
whether a new screw, after multiple screw insertion cycles, affects reverse
torque, and (3) to assess implant and prosthetic screw thread surface morphology
with scanning electron microscopy (SEM). MATERIAL AND METHODS: One primary screw
was paired with an implant (MT Osseospeed) and inserted to 25 Ncm torque 9, 19,
29, or 39 times (n=10). Primary screw reverse torque values were recorded after
each insertion. A second, reference screw was then paired with each implant for a
final screw insertion, and reverse torque was measured. Maximum, minimum, median,
and mean values (P(max), P(min), P(median), and P(mean)) were identified for
primary screws. A 1-way ANOVA and Tukey HSD post hoc analysis assessed the
influence of multiple screw insertion cycles on P(max), P(min), P(median), and
P(mean) values (alpha=.05). Confidence intervals were used to test differences
between reference (REF) screw data and corresponding DMAX and DMIN (DMAX=P(max)
REF; DMIN=P(min)-REF). The surface topography of an unused implant and screw and
of 1 implant and screw from each group was evaluated with SEM. RESULTS: Pairwise
comparisons showed that 9 or fewer insertion cycles resulted in significantly
greater mean reverse torque (20.9 +/- 0.5 Ncm; P<.01). After 19, 29, or 39
cycles, the second, reference screw achieved significantly greater reverse torque
than the minimum recorded values (P<.05). Implant thread surface morphology
changes occurred primarily during the first 10 insertions. CONCLUSIONS: After 10
screw insertion cycles, a new prosthetic screw should be used with the implant
system tested to maximize screw reverse torque and maintain preload when an
abutment is definitively placed.
PMID- 21889003
TI - Microstructure, elemental composition, hardness and crystal structure study of
the interface between a noble implant component and cast noble alloys.
AB - STATEMENT OF PROBLEM: Casting a high-gold alloy to a wrought prefabricated noble
implant-component increases the cost of an implant. Selecting a less expensive
noble alloy would decrease implant treatment costs. PURPOSE: The purpose of this
study was to investigate the interfacial regions of a representative noble
implant component and cast noble dental alloys and to evaluate the effects of
porcelain firing cycles on the interface. MATERIAL AND METHODS: Six
representative alloys (n=3) were cast to gold implant abutments (ComOcta).
Scanning electron microscopy (SEM) was used to characterize microstructures.
Compositions of interfacial regions and bulk alloys were obtained by energy
dispersive spectroscopy. Vickers hardness was also measured across the interface.
By using Micro-X-ray diffraction, the phases were evaluated at 7 points
perpendicular to the interface. The effects of porcelain firing cycles on
microstructures, diffusion, hardness, and phases were also evaluated. For
statistical evaluation of diffusion length and hardness, a 3-way repeated
measures ANOVA was used. Pairwise comparisons of interest were conducted with
Tukey pairwise comparisons or, when a significant interaction was found,
Bonferroni-adjusted t-tests (overall alpha=.05). RESULTS: Microstructures of bulk
alloys were predominantly maintained to a well-defined boundary for both as-cast
and heat-treated conditions. An interaction band, 5-6 MUm wide, was observed. The
alloy grain size at the interface and the interaction band width increased after
simulated porcelain firing. The extent of elemental diffusion from the interface
was about 30 MUm and not affected by simulated porcelain firing. Differences in
Vickers hardness for the alloys were consistent with their compositions. Micro
XRD patterns indicated that substantial amounts of new phases had not formed at
the interfacial regions. CONCLUSIONS: Less expensive noble alternatives to high
gold alloys provided comparable metallurgical compatibility with the noble
implant component.
PMID- 21889004
TI - Effect of substrate shades on the color of ceramic laminate veneers.
AB - STATEMENT OF PROBLEM: Ceramic laminate veneers are generally fabricated with
varying thicknesses ranging from 0.3 to 1 mm, depending on the need to mask
discolored teeth or slightly correct the contour of malpositioned teeth. Clinical
color reproduction poses a challenge because of color interaction with the
underlying substrate, whether tooth structure or esthetic restorative material.
PURPOSE: The purpose of this in vitro investigation was to measure the effect of
color change resulting from applying 2 shades of ceramic material, translucent
(T1) and opaque (O2), to 2 shades of composite resin substrate material, light
(A3) and dark (C4), on the overall color of ceramic laminates. MATERIAL AND
METHODS: Forty disks were fabricated. Twenty disks (15 * 0.5 mm) were cut from
pressed ceramic, 10 disks for each shade (translucent and opaque). Additionally,
20 disks (15 * 4.0 mm) were fabricated from light-polymerized composite resin
material, 10 disks for each shade (light and dark). The ceramic disks were bonded
to the composite resin disks with clear resin cement. The specimen combinations
were divided into 4 groups (n=5). Color measurements were done with a colorimeter
(Minolta Chroma Meter CR-200b) configured with diffuse illumination/0-degree
viewing geometry. Mean CIE lightness (L*), chroma (C*(ab)), and hue angle (h(ab))
values were obtained. A 2-way analysis of variance (ANOVA) was used to compare
the means and standard deviations between the different color combinations
(alpha=.05), followed by Tukey's HSD post hoc test for significant interactions.
RESULTS: The 2-way ANOVA showed significant differences (P<.001) in L*, C*(ab),
and h(ab) for a change in the composite resin substrate, regardless of the
ceramic material shade. There was significant color change from dark to light
substrate (P=.044). The mean h(ab) (SD) of dark substrate/opaque veneer was 89.62
(1.5), while the mean h(ab) of light substrate/translucent veneer was 81.23
(1.7). CONCLUSIONS: Within the limitations of this study, the selected color of a
0.5 mm ceramic laminate veneer was significantly affected by the change in color
of the supporting substrate.
PMID- 21889005
TI - The impact of post preparation on the residual dentin thickness of maxillary
molars.
AB - STATEMENT OF PROBLEM: The oval-shaped palatal roots of maxillary molars usually
have smaller cross-sectional diameter in the buccolingual direction. However, the
effect of parallel-sided post preparation on the remaining dentin thickness of
root canal walls is unknown. PURPOSE: The purpose of this study was to
investigate, in vitro, the residual dentin thickness (RDT) of palatal roots in
maxillary molars after various intracanal procedures for post placement, and to
determine the risk of root canal perforation or weakening on different root canal
walls at 2 levels, coronal and apical. MATERIAL AND METHODS: Fifteen extracted
first maxillary molars exhibiting radiographic mesiodistal root canal widths
ranging from 3.8 to 4.2 mm, measured at 5 mm from the apex, were selected from a
pool of teeth. The teeth were horizontally sectioned at 2 levels, coronal and
apical, after being embedded in acrylic resin with the aid of a metal index,
allowing identical repositioning of the sectioned parts throughout the study.
Each sectioned surface was photographed with a digital camera coupled to a
microscope. The palatal roots were subsequently prepared for post placement as
follows: endodontic preparation up to file K50, Largo 3 and 4 drills, and
ParaPost 4.5 and 5.0. Cross-sections were rephotographed after each step. Image J
software was used to measure the RDT of each root wall at both levels, and data
were further analyzed with a 3-way ANOVA/General Linear Model (GLM) for repeated
measures. The post-hoc Tukey's range test was used to calculate differences in
RDT among the 4 root walls at each root level (alpha=.05). RESULTS: A significant
difference was observed among the intracanal procedures (P<.001). At the apical
level, RDT was significantly lower after ParaPost preparation than at the coronal
level (P=.009). Buccal and palatal walls displayed significantly lower RDT than
the mesial and distal walls at both levels (P=.004). CONCLUSIONS: Intracanal
preparation up to ParaPost 5.0 increased the risk of perforation or weakening of
the buccal and palatal walls, especially at the apical level.
PMID- 21889006
TI - Marginal accuracy and internal fit of machine-milled and cast titanium crowns.
AB - STATEMENT OF PROBLEM: Titanium is an alternative tooth restorative material
because of a its biocompatibility and mechanical properties. However, there is
little information on the marginal accuracy of a complete titanium crown with
different margin configurations. PURPOSE: This study examined the effect of
fabrication method and margin configuration on the marginal and internal fit of
complete titanium crowns. MATERIAL AND METHODS: An acrylic resin maxillary first
molar was prepared with shoulder (buccal), chamfer (palatal), and knife edge
(proximal) margin configurations. Forty crowns were produced and then divided
into 2 groups according to the manufacturing method (casting method or CAD/CAM
technique) (n=20). Each crown was luted to the original stone die with zinc
phosphate cement. The margin of the crown, center point of the axial wall, and
occlusal area were measured with a 3-dimensional measuring microscope. An
independent t-test (internal gap) and repeated measures 2-way ANOVA (marginal
gap) were used for statistical analysis (alpha=.05). RESULTS: The mean marginal
gap of the cast group was significantly smaller than that of the CAD/CAM group
(P<.001). The margin configuration affected the measured marginal discrepancy
(P<.001). In both groups, the mean marginal gap of the chamfer and shoulder
margin was significantly smaller than that of the knife-edge margin (P<.001).
CONCLUSIONS: Castings-produced titanium crowns with a better marginal fit than
the CAD/CAM technique. The knife-edge margin exhibited the greatest marginal
discrepancy.
PMID- 21889007
TI - An alternative multiple pontic design for a fixed implant-supported prosthesis.
AB - In situations of moderate residual ridge resorption where multiple tooth
replacement is needed, and where the patient desires a fixed implant-supported
restoration, it is challenging to design a pontic-tissue interface. The
semiconvex multiple pontic design described in this article, with its mucosal
contact exerted with moderate pressure, is proposed to circumvent the problems
encountered with the plaque accumulation, maintenance conditions, phonetics, and
compromised esthetics frequently encountered in these patients. The use of a
screw-retained, implant-supported restoration is also emphasized to allow for
sufficient tissue contact during placement of the prosthesis and for prosthesis
retrievability for maintenance or technical reasons.
PMID- 21889008
TI - A technique for making impressions of deeply placed implants.
PMID- 21889009
TI - Pediatric thoracic imaging.
PMID- 21889010
TI - Fetal chest ultrasound and magnetic resonance imaging: recent advances and
current clinical applications.
AB - Advances in high-resolution prenatal ultrasound and fetal magnetic resonance (MR)
imaging have changed the practice of obstetrics by allowing better visualization
of intrathoracic and neck structures and better estimation of lung volumes. More
accurate prenatal diagnosis has increased options for pregnancy management and
treatment, delivery planning, and postnatal care. Anyone who is interested in the
fascinating field of fetology should become familiar with the current state of
fetal imaging of the chest as well as potential advances in technology and
research.
PMID- 21889011
TI - Chest sonography in children: current indications, techniques, and imaging
findings.
AB - Ultrasound of the thorax is particularly rewarding in children, because their
unique thoracic anatomy provides many available acoustic windows into the chest.
Newer ultrasound techniques can allow better understanding of lung disease. With
minimum effort and creativity, chest ultrasound can provide important clinical
information without radiation exposure or sedation sometimes required for
computed tomography and magnetic resonance imaging.
PMID- 21889012
TI - Contemporary perspectives on pediatric diffuse lung disease.
AB - It is much more challenging in children than in adults to obtain computed
tomography images of the lung parenchyma at optimal lung volumes without motion
artifact. Some of the more common forms of diffuse lung disease in adults rarely
occur in children, and several forms of diffuse lung disease are unique to
children. Recognition of these differences has led to the development of a new
classification scheme for pediatric diffuse lung disease. Knowledge of this
classification and recognition of characteristic imaging findings of specific
disorders will lead to accurate diagnosis and guide appropriate treatment of
children with diffuse lung disease.
PMID- 21889013
TI - Multidetector computed tomography of pediatric large airway diseases: state-of
the-art.
AB - Advances in multidetector computed tomography (MDCT) technology have given rise
to improvements in the noninvasive and comprehensive assessment of the large
airways in pediatric patients. Superb two-dimensional and three-dimensional
reconstruction MDCT images have revolutionized the display of large airways and
enhanced the ability to diagnose large airway diseases in children. The 320-MDCT
scanner, which provides combined detailed anatomic and dynamic functional
information assessment of the large airways, is promising for the assessment of
dynamic large airway disease such as tracheobronchomalacia. This article
discusses imaging techniques and clinical applications of MDCT for assessing
large airway diseases in pediatric patients.
PMID- 21889014
TI - Pneumonia in normal and immunocompromised children: an overview and update.
AB - Pneumonia is an infection of the lung parenchyma caused by a wide variety of
organisms in pediatric patients. The role of imaging is to detect the presence of
pneumonia, and determine its location and extent, exclude other thoracic causes
of respiratory symptoms, and show complications such as effusion/empyema and
suppurative lung changes. The overarching goal of this article is to review
cause, role of imaging, imaging techniques, and the spectrum of acute and chronic
pneumonias in children. Pneumonia in the neonate and immunocompromised host is
also discussed.
PMID- 21889015
TI - Congenital pulmonary malformations in pediatric patients: review and update on
etiology, classification, and imaging findings.
AB - Congenital pulmonary malformations represent a heterogeneous group of
developmental disorders affecting the lung parenchyma, the arterial supply to the
lung, and the lung's venous drainage. In both asymptomatic and symptomatic
pediatric patients with congenital pulmonary malformations, the diagnosis of such
malformations usually requires imaging evaluation, particularly in cases of
surgical lesions for preoperative assessment. The goal of this article is to
review the current imaging techniques for evaluating congenital pulmonary
malformations and their characteristic imaging findings, which can allow
differentiation among various congenital pulmonary malformations in pediatric
patients.
PMID- 21889016
TI - Chest trauma in children: current imaging guidelines and techniques.
AB - Given the heterogeneous nature of pediatric chest trauma, the optimal imaging
approach is tailored to the specific patient. Chest radiography remains the most
important imaging modality for initial triage. The decision to perform a chest
computed tomography scan should be based on the nature of the trauma, the child's
clinical condition, and the initial radiographic findings, taking the age-related
pretest probabilities of serious injury into account. The principles of as low as
reasonably achievable and Image Gently should be followed. The epidemiology and
pathophysiology, imaging techniques, characteristic findings, and evidence-based
algorithms for pediatric chest trauma are discussed.
PMID- 21889017
TI - Congenital thoracic vascular anomalies: evaluation with state-of-the-art MR
imaging and MDCT.
AB - Congenital thoracic vascular anomalies include embryologic developmental
disorders of the thoracic aorta, aortic arch branch arteries, pulmonary arteries,
thoracic systemic veins, and pulmonary veins. Diagnostic evaluation of these
anomalies in pediatric patients has evolved with innovations in diagnostic
imaging technology. State-of-the-art magnetic resonance (MR) imaging, MR
angiography multidetector-row computed tomographic (MDCT) angiography, and
advanced postprocessing visualization techniques offer accurate and reliable high
resolution two-dimensional and three-dimensional noninvasive anatomic displays
for interpretation and clinical management of congenital thoracic vascular
anomalies. This article reviews vascular MR imaging, MR angiography, MDCT
angiography, and advanced visualization techniques and applications for the
assessment of congenital thoracic vascular anomalies, emphasizing clinical
embryology and the characteristic imaging findings.
PMID- 21889018
TI - Cardiac MDCT in children: CT technology overview and interpretation.
AB - Cardiac multidetector computed tomography (MDCT) for congenital heart disease is
a useful, rapid, and noninvasive imaging technique bridging the gaps between
echocardiography, cardiac catheterization, and cardiac MRI. Fast scan speed and
greater anatomic coverage, combined with flexible ECG-synchronized scans and a
low radiation dose, are critical for improving the image quality of cardiac MDCT
and minimizing patient risk. Current MDCT techniques can accurately evaluate
extracardiac great vessels, lungs, and airways, as well as coronary arteries and
intracardiac structures. Radiologists who perform cardiac MDCT in children should
be familiarized with optimal cardiac computed tomography (CT) scan techniques and
characteristic cardiac CT scan imaging findings.
PMID- 21889019
TI - Preoperative and postoperative MR evaluation of congenital heart disease in
children.
AB - Cardiovascular magnetic resonance imaging (CMR) plays an important role in the
preoperative and postoperative evaluation of congenital heart disease with newer
techniques enabling faster and more comprehensive evaluation of the pediatric
patient. This article reviews the clinical applications of CMR before and after
surgery in the most common congenital heart anomalies in pediatric patients.
PMID- 21889020
TI - Nuclear medicine and molecular imaging of the pediatric chest: current practical
imaging assessment.
AB - In the chest, the indications for nuclear medicine studies are broader and more
varied in children than in adults. In children, nuclear medicine studies are used
to evaluate congenital and developmental disorders of the chest, as well as
diseases more typical of adults. In the chest, pediatric nuclear medicine uses
the same radiopharmaceuticals and imaging techniques as used in adults to
evaluate cardiac and pulmonary disease, aerodigestive disorders, and pediatric
malignancies. The introduction of PET (mostly using (18)F-FDG) has transformed
pediatric nuclear oncology, particular for imaging malignancies in the chest.
PMID- 21889022
TI - Gastric surgery. Foreword.
PMID- 21889021
TI - Radiation, thoracic imaging, and children: radiation safety.
AB - The chest is the most frequently evaluated region of the body in children. The
majority of thoracic diagnostic imaging, namely "conventional" radiography (film
screen, computed radiography and direct/digital radiography), fluoroscopy and
angiography, and computed tomography, depends on ionizing radiation. Since
errors, oversights, and inattention to radiation exposure continue to be
extremely visible issue for radiology in the public eye it is incumbent on the
imaging community to maximize the yield and minimize both the real and potential
radiation risks with diagnostic imaging. Technical (e.g. equipment and technique)
strategies can reduce exposure risk and improve study quality, but these must be
matched with efforts to optimize appropriate utilization for safe and effective
healthcare in thoracic imaging in children. To these ends, material in this
chapter will review practice patterns, dose measures and modality doses,
radiation biology and risks, and radiation risk reduction strategies for thoracic
imaging in children.
PMID- 21889023
TI - Gastric surgery. Preface.
PMID- 21889024
TI - Gastric acid and digestive physiology.
AB - The primary function of the stomach is to prepare food for digestion and
absorption by the intestine. Acid production is the unique and central component
of the stomach's contribution to the digestive process. Acid bathes the food
bolus while stored in the stomach, facilitating digestion. An intact defense
against mucosal damage by the stomach's acid is essential to avoid ulceration.
This article focuses on the physiology of gastric acid production, the stomach's
defense mechanisms against acid injury, and the most common challenges to the
gastric defenses. A brief description of the stomach's nonacid digestive
capabilities is included.
PMID- 21889025
TI - Gastric motility physiology and surgical intervention.
AB - Disordered gastric motility represents a spectrum of dysfunction ranging from
delayed gastric emptying to abnormally rapid gastric transit, commonly referred
to as the "dumping syndrome." Both extremes of gastric motility disorders can
arise from similar pathologic processes, and produce remarkably identical
symptoms. This fact underscores the need to attain a precise diagnosis to ensure
the institution of optimal therapy. Disordered gastric motility is primarily
managed with dietary modification followed by pharmacotherapy, as traditional
surgical interventions tend to be fraught with complications. However, continued
improvements in minimally invasive diagnostic and therapeutic modalities promise
novel options for earlier and more effective treatment.
PMID- 21889026
TI - Emergency ulcer surgery.
AB - The rate of elective surgery for peptic ulcer disease has been declining steadily
over the past 3 decades. During this same period, the rate of emergency ulcer
surgery rose by 44%. This means that the gastrointestinal surgeon is likely to be
called on to manage the emergent complications of peptic ulcer disease without
substantial experience in elective peptic ulcer disease surgery. The goal of this
review is to familiarize surgeons with our evolving understanding of the
pathogenesis, epidemiology, presentation, and management of peptic ulcer disease
in the emergency setting, with a focus on peptic ulcer disease-associated
bleeding and perforation.
PMID- 21889027
TI - Gastroesophageal reflux disease.
AB - Millions of Americans are affected by gastroesophageal reflux disease (GERD) in
many different ways. The magnitude of the problem of GERD was brought to light by
the ambulatory pH test, the introduction of minimally invasive surgery, and the
advent of the many medications that are effective in acid suppression. Patients
with GERD suffer from various consequences associated with the disease. However,
interventions beyond medical therapy, such as laparoscopic fundoplication,
provide satisfactory outcomes and definitive relief of acid reflux.
PMID- 21889028
TI - Achalasia.
AB - This article reviews the diagnosis and treatment of achalasia, a rare esophageal
motility disorder characterized by absent peristalsis and failure of the lower
esophageal sphincter (LES) to relax. Various treatment options including
management with sublingual nitrates or calcium channel blockers, injection of the
LES with botulism toxin, pneumatic dilation of the LES, and pneumatic dilation
are discussed. Laparoscopic Heller myotomy is minimally invasive with incumbent
low morbidity and mortality rates, and combined with a partial fundoplication is
a durable, safe, and effective treatment option for patients with achalasia.
PMID- 21889029
TI - Gastric adenocarcinoma surgery and adjuvant therapy.
AB - Gastric adenocarcinoma is one of the most common causes of death worldwide.
Surgical resection remains the mainstay of therapy, offering the only chance for
complete cure. Resection is based on the principles of obtaining adequate
margins, with the extent of lymphadenectomy remaining controversial. Neoadjuvant
and adjuvant therapies are used to reduce local recurrence and improve long-term
survival. This article reviews the literature and provides a summary of surgical
management options and neoadjuvant/adjuvant therapies for gastric adenocarcinoma.
PMID- 21889030
TI - Gastrointestinal stromal tumor surgery and adjuvant therapy.
AB - Gastrointestinal stromal tumors (GIST) are a unique class of mesenchymal tumors
identified within the past decade. Intense molecular and genetic study has been
used to characterize these tumors and develop treatment strategies. Although the
mainstay of treatment remains surgical resection, therapy targeted at inhibiting
tyrosine kinases has had dramatic results. Because of the rapid accumulation of
information about the diagnosis and treatment of these tumors, the National
Comprehensive Cancer Network convened a GIST task force to provide updated
recommendations in 2010. As understanding of these tumors advances, rapid changes
in recommendations will continue and should warrant regular updates in tumor
management.
PMID- 21889031
TI - Minimally invasive gastric surgery.
AB - The most common indications for gastric resection remain benign ulcer disease and
neoplasm. Surgery for these diseases can be performed safely with laparoscopy. As
surgeons adhere to the original tenets of open gastric resections while
performing laparoscopic resections, disease outcomes will remain the same with
the improved surgical outcomes of less pain, a shorter hospital stay, and a lower
incidence of wound complications. Laparoscopic gastric resections can be divided
into the more straightforward wedge/tumor resections performed for submucosal
tumors or the more formal anatomic gastric resections. This article reviews the
tools and techniques for laparoscopic gastric resection.
PMID- 21889032
TI - Postgastrectomy syndromes.
AB - The first postgastrectomy syndrome was noted not long after the first gastrectomy
was performed. The indications for gastric resection have changed dramatically
over the past 4 decades, and the overall incidence of gastric resection has
decreased. This article focuses on the small proportion of patients with severe,
debilitating symptoms; these symptoms can challenge the acumen of the surgeon who
is providing the patient's long-term follow-up and care. The article does not
deal with the sequelae of bariatric surgery.
PMID- 21889033
TI - Miscellaneous disorders and their management in gastric surgery: volvulus,
carcinoid, lymphoma, gastric varices, and gastric outlet obstruction.
AB - This article focuses on less common diseases that surgeons are called on for
management options. Five topics-volvulus, carcinoid, lymphoma, gastric varices,
and gastric outlet obstruction from peptic ulcer disease-are frequently used to
evaluate surgical knowledge. Knowledge of these topics is useful for residents
preparing for an in-training examination or board certification. Patients with
these diseases require multidisciplinary management with oncologists and/or
gastroenterologists, and mastery of these topics allows surgeons to effectively
participate in the multidisciplinary care of these patients and advocate for
surgical management when appropriate.
PMID- 21889034
TI - International collaboration in cardiovascular nursing research.
PMID- 21889035
TI - Recurrent coxsackie B viral myocarditis leading to progressive impairment of left
ventricular function over 8 years.
PMID- 21889036
TI - Hemeoxygenase-1 expression in response to arecoline-induced oxidative stress in
human umbilical vein endothelial cells.
AB - BACKGROUND: Arecoline, the most abundant areca alkaloid, has been reported to
stimulate reactive oxygen species (ROS) production in several cell types.
Overproduction of ROS has been implicated in atherogenesis. Hemeoxygenase-1 (HO
1) has cytoprotective activities in vascular tissues. This study investigated the
effect of arecoline on adhesion molecule expression and explored the role of HO-1
in this process. METHODS: Human umbilical vein endothelial cells (HUVECs) were
treated with arecoline, then ROS levels and the expression of adhesion molecules
and HO-1 were analyzed and potential signaling pathways investigated. RESULTS:
After 2h of arecoline treatment, ROS production was stimulated and reached a
maximum at 12h. Expression of the adhesion molecules ICAM and VCAM was also
induced. Glutathione pretreatment completely blocked arecoline-stimulated ROS
production and VCAM expression, but not ICAM expression. Arecoline also induced
HO-1 expression and this effect was partly due by ROS stimulation. Inhibition of
c-jun N-terminal kinase (JNK) by SP600125, p38 by SB 203580, or tyrosine kinase
by genistein reduced arecoline-induced HO-1 expression. In contrast, inhibition
of ERK (extracellular signal-related MAP kinase) by PD98059 had no effect.
Transfection of HUVECs with the GFP/HO-1 gene, which resulted in a 5-fold
increase in HO-1 activity, markedly, but not completely, inhibited the decrease
in cell viability caused by arecoline. CONCLUSIONS: This study demonstrates that,
in HUVECs, arecoline stimulates ROS production and ICAM and VCAM expression. HO-1
expression is also upregulated through the ROS, tyrosine kinase, and MAPK (JNK
and p38) signaling pathways.
PMID- 21889037
TI - (Questions)n on phloem biology. 2. Mass flow, molecular hopping, distribution
patterns and macromolecular signalling.
AB - This review speculates on correlations between mass flow in sieve tubes and the
distribution of photoassimilates and macromolecular signals. Since micro- (low
molecular compounds) and macromolecules are withdrawn from, and released into,
the sieve-tube sap at various rates, distribution patterns of these compounds do
not strictly obey mass-flow predictions. Due to serial release and retrieval
transport steps executed by sieve tube plasma membranes, micromolecules are
proposed to "hop" between sieve element/companion cell complexes and phloem
parenchyma cells under source-limiting conditions (apoplasmic hopping). Under
sink-limiting conditions, micromolecules escape from sieve tubes via pore
plasmodesma units and are temporarily stored. It is speculated that
macromolecules "hop" between sieve elements and companion cells using
plasmodesmal trafficking mechanisms (symplasmic hopping). We explore how
differential tagging may influence distribution patterns of macromolecules and
how their bidirectional movement could arise. Effects of exudation techniques on
the macromolecular composition of sieve-tube sap are discussed.
PMID- 21889038
TI - Abiotic stress and control of grain number in cereals.
AB - Grain number is the only yield component that is directly associated with
increased grain yield in important cereal crops like wheat. Historical yield
studies show that increases in grain yield are always accompanied by an increase
in grain number. Adverse weather conditions can cause severe fluctuations in
grain yield and substantial yield losses in cereal crops. The problem is global
and despite its impact on world food production breeding and selection approaches
have only met with limited success. A specific period during early reproductive
development, the young microspore stage of pollen development, is extremely
vulnerable to abiotic stress in self-fertilising cereals (wheat, rice, barley,
sorghum). A better understanding of the physiological and molecular processes
that lead to stress-induced pollen abortion may provide us with the key to
finding solutions for maintaining grain number under abiotic stress conditions.
Due to the complexity of the problem, stress-proofing our main cereal crops will
be a challenging task and will require joint input from different research
disciplines.
PMID- 21889039
TI - Roles of Ca2+ and cyclic nucleotide gated channel in plant innate immunity.
AB - The increase of cytosolic Ca(2+) is a vital event in plant pathogen signaling
cascades. Molecular components linking pathogen signal perception to cytosolic
Ca(2+) increase have not been well characterized. Plant cyclic nucleotide gated
channels (CNGCs) play important roles in the pathogen signaling cascade, in terms
of facilitating Ca(2+) uptake into the cytosol in response to pathogen and
pathogen associated molecular pattern (PAMP) signals. Perception of pathogens
leads to cyclic nucleotide production and the activation of CNGCs. The Ca(2+)
signal is transduced through Ca(2+) sensors (Calmodulin (CaM) and CaM-like
proteins (CMLs)), which regulates the production of nitric oxide (NO). In
addition, roles of Ca(2+)/CaM interacting proteins such as CaM binding Protein
(CBP) and CaM-binding transcription activators (CAMTAs)) have been recently
identified in the plant defense signaling cascade as well. Furthermore, Ca(2+)
dependent protein kinases (CDPKs) have been found to function as components in
terms of transcriptional activation in response to a pathogen (PAMP) signal.
Although evidence shows that Ca(2+) is an essential signaling component upstream
from many vital signaling molecules (such as NO), some work also indicates that
these downstream signaling components can also regulate Ca(2+) homeostasis. NO
can induce cytosolic Ca(2+) increase (through activation of plasma membrane- and
intracellular membrane-localized Ca(2+) channels) during pathogen signaling
cascades. Thus, much work is needed to further elucidate the complexity of the
plant pathogen signaling network in the future.
PMID- 21889040
TI - Hypothesis/review: the structural basis of sweetness perception of sweet-tasting
plant proteins can be deduced from sequence analysis.
AB - Human perception of sweetness, behind the felt pleasure, is thought to play a
role as an indicator of energy density of foods. For humans, only a small number
of plant proteins taste sweet. As non-caloric sweeteners, these plant proteins
have attracted attention as candidates for the control of obesity, oral health
and diabetic management. Significant advances have been made in the
characterization of the sweet-tasting plant proteins, as well as their binding
interactions with the appropriate receptors. The elucidation of sweet-taste
receptor gene sequences represents an important step towards the understanding of
sweet taste perception. However, many questions on the molecular basis of sweet
taste elicitation by plant proteins remain unanswered. In particular, why
homologues of these proteins do not elicit similar responses? This question is
discussed in this report, on the basis of available sequences and structures of
sweet-tasting proteins, as well as of sweetness-sensing receptors. A simple
procedure based on sequence comparisons between sweet-tasting protein and its
homologous counterparts was proposed to identify critical residues for sweetness
elicitation. The open question on the physiological function of sweet-tasting
plant proteins is also considered. In particular, this review leads us to suggest
that sweet-tasting proteins may interact with taste receptor in a serendipity
manner.
PMID- 21889041
TI - Why do viruses need phloem for systemic invasion of plants?
AB - Plant viruses use sieve elements in phloem as the route of long-distance movement
and systemic infection in plants. Plants, in turn, deploy RNA silencing, R-gene
mediated defence and other mechanisms to prevent phloem transport of viruses.
Cell-to-cell movement of viruses from an initially infected leaf to stem and
other parts of the plant could be another possibility for systemic invasion, but
it is considered to be too slow. This idea is supported by observations made on
viruses that are deficient in phloem loading. The leaf abscission zone forming at
the base of the petiole may constitute a barrier that prevents viral cell-to-cell
movement. The abscission zone and protective layer are difficult to localize in
the petiole until the leaf reaches an advanced stage of senescence. Viruses
tagged with the green fluorescent protein are helpful for localization and study
of the developing abscission zone.
PMID- 21889042
TI - Timing is everything in plant development. The central role of floral repressors.
AB - Progress in understanding the molecular basis of flowering time control has
revealed that floral repressors play a central role in modulating the floral
transition and are essential to prevent the precocious onset of flowering. A
number of cellular processes including chromatin remodeling, selective protein
degradation, and transcriptional regulation mediated by transcription factors are
involved in repressing the initiation of flowering. Floral repressors interact at
different levels with floral inductive pathways and prevent the premature onset
of flowering that could impact negatively on the reproductive success of plants.
Despite recent advances, further studies will be needed to understand how the
interactions between floral repressors and the regulatory networks involved in
the control of flowering time have evolved in different species. Recent data
suggest that a diversity of regulatory proteins act as central floral repressors
in different plants, and even in those species where regulatory modules are
conserved new elements that modulate the function of these pathways have been
recruited to mediate specific adaptive responses. The development of genomic
tools and predictive models that can integrate large datasets related to the
flowering behavior of plant species will facilitate the characterization of the
repressor mechanisms underlying flowering responses, a trait with implications in
the yield of crop species. In a scenario of global climate change, an in depth
understanding of these gene circuits will be essential for the development of
crop varieties with improved yield.
PMID- 21889043
TI - Lignin variability in plant cell walls: contribution of new models.
AB - Lignin is a major component of certain plant cell walls. The enzymes and
corresponding genes associated with the metabolic pathway leading to the
production of this complex phenolic polymer have been studied for many years now
and are relatively well characterized. The use of genetically modified model
plants (Arabidopsis, tobacco, poplar.) and mutants has contributed greatly to our
current understanding of this process. The recent utilisation and/or development
of a number of dedicated genomic and transcriptomic tools for other species opens
new perspectives for advancing our knowledge of the biological role of this
important polymer in less typical situations and/or species. In this context,
studies on the formation of hypolignified G-type fibres in angiosperm tension
wood, and the natural hypolignification of secondary cell walls in plant bast
fibre species such as hemp (Cannabis sativa), flax (Linum usitatissimum) or ramie
(Boehmeria nivea) are starting to provide novel information about how plants
control secondary cell wall formation. Finally, other biologically interesting
species for which few molecular resources currently exist could also represent
interesting future models.
PMID- 21889044
TI - Myo-inositol and beyond--emerging networks under stress.
AB - Myo-inositol is a versatile compound that generates diversified derivatives upon
phosphorylation by lipid-dependent and -independent pathways.
Phosphatidylinositols form one such group of myo-inositol derivatives that act
both as membrane structural lipid molecules and as signals. The significance of
these compounds lies in their dual functions as signals as well as key
metabolites under stress. Several stress- and non-stress related pathways
regulated by phosphatidylinositol isoforms and associated enzymes, kinases and
phosphatases, appear to function in parallel to coordinatively adapt growth and
stress responses in plants. Recent evidence also postulates their crucial roles
in nuclear functions as they interact with the key players of chromatin
structure, yet other nuclear functions remain largely unknown.
Phosphatidylinositol monophosphate 5-kinase interacts with and represses a
cytosolic neutral invertase, a key enzyme of sugar metabolism suggesting a
crosstalk between lipid and sugar signaling. Besides phosphatidylinositol, myo
inositol derived galactinol and associated raffinose-family oligosaccharides are
emerging as antioxidants and putative signaling compounds too. Importantly, myo
inositol polyphosphate 5-phosphatase (5PTase) acts, depending on sugar status, as
a positive or negative regulator of a global energy sensor, SnRK1. This implies
that both myo-inositol- and sugar-derived (e.g. trehalose 6-phosphate) molecules
form part of a broad regulatory network with SnRK1 as the central regulator.
Recently, it was shown that the transcription factor bZIP11 also takes part in
this network. Moreover, a functional coordination between neutral invertase and
hexokinase is emerging as a sweet network that contributes to oxidative stress
homeostasis in plants. In this review, we focus on myo-inositol, its direct and
more downstream derivatives (galactinol, raffinose), and the contribution of
their associated networks to plant stress tolerance.
PMID- 21889045
TI - The hunt for plant nitric oxide synthase (NOS): is one really needed?
AB - Nitric oxide (NO) production is associated with many physiological situations in
plants, and NO is a key signaling molecule throughout the lifespan of a plant.
The complexity of the underlying signaling events are just starting to be
unraveled. The basis for nitric oxide signaling, the production of the signaling
molecule itself, is far from understood in plants. While in animals, three
homologous NO synthases (NOS) isoforms have been identified, yet in higher plants
no corresponding enzymes are known so far. More than half a dozen NO productive
reactions have been observed in plants but only few of them have been thoroughly
investigated. It remains to be elucidated how these parts act together to form
the sophisticated NO signaling network observed in plants.
PMID- 21889046
TI - Arabidopsis LOS5/ABA3 overexpression in transgenic tobacco (Nicotiana tabacum cv.
Xanthi-nc) results in enhanced drought tolerance.
AB - Drought is a major environmental stress factor that affects growth and
development of plants. Abscisic acid (ABA), osmotically active compounds, and
synthesis of specific proteins, such as proteins that scavenge oxygen radicals,
are crucial for plants to adapt to water deficit. LOS5/ABA3 (LOS5) encodes
molybdenum-cofactor sulfurase, which is a key regulator of ABA biosynthesis. We
overexpressed LOS5 in tobacco using Agrobacterium-mediated transformation.
Detached leaves of LOS5-overexpressing seedlings showed lower transpirational
water loss than that of nontransgenic seedlings in the same period under normal
conditions. When subjected to water-deficit stress, transgenic plants showed less
wilting, maintained higher water content and better cellular membrane integrity,
accumulated higher quantities of ABA and proline, and exhibited higher activities
of antioxidant enzymes, i.e., superoxide dismutase, catalase, peroxidase and
ascorbate peroxidase, as compared with control plants. Furthermore, LOS5
overexpressing plants treated with 30% polyethylene glycol showed similar
performance in cellular membrane protection, ABA and proline accumulation, and
activities of catalase and peroxidase to those under drought stress. Thus,
overexpression of LOS5 in transgenic tobacco can enhance drought tolerance.
PMID- 21889047
TI - Genetic variation, population structure, and linkage disequilibrium in European
elite germplasm of perennial ryegrass.
AB - Perennial ryegrass (Lolium perenne L.) is a highly valued temperate climate grass
species grown as forage crop and for amenity uses. Due to its outbreeding nature
and recent domestication, a high degree of genetic diversity is expected among
cultivars. The aim of this study was to assess the extent of linkage
disequilibrium (LD) within European elite germplasm and to evaluate the
appropriate methodology for genetic association mapping in perennial ryegrass. A
high level of genetic diversity was observed in a set of 380 perennial ryegrass
elite genotypes when genotyped with 40 SSRs and 2 STS markers. A Bayesian
structure analysis identified two subpopulations, which were confirmed by
principal coordinate analysis (PCoA). One subpopulation consisted mainly of
genotypes originating from the UK, while germplasm mostly from Continental Europe
was grouped into the second subpopulation. LD (r(2)) decay was rapid and occurred
within 0.4cM across European varieties, when population structure was taken into
consideration. However, an extended LD of up to 6.6cM was detected within the
variety Aberdart. High genetic diversity and rapid LD decay provide means for
high resolution association mapping in elite materials of perennial ryegrass.
However, different strategies need to be applied depending on the material used.
Genome-wide association study (GWAS) with several hundred markers can be applied
within synthetic varieties to identify large (up to 10cM) genomic regions
affecting trait variation. A combination of available and novel DNA markers is
needed to achieve resolution required for GWAS in elite breeding materials. An
even higher marker density of several million SNPs might be needed for GWAS in
diverse ecotype collections, potentially resulting in quantitative trait
polymorphism (QTP) identification.
PMID- 21889048
TI - Involvement of Arabidopsis NAC transcription factor in the regulation of 20S and
26S proteasomes.
AB - We investigated the transcript levels of 13 proteasome subunit genes, the protein
levels of proteasomes, and the activities of the 26S proteasome in ANAC078
overexpressing Arabidopsis plants (Ox-ANAC078) and knockout ANAC078 (KO-ANAC078)
mutants. The transcript levels and the protein levels of proteasomes were
increased in the Ox-ANAC078 plants compared with the wild-type plants and KO
ANAC078 mutants under normal conditions and high-light (HL) stress. Although the
activities of the 26S proteasome were decreased in all the plants under HL
stress, they were higher in the Ox-ANAC078 plants than wild-type plants and KO
ANAC078 mutants under normal conditions and HL stress. These findings suggest
that ANAC078 regulates the levels of proteasomes. To explore the function of the
increased levels of proteasomes to HL stress, we assessed the tolerance to HL
stress of the Ox-ANAC078 plants and KO-ANAC078 mutants. The photosystem II
activities of Ox-ANAC078 remained high compared with those of the wild-type
plants and KO-ANAC078 mutants under HL stress, suggesting that ANAC078 may play
an important role in the response and adaptation to HL stress.
PMID- 21889049
TI - Responses of Gmelina arborea, a tropical deciduous tree species, to elevated
atmospheric CO2: growth, biomass productivity and carbon sequestration efficacy.
AB - The photosynthetic response of trees to rising CO(2) concentrations largely
depends on source-sink relations, in addition to differences in responsiveness by
species, genotype, and functional group. Previous studies on elevated CO(2)
responses in trees have either doubled the gas concentration (>700 MUmol mol(-1))
or used single large addition of CO(2) (500-600 MUmol mol(-1)). In this study,
Gmelina arborea, a fast growing tropical deciduous tree species, was selected to
determine the photosynthetic efficiency, growth response and overall source-sink
relations under near elevated atmospheric CO(2) concentration (460 MUmol mol(
1)). Net photosynthetic rate of Gmelina was ~30% higher in plants grown in
elevated CO(2) compared with ambient CO(2)-grown plants. The elevated CO(2)
concentration also had significant effect on photochemical and biochemical
capacities evidenced by changes in F(V)/F(M), ABS/CSm, ET(0)/CSm and RuBPcase
activity. The study also revealed that elevated CO(2) conditions significantly
increased absolute growth rate, above ground biomass and carbon sequestration
potential in Gmelina which sequestered ~2100 g tree(-1) carbon after 120 days of
treatment when compared to ambient CO(2)-grown plants. Our data indicate that
young Gmelina could accumulate significant biomass and escape acclimatory down
regulation of photosynthesis due to high source-sink capacity even with an
increase of 100 MUmo lmol(-1) CO(2).
PMID- 21889050
TI - CaMF2, an anther-specific lipid transfer protein (LTP) gene, affects pollen
development in Capsicum annuum L.
AB - Based on the gene differential expression analysis performed by cDNA-amplified
fragment length polymorphism (cDNA-AFLP) in the genic male sterile-fertile line
114AB of Capsicum annuum L., a variety of differentially expressed cDNA fragments
were detected in fertile or sterile lines. A transcript-derived fragment (TDF)
specifically accumulated in the flower buds of fertile line was isolated, and the
corresponding full-length cDNA and DNA were subsequently amplified.
Bioinformatical analyses of this gene named CaMF2 showed that it encodes a lipid
transfer protein with 94 amino acids. Spatial and temporal expression patterns
analysis indicated that CaMF2 was an anther-specific gene and the expression of
CaMF2 was detected only in flower buds at stage 3-7 of male fertile line with a
peak expression at stage 4, but not detected in the roots, tender stems, fresh
leaves, flower buds, open flowers, sepals, petals, anthers or pistils of male
sterile line. Further, inhibition of the CaMF2 by virus-induced gene silencing
(VIGS) method resulted in the low pollen germination ability and shriveled pollen
grains. All these evidence showed that CaMF2 had a vital role in pollen
development of C. annuum.
PMID- 21889051
TI - The irradiance dependent transcriptional regulation of AtCLPB3 expression.
AB - Transcript abundance analysis was applied to determine whether expression of
genes coding for 50 principal constituents of chloroplast and mitochondria
proteolytic machinery, i.e. isoforms of proteases and regulatory subunits of Clp
and FtsH families as well as Deg group of chymotrypsin family are differentially
expressed in response to acclimation to elevated irradiance. Of 50 genes analysed
only a single one coding for ClpB3 regulatory subunit was found to be up
regulated and gene coding for Deg2 to be down-regulated significantly during
acclimation to excessive irradiance conditions. Hierarchical clustering of
transcript abundance data revealed that CLPB3 co-expressed tightly with genes
coding for PAP1, GBF6 and bHLH family member transcription factors during the
acclimation. It was found that CLPB3 contains cis-regulatory elements able to
bind all three transcription factors. By performing analyses of publicly
available transcriptomic data sets from a range of long-term abiotic stresses we
suggest that PAP1 may mediate condition-dependent transcriptional response of
CLPB3, induced by a group of long-term abiotic stresses.
PMID- 21889052
TI - AtNG1 encodes a protein that is required for seed germination.
AB - The pentatricopeptide repeat (PPR) family of eukaryotic proteins has numerous
members in plants and is important for plant development. In the present study,
we cloned a novel PPR gene, designated AtNG1, and characterized the ng1
Arabidopsis mutant. Morphological and structural observation of an ng1 mutant
revealed that its sexual reproduction and seed formation processes are
essentially normal. The mature embryonic root of ng1 is fully developed and has a
well-differentiated structure; however, ng1 seeds cannot germinate, even when
supplied with supplemental hormones and nutrition. Further investigation showed
that embryo expansion and root cell elongation fails to occur after water
imbibitions. Transient gene expression analysis indicated that AtNG1 localizes in
mitochondrion. This implies that the deficiency of mitochondrion function might
be the reason for the failed seed germination. Thus, our finding confirmed that
AtNG1 plays a critical role in the early process of seed germination.
PMID- 21889053
TI - Cell wall-associated malate dehydrogenase activity from maize roots.
AB - Isolated cell walls from maize (Zea mays L.) roots exhibited ionically and
covalently bound NAD-specific malate dehydrogenase activity. The enzyme catalyses
a rapid reduction of oxaloacetate and much slower oxidation of malate. The
kinetic and regulatory properties of the cell wall enzyme solubilized with 1M
NaCl were different from those published for soluble, mitochondrial or plasma
membrane malate dehydrogenase with respect to their ATP, Pi, and pH dependence.
Isoelectric focusing of ionically-bound proteins and specific staining for malate
dehydrogenase revealed characteristic isoforms present in cell wall isolate,
different from those present in plasma membranes and crude homogenate. Much
greater activity of cell wall-associated malate dehydrogenase was detected in the
intensively growing lateral roots compared to primary root with decreased growth
rates. Presence of Zn(2+) and Cu(2+) in the assay medium inhibited the activity
of the wall-associated malate dehydrogenase. Exposure of maize plants to excess
concentrations of Zn(2+) and Cu(2+) in the hydroponic solution inhibited lateral
root growth, decreased malate dehydrogenase activity and changed isoform
profiles. The results presented show that cell wall malate dehydrogenase is truly
a wall-bound enzyme, and not an artefact of cytoplasmic contamination, involved
in the developmental processes, and detoxification of heavy metals.
PMID- 21889054
TI - Analysis of IIId, IIIe and IVa group basic-helix-loop-helix proteins expressed in
Arabidopsis root epidermis.
AB - Differentiation of Arabidopsis epidermal cells into root hairs and trichomes is a
functional model system for understanding plant cell development. Previous
studies showed that one of the Arabidopsis basic-helix-loop-helix (AtbHLH)
proteins, GLABRA3 (GL3), is involved in root-hair and trichome differentiation.
We analyzed 11 additional AtbHLH genes with homology to GL3. Estimation of the
phylogeny based on amino acid sequences of the bHLH region suggests that 11
AtbHLH genes used in this study evolved by duplications of a single common GL3
ancestor. Promoter-GUS analysis showed that AtbHLH006, AtbHLH013, AtbHLH017 and
AtbHLH020 were expressed in roots. Among them, AtbHLH006 and AtbHLH020 were
preferentially expressed in root epidermal non-hair cells. Consistent with the
expression patterns from promoter-GUS analysis, GFP fluorescence was observed in
the nuclei of root epidermal non-hair cells of AtbHLH006p::AtbHLH006:GFP and
AtbHLH020p::AtbHLH020:GFP transgenic plants. However, AtbHLH006 and AtbHLH0020
proteins did not interact with epidermis-specific MYB proteins and TTG1. Taken
together, AtbHLH006 and AtbHLH020 may function in root epidermal cells, but other
GL3-like bHLH proteins may have evolved to regulate different processes.
PMID- 21889055
TI - Positive effects of temperature and growth conditions on enzymatic and
antioxidant status in lettuce plants.
AB - The contents of two bioactive compounds (polyphenols and flavonoids) and their
antioxidant and enzyme activities were determined in the leaves of six lettuce
(Latuca sativa L.) cultivars subjected to 4 different day/night temperatures for
6 weeks. The total polyphenol and anthocyanin contents and the corresponding
antioxidant activities were the highest at 13/10 degrees C and 20/13 degrees C,
followed by 25/20 degrees C and 30/25 degrees C. The enzymatic activities of
polyphenol oxidase (PPO) and phenylalanine ammonia-lyase (PAL) were also the
highest at low day/night temperatures, but the peroxidase (POD) activity was
decreased at low day/night temperatures and increased at high day/night
temperatures. The most significant positive correlation existed between
anthocyanin content and PPO activity, total polyphenols and their antioxidant
activities. The results showed that at relatively low temperatures, lettuce
plants have a high antioxidant and enzymatic status. These results provide
additional information for the lettuce growers.
PMID- 21889056
TI - Proteomic changes in grape embryogenic callus in response to Agrobacterium
tumefaciens-mediated transformation.
AB - Agrobacterium tumefaciens-mediated transformation is highly required for studies
of grapevine gene function and of huge potential for tailored variety
improvements. However, grape is recalcitrant to transformation, and the
underlying mechanism is largely unknown. To better understand the overall
response of grapevine to A. tumefaciens-mediated transformation, the proteomic
profile of cv. Prime embryogenic callus (EC) after co-cultivation with A.
tumefaciens was investigated by two-dimensional electrophoresis and MALDI-TOF-MS
analysis. Over 1100 protein spots were detected in both inoculated and control
EC, 69 of which showed significantly differential expression; 38 of these were
successfully identified. The proteins significantly up-regulated 3 d after
inoculation were PR10, resistance protein Pto, secretory peroxidase, cinnamoyl
CoA reductase and different expression regulators; down-regulated proteins were
ascorbate peroxidase, tocopherol cyclase, Hsp 70 and proteins involved in the
ubiquitin-associated protein-degradation pathway. A. tumefaciens transformation
induced oxidative burst and modified protein-degradation pathways were further
validated with biochemical measurements. Our results reveal that agrobacterial
transformation markedly inhibits the cellular ROS-removal system, mitochondrial
energy metabolism and the protein-degradation machinery for misfolded proteins,
while the apoptosis signaling pathway and hypersensitive response are
strengthened, which might partially explain the low efficiency and severe EC
necrosis in grape transformation.
PMID- 21889057
TI - Inflorescence abnormalities occur with overexpression of Arabidopsis lyrata FT in
the fwa mutant of Arabidopsis thaliana.
AB - Arabidopsis thaliana is a quantitative long-day plant with the timing of the
floral transition being regulated by both endogenous signals and multiple
environmental factors. fwa is a late-flowering mutant, and this phenotype is due
to ectopic FWA expression caused by hypomethylation at the FWA locus. The floral
transition results in the activation of the floral development process, the key
regulators being the floral meristem identity genes, AP1 (APETALA1) and LFY
(LEAFY). In this study, we describe inflorescence abnormalities in plants
overexpressing the Arabidopsis lyrata FT (AlFT) and A. thaliana FWA (AtFWA) genes
simultaneously. The inflorescence abnormality phenotype was present in only a
proportion of plants. All plants overexpressing both AlFT and AtFWA flowered
earlier than fwa, suggesting that the inflorescence abnormality and earlier
flowering time are caused independently. The inflorescence abnormality phenotype
was similar to that of the double mutant of ap1 and lfy, and AP1 and LFY genes
were down-regulated in the abnormal inflorescences. From these results, we
suggest that not only does ectopic AtFWA expression inhibit AtFT/AlFT function to
delay flowering but that overexpression of AtFWA and AlFT together inhibits AP1
and LFY function to produce abnormal inflorescences.
PMID- 21889058
TI - Reliability and the smallest detectable difference of measurements on 3
dimensional cone-beam computed tomography images.
AB - INTRODUCTION: The aim of this study was to determine the reliability and the
measurement error (by means of the smallest detectable error) of 17 commonly used
cephalometric measurements made on 3-dimensional (3D) cone-beam computed
tomography images. METHODS: Twenty-five cone-beam computed tomography scans were
randomly selected, and 3D images were rendered, segmented, and traced with the
SimPlant Ortho Pro software (version 2.1, Materialise Dental, Leuven, Belgium).
This was repeated twice by 2 observers during 2 sessions at least 1 week apart.
Measurement error was determined by means of the smallest detectable difference.
Differences were analyzed with Wilcoxon signed rank tests. Intraobserver and
interobserver reliability values were calculated by means of intraclass
correlation coefficients (ICC) based on absolute agreement. RESULTS: There were
great variations of measurement errors between the angular (range, 0.88 degrees
6.29 degrees ) and linear (range, 1.33-3.56 mm) variables. The greatest measuring
error was associated with the dental measurements U1-FHPL, L1-MdPL. and L1-FHPL
(range, 3.80 degrees -6.29 degrees ). ANB angle was the only variable with a
measuring error of 1 degrees or less for both observers. The intraobserver
agreement of all measurements was very good (ICC, 0.86-0.99). Except for SN-FHPL
(ICC, 0.76), interobserver agreement was very good (ICC, >0.88). CONCLUSIONS: The
measurement errors of 3D cephalometric measurements (except for the ANB angle)
can be considered clinically relevant. This questions the use of linear and
angular 3D measurements to detect true treatment effects when a high level of
accuracy is required.
PMID- 21889060
TI - Photoelastic analysis of forces generated by T-loop springs made with stainless
steel or titanium-molybdenum alloy.
AB - INTRODUCTION: The purpose of this study was to use photoelastic analysis to
compare the system of forces generated by retraction T-loop springs made with
stainless steel and titanium-molybdenum alloy (TMA) (Ormco, Glendora, Calif) with
photoelastic analysis. METHODS: Three photoelastic models were used to evaluate
retraction T-loop springs with the same preactivations in 2 groups. In group 1,
the loop was constructed with a stainless steel wire, and 2 helicoids were
incorporated on top of the T-loop; in group 2, it was made with TMA and no
helicoids. RESULTS: Upon using the qualitative analysis of the fringe order in
the photoelastic model, it was observed that the magnitude of force generated by
the springs in group 1 was significantly higher than that in group 2. However,
both had symmetry for the active and reactive units related to the system of
force. CONCLUSIONS: Both springs had the same mechanical characteristics. TMA
springs showed lower force levels.
PMID- 21889059
TI - Metallic ions released from stainless steel, nickel-free, and titanium
orthodontic alloys: toxicity and DNA damage.
AB - INTRODUCTION: The aims of this study were to determine the amounts of metallic
ions that stainless steel, nickel-free, and titanium alloys release to a culture
medium, and to evaluate the cellular viability and DNA damage of cultivated human
fibroblasts with those mediums. METHODS: The metals were extracted from 10
samples (each consisting of 4 buccal tubes and 20 brackets) of the 3 orthodontic
alloys that were submerged for 30 days in minimum essential medium. Next, the
determination of metals was performed by using inductively coupled plasma mass
spectrometry, cellular viability was assessed by using the tetrazolium reduction
assay (MTT assay) (3-[4,5-dimethylthiazol-2-yl]-2, 5-diphenyltetrazolium
bromide), and DNA damage was determined with the Comet assay. The metals measured
in all the samples were Ti(47), Cr(52), Mn(55), Co(59), Ni(60), Mo(92), Fe(56),
Cu(63), Zn(66), As(75), Se(78), Cd(111), and Pb(208). RESULTS: The cellular
viability of the cultured fibroblasts incubated for 7 days with minimum essential
medium, with the stainless steel alloy submerged, was close to 0%. Moreover, high
concentrations of titanium, chromium, manganese, cobalt, nickel, molybdenum,
iron, copper, and zinc were detected. The nickel-free alloy released lower
amounts of ions to the medium. The greatest damage in the cellular DNA, measured
as the olive moment, was also produced by the stainless steel alloy followed by
the nickel-free alloy. Conversely, the titanium alloy had an increased cellular
viability and did not damage the cellular DNA, as compared with the control
values. CONCLUSIONS: The titanium brackets and tubes are the most biocompatible
of the 3 alloys studied.
PMID- 21889061
TI - Comparison of 6 cone-beam computed tomography systems for image quality and
detection of simulated canine impaction-induced external root resorption in
maxillary lateral incisors.
AB - INTRODUCTION: The most frequent adverse effect of canine impaction is resorption
of the adjacent incisors. The subjective image quality and the radiographic
diagnostic accuracy for detection of simulated canine-induced external root
resorption lesions in maxillary lateral incisors were compared among 6 cone-beam
computed tomography (CBCT) systems in vitro. METHODS: A child cadaver skull in
the early mixed dentition was obtained. This skull had an impacted maxillary left
canine and allowed a reliable simulation. Simulated root resorption cavities were
created in 8 extracted maxillary left lateral incisors by the sequential use of
0.16-mm diameter round burs in the distopalatal root surface. Cavities of varying
depths were drilled in the middle or apical thirds of each tooth root according
to 3 setups: slight (0.15, 0.20, and 0.30 mm), moderate (0.60 and 1.00 mm), and
severe (1.50, 2.00, and 3.00 mm) resorption. The lateral incisors, including 2
intact teeth, were repositioned individually in the alveolus with approximal
contacts to the impacted maxillary left canine. Six sets of radiographic images
were obtained with 3D Accuitomo-XYZ Slice View Tomograph (J. Morita, Kyoto,
Japan), Scanora 3D CBCT (Soredex, Tuusula, Finland), Galileos 3D Comfort (Sirona
Dental Systems, Bensheim, Germany), Picasso Trio (E-WOO Technology, Giheung-gu,
Republic of Korea), ProMax 3D (Planmeca OY, Helsinki, Finland), and Kodak 9000 3D
(Trophy, Croissy-Beaubourg, France) for each tooth setup. The CBCT images were
acquired and subsequently analyzed by 12 observers. Linear models for repeated
measures were used to compare the CBCT systems for the image quality and the
degree of agreement between the diagnosed severity of root resorption and the
true severity. RESULTS: The differences in the image quality between CBCT systems
were statistically significant (P <0.001). The root resorption scores between
CBCT systems showed a significantly higher score for the ProMax when compared
with the Galileos and the Kodak. However, the differences in agreement between
the diagnosed severity of root resorption and the true severity for all
resorption sizes were not significantly different (P >0.05) among the different
CBCT systems. CONCLUSIONS: High image quality is important when detecting root
resorption. The CBCT systems used in this study had high accuracy with no
significant differences between them in the detection of the severity of root
resorption.
PMID- 21889062
TI - Effect of miniscrew placement torque on resistance to miniscrew movement under
load.
AB - INTRODUCTION: The primary stability of orthodontic anchorage miniscrews is
believed to result from mechanical interlock, with success based upon a number of
variables, including screw diameter, angle of placement, monocortical vs
bicortical placement, placement through attached or unattached soft tissue,
presence or absence of a pilot hole, periscrew inflammation, and maximum
placement torque. The purpose of this ex-vivo study was to further explore the
relationship between maximum placement torque during miniscrew placement and
miniscrew resistance to movement under load. METHODS: Ninety-six titanium screws
were placed into 24 hemi-maxillae and 24 hemi-mandibles from cadavers between the
first and second premolars by using a digital torque screwdriver. All screws were
subjected to a force parallel to the occlusal plane, pulling mesially until the
miniscrews were displaced by 0.6 mm. The Spearman rank correlation test was used
to evaluate whether there was an increasing or a decreasing relationship between
maximum placement torque of the screws, miniscrew resistance to movement, and
bone thickness. A paired-sample t test and the nonparametric Wilcoxon signed rank
test were used to compare maximum placement torque, bone thickness, and miniscrew
resistance to movement between coronally positioned and apically positioned
screws in the maxilla and the mandible, and between screws placed in the maxilla
vs screws placed in the mandible. Additionally, 1-way analysis of variance
(ANOVA) with the post-hoc Tukey-Kramer test was used to determine whether there
was a significant difference in miniscrew resistance to movement for screws
placed with maximum torque of <5 Ncm, 5 to 10 Ncm, and >10 Ncm. RESULTS: The mean
difference in miniscrew resistance to movement between maximum placement torque
groupings, <5 Ncm, 5 to 10 Ncm, and >10 Ncm, increased throughout the deflection
range of 0.0 to 0.6 mm. As deflection increased to 0.12 to 0.33 mm, the mean
resistance to movement for miniscrews with maximum placement torque of 5 to 10
Ncm was statistically greater than for screws with maximum placement torque <5
Ncm (P <0.05). As deflection increased to 0.34 to 0.60 mm, the mean resistance to
movement for miniscrews with maximum placement torque of 5 to 10 Ncm and >10 Ncm
was significantly greater than for screws with maximum placement torque <5 Ncm (P
<0.05). At no deflection was there a significant difference in resistance to
movement between the 2 miniscrew groups with higher placement torque values of 5
to 10 Ncm and >10 Ncm. CONCLUSIONS: Ex vivo, the mean resistance to movement of
miniscrews with higher maximum placement torque was greater than the resistance
to movement of those with lower maximum placement torque.
PMID- 21889063
TI - Comparative assessment of conventional and self-ligating appliances on the effect
of mandibular intermolar distance in adolescent nonextraction patients: a single
center randomized controlled trial.
AB - INTRODUCTION: Our aim in this study was to compare intermolar widths after
alignment of crowded mandibular dental arches in nonextraction adolescent
patients between conventional and self-ligating brackets. METHODS: Fifty patients
were included in this randomized controlled trial according to the following
inclusion criteria: nonextraction treatment in both arches, eruption of all
mandibular teeth, no spaces in the mandibular arch, mandibular irregularity index
from canine to canine greater than 2 mm, and no therapeutic intervention planned
involving intermaxillary or other intraoral or extraoral appliances including
elastics before the end of the observation period. The patients were randomized
into 2 groups: the first received a conventional appliance, and the other a
passive self-ligating appliance, both with a 0.022-in slot. The amount of
crowding of the mandibular dentition at baseline was assessed by using the
irregularity index. Intermolar width was investigated with statistical methods of
linear regression analysis. On an exploratory basis, the effect of appliance type
on intercanine width was also assessed. Additionally, the effects of appliance
type on time to alignment and crowding on time to alignment were assessed by
using the Cox proportional hazards model. RESULTS: No evidence of difference in
intermolar width was found between the 2 bracket systems (beta = 0.30; 95% CI,
0.3 to 0.9; P = 0.30). No evidence of difference in intercanine width was
observed between the 2 bracket systems (beta = 0.33; 95% CI, -0.8 to 0.1; P =
0.15). The time to reach alignment did not differ between appliance systems
(hazard ratio, 0.68; 95% CI, 0.4 to 1.2; P = 0.21), whereas the amount of
crowding was a significant predictor of the required time to reach alignment
(hazard ratio, 0.88; 95% CI, 0.8 to 0.9; P = 0.02). CONCLUSIONS: The use of
conventional or self-ligating brackets does not seem to be an important predictor
of mandibular intermolar width in nonextractions patients when the same wire
sequence is used.
PMID- 21889064
TI - How do you determine the quality of the evidence?
PMID- 21889066
TI - What happened to the alveolar bone during retraction?
PMID- 21889067
TI - A note about sample size.
PMID- 21889069
TI - Incidence and effects of genetic factors on canine impaction.
PMID- 21889071
TI - Confidentiality: to honor or to override?
PMID- 21889073
TI - Effect of fluoridated chewing sticks (Miswaks) on white spot lesions in
postorthodontic patients.
AB - INTRODUCTION: This article illustrates a new treatment method and evaluates the
effect of the frequent use of fluoridated chewing sticks (miswaks) on the
remineralization of white spot lesions (WSLs) diagnosed at debonding. METHODS:
Thirty-seven orthodontic patients (mean age, 17.2 years), with a minimum of 4
WSLs on the buccal surfaces of the maxillary incisors, canines, premolars, and
first molars after orthodontic therapy, were enrolled in a double-blind,
randomized, longitudinal trial lasting 6 weeks. The subjects were divided into 2
groups using fluoridated miswaks impregnated in 0.5% sodium fluoride (test group,
n = 19) and nonfluoridated miswaks (control group, n = 18). A custom-made mouth
tray, covering half of the maxillary dentition, was used while brushing with the
miswaks 5 times per day. The WSLs were scored by using a DIAGNOdent pen (KaVo,
Biberach, Germany) and with the International Caries Detection and Assessment
System (ICDAS II) index, at baseline and 2, 4, and 6 weeks after debonding.
RESULTS: Both the DIAGNOdent readings and the ICDAS II index of the WSLs
decreased in the test group on the uncovered side of the dentition but not on the
covered side during the 6-week trial (P <0.0001). There was also a slight
improvement in the control group (not significant). There was a strong
correlation between the DIAGNOdent values and the ICDAS II index when all the
data were pooled (P <0.001). CONCLUSIONS: The frequent use of fluoridated miswaks
had a remineralizing effect on WSLs. The DIAGNOdent pen might be a useful tool
for diagnosing and monitoring changes of WSLs over a relatively short period of
time.
PMID- 21889074
TI - Cytotoxicity, genotoxicity, and metal release in patients with fixed orthodontic
appliances: a longitudinal in-vivo study.
AB - INTRODUCTION: Treatment with fixed orthodontic appliances in the corrosive
environment of the oral cavity warrants in-vivo investigations of
biocompatibility. METHODS: Eighteen control and 28 treated subjects were
evaluated longitudinally. Four combinations of brackets and archwires were
tested. Buccal mucosa cell samples were collected before treatment, and 3 and 6
months after appliance placement. The cells were processed for cytotoxicity,
genotoxicity, and nickel and chromium contents. RESULTS: In the treatment group,
buccal mucosa cell viability values were 8.1% at pretreatment, and 6.4% and 4.5%
at 3 and 6 months, respectively. The composite score, a calculated DNA damage
value, decreased from 125.6 to 98.8 at 6 months. Nickel cellular content
increased from 0.52 to 0.68 and 0.78 ng per milliliter, and chromium increased
from 0.31 to 0.41 and 0.78 ng per milliliter at 3 and 6 months, respectively.
Compared with the control group, the treated subjects showed significant
differences for DNA damage and chromium content at 3 months only. CONCLUSIONS:
Fixed orthodontic appliances decreased cellular viability, induced DNA damage,
and increased the nickel and chromium contents of the buccal mucosa cells.
Compared to the control group, these changes were not evident at 6 months,
possibly indicating tolerance for or repair of the cells and the DNA.
PMID- 21889075
TI - Attitudes, awareness, and barriers toward evidence-based practice in
orthodontics.
AB - INTRODUCTION: The purpose of this study was to evaluate the attitudes, awareness,
and barriers toward evidence-based practice. METHODS: A survey consisting of 35
questions pertaining to the use of scientific evidence in orthodontics was sent
to 4771 members of the American Association of Orthodontists in the United
States. Each respondent's age, attainment of a master's degree, and whether he or
she was currently involved with teaching were ascertained. To minimize bias, the
survey questions were phrased as an examination of the use of scientific
literature in orthodontics. RESULTS: A total of 1517 surveys were received
(response rate, 32%). Most respondents had positive attitudes toward, but a poor
understanding of, evidence-based practice. The major barrier identified was
ambiguous and conflicting research. Younger orthodontists were more aware, had a
greater understanding, and perceived more barriers than did older orthodontists.
Orthodontists involved in teaching were more aware, had a greater understanding,
and reported fewer barriers than those not involved with teaching. Those with
master's degrees had a greater understanding of evidence-based practice than
those without degrees. CONCLUSIONS: Educational initiatives are needed to
increase the understanding and use of evidence-based practice in orthodontics.
PMID- 21889076
TI - Transverse dentoalveolar changes after slow maxillary expansion.
AB - INTRODUCTION: In this study, we evaluated the transverse dentoalveolar changes in
the maxillary first molar region after early treatment with the quad-helix
appliance. METHODS: Seventy-three consecutive patients (39 boys, 34 girls) who
had phase 1 quad-helix treatment were evaluated with cone-beam computed
tomography scans taken before phase 1 (mean age, 9.2 years) and phase 2 (mean
age, 11.9 years) treatments. Buccal bone thickness, buccal cortical plate
thickness, lingual bone thickness, alveolar width, palatal width, and intermolar
width were measured by using standardized orientations. RESULTS: Slow palatal
expansion with the quad-helix decreased buccal bone thickness (1.6 mm +/- 0.8),
and increased lingual bone thickness (1.6 mm +/- 1.3) and alveolar width (0.5 mm
+/- 1.0). Intermolar widths and palatal widths increased 6.5 mm +/- 2.9 and 3.9
mm +/- 1.8, respectively. At the beginning of phase 2, approximately one third of
the patients showed little or no buccal cortical plate on at least 1 side.
Patients retained with the Hawley demonstrated some relapse tendencies; patients
without retention had the greatest relapse tendencies. CONCLUSIONS: Early
treatment with the quad-helix appliance proved to be highly effective in
increasing intermolar, palatal, and alveolar widths. The teeth moved through the
alveolus, leading to substantial decreases in buccal bone thickness and increases
in lingual bone thickness.
PMID- 21889077
TI - Measurement of plastic and elastic deformation due to third-order torque in self
ligated orthodontic brackets.
AB - INTRODUCTION: Control of root torque is often achieved by introducing a twist in
a rectangular archwire. The purpose of this study was to investigate third-order
torque on different types of self-ligated brackets by analyzing the bracket's
elastic and plastic deformations in conjunction with the expressed torque at
varying angles of twist. METHODS: An orthodontic bracket was mounted to a load
cell that measured forces and moments in all directions. The wire was twisted in
the bracket via a stepper motor, controlled by custom software. Overhead images
were taken by a camera through a microscope and processed by using optical
correlation to measure deformation. RESULTS: At the maximum torquing angle of 63
degrees with 0.019 * 0.025-in stainless steel wire, the total elastic and
plastic deformation values were 0.063, 0.033, and 0.137 mm for Damon Q (Ormco,
Orange, Calif), In-Ovation R (GAC, Bohemia, NY), and Speed (Strite Industries,
Cambridge, Ontario, Canada), respectively. The total plastic deformation values
were 0.015, 0.006, and 0.086 mm, respectively, measured at 0 degrees of
unloading. CONCLUSIONS: In-Ovation R had the least deformation due to torquing of
the 3 investigated bracket types. Damon Q and Speed on average had approximately
2.5 and 14 times greater maximum plastic deformation, respectively, than did In
Ovation R.
PMID- 21889078
TI - In-vivo evaluation of salivary nickel and chromium levels in conventional and
self-ligating brackets.
AB - INTRODUCTION: Our objective was to evaluate and compare the salivary levels of
nickel and chromium before and 1, 7, and 30 days after placement of conventional
and self-ligating appliance systems. METHODS: Twenty women were randomly divided
into 2 groups. Patients in group 1 had conventional brackets bonded to their
teeth; in group 2, self-ligating brackets were bonded. Four samples of
unstimulated saliva were collected from each patient 1 hour before placement of
the fixed appliance, and 1, 7, and 30 days after placement of the appliance. The
chemical analyses for nickel and chromium levels were performed with an atomic
absorption spectrometer (PerkinElmer, Shelton, Conn). Two-way repeated measures
analysis of variance by ranks (Friedman test) were used to test the statistical
significance of differences in the concentrations of nickel and chromium before
and after placement of the appliances. Post-hoc pair-wise comparisons among
groups of the same element were calculated by using the Wilcoxon signed rank
test. A value of P <=0.05 was considered significant. RESULTS: Nickel and
chromium released into saliva from conventional and self-ligating brackets
progressively increased from days 1 to 7 and then decreased at day 30. Nickel
release was less, and chromium release was greater in the conventional bracket
group. CONCLUSIONS: Both the conventional and the self-ligating brackets did not
seem to affect significantly the nickel and chromium concentrations in saliva
during the first month of treatment.
PMID- 21889079
TI - Treatment strategies for patients with hyperdivergent Class II Division 1
malocclusion: is vertical dimension affected?
AB - INTRODUCTION: The dilemma of extraction vs nonextraction treatment, along with
the uncertain potential of orthodontic treatment to control vertical dimensions,
still remains among the most controversial issues in orthodontics. The aim of
this study was to evaluate 2 contradictory treatment protocols for hyperdivergent
Class II Division 1 malocclusion regarding their effectiveness in controlling
vertical dimensions. METHODS: The subjects were retrospectively selected from 2
orthodontic offices that used contrasting treatment protocols. The patients had
similar hyperdivergent skeletal patterns, malocclusion patterns, skeletal ages,
and sexes. Group A (29 patients) was treated with 4 first premolar extractions
and "intrusive" mechanics (eg, high-pull headgear), whereas group B (28 patients)
was treated nonextraction with no regard to vertical control (eg, cervical
headgear, Class II elastics). Twenty-seven landmarks were digitized on lateral
cephalometric radiographs before and after treatment, and 14 measurements were
assessed. Geometric morphometric methods were also implemented to evaluate size
and shape differences. RESULTS: As expected, the maxillary and mandibular molars
translated mesially and the mandibular incisors uprighted in group A but remained
approximately unchanged in group B. The vertical positions of the molars and the
incisors were similar between groups before and after treatment, although they
were altered by treatment or growth. No significant differences were observed in
the posttreatment skeletal measurements between the 2 groups, including vertical
variables, which remained unaltered. Permutation tests on Procrustes distances
between skeletal shapes confirmed these results. CONCLUSIONS: This study
demonstrated the limitations of conventional orthodontics to significantly alter
skeletal vertical dimensions. More important factors are probably responsible for
the development and establishment of the vertical skeletal pattern, such as
neuromuscular balance and function.
PMID- 21889080
TI - Computed tomographic characterization of mini-implant placement pattern and
maximum anchorage force in human cadavers.
AB - INTRODUCTION: The purpose of this investigation was to characterize the placement
pattern and factors influencing the primary stability of mini-implants in human
cadavers. The factors studied were mini-implant length, placement depth, bone
density, and bone type. METHODS: Sixty standard mini-implants (6, 8, and 10 mm;
20 of each size) were placed into the maxillas and mandibles of 5 fresh human
cadavers. Computed tomography imaging was used to measure the placement pattern,
bone density, and thickness surrounding each device. The mini-implants were
subsequently subjected to increasing tensile forces (pull-out force) until
failure, and the maximum mechanical anchorage force of each was recorded with a
dynamometer. A statistical model was realized by using MATLAB version 7.5.0 with
Statistics Toolbox 7 (MathWorks, Natick, Mass) including the maximum anchorage
force, mini-implant length, bone type, placement depth, and density surrounding
each section of the mini-implant. RESULTS: Placement depth was strongly dependent
on mini-implant length: 15% of the 6-mm implants failed to anchor their parallel
sections into cortical bone, but 95% of the 10-mm mini-implant parallel sections
penetrated beyond the buccal cortical bone; all 20 tips of the 6-mm mini-implants
(100%) reached cancellous bone, whereas 75% of the 10-mm implants penetrated both
cortical plates, reaching the lingual cortical bone. Longer mini-implants were
associated with greater incidences of sinus and bicortical perforations. The
correlation coefficients between the initial maximum mechanical anchorage force
and the studied factors were as follows: bone density and placement depth
combined (r = 0.65, P <0.001), mini-implant length (r = 0.45, P = 0.004), bone
density (r = 0.42, P = 0.007), and placement depth (r = 0.29, P = 0.06).
CONCLUSIONS: During mini-implant length selection, the clinician should consider
the important trade-off between anchorage and risk of placement complications or
damage to the tissues. Longer mini-implants enable more anchorage; however, they
are associated with a higher risk of damage to neighboring structures. Placement
depth and bone density at the site of mini-implant placement are the best
predictors of primary stability.
PMID- 21889081
TI - Immediate effects of rapid maxillary expansion with Haas-type and hyrax-type
expanders: a randomized clinical trial.
AB - INTRODUCTION: The purposes of this study were to evaluate and compare the
immediate effects of rapid maxillary expansion (RME) in the transverse plane with
Haas-type and hyrax-type expanders by using cone-beam computed tomography.
METHODS: A sample of 33 subjects (mean age, 10.7 years; range, 7.2-14.5 years)
with transverse maxillary deficiency were randomly divided into 2 groups: Haas (n
= 18) and hyrax (n = 15). All patients had RME with an initial activation of 4
quarter turns followed by 2 quarter turns per day until the expansion reached 8
mm. Cone-beam computed tomography scans were taken before expansion and at the
end of the RME phase. Maxillary transversal measurements were compared by using
the mixed analysis of variance (ANOVA) model and the Tukey-Kramer method.
RESULTS: RME increased all maxillary transverse dimensions (P <0.0001). There was
less expansion at skeletal than dental levels. The hyrax group had greater
statistically significant orthopedic effects and less tipping tendency of the
maxillary first molars compared with the Haas group. CONCLUSIONS: Both appliances
were efficient in correcting a transverse maxillary deficiency. The pure skeletal
expansion was greater than actual dental expansion. The hyrax-type expander
produced greater orthopedic effects than did the Haas-type expander, but this
effect was less than 0.5 mm per side and might not be clinically significant.
PMID- 21889082
TI - Extraction of maxillary first molars improves second and third molar inclinations
in Class II Division 1 malocclusion.
AB - INTRODUCTION: The aim of this study was to assess the changes in inclination of
the maxillary second (M2) and third (M3) molars after orthodontic treatment of
Class II Division 1 malocclusion with extraction of maxillary first molars.
METHODS: Two groups of subjects were studied. The experimental group consisted of
37 subjects, 18 boys and 19 girls (mean age, 13.2 +/- 1.62 years). The inclusion
criteria were white origin, Class II Division 1 malocclusion, overjet >=4 mm, no
missing teeth or agenesis, and maxillary M3 present. All patients were treated
with extraction of the maxillary first molars and the Begg technique.
Standardized lateral cephalometric radiographs were taken at the start of active
treatment (T1) and at least 3.7 years posttreatment (T2). The control group was
drawn from the archives of the Nittedal Growth Material (Oslo University, Oslo,
Norway) and included 54 untreated Class I and Class II subjects,18 boys and 36
girls (mean age, 13.4 +/- 1.99 years) followed up for a minimum of 3.6 years. M2
and M3 inclinations relative to the palatal plane (PP) and functional occlusal
plane (FOP) were measured and compared between groups and time periods. RESULTS:
M2 to PP inclination improved significantly in both the control group (M2-PP at
T1, 17.7 degrees +/- 5.81 degrees , and at T2, 11.9 degrees +/- 4.61 degrees )
and the experimental group (M2-PP at T1, 26.7 degrees +/- 5.75 degrees , and at
T2, 6.9 degrees +/- 6.76 degrees ). There were also significant increases of the
mesial inclination of M3 in the control group (M3-PP at T1, 30.1 degrees +/-
8.54 degrees , and at T2, 19.6 degrees +/- 9.01 degrees ) and extraction group
(M3-PP at T1, 32.2 degrees +/- 7.90 degrees , and at T2, 12.8 degrees +/- 7.36
degrees ). By using the FOP as the reference system, no significant change in the
inclination of M2 was observed in the control group, whereas, in the extraction
group, although more distally inclined at T1, M2 ended up mesially inclined at T2
(M2-FOP at T1, 14.2 degrees +/- 4.62 degrees , and at T2, -6.2 degrees +/- 6.10
degrees ; P <0.0001). M3 inclinations were similar between the groups at T1 (M3
FOP control, 17.3 degrees +/- 9.35 degrees ; M3-FOP experimental, 19.6 degrees
+/- 7.37 degrees ), and these improved significantly in both groups. However, M3
uprighting was almost 4 times greater in the extraction group (M3-FOP from T2-T1,
5.6 degrees vs 19.9 degrees ). The greatest distal inclination of M3 at T2 in
the extraction group was 9.4 degrees , a value attained by only 43% of the
control group. CONCLUSIONS: Extraction of the maxillary first molars in Class II
Division 1 patients results in significant uprighting of M2 and M3 and
facilitates the normal eruption of M3.
PMID- 21889083
TI - Evaluation of the genotoxic effects of fixed appliances on oral mucosal cells and
the relationship to nickel and chromium concentrations: an in-vivo study.
AB - INTRODUCTION: The release of metal ions from fixed orthodontic appliances is a
source of concern. The aim of this study was to evaluate genotoxic damage in the
oral mucosal cells of patients wearing fixed appliance, and the nickel and
chromium ion contents in these cells. METHODS: Twenty patients undergoing
orthodontic treatment formed the experimental group, and 20 untreated subjects
comprised the control group. Oral mucosal smears were collected at 2 times: at
debonding and 30 days after debonding. The smears were stained with Papanicolaou
stain and studied under a light microscope to evaluate the presence of
micronuclei. Inductively coupled plasma-mass spectrometry was used to quantify
the presence of metal ions. The data were subjected to the Mann-Whitney U test
and the Spearman rank correlation test. RESULTS: The mean micronuclei frequency
was significantly higher in the treated group than in the control group at
debonding; the difference was smaller and not statistically significant 30 days
after debonding. The nickel and chromium ion contents in the experimental group
were not significantly higher than in the control group. No correlation could be
established between micronuclei frequency and metal ion content. CONCLUSIONS:
Nickel and chromium alloys of orthodontic appliances emit metal ions in
sufficient quantities to induce localized genotoxic effects, but these changes
revert on removal of the appliances.
PMID- 21889084
TI - Influence of dental esthetics on social perceptions of adolescents judged by
peers.
AB - INTRODUCTION: The relationship between physical appearance and social
attractiveness is well established in the literature. The purpose of this study
was to determine whether dental esthetics influenced the perceptions of teens
when judging a peer's athletic, social, leadership, and academic abilities.
METHODS: The frontal-face smiling photographs of 10 teenage volunteers were each
altered to create 1 image with an ideal arrangement of teeth and 1 with a
nonideal arrangement. Two parallel surveys were constructed with 1 photo
displaying either an ideal or a nonideal smile image of each subject. If the
ideal smile image appeared in 1 survey, then the nonideal smile appeared in the
other. Two hundred twenty-one peer evaluators successfully rated the pictures in
1 of the surveys by indicating their perception of each subject's athletic,
social, leadership, and academic abilities. RESULTS: The subjects' photographs
with ideal smile esthetics were consistently rated higher on average than the
same subjects' images with nonideal smile esthetics. The differences in ratings
between ideal and nonideal smiles were significant for perceptions of athletic
performance (P = 0.0141), popularity (P <0.0001), and leadership ability (P
<0.0001), but not for academic performance (P = 0.0548). CONCLUSIONS: On average,
ratings for the ideal smiles in perceived athletic, social, and leadership skills
were about 10% higher than those given for images with nonideal smiles. Based on
these findings, it would be expected that orthodontic treatment resulting in
improved smile esthetics can provide modest social benefits for adolescent
patients.
PMID- 21889085
TI - Treatment of ankylosis of the mandibular first molar with orthodontic traction
immediately after surgical luxation.
AB - The aim of this article was to report a clinical case of orthodontic treatment in
a patient with Class II malocclusion and ankylosis of a maxillary first molar.
Surgical luxation was performed, followed immediately by traction with an
orthodontic arch with straps. The results obtained were satisfactory, and
occlusal equilibrium was improved.
PMID- 21889086
TI - Total alloplastic temporomandibular joint reconstruction combined with
orthodontic treatment in a patient with idiopathic condylar resorption.
AB - This case report describes the successful treatment of an adult patient with
skeletal Class II open-bite malocclusion secondary to idiopathic condylar
resorption. Total alloplastic joint reconstruction and counterclockwise rotation
of the maxillomandibular complex combined with orthodontic treatment provided a
satisfying outcome with maximum functional and esthetic improvement.
PMID- 21889087
TI - Sturge-Weber syndrome in an orthodontic patient.
AB - The aim of this article was to describe the Sturge-Weber syndrome in a patient
with orthodontic requirements. Pathologies involved in this syndrome affect
facial cranial growth.
PMID- 21889088
TI - Atypical orthodontic extraction pattern managed by differential en-masse
retraction against a temporary skeletal anchorage device in the treatment of
bimaxillary protrusion.
AB - This report introduces an innovative treatment approach of selecting atypical and
unconventional teeth for orthodontic extraction without compromising the quality
of treatment outcomes by using temporary skeletal anchorage devices in patients
with bimaxillary protrusion. Both patients introduced in this report had solid
Class I molar relationships with bimaxillary anterior protrusion without facial
or dental midline asymmetry. Their chief concerns were significant facial
convexity, which conventionally requires the extraction of all 4 first premolars.
However, 3 second premolars and 1 first premolar were removed in the first
patient, and 2 second premolars and 2 first premolars were removed in the second
patient. All second premolars extracted had previously had root canal treatment
and large prosthodontic restorations, which resulted in a compromised short
lifespan of the teeth relative to the natural dentition. To manage these cases of
asymmetric extraction space in a symmetric dental and skeletal environment, 2
mini-implants were placed in the posterior maxillary interradicular spaces, 1 on
each side. Despite the unusual asymmetric extraction of teeth, superimposition of
the pretreatment and posttreatment cephalometric tracings shows excellent
treatment outcomes of facial convexity reduction by asymetric maximum retraction
of the anterior teeth with no change in the molar relationships.
PMID- 21889089
TI - Accuracy in tooth positioning with a fully customized lingual orthodontic
appliance.
AB - INTRODUCTION: To understand orthodontic tooth movement, a method of
quantification of tooth position discrepancies in 3 dimensions is needed.
Brackets and wires now can be fabricated by CAD/CAM technology on a setup made at
the beginning of treatment, so that treatment should produce a reasonably precise
duplicate of the setup. The extent of discrepancies between the planned and
actual tooth movements can be quantified by registration of the setup and final
models. The goal of this study was to evaluate the accuracy of a CAD/CAM lingual
orthodontic technique. METHODS: Dental casts of 94 consecutive patients from 1
practice, representing a broad range of orthodontic problems, were scanned to
create digital models, and then the setup and final models for each patient were
registered individually for the maxillary and mandibular dental arches.
Individual tooth discrepancies between the setup and actual outcome were computed
and expressed in terms of a six-degrees-of-freedom rectangular coordinate system.
RESULTS: Discrepancies in position and rotation between the setup and outcome
were small for all teeth (generally less than 1 mm and 4 degrees ) except for the
second molars, where some larger discrepancies were observed. Faciolingual
expansion in the posterior teeth was greater in the setup than in the final
models, especially at the second molars. Linear mixed models showed that age,
type of tooth, jaw, initial crowding, time in slot-filling wire, use of elastics,
days in treatment, interproximal reduction, and rebonding, were all influences on
the final differences, but, for most of these factors, the influence was small,
explaining only a small amount of the discrepancy between the planned and the
actual outcomes. CONCLUSION: These fully customized lingual orthodontic
appliances were accurate in achieving the goals planned at the initial setup,
except for the full amount of planned expansion and the inclination at the second
molars. This methodology is the first step toward understanding and measuring
tooth movement in 3 dimensions.
PMID- 21889090
TI - Litigation and legislation. Talking trash.
PMID- 21889091
TI - The evidence pyramid and introduction to randomized controlled trials.
PMID- 21889092
TI - Seminars in Vascular Surgery. Contemporary issues in hemodialysis access.
Introduction.
PMID- 21889093
TI - Brachial-basilic autogenous access.
AB - The emphasis on autogenous arteriovenous hemodialysis access has increased the
focus on the brachial-basilic autogenous configuration currently recommended by
the national guidelines when the cephalic vein is not suitable. The brachial
basilic autogenous access has been extensively studied and compared with both
prosthetic (arteriovenous graft [AVG]) and other autogenous accesses. The
literature suggests that the brachial-basilic autogenous access is superior to
AVGs in terms of patency, reintervention rates, and infectious complications.
However, controversy still remains with respect to its role in the treatment
algorithm and the technical conduct of the operation. This review will address
the ongoing issues and controversies surrounding the brachial-basilic autogenous
access and define its role for the hemodialysis access surgeon.
PMID- 21889094
TI - Alternative autogenous arteriovenous hemodialysis access options.
AB - An autogenous arteriovenous hemodialysis access (AVF) remains the consensus
recommended vascular access for individuals requiring hemodialysis. Surgical
options, strategies, and guidelines have been established by several
organizations, including the National Kidney Foundation, the Fistula First
Breakthrough Initiative, and the Society for Vascular Surgery. Establishing a
successful AVF in a high percentage of patients requires a thorough knowledge of
the many access options and clinical practice recommendations, in addition to a
careful clinical history/physical examination, pre- and postoperative ultrasound,
and further vascular imaging in select patients. The more common AVF
configurations may not be possible in complex patients because of limited venous
outflow, arterial insufficiency, or both. However, the vascular access surgeon
may still be able to construct a successful AVF in these challenging patients by
utilizing one of several alternative procedures. Avoiding prosthetic
arteriovenous accesses and central venous catheter-based dialysis is feasible in
most patients. This article reviews some of the alternative options for
establishing successful AVFs.
PMID- 21889095
TI - Balloon angioplasty to facilitate autogenous arteriovenous access maturation: a
new paradigm for upgrading small-caliber veins, improved function, and
surveillance.
AB - Balloon angioplasty maturation is emerging as an important method to increase
utilization and improve function of autogenous arteriovenous hemodialysis
accesses (AVFs). Through the sequential dilation of small veins, large-diameter
AVFs can be created with the inherent benefits of easier cannulation, greater
overall surface area, improved patency, and fewer complications. A usable AVF can
be created in a shorter amount of time, decreasing the need for dialysis
catheters. In addition, selective angioplasty of the AVF inflow and outflow can
facilitate improved flow rates and increase access longevity. Our approach,
including primary balloon angioplasty during AVF creation, sequential balloon
angioplasty maturation, and surveillance fistulagrams will be presented.
PMID- 21889096
TI - Role of prosthetic hemodialysis access following introduction of the dialysis
outcome quality and Fistula First Breakthrough Initiatives.
AB - The emphasis on increasing the use of autogenous hemodialysis access in the
United States has clearly changed the practice pattern of vascular surgeons
during the past decade. However, this change has also been associated with an
increased use of cuffed dialysis catheter and a decrease in the autogenous access
maturation rate. Future efforts to increase autogenous access use will be
hampered, in part, by the characteristics and comorbidities of the aging
hemodialysis population and system-wide health care delivery issues, such as late
referral for vascular access. As a result, prosthetic access will continue to
play an important role in providing vascular access for the US hemodialysis
population. This article reviews contemporary trends and evidence-based
literature related to autogenous and prosthetic access procedures, as well as
factors that influence access choice.
PMID- 21889097
TI - Approach to permanent hemodialysis access in obese patients.
AB - Obesity has reached an epidemic in the United States and, not surprisingly, there
has been a dramatic increase in obesity-associated comorbidities, complete with a
host of new, related surgical challenges. The creation and maintenance of
permanent hemodialysis access, particularly autogenous access, is generally
considered more difficult in the obese patient because of the increased risk of
perioperative complications, as well as a decreased maturation rate. Most of the
data documenting these adverse outcomes come from retrospective studies and,
therefore, the reliability of the data is somewhat limited, given the inherent
selection bias. In the United States, most obese patients dialyze through
prosthetic access, despite the national initiatives targeted at maximizing
autogenous access. However, it is possible to construct an autogenous access in
most patients, including obese patients, presenting for permanent access using
proper, diligent preoperative imaging and an aggressive postoperative
surveillance protocol until access maturation. This is facilitated by careful
preoperative planning and liberal use of multiple diagnostic and therapeutic
maneuvers to improve overall access function. In this review, the outcomes
associated with permanent hemodialysis access in the obese are discussed and
helpful suggestions to facilitate a functional access provided.
PMID- 21889098
TI - Lower extremity arteriovenous hemodialysis access: an important adjunct in select
patients.
AB - Given the current survival rates of patients receiving hemodialysis, it has
become increasingly common for patients to exhaust their upper extremity access
options. Likewise, overzealous catheter use can lead to central venous occlusion
or stenosis, further limiting the upper extremity access options. In these
patients, use of the lower extremities for access is often required. Fortunately,
there are a number of options available that have acceptable durability and
utility. The purpose of this article is to review the various techniques
available for placement of hemodialysis access in the lower extremity and discuss
their results.
PMID- 21889099
TI - HeRO Vascular Access Device.
AB - Chronic hemodialysis via a tunneled dialysis catheter (TDC) is associated with a
high incidence of infectious complications and increased mortality and,
therefore, should only be considered when all other options for vascular access
are exhausted. The Hemoaccess Reliable Outflow (HeRO) Vascular Access Device
(Hemosphere, Inc., Minneapolis, MN) is an alternative to the TDC. Early results
suggests that the infectious complications are significantly less for the HeRO
device when compared to the TDC, and the secondary patency for the device
approximates that for prosthetic accesses. The device can be successfully placed
in >90% of catheter-dependent patients. Chronic hemodialysis via the HeRO Device
is preferable to TDC use.
PMID- 21889100
TI - Management of central vein stenoses and occlusions: the critical importance of
the costoclavicular junction.
AB - The failure of an autogenous or prosthetic arteriovenous hemodialysis access is
usually related to the failure of the venous outflow resulting from a stenosis
somewhere in the venous system, commonly at the venous anastomosis for a
prosthetic access or within the central veins. The National Kidney Foundation's
Kidney Disease Outcomes Quality Initiative guidelines state that percutaneous
transluminal venoplasty with or without stenting is the preferred initial
treatment for a central venous stenosis, but the results of these therapies have
been have relatively disappointing when analyzed as a whole. Although endoluminal
intervention works well (and is, indeed, the primary option) for treating areas
of stenosis surrounded by soft tissue, we believe stenoses occurring at the
costoclavicular junction are caused by extrinsic bony compression and, therefore,
should be considered dialysis-associated venous thoracic outlet syndrome. The
treatment of venous thoracic outlet syndrome, based on decades of experience,
generally requires bony decompression for long-term patency. In the last 2 years,
we have treated 12 patients with dialysis-associated venous thoracic outlet
syndrome with surgical decompression of the thoracic outlet. Functional patency
was achieved in 75% of patients at a mean follow-up of 8 months. We would contend
that not all central vein stenoses are equivalent and that an individualized
approach is most appropriate based on the extent and anatomic location of the
lesion.
PMID- 21889101
TI - Role of stent grafts for the treatment of failing hemodialysis accesses.
AB - Covered stents or stent grafts are exciting new products with multiple
applications for patients with vascular disease, including hemodialysis access
related complications. Although most of the current uses of stent grafts in these
settings are "off-label" (ie, not approved by the US Food and Drug
Administration) several studies are currently underway to provide the necessary
data to support their application. It is clear that stent grafts provide a rapid,
effective means for endovascular repair of ruptured access vessels. The
commercially available devices and their current applications for treating access
related complications, including aneurysms/pseudoaneurysms, venous outflow
stenoses, cephalic arch lesions, ruptures, and diffuse access stenoses, will be
reviewed in this article. Available data are reported along with our current
clinical practice and algorithms.
PMID- 21889102
TI - Treatment strategies for access-related hand ischemia.
AB - Access-related hand ischemia, commonly known as "steal syndrome," is one of the
most challenging and worrisome complications for the access surgeon. The
construction of an arteriovenous access results in a predictable decrease in the
perfusion pressure distal to the anastomosis, which can result in ischemia if the
compensatory mechanisms are inadequate. Several preoperative clinical features
have been shown to identify patients at risk. The diagnosis of access-related
hand ischemia is largely a clinical one that can be aided in equivocal cases with
noninvasive vascular laboratory studies. The treatment goals are to reverse the
hand ischemia and to preserve the access. There are a variety of different
remedial treatments, including access ligation, correction of the inflow lesion,
limiting the flow through the access, proximalization of arterial inflow,
revision using distal inflow, and distal revascularization with interval
ligation. The optimal choice is predicated on the timing and severity of symptoms
in conjunction with the access type, its anticipated durability, patient
comorbidities, distribution of occlusive disease, and availability of venous
conduit. The distal revascularization with interval ligation procedure has
emerged as our optimal treatment and reverses the ischemic symptoms and salvages
the access in approximately 90% of the cases. It is incumbent on all access
surgeons to be familiar with the management of access-related hand ischemia. A
review of the underlying pathophysiology and treatment options will be provided
along with our current treatment algorithm.
PMID- 21889103
TI - Role of access surveillance and preemptive intervention.
AB - Vascular access dysfunction continues to be a major cause of morbidity and
mortality in the end-stage renal patient. Thrombosis is the primary cause of
prosthetic arteriovenous access (ie, graft) failure caused by the progressive
development of neointimal hyperplasia, which eventually leads to a stenosis,
usually at the venous anastomosis. More than 20 years ago, observational studies
using a variety of surveillance techniques, coupled with preemptive angioplasty,
convincingly demonstrated the ability to detect venous stenosis, and elective
treatment of stenoses significantly decreased both thrombosis and access loss.
Although multiple observational studies have shown a benefit from surveillance,
these studies generally had no control population, used historical controls, or
used incorrect statistical analysis. However, five randomized controlled trials
that evaluated the effect of graft surveillance coupled with preemptive
angioplasty have failed to demonstrate a benefit on graft outcomes, including
prolongation of graft survival. This review will examine the role of access
surveillance and preemptive angioplasty in achieving the goal of reducing
vascular access thrombosis and prolonging access survival.
PMID- 21889104
TI - Is there a way forward for forensic science research in the UK?
PMID- 21889105
TI - Measuring the validity and reliability of forensic likelihood-ratio systems.
AB - There has been a great deal of concern recently about validity and reliability in
forensic science. This paper reviews for a broad target audience metrics of
validity and reliability (accuracy and precision) which have been applied in
forensic voice comparison and which are potentially applicable in other branches
of forensic science. The metric of validity is the log likelihood-ratio cost
(C(llr)), and the metric of reliability is an empirical estimate of credible
intervals. A revised procedure for the calculation of credible intervals is
introduced.
PMID- 21889106
TI - Chemical enhancement of footwear impressions in blood on fabric - part 1: protein
stains.
AB - A range of protein stains were utilised for the enhancement of footwear
impressions on a variety of fabric types of different colours with blood as a
contaminant. A semi-automated stamping device was used to deliver test
impressions at a set force to minimise the variability between impressions;
multiple impressions were produced and enhanced by each reagent to determine the
repeatability of the enhancement. Results indicated that while most protein
stains used in this study successfully enhanced impressions in blood on light
coloured fabrics, background staining caused interference on natural fabrics.
Enhancement on dark coloured fabrics was only achieved using fluorescent protein
stains, as non-fluorescent protein stains provided poor contrast. A further
comparison was performed with commercially available protein staining solutions
and solutions prepared within the laboratory from the appropriate chemicals. Both
solutions performed equally well, though it is recommended to use freshly
prepared solutions whenever possible.
PMID- 21889107
TI - Chemical enhancement of footwear impressions in blood on fabric - part 2:
peroxidase reagents.
AB - This study investigates the optimisation of peroxidase based enhancement
techniques for footwear impressions made in blood on various fabric surfaces.
Four different haem reagents: leuco crystal violet (LCV), leuco malachite green
(LMG), fluorescein and luminol were used to enhance the blood contaminated
impressions. The enhancement techniques in this study were used successfully to
enhance the impressions in blood on light coloured surfaces, however, only
fluorescent and/or chemiluminescent techniques allowed visualisation on dark
coloured fabrics, denim and leather. Luminol was the only technique to enhance
footwear impressions made in blood on all the fabrics investigated in this study.
PMID- 21889108
TI - A statistical methodology for the comparison of blue gel pen inks analyzed by
laser desorption/ionization mass spectrometry.
AB - A statistical methodology for the objective comparison of LDI-MS mass spectra of
blue gel pen inks was evaluated. Thirty-three blue gel pen inks previously
studied by RAMAN were analyzed directly on the paper using both positive and
negative mode. The obtained mass spectra were first compared using relative areas
of selected peaks using the Pearson correlation coefficient and the Euclidean
distance. Intra-variability among results from one ink and inter-variability
between results from different inks were compared in order to choose a
differentiation threshold minimizing the rate of false negative (i.e. avoiding
false differentiation of the inks). This yielded a discriminating power of up to
77% for analysis made in the negative mode. The whole mass spectra were then
compared using the same methodology, allowing for a better DP in the negative
mode of 92% using the Pearson correlation on standardized data. The positive mode
results generally yielded a lower differential power (DP) than the negative mode
due to a higher intra-variability compared to the inter-variability in the mass
spectra of the ink samples.
PMID- 21889109
TI - Sampling and statistical considerations for the Suchey-Brooks method for pubic
bone age estimation: implications for regional comparisons.
AB - Although the Suchey-Brooks (SB) system is currently the most widely used method
for age-at-death estimation from the pubic bone, the system continues to evolve
through stepwise improvements. Since the system was developed from a pubic bone
sample derived mainly from North Americans, it is unclear how well it performs on
populations from other continents. During the last decade, studies of the SB
system on pubic bone samples from local populations in Europe and Asia have
indicated regional differences in the relationship between age and pubic bone
development. However, these studies have for the most part followed different
research protocols, which make comparisons between their results less meaningful.
It would be most useful if future regional analysis of the SB system were done in
a rigorous and uniform fashion, following standard procedures. In this paper,
sampling and statistical considerations are outlined that hopefully will help to
standardize research on the SB system.
PMID- 21889110
TI - Estimation of postmortem interval using an electric impedance spectroscopy
technique: a preliminary study.
AB - The objective of this study was to develop a rapid method for the estimation of
postmortem interval (PMI) using electric impedance spectroscopy. Postmortem rat
spleens were studied at 10 degrees C, 20 degrees C, and 30 degrees C; The results
obtained demonstrated that postmortem interval negatively correlated with the
absolute value of Im Z(//) (capacitive reactance component) in electrical
impedance. This suggests that electric impedance spectroscopy may be a sensitive
tool to determine the postmortem interval.
PMID- 21889111
TI - Are UK undergraduate Forensic Science degrees fit for purpose?
AB - In October 2009 Skills for Justice published the social research paper 'Fit for
purpose?: Research into the provision of Forensic Science degree programmes in UK
Higher Education Institutions.' The research engaged employers representing 95%
of UK Forensic Science providers and 79% of UK universities offering Forensic
Science or Crime Scene degree programmes. In addition to this, the research
collected the views of 430 students studying these degrees. In 2008 there were
approximately 9000 people working in the Forensic Science sector in the UK. The
research found that the numbers of students studying Forensic Science or Crime
Scene degrees in the UK have more than doubled since 2002-03, from 2191 in to
5664 in 2007-08. Over the same period there were twice as many females as males
studying for these degrees. The research concluded that Forensic Science degree
programmes offered by UK universities were of a good quality and they provided
the student with a positive learning experience but the content was not relevant
for Forensic Science employers. This echoed similar research by the former
Government Department for Innovation, Universities and Skills on graduates from
wider science, technology, engineering and mathematics degree programmes. The
research also found that 75% of students studying Forensic Science or Crime Scene
degrees expected to have a career in the Forensic Science sector, meaning that
ensuring these courses are relevant for employers is a key challenge for
universities. This paper reflects on the original research and discusses the
implications in light of recent government policy.
PMID- 21889112
TI - Development of biological standards for the quality assurance of presumptive
testing reagents.
AB - Forensic scientists periodically check working test reagents with known or
standards to verify that the presumptive testing reagents are working properly.
Often times, this is done with a neat body fluid such as blood or saliva that is
dried onto a swab and kept in a freezer. The problem with this practice is that a
degrading test reagent, for example acid phosphatase testing reagent, may test
positive on a neat standard but miss a weak semen stain from a case. To ensure
that presumptive testing reagents are working properly, a series of "weak"
standards have been developed for the testing of acid phosphatase, amylase,
creatinine and hemoglobin. The preparation and use of these biological standards
will be discussed.
PMID- 21889113
TI - Development and validation of a clinical prediction model to estimate the
probability of malignancy in solitary pulmonary nodules in Chinese people.
AB - INTRODUCTION: This study evaluated the clinical factors affecting the probability
of malignancy of solitary pulmonary nodules (SPNs) using multivariate logistic
regression analysis. A clinical prediction model was subsequently developed to
estimate the probability of malignancy. This model was then validated. METHODS:
Medical records from 371 patients (197 men, 174 women) with a pathologic
diagnosis of SPN made between January 2000 and September 2009, were reviewed.
Clinical data were collected to estimate the independent predictors of malignancy
of SPN with multivariate analysis. A clinical prediction model was subsequently
created. Between October 2009 and March 2010, data from an additional 62 patients
with a pathologic diagnosis of SPN were used to validate this clinical prediction
model. The model was also compared with two previously described models. RESULTS:
Median patient age was 57.1 years old. Fifty-three percent of the nodules were
malignant and 46% were benign. Logistic regression analysis identified six
clinical characteristics (age, diameter, border, calcification, spiculation, and
family history of tumor) as independent predictors of malignancy in patients with
SPN. The area under the receiver operating characteristic (ROC) curve for our
model (0.89; 50% confidence interval [CI], 0.78-0.99) was higher than those
generated using another two reported models. In our model, sensitivity was 92.5%,
specificity was 81.8%,positive predictive value was 90.2%, and negative
predictive value was 85.7%). CONCLUSIONS: Age of the patient, diameter, border,
calcification, spiculation, and family history of tumors were independent
predictors of malignancy in patients with SPN. Our prediction model was more
accurate than the two existing models and was sufficient to estimate malignancy
in patients with SPN.
PMID- 21889114
TI - Association of copy number loss of CDKN2B and PTCH1 with poor overall survival in
patients with pulmonary squamous cell carcinoma.
AB - BACKGROUND AND PURPOSE: Although lung cancer is the leading cause of cancer
deaths worldwide, reliable markers allowing prediction of patient survival at the
time of initial diagnosis are still lacking. Copy number alterations (CNAs) in
tumor tissue DNA have been associated with tumorigenesis and malignant
progression. We aimed at identification of gene-level CNAs with prognostic value
for survival in pulmonary squamous cell carcinoma (SCC). METHODS: The CNA status
of a panel of 44 genes was analyzed by high-resolution array comparative genomic
hybridization (CGH) in 49 SCC samples. Overall survival information (median
follow-up, 40 months) for the patients was collected and used to assess outcome
correlations with gene CNAs. RESULTS: Survival analysis showed that both CDKN2B
loss and PTCH1 loss were associated with poor survival (both P < .001, log-rank
test). Multivariate Cox analysis, including CDKN2B loss and PTCH1 loss as well as
age, sex, cigarette smoking status, tumor size, tumor differentiation, and TNM
stage showed that CDKN2B loss (hazard ratio [HR], 17.88; 95% confidence interval
[CI], 4.40-72.67; P < .001) and PTCH1 loss (HR, 10.81; 95% CI, 1.92-60.98; P =
.007) were independent prognostic factors for poor survival. In addition the
PTCH1 loss was more frequently found in moderately or poorly differentiated
tumors than in well-differentiated tumors (P = .007). CONCLUSION: These findings
suggest that 2 genes of loss, CDKN2B and PTCH1, are associated with poor overall
survival in patients with SCC of the lung and may be useful as prognostic
markers.
PMID- 21889115
TI - Impact of apolipoprotein E4-cerebrospinal fluid beta-amyloid interaction on
hippocampal volume loss over 1 year in mild cognitive impairment.
AB - BACKGROUND: The majority of studies relating amyloid pathology with brain volumes
have been cross-sectional. Apolipoprotein E4 (APOE E4), a genetic risk factor for
Alzheimer's disease, is also known to be associated with hippocampal volume loss.
No studies have considered the effects of amyloid pathology and APOE E4 together
on longitudinal volume loss. METHODS: We evaluated whether an abnormal level of
cerebrospinal fluid beta-amyloid (CSF Abeta) and APOE E4 carrier status were
independently associated with greater hippocampal volume loss over 1 year. We
then assessed whether APOE E4 status and CSF Abeta acted synergistically, testing
the significance of an interaction term in the regression analysis. We included
297 participants: 77 cognitively normal, 144 with mild cognitive impairment
(MCI), and 76 with Alzheimer's disease. RESULTS: An abnormal CSF Abeta level was
found to be associated with greater hippocampal volume loss over 1 year in each
group. APOE E4 was associated with hippocampal volume loss only in the
cognitively normal and MCI groups. APOE E4 carriers with abnormal CSF Abeta in
the MCI group acted synergistically to produce disproportionately greater volume
loss than noncarriers. CONCLUSION: Baseline CSF Abeta predicts progression of
hippocampal volume loss. APOE E4 carrier status amplifies the degree of
neurodegeneration in MCI. Understanding the effect of interactions between
genetic risk and amyloid pathology will be important in clinical trials and our
understanding of the disease process.
PMID- 21889116
TI - Neuropsychiatric symptoms in Alzheimer's disease.
AB - Neuropsychiatric symptoms (NPS) are core features of Alzheimer's disease and
related dementias. Once thought to emerge primarily in people with late-stage
disease, these symptoms are currently known to manifest commonly in very early
disease and in prodromal phases, such as mild cognitive impairment. Despite
decades of research, reliable treatments for dementia-associated NPS have not
been found, and those that are in widespread use present notable risks for people
using these medications. An Alzheimer's Association Research Roundtable was
convened in the spring of 2010 to review what is known about NPS in Alzheimer's
disease, to discuss classification and underlying neuropathogenesis and
vulnerabilities, and to formulate recommendations for new approaches to tailored
therapeutics.
PMID- 21889118
TI - [Comment on: Nonsexually transmitted acute ulcer of the vulva associated with
influenza A virus infection].
PMID- 21889119
TI - Reversible nutritional hypogonadism in a 22-year-old man.
PMID- 21889117
TI - Dementia and Alzheimer's disease: a new direction.The 2010 Jay L. Foster Memorial
Lecture.
AB - BACKGROUND: The modern era of Alzheimer's disease (AD) research began in the
early 1980s with the establishment of AD research centers and expanded research
programs at the National Institute on Aging. METHODS: Over the past 30 years,
there has been success in defining criteria for AD and dementia, association of
important genetic disorders related to premature dementia in families, the
association of apolipoprotein-E(4), and measurement of incidence and prevalence
and selected risk factors. However, prevention and treatment have been elusive.
RESULTS: The development of new technologies, especially magnetic resonance
imaging, positron emission tomography to measure amyloid in vivo in the brain and
glucose metabolism, cerebrospinal fluid examination, better genetic markers,
large-scale longitudinal epidemiology studies, and preventive clinical trials has
rapidly begun a new era of research that offers opportunities to better
understand etiology, that is, determinants of amyloid biology in the brain,
neurofibrillary tangles, synaptic loss, and dementia. CONCLUSIONS: There are
three major hypotheses related to dementia: amyloid deposition and secondary
synaptic loss as a unique disease, vascular injury, and "aging." New research
must be hypothesis-driven and lead to testable approaches for treatment and
prevention.
PMID- 21889120
TI - Benefits and risks of expectant management of severe preeclampsia at less than 26
weeks gestation: the impact of gestational age and severe fetal growth
restriction.
AB - OBJECTIVE: To determine maternal and perinatal outcome in women with severe
preeclampsia at <26 weeks according to gestational age at the onset of expectant
management and the presence of severe fetal growth restriction (<5th percentile).
STUDY DESIGN: Fifty-one patients (53 fetuses; 2 twins) were retrospectively
studied. RESULTS: Median prolongation was 7 days (2-55). Maternal morbidity rate
was 43%. Perinatal survival rate was 42%. Severe fetal growth restriction
complicated 17 fetuses (33%). There were no perinatal survivors in those managed
at <24 weeks (n = 12). For those at 24-24(6/7) and 25-25(6/7) weeks, the
perinatal survival rates were 50% and 57%, respectively, and in the presence of
severe fetal growth restriction 0% and 30%, respectively. CONCLUSION: Perinatal
outcome in severe preeclampsia in the midtrimester is dependent on gestational
age and/or the presence of severe fetal growth restriction. Given the high
maternal morbidity and the extremely low perinatal survival rates, we do not
recommend expectant management before 24 weeks and/or in those with severe fetal
growth restriction at any gestational age <26 weeks.
PMID- 21889122
TI - Preventing Low Birthweight: 25 years, prenatal risk, and the failure to reinvent
prenatal care.
AB - In 2010, Preventing Low Birthweight celebrated it 25th anniversary. The report,
one of the most influential policy statements ever issued regarding obstetric
health care delivery, linked prenatal care to a reduction in low birthweight
(LBW). Medicaid coverage for prenatal care services was subsequently expanded and
resulted in increased prenatal care utilization. However, the rate of LBW failed
to decrease. This well-intentioned expansion of prenatal care services did not
change the structure of prenatal care. A single, standardized prenatal care
model, largely ineffective in the prevention of LBW, was expanded to a
heterogeneous group of patients with a variety of medical and psychosocial risk
factors. Reinventing prenatal care as a flexible model, with content, frequency,
and timing tailored to maternal and fetal risk, may improve adverse birth
outcomes. Risk-appropriate prenatal care may improve the effectiveness of
prenatal care for high-risk patients and the efficiency of prenatal care delivery
for low-risk patients.
PMID- 21889124
TI - A highly efficient deprotection of the 2,2,2-trichloroethyl group at the anomeric
oxygen of carbohydrates.
AB - Commercially available zinc dust in the presence of ammonium chloride in
acetonitrile at reflux removes the 2,2,2-trichloroethyl (TCE) group at anomeric
centers with excellent yields (>95%) in short reaction times. This present method
is easily implemented on substrates containing acyl and benzyl groups and large
scale reactions also proceed in high yield.
PMID- 21889123
TI - Emergence of functional spinal delta opioid receptors after chronic ethanol
exposure.
AB - BACKGROUND: The delta opioid receptor (DOR) is a promising target to treat
multiple indications, including alcoholism, anxiety, and nonmalignant pain. The
potential of the DORs has been underappreciated, in part, due to relatively low
functional expression of these receptors in naive states. However, chronic
exposure to stress, opioids, and inflammation can induce a redistribution of DORs
to the cell surface where they can be activated. Previously, DORs were shown to
be selectively/exclusively present in spinal cord circuits mediating mechanical
sensitivity but not those mediating thermal nociception under naive conditions.
METHODS: We spinally administered DOR and mu opioid receptor (MOR) selective
agonists ([D-Pen2,D-Pen5]-Enkephalin, deltorphin II, SNC80, and DAMGO) and
antagonists (naltriben and CTAP) and determined thermal antinociception and
mechanical sensitivity in wild-type mice or mice with a genetic disruption of DOR
or MOR. Thermal antinociception was measured using a radiant heat tail-flick
assay; mechanical sensitivity was measured using von Frey filaments. Dose
response curves were generated in naive mice and mice exposed to ethanol in a
model of voluntary consumption. RESULTS: We show that prolonged exposure to
ethanol can promote an upregulation of functional DORs in the spinal cord in
thermal pain-mediating circuits but not in those mediating mechanical
sensitivity. The upregulated DORs either modulate MOR-mediated analgesia through
convergence of circuits or signal transduction pathways and/or interact directly
with MORs to form a new functional (heteromeric) unit. CONCLUSIONS: Our findings
suggest that DORs could be a novel target in conditions in which DORs are
redistributed.
PMID- 21889125
TI - Phosphorous pentoxide mediated synthesis of 5-HMF in ionic liquid at low
temperature.
AB - A convenient, mild and environment-friendly dehydration reaction of fructose in
ionic liquid using phosphorous pentoxide (P(2)O(5)) has been investigated. The
acidic nature of P(2)O(5) along with its hygroscopic properties has been
successfully utilized to afford 81.2% yield of 5-hydroxymethylfurfural (5-HMF) at
50 degrees C in 60 mins. Phosphoric acid yielded remarkably less 5-HMF even at
higher temperature and longer reaction times. The reaction was optimized by
varying different parameters and the results indicated that no rehydration
products, such as levulinic acid or formic acid, were formed.
PMID- 21889126
TI - Atorvastatin ameliorates experimental autoimmune neuritis by decreased Th1/Th17
cytokines and up-regulated T regulatory cells.
AB - Statins have anti-inflammatory and immune-regulating properties. To investigate
the effects of atorvastatin on experimental autoimmune neuritis (EAN), an animal
model of Guillain-Barre syndrome (GBS), atorvastatin was administered to Lewis
rats immunized with bovine peripheral myelin in complete Freund's adjuvant. We
found that atorvastatin ameliorated the clinical symptoms of EAN, decreased the
numbers of inflammatory cells as well as IFN-gamma(+) and IL-17(+) cells in
sciatic nerves, decreased the CD80 expression and increased the number of
CD25(+)Foxp3(+) cells in mononuclear cells (MNC), and decreased the levels of IFN
gamma in MNC culture supernatants. These data provide strong evidence that
atorvastatin can act as an inhibitor in EAN by inhibiting the immune response of
Th1 and Th17, decreasing the expression of co-stimulatory molecule, and up
regulating the number of T regulatory cells. These data demonstrated that statins
could be used as a therapeutic strategy in human GBS in future.
PMID- 21889127
TI - Pattern of MHC class I and immune proteasome expression in Walker 256 tumor
during growth and regression in Brattleboro rats with the hereditary defect of
arginine-vasopressin synthesis.
AB - Dynamics of the expression of MHC class I, immune proteasomes and proteasome
regulators 19S, PA28, total proteasome pool and proteasome chymotrypsin-like
activity in Walker 256 tumor after implantation into Brattleboro rats with the
hereditary defect of arginine-vasopressin synthesis was studied. The tumor growth
and regression in Brattleboro rats were accompanied by changes in the proteasome
subunit level unlike the tumor growth in WAG rats with normal expression of
arginine-vasopressin gene. In the tumor implanted into Brattleboro rats the
immune proteasome level was maximal between days 14 and 17, when the tumor
underwent regression. Conversely, the expression of proteasome regulators tended
to decrease during this period. Immune proteasomes are known to produce antigen
epitopes for MHC class I to be presented to CD8+ T lymphocytes. Enhanced
expression of immune proteasomes coincided with the recovery of MHC class I
expression, suggesting the efficient presentation of tumor antigens in
Brattleboro rats.
PMID- 21889128
TI - Antigen adsorbed calcium phosphate nanoparticles stimulate both innate and
adaptive immune response in fish, Labeo rohita H.
AB - Calcium phosphate nanoparticles as an antigen/protein delivery was explored in a
fish model Labeo rohita H. S-layer protein (of Aeromonas hydrophila) adsorbed on
nano sized calcium phosphate particles elicited both innate and adaptive immune
parameters which persisted up to 63 days of post immunization through parenteral
immunization and gave cross protections.
PMID- 21889129
TI - Reduced efficacy of multiple doses of CpG-matured dendritic cell tumor vaccine in
an experimental model.
AB - CpG motifs have been advanced as agents that stimulate the maturation of DCs for
immunotherapy. The present study tested the hypothesis that multiple doses of CpG
matured DC vaccine would be efficacious for complete eradication of
experimentally-induced tumor. Accordingly, WEHI164 cells were implanted
subcutaneously in the flank of BALB/c mice. During DC culture, tumor lysate was
added to immature DCs followed by addition of CpG or non-CpG control 4-6h later.
A total of three doses of CpG or non-CpG control-matured DCs were injected around
tumors. The results showed that multiple doses of CpG-matured DCs led to
considerable decrease in cytotoxicity of lymphocytes and significantly increased
tumor growth rate compared to a single dose. Further, mice which received three
doses of the vaccine also displayed significant FoxP3 in tumor tissue. In
conclusion, multiple doses of CpG-matured DCs exhibited decreased anti-tumor
immunity in association with increased expression of FoxP3.
PMID- 21889130
TI - The TLR7/8 ligand resiquimod targets monocyte-derived dendritic cell
differentiation via TLR8 and augments functional dendritic cell generation.
AB - Imidazoquinolone compounds, such as resiquimod are Toll-like receptor (TLR) 7/8
ligands representing novel immune response modifiers undergoing clinical testing.
Resiquimod has been reported to modulate conventional human monocyte-derived DC
(moDC) differentiation, but the role of TLR7 and TLR8 is unclear. We directly
dissected the TLR7- and TLR8-dependency by employing selective TLR7 ligands and
resiquimod-coculture experiments with inhibitory oligonucleotides (iODN)
suppressing TLR7, TLR7+8 or TLR7+8+9. Selective TLR7 ligands did not affect
conventional moDC differentiation as analyzed by CD14/CD1a expression. iODN
experiments confirmed that resiquimod's effects during DC differentiation were
antagonized only with TLR8 iODNs. Direct comparison of resiquimod DC with TLR7-
and control-DC revealed significantly higher T-cell costimulatory molecule and
MHC class II expression. Resiquimod DC promoted significantly stronger allogeneic
T-cell proliferation and stronger naive CD4(+) T-cell proliferation. These
results indicate the relevance of TLR8 for human monocyte-derived DC
differentiation and maturation and may be relevant for clinical trials employing
resiquimod.
PMID- 21889131
TI - Comparative binding of soluble fragments (derCD23, sCD23, and exCD23) of
recombinant human CD23 to CD21 (SCR 1-2) and native IgE, and their effect on IgE
regulation.
AB - IgE, responsible for type I hypersensitivities, is regulated by interactions
between its receptor, CD23, and co-receptor CD21. To examine comparative binding
of recombinant human CD21 SCR 1-2 and native human IgE to CD23 plus the effect of
CD23 on IgE production, we engineered recombinant soluble human CD23 fragments;
(1) derCD23, (2) sCD23 and (3) exCD23, formed in vivo by proteolysis. SPR
analysis revealed a progressive increment in affinity of soluble fragments for
IgE, upon increasing length of CD23 "stalk" domain, exCD23>sCD23>derCD23. Soluble
CD23 fragments and their oligomeric state are shown to fine-tune the immune
response. Oligomers appear more important in enhancing IgE synthesis and monomers
lacking the tail residues fail to bind CD21 yet bind membrane IgE and down
regulate IgE synthesis. Co-ligation of membrane IgE and CD21 through soluble CD23
monomers is disturbed. This study supports anti-allergic therapies involving
stabilizing membrane CD23, or preventing shedding of soluble CD23.
PMID- 21889132
TI - Mirrors, mirrors on the wall...the ubiquitous multiple reflection error.
AB - Participants decided when somebody, Janine, could see their face in a horizontal
row of adjacent mirrors mounted flat on the same wall. They saw real mirrors and
a shop-dummy representing Janine. Such coplanar mirrors reflect different, non
overlapping areas of a scene. However, almost everybody made an unexpected error:
they claimed that Janine would see her face reflected in multiple mirrors
simultaneously. They therefore responded as if each mirror showed similar
information and thus grossly overestimated how much each mirror revealed. Further
studies established that this multiple reflection error also occurred for
vertical rows of mirrors and for different areas of a single, large mirror. The
error was even common if the participant themselves sat in front of a set of
covered-up mirrors and indicated where they would be able to see their own
reflection. In the latter case, people often made multiple reflection errors
despite having seen all the mirrors uncovered immediately before they responded.
People's gross overestimation of how much of a scene a mirror reflects and their
inability to learn to correct this false belief explains why, despite a
lifetime's experience of mirrors, they incorrectly think they will see themselves
in all nearby mirrors.
PMID- 21889133
TI - Impaired visual sensitivity within the ipsilesional hemifield following parietal
lobe damage.
AB - The parietal cortex is considered to be part of a network of brain areas that
modulates competitive interactions between targets and irrelevant distracters in
early visual cortex, however there is currently little causal evidence to support
this in human observers. It is also unclear as to whether parietal influences on
visual perception in humans are limited to the contralesional hemispace or
whether a unilateral lesion affects visual sensitivity bilaterally. Here we
examined visual sensitivity in two patients with spatial neglect and extinction
arising primarily from left-parietal damage. We used a sensitive psychophysical
task based on those previously used to demonstrate loss of stimulus selection
after lesions to extrastriate cortex. Observers discriminated the orientation of
a lateralized suprathreshold target grating that appeared alone or in the context
of nearby salient disc distracters. For parietal patients, target sensitivity
within both the contralesional and ipsilesional fields was compromised by the
presence of distracters. Conversely, healthy matched controls were unaffected by
distracters. These results indicate that parietal cortex damage can influence
visual perception within both the ipsi- as well as the contralesional field.
PMID- 21889134
TI - Relative size of numerical magnitude induces a size-contrast effect on the grip
scaling of reach-to-grasp movements.
AB - Previous research found that quantitative information labelled on target objects
of grasping movement modulates grip apertures. While the interaction between
numerical cognition and sensorimotor control may reflect a general representation
of magnitude underpinned by the parietal cortex, the nature of this embodied
cognitive processing remains unclear. In the present study, we examined whether
the numerical effects on grip aperture can be flexibly modulated by the relative
magnitude between numbers under a context, which suggests a trial-by-trial
comparison mechanism to underlie this effect. The participants performed visual
open-loop grasping towards one of two adjacent objects that were of the same
physical size but labelled with different Arabic digits. Analysis of
participants' grip apertures revealed a numerical size-contrast effect, in which
the same numerical label (i.e., 5) led to larger grip apertures when it was
accompanied by a smaller number (i.e., 2) than by a larger number (i.e., 8). The
corrected grip aperture over the time course of movement showed that the
numerical size-contrast effect remained significant throughout the grasping
movement, despite a trend of gradual dissipation. Our findings demonstrated that
interactions between number and action critically depend on the size-contrast of
magnitude information in the context. Such a size-contrast effect might result
from a general system, which is sensitive to relative magnitude, for different
quantity domains. Alternatively, the magnitude representations of numbers and
action might be processed separately and interact at a later stage of motor
programming.
PMID- 21889135
TI - Esophageal foreign-body impactions: epidemiology, time trends, and the impact of
the increasing prevalence of eosinophilic esophagitis.
AB - BACKGROUND: The epidemiology of esophageal foreign-body impaction (EFBI) is
poorly described, and the impact of the increasing prevalence of eosinophilic
esophagitis (EoE) on this is unknown. OBJECTIVE: To assess the characteristics of
patients with EFBI, to determine whether EFBI cases increased in proportion to
EoE cases, and to identify predictors of EFBI. DESIGN: Retrospective study.
SETTING: Tertiary care center. PATIENTS: Cases of EFBI from 2002 to 2009 were
identified by querying billing, clinical, and endoscopy databases for the
International Classification of Diseases, 9th Revision, Clinical Modification
code 935.1, "foreign body in the esophagus." Charts were reviewed to confirm EFBI
and to extract pertinent data. Cases of EoE were defined per guidelines. RESULTS:
Of 548 patients with EFBI (59% male, 68% white, bimodal age distribution), 482
(88%) required a procedure, 347 (63%) had food impactions, and 51 (9%) had EoE.
EFBIs increased over the study time frame, and the number of EGDs performed for
EFBI nearly quadrupled. Increasing diagnosis of EoE did not fully account for
this trend, but only 27% of patients who underwent EGD had esophageal biopsies.
Of patients who underwent biopsy, 46% had EoE. EoE was the strongest predictor of
multiple EFBIs (odds ratio 3.5; 95% CI, 1.8-7.0). LIMITATIONS: Retrospective,
single-center study. CONCLUSIONS: The number of EGDs performed for EFBI has
increased dramatically at our center, but increasing EoE prevalence only
partially explains this trend. Because only a minority of EFBI patients underwent
biopsies and because nearly half of those who did undergo biopsy had EoE, the
incidence of EoE may be substantially underestimated. Physician education is
needed to increase the proportion of subjects with EFBI who undergo biopsies.
PMID- 21889137
TI - Participation by experienced endoscopy nurses increases the detection rate of
colon polyps during a screening colonoscopy: a multicenter, prospective,
randomized study.
AB - BACKGROUND: No reported prospective, randomized study has evaluated the impact of
an endoscopy nurse participating as a second observer during colonoscopy.
OBJECTIVE: To determine whether the participation of an endoscopy nurse enhanced
the polyp detection rate (PDR) and adenoma detection rate (ADR) during screening
colonoscopy. DESIGN: Multicenter, prospective, randomized study. SETTING:
Academic hospitals. PATIENTS: A total of 844 consecutive patients undergoing
screening colonoscopy. INTERVENTIONS: Single observation by colonoscopist or dual
observation by colonoscopist and endoscopy nurse during colonoscope withdrawal.
MAIN OUTCOME MEASUREMENTS: PDR and ADR. RESULTS: No significant difference in
patient demographic data, adequacy of bowel preparation, or mean withdrawal time
was observed between the 2 groups. In total, 1153 polyps, including 762 adenomas,
were detected in 791 patients. Seven nonpolypoid, depressed neoplastic lesions (0
IIc or combined types) were only detected in the dual observation group. A
multivariate analysis revealed that experienced (>= 2 years) endoscopy nurse
participation significantly increased the PDR and ADR compared with those in the
single observation group by a colonoscopist alone (adjusted odds ratio [OR] 1.58
[95% CI, 1.07-2.32]; adjusted OR 1.47 [95% CI, 1.01-2.12], respectively).
Additionally, the PDR was significantly higher in the dual-observation group with
fellows (<500 colonoscopies) and an experienced endoscopy nurse versus that in
the single observation group (adjusted OR 2.07 [95% CI, 1.15-3.74]). There was no
significant benefit of experienced nurse participation in the subgroup with
experienced colonoscopists. LIMITATIONS: Absence of colonoscopist blinding.
CONCLUSIONS: Experienced endoscopy nurse participation increased the PDR and ADR
during screening colonoscopy. However, the benefit of participation by
experienced nurses appears to be exclusively with inexperienced colonoscopists.
PMID- 21889136
TI - Clinical impact of EUS-guided Trucut biopsy results on decision making for
patients with gastric subepithelial tumors >= 2 cm in diameter.
AB - BACKGROUND: Preoperative pathologic diagnosis of a gastric subepithelial tumor
(SET) may improve clinical decision making. However, the clinical impact of EUS
guided Trucut biopsy (EUS-TCB) data on decision making in patients with a gastric
SET has not been assessed. OBJECTIVE: To evaluate the impact of EUS-TCB
information on the clinical management of patients with a gastric SET. DESIGN:
Retrospective review of prospectively collected data. SETTING: Tertiary referral
center. PATIENTS: Sixty-five patients with gastric SETs 2 cm or larger in
diameter. INTERVENTION: EUS-TCB. MAIN OUTCOME MEASUREMENTS: The number of
patients for whom treatment plans were changed because of EUS-TCB results.
RESULTS: Nine SETs were not punctured by the TCB needle because of technical
problems, and we were unable to obtain adequate subepithelial tissue from 19
SETs. Treatment plans were changed for 18 of 65 patients (27.7%). The changes
were avoiding unnecessary resection (7 benign SETs >= 3 cm in diameter),
scheduling for definitive treatment (6 GI stromal tumors and 1 carcinoid tumor),
and modifying the surgical field (3 large GI stromal tumors and 1 carcinoma).
When we assessed treatment plans relative to tumor location, we found that
avoiding unnecessary resection was associated with the presence of cardiac SETs.
LIMITATIONS: Retrospective study with a small number of patients. CONCLUSIONS:
EUS-TCB changed or influenced management decisions in 18 of 65 patients (27.7%)
with gastric SETs. Patients could receive proper and tailored surgery, medical
treatment, or surveillance according to size and location of SETs with EUS-TCB.
PMID- 21889138
TI - Successful management of perforation during cystogastrostomy with an esophageal
fully covered metallic stent placement.
PMID- 21889139
TI - EUS-guided transesophageal treatment of gastric fundal varices with combined
coiling and cyanoacrylate glue injection (with videos).
AB - BACKGROUND: There have been numerous reports of glue embolization after
endoscopic cyanoacrylate (CYA) glue treatment of gastric fundal varices (GFV),
with some cases fatal. Coils with attached synthetic fibers may decrease or
eliminate this risk and may decrease the amount of CYA needed to achieve
obliteration. OBJECTIVE: Assess the feasibility, safety, and outcomes of
transesophageal EUS-guided therapy of GFV with combined coil and CYA injection.
DESIGN: Retrospective query of a prospectively maintained database. SETTING:
Tertiary care medical center. PATIENTS: Patients with hemorrhage from large GFV.
INTERVENTION: A standardized approach by using EUS-guided coil and CYA treatment.
MAIN OUTCOMES MEASUREMENTS: Hemostasis, rebleeding rate, complications. RESULTS:
Thirty patients with GFV were treated between March 2009 and January 2011. At
index endoscopy, 2 patients had active hemorrhage and 14 had stigmata of recent
hemorrhage. EUS-guided transesophageal treatment of GFV was successful in all.
Mean number of GFV treated was 1.3 per patient, and the mean volume of 2-octyl
CYA injected was 1.4 mL per varix. Hemostasis of acute bleeding was 100%. Among
24 patients with a mean follow-up of 193 days (range 24-589 days), GFV were
obliterated after a single treatment session in 23 (96%). Rebleeding occurred in
4 patients (16.6%), with none attributed to GFV. There were no procedure-related
complications and no symptoms or signs of CYA embolization. LIMITATIONS: Single
center, pilot study. CONCLUSION: Transesophageal EUS-guided coil and CYA
treatment of GFV is feasible and deserves further study to determine whether this
novel approach can improve safety and efficacy over standard endoscopic injection
of CYA alone.
PMID- 21889140
TI - On an LAS-integrated soft PLC system based on WorldFIP fieldbus.
AB - Communication efficiency is lowered and real-time performance is not good enough
in discrete control based on traditional WorldFIP field intelligent nodes in case
that the scale of control in field is large. A soft PLC system based on WorldFIP
fieldbus was designed and implemented. Link Activity Scheduler (LAS) was
integrated into the system and field intelligent I/O modules acted as networked
basic nodes. Discrete control logic was implemented with the LAS-integrated soft
PLC system. The proposed system was composed of configuration and supervisory sub
systems and running sub-systems. The configuration and supervisory sub-system was
implemented with a personal computer or an industrial personal computer; running
subsystems were designed and implemented based on embedded hardware and software
systems. Communication and schedule in the running subsystem was implemented with
an embedded sub-module; discrete control and system self-diagnosis were
implemented with another embedded sub-module. Structure of the proposed system
was presented. Methodology for the design of the sub-systems was expounded.
Experiments were carried out to evaluate the performance of the proposed system
both in discrete and process control by investigating the effect of network data
transmission delay induced by the soft PLC in WorldFIP network and CPU workload
on resulting control performances. The experimental observations indicated that
the proposed system is practically applicable.
PMID- 21889141
TI - Stabilization of fatigue fractures of the dorsal pelvis with a trans-sacral bar.
Operative technique and outcome.
AB - INTRODUCTION: Due to ageing of our population the number of fatigue fractures of
the pelvic ring is steadily growing. These fractures are often treated with bed
rest but may result in a disabling immobility with severe pain. An operative
treatment is an option in these cases. The aim of operative treatment is bony
healing obtained by stable fixation giving back to the patient's previous
mobility. Optimal surgical treatment is currently under debate. Sacroiliac screw
fixation and sacroplasty are used for stabilization of the dorsal pelvis. Due to
the technique and the low density of spongious sacral bone, no or only low
compression in the fracture site is obtained, which may inhibit bony healing. The
trans-sacral bar compression osteosynthesis is presented as an alternative
procedure. We present the outcome of 11 patients, who were treated with this
method. METHODS: The patient is placed in prone position on the operation table.
Under image intensifier control, a 5mm threaded sacral bar is inserted through
the body of S1 from the left to the right dorsal ilium. Nuts are placed over the
bar achieving fracture compression. When anterior pelvic instability is present,
an anterior osteosynthesis is also performed. Clinical and radiological outcome
were evaluated one year after index surgery with different scoring systems.
RESULTS: Eleven patients (9 F and 2 M) were treated between 2005 and 2010. The
mean age of the patients was 73 years at time of operation. There were no
mechanical complications. Postoperatively there was a temporary nerve palsy of L5
in one case. The mean follow-up was 14 months. In all patients, a bony healing of
the dorsal pelvic ring was achieved. Seven patients showed a major clinical
improvement, in four patients a moderate. CONCLUSIONS: Trans-sacral bar
osteosynthesis is a promising method for stabilization of fatigue fractures of
the pelvic ring. Only with this method, a high interfragmentary compression is
achieved, independent of the quality of the spongious bone of the sacral body.
PMID- 21889142
TI - Allograft bone matrix versus synthetic bone graft substitutes.
AB - Autologous bone is used very often in the treatment of fresh fractures, delayed
unions and non-unions. Alternatives have included allografts and in recent years
also demineralized bone matrix. The growing availability of good synthetic bone
grafts and their advantages in safety and avoiding donor-site morbidity are the
reasons that these products are being used more and more. There are on the market
a wide variety of substitutes with different capabilities. Nevertheless
autologous bone graft is still considered as the gold standard and will be
discussed here in that context. Osteoconductive, osteogenic and osteoinductive
products will also be classified and their advantages and disadvantages
described.
PMID- 21889143
TI - Incidence and predictors for the need for fasciotomy after extremity trauma.
PMID- 21889144
TI - Opposite effects of pravastatin and atorvastatin on insulin sensitivity in the
rat: role of vitamin D metabolites.
AB - OBJECTIVE: Recent studies indicate that pravastatin improves whereas other
statins impair glucose homeostasis in humans, but the underlying mechanisms are
not clear. We examined the effect of pravastatin and atorvastatin on insulin
sensitivity in a rat model. METHODS: Pravastatin (40 mg/kg/day) or atorvastatin
(20mg/kg/day) were administered for 3 weeks and insulin sensitivity was assessed
by measuring fasting plasma insulin, HOMA-IR, non-esterified fatty acids (NEFA)
and glycerol levels, as well as by the hyperinsulinemic euglycemic clamp.
RESULTS: Pravastatin had no effect on fasting insulin and HOMA-IR but
significantly reduced plasma NEFA and glycerol levels and increased glucose
infusion rate (GIR) during the hyperinsulinemic clamp. Increase in GIR induced by
pravastatin was not abolished by NO synthase inhibitor, l-NAME, indicating that
this effect did not result from the improvement of endothelial function.
Atorvastatin increased fasting insulin, HOM-IR, NEFA and glycerol levels as well
as reduced GIR. Statins had no effect on leptin, HMW adiponectin, resistin,
visfatin, interleukin-6 and TNF-alpha. Pravastatin increased plasma
concentrations of 25-hydroxy- and 1,25-dyhydroxyvitamin D(3) (25-OH-D(3) and 1,25
(OH)(2)-D(3)), and its effect on insulin sensitivity was mimicked by exogenous
1,25-(OH)(2)-D(3). Atorvastatin reduced plasma 25-OH-D(3) but had no effect on
1,25-(OH)(2)-D(3). Decrease in insulin sensitivity induced by atorvastatin was
not corrected by supplementation of vitamin D(3) despite normalization of plasma
25-OH-D(3) level. CONCLUSIONS: Pravastatin and atorvastatin have opposite effects
on insulin sensitivity and vitamin D(3) status. Pravastatin-induced increase in
insulin sensitivity is mediated by elevation of 1,25-(OH)(2)-D(3). In contrast,
atorvastatin-induced decrease in insulin sensitivity is independent of lowering
25-OH-D(3).
PMID- 21889145
TI - Lack of association between plasma PCSK9 and LDL-apoB100 catabolism in patients
with uncontrolled type 2 diabetes.
AB - OBJECTIVE: Pro-protein convertase subtilisin/kexin type 9 (PCSK9) is a post
transcriptional inhibitor of LDL-receptor. In non-diabetic men, plasma PCSK9
levels were found to be inversely correlated with low-density lipoprotein (LDL)
apolipoprotein B100 (apoB) fractional catabolic rate (FCR). Here, we aimed to
determine the effect of type 2 diabetes on the association between plasma PCSK9
and FCR of LDL. METHODS: A kinetic study of LDL-apoB100, using stable isotopes,
was performed in 38 individuals (20 men, 18 women) including 23 non-diabetic
normolipidemic subjects and 15 patients with type 2 diabetes. RESULTS: In the non
diabetic group, plasma PCSK9 was positively correlated with LDL-C (r=0.64,
p=0.001), apoB (r=0.67, p<0.001), and inversely correlated with LDL-apoB FCR (r=
0.61, p=0.002). In contrast, in type 2 diabetic patients, plasma PCSK9 was not
associated with LDL-C, apoB and LDL-apoB FCR. However, the lack of association
between PCSK9 and LDL-apoB FCR seemed to be limited to the patients with
"uncontrolled" diabetes (HbA1c>7%) since a borderline significant negative
correlation between PCSK9 and LDL FCR (r=-0.70, p=0.08) was retrieved in patients
with HbA1c<=7%. In multivariate analysis, LDL-apoB FCR was independently
associated with PCSK9 (p=0.001) and fasting glycaemia (log) (p=0.030) in the non
diabetic population and with PCSK9 (p=0.040) and HbA1c (p=0.029) in diabetic
patients. CONCLUSION: Our data indicate that both PCSK9 and glycaemia are
independent factors influencing LDL catabolism. Plasma PCSK9 influences
significantly the catabolism of LDL-apoB100 in individuals without diabetes, but
not in patients with uncontrolled type 2 diabetes. Thus, the influence of
diabetes on LDL-apoB FCR catabolism may overwhelm the influence of PCSK9.
PMID- 21889146
TI - Comparison of risk factors for fatal stroke and ischemic heart disease: a
prospective follow up of the health survey for England.
AB - OBJECTIVES: The aim was to compare risk factors for stroke and ischemic heart
disease (IHD) in a large general population cohort. METHODS: A prospective cohort
of 82,380 participants (aged 55.4 [SD 14.2 yrs], 44.8% men) without known history
of cardiovascular diseases (CVD) at baseline was pooled from ten years (1994
2004) of the Health Survey for England. Study members were followed, on average,
over 8 years for cause-specific mortality using linkage to national registers.
RESULTS: There were 806 and 1346 stroke and IHD deaths, respectively. The major
risk factors for stroke included age (hazard ratio [HR] = 1.15, 95% CI, 1.13
1.17), smoking (HR = 1.71; 1.20-2.44), diabetes (HR = 1.75; 1.05-2.93), total
cholesterol (HR per SD = 0.78; 0.69-0.89), and systolic BP (HR per SD = 1.22;
1.08-1.38). In addition to these risk factors, IHD was also predicted by high
density lipoprotein cholesterol, body mass index, C-reactive protein, and
fibrinogen. This pattern of results was consistent among younger (<70 yrs) and
older adults. CONCLUSION: In a large representative cohort of the general
population we found a differential pattern of risk markers for stroke compared
with IHD. This was not explained by differences in age at onset of disease.
PMID- 21889147
TI - Proprotein convertases in human atherosclerotic plaques: the overexpression of
FURIN and its substrate cytokines BAFF and APRIL.
AB - BACKGROUND: Proprotein convertase subtilisin/kexin (PCSK) enzymes cleave
proproteins into mature end products. Previously, MBTPS1 and PCSK9 have been
shown to regulate cholesterol metabolism and LDL receptor recycling, whereas
FURIN and PCSK5 have been suggested to inactivate lipases and regulate
inflammation in atherosclerosis. Here, we systematically analyzed the expression
of PCSKs and their targets in advanced atherosclerotic plaques. METHODS AND
RESULTS: Microarray and quantitative real-time PCR experiments showed that FURIN
(42.86 median fold, p = 2.1e-8), but no other PCSK, is universally overexpressed
in the plaques of different vascular regions. The mRNA expression screen of PCSK
target proteins in plaques identified many known factors, but it also identified
the significant upregulation of the previously overlooked furin-processed B cell
activating cytokines APRIL (TNFSF13, 2.52 median fold, p = 3.0e-5) and BAFF
(TNFSF13B, 2.97 median fold, p = 7.6e-6). The dysregulation of FURIN did not
associate with its htSNPs or the previously reported regulatory SNP (-229,
rs4932178) in the promoter. Immunohistochemistry experiments showed the
upregulation of FURIN in the plaque lymphocytes and macrophages where it was co
expressed with BAFF/TNFSF13B and APRIL/TNFSF13. CONCLUSIONS: Our data
unequivocally show that FURIN is the primary PCSK that is dysregulated in the
immune cells of advanced human atherosclerotic plaques, which implies a role for
this enzyme in plaque pathology. Therefore, drugs that inhibit FURIN in arteries
may modulate the course of this disease.
PMID- 21889148
TI - Determination of dynamic ankle ligament strains from a computational model driven
by motion analysis based kinematic data.
AB - External rotation of the foot has been implicated in high ankle sprains. Recent
studies by this laboratory, and others, have suggested that torsional traction
characteristics of the shoe-surface interface may play a role in ankle injury.
While ankle injuries most often involve damage to ligaments due to excessive
strains, the studies conducted by this laboratory and others have largely used
surrogate models of the lower extremity to determine shoe-surface interface
characteristics based on torque measures alone. The objective of this study was
to develop a methodology that would integrate a motion analysis-based kinematic
foot model with a computational model of the ankle to determine dynamic ankle
ligament strains during external foot rotation. Six subjects performed single
legged, internal rotation of the body with a planted foot while a marker-based
motion analysis was conducted to track the hindfoot motion relative to the tibia.
These kinematic data were used to drive an established computational ankle model.
Ankle ligament strains, as a function of time, were determined. The anterior
tibiofibular ligament (ATiFL) experienced the highest strain at 9.2+/-1.1%,
followed by the anterior deltoid ligament (ADL) at 7.8+/-0.7%, averaged over the
six subjects. The peak ATiFL strain occurred prior to peak strain in the ADL in
all subjects. This novel methodology may provide new insights into mechanisms of
high ankle sprains and offer a basis for future evaluations of shoe-surface
interface characteristics using human subjects rather than mechanical surrogate
devices.
PMID- 21889149
TI - Direct in vivo strain measurements in human bone-a systematic literature review.
AB - Bone strain is the governing stimuli for the remodeling process necessary in the
maintenance of bone's structure and mechanical strength. Strain gages are the
gold standard and workhorses of human bone experimental strain analysis in vivo.
The objective of this systematic literature review is to provide an overview for
direct in vivo human bone strain measurement studies and place the strain results
within context of current theories of bone remodeling (i.e. mechanostat theory).
We employed a standardized search strategy without imposing any time restriction
to find English language studies indexed in PubMed and Web of Science databases
that measured human bone strain in vivo. Twenty-four studies met our final
inclusion criteria. Seven human bones were subjected to strain measurements in
vivo including medial tibia, second metatarsal, calcaneus, proximal femur, distal
radius, lamina of vertebra and dental alveolar. Peak strain magnitude recorded
was 9096 MUepsilon on the medial tibia during basketball rebounding and the peak
strain rate magnitude was -85,500 MUepsilon/s recorded at the distal radius
during forward fall from standing, landing on extended hands. The tibia was the
most exposed site for in vivo strain measurements due to accessibility and being
a common pathologic site of stress fracture in the lower extremity. This
systematic review revealed that most of the strains measured in vivo in different
bones were generally within the physiological loading zone defined by the
mechanostat theory, which implies stimulation of functional adaptation necessary
to maintain bone mechanical integrity.
PMID- 21889150
TI - A comparison of Coulomb and pseudo-Coulomb friction implementations: Application
to the table contact phase of gymnastics vaulting.
AB - In the table contact phase of gymnastics vaulting both dynamic and static
friction act. The purpose of this study was to develop a method of simulating
Coulomb friction that incorporated both dynamic and static phases and to compare
the results with those obtained using a pseudo-Coulomb implementation of friction
when applied to the table contact phase of gymnastics vaulting. Kinematic data
were obtained from an elite level gymnast performing handspring straight
somersault vaults using a Vicon optoelectronic motion capture system. An angle
driven computer model of vaulting that simulated the interaction between a seven
segment gymnast and a single segment vaulting table during the table contact
phase of the vault was developed. Both dynamic and static friction were
incorporated within the model by switching between two implementations of the
tangential frictional force. Two vaulting trials were used to determine the model
parameters using a genetic algorithm to match simulations to recorded
performances. A third independent trial was used to evaluate the model and close
agreement was found between the simulation and the recorded performance with an
overall difference of 13.5%. The two-state simulation model was found to be
capable of replicating performance at take-off and also of replicating key
contact phase features such as the normal and tangential motion of the hands. The
results of the two-state model were compared to those using a pseudo-Coulomb
friction implementation within the simulation model. The two-state model achieved
similar overall results to those of the pseudo-Coulomb model but obtained
solutions more rapidly.
PMID- 21889151
TI - Synthesis of caffeic acid molecularly imprinted polymer microspheres and high
performance liquid chromatography evaluation of their sorption properties.
AB - In the current work, a molecularly imprinted polymer (MIP) has been synthesised
and used to enable the extraction of a naturally-occurring antioxidant from
complex media. More specifically, we describe the first example of a caffeic acid
(CA) MIP which has been synthesised in the form of well-defined polymer
microspheres, and its use for the extraction of CA from fruit juice sample. The
CA MIP was synthesised by precipitation polymerisation using 4-vinylpyridine as
functional monomer, divinylbenzene-80 as crosslinker and acetonitrile:toluene
(75/25, v/v) as porogen. The particle sizing and morphological characterisation
of the polymers was carried out by means of scanning electron microscopy (narrow
particle size distribution; ~5 and 1.5 MUm particle diameters for the MIP and NIP
[non-imprinted polymer], respectively) and nitrogen sorption porosimetry
(specific surface areas of 340 and 350 m(2)g(-1), and specific pore volumes of
0.17 and 0.19 cm(3)g(-1) for the MIP and NIP, respectively). The polymers were
evaluated further by batch rebinding experiments, and from the derived isotherms
their binding capacity and binding strength were determined (number of binding
sites (N(K))=0.6 and 0.3 mmol g(-1) for the MIP and NIP, respectively, and
apparent average adsorption constant (K(N))=10.0 and 1.6L mmol(-1) for the MIP
and NIP, respectively). To evaluate the molecular recognition character of the
MIP it was packed into a stainless steel column (50 mm * 4.6 mm i.d.) and
evaluated as an HPLC-stationary phase. The mobile phase composition, flow rate,
and the elution profile were then optimised in order to improve the peak shape
without negatively affecting the imprinting factor (IF). Very interesting,
promising properties were revealed. The imprinting factor (IF) under the
optimised conditions was 11.9. Finally, when the imprinted LC column was used for
the selective recognition of CA over eight related compounds, very good
selectivity was obtained. This outcome enabled the direct extraction of CA in
commercial apple juice samples with recoveries in excess of 81% and, rather
significantly, without any need for a clean-up step prior to the extraction.
PMID- 21889152
TI - Drop shape visualization and contact angle measurement on curved surfaces.
AB - The shape and contact angles of drops on curved surfaces is experimentally
investigated. Image processing, spline fitting and numerical integration are used
to extract the drop contour in a number of cross-sections. The three-dimensional
surfaces which describe the surface-air and drop-air interfaces can be visualized
and a simple procedure to determine the equilibrium contact angle starting from
measurements on curved surfaces is proposed. Contact angles on flat surfaces
serve as a reference term and a procedure to measure them is proposed. Such
procedure is not as accurate as the axisymmetric drop shape analysis algorithms,
but it has the advantage of requiring only a side view of the drop-surface couple
and no further information. It can therefore be used also for fluids with unknown
surface tension and there is no need to measure the drop volume. Examples of
application of the proposed techniques for distilled water drops on gemstones
confirm that they can be useful for drop shape analysis and contact angle
measurement on three-dimensional sculptured surfaces.
PMID- 21889153
TI - Synthesis and characterization of dendritic and porous Ag-Pd alloy
nanostructures.
AB - Dendritic and porous Ag-Pd alloy nanostructures were successfully fabricated on
the surface of silicon substrate using the co-reduction method and galvanic
replacement reaction, respectively. The molar compositions of Ag and Pd in the
alloy could be modulated by controlling the molar ratios of metal precursors and
reaction time. The Ag-Pd alloy nanostructures were characterized by transmission
electron microscopy (TEM), high-resolution transmission electron microscopy
(HRTEM), field emission scanning electron microscopy (FESEM), energy-dispersive X
ray (EDX), and X-ray diffraction (XRD). The morphology and phase of Au-Pd alloy
nanostructures were discussed as a function of molar ratios of metal precursors
and reaction time. In addition, the morphology and composition-dependent surface
enhanced Raman scattering (SERS) of the as-synthesized Ag-Pd alloy nanostructures
were investigated. The SERS enhancement factor was estimated and SERS mapping was
performed to prove the homogeneity of these substrates. The results indicate that
as-synthesized dendritic and porous Ag-Pd alloy nanostructures are good
candidates for SERS spectroscopy.
PMID- 21889154
TI - Introduction of a planar defect in a molecularly imprinted photonic crystal
sensor for the detection of bisphenol A.
AB - This paper reports the preparation of a molecularly imprinted inverse opal
hydrogel containing a 2D defect layer, by combining the Langmuir-Blodgett
technique and the photonic crystal template method. By coupling the exceptional
characteristics of molecularly imprinted polymers, sensitive to the presence of a
target molecule, and those of photonic crystals in a single device, we could
obtain a defect-embedded imprinted photonic polymer consisting in a three
dimensional, highly-ordered and interconnected macroporous array, where
nanocavities complementary to analytes in shape and binding sites are
distributed. As a proof of concept, we prepared a three-dimensional macroporous
array of poly(methacrylic acid) (PMAA) containing molecular imprints of bisphenol
A (BPA) and a planar defect layer consisting in macropores of different size. The
optical properties of the resulting inverse opal were investigated using
reflection spectroscopy. The defect layer was shown to enhance the sensitivity of
the photonic crystal material, opening new possibilities towards the development
smart optical sensing devices.
PMID- 21889155
TI - Wettability determination by contact angle measurements: hvbB coal-water system
with injection of synthetic flue gas and CO2.
AB - Geological sequestration of pure carbon dioxide (CO(2)) in coal is one of the
methods to sequester CO(2). In addition, injection of CO(2) or flue gas into coal
enhances coal bed methane production (ECBM). The success of this combined process
depends strongly on the wetting behavior of the coal, which is function of coal
rank, ash content, heterogeneity of the coal surface, pressure, temperature and
composition of the gas. The wetting behavior can be evaluated from the contact
angle of a gas bubble, CO(2) or flue gas, on a coal surface. In this study,
contact angles of a synthetic flue gas, i.e. a 80/20 (mol%) N(2)/CO(2) mixture,
and pure CO(2) on a Warndt Luisenthal (WL) coal have been determined using a
modified pendant drop cell in a pressure range from atmospheric to 16 MPa and a
constant temperature of 318 K. It was found that the contact angles of flue gas
on WL coal were generally smaller than those of CO(2). The contact angle of CO(2)
changes from water-wet to gas-wet by increasing pressure above 8.5 MPa while the
one for the flue gas changes from water-wet to intermediate-wet by increasing
pressure above 10 MPa.
PMID- 21889156
TI - Effect of polymer molecular weight on the fiber morphology of electrospun mats.
AB - In this work, different fractions of solvent-induced polymer degraded solution
were mixed with freshly prepared solution of same polymer, and its effect on
fiber morphology of electrospun mats was investigated. Nylon-6 solution in formic
acid was allowed to degrade for 3 weeks and different fractions of it were mixed
with freshly prepared nylon-6 solution to get the electrospun mats. FE-SEM images
of the mats indicated that the a large amount of sub-nanofibers (<50 nm in
diameter) in the form of spider-net like structures were achieved by tailoring
the amount of solvent degraded polymer solution in the freshly prepared nylon-6
solution. Large quantity of these ultrafine sub-nanofibers present in electrospun
nylon-6 mats could increase its hydrophilicity and mechanical strength. The
decreased average pore diameter and increased BET surface area of the mat, caused
by spider-net like structure, can make it as a potential candidate for air/water
filtration.
PMID- 21889157
TI - High density silver nanoparticle monolayers produced by colloid self-assembly on
polyelectrolyte supporting layers.
AB - A stable silver nanoparticle suspension was synthesized via the reduction of
silver nitrate using sodium borohydride and sodium citrate. The particle's shape
and size distribution were measured by various methods. The electrophoretic
mobility measurements revealed that the zeta potential of particles was highly
negative, increasing slightly with the ionic strength, from -52 mV for I=10(-5) M
to -35 mV for I=3*10(-2) M (for pH=5.5). The zeta potential of mica modified by
the adsorption of cationic polyelectrolytes: PEI and PAH was also determined
using the streaming potential measurements. The modified mica sheets were used as
substrates for particle monolayers formed via colloid self assembly. The kinetics
of this process, proceeding under diffusion-controlled transport conditions, was
quantitatively evaluated by a direct enumeration of particles using the AFM and
SEM techniques. Both the kinetics of particle deposition and the maximum surface
concentration were determined. From the slope of the initial deposition rates,
the equivalent diameter of particles was determined to be 16 nm, in agreement
with previous measurements. Based on this finding, an efficient method of
determining particle size in suspension was proposed. It was also demonstrated
that for higher ionic strengths, the maximum coverage of particle monolayers on
PAH modified mica exceeded 0.39. The kinetic data were quantitatively interpreted
in terms of the random sequential adsorption (RSA) model using the effective hard
particle concept.
PMID- 21889158
TI - Superhydrophobic silicon surfaces with micro-nano hierarchical structures via
deep reactive ion etching and galvanic etching.
AB - An effective fabrication method combining deep reactive ion etching and galvanic
etching for silicon micro-nano hierarchical structures is presented in this
paper. The method can partially control the morphology of the nanostructures and
enables us to investigate the effects of geometry changes on the properties of
the surfaces. The forming mechanism of silicon nanostructures based on silver
nanoparticle galvanic etching was illustrated and the effects of process
parameters on the surface morphology were thoroughly discussed. It is found that
process parameters have more impact on the height of silicon nanostructure than
its diameter. Contact angle measurement and tilting/dropping test results show
that as-prepared silicon surfaces with hierarchical structures were
superhydrophobic. What's more, two-scale model composed of micropillar arrays and
nanopillar arrays was proposed to study the wettability of the surface with
hierarchical structures. Wettability analysis results indicate that the
superhydrophobic surface may demonstrate a hybrid state at which water sits on
nanoscale pillars and immerses into microscale grooves partially.
PMID- 21889159
TI - Refolding of bovine serum albumin via artificial chaperone protocol using gemini
surfactants.
AB - Surfactants prevent the irreversible aggregation of partially refolded proteins,
and they are also known to assist in protein refolding. A novel approach to
protein refolding that utilizes a pair of low molecular weight folding
assistants, a detergent and cyclodextrin, was proposed by Rozema and Gellman (D.
Rozema, S.H. Gellman, J. Am. Chem. Soc. 117 (1995) 2373). We report the refolding
of bovine serum albumin (BSA) assisted by these artificial chaperones, utilizing
gemini surfactants for the first time. A combination of cationic gemini
surfactants, bis(cetyldimethylammonium)pentane dibromide
(C(16)H(33)(CH(3))(2)N(+)-(CH(2))(5)-N(+)(CH(3))(2)C(16)H(33).2Br(-) designated
as G5 and bis(cetyldimethylammonium)hexane dibromide (C(16)H(33)(CH(3))(2)N(+)
(CH(2))(6)-N(+)(CH(3))(2)C(16)H(33).2Br(-) designated as G6 and cyclodextrins,
was used to refold guanidinium chloride (GdCl) denatured BSA in the artificial
chaperone assisted two step method. The single chain cationic surfactant
cetyltrimethylammonium bromide (CTAB) was used for comparative studies. The
studies were carried out in an aqueous medium at pH 7.0 using circular dichroism,
dynamic light scattering and ANS binding studies. The denatured BSA was found to
get refolded by very small concentrations of gemini surfactant at which the
single chain counterpart was found to be ineffective. Different from the single
chain surfactant, the gemini surfactants exhibit much stronger electrostatic and
hydrophobic interactions with the protein and are thus effective at much lower
concentrations. Based on the present study it is expected that gemini surfactants
may prove useful in the protein refolding operations and may thus be effectively
employed to circumvent the problem of misfolding and aggregation.
PMID- 21889160
TI - Considerations when determining low interfacial tensions.
AB - Surfactants are often used to create low or "ultralow" oil-water interfacial
tensions (IFTs). These molecules, in some cases, may also lead to the inadvertent
formation of microemulsion phases which can obscure IFT measurements. Here, we
present a case study of such an issue. We also introduce a little-known
micropipette technique which has many advantages over the more common spinning
drop method for determining low/ultralow IFTs.
PMID- 21889161
TI - Preparation of bi-functional silica particles for antibacterial and self cleaning
surfaces.
AB - Synthesis of bi-functional silica particles by a simple wet chemical method is
described where the mixture of ultra fine nanoparticles (1-3 nm) of titania and
silver were attached on the silica particle surface in a controlled way to form a
core-shell structure. The silica surface showed efficient bi-functional activity
of photo-catalytically self cleaning and antibacterial activity due to
nanotitania and nanosilver mutually benefiting each other's function. The optimum
silver concentration was found where extremely small silver nanoparticles are
formed and the total composite particle remains white in color. This is an
important property in view of certain applications such as antibacterial textiles
where the original fabric color has to be retained even after applying the
nanosilver on it. The particles were characterized at each step of the synthesis
by X-ray photoelectron spectroscopy, UV-visible spectroscopy, X-ray diffraction,
scanning electron microscopy, transmission electron microscopy and electron
energy loss spectroscopy. Bi-functional silica particles showed accelerated
photocatalytic degradation of methylene blue as well as enhanced antibacterial
property when tested as such particles and textiles coated with these bi
functional silica particles even at lower silver concentration.
PMID- 21889162
TI - Bacteria attachment to surfaces--AFM force spectroscopy and physicochemical
analyses.
AB - Understanding bacterial adhesion to surfaces requires knowledge of the forces
that govern bacterial-surface interactions. Biofilm formation on stainless steel
316 (SS316) by three bacterial species was investigated by examining surface
force interaction between the cells and metal surface using atomic force
microscopy (AFM). Bacterial-metal adhesion force was quantified at different
surface delay time from 0 to 60s using AFM tip coated with three different
bacterial species: Gram-negative Massilia timonae and Pseudomonas aeruginosa, and
Gram-positive Bacillus subtilis. The results revealed that bacterial adhesion
forces on SS316 surface by Gram-negative bacteria is higher (8.53+/-1.40 nN and
7.88+/-0.94 nN) when compared to Gram-positive bacteria (1.44+/-0.21 nN).
Physicochemical analysis on bacterial surface properties also revealed that M.
timonae and P. aeruginosa showed higher hydrophobicity and surface charges than
B. subtilis along with the capability of producing extracellular polymeric
substances (EPS). The higher hydrophobicity, surface charges, and greater
propensity to form EPS by M. timonae and P. aeruginosa led to high adhesive force
on the metal surface.
PMID- 21889163
TI - Improving the heat transfer efficiency of synthetic oil with silica
nanoparticles.
AB - The heat transfer properties of synthetic oil (Therminol 66) used for high
temperature applications was improved by introducing 15 nm silicon dioxide
nanoparticles. Stable suspensions of inorganic nanoparticles in the non-polar
fluid were prepared using a cationic surfactant (benzalkonium chloride). The
effects of nanoparticle and surfactant concentrations on thermo-physical
properties (viscosity, thermal conductivity and total heat absorption) of these
nanofluids were investigated in a wide temperature range. The surfactant-to
nanoparticle (SN) ratio was optimized for higher thermal conductivity and lower
viscosity, which are both critical for the efficiency of heat transfer. The
rheological behavior of SiO(2)/TH66 nanofluids was correlated to average
agglomerate sizes, which were shown to vary with SN ratio and temperature. The
conditions of ultrasonic treatment were studied and the temporary decrease of
agglomerate size from an equilibrium size (characteristic to SN ratio) was
demonstrated. The heat transfer efficiencies were estimated for the formulated
nanofluids for both turbulent and laminar flow regimes and were compared to the
performance of the base fluid.
PMID- 21889164
TI - Investigation of pH-responsive properties of polymeric micelles with a core
forming block having pendant cyclic ketal groups.
AB - In this study, three kinds of amphiphilic block copolymers, termed MPEG-block
PDMMA, MPEG-block-PCPMA, and MPEG-block-PMPMA, which were composed of one
hydrophilic monomethoxy poly(ethylene glycol) (MPEG) block and one hydrophobic
polyacrylate block bearing pendant six-member cyclic ketal groups, were
synthesized by atom transfer radical polymerization (ATRP). These polymers can
disperse in aqueous media to self-assemble into micellar aggregates with a
spherical core-shell structure with mean diameter below 300 nm. The stimuli
responsiveness of polymeric micelles from MPEG-block-PDMMA was detected by
fluorescence-probe technique at pH 3.5 and 37 degrees C. The effect of chemical
architecture and composition of the polymers on the pH-responsive properties of
polymeric micelles was also studied. A combination of pH and temperature to
trigger release behavior of these polymeric micelles was discussed by comparing
the encapsulated molecule release ability under various pH and temperature
conditions and analyzing chemical structural changes of the polymer before and
after the triggering.
PMID- 21889165
TI - A floating prolate spheroid.
AB - The equilibrium position of a spherical or prolate spheroidal particle resembling
a needle floating at the interface between two immiscible fluids is discussed. A
three-dimensional meniscus attached to an a priori unknown contact line at a
specified contact angle is established around the particle, imparting to the
particle a capillary force due to surface tension that is balanced by the
buoyancy force and the particle weight. An accurate numerical solution for a
floating sphere is obtained by solving a boundary-value problem, and the results
are compared favorably with an approximate solution where the effect of the
particle surface curvature is ignored and the elevation of the contact line is
computed using an analytical solution for the meniscus attached to an inclined
flat plate. The approximate formulation is applied locally around the nearly
planar elliptical contact line of a prolate spheroid to derive a nonlinear
algebraic equation governing the position of the particle center and the mean
elevation of the contact line. The effect of the fluid and particle densities,
contact angle, and capillary length is discussed, and the shape of the contact
line is reconstructed and displayed from the local solution.
PMID- 21889166
TI - Histopathological investigation of syringomyelia in the Cavalier King Charles
spaniel.
AB - Syringomyelia (SM) in Cavalier King Charles spaniels (CKCSs) is identified
commonly on magnetic resonance images and is sometimes associated with clinical
signs of pain and cervical hyperaesthesia. However, the mechanism by which SM
develops in this breed has not been fully elucidated and the associated effects
on spinal cord structure have not been reported previously. The aims of this
study were to describe changes found in the spinal cord of CKCSs, to compare
findings between symptomatic and asymptomatic dogs and to determine whether
syrinx formation was associated with tissue destruction. Anomalies of the central
canal were found in all specimens and many dogs had grossly visible fluid-filled
cavities within the spinal cord. Prominent microscopical findings were spongy
degenerative changes associated with neuronal necrosis and Wallerian
degeneration. The ependyma was discontinuous in many specimens, notably in
symptomatic individuals, and there was evidence of angiogenesis and fibrous
tissue proliferation around blood vessels adjacent to syrinx cavities. Compared
with two different samples of the normal dog population, dogs with syrinxes had
significantly less grey matter, although this decrease was associated with
generalized loss of spinal cord area. Therefore, SM is associated with
degenerative changes in the spinal cord and may develop through primary
disruption of ependymal integrity followed by vascular hypertrophy and
proliferation. Glial and fibrous proliferation appears to be associated with
expression of clinical signs.
PMID- 21889167
TI - Affective symptoms are associated with markers of inflammation and immune
activation in bipolar disorders but not in schizophrenia.
AB - OBJECTIVE: Elevated levels of inflammation are reported in bipolar disorders
(BP), but how this relates to affective symptoms is unclear. We aimed to
determine if immune markers that consistently have been reported elevated in BP
were associated with depressive and manic symptoms, and if this was specific for
BP. METHODS: From a catchment area, 112 BP patients were included together with
153 schizophrenia (SCZ) patients and 239 healthy controls. Depression and mania
were assessed and the patients were grouped into depressed, neutral, and elevated
mood. We measured the immune markers tumor necrosis factor receptor 1 (sTNF-R1),
interleukin 1 receptor antagonist (IL-1Ra), interleukin 6 (IL-6), high sensitive
C-reactive protein (hsCRP), osteoprotegerin (OPG) and von Willebrand factor (vWf)
which have been found increased in severe mental disorders. RESULTS: In BP all
inflammatory markers were lowest in depressed state, with significant group
differences after control for confounders with respect to TNF-R1 (p = 0.04), IL
1Ra (p = 0.02), OPG (p = 0.004) and IL-6 (p = 0.005). STNF-R1 was positively
correlated with the item elevated mood (p = 0.02) whereas sad mood was negatively
correlated with OPG (p = 0.0003), IL-1Ra (p = 0.001) and IL-6 (p = 0.006).
Compared to controls the neutral mood group had significantly higher levels of
OPG (p = 0.0003) and IL-6 (p = 0.005), and the elevated mood group had higher
levels of TNF-R1 (p = 0.000005) and vWf (p = 0.002). There were no significant
associations between affective states orsymptoms in SCZ. CONCLUSIONS: The current
associations between inflammatory markers and affective symptomatology in BP and
not SCZ suggest that immune related mechanisms are associated with core
psychopathology of BP.
PMID- 21889168
TI - Complete recovery of acute monocular visual loss following endoscopic resection
of anterior clinoid mucocele: case report and review of the literature.
AB - Mucoceles are chronic non-neoplastic cystic lesions lined by mucus-secreting
respiratory epithelium in the paranasal sinuses. Mucocele of the anterior clinoid
process is a particularly rare entity most often presenting with rapidly
progressive monocular blindness. The authors describe the case of a 32 year-old
man who presented with acute painless visual loss in the left eye. Workup
revealed an expansile lesion of the left anterior clinoid process with associated
optic nerve compression. The patient underwent emergent endoscopic-assisted
transnasal decompression of the optic nerve with full recovery of visual
function. Early diagnosis and prompt surgical intervention optimizes the chances
of functional regain of visual acuity.
PMID- 21889169
TI - A study of the prevalence of restless legs syndrome in previously untreated
Parkinson's disease patients: absence of co-morbid association.
AB - OBJECTIVE: The co-morbidity between Parkinson's disease (PD) and restless legs
syndrome (RLS) is currently controversial, mainly because in most of the studies
so far conducted, the patients were already on therapy with dopamine(DA)ergic
drugs. This study has been carried out to assess the prevalence of RLS in de novo
PD patients previously unexposed to DAergic drugs. METHODS: One hundred nine
cognitively unimpaired outpatients with PD (70M/39F), mean age 66.89 years+/-9.37
SD were included in the study. The mean duration of PD was 15.81 months+/-11.24
SD, and the median Hoehn and Yahr (H&Y) stage was 2 (range 1.5-3). All patients
underwent interview to assess the occurrence of overall life-time and current
"primary" form of RLS according to the criteria of the International RLS Study
Group (IRLSSG). One hundred sixteen age and sex matched subjects (74M/42F, mean
age 66.52.years+/-8.65 SD) free from a history of neurological diseases, were
taken as controls and likewise interviewed. "Secondary" forms of RLS in both
patients and controls were subsequently excluded. RESULTS: No significant
difference was found (chi-square test) in the frequency of overall life-time and
of current "primary" RLS between PD patients and controls (6 out of 109 versus 5
out of 116 and 3 out of 109 versus 3 out of 116, respectively). CONCLUSIONS: This
survey does not support the concept of a co-morbid association between the two
conditions and confirm indirectly the findings of previous studies reporting the
onset of RLS after diagnosis of PD has been made in the great majority of
patients and so likely on ongoing DAergic treatment. Therefore, we speculate that
RLS occurring in these patients could be related to DAergic therapy for PD.
PMID- 21889170
TI - A review of sediment and nutrient concentration data from Australia for use in
catchment water quality models.
AB - Land use (and land management) change is seen as the primary factor responsible
for changes in sediment and nutrient delivery to water bodies. Understanding how
sediment and nutrient (or constituent) concentrations vary with land use is
critical to understanding the current and future impact of land use change on
aquatic ecosystems. Access to appropriate land-use based water quality data is
also important for calculating reliable load estimates using water quality
models. This study collated published and unpublished runoff, constituent
concentration and load data for Australian catchments. Water quality data for
total suspended sediments (TSS), total nitrogen (TN) and total phosphorus (TP)
were collated from runoff events with a focus on catchment areas that have a
single or majority of the contributing area under one land use. Where possible,
information on the dissolved forms of nutrients were also collated. For each data
point, information was included on the site location, land use type and
condition, contributing catchment area, runoff, laboratory analyses, the number
of samples collected over the hydrograph and the mean constituent concentration
calculation method. A total of ~750 entries were recorded from 514 different
geographical sites covering 13 different land uses. We found that the nutrient
concentrations collected using "grab" sampling (without a well defined
hydrograph) were lower than for sites with gauged auto-samplers although this
data set was small and no statistical analysis could be undertaken. There was no
statistically significant difference (p<0.05) between data collected at plot and
catchment scales for the same land use. This is most likely due to differences in
land condition over-shadowing the effects of spatial scale. There was, however, a
significant difference in the concentration value for constituent samples
collected from sites where >90% of the catchment was represented by a single land
use, compared to sites with <90% of the upstream area represented by a single
land use. This highlights the need for more single land use water quality data,
preferably over a range of spatial scales. Overall, the land uses with the
highest median TSS concentrations were mining (~50,000mg/l), horticulture
(~3000mg/l), dryland cropping (~2000mg/l), cotton (~600mg/l) and grazing on
native pastures (~300mg/l). The highest median TN concentrations are from
horticulture (~32,000MUg/l), cotton (~6500MUg/l), bananas (~2700MUg/l), grazing
on modified pastures (~2200MUg/l) and sugar (~1700MUg/l). For TP it is forestry
(~5800MUg/l), horticulture (~1500MUg/l), bananas (~1400MUg/l), dryland cropping
(~900mg/l) and grazing on modified pastures (~400MUg/l). For the dissolved
nutrient fractions, the sugarcane land use had the highest concentrations of
dissolved inorganic nitrogen (DIN), dissolved organic nitrogen (DON) and
dissolved organic phosphorus (DOP). Urban land use had the highest concentrations
of dissolved inorganic phosphorus (DIP). This study provides modellers and
catchment managers with an increased understanding of the processes involved in
estimating constituent concentrations, the data available for use in modelling
projects, and the conditions under which they should be applied. Areas requiring
more data are also discussed.
PMID- 21889171
TI - Recommendations on methods for the detection and control of biological pollution
in marine coastal waters.
AB - Adverse effects of invasive alien species (IAS), or biological pollution, is an
increasing problem in marine coastal waters, which remains high on the
environmental management agenda. All maritime countries need to assess the size
of this problem and consider effective mechanisms to prevent introductions, and
if necessary and where possible to monitor, contain, control or eradicate the
introduced impacting organisms. Despite this, and in contrast to more enclosed
water bodies, the openness of marine systems indicates that once species are in
an area then eradication is usually impossible. Most institutions in countries
are aware of the problem and have sufficient governance in place for management.
However, there is still a general lack of commitment and concerted action plans
are needed to address this problem. This paper provides recommendations resulting
from an international workshop based upon a large amount of experience relating
to the assessment and control of biopollution.
PMID- 21889172
TI - Habitat associations of floating debris and marine birds in the North East
Pacific Ocean at coarse and meso spatial scales.
AB - While many surface foraging seabirds ingest plastic, the spatial overlap of these
far-ranging predators with debris aggregations at-sea is poorly understood. We
surveyed concurrent distributions of marine birds and debris along a 4400 km
cruise track within a debris accumulation area in the North East Pacific Ocean
using line and strip transect methods. Analysis of debris and bird distributions
revealed associations with oceanographic and weather variables at two spatial
scales: daily surveys and hourly transects. Hourly bird abundance (densities; 0-9
birds km(-2)) was higher in lower wind and shallower water. Hourly debris
abundance (densities; 0-15,222 pieces km(-2)) was higher in lower wind, higher
sea-level atmospheric pressure and deeper water. These results suggest that
debris and seabird abundance and community structure are influenced by similar
environmental processes, but in opposing ways, with only three far-ranging
seabird species (Black-footed Albatross, Cook's Petrel and Red-tailed Tropicbird)
overlapping with high debris concentrations over meso-scales.
PMID- 21889173
TI - Rapid quantification of infectious enterovirus from surface water in Bohai Bay,
China using an integrated cell culture-qPCR assay.
AB - To rapidly quantify infectious enteroviruses polluting the coastal seawaters, a
newly developed integrated cell culture and reverse transcription quantitative
PCR (ICC-RT-qPCR) assay was used to identify the contamination by enteroviruses
in winter seawater samples of Bohai Bay, Tianjin, China. The gene copies of
enteroviral 5'UTRs correlated to the initial inoculum numbers across the
concentration range of 0.05-500 PFU mL(-1) (correlation coefficient (R(2)) was
0.9667). ICC-qPCR revealed that five of seven samples (70.4%) were positive for
infectious enteroviruses. The concentration of enteroviruses was estimated at 0.2
21 PFU L(-1). The result demonstrated that the contamination of enteroviruses in
this coastal area may constitute a potential public health risk. This study
established a practical assay for widespread monitoring studies of aquatic
environments for viral contamination and provided meaningful data for human
waterborne viral risk assessment.
PMID- 21889174
TI - Calcareous impact on arbuscular mycorrhizal fungus development and on lipid
peroxidation in monoxenic roots.
AB - The present work underlined the negative effects of increasing CaCO(3)
concentrations (5, 10 and 20 mM) both on the chicory root growth and the
arbuscular mycorrhizal fungus (AMF) Glomus irregulare development in monoxenic
system. CaCO(3) was found to reduce drastically the main stages of G. irregulare
life cycle (spore germination, germinative hyphae elongation, root colonization,
extraradical hyphae development and sporulation) but not to inhibit it
completely. The root colonization drop was confirmed by the decrease in the
arbuscular mycorrhizal fungal marker C16:1omega5 amounts in the mycorrhizal
chicory roots grown in the presence of CaCO(3). Oxidative damage evaluated by
lipid peroxidation increase measured by (i) malondialdehyde (MDA) production and
(ii) the antioxidant enzyme peroxidase (POD) activities, was highlighted in
chicory roots grown in the presence of CaCO(3). However, MDA formation was
significantly higher in non-mycorrhizal roots as compared to mycorrhizal ones.
This study pointed out the ability of arbuscular mycorrhizal symbiosis to enhance
plant tolerance to high levels of CaCO(3) by preventing lipid peroxidation and so
less cell membrane damage.
PMID- 21889175
TI - Identification of lignans and related compounds in Anthriscus sylvestris by LC
ESI-MS/MS and LC-SPE-NMR.
AB - The aryltetralin lignan deoxypodophyllotoxin is much more widespread in the plant
kingdom than podophyllotoxin. The latter serves as a starting compound for the
production of cytostatic drugs like etoposide. A better insight into the
occurrence of deoxypodophyllotoxin combined with detailed knowledge of its
biosynthestic pathway(s) may help to develop alternative sources for
podophyllotoxin. Using HPLC combined with electrospray tandem mass spectrometry
and NMR spectroscopy techniques, we found nine lignans and five related
structures in roots of Anthriscus sylvestris (L.) Hoffm. (Apiaceae), a common
wild plant in temperate regions of the world. Podophyllotoxone,
deoxypodophyllotoxin, yatein, anhydropodorhizol, 1-(3'-methoxy-4',5'
methylenedioxyphenyl)1-xi-methoxy-2-propene, and 2-butenoic acid, 2-methyl-4
[[(2Z)-2-methyl-1-oxo-2-buten-1-yl]oxy]-, (2E)-3-(7-methoxy-1,3-benzodioxol-5-yl)
2-propen-1-yl ester, (2Z)- were the major compounds. alpha-Peltatin,
podophyllotoxin, beta-peltatin, isopicropodophyllone, beta-peltatin-a
methylether, (Z)-2-angeloyloxymethyl-2-butenoic acid, anthriscinol methylether,
and anthriscrusin were present in lower concentrations. alpha-Peltatin, beta
peltatin, isopicropodophyllone, podophyllotoxone, and beta-peltatin-a-methylether
have not been previously reported to be present in A. sylvestris. Based on our
findings we propose a hypothetical biosynthetic pathway of aryltetralin lignans
in A. sylvestris.
PMID- 21889176
TI - Angustilobine and andranginine type indole alkaloids and an uleine
secovallesamine bisindole alkaloid from Alstonia angustiloba.
AB - A total of 20 alkaloids were isolated from the leaf and stem-bark extracts of
Alstonia angustiloba, of which two are hitherto unknown. One is an alkaloid of
the angustilobine type (angustilobine C), while the other is a bisindole alkaloid
angustiphylline, derived from the union of uleine and secovallesamine moieties.
The structures of these alkaloids were established using NMR and MS analysis.
Angustilobine C showed moderate cytotoxicity towards KB cells.
PMID- 21889177
TI - Identification of GABA A receptor modulators in Kadsura longipedunculata and
assignment of absolute configurations by quantum-chemical ECD calculations.
AB - A petroleum ether extract of Kadsura longipedunculata enhanced the GABA-induced
chloride current (I(GABA)) by 122.5+/-0.3% (n=2) when tested at 100 MUg/ml in
Xenopuslaevis oocytes expressing GABA A receptors (alpha(1)beta(2)gamma(2S)
subtype) in two-microelectrode voltage clamp measurements. Thirteen compounds
were subsequently identified by HPLC-based activity profiling as responsible for
GABA A receptor activity and purified in preparative scale. 6-Cinnamoyl-6,7
dihydro-7-myrceneol and 5,6-dihydrocuparenic acid were thereby isolated for the
first time. The determination of the absolute stereochemistry of these compounds
was achieved by comparison of experimental and calculated ECD spectra. All but
one of the 13 isolated compounds from K. longipedunculata potentiated I(GABA)
through GABA A receptors composed of alpha(1)beta(2)gamma(2S) subunits in a
concentration-dependent manner. Potencies ranged from 12.8+/-3.1 to 135.6+/-85.7
MUM, and efficiencies ranged from 129.7+/-36.8% to 885.8+/-291.2%. The
phytochemical profiles of petroleum ether extracts of Kadsura japonica fruits
(114.1+/-2.6% potentiation of I(GABA) at 100 MUg/ml, n=2), and Schisandra
chinensis fruits (inactive at 100 MUg/ml) were compared by HPLC-PDA-ESIMS with
that of K. longipedunculata.
PMID- 21889178
TI - [Thyrotoxic hypokaliemic periodic paralysis revealing Graves' disease in a male
Caucasian].
AB - OBJECTIVES: Hypokaliemic thyrotoxic periodic paralysis (TPP) is an uncommon
complication of hyperthyroidism. Mostly described among Asian patients, it is
rare in other ethnic groups, in particular in Caucasian people. CASE REPORT: We
present the case of a Caucasian male admitted to our unit after several paretic
episodes. Tachycardia, goiter and mild proptosis led to the diagnosis of Graves'
disease. CONCLUSION: Rare in the Caucasian population, TPP involves dysfunction
of the NA-K-ATPase pump. Beta-blockers should be associated with medical or
surgical treatment of hyperthyroidism.
PMID- 21889179
TI - [Optimization of the radiotherapy for the gliomas: hopes and research axis for
the next future].
AB - Glioma and particularly glioblastoma are tumours of very bad prognosis despite
association of surgery and radiochemotherapy. This bad prognosis is mainly due to
the local relapse after radiochemotherapy which occurs invariably despite
constant technical progress in radiotherapy. This local recurrence is mainly due
to the biologic intracellular and micro-environmental radioresistance of these
tumours but also to a probable bad definition of the irradiated target. The two
main axis of research aiming at optimizing the radiotherapy of these patients
will be discussed: on one hand, the study of the biological pathways involved in
the tumor radioresistance in order to highlight new targets of interest and to
inhibit them by targeted drugs in combination with radiotherapy, and on the other
hand, research in metabolic and functional imaging with the aim to define areas
of most aggressive disease and even predictive zones of the site of relapse and
thus of radioresistance, in order to integrate them in the radiotherapy treatment
planning in prospective trials.
PMID- 21889180
TI - [Posterior reversible encephalopathy syndrome in a patient with lupus:
differential diagnosis to discuss].
PMID- 21889181
TI - Changing trends in visceral leishmaniasis: 10 years' experience at a referral
hospital in Nepal.
AB - Visceral leishmaniasis (VL) is mainly confined to the southeast area in the Terai
region of Nepal. This study aimed to assess time trends and geographical
distribution of VL at a referral hospital over a 10-year period in Kathmandu,
Nepal. A total of 1521 patients were admitted to the hospital during the study
period (April 1999 to March 2009). Overall, 88% of cases were from endemic areas
and 10% were from non-endemic areas. There was a significant decreasing trend in
the number of VL cases in this hospital during the study period. However, VL is
being increasingly diagnosed in patients from non-endemic areas of Nepal. Whether
VL in non-endemic areas is imported or autochthonous remains to be elucidated.
This study therefore reinforces the need for urgent VL and entomological
surveillance in newly reported areas to allow effective VL control strategies to
be developed for the future.
PMID- 21889183
TI - Biological iron oxidation by Gallionella spp. in drinking water production under
fully aerated conditions.
AB - Iron oxidation under neutral conditions (pH 6.5-8) may be a homo- or
heterogeneous chemically- or a biologically-mediated process. The chemical
oxidation is supposed to outpace the biological process under slightly alkaline
conditions (pH 7-8). The iron oxidation kinetics and growth of Gallionella spp. -
obligatory chemolithotrophic iron oxidizers - were assessed in natural, organic
carbon-containing water, in continuous lab-scale reactors and full-scale
groundwater trickling filters in the Netherlands. From Gallionella cell numbers
determined by qPCR, balances were made for all systems. The homogeneous chemical
iron oxidation occurred in accordance with the literature, but was retarded by a
low water temperature (13 degrees C). The contribution of the heterogeneous
chemical oxidation was, despite the presence of freshly formed iron
oxyhydroxides, much lower than in previous studies in ultrapure water. This could
be caused by the adsorption of natural organic matter (NOM) on the iron oxide
surfaces. In the oxygen-saturated natural water with a pH ranging from 6.5 to
7.7, Gallionella spp. grew uninhibited and biological iron oxidation was an
important, and probably the dominant, process. Gallionella growth was not even
inhibited in a full-scale filter after plate aeration. From this we conclude that
Gallionella spp. can grow under neutral pH and fully aerated conditions when the
chemical iron oxidation is retarded by low water temperature and inhibition of
the autocatalytic iron oxidation.
PMID- 21889182
TI - Delineation of a core RNA element required for Kaposi's sarcoma-associated
herpesvirus ORF57 binding and activity.
AB - The Kaposi's sarcoma-associated herpesvirus (KSHV) ORF57 protein is an essential
multifunctional regulator of gene expression. ORF57 interaction with RNA is
necessary for ORF57-mediated posttranscriptional functions, but little is known
about the RNA elements that drive ORF57-RNA specificity. Here, we investigate the
cis-acting factors on the KSHV PAN RNA that dictate ORF57 binding and activity.
We show that ORF57 binds directly to the 5' end of PAN RNA in KSHV-infected
cells. Furthermore, we employ in vitro and cell-based assays to define a 30
nucleotide (nt) core ORF57-responsive element (ORE) that is necessary and
sufficient for ORF57 binding and activity. Mutational analysis of the core ORE
further suggests that a 9-nt sequence is a specific binding site for ORF57. These
studies provide insight into ORF57 specificity determinants and lay a foundation
for future analyses of cellular and viral ORF57 targets.
PMID- 21889184
TI - Embodied energy comparison of surface water and groundwater supply options.
AB - The embodied energy associated with water provision comprises an important part
of water management, and is important when considering sustainability. In this
study, an input-output based hybrid analysis integrated with structural path
analysis was used to develop an embodied energy model. The model was applied to a
groundwater supply system (Kalamazoo, Michigan) and a surface water supply system
(Tampa, Florida). The two systems evaluated have comparable total energy
embodiments based on unit water production. However, the onsite energy use of the
groundwater supply system is approximately 27% greater than the surface water
supply system. This was primarily due to more extensive pumping requirements. On
the other hand, the groundwater system uses approximately 31% less indirect
energy than the surface water system, mainly because of fewer chemicals used for
treatment. The results from this and other studies were also compiled to provide
a relative comparison of embodied energy for major water supply options.
PMID- 21889185
TI - Seawater quality and microbial communities at a desalination plant marine
outfall. A field study at the Israeli Mediterranean coast.
AB - Global desalination quadrupled in the last 15 years and the relative importance
of seawater desalination by reverse osmosis (SWRO) increased as well. While the
technological aspects of SWRO plants are extensively described, studies on the
environmental impact of brine discharge are lacking, in particular in situ marine
environmental studies. The Ashqelon SWRO plant (333,000 m(3) d(-1) freshwater)
discharges brine and backwash of the pre-treatment filters (containing ferric
hydroxide coagulant) at the seashore, next to the cooling waters of a power
plant. At the time of this study brine and cooling waters were discharged
continuously and the backwash discharge was pulsed, with a frequency dependent on
water quality at the intake. The effects of the discharges on water quality and
neritic microbial community were identified, quantified and attributed to the
different discharges. The mixed brine-cooling waters discharge increased salinity
and temperature at the outfall, were positively buoyant, and dispersed at the
surface up to 1340 m south of the outfall. Nutrient concentrations were higher at
the outfall while phytoplankton densities were lower. Chlorophyll-a and
picophytoplankton cell numbers were negatively correlated with salinity, but more
significantly with temperature probably as a result of thermal pollution. The
discharge of the pulsed backwash increased turbidity, suspended particulate
matter and particulate iron and decreased phytoplankton growth efficiency at the
outfall, effects that declined with distance from the outfall. The discharges
clearly reduced primary production but we could not attribute the effect to a
specific component of the discharge. Bacterial production was also affected but
differently in the three surveys. The combined and possible synergistic effects
of SWRO desalination along the Israeli shoreline should be taken into account
when the three existing plants and additional ones are expected to produce 2
Mm(3) d(-1) freshwater by 2020.
PMID- 21889186
TI - Biological and clinical significance of NAC1 expression in cervical carcinomas: a
comparative study between squamous cell carcinomas and
adenocarcinomas/adenosquamous carcinomas.
AB - This study examined the biological and clinical significance of NAC1 (nucleus
accumbens associated 1) expression in both cervical squamous cell carcinomas and
adenocarcinomas/adenosquamous carcinomas. Using immunohistochemistry, the
frequency of positive NAC1 expression in adenocarcinomas/adenosquamous carcinomas
(31.0%; 18/58) was significantly higher than that in squamous cell carcinomas
(16.2%; 12/74) (P = .043). NAC1 gene amplification was identified by fluorescence
in situ hybridization in 5 (7.2%) of 69 squamous cell carcinomas. NAC1
amplification was not identified in the adenocarcinomas (0%; 0/58). Positive NAC1
expression was significantly correlated with shorter overall survival in squamous
cell carcinomas (P < .0001). A multivariate analysis showed that positive NAC1
expression in squamous cell carcinomas was an independent prognostic factor for
overall survival after standard radiotherapy (P = .0003). In contrast to squamous
cell carcinomas, positive NAC1 expression did not correlate with shorter overall
survival in adenocarcinomas/adenosquamous carcinomas (P = .317). Profound growth
inhibition, increased apoptosis, decreased cell proliferation, and decreased cell
migration and invasion were observed in silencing RNA-treated cancer cells with
NAC1 overexpression compared with cancer cells without NAC1 expression. NAC1
overexpression stimulated proliferation, migration, and invasion in the cervical
cancer cell lines TCS and Hela P3, which normally lack NAC1 expression. These
findings indicate that NAC1 overexpression is critical to the growth and survival
of cervical carcinomas irrespective of histologic type. Furthermore, they suggest
that NAC1 silencing RNA-induced phenotypes depend on the expression status of the
targeted cell line. Therefore, cervical carcinoma patients with NAC1 expression
may benefit from a targeted therapy irrespective of histologic type.
PMID- 21889187
TI - Characteristic tubulointerstitial nephritis in IgG4-related disease.
AB - Nephropathy associated with IgG4-related disease is characterized by
tubulointerstitial nephritis. To better identify its pathology, the present study
analyzed clinicopathologic features of IgG4-related tubulointerstitial nephritis
cases from across Japan. Sixteen cases were identified as IgG4-related
nephropathy using the criterion of high serum IgG4 levels (>135 mg/dL) with
abnormal kidney computed tomography or elevated serum creatinine levels. Male
predominance (75%) and advanced age (average, 62.0 years) were noted. Eight cases
displayed no autoimmune pancreatitis. Renal computed tomography abnormalities
were found in 12 of 13 cases examined. Renal dysfunction was found in 15 of 16
cases at biopsy. Distinctive features of tubulointerstitial lesions included (1)
well-demarcated borders between involved and uninvolved areas; (2) involvement of
the cortex and medulla, often extending beyond the renal capsule and with
occasional extension to retroperitoneal fibrosis; (3) interstitial inflammatory
cells comprising predominantly plasma cells and lymphocytes, with a high
prevalence of IgG4-positive cells often admixed with fibrosis; (4) peculiar
features of interstitial fibrosis resembling a "bird's-eye" pattern comprising
fibrosis among inter-plasma cell spaces; and (5) deposits visible by light and
immunofluorescent microscopy in the tubular basement membrane, Bowman capsule,
and interstitium that are restricted to the involved portion, sparing normal
parts. Ultrastructural analysis revealed the presence of myofibroblasts with
intracellular/pericellular collagen accompanied by plasma cell accumulation from
an early stage. Histology could not discriminate between IgG4-related
tubulointerstitial nephritis with and without autoimmune pancreatitis. In
conclusion, the distinctive histologic features of IgG4-related
tubulointerstitial nephritis can facilitate the differential diagnosis of
tubulointerstitial nephritis, even without autoimmune pancreatitis or an abnormal
computed tomography suggesting a renal tumor.
PMID- 21889188
TI - Extensive brain pathology in a patient with aceruloplasminemia with a prolonged
duration of illness.
AB - We report the sixth autopsy case of a patient with aceruloplasminemia. He was the
younger brother of the first reported autopsy case of this disease. Among autopsy
cases with aceruloplasminemia reported to date, he had the longest duration of
neurologic disorders. The neuropathologic findings showed that the basal ganglia
and dentate nuclei were most severely affected. The most striking finding in the
present case was that marked iron deposition was evident in the cerebral cortex.
Many enlarged or deformed astrocytes and globular structures, both of which were
heavily iron loaded, were found in the cerebral cortex as well as in the basal
ganglia. Pyramidal neurons in his cerebral cortex were fewer in number than
observed in the previous reported cases. There was a negative correlation between
the number of cortical pyramidal neurons and globular structures. The present
case clearly indicates that the neuropathologic process in aceruloplasminemia
extends beyond the basal ganglia to the cerebral cortex with time.
PMID- 21889189
TI - Assessment of nickel and cobalt release from 200 unused hand-held work tools for
sale in Denmark - Sources of occupational metal contact dermatitis?
AB - INTRODUCTION: Nickel and cobalt allergy remain frequent in dermatitis patients.
It is important to determine possible nickel and cobalt exposures at work as
these may offer important information to regulators and physicians who perform
patch testing. Clinical relevance of metal exposure is usually assessed by the
treating physician via the medical history and by presentation of allergic
contact dermatitis. OBJECTIVES: To screen unused non-powered hand-held work tools
for nickel and cobalt release by using colorimetric spot tests. MATERIALS &
METHODS: A random selection of 200 non-powered hand-held work tools for sale in 2
retailers of home improvement and construction products were analyzed
qualitatively for metal release using the colorimetric nickel and cobalt spot
tests. RESULTS: Nickel release was identified from 5% of 200 work tools using the
dimethylglyoxime (DMG) test. In 8 of 10, positive results were located to the
metal ring that acts like a cuff and is located at the end of the grip. The
positive DMG test results were not related to specific categories of work tools.
The cobalt spot test gave no positive test reactions. CONCLUSIONS: It appears
that the proportion of work tools that release nickel, in amounts that may result
in allergic nickel dermatitis, has decreased markedly, when results were compared
with a Swedish study performed more than a decade ago. No cobalt release was
detected but it should be underscored that hard-metal tools were not examined.
Other sources of cobalt may explain the relatively high levels of cobalt allergy
in dermatitis patients.
PMID- 21889190
TI - Side effects of rodent control on non-target species: Rodenticides increase
parasite and pathogen burden in great bustards.
AB - For many years anticoagulant rodenticides have been used in vole control
campaigns, in spite of the proven risk of secondary poisoning of non-target
predators and scavengers. In this paper we analyse for the first time great
bustard exposure and intoxication by anticoagulant rodenticides in Spain, based
on residues found in the livers of 71 bustard carcasses collected during 1991
2010. Ten individuals contained chlorophacinone and one flocoumafen.
Chlorophacinone level was significantly correlated with the pathogen and parasite
burden of intoxicated birds. Moreover, through the last 12 years the annual
number of great bustards that present chlorophacinone in liver collected in our
study areas was correlated with vole peaks at a nearby area, suggesting that the
ingestion of rodenticide was proportional to the amounts spread in the fields. We
conclude that rodenticide consumption is a regular event among great bustards
when baited cereal is spread on fields, and that this may cause chronic weakening
of intoxicated individuals, possibly affecting their survival. Future rodent
control actions should consider these negative side effects on non target
granivorous steppe and farmland species, particularly when they are globally
threatened.
PMID- 21889192
TI - Urinary MMP-2 and MMP-9 predict the presence of ovarian cancer in women with
normal CA125 levels.
AB - OBJECTIVE: To determine whether urinary matrix metalloproteinases (MMPs) predict
the presence of ovarian cancer in patients with CA125 levels below the normal
threshold of 35U/mL, a critical group of patients for whom no ovarian cancer
biomarker is currently available. To determine whether these noninvasive
biomarkers provide clinically useful information in the general ovarian cancer
patient population as well. METHODS: ELISA analyses and substrate gel
electrophoresis detected the levels and activity of urinary MMP-2, MMP-9, MMP
9/neutrophil gelatinase-associated lipocalin (NGAL) complex, and MMP-9 dimer in
all ovarian cancer patients (n=97), those with CA125 <35U/mL (n=26) and controls
(n=81). RESULTS: In patients with CA125 <35U/mL, receiver-operating
characteristic (ROC) area under curve (AUC) analysis demonstrated that either
urinary MMP-2 or MMP-9 or NGAL significantly discriminated between controls and
ovarian cancer patients with normal CA125. Multivariate logistic regression
revealed that the combination of urinary MMP-2 and MMP-9 provided the best
diagnostic accuracy when multiplexed. When further multiplexed with age, the
diagnostic accuracy of these biomarkers increased to a significant AUC of 0.820.
These findings were consistent among the general ovarian cancer population
studied as well, where the combination of urinary MMP-2 and MMP-9 multiplexed
with age resulted in a highly significant AUC of 0.881. Pearson chi-square
analysis revealed that higher urinary levels of either MMP-2 or MMP-9 were
strongly associated with the increasing percentage of women with ovarian cancer
independent of CA125 levels. CONCLUSION: This study demonstrates the potential
utility of urinary MMP-2 and MMP-9 to differentiate between ovarian cancer
patients with normal CA125 levels and controls and suggests that urinary MMP-2
and MMP-9 may be a clinically useful aid in the diagnosis of advanced or
recurrent ovarian cancer.
PMID- 21889193
TI - FIGO staging for carcinosarcoma: can the revised staging system predict overall
survival?
AB - OBJECTIVES: The purpose of this study is to detect differences in overall
survival between the 1988 FIGO staging and current staging of uterine
carcinosarcomas to determine if revised 2009 staging accurately predicts actual
patient survival. METHODS: From 1988 until 2010, patients with uterine
carcinosarcoma were retrospectively identified from tumor registry records.
Patients were grouped in both broad stages (1-4) and all FIGO substages in order
to detect differences. Time-dependent receiver operating characteristic curves
(ROC) were generated to predict death before the end of the second year post
diagnosis for both the new and revised system. Kaplan Meier estimated median
survival time was utilized to compare actual patient survival. RESULTS: Of 112
patients with carcinosarcoma, 37 patients (33%) had FIGO Stage I disease, 15
patients (13.4%) had Stage II disease, 36 patients (32%) were diagnosed as Stage
III, and 24 patients (21.4%) had Stage IV disease. 106 of 112 (94.6%) patients
underwent lymphadenectomy (pelvic +/- para-aortic). Four patients (3.6%) were
downstaged when utilizing broad staging criteria: 2 patients were downstaged from
Stage II to I, and 2 patients were downstaged from Stage III to Stage I and II
respectively. When looking at substage, the area under the ROC was 0.67 for the
former staging system, and 0.65 for the revised staging. Kaplan-Meier estimated
median survival time post-diagnosis was 610 days (95% CI [478,930]). CONCLUSION:
Based upon our reclassification of 112 patients with uterine carcinosarcoma, the
revised FIGO staging system does not predict survival more accurately than former
staging. Carcinosarcoma has an overall poor prognosis and better indicators of
survival are needed.
PMID- 21889195
TI - From storage to manipulation: How the neural correlates of verbal working memory
reflect varying demands on inner speech.
AB - The ability to store and manipulate online information may be enhanced by an
inner speech mechanism that draws upon motor brain regions. Neural correlates of
this mechanism were examined using event-related functional magnetic resonance
imaging (fMRI). Sixteen participants completed two conditions of a verbal working
memory task. In both conditions, participants viewed one or two target letters.
In the "storage" condition, these targets were held in mind across a delay. Then
a probe letter was presented, and participants indicated by button press whether
the probe matched the targets. In the "manipulation" condition, participants
identified new targets by thinking two alphabetical letters forward of each
original target (e.g., f->h). Participants subsequently indicated whether the
probe matched the newly derived targets. Brain activity during the storage and
manipulation conditions was examined specifically during the delay phase in order
to directly compare manipulation versus storage processes. Activations that were
common to both conditions, yet disproportionately greater with manipulation, were
observed in the left inferior frontal cortex, premotor cortex, and anterior
insula, bilaterally in the parietal lobes and superior cerebellum, and in the
right inferior cerebellum. This network shares substrates with overt speech and
may represent an inner speech pathway that increases activity with greater
working memory demands. Additionally, an inverse correlation was observed between
manipulation-related brain activity (on correct trials) and test accuracy in the
left premotor cortex, anterior insula, and bilateral superior cerebellum. This
inverse relationship may represent intensification of inner speech as one
struggles to maintain performance levels.
PMID- 21889196
TI - Neural correlates of metonymy resolution.
AB - Metonymies are exemplary models for complex semantic association processes at the
sentence level. We investigated processing of metonymies using event-related
functional magnetic resonance imaging (fMRI). During an 1.5Tesla fMRI scan, 14
healthy subjects (12 female) read 124 short German sentences with either literal
(like "Africa is arid"), metonymic ("Africa is hungry"), or nonsense ("Africa is
woollen") content. Sentences were constructed so that they obey certain
grammatical, semantic, and plausibility conditions and were matched for word
frequency, semantic association, length and syntactic structure. We concentrated
on metonymies that were not yet fossilised; we also examined a wide variety of
metonymic readings. Reading metonymies relative to literal sentences revealed
signal changes in a predominantly left-lateralised fronto-temporal network with
maxima in the left and right inferior frontal as well as left middle temporal
gyri. Left inferior frontal activation may reflect both inference processes and
access to world knowledge during metonymy resolution.
PMID- 21889194
TI - BET bromodomain inhibition as a therapeutic strategy to target c-Myc.
AB - MYC contributes to the pathogenesis of a majority of human cancers, yet
strategies to modulate the function of the c-Myc oncoprotein do not exist. Toward
this objective, we have targeted MYC transcription by interfering with chromatin
dependent signal transduction to RNA polymerase, specifically by inhibiting the
acetyl-lysine recognition domains (bromodomains) of putative coactivator proteins
implicated in transcriptional initiation and elongation. Using a selective small
molecule bromodomain inhibitor, JQ1, we identify BET bromodomain proteins as
regulatory factors for c-Myc. BET inhibition by JQ1 downregulates MYC
transcription, followed by genome-wide downregulation of Myc-dependent target
genes. In experimental models of multiple myeloma, a Myc-dependent hematologic
malignancy, JQ1 produces a potent antiproliferative effect associated with cell
cycle arrest and cellular senescence. Efficacy of JQ1 in three murine models of
multiple myeloma establishes the therapeutic rationale for BET bromodomain
inhibition in this disease and other malignancies characterized by pathologic
activation of c-Myc.
PMID- 21889197
TI - Effects of diet on early stage cortical perception and discrimination of
syllables differing in voice-onset time: a longitudinal ERP study in 3 and 6
month old infants.
AB - The influence of diet on cortical processing of syllables was examined at 3 and 6
months in 239 infants who were breastfed or fed milk or soy-based formula. Event
related potentials to syllables differing in voice-onset-time were recorded from
placements overlying brain areas specialized for language processing. P1
component amplitude and latency measures indicated that at both ages infants in
all groups could extract and discriminate categorical information from syllables.
Between-syllable amplitude differences-present across groups-were generally
greater for SF infants. Responses peaked earlier over left hemisphere speech
perception than speech-production areas. Encoding was faster in BF than formula
fed infants. The results show that in preverbal infants: (1) discrimination of
phonetic information occurs in early stages of cortical processing; (2) areas
overlying brain regions of speech perception are activated earlier than those
involved in speech production; and (3) these processes are differentially
modulated by infant diet and environmental factors.
PMID- 21889198
TI - Expression and activity of glutathione S-transferases and catalase in the shrimp
Litopenaeus vannamei inoculated with a toxic Microcystis aeruginosa strain.
AB - Microcystin (MC) produced during cyanobacteria blooms is notably toxic to human
and wildlife. Conjugation with reduced glutathione (GSH) by glutathione S
transferase (GST) and the antioxidant enzymes defenses (e.g. catalase, CAT) are
important biochemical defense mechanisms against MCs toxicity. We investigated
the enzymatic activity of CAT and GST and the gene expression levels of CAT and
eight GST isoforms in the hepatopancreas of the globally farmed shrimp
Litopenaeus vannamei 48-h after injection with a sub-lethal dose of 100 MUg kg-1
of a toxic Microcystis aeruginosa extract. MCs caused up-regulation for GSTOmega,
MU and a MAPEG isoform, by 12-, 2.8- and 1.8-fold, respectively, and increases in
the total GST enzyme activity and CAT enzyme activity. The study points to the
importance of further characterization for the L. vannamei GST isoforms and
GST/CAT post-translational regulation processes to better understand the key
mechanisms involved in the shrimp's defense against MC exposure.
PMID- 21889199
TI - Cryopreservation of tissue-engineered epithelial sheets in trehalose.
AB - Tissue-engineered epidermal membranes are useful for clinical wound healing. To
facilitate these products in the clinic, optimized storage methods need to be
developed. We studied the efficiency of extracellular trehalose at various
concentrations for cryopreserving human tissue-engineered epidermal membranes
compared with that of dimethyl-sulfoxide (DMSO) used by most organ banks for
cryopreserving skin grafts and artificial skin substitutes. Keratinocyte (KC)
viability, proliferation and marker expression following cryopreservation in
trehalose were examined with similar results to those using DMSO. Trehalose
concentration (0.4m) was optimized according to the described cellular activities
following cryopreservation. Artificial epidermal substitutes were then
cryopreserved in trehalose at the optimized concentration. Cell viability, growth
factor secretion and wound healing properties of cryopreserved artificial
epidermal substitutes using nude mice were examined and compared with those of
DMSO cryopreservation. Cryopreservation with trehalose enhanced human KC
viability in suspension and artificial skin substitutes. In addition, trehalose
cryopreservation provided fast recovery of EGF and TGF-beta1 secretion by KCs
after thawing. When transplanted into nude mice, trehalose-cryopreserved
artificial skin repaired skin defects in a similar manner to that of a non
cryopreserved control. Moreover, trehalose-cryopreserved artificial skin resulted
in engraftment and wound closure that was significantly enhanced compared with
that of DMSO-cryopreserved epidermal membranes. The results indicate that the use
of trehalose improves cryopreservation of tissue-engineered epithelial sheets.
PMID- 21889200
TI - The comparative effects of mesoporous silica nanoparticles and colloidal silica
on inflammation and apoptosis.
AB - Mesoporous silica (MPS), synthesized via the supramolecular polymer templating
method, is one of the most attractive nanomaterials for biomedical applications,
such as drug delivery systems, labeling, and tissue engineering. The significant
difference between MPS and general silica (colloidal silica) is the pore
architectures, such as specific surface area and pore volume. The pore structures
of nanomaterials have been considered to be one of the key conditions, causing
nanotoxicity due to their different efficiency of cellular uptake and immune
response. We first studied the influence of pore structural conditions of silica
nanoparticles on both inflammation and apoptosis, in vitro and in vivo, by
comparing MPS and colloidal silica, and defined underlying mechanisms of action.
Both the MPS and colloidal silica nanoparticles are produced by almost similar
synthetic conditions, except the use of polymer template for MPS. The specific
surface area of colloidal silica and MPS was 40 and 1150 m(2) g(-1),
respectively, while other conditions, including particle size (100 nm) and shape
(spherical), were kept constant. In both MTT assay and FACS analysis, MPS
nanoparticles showed significantly less cytotoxicity and apoptotic cell death
than colloidal silica nanoparticles. MPS nanoparticles induced lower expression
of pro-inflammatory cytokines, such as tumor necrosis factor-alpha, interleukin
(IL)-1beta, and IL-6, in macrophages. The reduced inflammatory response and
apoptosis elicited by MPS nanoparticles were resulting from the reduction of
mitogen-activated protein kinases, nuclear factor-kappaB, and caspase 3. In
addition, using the local lymph node assay, a standalone in vivo method for
hazard identification of contact hypersensitivity, we showed that colloidal
silica nanoparticles act as an immunogenic sensitizer and induce contact
hypersensitivity but not MPS nanoparticles. In conclusion, the pore architecture
of silica nanoparticles greatly influences their biocompatibility and should be
carefully designed. The MPS nanoparticles exhibit better biocompatibility than
colloidal silica and promise excellent potential usage in the field of biomedical
and biotechnological applications.
PMID- 21889201
TI - Cationic amylose-encapsulated bovine hemoglobin as a nanosized oxygen carrier.
AB - Nanosized hemoglobin-based oxygen carriers are one of the most promising blood
substitutes. In the present study, a comprehensive strategy for the preparation
of nanosized cationic amylose-encapsulated hemoglobins (NCAHbs) was developed.
First, cationic amylase (CA) was synthesized from amylose and quaternary ammonium
salt by an etherification reaction. The structure of CA was characterized using
Fourier transform infrared spectrophotometry (FTIR) and proton nuclear magnetic
resonance spectrophotometry ((1)H NMR). The degree of substitution and the zeta
potential were also measured. Then, the NCAHbs were prepared by electrostatic
adhesion, reverse micelles and cross-linking. The UV-visible spectrophotometer
was used to measure the entrapment efficiency (EE%) and drug loading efficiency
(DL%) of the NCAHbs. Transmission electron microscopy and Malvern Nano-zs 90
analyzer were used to observe the size distribution and morphology of particles.
Chemical structure was determined from the FTIR spectrum. A Hemox analyzer was
used to measure the P(50) and Hill coefficients. A lethal hemorrhagic shock model
in rats was used to evaluate the therapeutic effect of the NCAHbs. The results
showed that the combined methods improved the size, stability, EE%, DL%, and
oxygen-carrying capacity of the NCAHbs. The average diameter of the NCAHbs was
92.53 +/- 3.64 nm, with a narrow polydispersity index of 0.027. The EE% was
80.05% +/- 1.56% and DL% was 61.55% +/- 1.41%. The P(50) and Hill coefficient
were equal to 28.96 +/- 1.33 mmHg and 2.55 +/- 0.22, respectively. The size of
NCAHbs remained below 200 nm for six days in PBS solution. The NCAHbs could
effectively prevent lung injury from progressing to lethal hemorrhagic shock
because they acted as both a volume expander and an oxygen carrier.
PMID- 21889202
TI - The sequestration of hydroxyapatite nanoparticles by human monocyte-macrophages
in a compartment that allows free diffusion with the extracellular environment.
AB - Calcium phosphate and hydroxyapatite nanoparticles are extensively researched for
medical applications, including bone implant materials, DNA and SiRNA delivery
vectors and slow release vaccines. Elucidating the mechanisms by which cells
internalize nanoparticles is fundamental for their long-term exploitation. In
this study, we demonstrate that hydrophilic hydroxyapatite nanoparticles are
sequestered within a specialized compartment called SCC (surface-connected
compartment). This membrane-bound compartment is an elaborate labyrinth-like
structure directly connected to the extracellular space. This continuity is
demonstrated by in vivo 2-photon microscopy of ionic calcium using both cell
permeable and cell-impermeable dyes and by 3-D reconstructions from serial block
face SEM of fixed cells. Previously, this compartment was thought to be initiated
specifically by exposure of macrophages to hydrophobic nanoparticles. However, we
show that the SCC can be triggered by a much wider range of nanoparticles.
Furthermore, we demonstrate its formation in A549 human lung epithelial cells,
which are considerably less phagocytic than macrophages. EDX shows that extensive
amounts of hydroxyapatite nanoparticles can be sequestered in this manner. We
propose that SCC formation may be a means to remove large amounts of foreign
material from the extracellular space, followed by slow degradation, may be to
avoid excessive damage to surrounding cells or tissues.
PMID- 21889203
TI - The functional performance of microencapsulated human pancreatic islet-derived
precursor cells.
AB - We have examined long-term cultured, human islet-derived stem/precursor cells
(hIPC). Whole human islets (HI) were obtained by multi-enzymatic digestion of
cadaveric donor pancreases, plated on tissue flasks, and allowed to adhere and
expand for several in vitro passages, in order to obtain hIPC. We detected
specific stem cell markers (Oct-4, Sox-2, Nanog, ABCG2, Klf-4, CD117) in both
intact HI and hIPC. Moreover, hIPC while retaining the expression of Glut-2, Pdx
1, CK-19, and ICA-512, started re-expressing Ngn3, thereby indicating acquisition
of a specific pancreatic islet beta cell-oriented phenotype identity. The
intrinsic plasticity of hIPC was documented by their ability to differentiate
into various germ layer-derived cell phenotypes (ie, osteocytic, adipocytic and
neural), including endocrine cells associated with insulin secretory capacity. To
render hIPC suitable for transplantation we have enveloped them within our highly
purified, alginate-based microcapsules. Upon intraperitoneal graft in NOD/SCID
mice we have observed that the microcapsules acted as three-dimensional niches
favouring post-transplant hIPC differentiation and acquisition of beta cell-like
functional competence.
PMID- 21889204
TI - Assessment of nanomaterial cytotoxicity with SOLiD sequencing-based microRNA
expression profiling.
AB - The cytotoxicity of nanomaterials has become a major concern in the field of
nanotechnology. The key challenge is the lack of reliable methods to examine the
overall cellular effects of nanomaterials. Here, a new method is developed to
assess the cytological effects of nanomaterial basing on miRNA expression
profiling. The SOLiD sequencing is used to acquire the miRNAs expression
profiling in NIH/3T3 cells after exposure to Fe(2)O(3) NPs, CdTe QDs and MW-CNTs,
respectively. The systematic analysis of miRNAs expression profiling is
established by taking account of all miRNAs into their regulatory networks. By
affecting the output of targeted mRNAs, miRNAs widely regulated the KEGG pathways
and GO biological processes in nanomaterial treated cells. Therefore, the miRNA
expression profiling can well reflect the characteristic of nanomaterials, and
the method not only provide more evidences to assess biocompatibility of
nanomaterials and but also clues to discover new biological effects of
nanomaterials.
PMID- 21889206
TI - Platinum (IV)-coordinate polymers as intracellular reduction-responsive backbone
type conjugates for cancer drug delivery.
AB - Platinum (IV)-coordinate polymers were synthesized by condensation polymerization
using diamminedichlorodihydroxyplatinum (DHP) or its dicarboxyl derivative
diamminedichlorodisuccinatoplatinum (DSP) as comonomers. Cyclic voltammogram
study showed that Pt (IV) in the polymers was much easier reduced to Pt (II),
particularly at the acidic pH, than that in the monomer DSP. Thus, these polymers
were intracellular reduction-responsive backbone-type polymer conjugates that
could be degraded and release Pt (II). These conjugates not only had high and
fixed platinum contents (27.7% for P(DSP-EDA) and 29.6% for P(DSP-PA),
respectively), but also showed increased cytotoxicity compared with corresponding
Pt (IV) monomer DSP toward various tumor cell lines. In vivo, the conjugate
showed a longer blood circulation time and better tumor accumulation.
PMID- 21889205
TI - The use of injectable sonication-induced silk hydrogel for VEGF(165) and BMP-2
delivery for elevation of the maxillary sinus floor.
AB - Sonication-induced silk hydrogels were previously prepared as an injectable bone
replacement biomaterial, with a need to improve osteogenic features. Vascular
endothelial growth factor (VEGF(165)) and bone morphogenic protein-2 (BMP-2) are
key regulators of angiogenesis and osteogenesis, respectively, during bone
regeneration. Therefore, the present study aimed at evaluating in situ forming
silk hydrogels as a vehicle to encapsulate dual factors for rabbit maxillary
sinus floor augmentation. Sonication-induced silk hydrogels were prepared in
vitro and the slow release of VEGF(165) and BMP-2 from these silk gels was
evaluated by ELISA. For in vivo studies for each time point (4 and 12 weeks), 24
sinus floors elevation surgeries were made bilaterally in 12 rabbits for the
following four treatment groups: silk gel (group Silk gel), silk gel/VEGF(165)
(group VEGF), silk gel/BMP-2 (group BMP-2), silk gel/VEGF(165)/BMP-2 (group V +
B) (n = 6 per group). Sequential florescent labeling and radiographic
observations were used to record new bone formation and mineralization, along
with histological and histomorphometric analysis. At week 4, VEGF(165) promoted
more tissue infiltration into the gel and accelerated the degradation of the gel
material. At this time point, the bone area in group V + B was significantly
larger than those in the other three groups. At week 12, elevated sinus floor
heights of groups BMP-2 and V + B were larger than those of the Silk gel and VEGF
groups, and the V + B group had the largest new bone area among all groups. In
addition, a larger blood vessel area formed in the remaining gel areas in groups
VEGF and V + B. In conclusion, VEGF(165) and BMP-2 released from injectable and
biodegradable silk gels promoted angiogenesis and new bone formation, with the
two factors demonstrating an additive effect on bone regeneration. These results
indicate that silk hydrogels can be used as an injectable vehicle to deliver
multiple growth factors in a minimally invasive approach to regenerate irregular
bony cavities.
PMID- 21889207
TI - Defining fetal growth restriction in mice: A standardized and clinically relevant
approach.
AB - The increasing number of mouse models of fetal growth restriction (FGR) make it
crucial to standardize the way FGR is defined. By constructing growth curves in
the placental-specific Igf2 knockout mouse (P0) it was demonstrated that 93% of
P0 fetuses fell below the 5th centile of wild-type weights at E18.5, up from 44%
at E16.5. This analysis, coupled with anthropomorphic measurements showing
evidence of head sparing in P0 fetuses, allows clinical comparisons of FGR in
mice through the use of clinically relevant growth curves. We suggest this as a
standardized approach to defining FGR in mouse, and other animal models.
PMID- 21889208
TI - Placental inflammation and oxidative stress in the mouse model of assisted
reproduction.
AB - Higher rates of low birth weight and prematurity are observed in pregnancies
generated with assisted reproduction technologies (ART). Both conditions have
been associated with placental inflammation and oxidative stress. Since placental
and fetal levels of progesterone, a major anti-inflammatory steroid, are
decreased in murine ART, we investigated placental inflammation and oxidative
stress in this model as potential mediators of negative birth outcomes. After
generating mouse pregnancies by in vitro fertilization (IVF) and intracytoplasmic
sperm injection (ICSI) we evaluated the antioxidant defense network and major
inflammatory cytokines in maternal, placental and fetal tissues. Additionally,
placentas were analyzed for total lipid levels, fibrosis, apoptosis, reactive
oxygen species and integrity of intracellular nucleotides. Placentas from ART
contained significantly less lipids, with greater levels of apoptosis and
degraded nucleotides. Placentas from ICSI pregnancies had lower activities of
superoxide dismutase (SOD), thioredoxin reductase (TrxR), xanthine oxidase (XO),
catalase, glutathione-S-transferase (GST) glutathione peroxidase, and glutathione
reductase (GR). Furthermore, GR, GST and SOD were also lower in fetal livers from
ICSI pregnancies. Placentas from IVF pregnancies had decreased levels of SOD,
TrxR and XO only. In placentas from both ICSI and IVF pregnancies IL-6 levels
were significantly increased. These data suggest that ART is associated with
placental inflammation (IL-6), oxidative stress and apoptosis but not fibrosis or
remodeling. These effects are markedly greater with the ICSI technique. Since
ICSI is ubiquitous, oxidative stress and placental inflammation associated with
this method may be a critical factor in negative birth outcomes such as
prematurity and low birth weight.
PMID- 21889209
TI - Role of 657del5 NBN mutation and 7p12.2 (IKZF1), 9p21 (CDKN2A), 10q21.2 (ARID5B)
and 14q11.2 (CEBPE) variation and risk of childhood ALL in the Polish population.
AB - Recent studies have shown that SNPs mapping to 7p12.2 (IKZF1), 9p21 (CDKN2A),
10q21.2 (ARID5B), and 14q11.2 (CEBPE) and carrier status for recessively
inherited Nijmegen Breakage syndrome (NBS) influence childhood acute
lymphoblastic leukemia (ALL) risk. To examine these relationship, we analysed 398
ALL cases and 731 controls from Poland. Statistically significant association
between genotype at 7p12.2 (IKZF1), 10q21.2 (ARID5B) and the NBS associated
locus, 8q21.3 (NBN) and ALL risk was found; odds ratios (ORs), 1.34 (P=0.002),
1.33 (P=0.003), and 1325.21 (P=0.0028), respectively. These data provide further
insights into the biological basis of ALL highlighting the existence of both
common and rare disease susceptibility variants.
PMID- 21889210
TI - Interphase-FISH provides additional relevant information in myelodysplastic
syndromes.
PMID- 21889211
TI - Comparison of bioassays with different exposure time patterns: the added value of
dynamic modelling in predictive ecotoxicology.
AB - The purpose of this study was to compare Daphnia magna responses to cadmium
between two toxicity experiments performed in static and flow-through conditions.
As a consequence of how water was renewed, the two experiments were characterised
by two different exposure time patterns for daphnids, time-varying and constant,
respectively. Basing on survival, growth and reproduction, we addressed the
questions of organism development and sensitivity to cadmium. Classical analysis
methods are not designed to deal with the time dimension and therefore not
suitable to compare effects of different exposure time patterns. We used instead
a dynamic modelling framework taking all timepoints and the time course of
exposure into account, making comparable the results obtained from our two
experiments. This modelling framework enabled us to detect an improvement of
organism development in flow-through conditions compared to static ones and infer
similar sensitivity to cadmium for both exposure time patterns.
PMID- 21889212
TI - Cytotoxicity of water-soluble fraction from biodiesel and its diesel blends to
human cell lines.
AB - The designation of biodiesel as a green fuel has increased its commercialization
and use, making its fate in the environment a matter of concern. Fuel spills
constitute a major source of aquatic pollution and, like diesel spills, biodiesel
can produce adverse effects on aquatic environments, animals and humans. The
present study assessed cytotoxic effects of water systems contaminated with neat
biodiesel and its diesel blends by means of different procedures on human T cell
leukemia (Jurkat) and human hepatocellular carcinoma (HepG2) cells [detection of
changes in mitochondrial membrane potential (DeltaPsi(m)) using
tetramethylrhodamine ethyl ester (TMRE), apoptosis recognition by Annexin V and
impedance real-time cell analyzer (xCELLigenceTM system)]. The data obtained
showed concordance across the different bioassays, with cytotoxic effects
observed as a dose-dependent response only for waters contaminated with pure
diesel (D100) and B5 blend, which is characterized by a mixture of 95% diesel and
5% biodiesel. The data can also lead us to hypothesize that diesel accounts for
the harmful effects observed, and that biodiesel does not worsen the impacts
caused by diesel pollution.
PMID- 21889213
TI - Phenomenology of psychotic mood disorders: lifetime and major depressive episode
features.
AB - BACKGROUND: The nosological and clinical implications of psychotic features in
the course of mood disorders have been widely debated. Currently, no
specification exists for defining a subgroup of lifetime Psychotic Mood Disorder
(PMD) patients. METHODS: A total of 2178 patients were examined, including
subjects with Bipolar Disorder (BP) type I (n=519) and II (n=207) and Major
Depressive Disorder (n=1452). Patients were divided between PMD (n=645) and non
psychotic Mood Disorders (MD) (n=1533) by the lifetime presence of at least one
mood episode with psychotic features. Subjects having a depressive episode at the
time of assessment were also examined: HAM-D and YMRS scores were compared
between MD and PMD subjects, both with and without current psychotic features.
RESULTS: A diagnosis of BP-I, a higher familial load for BP, a higher number of
mood episodes lifetime, and a higher prevalence of OCD and somatic comorbidities
were all associated to PMD. A diagnosis of BP (OR=4.48) was the only significant
predictor for psychosis. PMD with non-psychotic depression were apparently less
severe than MD patients and had a lower rate of "non-responders" to AD treatment.
Sub-threshold manic symptoms and suicidal risk were also more pronounced among
PMD. LIMITATIONS: The lack of information about number and polarity of previous
psychotic mood episodes may be the major limitations of our study. CONCLUSIONS:
BP diagnosis is the most significant predictor for psychosis in mood disorders.
Non-psychotic mood episodes in PMD patients may be characterized by a distinctive
symptom profile and, possibly, a different response to treatment.
PMID- 21889214
TI - Targeting Toll-like receptors: emerging therapeutics for multiple sclerosis
management.
AB - Toll-like receptors (TLR) are important innate immune proteins for the
identification and clearance of invading pathogen. TLR signal through adaptor
proteins, most commonly myeloid differentiation primary response gene 88 (MyD88).
Inappropriate response of specific TLR has been implicated in certain autoimmune
diseases, such as multiple sclerosis (MS). Activation of TLR2, TLR4, TLR7 and
TLR9 plays a role in experimental allergic encephalomyelitis (EAE), a murine
model of MS, while TLR3 activation protects from disease. Therefore, TLR
modulation could be an important adjuvant to current treatments. Here, we focus
on TLR involved in EAE and MS pathogenesis highlighting specific components
targeting TLR that might offer further therapeutic possibilities.
PMID- 21889215
TI - IL28B polymorphisms are not associated with the response to interferon-beta in
multiple sclerosis.
AB - Recent studies have revealed an association between interleukin 28B (IL28B) and
response to IFN-alpha treatment in hepatitis C patients. Here we investigated the
influence of IL28B polymorphisms in the response to interferon-beta (IFNbeta) in
multiple sclerosis (MS) patients. We genotyped two SNPs of the IL28B gene
(rs8099917 and rs12979860) in 588 MS patients classified into responders (n=281)
and non-responders (n=307) to IFNbeta. Combined analysis of the study cohorts
showed no significant associations between SNPs rs8099917 and rs12979860 and the
response to treatment. These findings do not support a role of IL28B
polymorphisms in the response to IFNbeta in MS patients.
PMID- 21889216
TI - Predicting complications after adenotonsillectomy in children 3 years old and
younger.
AB - OBJECTIVES: To identify risk factors for complications in the first 24h after
surgery in the young (<4 years old) adenotonsillectomy patient. METHODS: A
retrospective chart review was performed at a tertiary care children's hospital.
Consecutive records of all children of age 3 years and younger undergoing
adenotonsillectomy over a 5 year period were included in the study. The main
outcomes measured were total and airway complications occurring on post-operative
days 0-1. RESULTS: 993 patients were included in the study. The mean age was 2.94
years old. Witnessed apneas (74.1%) and snoring (59.2%) were the most frequent
pre-operative symptoms. 700 children were admitted with a mean length-of-stay of
1.22 days (0-9 days) and a mean time-to-oral intake of 0.28 days (0-4 days) among
those patients admitted. The total number of complications was 102 in 98 patients
(9.9%). There were 35 complications on post-operative days (POD) 0-1 (3.5%), and
23 of those were airway-related (2.3%). With regard to all complications on POD 0
1, significant predictors were nasal obstruction, gastroesophageal reflux
disease, prematurity and a history of cardiovascular anomalies. Significant
predictors of airway complications on POD 0-1 were younger age (1-2 years old),
larger adenoid size, nasal obstruction, and a history of cardiovascular
anomalies. CONCLUSIONS: Knowing the stated risk factors for complications in the
early post-operative period after adenotonsillectomy in the younger pediatric
patient can help select certain patients for closer monitoring. Specifically,
children aged 1-2 years old with a history of nasal obstruction from large
adenoids, gastroesophageal reflux disease, prematurity, and/or cardiovascular
anomalies appear to be at higher risk for early complications and should warrant
closer observation.
PMID- 21889217
TI - Endoscopic balloon dilatation of esophageal strictures in children.
AB - AIM OF THE STUDY: To assess the efficacy and safety of endoscopic balloon
dilatation of esophageal strictures in children. MATERIAL AND METHODS: DESIGN:
retrospective case series; population: 49 patients under 18 years of age referred
to our center for esophageal strictures; treatment: endoscopic balloon
dilatation; outcome parameters: residual dysphagia, weight gain, iatrogenic
esophageal perforation, assessment of the esophageal lumen by endoscopy or
esophagogram. RESULTS: The three main etiologies were esophageal atresia (49%;
n=24), corrosive injury (25%; n=12), and epidermolysis bullosa (14%; n=7),
followed by a heterogeneous group of rarer causes (12%; n=6). The number of
dilatations ranged from 1 to 8 sessions per patient (median +/- SEM: 2 +/- 0.3).
The length of the follow-up period ranged from 20 to 109 months (median +/- SEM:
40 +/- 4 months). Treatment was successful in 86% of cases (n=42). Twelve percent
of patients (n=6) had a residual stenosis requiring surgery, and a further one
still experienced swallowing difficulties requiring enteral nutrition via
gastrostomy in spite of the absence of significant residual stricture. Results
were less satisfactory in cases of corrosive injury than with other etiologies.
Three esophageal perforations were observed (6% of patients; 2% of procedures).
All were medically treated. CONCLUSIONS: Endoscopic balloon dilatation is a
simple, safe and efficacious treatment of esophageal strictures in children.
PMID- 21889218
TI - Tissue remodeling in the acute otitis media mouse model.
AB - OBJECTIVES: Otitis media is an infectious, inflammatory process involving the
middle ear space. Chronic inflammation is associated with fibrosis, scarring and
osteogenesis within the middle ear, which may contribute to subsequent hearing
loss and increase the difficulty of treatment. METHODS: Heat-killed Streptococcus
pneumoniae was injected into the middle ears of 8-12 week old Balb/c mice.
Control mice were treated with PBS middle ear injections. Middle ears were
harvested at 1, 3, 5 and 7 days following injection (n=8 for each time point).
The middle ears were processed using standard RT-PCR techniques. Up- and down
regulation of mRNA expression of various members of the Bone Morphogenetic
Protein (BMP), Fibroblast Growth Factor (FGF) and Matrix Metalloproteinase (MMP)
families was quantified and compared to PBS treated controls (n=8 for each time
point). RESULTS: Significant upregulation of MMP2, MMP3 and MMP9 was observed at
varying time points (p<0.05). Significant downregulation of BMP3, BMP4, BMP5 BMP6
and BMP8a was seen at varying time points (p<0.05). Significant downregulation of
FGF3, FGF6, FGF10 and FGFr1 was observed at varying time points (p<0.05). No
significant expression of BMP8b, BMP9, BMP10, FGF5, FGF8, MMP1a, MMP7 and MMP14
was detected within the middle ear. CONCLUSIONS: Inflammation within the middle
ear following injection of bacterial products results in changes in the
regulation of several tissue remodeling cytokines and proteinases in the mouse
model. Further understanding of these molecular processes may allow for the
development of treatment modalities aimed at preventing middle ear tissue
remodeling.
PMID- 21889219
TI - Age-related tonsillar regrowth in children undergoing powered intracapsular
tonsillectomy.
AB - OBJECTIVES: To review our experience with intracapsular tonsillectomy using
powered instrumentation (PIT) in the management of tonsillar hypertrophy. DESIGN:
Retrospective database review of pediatric patients undergoing PIT. METHODS: The
medical records of 636 patients under 11 years of age who underwent PIT performed
by the senior author (RFW), predominantly for obstructive sleep disturbance, were
reviewed. Data were subsequently analyzed from 559 of these patients for clinical
evidence of tonsillar regrowth, post-operative tonsillar hemorrhage, and post
operative dehydration due to pain. Specific information for possible correlation
of age at the time of surgery and any increased rate of regrowth was primarily
examined. RESULTS: There were a total of 33 patients who had clinical evidence of
regrowth. Children less than 5 years of age had 5 times the incidence of regrowth
(p<0.001). Out of the group that exhibited regrowth, 5 patients exhibited
evidence of recurrent upper airway obstruction and underwent a complete
tonsillectomy. The age of this complete tonsillectomy group ranged from 1.1 to
2.7 years. Out of all patients undergoing PIT, there was 1 incident of delayed
post-operative dehydration due to emesis but not due to pain. There were 2
incidents of delayed post-operative tonsillar bleeds. All three complications
were self-limited and did not require re-hospitalization. CONCLUSIONS: PIT is a
safe procedure with a small risk of tonsillar regrowth being age related. The
incidence of postoperative complications following PIT is relatively low (0.54%).
PMID- 21889220
TI - Caregiving of aged people, either professional job or acquiring merit in God's
sight: an example of qualitative research.
AB - Nowadays because of lots of reasons, lifespan is increasing and caregiving of
aged people becomes more and more important. Today it is understood how the
professional care of aged people is significant, instead of being carried out by
volunteers. Because of this, in this study we aimed to understand the problems,
and thoughts of aging of caregivers who are working in nursing homes. This study
was made using the so-called qualitative research, which is one of the research
designs. Including general aim, interviews with 13 caregivers with structured
questions, supported by spontaneous ones. Interviews were taped and transcribed
verbatim. After that, they were grouped with the same themes. Thoughts are
grouped with three main themes, which are about "aging", "working life",
"caregiving of aged people". Aged people are described like people who are
dependent, need interest, and represent the wisdom period. Also caregiving is
described like both good job and punishment. Besides, the reason of working as a
caregiver, is the economic needs. The suggestions of caregivers are increasing
payment, giving psychological and emotional support, and also improving physical
conditions. Economic satisfaction of caregivers, giving psychological support,
caregivers with aged people and improving physical conditions are important to
increase the quality of professional caregiving.
PMID- 21889221
TI - Prostate HDR brachytherapy catheter displacement between planning and treatment
delivery.
AB - BACKGROUND AND PURPOSE: HDR brachytherapy is used as a conformal boost for
treating prostate cancer. Given the large doses delivered, it is critical that
the volume treated matches that planned. Our outpatient protocol comprises two 9
Gy fractions, two weeks apart. We prospectively assessed catheter displacement
between CT planning and treatment delivery. MATERIALS AND METHODS: Three fiducial
markers and the catheters were implanted under transrectal ultrasound guidance.
Metal marker wires were inserted into 4 reference catheters before CT; marker
positions relative to each other and to the marker wires were measured from the
CT scout. Measurements were repeated immediately prior to treatment delivery
using pelvic X-ray with marker wires in the same reference catheters.
Measurements from CT scout and film were compared. For displacements of 5mm or
more, indexer positions were adjusted prior to treatment delivery. RESULTS:
Results are based on 48 implants, in 25 patients. Median time from planning CT to
treatment delivery was 254 min (range 81-367 min). Median catheter displacement
was 7.5mm (range -2.9-23.9 mm), 67% of implants had displacement of 5mm or
greater. Displacements were predominantly caudal. CONCLUSIONS: Catheter
displacement can occur in the 1-3h between the planning CT scan and treatment. It
is recommended that departments performing HDR prostate brachytherapy verify
catheter positions immediately prior to treatment delivery.
PMID- 21889222
TI - Young age under 60 years is not a contraindication to treatment with definitive
dose escalated radiotherapy for prostate cancer.
AB - BACKGROUND: It is widely believed that younger prostate cancer patients are at
greater risk of recurrence following radiotherapy (RT). METHODS: From 1992 to
2007, 2168 (395 age <= 60) men received conformal RT alone for prostate cancer at
our institution (median dose=76 Gy, range: 72-80). Multivariable analysis (MVA)
was used to identify significant predictors for BF and PCSM. Cumulative incidence
was estimated using the competing risk method (Fine and Gray) for BF (Phoenix
definition) and PCSM to account for the competing risk of death. RESULTS: With a
median follow-up of 72.2 months (range: 24.0-205.1), 8-year BF was 27.1% for age
<= 60 vs. 23.7% for age >60 (p=0.29). Eight-year PCSM was 3.0% for age <= 60 vs.
2.0% for age >60 (p=0.52). MVA for BF identified initial PSA [adjusted HR=1.7
(PSA 10-20), 2.6 (PSA >20), p<0.01], Gleason score [adjusted HR=2.1 (G7), 1.9 (G8
10), p<0.01], T-stage [adjusted HR=1.7 (T2b-c), 2.6 (T3-4), p<0.01], and initial
androgen deprivation therapy (ADT) [adjusted HR=0.38 (ADT >12 months), p<0.01] as
significant, but not age or ADT <12 months. MVA for PCSM identified Gleason score
[adjusted HR=3.0 (G8-10), p=0.01] and T-stage [adjusted HR=8.7 (T3-4), p<0.01] as
significant, but not age, PSA, or ADT. CONCLUSION: This is the largest, most
mature study of younger men treated with RT for prostate cancer that confirms
young age is not prognostic for BF.
PMID- 21889223
TI - Oncologists' view of informed consent and shared decision making in paediatric
radiation oncology.
AB - BACKGROUND AND PURPOSE: Cure rates of paediatric malignancies have dramatically
improved with therapy intensification, at the cost of late treatment side
effects. A survey was developed, centred around medulloblastoma scenarios, in
order to explore paediatric oncology physicians' views on discussing late effects
and involving parents in treatment decisions. MATERIALS AND METHODS: Participants
were 59 paediatric radiation and medical oncologists or fellows from USA (22),
Canada (18), Europe (16), Australia (2), and Asia (1). RESULTS: Ninety-five
percent of respondents indicated late effects discussion prior to multimodality
treatment was important. Of those who supported it, 100%, 83%, 64%, and 48%
thought discussing cognitive impairment, infertility, stroke, and seizures as
potential late effects was important, respectively. Only 71% of respondents
believed parents should be involved in treatment decisions, which did not
significantly vary by respondent age, country, specialty, gender, or years in
practice. CONCLUSIONS: The majority of oncologists who treat children believe
discussing late effects with parents is important. However, there is mixed
opinion on which late effects should be discussed and whether parents should be
involved in deciding which treatments should be pursued. Research into perceived
barriers to shared decision making and effective methods of improving the
informed consent process in paediatric malignancies is needed.
PMID- 21889224
TI - The maximum standardized uptake value (SUVmax) on FDG-PET is a strong predictor
of local recurrence for localized non-small-cell lung cancer after stereotactic
body radiotherapy (SBRT).
AB - BACKGROUND: The maximum standardized uptake value (SUVmax) of FDG-PET may predict
local recurrence for localized non-small-cell lung cancer (NSCLC) after
stereotactic body radiotherapy (SBRT). METHODS: Among 195 localized NSCLCs that
were treated with total doses of either 40Gy or 50Gy in 5 SBRT fractions, we
reviewed those patients who underwent pre-treatment FDG-PET using a single
scanner for staging. Local control rates (LCRs) were obtained by the Kaplan-Meier
method and a log-rank test. Prognostic significance was assessed by univariate
and multivariate analyses. RESULTS: A total of 95 patients with 97 lesions were
eligible. Median follow-up was 16.0months (range: 6.0-46.3months). Local
recurrences occurred in 9 lesions. By multivariate analysis, only the SUVmax of a
primary tumor was a significant predictor (p=0.002). Two years LCRs for lower
SUVmax (<6.0; n=78) and higher SUVmax (?6; n=19) were 93% and 42%, respectively.
In subgroups with T1b and T2, LCRs were significantly better for lower SUVmax
than for higher SUVmax (p<0.0005 and p<0.01). In both subgroups that received
40Gy and 50Gy, LCRs were also significantly better for lower SUVmax than for
higher SUVmax (p<0.001 and p<0.01). CONCLUSIONS: SUVmax was the strongest
predictor for local recurrence. A high SUVmax may be considered for dose
escalation to improve local control. Additional follow-up is needed to determine
if SUVmax is correlated with regional recurrence, distant metastasis, and
survival.
PMID- 21889225
TI - Radiotherapy treatment of keloid scars with a kilovoltage X-ray parallel pair.
AB - An established treatment for keloids is surgery and radiotherapy, using a single
applied field. However, earlobe keloids lend themselves to a parallel opposed
pair approach. Delivery with a superficial X-ray unit is practicable and improves
homogeneity within the treatment volume. It has been implemented in this centre
since 2007.
PMID- 21889226
TI - Genotyping and phenotyping of Fusarium graminearum isolates from Germany related
to their mycotoxin biosynthesis.
AB - Fusarium graminearum is the most important pathogen causing Fusarium head blight
(FHB) of small cereal grains worldwide responsible for quantitative and
qualitative yield losses. The presence in crops is often associated with
mycotoxin contamination of foodstuff limiting its use for human and animal
consumption. A collection of isolates of F. graminearum from Germany was
characterized genetically and chemically for their potential to produce the B
trichothecenes deoxynivalenol (DON) and nivalenol (NIV). Molecular methods with
eight PCR assays were implemented based on functional Tri7 and Tri13 genes and on
the tri5-tri6 intergenic region to differentiate between chemotaxonomic groups
DON and NIV, resulting in a marked majority (61/63) of DON chemotypes. Mycotoxins
produced on rice kernels were quantified by means of LC-MSMS including DON, NIV,
3-acetyl-DON (3-ADON), 15-acetyl-DON (15-ADON), DON-3-glucoside, fusarenon X, as
well as zearalenone; all of them proving to be present in high concentration
among the isolates. All DON-chemotype isolates also produced lower amounts of NIV
with the amount being positively correlated (R2=0.89) to the DON amount. 15-ADON
and 3-ADON are reported to be produced simultaneously by the isolates, the former
dominating over the latter in all but one isolate. Fungal biomass, was quantified
via ergosterol amount on rice. It was used to calculate specific mycotoxin
production per biomass of isolates, ranging from 0.104 to 1.815mg DON mg-1
ergosterol, presenting a Gaussian distribution. Genotype and phenotype
characterization revealed discrepancies with respect to mycotoxin production
potential of the fungi, i.e. isolates from one chemotype were able to produce
mycotoxins from other chemotypes in considerable amounts.
PMID- 21889227
TI - RRM1 expression and clinical outcome of gemcitabine-containing chemotherapy for
advanced non-small-cell lung cancer: a meta-analysis.
AB - BACKGROUND: The predictive value of RRM1 to therapeutic efficacy of gemicitabine
containing chemotherapy in patients with advanced non-small-cell lung cancer
(NSCLC) remains disputable. This meta-analysis is performed to systematically
evaluate whether RRM1 expression is associated with the clinical outcome of
gemcitabine-containing regimen in advanced NSCLC. METHODS: An electronic search
was conducted using the databases Pubmed, Medline, EMBASE, Cochrane library and
CNKI, from inception to May, 2011. A systemic review of the studies on the
association between RRM1 expression in advanced NSCLC and clinical outcome of
gemcitabine-containing regimen was performed. Pooled odds ratios (OR) for the
response rate, weighted median survival and time to progression were calculated
using the software Revman 5.0. RESULTS: The search strategy identified 18
eligible studies (n=1243). Response rate to gemcitabine-containing regimen was
significantly higher in patients with low/negative RRM1 (OR=0.31, 95% CI 0.21
0.45, P<0.00001). NSCLC patients with low/negative RRM1 who were treated with
gemicitabine-containing regimen survived 3.94 months longer (95% CI 2.15-5.73,
P<0.0001) and had longer time to progression for 2.64 months (95% CI 0.39-4.89,
P=0.02) than those with high/positive RRM1. CONCLUSIONS: Low/negative RRM1
expression in advanced NSCLC was associated with higher response rate to
gemcitabine-containing regimen and better prognosis. Large phase III randomized
trials are required to identify whether RRM1 detection is clinically valuable for
predicting the prognosis and sensitivity to gemcitabine-containing regimen in
advanced NSCLC.
PMID- 21889228
TI - Systems biology of infectious diseases: a focus on fungal infections.
AB - The study of infectious disease concerns the interaction between the host species
and a pathogen organism. The analysis of such complex systems is improving with
the evolution of high-throughput technologies and advanced computational
resources. This article reviews integrative, systems-oriented approaches to
understanding mechanisms underlying infection, immune response and inflammation
to find biomarkers of disease and design new drugs. We focus on the systems
biology process, especially the data gathering and analysis techniques rather
than the experimental technologies or latest computational resources.
PMID- 21889229
TI - Topical versus peribulbar anesthesia in non-penetrating deep sclerectomy. A cost
effectiveness analysis.
AB - AIM: To assess the costs and cost-effectiveness ratio of topical and peribulbar
anesthesia in non-penetrating deep sclerectomy for the surgical treatment of open
angle glaucoma. PATIENTS AND METHODS: We evaluated the associated direct costs
with both topical and peribulbar anesthesia. Effectiveness was defined as the
proportion of patients that experienced no pain during the surgical procedure and
was obtained from the literature. Cost-effectiveness was defined as direct cost
of anesthesia per patient with no pain. We also calculated the incremental cost
effectiveness ratio (ICER) in order to determine which intervention was dominant.
RESULTS: Direct costs were US$ 45.60 and US$ 49.18 for topical and peribulbar
anesthesia respectively. The great majority of patients experienced no pain with
any of the procedures (91.7% for the topical group and 69.7% for the peribulbar
group). Cost-effectiveness ratio was US$ 49.73 for topical anesthesia and US$
70.56 for peribulbar anesthesia. The ICER was negative and topical anesthesia was
dominant over peribulbar anesthesia. CONCLUSION: Topical anesthesia was less
costly and more effective than peribulbar anesthesia in avoiding pain in non
penetrating deep sclerectomy.
PMID- 21889230
TI - [Anti-TNF-alpha in the treatment of uveitis in the Besancon Hospital].
AB - BACKGROUND: Noninfectious chronic uveitis is a difficult-to-treat situation in
which corticosteroids, immunosuppressive agents, and more recently, anti-tumor
necrosis factor (TNF)-alpha are used to prevent and/or reverse severe visual
impairment. This single-center retrospective study was designed to assess the use
(indications, efficacy, and side effects) of anti-TNF-alpha agents in
noninfectious uveitis. PATIENTS AND METHODS: Eight patients were analyzed: three
children (age, 7-15 years) and five adults (age, 27-44 years). Anti-TNF-alpha
agents were etanercept (three patients), adalimumab (four patients), and
infliximab (four patients). Diagnoses were Behcet's diseases (n=3), sarcoidosis
(n=1), juvenile chronic arthritis (n=2), spondyloarthropathy (n=2), one of the
latter two combined with Crohn disease. In all cases, anti-TNF-alpha therapies
were prescribed because uveitis and/or associated disease were not under control.
RESULTS: Adalimumab and infliximab were effective for all patients. One patient
with infliximab needed to add corticosteroids and immunosuppressive agents
because of relapse. Etanercept was stopped in all cases due to a lack of
effectiveness or a change in indication. In all patients, anti-TNF-alpha agents
improved uveitis and the underlying systemic disease. In children, their use
improved quality of life by corticosteroid weaning. Prescriptions did not comply
with regulations for three children, because of age limits (etanercept, one;
adalimumab, two). No adverse event was recorded. CONCLUSION: In this short case
series, anti-TNF-alpha agents were effective both on uveitis and the underlying
systemic disease and were well tolerated in patients with noninfectious chronic
uveitis.
PMID- 21889231
TI - [Peritoneal strumosis: an extension study with (99m)Tc-pertecnectate].
AB - We report the case of a 35-year-old nulliparous woman, with a previous history of
ovarian cystectomy diagnosed 3 years earlier due a struma ovarii type of
monodermal teratoma in the right ovary and a hemorrhagic cyst in the left ovary.
Progressive growth of the left adnexal mass was observed in the periodic medical
check-ups. Due to this, a second laparoscopy was performed and, based on the
findings, a left ovarian cystectomy, right salpingectomy and resection of
multiple peritoneal implants were carried out. The pathology diagnosis was left
struma ovarii and peritoneal strumosis. A whole body and SPECT/CT scan with
(99m)Tc-pertechnetate was performed to detect possible peritoneal implants. This
study helped to make the therapeutic decision.
PMID- 21889232
TI - [FOTOTRANS study: multicentre study on the validity of Fototest under clinical
practice conditions].
AB - OBJECTIVE: Fototest is a short simple test, applicable to illiterate subjects and
not influenced by educational level. The purpose of this study is to assess its
validity for cognitive impairment (CI) and dementia (DEM) under routine clinical
practice conditions. MATERIAL AND METHODS: A cross-sectional, multicentre and
naturalistic study conducted in General Neurology clinics. The subjects were,
over 60 years old, with a previously established diagnosis of no cognitive
impairment (NoCI), cognitive impairment without dementia (CInoDEM), or dementia
(DEM). The discriminant validity was assessed using the area under the ROC curve
(AUC), sensitivity (Sn), specificity (Sp), and probability coefficients. Partial
correlations were calculated, adjusted for age, sex and education level with
Eurotest, verbal fluency test (VFT) and Global Deterioration Scale (GDS) score to
evaluate the concurrent and construct validity. RESULTS: Nineteen neurologists
included a total of 589 subjects: 361 NoCI, 106 CINoDEM and 122 DEM. The
discriminant value was better for DEM (AUC 0.94 +/- 0.02) than for CI (0.86 +/-
0.02). For DEM the best cut-off point was 26/27 (Sn=0.88 [95% CI, 0.93-0.97],
Sp=0.87 [95% CI, 0.84-0.90]); and for CI, 28/29 (Sn=0.71 [95% CI, 0.65-0.77],
Sp=0.84 [95% CI, 0.80-0.88]). Fototest showed a high and significant correlation
with Eurotest (r=0.70 +/- 0.02), VFT (r=0.68 +/- 0.02) and the GDS score (r=-0.77
+/- 0.02). CONCLUSION: The Fototest showed adequate validity for CI and DEM in
general Neurology clinics in an extensive and wide geographical area.
PMID- 21889233
TI - [Migraine associated with conversion symptoms (Babinski's migraine): evaluation
of a series of 43 cases].
AB - BACKGROUND: In 1890 four cases of headache associated with visual symptoms and
hysterical disorder were described by the French neurologist Babinski as migraine
ophthalmique hysterique, or hysterical ophthalmic migraine. Since that time this
association has seldom been described, and the possibly high frequency previously
reported still remains to be established. This paper has reused Babinski's
description and it tries to rehabilitate the syndrome described by the French
semiologist across the relatively frequent experience of this type of patients in
a public hospital. Also it analyzes the reason of the oblivion of his
description. METHOD: This study presents a series of 43 cases of headache of the
migraine type associated with other symptoms, most consistent with basilar-type
migraine according to IHS criteria. Diagnosis of conversion disorder (hysteria)
was grounded in the criteria set forth in the DSM-IV. RESULTS: All patients
exhibited one or more manifestations of hysteria (conversion symptoms) during
migraine attacks, and some did in the intervals between attacks as well. Details
of the headaches, associated symptoms, and hysterical manifestations are
discussed. Most patients improved with antimigraine medication. Altered
consciousness may have contributed to the onset of hysterical symptoms.
CONCLUSIONS: The basilar type migraine associated with conversion symptoms
described of systematized form by Babinski, it is not a rare entity. Similar
pictures have been described along the history of the medicine. The later silence
possibly is due to the historical difficulty in defining accurately the
conversion disorders. The Babinskis migraine is a certain well entity and must be
recovered for the clinic.
PMID- 21889235
TI - Discovery of gemfibrozil analogues that activate PPARalpha and enhance the
expression of gene CPT1A involved in fatty acids catabolism.
AB - A new series of gemfibrozil analogues conjugated with alpha-asarone, trans
stilbene, chalcone, and their bioisosteric modifications were synthesized and
evaluated to develop PPARalpha agonists. In this attempt, we have removed the
methyls on the phenyl ring of gemfibrozil and introduced the above scaffolds in
para position synthesizing two series of derivatives, keeping the
dimethylpentanoic skeleton of gemfibrozil unaltered or demethylated. Four
compounds exhibited good activation of the PPARalpha receptor and were also
screened for their activity on PPARalpha-regulated gene CPT1A.
PMID- 21889234
TI - [Low sensitivity of the echocardiograph compared with contrast transcranial
Doppler in right-to-left shunt].
AB - BACKGROUND: Contrast transcranial Doppler (c-TCD) has a high sensitivity for
detecting right-to-left shunt (RLS), and is probably higher than transthoracic
echocardiography (TTE) and comparable with transesophageal echocardiography
(TEE). OBJECTIVE: To evaluate the accuracy of echocardiography (TTE and TEE) to
detect RLS compared to c-TCD. MATERIAL AND METHODS: Observational study of
patients <55 years old with cerebral ischaemia of undetermined origin (2007
2009). All underwent c-TCD monitoring to detect RLS, at rest and after Valsalva
manoeuvre (VM). The TTE and TEE were performed when indicated by our
cerebrovascular protocol. The accuracy of TTE and TEE for detecting RLS was
calculated by comparing them with c-TCD. RESULTS: A total of 115 patients with c
TCD, mean age 43.3 (SD 10.3) years, 51.3% male. The TTE was performed in 102, and
TEE in 81, patients. RLS detection was higher with c-TCD than with TTE (67.6% vs.
22.5%, P=.001) or TEE (77.8% vs. 53.1%, P=.001). The TTE, compared with c-TCD
after MV showed: sensitivity 31.8%, specificity 96.9%, positive predictive value
(PPV) 95.6%, negative predictive value (NPV) 40.5% and accuracy 52.9% to detect
RLS. TEE, compared with c-TCD after MV showed: sensitivity 63.4%, specificity
83.3%, PPV 93%, NPV 39.4% and accuracy 67.9%. The accuracy of TTE and TEE
improved when they were compared with c-TCD at rest. CONCLUSIONS: TTE and TEE
show a considerable number of false negatives for RLS detection. Clinical studies
should consider the c-TCD as the best technique to diagnose RLS when a
paradoxical embolism is suspected.
PMID- 21889236
TI - Synthesis, stereochemistry and SAR of a series of minodronate analogues as RGGT
inhibitors.
AB - Phosphonocarboxylate (PC) analogues of bisphosphonates are of interest due to
their selective inhibition of a key enzyme in the mevalonate pathway, Rab
geranylgeranyl transferase (RGGT). The dextrarotatory enantiomer of 2-hydroxy-3
(imidazo[1,2-a]pyridin-3-yl)-2-phosphonopropanoic acid (3-IPEHPC, 1) is the most
potent PC-type RGGT inhibitor thus far identified. The absolute configuration of
(+)-1 in the active site complex has remained unknown due to difficulties in
obtaining RGGT inhibitor complex crystals suitable for X-ray diffraction
analysis. However, we have now succeeded in crystallizing (-)-1 and here report
its absolute configuration (AC) obtained by X-ray crystallography, thus also
defining the AC of (+)-1. An Autodock Vina 1.1 computer modeling study of (+)-1
in the active site of modified RGGT binding GGPP (3DSV) identifies
stereochemistry-dependent interactions that could account for the potency of (+)
1 and supports the hypothesis that this type of inhibitor binds at the TAG
tunnel, inhibiting the second geranylgeranylation step. We also report a
convenient (31)P NMR method to determine enantiomeric excess of 1 and its pyridyl
analogue 2, using alpha- and beta-cyclodextrins as chiral solvating agents, and
describe the synthesis of a small series of 1 alpha-X (X = H, F, Cl, Br; 7a-d)
analogues to assess the contribution of the alpha-OH group to activity at enzyme
and cellular levels. The IC(50) of 1 was 5-10* lower than 7a-d, and the LED for
inhibition of Rab11 prenylation in vitro was 2-8* lower than for 7a-d. However,
in a viability reduction assay with J774 cells, 1 and 7b had similar IC(50)
values, ~10* lower than those of 7a and 7c-d.
PMID- 21889237
TI - Learning styles of first-year undergraduate nursing and midwifery students: a
cross-sectional survey utilising the Kolb Learning Style Inventory.
AB - It is important that educators understand their students' learning styles. In
this study we investigate the learning styles of first-year undergraduate nursing
and midwifery university students and whether these learning styles are
influenced by student demographic characteristics. A cross-sectional survey
including demographic questions and the Kolb Learning Style Inventory was
utilised. There was a 78% response rate (n=345). The majority of first-year
students investigated in this study were divergers (29.5%), followed by
assimilators (28.8%), accommodators (23.9%) and convergers (17.9%). Female
students had a higher reflective observation (RO) score than male students
(p=0.0078). Those with English as first language showed a higher active
experimentation score (p=0.0543) and a lower concrete experience (CE) score
(p=0.0038). Australian citizens and permanent residents had a higher RO score
(p=0.0560) and a lower CE score (p=0.0100) than migrants and international
students. Nursing/arts students had a higher abstract conceptualisation (AC)
score than nursing students (p=0.0013). Students enrolled in 4-5 subject units
had a higher AC score than those enrolled in 1-2 units (p=0.0244). Nursing and
midwifery students are mainly of the diverger and assimilating learning styles.
Some student demographic characteristics show a significant influence on learning
styles. This study has teaching and research implications.
PMID- 21889238
TI - Estimating and predicting chemical potentials, distributions, speciation modes
and mobilities of radiometals in soil, water and biomass.
AB - Using a method from coordination chemistry, which is linked to ligand field
theory, the interaction of (binding of) metal ions with biomass is described and
put to quantitative scales including bioconcentration factors for a given living
being. This can be extended to radionuclides and biological exposure to them.
Fractionation of radionuclides from fission in biomass is discussed in examples
from various taxonomic groups, also touching issues of possible human exposure.
PMID- 21889239
TI - Potential risks: Re: a method for the extraction of impacted upper third molars.
PMID- 21889240
TI - The effects of walking sticks on gait kinematics and kinetics with chronic stroke
survivors.
AB - BACKGROUND: There are robust clinical paradigms against the prescription of
walking sticks for people with stroke. However, there is little information on
the biomechanics of gait with and without these devices to guide clinical
practice. Therefore, this study investigated how the use of walking sticks (canes
or crutches) affected both the kinematics and kinetics of gait in people with
chronic stroke after their walking had stabilized. METHODS: Nineteen people with
chronic stroke walked at both comfortable and fast speeds. A 3-D motion analysis
system and one force platform were used to obtain kinematic and kinetic data of
the paretic lower limb during four conditions: With and without walking sticks,
and at comfortable and fast speeds. Outcomes included linear kinematics (walking
speeds) and angular kinematics (maximum joint angles), power, and work of the
paretic hip, knee and ankle joints in the saggital plane. FINDINGS: The use of
walking sticks resulted in increases in speed during both fast (P<0.001) and
comfortable (P=0.001) walking, but did not result in changes in maximum joint
angles. This also led to increases in ankle plantar flexion (P<0.01), knee
extension (P<0.01), and hip flexion (P<0.001) power generation, but did not
result in changes in work. There were no greater changes as a result of using
walking sticks during fast versus comfortable walking for any outcome.
INTERPRETATIN: The outcomes with the use of walking sticks were beneficial, which
suggests that the prescription of these devices is not detrimental to walking
that was stabilized in people with stroke.
PMID- 21889241
TI - Dynamic Balance Control (DBC) in lower leg amputee subjects; contribution of the
regulatory activity of the prosthesis side.
AB - BACKGROUND: Regaining effective postural control after lower limb amputation
requires complex adaptation strategies in both the prosthesis side and the non
amputated side. The objective in this study is to determine the individual
contribution of the ankle torques generated by both legs in balance control
during dynamic conditions. METHODS: Subjects (6 transfemoral and 8 transtibial
amputees) stood on a force platform mounted on a motion platform and were
instructed to stand quietly. The experiment consisted of 1 static and 3
perturbation trials of 90 s duration each. The perturbation trials consisted of
continuous randomized sinusoidal platform movements of different amplitude in the
sagittal plane. Weight distribution during the static and dynamic perturbation
trials was calculated by dividing the average vertical force below the prosthesis
foot by the sum of forces below both feet. The Dynamic Balance Control represents
the ratio between the stabilizing mechanism of the prosthetic leg and the
stabilizing mechanism of the non-amputated leg. The stabilizing mechanism is
calculated from the corrective ankle torque in response to sway. The relationship
between the prosthetic ankle stiffness and the performance during the platform
perturbations was calculated. FINDINGS: All patients showed a (non-significant)
weight bearing asymmetry in favor of the non-amputated leg. The Dynamic Balance
Control ratio showed that the contribution of both legs to balance control was
even more asymmetrical. Moreover, the actual balance contribution of each leg was
not tightly coupled to weight bearing in each leg, as was the case in healthy
controls. There was a significant positive correlation between the prosthetic
ankle stiffness and the Dynamic Balance Control. INTERPRETATION: The Dynamic
Balance Control provides, in addition to weight distribution, information to what
extent the stabilizing mechanism of the corrective ankle torque of both legs
contributes to balance control. Knowledge of the stiffness properties may
optimize the prescription process of prosthetic foot in lower leg amputee
subjects in relation to standing stability.
PMID- 21889242
TI - Dynamic impression insole in rheumatoid foot with metatarsal pain.
AB - BACKGROUND: Custom molded insoles with metatarsal supports are used to
redistribute excessive loading under the metatarsal heads in patients with
metatarsalgia. However, these pressure reductions are usually insufficient for
the rheumatoid foot with painful deformed metatarsal heads. We developed an
effective insole made by sequential foam padding under successive walking
impression. METHODS: Seventeen consecutive rheumatoid arthritic outpatients with
metatarsal pain participated in this repeated measures study of 7-mm flat
Ethylene Vinyl Acetate, custom molded and dynamic impression insoles. Peak
plantar pressure, pressure-time integral, contact area and mean force were
measured by a Pedar-X mobile system. Pain levels were assessed using a Visual
Analog Scale (0-10). FINDINGS: Compared to the Ethylene Vinyl Acetate control,
the metatarsal head peak pressure and pressure-time integral were significantly
reduced in dynamic impression insoles by 46.3% (P<0.001) and 48.9% (P<0.001),
respectively. Compared to the custom molded insole, the dynamic impression insole
significantly reduced 18.3% of peak pressure (P<0.001) and 20.1% of pressure-time
integral (P<0.001) by increasing 8.1% of contact area (P=0.005) at the metatarsal
heads, but there were no significant differences in all variables at the heel.
After using the dynamic impression insole, the mean pain score was significantly
reduced from 7.6 to 1.1 (P<0.001), and six participants experienced total pain
relief in walking. INTERPRETATION: Dynamic impression insoles effectively relieve
metatarsal pain because of a larger weight-bearing area. Forefoot shape during
walking should be taken into consideration in orthotic designs for maximum
pressure reduction. Consequently, we recommend using materials with memory
properties to dynamically accommodate painful metatarsal heads.
PMID- 21889243
TI - Primary stability and strain distribution of cementless hip stems as a function
of implant design.
AB - BACKGROUND: Short stem prostheses have been developed to preserve the femoral
bone stock. The purpose of this study was to evaluate the stress-shielding effect
in the proximal femur as well as the micromotion between bone and implant as a
measure of primary stability for a new short stem in comparison to a clinically
successful short stem and a straight stem. METHODS: Using paired fresh human
femurs, stress shielding was examined by using tri-axial strain gage rosettes.
The strain distribution of the proximal femur was measured before and after
implantation of three cementless prostheses of different design concepts and stem
lengths. Furthermore, interface motion and rotational stability were investigated
under dynamic loading (100-1600 N) after 100,000 load cycles using inductive
miniature displacement transducers. FINDINGS: A reduction of longitudinal
cortical strains in the proximal femur was displayed for all three implants. The
reduction was less pronounced for the shorter stem implants, however. Interface
motion was below the critical threshold of 150 MUm at almost all measuring points
for all three stems, with a tendency for greater rotational stability in the
shorter stem implants. INTERPRETATION: The new short stem prosthesis displayed
reduced stress shielding and comparable primary stability to an established short
stem and a conventional shaft design. Shortening the stem did not negatively
influence primary stability. The clinical implications of these findings remain
to be proven.
PMID- 21889244
TI - Relationship between (210)Pb(ex) activity and sedimentary organic carbon in
sediments of 3 Chinese lakes.
AB - This report demonstrates that organic matter was an important factor in lake
sediment (210)Pb(ex) dating. Sediment cores from lakes in central and western
China with different-trophic levels were collected, and the (210)Pb(ex) activity
and total organic carbon (TOC) were measured. The Rock-Eval pyrolysis technique
was used to deconvolute TOC into free hydrocarbons (S1), thermally less-stable
macromolecular organic matter (S2a), kerogen (S2b), and residual carbon (RC). The
results show significant correlations between TOC and (210)Pb(ex), particularly
between S2a and (210)Pb(ex), in all the sediment cores. This indicated that the
algal-derived organic component S2a may play the most important role in
controlling the distribution of (210)Pb(ex). Scavenging by algal-derived organic
matter may be the main mechanism. As chronology is the key to the understanding
of pollution reconstruction and early diagenesis in sediments, more attention
should be paid to the influence of organic matter on (210)Pb(ex).
PMID- 21889245
TI - Long-term mercury dynamics in UK soils.
AB - A model assuming first-order losses by evasion and leaching was used to evaluate
Hg dynamics in UK soils since 1850. Temporal deposition patterns of Hg were
constructed from literature information. Inverse modelling indicated that 30% of
898 rural sites receive Hg only from the global circulation, while in 51% of
cases local deposition exceeds global. Average estimated deposition is 16 MUg Hg
m(-2) a(-1) to rural soils, 19 MUg Hg m(-2) a(-1) to rural and non-rural soils
combined. UK soils currently hold 2490 tonnes of reactive Hg, of which 2140
tonnes are due to anthropogenic deposition, mostly local in origin. Topsoil
currently releases 5.1 tonnes of Hg(0) per annum to the atmosphere, about 50%
more than the anthropogenic flux. Sorptive retention of Hg in the lower soil
exerts a strong control on surface water Hg concentrations. Following decreases
in inputs, soil Hg concentrations are predicted to decline over hundreds of
years.
PMID- 21889246
TI - Impact of motivation on cognitive control in the context of vigilance lowering:
an ERP study.
AB - We assessed the effects of time-on-task on cognitive control expressed by the
CRN/Nc and the extent to which motivation modulates this relationship. We
utilized two groups of participants, who were told that their performance would
(evaluation condition) or would not (control condition) be evaluated online. Both
groups performed a version of the Eriksen Flanker Task for 60 min. We observed
classical vigilance lowering, manifested by a progressive performance decline
with time-on-task, in the control, but not in the evaluation, condition. In the
latter, performance remained stable throughout the task. ERP analysis indicated
the same interaction in our main component of interest, the CRN/Nc, whose
amplitude decreased from the first to the last period in the control condition
but remained stable over time in the evaluation condition. To our knowledge, this
study is the first to demonstrate the impact of motivation on monitoring
processes as indexed by the correct response negativity, in the context of a
prolonged task. Vigilance lowering caused by a repetitive and prolonged flanker
task, results in compromised response control and compromised control of correct
responses. Our results suggest that alterations in ACC functioning may underlie
vigilance decline and can be viewed as evidence that the action monitoring
functions of the ACC can be positively affected by motivation.
PMID- 21889247
TI - Association of the DAT1 genotype with inattentive behavior is mediated by reading
ability in a general population sample.
AB - Attention deficit hyperactivity disorder (ADHD) and reading disability (RD)
frequently co-occur in the child population and therefore raise the possibility
of shared genetic etiology. We used a quantitative trait loci (QTL) approach to
assess the involvement of the dopamine transporter (DAT1) gene polymorphism in
mediating reading disability and poor attention in a general population sample of
primary school children aged 6-11 years in the UK. The potential confounding
effects of IQ and chronological age were also investigated. We found an
independent association between the homozygous DAT1 10/10 repeat genotype and RD
that was not accounted for by the level of ADHD symptoms. This finding suggests
that the DAT1 gene polymorphism may influence a common neural mechanism
underlying both reading acquisition and ADHD symptoms.
PMID- 21889248
TI - Increased spreading activation in depression.
AB - The dopaminergic system is implicated in depressive disorders and research has
also shown that dopamine constricts lexical/semantic networks by reducing
spreading activation. Hence, depression, which is linked to reductions of
dopamine, may be associated with increased spreading activation. However,
research has generally found no effects of depression on spreading activation,
using semantic priming paradigms. We used a different paradigm to investigate the
relationship between depression and spreading activation, one based on word
frequencies. Our sample included 97 undergraduates who completed the BDI-II and
the Controlled Oral Word Association test as well as the Animal Naming test. The
results indicated that the group scoring within the depressed ranged evidenced
greater spreading activation as compared to those who scored within the normal
range on the BDI-II. The implications of these results as they relate to
creativity in depression is discussed.
PMID- 21889249
TI - The extent of working memory deficits associated with Williams syndrome:
exploration of verbal and spatial domains and executively controlled processes.
AB - The present study investigated verbal and spatial working memory (WM) functioning
in individuals with the neuro-developmental disorder Williams syndrome (WS) using
WM component tasks. While there is strong evidence of WM impairments in WS,
previous research has focused on short-term memory and has neglected assessment
of executive components of WM. There is a particular lack of consensus concerning
the profile of verbal WM functioning in WS. Here, WS participants were compared
to typically developing participants matched for (1) verbal ability and (2)
spatial ability (N=14 in each of the 3 groups). Individuals with WS were impaired
on verbal WM tasks, both those involving short-term maintenance of information
and executive manipulation, in comparison to verbal-matched controls.
Surprisingly, individuals with WS were not impaired on a spatial task assessing
short-term maintenance of information in memory (remembering spatial locations)
compared to spatial-matched controls. They were, however, impaired on a spatial
executive WM task requiring the manipulation of spatial information in memory.
The present study suggests that individuals with WS show WM impairments that
extend to both verbal and spatial domains, although spatial deficits are
selective to executive aspects of WM function.
PMID- 21889250
TI - Transfer of short-term motor learning across the lower limbs as a function of
task conception and practice order.
AB - Interlimb transfer of motor learning, indicating an improvement in performance
with one limb following training with the other, often occurs asymmetrically
(i.e., from non-dominant to dominant limb or vice versa, but not both). In the
present study, we examined whether interlimb transfer of the same motor task
could occur asymmetrically and in opposite directions (i.e., from right to left
leg vs. left to right leg) depending on individuals' conception of the task. Two
experimental conditions were tested: In a dynamic control condition, the process
of learning was facilitated by providing the subjects with a type of information
that forced them to focus on dynamic features of a given task (force impulse);
and in a spatial control condition, it was done with another type of information
that forced them to focus on visuomotor features of the same task (distance).
Both conditions employed the same leg extension task. In addition, a fully
crossed transfer paradigm was used in which one group of subjects initially
practiced with the right leg and were tested with the left leg for a transfer
test, while the other group used the two legs in the opposite order. The results
showed that the direction of interlimb transfer varied depending on the
condition, such that the right and the left leg benefited from initial training
with the opposite leg only in the spatial and the dynamic condition,
respectively. Our finding suggests that manipulating the conception of a leg
extension task has a substantial influence on the pattern of interlimb transfer
in such a way that the direction of transfer can even be opposite depending on
whether the task is conceived as a dynamic or spatial control task.
PMID- 21889251
TI - Biological markers of cognition in prodromal Huntington's disease: a review.
AB - Huntington's disease (HD), an autosomal-dominant genetic disorder, has
historically been viewed as a degenerative movement disorder but it also includes
psychiatric symptoms and progressive cognitive decline. There has been a lack of
consensus in the literature about whether or not cognitive signs can be detected
in carriers before clinical (motor) onset of the disease, i.e., prodromal HD.
However, recently validated mathematical formulas to estimate age of clinical
onset, refined over the past 5-7 years, have allowed researchers to overcome the
methodological limitation of treating all prodromal carriers as a homogenous high
risk group (i.e., whether they may be 2 or 15 years from diagnosis). Here we
review 23 articles on the HD prodrome, all of which related cognition to a
biological marker of disease burden (i.e., genetic load, neuroimaging). All
studies found at least one cognitive domain was associated with disease burden in
prodromal HD participants. There was greater variability in both the detection
and cognitive domain affected in those farther from onset (or those with less
pathology) while most studies reliably found declines in visuomotor performance
and working memory in those closer to onset. These findings indicate that
cognitive signs can be reliably detected in the HD prodrome when comparing
cognition to additional disease markers, however, there continues to be
significant variability on cognitive findings among large and methodologically
rigorous studies. This may reflect true heterogeneity in the prodromal HD
phenotype which must be further explored by analyzing intra-individual variance,
determining demographic risk factors associated with decline/protection, and
examining if particular HD families exhibit distinct cognitive profiles. These
and additional future directions are discussed.
PMID- 21889252
TI - [Sequelae of burns of the breast and their reconstruction].
AB - Sequelae of burns on the breast are essentially cosmetic. Requests for their
reconstruction take place after the request for the face and hands. The problems
is to face the consequences by considering the growth of mammary gland either
hormonal in case of children or breast reconstruction as if in case of malgnancy
in adult female. We propose a classification, which is helpful to choose the
surgical treatment. Our technique of choice is tissue expansion (local or
regional cutaneous flaps or full skin graft).
PMID- 21889253
TI - [Psychiatric and behavioral aspects of cardiovascular disease: epidemiology,
mechanisms, and treatment].
AB - Psychosocial and behavioral factors, including mood (depression, anxiety, anger,
and stress), personality (Type A, Type D, and hostility), and social support, are
associated with both the development and progression of cardiovascular disease.
"Negative" emotions have been associated with increased rates of cardiovascular
death and recurrent cardiac events, although the mechanisms responsible for this
association remain unclear. A number of pathophysiological mechanisms have been
proposed to explain these relationships, including hypothalamic-pituitary-adrenal
axis dysregulation, platelet activation, and inflammation. Behavioral factors
also have been implicated, such as nonadherence to prescribed medical therapies
and physical inactivity. Several randomized trials of patients with
cardiovascular disease have examined the impact of pharmacologic and behavioral
treatments on hard cardiovascular disease events as well as on cardiovascular
disease biomarkers of risk. Although psychological treatments generally have been
shown to improve quality of life and psychological functioning among cardiac
patients, the benefit of psychological interventions with respect to improving
clinical outcomes has not been conclusively demonstrated.
PMID- 21889255
TI - Potential correlation of intrathecal baclofen concentration and clinical
improvement after high dose intrathecal intoxication: a case report.
PMID- 21889254
TI - Prevalence of aspirin-exacerbated respiratory disease in patients with asthma in
Turkey: a cross-sectional survey.
AB - BACKGROUND: There are no country-based data focused on aspirin (ASA)-exacerbated
respiratory disease (AERD) in Turkey. OBJECTIVE: To assess the prevalence of AERD
in adult patients with asthma. METHODS: A structured questionnaire was
administered via face-to-face interview by a specialist in pulmonology/allergy at
seven centres across Turkey. RESULTS: A total of 1344 asthma patients (F/M:
1081/263: 80.5%/19.5%, mean age: 45.7 +/- 14.2 years) were enrolled. Atopy rate
was 47%. Prevalence of allergic rhinitis, chronic rhinosinusitis/rhinitis, and
nasal polyposis (NP) were 49%, 69% and 20%, respectively. Of 270 patients with
NP, 171 (63.3%) reported previous nasal polypectomy and 40 (25%) had a history of
more than three nasal polypectomies. Aspirin hypersensitivity was diagnosed in
180 (13.6%) asthmatic patients, with a reliable history in 145 (80.5%), and oral
ASA provocation test in 35 (19.5%) patients. Clinical presentations of ASA
hypersensitivity were respiratory in 76% (n=137), respiratory/cutaneous in 15%
(n=27), and systemic in 9% (n=16) of the patients. Multivariate analysis
indicated that a family history of ASA hypersensitivity (p: 0.001, OR: 3.746, 95%
CI: 1.769-7.929), history of chronic rhinosinusitis/rhinitis (p: 0.025, OR:
1.713, 95% CI: 1.069-2.746) and presence of NP (p<0.001, OR: 7.036, 95% CI: 4.831
10.247) were independent predictors for AERD. CONCLUSION: This cross-sectional
survey showed that AERD is highly prevalent among adult asthmatics and its
prevalence seems to be affected by family history of ASA hypersensitivity,
history of rhinosinusitis and presence of NP.
PMID- 21889256
TI - Early prognostic factors related to progression and malignant transformation of
low-grade gliomas.
AB - OBJECTIVE: Low-grade gliomas (LGGs) are infiltrative tumors characterized by slow
growth. However, during early period, LGGs can progress and transform into a
malignant pathology. We analyzed the prognostic factors for progression and
malignant transformation in LGGs. MATERIALS AND METHODS: From 2000 to 2009, we
operated on 86 patients: 42 oligodendrogliomas, 12 oligoastrocytomas, and 32
astrocytomas. The male:female ratio was 47:39, and the median age was 41 (+/
17.4) years. The mean follow-up period was 4.25 (+/-2.8) years. We analyzed the
prognostic factors for progression-free survival (PFS), overall survival (OS),
and malignant transformation, considering age, sex, KPS, clinical presentation,
tumor location, radiologic pattern, extent of removal, pathologic subtype, and
adjuvant treatment. RESULTS: In univariate analysis, non-eloquent location, gross
total removal, and oligodendroglial pathology statistically correlated with
improved PFS and OS. In multivariate analysis, gross total removal correlated
with longer PFS (p=0.043), and gemistocytic astrocytoma had a poor PFS (p=0.004).
Younger age and non-eloquent area showed an improved OS (p=0.002 and 0.041), and
astrocytic pathology showed a poor OS (p=0.01). Malignant transformation was
pathologically diagnosed in 13 out of 86 patients (15%). Gemistocytic astrocytoma
correlated independently with malignant transformation (p=0.022). CONCLUSION: In
LGGs, extent of removal associated with tumor progression. The pathology of
astrocytoma, especially gemistocytic astrocytoma, was an independent prognostic
factor for recurrence and malignant transformation.
PMID- 21889258
TI - Hemorrhagic leukoencephalitis accompanied by Epstein-Barr virus.
PMID- 21889257
TI - The successful long-term management of an intracranial inflammatory
myofibroblastic tumor with corticosteroids.
PMID- 21889259
TI - A review on techniques to enhance electrochemical remediation of contaminated
soils.
AB - Electrochemical remediation is a promising remediation technology for soils
contaminated with inorganic, organic, and mixed contaminants. A direct-current
electric field is imposed on the contaminated soil to extract the contaminants by
the combined mechanisms of electroosmosis, electromigration, and/or
electrophoresis. The technology is particularly effective in fine-grained soils
of low hydraulic conductivity and large specific surface area. However, the
effectiveness of the technology may be diminished by sorption of contaminants on
soil particle surfaces and various effects induced by the hydrogen ions and
hydroxide ions generated at the electrodes. Various enhancement techniques have
been developed to tackle these diminishing effects. A comprehensive review of
these techniques is given in this paper with a view to providing useful
information to researchers and practitioners in this field.
PMID- 21889260
TI - Stabilization of ZnCl2-containing wastes using calcium sulfoaluminate cement:
cement hydration, strength development and volume stability.
AB - The potential of calcium sulfoaluminate (CSA) cement was investigated to solidify
and stabilize wastes containing large amounts of soluble zinc chloride (a strong
inhibitor of Portland cement hydration). Hydration of pastes and mortars prepared
with a 0.5 mol/L ZnCl(2) mixing solution was characterized over one year as a
function of the gypsum content of the binder and the thermal history of the
material. Blending the CSA clinker with 20% gypsum enabled its rapid hydration,
with only very small delay compared with a reference prepared with pure water. It
also improved the compressive strength of the hardened material and significantly
reduced its expansion under wet curing. Moreover, the hydrates assemblage was
less affected by a thermal treatment at early age simulating the temperature rise
and fall occurring in a large-volume drum of cemented waste. Fully hydrated
materials contained ettringite, amorphous aluminum hydroxide, stratlingite,
together with AFm phases (Kuzel's salt associated with monosulfoaluminate or
Friedel's salt depending on the gypsum content of the binder), and possibly C-(A)
S-H. Zinc was readily insolubilized and could not be detected in the pore
solution extracted from cement pastes.
PMID- 21889261
TI - The paradox of pain from tooth pulp: low-threshold "algoneurons"?
PMID- 21889262
TI - Chronic postsurgical pain after nitrous oxide anesthesia.
AB - Nitrous oxide is an antagonist at the N-methyl-D-aspartate receptor and may
prevent the development of chronic postsurgical pain. We conducted a follow-up
study in the Evaluation of Nitrous Oxide in the Gas Mixture for Anaesthesia
(ENIGMA) trial patients to evaluate the preventive analgesic efficacy of nitrous
oxide after major surgery. The ENIGMA trial was a randomized controlled trial of
nitrous oxide-based or nitrous oxide-free general anesthesia in patients
presenting for noncardiac surgery lasting more than 2 hours. Using a structured
telephone interview, we contacted all ENIGMA trial patients recruited in Hong
Kong (n=640). We recorded the severity of postsurgical pain of at least 3 months'
duration that was not due to disease recurrence or a pre-existing pain syndrome,
using the modified Brief Pain Inventory. The impact of postsurgical pain on
quality of life was also measured. Pain intensity, opioid and other analgesic
requirements during the first week of surgery, were retrieved from the trial case
report form and medical records. A total of 46 (10.9%) patients reported pain
that persisted from the index surgery, and 39 (9.2%) patients had severe pain. In
addition, patients with chronic pain rated poorly in all attributes of the
quality-of-life measures compared with those who were pain free. In a
multivariate analysis, nitrous oxide decreased the risk of chronic postsurgical
pain. In addition, severe pain in the first postoperative week, wound
complication, and abdominal incision increased the risk of chronic pain. In
conclusion, chronic postsurgical pain was common after major surgery in the
ENIGMA trial. Intraoperative nitrous oxide administration was associated with a
reduced risk of chronic postsurgical pain.
PMID- 21889263
TI - Associations between depression, pain behaviors, and partner responses to pain in
metastatic breast cancer.
AB - Women with metastatic breast cancer (MBC) rely on their partners for emotional
and practical support. They also experience significant pain and depression,
which can trigger maladaptive pain behaviors (eg, distorted ambulation). The
biopsychosocial model of pain posits that partner solicitous responses can
reinforce pain behaviors, whereas punishing or distracting responses can minimize
their occurrence. This study explored how psychosocial variables (ie, depression
and partner responses) influence patient pain behaviors and partner responses in
191 couples coping with MBC. Because few studies have examined the
biopsychosocial model in cancer, it also examined associations between partner
responses and patient pain behaviors. Multilevel models showed that depression
partially mediated: (1) associations between patients' and partners' reports of
patient pain (MPI) and their ratings of patient pain behaviors (PBCL), accounting
for 41% to 71% of the variance; and (2) associations between both partners'
reports of patient pain and the partner's distracting and punishing responses
(MPI), accounting for 66% to 75% of the variance. Partner punishing responses
moderated associations between patient pain severity and pain behaviors.
Specifically, punishing responses were associated with more pain behaviors for
patients with low levels of pain and fewer pain behaviors for patients with
higher levels of pain (effect size r=.18). These findings provide partial support
for the biopsychosocial model of pain but also clarify and extend it in the
cancer context. Future pain management programs in MBC may benefit from
addressing both partners' depression levels and teaching partners to engage in
fewer punishing responses when the patient is experiencing low levels of pain.
PMID- 21889264
TI - Theileria parva, T. sp. (buffalo) and T. sp. (bougasvlei) 18S variants.
PMID- 21889265
TI - Management and environmental factors related to benzimidazole resistance in sheep
nematodes in Northeast Spain.
AB - A survey to determine the level of parasite resistance to benzimidazoles (BZ)
under field conditions was performed on 107 commercial sheep farms located in the
Aragon region of northeast Spain. Resistance was measured using the discriminant
dose, a simplified form of the in vitro egg hatch assay (EHA). Taking into
account the spatial structure of the data, a multivariate approach was applied to
management and environmental variables as well as to their relationships with BZ
resistance levels compiled from each flock. Results estimated that 11% of flocks
had resistant parasite populations, although we suspected the presence of BZ
resistant parasite strains in 98% of the sample. Resistance levels were more
similar among the nearest flocks, suggesting a contagious spatial distribution of
resistance (i.e., resistance at neighbouring farms was not independent from one
another). Management variables such as frequency of deworming, grazing in private
pastures and underdosing were positively related to resistance levels, whereas
only the use of BZ was negatively related to resistance levels, likely because BZ
were replaced by other anthelmintics in flocks where reduced BZ efficacy was
suspected. In addition to climatic conditions and seasonality, land use was an
environmental variable associated with observed BZ resistance levels. Generally,
resistance was highest in cooler and wetter areas but was lower in flocks sampled
during January-March compared to flocks sampled in April-June or October
December. Variation partitioning procedures showed that the variation of
resistance explained by the effect of environmental variables was higher than
management variables. The effects of both variable groups, however, highly
overlapped with the spatial structure of resistant levels, which suggests that a
considerable amount of the effects attributable to both variable groups may be
actually due to the spatial distribution of resistance. The resistance variation
explained by the spatial component suggested that other uncontrolled factors
acting at short spatial scale (e.g., common management and environmental
variables; the importation of resistant strains and their posterior spread across
neighbouring flocks; the selection history of the worms carried out by historical
management events previous to this survey; and genetic, physiological or both
types of parasite population variation) could yield this contagious spatial
structure of BZ resistance. Although further research is needed, both seasonal
variation and the dependence of resistance levels among neighbouring flocks
should be taken into account in the design of future research or observational
resistance programmes to minimise spatial and temporal pseudo-replication. Thus,
research would avoid biased estimations of resistance prevalence or of its
relationship with putative factors.
PMID- 21889266
TI - National monitoring of Ascaris suum related liver pathologies in English
abattoirs: a time-series analysis, 2005-2010.
AB - Ascaris suum is the most important internal parasite in farmed pigs world-wide.
In England, the BPEX Pig Health Scheme (BPHS) monitors the prevalence of
ascariosis in slaughtered finished pigs by identifying milk spots - the healing
lesions caused by A. suum larvae migration through the liver. This study
investigates the trend of milk spot lesions from July 2005 to December 2010 to
identify the progress made by the industry in controlling this parasitic disease.
For visual explorations, the monthly prevalence for milk spots was modelled using
"STL", a seasonal-trend decomposition method based on locally weighted
regression. Random effects binomial modelling accounting for clustering at batch
level was used to test the significance of the trend and seasonality.
Additionally, the differences in the milk spot prevalence trends for BPHS members
(those that joined the scheme) and non-members were investigated and tested. A
mean of 12,442 pigs was assessed per month (in 290 batches) across 12 pig
abattoirs over the study period, from which a monthly mean of 7102 pigs (159
batches) came from BPHS members. A mild overall decrease in prevalence of milk
spots over the monitored period was identified as well as a seasonal variation
which showed peaks in summer and at the beginning of autumn. BPHS members
maintained a lower prevalence than non-members. The results from this work
illustrate ascariosis as a persistent problem in current farm production.
PMID- 21889268
TI - Potential role of reactive metabolites of addictive drugs at the receptor: a
novel hypothesis.
AB - The central nervous system (CNS) stimulants to CNS depressants such as morphine,
cocaine, methamphetamine and nicotine each have the capacity for inducing
chemical dependence with an enormous impact on human behavior. Because of the
difficulties in isolation, the role of the reactive metabolites as a modulating
factor in the receptor activation and related addiction of these drugs is not
studied. The chemical transformations of these compounds to their metabolites at
the receptors have been proposed. There is the distinct possibility that
irreversible binding of N-hydroxy, N-formyl or related metabolites of morphine,
cocaine, methamphetamine, or nicotine with the respective receptors can occur.
This unique role of highly reactive molecular species is postulated. In this way,
the synaptic glutaminergic and or dopaminergic transmission can be compromised.
On repeated exposure of the drug, the receptor reserve may decrease. More drug is
needed to activate the residual receptor to maintain the reward in addiction.
PMID- 21889267
TI - The psychosocial, endocrine and immune consequences of caring for a child with
autism or ADHD.
AB - Research that has assessed the psychophysiological consequences of caregiver
stress in young and middle aged caregivers, that is, in populations not
contending with age associated decline of the endocrine and immune systems, has
been scarce and yielded inconsistent findings. To extend work in this area, this
study assessed the psychosocial, endocrine and immune consequences of caregiver
stress in a cross sectional sample of young and middle aged caregivers of
children with autism and attention deficit hyperactivity disorder (ADHD) compared
against parents of typically developing children. Caregivers (n=56) and parent
controls (n=22) completed measures of psychological distress (perceived stress,
anxiety/depression), social support and physical health complaints. To capture
important indices of the diurnal cortisol pattern, cortisol was measured at
waking, 30 min post waking, 1200 h and 2200 h on two consecutive weekdays. Venous
blood was taken to assess systemic concentrations of proinflammatory biomarkers,
interleukin-6 (IL-6) and C-reactive protein (CRP). Caregivers scored markedly
higher on all measures of psychological distress; scores on social support
subscales, however, were significantly lower in this group. Diurnal patterns of
cortisol secretion did not differentiate between the groups; however, caregivers
displayed elevated systemic concentrations of the proinflammatory biomarker, CRP
and reported more frequent episodes of physical ill health. The stress of
caregiving exacts a significant psychophysiological toll, that is, even in the
absence of HPA dysregulation, caregivers demonstrated elevated concentrations of
proinflammatory biomarkers and, therefore, might be at greater risk for diseases
fostered by disinhibition of the inflammatory response.
PMID- 21889269
TI - Myosin light chain 1 release from myofibrillar fraction during postmortem aging
is a potential indicator of proteolysis and tenderness of beef.
AB - The objective of this study was to identify proteins in bovine longissimus dorsi
muscle that are related to tenderness. Two dimensional difference in gel
electrophoresis (2D-DIGE) was used to compare the sarcoplasmic fractions from
steaks that differed in star probe values at 14 days postmortem. The intensity of
myosin light chain 1 (MLC1) was greater in the sarcoplasmic fraction prepared
from steaks that had lower star probe values. It was hypothesized that MU-calpain
catalyzes the release MLC1 into the sarcoplasmic fraction. Myofibrils from beef
longissimus dorsi were purified and incubated with MU-calpain and the appropriate
buffer controls. MU-Calpain was added at 1.23 MUg (0.0875 U) of pure MU
calpain/mg myofibrillar protein. Incubations of one and 120 min had a greater
abundance of MLC1 in the supernatants than the control incubations. As a
consequence of MU-calpain proteolysis, MLC1 is rapidly released from the
myofibril and is a potential indicator of proteolysis and improvement in beef
tenderness.
PMID- 21889270
TI - Profile of NF-kappaBp(65/NFkappaBp50) among prostate specific antigen sera levels
in prostatic pathologies.
AB - AIM: The aim of this work was to characterise the immunoexpression of NF-kappaB
(p50/p65) in human prostatic pathologies and to study its profiles of activation
among sera prostate specific antigen antigen (PSA) according the three groups: 0
4ng/mL, 4-20ng/mL and >20ng/mL. PATIENTS AND METHODS: Twenty-four men with benign
prostate hyperplasia (BPH); 19 men with prostate cancer (PC) and five men with
normal prostates (NP). Immunohistochemical and western blot analysis was
performed. Serum levels of PSA were assayed by immulite autoanalyser. RESULTS: In
BPH and PC samples, immunoexpressions were observed for NF-kappaBp65 and NF
kappaBp50; while in NP samples, only were detected NF-kappaBp50. PC samples
showed immunoreactions to NF-kappaBp65 and NF-kappaBp50 more intense
(respectively 24.18+/-0.67 and 28.23+/-2.01) than that observed in BPH samples
(respectively18.46+/-2.04 and 18.66+/-1.59) with special localisation in the
nucleus. Different profiles of NF-kappaBp65 immunoexpressions were observed and
BPH patients with sera PSA levels between 0-4ng/mL presented a significant weak
percentage compared to BPH patients with sera PSA levels between 4-20ng/mL and
>20ng/mL. No immunoreactions to NF-kappaBp65 were observed in PC patients with
sera PSA levels between 4-20ng/mL. CONCLUSION: The sensibility of both NF-kappaB
and PSA to inflammation allowed confirming the relationship between these two
molecules and its involvement in prostatic diseases progression (inflammatory and
neoplasic).
PMID- 21889271
TI - Intra-species diversity and epidemiology varies among coagulase-negative
Staphylococcus species causing bovine intramammary infections.
AB - Although many studies report coagulase-negative staphylococci (CNS) as the
predominant cause of subclinical bovine mastitis, their epidemiology is poorly
understood. In the current study, the genetic diversity within four CNS species
frequently associated with bovine intramammary infections, Staphylococcus
haemolyticus, S. simulans, S. chromogenes, and S. epidermidis, was determined.
For epidemiological purposes, CNS genotypes recovered from bovine milk collected
on six Flemish dairy farms were compared with those from the farm environment,
and their distribution within the farms was investigated. Genetic diversity was
assessed by two molecular typing techniques, amplification fragment length
polymorphism (AFLP) and random amplification of polymorphic DNA (RAPD) analysis.
Subtyping revealed the highest genetic heterogeneity among S. haemolyticus
isolates. A large variety of genotypes was found among environmental isolates, of
which several could be linked with intramammary infection, indicating that the
environment could act as a potential source for infection. For S. simulans,
various genotypes were found in the environment, but a link with IMI was less
obvious. For S. epidermidis and S. chromogenes, genetic heterogeneity was limited
and the sporadic isolates from environment displayed largely the same genotypes
as those from milk. The higher clonality of the S. epidermidis and S. chromogenes
isolates from milk suggests that specific genotypes probably disseminate within
herds and are more udder-adapted. Environmental sources and cow-to-cow
transmission both seem to be involved in the epidemiology of CNS, although their
relative importance might substantially vary between species.
PMID- 21889272
TI - The differences in testicular volumes in boys 8-36 months old with undescended,
retractile and hydrocele testis--usefulness of scrotal screening ultrasound.
AB - PURPOSE: The aim of the study was quantitative and qualitative assessments of
scrotal abnormalities diagnosed in boys 8 to 36 months old during ultrasound
screening and estimation if these abnormalities influence testes volume.
MATERIALS AND METHODS: High frequency scrotal ultrasound was performed in 1448
patients aged 8-36 months as additional exam during screening ultrasound program
for children including cervical and abdominal ultrasound. The mean age of
examined boys was 17 months. For further analysis the children were divided in 5
age groups. RESULTS: The abnormalities in scrotal ultrasound were found in 20.1%
of boys. Undescended, cryptorchid testes were found in 4.8% of patients, mobile
testicle in 7.6% and hydrocele in 2.8%. The volume of undescended testes was
statistically lower than volume of the descended testes in 3 youngest groups of
boys (p=0.003-0.011). The volume of mobile testicles did not increase with age,
while in patients with hydrocele the testicular volume decreased with age.
CONCLUSION: Scrotal screening ultrasound performed in boys up to 3 years old may
deliver information about the number and type of existing pathologies as well as
their influence on the testicular volume. The volume of the pathological testes
was lower than the volume of the normal ones. Improper growth of testes may
potentially have important clinical implication for the function of testes in the
future.
PMID- 21889273
TI - Histomorphometric evaluation of the neotropical brown brocket deer Mazama
gouazoubira testis, with an emphasis on cell population indexes of spermatogenic
yield.
AB - Information on the reproductive biology of neotropical cervids is scarce.
Therefore, the aim of this study was to perform biometric, histologic and
stereologic analyses of the brown brocket deer Mazama gouazoubira testis, with an
emphasis on the intrinsic yield and the Sertoli cell index. Seven adult males
kept in captivity were used. The animals were immobilized; anesthetized and
testicle fragments were obtained by biopsy incision. The material was fixed,
processed and examined by routine histological methods for light microscopy. The
average body weight was 17.2kg, from which 0.40% were allocated in gonads and
0.33% in seminiferous tubules, which represented 85.9% of the testis parenchyma.
The mean albuginea width and volume were 345.7MUm and 3.5mL (5.3% of the
testicular weight), respectively. The mean mediastinum volume of both testicles
was 1.0mL (1.5% of the testicular weight) and the testicular parenchyma volume
corresponded to 93.1% of total testicular weight (64.9g). The seminiferous
tubules diameter was 224.4MUm, while the epithelium height was 69.6MUm. On
average, an adult brown brocket deer showed a total of 1418m of seminiferous
tubules in both testicles (21.5m per gram of testis). Each stage I seminiferous
tubular cross section contained 1.10 type A spermatogonia, 13.4 primary
spermatocytes in pre-leptotene/leptotene, 13.7 spermatocytes in pachytene, 48.8
round spermatids and 3.7 Sertoli cells. The general yield of spermatogenesis was
44.7 cells and the Sertoli cell index was 13.2. The qualitative and quantitative
description of testicular histology of brown brocket deer help to understand its
spermatogenic process and to establish parameters for the reproductive biology of
this wild species. Furthermore, the data from the present research will help
further studies using other species of Brazilian cervids, especially endangered
ones, making an additional effort to the species preservation.
PMID- 21889274
TI - Current strategic approaches for the detection of blood doping practices.
AB - Aerobic sport performance may be strongly influenced by the number of red blood
cells available for transport and delivery of oxygen from lungs to muscles.
Often, athletes search for an acute increase in red blood cells by means of blood
transfusions. This paper reviews the possibilities for detecting such prohibited
practice. Flow cytometry methods are able to detect a double population of red
blood cell membrane surface antigens, thus revealing an allogeneic transfusion.
Other ingenious approaches for total hemoglobin mass measurements or to test for
the metabolites of blood bag plasticizers in urine are new trends for facing the
detection of autologous transfusions. Steady increase of red blood cell number
may be obtained also by erythropoietic stimulant agents such as erythropoietin,
analogs and mimetics. The challenge of detecting those substances has stimulated
the development of indirect markers of altered erythropoiesis, leading to the
consequent development of the hematological blood passport approach, which is
gaining legal acceptance.
PMID- 21889275
TI - Microcrystalline identification of selected designer drugs.
AB - A microcrystalline test for the detection of 4-methylmethcathinone (mephedrone),
benzylpiperazine (BZP) and 5,6-methylenedioxy-2-aminoindane (MDAI) using aqueous
solutions of mercury chloride is described. Each of the compounds investigated
formed specific drug-reagent crystals within minutes. The uniqueness of the test
was confirmed by comparison of the microcrystalline response to that of other
psychoactive stimulants and a common cutting agent. The limit of detection and
cut-off levels for reference standards were established to 3 g/L and 5 g/L for
mephedrone, 0.5 g/L for MDAI and 0.2 g/L and 0.3 g/L for BZP, respectively.
Various mixtures of standards of either mephedrone, BZP or MDAI combined with
caffeine were investigated for their microcrystalline response. Results showed
that simultaneous detection of drug and cutting agent was possible with the
concentrations tested but were dependant on the ratio of drug to cutting agent.
BZP could be detected alongside caffeine from as low as 20% (v/v), MDAI from 40%
(v/v) and mephedrone from 50% (v/v) and higher. Finally, seven samples of online
purchased 'legal highs' were analysed using the developed test and the findings
were compared to FTIR and GC-MS results. It was shown that 6 out of 7 samples did
not contain the advertised active ingredient. Five samples consisted of BZP,
caffeine and 1-[3-(trifluoromethyl)phenyl]piperazine (3-TFMPP). The
microcrystalline tests carried out on these samples showed positive results for
both BZP and caffeine without interference from other substances present.
PMID- 21889276
TI - Fluorescence flow cytometer to determine urine particle reference intervals in
doping control samples.
AB - BACKGROUND: Urine is still the matrix of choice to fight against doping, because
it can be collected non-invasively during anti-doping tests. Most of the World
Anti-Doping Agency's accredited laboratories have more than 20 years experience
in analyzing this biological fluid and the majority of the compounds listed in
the 2010 Prohibited List-International Standard are eliminated through the
urinary apparatus. Storing and transporting urine samples for doping analyses
does not include a specific protocol to prevent microbial and thermal
degradation. The use of a rapid and reliable screening method could enable
determine reference intervals for urine specimens in doping control samples and
evaluate notably the prevalence of microbial contamination known to be
responsible for the degradation of chemical substances in urine. METHODS: The
Sysmex(r) UF-500i is a recent urine flow cytometer analyzer capable of
quantifying BACT and other urinary particles such as RBC, WBC, EC, DEBRIS, CAST,
PATH.CAST, YLC, SRC as well as measuring urine conductivity. To determine urine
anti-doping reference intervals, 501 samples received in our laboratory over a
period of two months were submitted to an immediate examination. All samples were
collected and then transported at room temperature. Analysis of variance was
performed to test the effects of factors such as gender, test type [in
competition, out-of-competition] and delivery time. RESULTS: The data obtained
showed that most of the urine samples were highly contaminated with bacteria. The
other urine particles were also very different according to the factors.
CONCLUSIONS: The Sysmex(r) UF-500i was capable of providing a snapshot of urine
particles present in the samples at the time of the delivery to the laboratory.
These particles, BACT in particular, gave a good idea of the possible microbial
degradation which had and/or could have occurred in the sample. This information
could be used as the first quality control set up in WADA (World Anti-Doping
Agency) accredited laboratories to determine if steroid profiles, endogenous and
prohibited substances have possibly been altered.
PMID- 21889277
TI - How to confirm C.E.R.A. doping in athletes' blood?
AB - C.E.R.A. (Continuous Erythropoietin Receptor Activator) is a new third-generation
erythropoiesis-stimulating agent that has recently been linked with abuse in
endurance sports. The anti-doping community rapidly reacted by releasing a high
throughput screening ELISA allowing the detection of C.E.R.A. doping in athletes'
blood. In order to return adverse analytical findings, anti-doping laboratories,
however, need, as far as possible, to confirm the presence of the drug in
athletes' samples through orthogonal methods. This article focuses on the
comparison of 2 proposed confirmation assays based on gel electrophoresis that
were coupled with a new sample immunopurification method. IEF, the classical
method used to target erythropoietin (EPO) and its recombinant analogues in
athletes' samples, and SARKOSYL-PAGE were applied to the plasma samples of
subjects having received a single injection of C.E.R.A. It was demonstrated that
SARKOSYL-PAGE was at least 6 times more sensitive than IEF, with comparable
specificity. A longer detection window coupled with easier interpretation
criteria led us to recommend the use of SARKOSYL-PAGE to confirm C.E.R.A.
presence in athletes' blood.
PMID- 21889278
TI - Surgical management of tongue cancer during pregnancy.
AB - There are ethical dilemmas in managing head and neck cancers during pregnancy.
Diagnostic and treatment modalities need to be carefully determined. We herein
describe 3 cases of tongue cancer during pregnancy. The details of the management
would contribute to the daily practices for head and neck cancers. All three
patients were Japanese female patients, two of them were 29 years old and one was
26 years old. All patients were admitted to the Nippon Medical School Hospital
during pregnancy, complaining of oral pain and/or discomfort. Case 1 was
diagnosed as tongue cancer stage T3N0M0, however, the tumor was superficial and
controllable by partial glossectomy. Case 2 was stage T2N0M0 with deep invasion
with ulcer, and the hemi-glossectomy with neck dissection and the reconstruction
was thought to be the standard modality. However, she underwent partial
glossectomy in order to reduce the stress of the fetus. Case 3 could not be
diagnosed on admission by biopsy and she underwent partial glossectomy after
delivery. In case 3, the pathological diagnosis was pT1 tongue cancer. In case 1
and case 3, the patient and baby were healthy. In case 2, however, the patient
died of recurrence at the primary site. In decision making of the strategy, the
most important factors are not only oncological evaluation but also ethical and
emotional factors.
PMID- 21889279
TI - Reversing the polarity of a cochlear implant magnet after magnetic resonance
imaging.
AB - The number of patients with cochlear implant (CI) has been rapidly increasing in
recent years, and these patients show a growing need of examination by magnetic
resonance imaging (MRI). However, the use of MRI on patients with CI is
restricted by the internal magnet of the CI. Many studies have investigated the
safety of performing 1.5T MRI on patients with CI, which is now being practiced
in a clinical setting. We experienced a case in which the polarity of the
cochlear implant magnet was reversed after the patient was examined using 1.5T
MRI. The external device was attached to the internal device oppositely. We could
not find displacement of the internal device, magnet, or electrode upon
radiological evaluation. We came up with two possible mechanisms by which the
polarity of the magnet reversed. The first possibility was that the magnetic
field of MRI reversed the polarity of the magnet. The second was that the
internal magnet was physically realigned while interacting with the MRI. We
believe the second hypothesis to be more reliable. A removable magnet and a loose
magnet boundary of a CI device may have allowed for physical reorientation of the
internal magnet. Therefore, in order to avoid these complications, first, the
internal magnet must not be aligned anti-parallel with the magnetic polarity of
MRI. In the Siemens MRI, the vector of the magnetic field is downward, so implant
site should be placed in facing upwards to minimize demagnetization. In the GE
Medical Systems MRI, the vector of the magnetic field is upward, so the implant
site should be placed facing downwards. Second, wearing of a commercial mold
which is fixed to the internal device before performing MRI can be helpful. In
addition, any removable internal magnets in a CI device should be removed before
MRI, especially in the trunk. However, to ultimately solve this problem, the
pocket of the internal magnet should be redesigned for safety.
PMID- 21889280
TI - An ectopic hamartomatous thymoma.
AB - An ectopic hamartomatous thymoma is an extremely rare benign tumor of the lower
neck that is the most common in middle-aged males. Pathologically, the tumor is
characterized by a mixture of spindle cells, epithelial cells, mature adipose
tissue, and lymphocytes. The histogenesis of this tumor is controversial.
Recently, an origin from a remnant of the cervical sinus of His was proposed.
Malignant lesions such as synovial sarcomas or malignant peripheral nerve sheath
tumors can have similar clinical features and radiologic images. Thus,
recognition of this tumor is important because it follows a benign clinical
course and conservative surgical excision is the treatment of choice. Here, we
report the case of a 34-year-old man with an ectopic hamartomatous thymoma in the
left supraclavicular region and a review of the literature on this tumor.
PMID- 21889282
TI - Amelioration of disabling myoclonus in a case of DRPLA by levetiracetam.
AB - We report on an 18-year-old male patient with dentatorubral-pallidoluysian
atrophy (DRPLA) (number of CAG repeats: 68) with progressive myoclonus epilepsy
(PME), who showed a dramatic response to levetiracetam in terms of the intensity
of myoclonus. He began to have convulsive seizures and myoclonus at 7 and 10
years of age, respectively, and his intelligence deteriorated from 12 years of
age. EEG showed multifocal and diffuse spike-and-wave complexes. His convulsive
seizures were suppressed from 13 years of age. At 17 years of age, the patient
showed gradual intensification of erratic segmental positive myoclonus as well as
frequent atonic falls that were probably attributable to negative myoclonus. Back
averaging of EEG data revealed cortical discharges associated with positive
myoclonus. Photosensitive myoclonic seizures were also observed. The
administration of levetiracetam alleviated positive myoclonus and suppressed
atonic falls, resulting in a remarkable improvement in the patient's quality of
daily life. Reports on the efficacy of levetiracetam for myoclonus in DRPLA are
still rare, though its effect on PME is known in the context of other
neurological disorders. Thus levetiracetam should be subjected to clinical trials
as a means of disabling myoclonus in DRPLA.
PMID- 21889281
TI - Effect of nasal mometasone furoate on the nasal and nasopharyngeal flora.
AB - OBJECTIVE: Mometasone furoate (MF) is one of the commonly used topical steroids,
particularly for patients with allergic rhinitis. However, its effect on the
colonization of bacteria that may cause superinfections by suppressing the local
immunity is not known. Thus, we investigated the effect of MF use on the nasal
and nasopharyngeal microbial flora. MATERIALS AND METHODS: Swab samples were
taken from 35 patients who required MF monotherapy, just before and after one
month of the treatment. Samples were maintained in Stuart's medium. Each swab was
transferred to 1ml of a sterile saline solution, then into the standard agar.
After incubation under 5% carbon dioxide at 37 degrees C, colony number was
detected per ml. RESULTS: Colony counts of nasal or nasopharyngeal microbial
flora did not show any statistically significant alteration with one month use of
MF. However, an increase in potential pathogens as well as normal flora bacteria
was determined in five of the patients and six patients acquired new
nasopharyngeal potential pathogens, mostly Moraxella catarrhalis, Pseudomonas
aeruginosa and Staphylococcus aureus, following the use of MF. CONCLUSION: The
use of MF for one month did not statistically significantly change the nasal and
nasopharyngeal flora. This study indicates that MF could be increase the
colonization of the potential pathogens in some of the patients at the
subclinical level particularly in the nasopharyngeal area.
PMID- 21889283
TI - Evaluation of an LC-MS/MS assay for 15N-nitrite for cellular studies of L
arginine action.
AB - The utility of an LC-MS/MS assay for nitrite determination in studying L-arginine
(ARG) cellular action was examined in vitro. EA.hy926 human endothelial cells or
cellular fractions (membrane and cytosol) were exposed to 0-500 MUM of (15)N(4)
ARG for 2 h. (14)N-nitrite and (15)N-nitrite in the cell lysate and in the
incubation medium were derivatized with 2,3-diaminonaphthalene (DAN) to form
(14)N- and (15)N-naphthotriazole (i.e., (14)N-NAT and (15)N-NAT). Peak responses
of (14)N-NAT and (15)N-NAT were analyzed by LC-MS/MS with 1H-naphth[2,3
d]imidazole as an internal standard. The calibration curves of DAN-derivatized
(14)N-NAT and (15)N-NAT from (14)N-nitrite and (15)N-nitrite were linear. Intra-
and inter-day variability of the quantification was below 14.2% in quality
control samples. Following incubation of EA.hy926 cells with (15)N(4)-ARG,
saturable increases of (15)N-nitrite accumulation with increasing (15)N(4)-ARG
exposure were observed clearly. This increase however could not be detected by
the classical fluorescence method, nor were changes in (14)N-nitrite level
observed. When cellular fractions were exposed to (15)N(4)-ARG, (15)N-nitrite
formation was only observed in the membrane fragments. The sensitive and
selective LC-MS/MS method reported here can be applied to quantify accumulated
nitrite levels in human endothelial cells. The selectivity of this stable-isotope
labeled LC-MS/MS method offers an advantage over other traditional methods for
elucidating cellular ARG action when its stable isotope is employed as a
substrate.
PMID- 21889284
TI - Fluoroquinolone resistance in Escherichia coli isolated from patients attending
Canadian hospitals is associated with the ST131 clone.
PMID- 21889285
TI - Rational decision-making about treatment and care in dementia: a contradiction in
terms?
AB - OBJECTIVE: To gain caregivers' insights into the decision-making process in
dementia patients with regard to treatment and care. METHODS: Four focus group
interviews (n=29). RESULTS: The decision-making process consists of three
elementary components: (1) identifying an individual's needs; (2) exploring
options; and (3) making a choice. The most important phase is the exploration
phase as it is crucial for the acceptance of the disease. Furthermore, the
decision is experienced more as an emotional choice than a rational one. It is
influenced by personal preferences whereas practical aspects do not seem to play
a substantial role. CONCLUSION: Several aspects make decision-making in dementia
different from decision-making in the context of other chronic diseases: (1) the
difficulty accepting dementia; (2) the progressive nature of dementia; (3)
patient's reliance on surrogate decision-making; and (4) strong emotions. Due to
these aspects, the decision-making process is very time-consuming, especially the
crucial exploration phase. PRACTICE IMPLICATIONS: A more active role is required
of both the caregiver and the health care professional especially in the
exploration phase, enabling easier acceptance and adjustment to the disease.
Acceptance is an important condition for reducing anxiety and resistance to care
that may offer significant benefits in the future.
PMID- 21889286
TI - A historical perspective of medical terminology of aortic aneurysm.
PMID- 21889287
TI - Thrombolysis for acute occlusion of the superior mesenteric artery.
AB - BACKGROUND: This study evaluated the incidence, complications, and outcome of
local intra-arterial thrombolytic therapy for acute superior mesenteric artery
(SMA) occlusion in Sweden. METHODS: Patients undergoing local intra-arterial
thrombolytic therapy for acute SMA occlusion were identified in the Swedish
Vascular Registry (SWEDVASC) between 1987 and 2009. Patient data were retrieved
in a structured protocol by local vascular surgeons at each participating
hospital. RESULTS: Included were 34 patients (20 women) from 12 hospitals. Median
age was 78 years. The first patient was treated in 1997, and the annual number of
patients undergoing thrombolysis increased continuously from 2004 to 2009. Twenty
eight patients (82%) had embolic occlusion. No patients (0%) had acute
peritonitis, and one (3%) had bloody stools at admission. Thirty-two patients
(94%) were diagnosed by computed tomography with intravenous contrast
enhancement. The median dose of alteplase was 20 mg (interquartile range, 11.6
34.0). Successful thrombolysis was achieved in 30 patients (88%). Initial
adjunctive aspiration thromboembolectomy was performed in 10 patients. There were
six self-limiting bleeding complications; one from the gastrointestinal tract.
Thirteen explorative laparotomies, 10 repeat laparotomies, and eight bowel
resections were performed. The in-hospital mortality rate was 26% (9 of 34). Age
was not associated with in-hospital death (P = .42). Successful thrombolysis was
associated with decreased mortality (P = .048). CONCLUSION: Local thrombolysis
for acute SMA occlusion is a minimally invasive and effective treatment
alternative in a select group of patients without peritonitis. The few technique
related complications were mild.
PMID- 21889288
TI - Proceedings of the 2010 annual meeting of the Fetal Alcohol Spectrum Disorders
Study Group.
AB - The annual meeting of the Fetal Alcohol Spectrum Disorders Study Group (FASDSG)
was held on June 26, 2010 in San Antonio, TX, as a satellite of the Research
Society on Alcoholism meeting. The FASDSG membership includes clinical, basic,
and social scientists who meet to discuss recent advances and issues in Fetal
Alcohol Spectrum Disorder (FASD) research. The central theme of the meeting was
"Glia and Neurons: Teamwork in Pathology and Therapy." Alcohol disruption of
neuron development and alcohol-induced neurodegeneration is central to the
pathology and clinical expression of FASD. The active role of glia as
perpetrator, victim, or bystander in neurotoxicology and neurodegenerative
processes has emerged at the forefront of adult central nervous system (CNS)
disorders and therapy. Glia- and neuron-glial interactions hold the potential to
elucidate causes and offer treatment of FASD as well. Growing evidence indicates
that neurons and glia are direct targets of alcohol, but may also be vulnerable
to molecules produced in peripheral systems as a result of alcohol exposure.
Diagnostics and therapies can take advantage of these processes and biomarkers,
and these may be applicable to CNS pathology in FASD. Two keynote speakers,
Howard E. Gendelman, M.D., and Ernest M. Graham, M.D, addressed the role of glia
and neuroinflammation in brain development and neurodegeneration. The invited
speakers and FASDSG members discussed new paradigms in CNS development and
discuss new strategies for understanding and treating neurodegenerative disease.
Members of the FASDSG provided updates on new findings through presentation of
breaking research in the FASt data sessions. Representatives of national agencies
provided updates on programs, activities, and funding priorities. The Henry
Rosett Award was presented to R. Louise Floyd, R.N., D.S.N., for her career
contributions to the field of fetal alcohol research. The Student and
Postdoctoral Fellow Research Merit Award was presented to Shonagh O'Leary-Moore,
Ph.D., for her contributions to the field as a young investigator.
PMID- 21889289
TI - Pigmented villonodular synovitis: extrasynovial recurrence.
AB - A 32-year-old female athlete underwent arthroscopy for a second recurrence of
pigmented villonodular synovitis (PVNS), which was extrasynovial, seen on
magnetic resonance imaging. It was noted on arthroscopy that (1) the nodules
moved medially with joint insufflation, (2) the nodules were less prominent than
on magnetic resonance imaging, and (3) more than 95% of the recurrent tumor was
hidden by neosynovium. We believe that the extrasynovial location is because of
the more rapid proliferation of the neosynovium relative to the growth of the
remaining tumor cells after the previous resection. In resecting pigmented
villonodular synovitis with a high risk of recurrence, a layer of periarticular
fat should be removed and the surgeon should be wary of change in position with
insufflation.
PMID- 21889290
TI - [Slowing chronic kidney disease progression: hopes and disappointments. Vascular
repair of chronic kidney].
AB - In chronic kidney disease patients, inexorable renal function decline is reduced
by renin-angiotensin system (RAS) blockers. ACE inhibitors and angiotensin
receptor blockers decrease blood pressure and proteinuria. Guidelines recommend a
reduction of blood pressure to less than 130/80 mmHg and urinary protein
excretion below 0.5 g/d. The combined use of a diuretic increases anti
proteinuric effect and blood pressure control of RAS blockers. Drugs as mineralo
corticocoids receptor antagonist and endothelin receptor antagonists reduce
further albuminuria in combination with RAS blocker, but side effects need to be
precised. Both metabolic acidosis and hyperuricemia represent new therapeutic
goals to slow renal function decline in CKD patients. Renal fibrosis treatment
and regenerative medicine are stemming and will be important issues for kidney
and other organs in the future.
PMID- 21889291
TI - [Giant arachnoid cyst revealed by a spinal cord compression].
PMID- 21889292
TI - [Cardiovascular manifestations of Marfan syndrome].
PMID- 21889293
TI - [Cardiac failure complicating infective endocarditis due to Erysipelothrix
rusiopathiae].
PMID- 21889294
TI - No place like the hospital.
AB - The gold standard for end-of-life care is home hospice. A case is presented in
which a patient dying of irreversible small bowel obstruction from metastatic
cancer insisted on remaining in the acute care hospital for care when alternative
sites of care, including a skilled nursing facility and residential hospice, were
available to her and covered by her health insurance plan. The ethical issues
raised by this case are discussed from the perspective of the patient, the
clinical team, the hospital, and the insurance company. Over the past decade,
hospital-based palliative care consultation and general inpatient hospice care
have sought to improve the quality of dying in the hospital. To the extent that
such efforts have been successful, they may result in increasing demand for the
hospital as the site for terminal care in the future.
PMID- 21889295
TI - Cheek-biting disorder: another stereotypic movement disorder?
AB - Recurrent cheek biting, a form of self-injurious behavior is a rare entity which
presents mostly to dentists and dermatologists. We report a case of recurrent
severe cheek biting in an adult male leading to mucosal ulceration. The
stereotypic pattern of cheek biting and associated behavior bears striking
resemblance to other impulse control disorders.
PMID- 21889296
TI - Psychometric properties of the Aberrant Behavior Checklist, the Anxiety,
Depression and Mood Scale, the Assessment of Dual Diagnosis and the Social
Performance Survey Schedule in adults with intellectual disabilities.
AB - Progress in clinical research and in empirically supported interventions in the
area of psychopathology in intellectual disabilities (ID) depends on high-quality
assessment instruments. To this end, psychometric properties of four instruments
were examined: the Aberrant Behavior Checklist (ABC), the Assessment of Dual
Diagnosis (ADD), the Anxiety, Depression and Mood Scale (ADAMS), and the Social
Performance Survey Schedule (SPSS). Data were collected in two community-based
groups of adults with mild to profound ID (n = 263). Subscale reliability
(internal consistency) ranged from fair to excellent for the ABC, the ADAMS, and
the SPSS (mean coefficient alpha across ABC subscales was .87 (ranging from fair
to excellent), the ADAMS subscales .83 (ranging from fair to good), and the SPSS
subscales .91 (range from good to excellent). The ADD subscales had generally
lower reliability scores with a mean of .59 (ranging from unacceptable to good).
Convergent and discriminant validity was determined by bivariate Spearman rho
correlations between subscales of one instrument and the subscales of the other
three instruments. For the most part, all four instruments showed solid
convergent and discriminant validity. To examine the factorial validity,
Confirmatory Factor Analyses (CFA) were attempted with the inter-item covariance
matrix of each instrument. Generally, the data did not show good fits with the
measurement models for the SPSS, ABC, or the ADAMS (CFA analyses with the ADD
would not converge). However, most of the items on these three instruments had
significant loadings on their respective factors.
PMID- 21889297
TI - How do teachers with self-reported voice problems differ from their peers with
self-reported voice health?
AB - OBJECTIVES: This randomized case-control study compares teachers with self
reported voice problems to age-, gender-, and school-matched colleagues with self
reported voice health. The self-assessed voice function is related to factors
known to influence the voice: laryngeal findings, voice quality, personality,
psychosocial and coping aspects, searching for causative factors of voice
problems in teachers. METHODS: Subjects and controls, recruited from a teacher
group in an earlier questionnaire study, underwent examinations of the larynx by
high-speed imaging and kymograms; voice recordings; voice range profile;
audiometry; self-assessment of voice handicap and voice function; teaching and
environmental aspects; personality; coping; burnout, and work-related issues. The
laryngeal and voice recordings were assessed by experienced phoniatricians and
speech pathologists. RESULTS: The subjects with self-assessed voice problems
differed from their peers with self-assessed voice health by significantly longer
recovery time from voice problems and scored higher on all subscales of the Voice
Handicap Index-Throat. CONCLUSIONS: The results show that the cause of voice
dysfunction in this group of teachers with self-reported voice problems is not
found in the vocal apparatus or within the individual. The individual's
perception of a voice problem seems to be based on a combination of the number of
symptoms and of how often the symptoms occur, along with the recovery time. The
results also underline the importance of using self-assessed reports of voice
dysfunction.
PMID- 21889298
TI - Determination of fundamental frequency and voice intensity in Iranian men and
women aged between 18 and 45 years.
AB - OBJECTIVES: Acoustic measurements have become an essential aspect of voice
assessment during the last few decades, and studies have established that
normative data is necessary for acoustic analysis. In this study, two aspects of
voice are reviewed. These two factors are fundamental frequency and intensity.
This study was designed to establish the normal acoustic analysis parameters in
normal Iranian adults. METHODS: In this cross-sectional study, 200 healthy
randomly selected subjects (100 men and 100 women) were assessed. Data collection
was carried out using the Studio Speech software and Laryngograph processor
(Laryngograph Ltd, London, UK), type: PCLX at the Larynx and Voice Disorders
Clinic of Rasoul-e-Akram Hospital under comfortable phonation. RESULTS: The value
of fundamental frequency in reading was greater for women (170-240 Hz) than for
men (107-140 Hz). Also, the value of intensity was greater for women (73.54-84.99
dB) than for men (72.40-86.03 dB). CONCLUSION: The present study developed the
normal data for fundamental frequency and intensity in Iranian speakers aged
between 18 and 45 years. We concluded, fundamental frequency has significant
differences between men and women, but intensity has no significant difference
between them.
PMID- 21889299
TI - Acoustic measures of the voices of older singers and nonsingers.
AB - OBJECTIVES: This study investigated whether there were differences in the
acoustic measures of fundamental frequency (Fo), jitter, intensity, and shimmer
of older amateur singers and nonsingers and whether there were significant
correlations between these acoustic measurements and listener judgments of
speaker age. METHODS: Acoustic measurements were obtained on 60 speaker
participants from a sustained vowel production. Study participants included 30
male and female singers and 30 male and female nonsingers between the ages of 65
and 80 years. In addition, 10 speech-language pathology graduate students were
recruited as listener participants to estimate the age of speaker participants
from recorded vowel sounds. RESULTS: The results of this study indicate that
participants were perceived as significantly younger than their real ages, and
male and female singers were perceived to be significantly younger than male and
female nonsingers. Significant differences were found between male and female
singers and nonsingers regarding jitter and intensity, with singers displaying
significantly less jitter and significantly greater intensity than nonsingers.
Perceived age was found to be related to jitter in male singers and nonsingers
and female singers. Perceived age was found to be related to intensity in female
nonsingers. No statistically significant differences were found between singers
and nonsingers regarding Fo or shimmer. No significant correlations were found
between perceived age and intensity in male singers, male nonsingers, or female
singers. CONCLUSIONS: Acoustic and auditory-perceptual features of the aging
voice appear to be factors associated with participation in amateur singing.
PMID- 21889301
TI - An examination of surface EMG for the assessment of muscle tension dysphonia.
AB - OBJECTIVES: Muscle tension dysphonia (MTD) is the pathological condition in which
an excessive tension of the (para)laryngeal musculature leads to a disturbed
voice. Surface electromyography (sEMG) was used to investigate differences in
extralaryngeal muscles' tension in patients with MTD compared with normal
speakers. sEMG was examined as a diagnostic tool to differentiate between
patients with MTD and controls. METHODS: Eighteen patients with MTD and 44 normal
speakers were included in the study. All subjects were evaluated with
videostroboscopy, voice assessment protocol, and sEMG. sEMG was performed on
three locations of the anterior neck. Measurements were taken during silence,
phonation tasks, and while reading, with comparisons made between both study
groups. RESULTS: Patients with MTD did not express higher levels of sEMG during
rest, phonation, or reading compared with normal speakers. There were no
significant differences in sEMG values between males and females in both study
groups. CONCLUSION: sEMG was not able to detect an increase in muscle tension in
patients with MTD. The results of this study do not support the use of sEMG as a
diagnostic tool for distinguishing patients with and without MTD. Clinical
examination with laryngeal palpation, videostroboscopy, and dysphonia severity
index remain the key investigations.
PMID- 21889300
TI - The effect of segment selection on acoustic analysis.
AB - OBJECTIVE/HYPOTHESIS: Acoustic analysis is a commonly used method for
quantitatively measuring vocal fold function. Voice signals are analyzed by
selecting a waveform segment and using various algorithms to arrive at parameters
such as jitter, shimmer, and signal-to-noise ratio (SNR). Accurate and reliable
methods for selecting a representative vowel segment have not been established.
STUDY DESIGN: Prospective repeated-measure experiment. METHODS: We applied a
moving window method by isolating consecutive, overlapping segments of the raw
voice signal from onset through offset. Ten normal voice signals were analyzed
using acoustic measures calculated from the moving window. The location and value
of minimum perturbation/maximum SNR was compared across individuals. The moving
window method was compared with data from the whole vowel excluding onset and
offset, the mid-vowel, and the visually selected steadiest portion of the voice
signal. RESULTS: Results showed that the steadiest portion of the waveforms, as
defined by minimum perturbation and maximum SNR values, was not consistent across
individuals. Perturbation and nonlinear dynamic values differed significantly
based on what segment of the waveform was used. Other commonly used segment
selection methods resulted in significantly higher perturbation values and
significantly lower SNR values than those determined by the moving window method
(P<0.001). CONCLUSIONS: The selection of a sample for acoustic analysis can
introduce significant inconsistencies into the analysis procedure. The moving
window technique may provide more accurate and reliable acoustic measures by
objectively identifying the steadiest segment of the voice sample.
PMID- 21889302
TI - Can listeners hear who is singing? What is the pitch bandwidth of singer
discrimination in untrained listeners?
AB - OBJECTIVE/HYPOTHESIS: This study sought to determine the pitch bandwidth of
singer discrimination based on singer gender, pitch range, and same-different
voice category. STUDY DESIGN: Repeated measures factorial design. METHODS: This
study used a forced-choice paradigm, where listeners heard two different singers
(singer 1 and singer 2) producing /alpha/ at an identical pitch and an unknown
singer (either singer 1 or singer 2) producing /alpha/ at a different pitch. It
was the listener's task to identify which singer (singer 1 or singer 2) was the
unknown singer. Two baritones and two tenors were recorded producing /alpha/ at
the pitches C3, E3, G3, B3, D4, and F4. Two sopranos and two mezzo-sopranos were
recorded producing /alpha/ at the pitches C4, E4, G4, B4, D5, and F5. For each
group of stimuli, male and female, all possible pairs of singers were constructed
for the lowest pitch (C2 or C3, respectively) and highest pitch (F4 or F5,
respectively). The unknown singer was varied across the remaining pitches.
RESULTS: For between category comparisons, the ability to discriminate singers
diminished monotonically with pitch interval, reaching below chance levels
between the intervals of the 9th and 11th. For within category comparisons, it
was much harder to discriminate singers across pitch, particularly when the
voices were female. CONCLUSION: Timbre is not perceived as invariant across the
entire singing range, and the bandwidth of this timbre invariance depends on
multiple factors, including pitch range, gender, and same-different voice
category.
PMID- 21889303
TI - A systematic review of rehabilitation protocols after surgical repair of the
extensor tendons in zones V-VIII of the hand.
AB - STUDY DESIGN: Systematic review. INTRODUCTION: Controversy exists as to which
rehabilitation protocol provides the best outcomes for patients after surgical
repair of the extensor tendons of the hand. PURPOSE OF THE STUDY: To determine
which rehabilitation protocol yields the best outcomes with respect to range of
motion and grip strength in extensor zones V-VIII of the hand. METHODS: A
comprehensive literature review and assessment was undertaken by two independent
reviewers. Methodological quality of randomized controlled trials and cohort
studies was assessed using the Scottish Intercollegiate Guidelines Network scale.
RESULTS: Seventeen articles were included in the final analysis (kappa=0.9). From
this total, seven evaluated static splinting, 12 evaluated dynamic splinting, and
four evaluated early active splinting. Static splinting yielded "excellent/good"
results ranging from 63% (minimum) to 100% (maximum) on the total active motion
(TAM) classification scheme and TAM ranging from 185 degrees (minimum) to 258
degrees (maximum) across zones V-VIII. Dynamic splinting studies demonstrated a
percentage of "excellent/good" results ranging from 81% (minimum) and 100%
(maximum) and TAM ranging from 214 degrees (minimum) and 261 degrees (maximum).
Early active splinting studies showed "excellent/good" results ranging from 81%
(minimum) and 100% (maximum). Only one study evaluated TAM in zones V-VIII, which
ranged from 160 degrees (minimum) and 165 degrees (maximum) when using two
different early active modalities. CONCLUSIONS: The available level 3 evidence
suggests better outcomes when using dynamic splinting over static splinting.
Additional studies comparing dynamic and early active motion protocols are
required before a conclusive recommendation can be made. LEVEL OF EVIDENCE: 2.
PMID- 21889304
TI - Using short information leaflets as recruitment tools did not improve
recruitment: a randomized controlled trial.
AB - OBJECTIVE: To assess if the type of patient information leaflet (PIL) received at
an initial invitation to participate in a randomized trial influences the number
of patients recruited. STUDY DESIGN AND SETTING: A randomized controlled trial
was used to compare the effects of short or full PILs on recruitment in a primary
care setting. Patients invited to take part in the Randomised Evaluation of the
Effectiveness and Acceptability of Computerised Therapy study through a database
mail out were randomly allocated to receive one of two types of PIL. RESULTS: The
type of PIL received with the initial invitation did not influence recruitment.
Of those receiving the short PIL, 5.4% were recruited compared with 5.1% in the
full PIL group. The difference in proportions between the groups was not
statistically significant (mean difference=0.3%; 95% confidence interval [CI]=
1.5%, 2.2%; P=0.75). Secondary analyses on the numbers of ineligible patients
showed a statistically significant difference between the groups in favor of the
full PIL group, which yielded fewer ineligible patients (P=0.04; mean
difference=1.4%; CI=0.03%, 2.8%). CONCLUSION: Providing patients with shorter
PILs when inviting them to participate in research does not affect the numbers
who are subsequently recruited and yields more ineligible patients. Therefore, it
is recommended to use the full PIL as a recruitment tool.
PMID- 21889305
TI - Memory complaint questionnaire performed poorly as screening tool: validation
against psychometric tests and affective measures.
AB - OBJECTIVE: This study examined the internal and external validity of the Memory
Complaint Questionnaire (MAC-Q), a brief measure of subjective memory complaint
in people with normal cognitive function. STUDY DESIGN AND SETTING: The Study of
Health Outcomes in Aircraft Maintenance Personnel was a retrospective cohort
study investigating the association between aircraft fuel tank deseal/reseal
activities and health status in Royal Australian Air Force personnel. Cross
sectional comparison tests included measures of executive functioning,
psychomotor speed, attention/working memory, new learning/memory, depression, and
anxiety. An adjusted regression analysis accounted for confounders including age,
dates of posting, rank, education, alcohol use, tobacco use, and affective
status. RESULTS: Eight hundred seventy-nine participants completed the MAC-Q.
Although the MAC-Q tested as highly reliable and internally valid, it was highly
associated with affective status and was only associated with Digit Symbol Coding
after adjustment for depression/anxiety. CONCLUSION: The MAC-Q is greatly
influenced by affective status but not memory performance. It is probably not
useful as a specific screen of memory complaint for general population research.
PMID- 21889306
TI - Rasch analysis supports the use of the depression, anxiety, and stress scales to
measure mood in groups but not in individuals with chronic low back pain.
AB - OBJECTIVE: Chronic pain is a common problem that is associated with mood
disorders such as depression. The Depression, Anxiety, and Stress Scales (DASS
21) questionnaire is commonly used to help measure disordered mood. In this
study, we used Rasch analysis to analyze the clinimetric properties of the DASS
21 in a chronic low back pain sample. STUDY DESIGN AND SETTING: A Rasch analysis
was conducted on data collected as a part of a randomized hospital-based placebo
controlled trial. DASS-21 questionnaires were completed by the 154 enrolled
participants. RESULTS: The DASS-21 subscales fit the Rasch model. No differential
item functioning was detected for age, gender, pain severity, or disability.
Reliability for individual use was supported for the depression subscale (Person
Separation Index [PSI]=0.86) but group use only for the anxiety (PSI=0.74) and
stress (PSI=0.82) subscales. A DASS-21 aggregate score of "negative affect"
lacked fit to the Rasch model (chi(2)=191.48, P<0.001). CONCLUSION: This is the
first study that used Rasch analysis to demonstrate that the DASS-21 subscales
demonstrate adequate measurement properties for research involving groups with
chronic pain. Only the DASS-21 depression subscale demonstrated adequate
reliability for use with individuals with chronic pain. The use of a single DASS
21 aggregate score as a measure of "negative affect" was not supported.
PMID- 21889307
TI - Retrospective cohort study highlighted outcome reporting bias in UK publicly
funded trials.
AB - OBJECTIVE: To assess outcome reporting bias and dissemination bias in trials
funded by the National Health System (NHS) Health Technology Assessment (HTA)
program. STUDY DESIGN AND SETTING: A retrospective cohort study of HTA monographs
and corresponding journal publications including all clinical effectiveness
randomized controlled trials published as HTA monographs between 1999 and 2005 by
the NHS HTA program. RESULTS: There was a higher median P-value (P=0.33,
interquartile range [IQR]: 0.02-0.54) among trials without a journal publication
compared with those with a journal publication (P=0.14, IQR: 0.007-0.43),
although the difference was not statistically significant (Mann-Whitney U test,
z=-0.70; P=0.48). A higher proportion of statistically significant findings were
reported in journal articles when compared with the outcomes reported in the HTA
monographs. Trials published in general medical journals tended to have smaller P
values (median: 0.05, IQR: 0.001-0.22) than those published in more specialist
journals (median: 0.33 IQR: 0.008-0.58), although this result was not significant
(Mann-Whitney U test, z=-1.63; P=0.10). CONCLUSIONS: Among journal-published
trials, there were a greater proportion of statistically significant findings
included in the journal reports compared with those in the HTA monographs.
PMID- 21889308
TI - Strength of evidence and handling uncertainty: practical considerations and
general observations.
PMID- 21889309
TI - Accuracy of self-reported family history is strongly influenced by the accuracy
of self-reported personal health status of relatives.
AB - OBJECTIVE: We investigated the accuracy of self-reported family history for
diabetes, hypertension, and overweight against two reference standards: family
history based on physician-assessed health status of relatives and on self
reported personal health status of relatives. STUDY DESIGN AND SETTING: Subjects
were participants from the Erasmus Rucphen Family study, an extended family study
among descendants of 20 couples who lived between 1850 and 1900 in a southwest
region of the Netherlands and their relatives (n=1,713). Sensitivity and
specificity of self-reported family history were calculated. RESULTS: Sensitivity
of self-reported family history was 89.2% for diabetes, 92.2% for hypertension,
and 78.4% for overweight when family history based on relatives' self-reported
personal health status was used as reference and 70.8% for diabetes, 67.4% for
hypertension, and 77.3% for overweight when physician-assessed health status of
relatives was used. Sensitivity and specificity of self-reported personal health
status were 76.8% and 98.8% for diabetes, 38.9% and 98.0% for hypertension, and
80.9% and 75.7% for overweight, respectively. CONCLUSION: The accuracy of self
reported family history of diabetes and hypertension is strongly influenced by
the accuracy of self-reported personal health status of relatives. Raising
awareness of personal health status is crucial to ensure the utility of family
history for the assessment of risk and disease prevention.
PMID- 21889310
TI - Multivariate graphical methods provide an insightful way to formulate explanatory
hypotheses from limited categorical data.
AB - OBJECTIVE: Graphical methods for generating explanatory hypotheses from limited
categorical data are described and illustrated. STUDY DESIGN AND SETTING:
Univariate, bivariate, multivariate, and multiplicative graphical methods were
applied to clinical data regarding very ill older persons. The data to which
these methods were applied were limited as to their nature (e.g., nominal
categorical data) or quality (e.g., data subject to measurement error and missing
values). Such limitations make confirmatory inference problematic but might still
allow for meaningful generation of new explanatory hypotheses in some cases.
RESULTS: A striking feature of the graphical results from this study's major
illustrative application was that posttraumatic stress disorder (PTSD) after
intensive care unit discharge occurred rarely and nearly always co-occurred with
two or more other mental health conditions. These results suggest the explanatory
hypothesis that PTSD in this context is less attributable to single traumatic
causes than to acute illnesses contributing to a cascade of mental health
decrements. CONCLUSION: Illustrative applications of a sequence of graphical
procedures yield more informative and less abstract representations of limited
data than do descriptive statistics alone, and by doing so, they aid in the
formulation of explanatory hypotheses.
PMID- 21889311
TI - Development of temporomandibular joint ankylosis in rats using stem cells and
bone graft.
AB - 64 male Wistar rats were used: 24 for the removal of stem cells, 4 as a control
group, and 36 for the experiment, in which either stem cells or bone graft was
used. The rats were divided into groups according to the type of procedure and
time span (15, 30 or 60 days). The joints were submitted to histological study in
order to score the ankylosis. The mean differences between initial and final
maximal mouth opening (MMO) were gradually increased from 15 to 60 days, for all
times of evaluation for both groups, being statistically significant at 15 days
(p=0.045) in the bone-graft group. When both groups were compared, the mean
differences between initial and final MMO were statistically significant at 15
days (p=0.018) and 30 days (p=0.029). In relation to the histological scores, in
the bone-graft group almost all animals had intra-articular fibrosis at all times
of evaluation (n=17). In the stem-cell group, there was new bone at 15 days
(n=4), 30 days (n=3) and 60 days (n=4). The study model permitted the development
of fibrous ankylosis in the majority of animals for both groups and no bony
bridge was observed.
PMID- 21889312
TI - Temporomandibular disorders in patients with mandibular fractures: a preliminary
comparative case-control study between South Australia and Oman.
AB - The status of temporomandibular disorders (TMD) in subjects with previously
treated mandibular fracture was evaluated in two centres: South Australia (SA)
and Oman (O). TMD status was evaluated using Mandibular Function Impairment
Questionnaire (MFIQ), Helkimo index for clinical dysfunction (HI), RDC/TMD and
Wilkes' classification. Data were retrieved for adult patients treated for
mandibular fracture over 3 years from January 2004 to December 2006. Thirty-six
subjects participated from SA and 23 from O. Their results were compared with
matched controls. The incidence of TMD symptoms in SA injured and control groups
was higher compared with the O groups. There was statistically significant
difference on all evaluation indices for SA injured subjects compared with
controls (MFIQ/P 0.04, HI/P 0.0015, RDC/TMD/P 0.05, Wilkes classification/P
0.03). These TMD symptoms were clinically insignificant for most subjects and all
were internal derangement of the temporomandibular joint (TMJ). There was no
significant difference in all evaluation indices for O injured subjects compared
with controls. For SA injured subjects who reported clinically significant TMD
symptoms, assault and bilateral mandibular fractures were predominant features.
The study shows that most mandibular injuries fully recover and the associated
TMJ trauma usually has low clinical significance in the long term.
PMID- 21889313
TI - Chlamydia pneumoniae heat shock protein 60 is associated with apoptotic signaling
pathway in human atheromatous plaques of coronary artery disease patients.
AB - BACKGROUND: Chlamydia pneumoniae heat shock protein (HSP) 60 is known to
contribute to the activation of inflammation. In addition, there are
contradictory reports on C. pneumoniae and their role in activation of pathways
(apoptotic/antiapoptotic/necrosis) in coronary artery disease (CAD). Hence, more
studies are required to know the actual role of C. pneumoniae in activation of
apoptotic/antiapoptotic/necrosis pathways. METHODS AND RESULTS: In this study,
two sets of patient groups (cHSP60 positive and cHSP60 negative) were included
and gene expression was studied by cDNA micro array and real time polymerase
chain reaction arrays. Expression of Caspase-3, 8, 9, c-FLIP, PPAR-gamma, PGC
1alpha, and Gsk-3b were also evaluated at protein level by immunoblotting. In
cHSP60 positive CAD patients significantly higher (p<0.001) mRNA expression was
found for CCL4, CXCL4, CXCL9, IL-8, CD40LG, CD8, TGFbeta1, TGFbeta2, APOE, EGR1,
CTGF, APOB, LDLR, LPA, and LPL, whereas significantly lower (p<0.001) mRNA
expression was detected for CD4, IL1F10, IFNA2, and IL-10 as compared to cHSP60
negative CAD patients. Additionally, at protein level expression of Caspase-3
(p=0.027), 8 (p=0.028), and 9 (p=0.037) were higher and c-FLIP (p=0.028) and PPAR
gamma (p=0.95) expression were comparable in cHSP60 positive CAD patients
compared to cHSP60 negative CAD patients. CONCLUSION: Genes/proteins of pre
apoptotic caspase dependent/independent pathways, chemokines, and inflammatory
cytokines receptors were significantly up-regulated in human atheromatous plaques
of cHSP60 positive CAD patients suggesting an association of cHSP60 with CAD.
PMID- 21889314
TI - Fast activity during EEG seizures in neonates.
AB - INTRODUCTION: Paroxysmal fast activity (FA) has been proposed as a marker for
epileptic networks. We explore the presence and significance of ictal FA on scalp
video EEG seizures (EEG Sz) recorded in neonates. METHODOLOGY: Forty two babies
had 159 EEG Szs. The seizures were analysed for ictal FA, using a low frequency
filter of 30 Hz. We explored the relationship of ictal FA to the occurrence of
electroclinical and electrographic seizures, the use of phenobarbitone and to
neurodevelopmental outcomes. RESULTS: Ictal FA occurred in 62 (39%) of the 159
EEG Szs. In the 62 seizures with ictal FA, 34 (55%) were electroclinical seizures
(ECSz) and 28 (45%) were electrographic (ESz). In the remaining 97 seizures
without ictal FA, 27 (28%) were ECSz and 70 (72%) ESz. There was a significant
correlation (p=0.0006) between ictal FA and electroclinical seizures. There was
no relationship between phenobarbitone and presence of ictal FA. There was no
significant difference in the background EEG, neuroimaging abnormalities,
neurodevelopmental impairment or post neonatal seizures between those with and
without ictal FA. CONCLUSIONS: Ictal FA is highly correlated to the occurrence of
clinical features during an EEG seizure. The presence of ictal FA does not appear
to influence neurodevelopmental outcomes.
PMID- 21889315
TI - Transition to absence seizures and the role of GABA(A) receptors.
AB - Absence seizures appear to be initiated in a putative cortical 'initiation site'
by the expression of medium-amplitude 5-9Hz oscillations, which may in part be
due to a decreased phasic GABA(A) receptor function. These oscillations rapidly
spread to other cortical areas and to the thalamus, leading to fully developed
generalized spike and wave discharges. In thalamocortical neurons of genetic
models, phasic GABA(A) inhibition is either unchanged or increased, whereas tonic
GABA(A) inhibition is increased both in genetic and pharmacological models. This
enhanced tonic inhibition is required for absence seizure generation, and in
genetic models it results from a malfunction in the astrocytic GABA transporter
GAT-1. Contradictory results from inbred and transgenic animals still do not
allow us to draw firm conclusions on changes in phasic GABA(A) inhibition in the
GABAergic neurons of the nucleus reticularis thalami. Mathematical modelling may
enhance our understanding of these competing hypotheses, by permitting
investigations of their mechanistic aspects, hence enabling a greater
understanding of the processes underlying seizure generation and evolution.
PMID- 21889316
TI - Prenatal exposure to bacterial endotoxin reduces the number of GAD67- and reelin
immunoreactive neurons in the hippocampus of rat offspring.
AB - Epidemiological studies implicate prenatal infection as a risk factor for the
development of schizophrenia and autism. Subjects with schizophrenia and autism
are reported to exhibit reduced levels of glutamic acid decarboxylase 67 (GAD67),
a marker for GABA neurons, in various brain regions. Reduced levels of reelin, a
secretory glycoprotein present in a subpopulation of GABA neurons, have also been
found in these disorders. To test if prenatal infection can cause abnormalities
in GAD67 and reelin in the brains of offspring, this study used a rat model of
prenatal exposure to the bacterial endotoxin, lipopolysaccharide (LPS), and
assessed numbers of GAD67-immunoreactive (GAD67+) and reelin-immunoreactive
(reelin+) neurons in the hippocampus of offspring. In offspring at postnatal day
14 (PD14), GAD67+ cell counts were reduced in the dentate gyrus of the prenatal
LPS group compared to prenatal saline controls, while at PD28, GAD67+ cells
counts were reduced in the prenatal LPS group in both the dentate gyrus and the
CA1. There was a decrease in the number of reelin+ cells in the prenatal LPS
offspring compared to controls in the dentate gyrus at PD14. However using
Western blotting, no significant effects of prenatal LPS on levels of GAD67 or
reelin protein were observed in various brain regions at PD14. These findings
support the idea that prenatal infection can cause reductions in postnatal
expression of GAD67 and reelin, and in this way, possibly contribute to the
pathophysiology of schizophrenia or autism.
PMID- 21889317
TI - Triple monoamine inhibitor tesofensine decreases food intake, body weight, and
striatal dopamine D2/D3 receptor availability in diet-induced obese rats.
AB - The novel triple monoamine inhibitor tesofensine blocks dopamine, serotonin and
norepinephrine re-uptake and is a promising candidate for the treatment of
obesity. Obesity is associated with lower striatal dopamine D2 receptor
availability, which may be related to disturbed regulation of food intake. This
study assesses the effects of chronic tesofensine treatment on food intake and
body weight in association with changes in striatal dopamine D2/D3 receptor
(D2/3R) availability of diet-induced obese (DIO) rats. Four groups of 15 DIO rats
were randomized to one of the following treatments for 28 days: 1. tesofensine
(2.0 mg/kg), 2. vehicle, 3. vehicle+restricted diet isocaloric to caloric intake
of group 1, and 4. tesofensine (2.0 mg/kg)+ a treatment-free period of 28 days.
Caloric intake and weight gain decreased significantly more in the tesofensine
treated rats compared to vehicle-treated rats, which confirms previous findings.
After treatment discontinuation, caloric intake and body weight gain gradually
increased again. Tesofensine-treated rats showed significantly lower D2/3R
availability in nucleus accumbens and dorsal striatum than both vehicle-treated
rats and vehicle-treated rats on restricted isocaloric diet. No correlations were
observed between food intake or body weight and D2/3R availability. Thus, chronic
tesofensine treatment leads to decreased food intake and weight gain. However,
this appears not to be directly related to the decreased striatal D2/3R
availability, which is mainly a pharmacological effect.
PMID- 21889318
TI - Effects of the relative humidity and water droplet on adhesion of a bio-inspired
nano-film.
AB - Inspired by geckos' adhesion, the effect of water membrane forming due to the
environmental humidity, on the adhesion between a bio-inspired nano-film and a
substrate is investigated first. The disjoining pressure is considered, which
results in an enhancing adhesion between the nano-film and substrate. When the
thickness of water membrane increases, water droplets will form and a repulsive
capillary force between the nano-film and substrate is produced. The total
adhesion force decreases with an increasing volume of water droplets. The two
opposite results in the two different models are consistent well with two
seemingly inconsistent experimental observations by Huber et al. (2005) [4] and
Sun et al. (2005) [5], respectively, and may be significant for the development
of artificial biomimetic attachment systems.
PMID- 21889319
TI - [Intrafamilial transmission of Staphylococcus aureus Panton-Valentine leukocidin
responsible for two cases of neonatal necrotizing pneumonia].
AB - Necrotizing Staphylococcus aureus Panton-Valentine leukocidin (SA-PLV+) accounts
for less than 1% of community-acquired lung diseases in children and young
adults. Neonatal cases are exceptional. We report the observations of two newborn
female twins, who were not breastfed, presenting a necrotizing lung disease due
to the same strain of SA-PVL+ despite nasal decolonization measures taken. These
two cases are informative and bring to light (1) the possibility of severe SA
PVL+ lung infections in young infants and (2) their strictly intrafamilial mode
of transmission for which eradication measures were ineffective.
PMID- 21889320
TI - [Neonatal renal venous thrombosis: the recent experience of Robert-Debre
hospital].
AB - Neonatal renal venous thrombosis (NRVT) is a rare disease, with variable
consequences on kidney function. We report a retrospective study of 9 newborns
with NRVT admitted to our hospital from 1996 to 2005. The median age at diagnosis
was 2 days (range, 1-10 days). In 7 patients, diagnosis was suspected based on
one classical clinical or biological sign and was confirmed by ultrasound. Seven
newborns had at least one known obstetrical or neonatal risk factor. NRVT was
unilateral in three cases, was bilateral in 6 cases, and was associated with
inferior vena cava thrombosis in 5 patients, with surrenal hemorrhage in 3
patients. Three patients did not receive specific treatment. The median delay
between diagnosis and specific treatment was 20 h (range, 3-36 h). Three patients
were treated by fibrinolysis, including 2 with bilateral NRVT, 2 newborns
received heparins, and 1 patient was treated with a vitamin K antagonist. With a
median evaluation time of 5 years and 2 months for 6 patients, 5 patients
recovered their kidney function completely and the 6th child has moderate renal
failure. It seems illusory to wait for randomized control studies to appreciate
the potential long-term benefit of treatments on kidney function after a NRVT,
whose bilateral forms appear to be more severe. A case-by-case approach appears
better adapted. These results reinforce recommendations that suggest an early
pediatric nephrologic follow-up for all newborns with a NRVT.
PMID- 21889321
TI - [A rare complication of Streptococcal B meningitis in a newborn: central diabetes
insipidus].
PMID- 21889322
TI - Sex determination of Joseon people skeletons based on anatomical, cultural and
molecular biological clues.
AB - Sex determination is very integral to examinations conducted by anatomists on
human skeletons discovered in the archaeological field. In Korea, as in other
countries, cultural or anatomical information has been the tool of first resort
in making such determinations. In cases in which anatomical examination has
revealed only borderline characteristics, PCR-based analysis of X/Y-chromosome
genes has been employed. Even so, there are as yet very few reports on how
accurately the respective results correspond with each other. In this study on 34
examined medieval Korean skeletons, 11 (32.3%) showed perfectly matching results
for the three methods of sex determination. In the cases in which the cultural
and anatomical findings were discordant, the amelogenin assay corroborated either
the former or the latter. Although we must admit the relatively limited role of
aDNA analysis, when only very small amounts of amplifiable DNA remain, we believe
that the amelogenin assay can be very meaningful to Korean anatomists when
employed in adjunct to conventional anatomically or culturally based sex
determination.
PMID- 21889324
TI - Plasma levels of neuropeptides and metabolic hormones, and sleepiness in
obstructive sleep apnea.
AB - BACKGROUND: Obstructive sleep apnea (OSA) is related to obesity and metabolic
disorders. The main clinical symptoms are excessive daytime sleepiness (EDS) and
snoring. However, not all patients with OSA manifest EDS. Hypocretin-1,
neuropeptide Y, leptin, ghrelin and adiponectin are implicated in both metabolic
and sleep regulation, two conditions affected by OSA. We hypothesized that levels
of these peptides may be related to EDS in OSA patients. METHODS: We included 132
patients with EDS, as defined by an Epworth Sleepiness Scale (ESS) score >= 13
(mean +/- SD, 15.7 +/- 2.3) and 132 patients without EDS as defined by an ESS
score <= 9 (6.5 +/- 1.9). All patients had an apnea-hypopnea index (AHI) >= 20 h(
1). Both groups were matched for gender (males; 83.3% vs. 85.6%), age (50.15 +/-
11.2 yrs vs. 50.7 +/- 9.9 yrs), body mass index (BMI) (31.8 +/- 5.6 kg m(-2) vs.
32.1 +/- 4.8 kg m(-2)), and apnea-hypopnea index (AHI) (45.5 +/- 19.1 h(-1) vs.
43 +/- 19.2 h(-1)). RESULTS: OSA patients with EDS showed significantly higher
plasma hypocretin-1 levels (p < 0.001) and lower plasma ghrelin levels (p <
0.001) than OSA patients without EDS. There were no statistically significant
differences in neuropeptide Y (p = 0.08), leptin (p = 0.07) and adiponectin (p =
0.72) between the two groups. In the multiple linear regression model ESS score
was associated with plasma levels of hypocretin-1, ghrelin and total sleep time.
CONCLUSION: Our study shows that EDS in patients with OSA is associated with
increased circulating hypocretin-1 and decreased circulating ghrelin levels, two
peptides involved in the regulation of body weight, energy balance, sympathetic
tone and sleep-wake cycle. This relationship is independent of AHI and obesity
(two key phenotypic features of OSA).
PMID- 21889325
TI - GOLD COPD stage I is not associated with increased risk of death.
PMID- 21889323
TI - IL-2 family cytokines: new insights into the complex roles of IL-2 as a broad
regulator of T helper cell differentiation.
AB - Interleukin-2 (IL-2) is a pleiotropic cytokine that drives T-cell growth,
augments NK cytolytic activity, induces the differentiation of regulatory T
cells, and mediates activation-induced cell death. Along with IL-4, IL-7, IL-9,
IL-15, and IL-21, IL-2 shares the common cytokine receptor gamma chain, gamma(c),
which is mutated in humans with X-linked severe combined immunodeficiency.
Herein, we primarily focus on the recently discovered complex roles of IL-2 in
broadly modulating T cells for T helper cell differentiation. IL-2 does not
specify the type of Th differentiation that occurs; instead, IL-2 modulates
expression of receptors for other cytokines and transcription factors, thereby
either promoting or inhibiting cytokine cascades that correlate with each Th
differentiation state. In this fashion, IL-2 can prime and potentially maintain
Th1 and Th2 differentiation as well as expand such populations of cells, whereas
it inhibits Th17 differentiation but also can expand Th17 cells.
PMID- 21889326
TI - Analysis of energy recovery potential using innovative technologies of waste
gasification.
AB - In this paper, two alternative thermo-chemical processes for waste treatment were
analysed: high temperature gasification and gasification associated to plasma
process. The two processes were analysed from the thermodynamic point of view,
trying to reconstruct two simplified models, using appropriate simulation tools
and some support data from existing/planned plants, able to predict the energy
recovery performances by process application. In order to carry out a comparative
analysis, the same waste stream input was considered as input to the two models
and the generated results were compared. The performances were compared with
those that can be obtained from conventional combustion with energy recovery
process by means of steam turbine cycle. Results are reported in terms of energy
recovery performance indicators as overall energy efficiency, specific energy
production per unit of mass of entering waste, primary energy source savings,
specific carbon dioxide production.
PMID- 21889327
TI - Define baseline levels of segments per beam for intensity-modulated radiation
therapy delivery for brain, head and neck, thoracic, abdominal, and prostate
applications.
AB - The purpose of this study was to evaluate the number of segments per beam for
intensity-modulated radiation therapy (IMRT) treatments and its effects on the
plan quality, treatment delivery time, machine quality assurance, and machine
maintenance. We have retrospectively analyzed 24 patients treated with IMRT. Five
were selected within each of the following regions: head and neck, thoracic,
abdomen, and prostate. Four patients were optimized within the brain region. The
clinically treated plans were re-optimized using Philips Pinnacle3 v. 8 with the
direct machine parameter optimization algorithm. The number of segments per beam
from the treated plan was systematically reduced by 80%, 60%, 40%, and 30%, and
the following statistics have been analyzed for plan quality: target min, mean,
and max doses; critical structure doses; and integral dose. We have attempted to
define the smallest number of segments per beam for IMRT treatment plans. Results
indicate that IMRT plans can be delivered with acceptable quality with
approximately 3-6 segments per beam for the anatomical regions analyzed. A
reduction in the number of segments decreases treatment delivery time, reduces
machine wear and tear, and minimizes the amount of time the patient is on the
treatment table, which in turn reduces the chances of intrafractional
uncertainties.
PMID- 21889328
TI - Olfactory networks: from sensation to perception.
AB - Olfactory networks, comprised of sensory neurons and interneurons, detect and
process changes in the chemical environment to drive animal behavior. Recent
studies combining genetics with behavioral analyses and imaging in worms, flies
and mice have revealed new insights into the mechanisms of olfaction. In this
discussion, we focus on three interesting findings. First, sensory neuron
responses to odor are modulated by neuropeptides. This modulation might serve to
extend the range of responses of the sensory neurons and also to integrate
internal state information into the chemosensory circuit. Second, genetic tracing
studies in mice and flies have shown that the first layer of connections in
chemosensory circuits from olfactory epithelium to the glomeruli are stereotyped,
while the subsequent connections to higher order sensory processing regions are
not. Distributed connectivity to the higher order sensory processing regions has
profound implications for how odors are represented in those regions. Third,
recent work has revealed that odors are surprisingly sparsely represented in the
piriform cortex. The sparse coding in the higher brain centers implies a much
greater role for experience and learning in mediating responses to olfactory
cues. Analyzing olfactory network function in various species provides us with
fascinating clues about how sensory information is acquired, processed and
represented at multiple levels within the nervous system.
PMID- 21889329
TI - Post-translational regulation of signaling mucins.
AB - Signaling mucins are large transmembrane glycoproteins that regulate signal
transduction pathways. Recent advances have shown that two major types of post
translational modifications, protein glycosylation and proteolytic processing,
play important and unexpected roles in regulating signaling mucin function. New O
glycosyltransferases and proteases have been identified, and the structure of the
domain that undergoes auto-proteolysis has been solved. A picture is beginning to
emerge where specific glycosyl modifications and regulated processing control the
signaling and adherence properties of signaling glycoproteins and contribute to
the routing of signals to specific pathways.
PMID- 21889330
TI - Key predictive factors of axitinib (AG-013736)-induced proteinuria and efficacy:
a phase II study in Japanese patients with cytokine-refractory metastatic renal
cell Carcinoma.
AB - BACKGROUND: Axitinib (AG-013736) is an oral, selective and potent inhibitor of
vascular endothelial growth factor receptors (VEGFR)-1, 2 and 3. This phase II
study investigated axitinib efficacy, safety and biomarkers in Japanese patients
with cytokine-refractory metastatic renal cell carcinoma (mRCC). PATIENTS AND
METHODS: In an open-label, multicentre study, 64 patients received an axitinib
starting dose of 5mg twice daily. RESULTS: Objective response rate (ORR) was
50.0% and median progression-free survival (PFS) was 11.0 months per independent
review committee. Common treatment-related adverse events were hypertension (84%;
70% grade >=3), hand-foot syndrome (75%; 22% grade >=3) and diarrhoea (64%; 5%
grade >=3). Eighteen patients (28%) developed proteinuria >=2g/24h and required
dose reduction or treatment interruption/discontinuation. Proteinuria was a major
cause for treatment discontinuation. Baseline urine protein levels were
associated with development of proteinuria >=2g/24h (hazard ratio [HR]=5.457,
P=0.0035 in patients with baseline proteinuria >=1+ versus <1+). Baseline urine
protein levels correlated more strongly with axitinib-related proteinuria than
other baseline renal function test values or blood pressure. Patients with
greater decreases in soluble VEGFR-2 concentrations had significantly higher ORR
and longer PFS than those with smaller decreases (ORR: 64.5% versus 37.5%,
P=0.045; median PFS: 12.9 months versus 9.2 months, HR=0.42, P=0.01).
CONCLUSIONS: Axitinib showed significant antitumour activity and was well
tolerated in Japanese mRCC patients. Baseline proteinuria and soluble VEGFR-2
levels may be key indicators of axitinib-induced proteinuria and efficacy,
respectively.
PMID- 21889331
TI - Glutamine inhibits platelet-activating factor-mediated pulmonary tumour
metastasis.
AB - Inflammation has been increasingly recognised as an important component of
tumourigenesis. Platelet-activating factor (PAF), a potent inflammatory mediator,
has the ability to enhance tumour growth and metastasis. In this study, we have
investigated (i) the role of mitogen-activated protein kinases (MAPKs) and (ii)
the therapeutic efficacy of the non-essential amino acid, l-glutamine (Gln),
which evidences MAPKs inhibition activity in PAF-mediated B16F10 melanoma
metastasis to the lungs. Mice were given intraperitoneal injection of PAF. ERK,
JNK, and p38 MAPKs were activated rapidly by PAF in the lungs, and the PAF
induced metastasis of B16F10 was inhibited in a dose-dependent manner by
pretreatment with either U0126 (ERK inhibitor), SP600125 (JNK inhibitor), or
SB202190 (p38 inhibitor). Intraperitoneal administration of Gln after, but not
before, PAF injection deactivated ERK, JNK, and p38 by dephosphorylating them.
Gln inhibited PAF-induced metastasis when Gln was administered either
intraperitoneally or orally. PAF induced pronounced angiogenic activity in an in
vivo mouse Matrigel implantation model. MAPK inhibitors as well as Gln
significantly inhibited PAF-induced angiogenesis. These data indicate that Gln
exerts a beneficial effect against inflammation-associated enhanced tumour
metastasis via the deactivation of MAPKs.
PMID- 21889332
TI - Type 2 diabetes mellitus and colorectal cancer: meta-analysis on sex-specific
differences.
AB - Although there is consent concerning a higher risk for colorectal cancer (CRC)
amongst patients with type 2 diabetes mellitus (T2DM), there remains uncertainty
regarding potential sex differences in the strength of this association. We
reviewed and summarised epidemiological studies assessing the sex-specific
association of T2DM with the risk for CRC. All relevant studies published until
14th February 2011 were identified by a systematic search of MEDLINE, EMBASE,
BIOSIS Previews and ISI Web of Knowledge databases and cross-referencing. We
included observational studies that reported relative risk (RR) or odds ratio
(OR) estimates with 95% confidence intervals (CIs) for the association between
T2DM and CRC. Two authors independently extracted data and assessed study quality
of each study in a standardised manner. Study-specific estimates were pooled for
both sexes separately using random-effects models. A total of 29 eligible studies
were used for meta-analysis. Overall estimates of relative risk (RR) were very
similar amongst men (RR=1.29; 95%-confidence interval (CI): 1.19-1.140) and women
(RR=1.34; 95%-CI: 1.22-1.47). In both men and women, risk estimates from case
control studies were slightly higher than those from cohort studies. Overall,
T2DM is associated with a moderate increase in CRC risk in both men and women.
PMID- 21889333
TI - Discovery of potent, metabolically stable purine CRF-1 antagonists with
differentiated binding kinetic profiles.
AB - Optimisation of the potency of a bicyclic CRF antagonist whilst retaining
metabolic stability is described. A core change and incorporation of
metabolically stable lipophilic groups resulted in a further potency gain without
increasing metabolic liability. Pharmacological investigation of binding kinetics
led to the identification of compound 25, a sub-nanomolar CRF-1 antagonist with
slow dissociation kinetics and an encouraging pharmacokinetic profile.
PMID- 21889334
TI - Design, synthesis and X-ray crystallographic study of new nonsecosteroidal
vitamin D receptor ligands.
AB - We designed and synthesized nonsecosteroidal vitamin D receptor (VDR) ligands
that formed H-bonds with six amino acid residues (Tyr143, Ser233, Arg270, Ser274,
His301 and His393) of the VDR ligand-binding domain. The ligand YR335 exhibited
potent transcriptional activity, which was comparable to those of 1alpha,25
dihydroxyvitamin D(3) and YR301. The crystal structure of the complex formed
between YR335 and the VDR ligand-binding domain was solved, which revealed that
YR335 formed H-bonds with the six amino acid residues mentioned above.
PMID- 21889335
TI - Synthesis of novel triplet drugs with 1,3,5-trioxazatriquinane skeletons and
their pharmacologies. Part 2: Synthesis of novel triplet drugs with the
epoxymethano structure (capped homotriplet).
AB - An improved synthetic method for triplet drugs with the 1,3,5-trioxazatriquinane
skeleton was developed that used p-toluenesulfonylmethyl isocyanide (TosMIC)
instead of 1,3-dithiane. Using the improved method, we synthesized compounds with
two identical pharmacophore units and an epoxymethano group, that is, capped
homotriplets. Among the synthesized capped homotriplets, KNT-123 showed high
selectivity for the MU receptor over the kappa receptor, and the MU selectivity
was the highest among the reported MU selective nonpeptide ligands. KNT-123
administered subcutaneously induced a dose-dependent analgesic effect in the
acetic acid writhing assay, and its potency was 11-fold more potent than that of
morphine. KNT-123 may serve as a useful tool for the study of the pharmacological
actions mediated specifically via the MU receptor.
PMID- 21889336
TI - Oleanane-type triterpene saponins from the bark of Aralia elata and their NF
kappaB inhibition and PPAR activation signal pathway.
AB - Two new oleanane-type triterpene saponins, tarasaponin IV (1) and elatoside L
(2), and four known; stipuleanoside R(2) (3), kalopanax-saponin F (4), kalopanax
saponin F methylester (5), and elatoside D (6) were isolated from the bark of
Aralia elata. Kalopanax-saponin F methyl ester was isolated from nature for the
first time. Their chemical structures were elucidated using the chemical and
physical methods as well as good agreement with those of reported in the
literature. Oleanane-type triterpene saponins are the main component of A. elata.
All compounds were investigated the anti-inflammatory activity. We measured their
inhibition of NF-kappaB and activation of PPARs activities in HepG2 cells using
luciferase reporter system. As results, compounds 2 and 4 were found to inhibit
NF-kappaB activation stimulated by TNFalpha in a dose-dependent manner with
IC(50) values of 4.1 and 9.5 MUM, respectively, when compared with that of
positive control, sulfasalazine (0.9 MUM). Compounds 2 and 4 also inhibited
TNFalpha-induced expression of iNOS and COX-2 mRNA. Furthermore, compounds 1-6
were evaluated PPAR activity using PPAR subtype transactivation assays. Among of
them, compounds 4-6 significantly increased PPARgamma transactivation. However,
compounds 4-6 did not activate in any other PPAR subtypes.
PMID- 21889337
TI - Inhibition of xc- transporter-mediated cystine uptake by sulfasalazine analogs.
AB - A series of sulfasalazine analogs were synthesized and tested for their ability
to block cystine-glutamate antiporter system xc- using L-[(14)C]cystine as a
substrate. Replacement of sulfasalazine's diazo group with an alkyne group led to
an equally potent inhibitor, 2-hydroxy-5-((4-(N-pyridin-2
ylsulfamoyl)phenyl)ethynyl)benzoic acid 6. Our SAR studies also revealed that the
carboxylate group of sulfasalazine is essential for its inhibitory activity while
the phenolic hydroxyl group is dispensable. Truncated analogs lacking an N
pyridin-2-ylsulfamoyl moiety were less potent than sulfasalazine, but may serve
as more tractable templates because of their low molecular weight by applying a
variety of fragment growing approaches. Given that sulfasalazine is rapidly
metabolized through cleavage of the diazo bond, these analogs may possess a more
desirable pharmacological profile as system xc- blockers, in particular, for in
vivo studies.
PMID- 21889338
TI - Synthesis and evaluation of phosphoramidate and phosphorothioamidate analogues of
amiprophos methyl as potential antimalarial agents.
AB - A series of phosphoramidate and phosphorothioamidate compounds based on the lead
antitubulin herbicidal agents amiprophos methyl (APM) and butamifos were
synthesised and evaluated for antimalarial activity. Of these compounds,
phosphorothioamidates were more active than their oxo congeners and the nature of
both aryl and amido substituents influenced the desired activity. The most active
compound was 46, O-ethyl-O-(2-methyl-4-nitrophenyl)-N-cyclopentyl
phosphorothioamidate, which was more effective than the lead compound.
PMID- 21889339
TI - Benzotriazinone and benzopyrimidinone derivatives as potent positive allosteric
AMPA receptor modulators.
AB - AMPA receptors (AMPARs) have been demonstrated to be an important therapeutic CNS
target. A series of substituted benzotriazinone and benzopyrimidinone derivatives
were prepared with the aim to improve in vivo activity over the previously
reported bis-benzoxazinone based AMPAKINE series from our laboratory. These
compounds were shown to be potent, positive allosteric AMPAR modulators that have
better in vivo activity and improved metabolic stability over the analogous
benzoxazinone derivatives.
PMID- 21889340
TI - Dinucleotide cap analogue affinity resins for purification of proteins that
specifically recognize the 5' end of mRNA.
AB - Here we present first dinucleotide affinity resins for purification of proteins
that specifically recognize the 5' end of mRNA. Constructed resins possess either
a naturally occurring mono- or trimethylated cap or their analogues resistant
towards enzymatic degradation, bearing a CH(2) bridge between beta and gamma
position of the 5',5'-triphosphate chain. All cap analogues were attached to a
polymer support (EAH-Sepharose) through the carboxylic group that had been
generated by derivatization of the 2',3'-cis diol of the second nucleotide in the
cap structure with levulinic acid.
PMID- 21889341
TI - Novel 4-azasteroidal N-glycoside analogues bearing sugar-like D ring: synthesis
and anticancer activities.
AB - A series of novel N-glycoside analogues with 4-azasteroid moiety bearing sugar
like D ring were conveniently synthesized by constructing the core dihydropyran
ring embedded in 4-azasteroidal skeleton which was prepared from 4-aza-5alpha
androst-3,17-dione 1 in four steps. The structure of 6b were unambiguously proved
by the appropriate X-ray structural analysis. Anticancer activity was found for
all of the analogues with purinyl moiety against breast cancer (MCF-7), human
neuroblastoma (SK-N-SH), cervical cancer cell (HeLa) and prostatic cancer (PC-3),
while the analogue 7 containing 1,2,4-triazole heterocycle as the nucleobase was
inactive against all of the tested cancer cell lines. The biology results showed
the purinyl moiety attached to the pyran ring of 6a-d, substituent at 6'-position
of purine base and introduction of a halogen atom at 2'-position of 6'
chloropurine had obviously effect on the evaluated anticancer activity.
PMID- 21889342
TI - Ferrocenyl chalcone difluoridoborates inhibit HIV-1 integrase and display low
activity towards cancer and endothelial cells.
AB - We report here the discovery of a potent series of HIV-1 integrase (IN)
inhibitors based on the ferrocenyl chalcone difluoridoborate structure. Ten new
compounds have been synthesized and were generally found to have similar
inhibitory activities against the IN 3' processing and strand transfer (ST)
processes. IC(50) values were found to be in the low micromolar range, and
significantly lower than those found for the non-coordinated ferrocenyl chalcones
and other ferrocene molecules. The ferrocenyl chalcone difluoridoborates
furthermore exhibited low cytotoxicity against cancer cells and low morphological
activity against epithelial cells.
PMID- 21889343
TI - The structural requirements of histone deacetylase inhibitors: Suberoylanilide
hydroxamic acid analogs modified at the C3 position display isoform selectivity.
AB - The FDA-approved drug suberoylanilide hydroxamic acid (SAHA, Vorinostat) was
modified to improve its selectivity for a single histone deaetylase (HDAC)
isoform. We show that attaching an ethyl group at the C3 position transforms SAHA
from nonselective to an HDAC6-selective inhibitor. Theses results indicate that
small structural changes in SAHA can significantly influence selectivity, which
will lead future anti-cancer design efforts targeting HDAC proteins.
PMID- 21889344
TI - Acylglycinamides as inhibitors of glycine transporter type 1.
AB - A screening hit was used as the basis for the core structure of a new series of
acylglycinamide GlyT-1 inhibitors. Investigation of the SAR around four areas of
diversity used facile chemistry to prepare compounds quickly. By focussing on
reducing the lipophilicity and improving the aqueous solubility in the series we
were able to prepare a compound (17e) with a good level of activity at GlyT-1,
selectivity over GlyT-2 and moderate oral bioavailability.
PMID- 21889345
TI - Synthesis, biological evaluation and molecular docking studies of 1,3,4
thiadiazole derivatives containing 1,4-benzodioxan as potential antitumor agents.
AB - A series of 1,3,4-thiadiazole derivatives containing 1,4-benzodioxan (2a-2s) have
been synthesized to screen for FAK inhibitory activity. Compound 2p showed the
most potent biological activity against HEPG2 cancer cell line (EC(50)=10.28
MUg/mL for HEPG2 and EC(50)=10.79 MUM for FAK), which was comparable to the
positive control. Docking simulation was performed to position compound 2p into
the FAK structure active site to determine the probable binding model. The
results of antiproliferative and Western-blot assay demonstrated that compound 2p
possessed good antiproliferative activity against HEPG2 cancer cell line.
Therefore, compound 2p with potent FAK inhibitory activity may be a potential
anticancer agent against HEPG2 cancer cell.
PMID- 21889346
TI - Training attentional control in infancy.
AB - Several recent studies have reported that cognitive training in adults does not
lead to generalized performance improvements [1, 2], whereas many studies with
younger participants (children 4 years and older) have reported distal transfer
[3, 4]. This is consistent with convergent evidence [5-8] for greater neural and
behavioral plasticity earlier in development. We used gaze-contingent paradigms
to train 11-month-old infants on a battery of attentional control tasks. Relative
to an active control group, and following only a relatively short training
period, posttraining assessments revealed improvements in cognitive control and
sustained attention, reduced saccadic reaction times, and reduced latencies to
disengage visual attention. Trend changes were also observed in spontaneous
looking behavior during free play, but no change was found in working memory. The
amount of training correlated with the degree of improvement on some measures.
These findings are to our knowledge the first demonstration of distal transfer
following attentional control training in infancy. Given the longitudinal
relationships identified between early attentional control and learning in
academic settings [9, 10], and the causal role that impaired control of attention
may play in disrupting learning in several disorders [11-14], the current results
open a number of avenues for future work.
PMID- 21889347
TI - The intraoperative gap difference (flexion gap minus extension gap) is altered by
insertion of the trial femoral component.
AB - A Tensor/Balancer device has been recently developed in order to assess soft
tissue balancing in total knee arthroplasty (TKA) under more physiological
conditions. This device allows us to measure the joint gap with a trial femoral
component in place with the patella reduced. The purpose of this study was to
clarify whether the placement of the component changes the intraoperative gap
difference (flexion gap distance minus extension gap distance). We prospectively
investigated the extension (0 degrees ) and flexion (90 degrees ) gaps in 73
posterior-stabilized TKAs under 30 lb of joint distraction force. Then, we
compared the gap difference with and without the trial femoral component in
place. Our results showed that the intraoperative gap difference with the trial
femoral component in place was larger than the intraoperative gap difference
without the trial component (p=0.00003; with the trial component: mean 4.7 mm
(standard deviation (SD): 3.0mm); without the trial component: mean 2.7 mm (SD:
3.3mm)). We consider that the change in gap difference with or without femoral
component was caused by a relative difference in the elasticity and/or tightness
of the soft tissue in extension versus flexion. Surgeons should be aware of this
effect of the femoral component when considering intraoperative soft tissue
balancing which leads to postoperative stability of the knee joint consequently.
PMID- 21889348
TI - Synthesis, binding and cellular uptake properties of oligodeoxynucleotides
containing cationic bicyclo-thymidine residues.
AB - The synthesis and incorporation into oligodeoxynucleotides of two novel
derivatives of bicyclothymidine carrying a cationic diaminopropyl or lysine unit
in the C(6')-beta position is described. Compared to unmodified DNA these
oligonucleotides show T(m)-neutral behavior when paired against complementary DNA
and are destabilizing when paired against RNA. Unaided uptake experiments of a
decamer containing five lys-bcT units into HeLa and HEK293T cells showed
substantial internalization with mostly cytosolic distribution which was not
observed in the case of an unmodified control oligonucleotide.
PMID- 21889349
TI - Modeling the molecular basis for alpha4beta1 integrin antagonism.
AB - We report a 3D QSAR study of almost 300 structurally diverse small molecule
antagonists of the integrin alpha4beta1 whose biological activity spans six
orders of magnitude. The alignment of the molecules was based on the conformation
of a structurally related ligand bound to the alphaIIBbeta3 and alphavbeta3
integrins in X-ray crystallographic studies. The molecular field method, CoMSIA,
was used to generate the 3D QSAR models. The resulting models showed that the
lipophilic properties were the most important, with hydrogen bond donor and
steric properties less relevant. The models were highly significant (r(2)=0.89,
q2(LOO)=0.67, r(2) (test set)=0.76), and could make robust predictions of the
data (SEE=0.46, SEP=0.78, SEP (test set)=0.66). We predicted the antagonist
activities of a further ten compounds with useful accuracy. The model appears
capable of predicting alpha4beta1 integrin antagonist activity to within a factor
of five for compounds within its domain of applicability. The implications for
design of improved integrin antagonists will be discussed.
PMID- 21889350
TI - Synthesis of new six- and seven-membered 1-N-iminosugars as promising glycosidase
inhibitors.
AB - New six- and seven-membered 1-N-iminosugars were prepared from d-glucose by the
stereoselective Michael addition of nitromethane to d-glucose derived alpha,beta
unsaturated ester A followed by one pot reduction of nitro/ester functionality
and subsequent amine protection to get N-Cbz protected aminol 6. Hydrolysis of
1,2-acetonide and reductive aminocyclization gave seven membered 1-N-iminosugar
5b. While, hydrolysis of 1,2-acetonide followed by NaIO(4) oxidative cleavage and
hydrogenation using 10% Pd(OH)(2)/C, H(2) gave six membered 1-N-iminosugar 4a;
the hydrogenation using 10% Pd/C-H(2) however, gave N-methyl substituted 1-N
iminosugar 4b. The hydrochloride salts of 4a/4b and 5b were found to be specific
alpha-galactosidase and moderate alpha-glucosidae inhibitors, respectively, in
micro molar range.
PMID- 21889351
TI - The Phe105 loop of Alix Bro1 domain plays a key role in HIV-1 release.
AB - Alix and cellular paralogs HD-PTP and Brox contain N-terminal Bro1 domains that
bind ESCRT-III CHMP4. In contrast to HD-PTP and Brox, expression of the Bro1
domain of Alix alleviates HIV-1 release defects that result from interrupted
access to ESCRT. In an attempt to elucidate this functional discrepancy, we
solved the crystal structures of the Bro1 domains of HD-PTP and Brox. They
revealed typical "boomerang" folds they share with the Bro1 Alix domain. However,
they each contain unique structural features that may be relevant to their
specific function(s). In particular, phenylalanine residue in position 105
(Phe105) of Alix belongs to a long loop that is unique to its Bro1 domain.
Concurrently, mutation of Phe105 and surrounding residues at the tip of the loop
compromise the function of Alix in HIV-1 budding without affecting its
interactions with Gag or CHMP4. These studies identify a new functional
determinant in the Bro1 domain of Alix.
PMID- 21889352
TI - Ligand-dependent perturbation of the conformational ensemble for the GPCR beta2
adrenergic receptor revealed by HDX.
AB - Mechanism of G protein-coupled receptor (GPCR) activation and their modulation by
functionally distinct ligands remains elusive. Using the technique of amide
hydrogen/deuterium exchange coupled with mass spectrometry, we examined the
ligand-induced changes in conformational states and stability within the beta-2
adrenergic receptor (beta(2)AR). Differential HDX reveals ligand-specific
alterations in the energy landscape of the receptor's conformational ensemble.
The inverse agonists timolol and carazolol were found to be most stabilizing even
compared with the antagonist alprenolol, notably in intracellular regions where G
proteins are proposed to bind, while the agonist isoproterenol induced the
largest degree of conformational mobility. The partial agonist clenbuterol
displayed conformational effects found in both the inverse agonists and the
agonist. This study highlights the regional plasticity of the receptor and
characterizes unique conformations spanning the entire receptor sequence
stabilized by functionally selective ligands, all of which differ from the
profile for the apo receptor.
PMID- 21889353
TI - Measurements of relative depth doses and Cerenkov light using a scintillating
fiber-optic dosimeter with Co-60 radiotherapy source.
AB - In this study, we fabricated a scintillating fiber-optic dosimeter, which
consists of an organic scintillator and a plastic optical fiber, for radiotherapy
dosimetry. To select an adequate kind and length of scintillator for gamma-rays
generated from a Co-60 source, scintillating light from various kinds and lengths
of organic scintillators is measured. Using a scintillating fiber-optic
dosimeter, the gamma-rays generated from a Co-60 therapy unit are measured and
relative doses are obtained according to the field size of the gamma-ray beam and
the depth in a water phantom. Also, Cerenkov light generated by the interactions
of primary or secondary electrons and the plastic optical fiber is measured with
different field sizes and depths of a water phantom using a background optical
fiber.
PMID- 21889354
TI - Neuroprotective actions of estradiol revisited.
AB - Results from animal experiments showing that estradiol is neuroprotective were
challenged 10 years ago by findings indicating an increased risk of dementia and
stroke in women over 65 years of age taking conjugated equine estrogens. Our
understanding of the complex signaling of estradiol in neural cells has recently
clarified the causes of this discrepancy. New data indicate that estradiol may
lose its neuroprotective activity or even increase neural damage, a situation
that depends on the duration of ovarian hormone deprivation and on age-associated
modifications in the levels of other molecules that modulate estradiol action.
These studies highlight the complex neuroprotective mechanisms of estradiol and
suggest a window of opportunity during which effective hormonal therapy could
promote brain function and cognition.
PMID- 21889357
TI - Autophagy and cytokines.
AB - Autophagy is a highly conserved homoeostatic mechanism for the lysosomal
degradation of cytosolic constituents, including long-lived macromolecules,
organelles and intracellular pathogens. Autophagosomes are formed in response to
a number of environmental stimuli, including amino acid deprivation, but also by
both host- and pathogen-derived molecules, including toll-like receptor ligands
and cytokines. In particular, IFN-gamma, TNF-alpha, IL-1, IL-2, IL-6 and TGF-beta
have been shown to induce autophagy, while IL-4, IL-10 and IL-13 are inhibitory.
Moreover, autophagy can itself regulate the production and secretion of
cytokines, including IL-1, IL-18, TNF-alpha, and Type I IFN. This review
discusses the potentially pivotal roles of autophagy in the regulation of
inflammation and the coordination of innate and adaptive immune responses.
PMID- 21889355
TI - Androgen receptor-driven chromatin looping in prostate cancer.
AB - The androgen receptor (AR) is important for prostate cancer development and
progression. Genome-wide mapping of AR binding sites in prostate cancer has found
that the majority of AR binding sites are located within non-promoter regions.
These distal AR binding regions regulate AR target genes (e.g. UBE2C) involved in
prostate cancer growth through chromatin looping. In addition to long-distance
gene regulation, looping has been shown to induce spatial proximity of two genes
otherwise located far away along the genomic sequence and the formation of double
strand DNA breaks, resulting in aberrant gene fusions (e.g. TMPRSS2-ERG) that
also contribute to prostate tumorigenesis. Elucidating the mechanisms of AR
driven chromatin looping will increase our understanding of prostate
carcinogenesis and may lead to the identification of new therapeutic targets.
PMID- 21889356
TI - FKBP51 and FKBP52 in signaling and disease.
AB - FKBP51 and FKBP52 are diverse regulators of steroid hormone receptor signaling,
including receptor maturation, hormone binding and nuclear translocation.
Although structurally similar, they are functionally divergent, which is largely
attributed to differences in the FK1 domain and the proline-rich loop. FKBP51 and
FKBP52 have emerged as likely contributors to a variety of hormone-dependent
diseases, including stress-related diseases, immune function, reproductive
functions and a variety of cancers. In addition, recent studies have implicated
FKBP51 and FKBP52 in Alzheimer's disease and other protein aggregation disorders.
This review summarizes our current understanding of FKBP51 and FKBP52
interactions within the receptor-chaperone complex, their contributions to health
and disease, and their potential as therapeutic targets for the treatment of
these diseases.
PMID- 21889358
TI - Lactobacilli and bifidobacteria induce differential interferon-beta profiles in
dendritic cells.
AB - The health promoting effects of probiotics are well-documented; however, current
knowledge on immunostimulatory effects is based on data from a single strain or a
limited selection of strains or species. Here, we compared the capacity of 27
lactobacilli and 16 bifidobacteria strains to stimulate bone marrow-derived
dendritic cells (DC). Most lactobacilli strains, including Lactobacillus
acidophilus, Lactobacillus gasseri, Lactobacillus casei and Lactobacillus
plantarum, induced strong IL-12 and TNF-alpha production and up-regulation of
maturation markers. In contrast, all bifidobacteria and certain lactobacilli
strains were low IL-12 and TNF-alpha inducers. IL-10 and IL-6 levels showed less
variation and no correlation with IL-12 and TNF-alpha. DC matured by strong IL-12
inducing strains also produced high levels of interferon (IFN)-beta. When
combining two strains, low IL-12 inducers inhibited this IFN-beta production as
well as IL-12 and Th1-skewing chemokines. The IFN-beta induction was mediated
through c-Jun N-terminal kinase (JNK) irrespective of the stimulating strain. The
inhibitory bacteria induced higher levels of the transcription factor c-Jun
dimerization protein (JDP)-2, thereby counteracting the effect of JNK. Our data
demonstrate that lactobacilli can be divided into two groups of bacteria
featuring contrasting effects, while all bifidobacteria exhibit uniform effects.
This underlines the importance of selecting the proper strain(s) for probiotic
purposes.
PMID- 21889359
TI - Sleep duration, sleep quality, and biomarkers of inflammation in a Taiwanese
population.
AB - PURPOSE: Short and long sleep duration and sleep quality are associated with
health including all-cause mortality, cardiovascular disease, diabetes, and
obesity. Inflammation may play a role in mediating these associations. METHODS:
We examined associations between inflammation and self-reported sleep
characteristics in 1020 respondents of the 2000 and 2006 Social Environment and
Biomarkers of Aging Study, a nationally representative survey of Taiwanese adults
ages 53 and over. Regression models were used to estimate cross-sectional
relationships between inflammation (interleukin-6, C-reactive protein,
fibrinogen, e-selectin, soluble intercellular adhesion molecule-1, albumin, and
white blood cell count) and a modified Pittsburgh Sleep Quality Index, index
subcomponents, and self-reported sleep duration. Change in inflammatory markers
between 2000 and 2006 was also used to predict long or short sleep duration in
2006. RESULTS: Inflammation was not related to the overall index of sleep
quality. However, longer sleep (>8 hr) was associated with higher levels of
inflammation. These associations remained after adjustment for waist
circumference, self-reported health decline, diabetes, arthritis/rheumatism,
heart disease, and depressive symptoms. Increases in inflammation between 2000
and 2006 were associated with long but not short sleep duration in 2006 for
several markers. CONCLUSIONS: Long sleep duration may be a marker of underlying
inflammatory illness in older populations. Future studies should explore whether
inflammation explains observed relationships between long sleep and mortality.
PMID- 21889360
TI - Moderating effects of interactions between dietary intake and socioeconomic
status on the prevalence of metabolic syndrome.
AB - PURPOSE: The purpose of this study is to examine how nutrients can affect the
relationship between the development of metabolic syndrome (MS) and socioeconomic
factors. METHODS: This study was based on data obtained from the 2005 Korea
National Health and Nutrition Examination Survey was conducted as a health survey
of nationally representative samples of non-institutionalized Korean. The final
sample was composed of 3146 people over 40 years of age. RESULTS: The
relationship between the prevalence of MS and socioeconomic factors was
associated with the consumption of nutrients. The slope of the graphs increased
sequentially from the 1st quintile to 5th quintile of nutrient consumption.
However, the directions of the 4th and 5th quintile were reversed from that of
the 1st, 2nd, and 3rd quintile in reference to the horizontal axis. That is, the
1st, 2nd, and 3rd quintiles indicate that higher household income was associated
with lower prevalence of MS. However, the plots for the 4th and 5th quintile
indicate that higher the household income was associated with higher the
prevalence of MS. This tendency was shown in all the models that yielded
statistically significant confirmation of moderating effects. CONCLUSIONS: The
association between the prevalence of MS and different socioeconomic status
varies according to the level of nutrient consumption.
PMID- 21889361
TI - Comparison of different analysis techniques for the determination of muscle onset
in individuals with patellofemoral pain syndrome.
AB - To understand patellofemoral pain syndrome (PFPS), recent studies have focused on
assessing the onset in the vastus medialis and vastus lateralis to determine
whether there is a delay between these muscles' activation. However, the results
of these studies are not in agreement, as some research shows that there is a
delay in the VMO, while others do not show delay. It has been suggested that this
discrepancies may be due to differences in the signal processing and analysis.
For this reason, this study aimed to compare the three techniques used for onset
determination - automatic detection, visual inspection and cross-correlation -
and to verify whether these methods are able to detect PFPS. The surface
electromyography evaluation procedure was conducted in 22 pain-free control
individuals and 11 with PFPS diagnoses, during a stair climbing. The standard
error of measurement (SEM) showed that cross-correlation presents the lower
variation (2.56/3.27, control/PFPS) in relation to visual (3.77/10.19,
control/PFPS) and automatic detection (43.23/51.98, control/PFPS, respectively).
But when using the cross-correlation technique, we were not able to distinguish
the groups (-6.56/-9.74ms, control/PFPS, p=0.15). Therefore, use of muscle onset
may not be the best way to distinguish individuals with PFPS.
PMID- 21889362
TI - Altered activity of the serratus anterior during unilateral arm elevation in
patients with cervical disorders.
AB - Altered activity in the axioscapular muscles is considered to be an important
feature in patients with neck pain. The activity of the serratus anterior (SA)
and trapezius muscles during arm elevation has not been investigated in these
patients. The objectives of this study was to investigate whether there is a
pattern of altered activity in the SA and trapezius in patients with insidious
onset neck pain (IONP) (n=22) and whiplash associated disorders (WAD) (n=27). An
asymptomatic group was selected for baseline measurements (n=23). Surface
electromyography was used to measure the onset of muscle activation and duration
of muscle activity of the SA as well as the upper, middle, and lower trapezius
during unilateral arm elevation in the three subject groups. Both arms were
tested. With no interaction, the main effect for the onset of muscle activation
and duration of muscle activity for serratus anterior was statistically
significant among the groups. Post hoc comparison revealed a significantly
delayed onset of muscle activation and less duration of muscle activity in the
IONP group, and in the WAD group compared to the asymptomatic group. There were
no group main effects or interaction effects for upper, middle and lower
trapezius. This finding may have implications for scapular stability in these
patients because the altered activity in the SA may reflect inconsistent or
poorly coordinated muscle activation that may reduce the quality of neuromuscular
performance and induce an increased load on the cervical and the thoracic spine.
PMID- 21889363
TI - sEMG activity of masticatory, neck, and trunk muscles during the treatment of
scoliosis with functional braces. A longitudinal controlled study.
AB - BACKGROUND: Studies on the relationship between occlusal problems and the spine
are of increasing interest. In this study, we monitored the sEMG activity of
masticatory, neck, and trunk muscles during the treatment of scoliosis in young
patients, and compared the data with a control of untreated group. SUBJECTS AND
METHODS: Twelve white Caucasian patients (nine males and three females; mean age
of 8.0+/-1.5 years) with scoliosis and Class I occlusion (without crowding) were
included in this study (study group). Fifteen healthy subjects (nine males and
six females; mean age of 9.5+/-0.8 years) were recruited as control group. The
subjects were visited before they underwent the treatment of scoliosis, as well
as after 3 (T1) and 6 months (T2) of their treatment for scoliosis. The patients
were instructed to wear the device during sleep and during the day, according to
the protocol given by their orthopedic. RESULTS: The treated group showed
statistically significant changes in the sEMG activity of masticatory, neck, and
trunk muscles, both at rest and during MVC of the mandible with respect to T0.
The masseter and the anterior temporalis showed a significant improvement in the
asymmetry index from T0 to T2. On the other hand, subjects in the control group
did not register much change. CONCLUSION: Our findings suggest that the use of a
functional device for the treatment of scoliosis induces a significant reduction
in the asymmetry index of the trunk muscles, as well as a significant increase in
the contractility of masticatory muscles.
PMID- 21889364
TI - Evaluation of tricuspid and pulmonary valves using epicardial and transesophageal
echocardiography--a comparative study.
AB - OBJECTIVE: To compare measurements obtained by transesophageal echocardiography
(TEE) and epicardial echocardiography (EE) for evaluation of the tricuspid valve
(TV) and pulmonary valve (PV). DESIGN: Prospective observational. SETTING:
University hospital. PARTICIPANTS: Patients undergoing elective coronary artery
bypass grafting with or without aortic valve replacement. INTERVENTIONS: After
routine intraoperative TEE, EE was performed to compare measurements obtained by
the 2 methods. MEASUREMENTS AND MAIN RESULTS: After institutional review board
approval, 25 patients >18 years old were recruited. Biases with EE versus TEE for
E and A waves were 11.9 cm/second (95% confidence interval [CI], 48.2 to -24.4)
and 6.8 cm/second (95% CI, 28 to -15), respectively, and for E/A ratio was 0.08
(95% CI, 1.2 to -1). Pulmonary velocity bias was 57.94 cm/second (95% CI, 192.9
to -76.98), with higher values using EE. Bias for pulmonary trunk diameter was
0.31 cm (95% CI, 1.5 to -2.1). For quality of images, means were 2.4 (standard
deviation [SD], 1.0) for EE and 2.3 (SD, 0.57) with TEE for TV and 2.4 (SD, 1.0)
with EE and 2.5 (SD, 1.0) with TEE for PV. For the number of leaflets visualized,
means were 2.2 (SD, 1.0) with EE and 2.5 (SD, 0.5) with TEE for TV and 2.5 (SD,
0.5) for EE and 1.3 (SD, 1.1) with TEE for PV. CONCLUSIONS: There was good
agreement for Doppler measurements across TVs; however, measurements across PVs
were significantly higher with EE versus TEE. TV Doppler measurements were
difficult to acquire even for surgeons experienced in epiaortic scanning.
PMID- 21889365
TI - Pilot implementation of a perioperative protocol to guide operating room-to
intensive care unit patient handoffs.
AB - OBJECTIVES: Perioperative handoffs are a particularly high-risk period given
patients' postprocedural physiology, their physical transport through the
hospital, and the triad transfer of personnel, information, and technology. The
authors piloted a new perioperative handoff process to guide patient transfers
from the cardiac operating room (OR) to the cardiac surgical intensive care unit
(CSICU). The aim of the study was to evaluate the impact of a standardized
handoff process on patient care and provider satisfaction. DESIGN: A prospective,
unblinded intervention study. SETTING: A CSICU in a teaching hospital.
PARTICIPANTS: Two hundred thirty-eight health care practitioners during the
transfer of care of 60 patients. INTERVENTIONS: The implementation of a
standardized handoff protocol and checklist. MEASUREMENTS AND MAIN RESULTS: After
the protocol's implementation, the presence of all handoff core team members at
the bedside increased from 0% at baseline to 68% after intervention. The
percentage of missed information in the surgery report decreased from 26% to 16%
(p = 0.03), but the percentage of missed information in the anesthesia report
showed no significant change (19% to 17%, p > 0.05). Handoff satisfaction scores
among intensive care unit (ICU) nurses increased from 61% to 81%. On average, the
duration of handoff increased by 1 minute. CONCLUSIONS: A standardized handoff
protocol that guides the transfer of care from the OR team to the CSICU team can
reduce the risk of missed information and improve satisfaction among
perioperative providers.
PMID- 21889366
TI - The effectiveness of therapeutic exercise for painful shoulder conditions: a meta
analysis.
AB - BACKGROUND: Shoulder pain is the third leading musculoskeletal complaint seen by
general practitioners. Physical therapy is often the first line of intervention
in this population; however, there is limited description of what constitutes
effective physical therapy treatment. No study has examined the effectiveness of
therapeutic exercise across all painful shoulder conditions. Our purpose was to
examine the effectiveness of therapeutic exercise as an intervention across all
pathoanatomic mechanisms of shoulder pain in terms of range of motion (ROM),
pain, and function. METHODS: Medline via Ovid, CINAHL (Cumulative Index to
Nursing and Allied Health Literature), and the Cochrane Central Register of
Controlled Trials were searched from 1997 through March 2011. Randomized
controlled trials comparing physical therapist-prescribed exercises against any
other type of intervention were included. Articles were qualitatively evaluated
by use of the Physiotherapy Evidence Database scale by 5 separate reviewers. Data
from included studies were extracted and synthesized with respect to the primary
outcomes of ROM, pain, and function. Individual effect sizes were calculated with
a standard formula, and overall effect was calculated by use of random- and fixed
effects models. RESULTS: We qualitatively reviewed 19 articles; 17 achieved the
criterion of 6 or better on the Physiotherapy Evidence Database scale.
Significant heterogeneity in reporting among included studies limited
quantitative assessment. Overall, therapeutic exercise has a positive effect on
pain and function above all other interventions. The findings for ROM were
inconclusive. CONCLUSION: Therapeutic exercise is an effective intervention for
the treatment of painful shoulder conditions; however, subsequent research is
necessary for translation into clinical practice.
PMID- 21889367
TI - Small interfering RNA targeting heat shock protein 70 enhances chemosensitivity
in human bladder cancer cells.
AB - OBJECTIVES: To evaluate the expression levels of heat shock protein 70 (HSP70) in
human urothelial cancer of the bladder and to assess the therapeutic effects of
treatment with small interfering RNA (siRNA) targeting HSP70 on human bladder
cancer KoTCC-1 cells. MATERIALS AND METHODS: HSP70 expression in bladder cancer
specimens obtained from 235 patients were evaluated by immunohistochemical
staining. We then analyzed changes in the growth and chemosensitivity of KoTCC-1
cells following treatment with HSP70 siRNA. RESULTS: Expression levels of HSP70
protein in bladder cancer specimens were significantly related to major
prognostic indicators, including pathologic stage and tumor grade. Treatment of
KoTCC-1 with HSP70 siRNA resulted in a dose-dependent inhibition of HSP70
expression. HSP70 siRNA significantly inhibited the growth of KoTCC-1 compared
with that after treatment with scrambled control siRNA. Among several
chemotherapeutic agents, the most powerful synergistic cytotoxic effect was
observed when KoTCC-1 was treated with gemcitabine plus HSP70 siRNA, which
induced more than 50% reduction in the IC50 of gemcitabine. Furthermore, a
significant increase in the subG0-G1 fraction of KoTCC-1 and the DNA
fragmentation was observed only after combined treatment with HSP70 siRNA and
sublethal doses of gemcitabine, but not after treatment with either agent alone.
Similarly, caspase-3 and caspase-9, but not caspase-8, in KoTCC-1 were
synergistically activated by combined treatment with gemcitabine and HSP70 siRNA.
CONCLUSIONS: Silencing of HSP70 expression using siRNA could be an attractive
therapeutic strategy for bladder cancer by inducing inhibition of tumor growth as
well as enhancing chemosensitivity.
PMID- 21889368
TI - Age >= 80 years is independently associated with survival outcomes after radical
cystectomy: results from the Canadian Bladder Cancer Network Database.
AB - OBJECTIVES: The role of advanced age as an independent prognostic factor for
clinical outcomes after radical cystectomy is controversial. The objective of the
current study was to assess the associations between age and clinical outcomes in
a large, multi-institutional series of patients treated with radical cystectomy
for bladder cancer. MATERIALS AND METHODS: Institutional radical cystectomy
databases containing detailed information on bladder cancer patients treated
between 1993 and 2008 were obtained from 8 academic centers in Canada. Data were
collected on 2,287 patients and combined into a relational database formatted
with patient characteristics, pathologic characteristics, recurrence status, and
survival status. Patient age was coded as <60 years, 60-69 years, 70-79 years, or
>= 80 years. Clinical outcomes were 30-day mortality, 90-day mortality, overall
survival (OS), disease-specific survival (DSS), and recurrence-free survival
(RFS). Logistic regression and Cox proportional hazards regression analysis were
used to analyze survival data. RESULTS: Five hundred fifty-seven (24.6%), 679
(30.0%), 846 (37.4%), and 181 (8.0%) patients were <60 years, 60-69 years, 70-79
years, and >= 80 years, respectively. Increased age was associated with decreased
utilization rates of neoadjuvant chemotherapy (P = 0.0143), adjuvant chemotherapy
(P < 0.0001), and continent urinary diversion (P < 0.0001) as well as advanced
pathologic tumor stage (P = 0.0003), increased positive surgical margins (P <
0.0001), and lymphovascular invasion (P = 0.0335). Compared with patients < 60
years, multivariate regression analysis showed that age >= 80 years was
independently associated with 90-day mortality (OR 2.98, 95% CI 1.22-7.30), OS
(HR 2.03, 95% CI 1.51-2.75), DSS (HR 1.56, 95% CI 1.09-2.24), and RFS (HR 2.06,
95% CI 1.57-2.70). CONCLUSIONS: Age >= 80 years at the time of radical cystectomy
was independently associated with adverse survival outcomes. These data suggest
that increased chronologic age should be considered in clinical trial design and
in nomograms predicting survival.
PMID- 21889369
TI - Multicentric retrospective study of endovascular treatment for restenosis after
open carotid surgery.
AB - OBJECTIVES: To analyse perioperative and midterm outcomes of carotid artery
stenting (CAS) for symptomatic >50% and asymptomatic >70% restenosis after open
carotid surgery (OCS). DESIGN: A multicentric retrospective study. METHODS:
Outcome measures 30-day death, neurologic and anatomic (thrombosis, restenosis)
events. Univariant and multivariant logistic regression analyses were performed
to identify predictive factors for neurologic and anatomic events. RESULTS: A
total of 249 patients with a mean age of 69 years (range, 45-88) were treated for
asymptomatic (86%) or symptomatic (14%) restenosis. The 30-day combined operative
mortality and stroke morbidity was 2.8% in asymptomatic patients and 2.9% in
symptomatic patients. Events during follow-up (mean duration, 29 months) included
stroke in four cases, TIA in two, stent thrombosis in four and restenosis in 21.
Kaplan-Meier estimates of overall survival, neurologic-event-free survival,
anatomic-event-free survival and reintervention-free survival were 95.4%, 94.7%,
96.7% and 99.5%, respectively, at 1 year and 80.3%, 93.8%, 85.1% and 96%,
respectively, at 4 years. Multivariant analysis showed that statin use was
correlated with a lower risk of anatomic events (odds ratio (OR) = 0.15 (95%
confidence interval (CI) 0.03-0.68), p = 0.01) and that bypass was associated
with a higher risk of anatomic events than endarterectomy (OR = 5.0 (95% CI 1.6
16.6), p = 0.009). CONCLUSION: CAS is a feasible therapeutic alternative to OCS
for carotid restenosis with acceptable risks in the perioperative period.
Restenosis rate may be higher in patients treated after bypass.
PMID- 21889370
TI - Impact of aortic grafts on arterial pressure: a computational fluid dynamics
study.
AB - OBJECTIVE: Vascular prostheses currently used in vascular surgery do not have the
same mechanical properties as human arteries. This computational study analyses
the mechanisms by which grafts, placed in the ascending aorta (proximal) and
descending aorta (distal), affect arterial blood pressure. METHODS: A one
dimensional cardiovascular model was developed and adapted to include the graft
geometry with in vitro measured mechanical properties. Pressure at the aortic
root and haemodynamic parameters were computed and compared for a control,
proximal and distal graft case. RESULTS: In comparison to the control case, the
proximal graft increased characteristic impedance by 58% versus only 1% change
for the distal graft. The proximal and distal graft increased pulse pressure by
21% and 10%, respectively. CONCLUSIONS: The mechanisms underlying pulse pressure
increase are different for proximal and distal grafts. For the proximal graft,
the primary reason for pulse pressure rise is augmentation of the forward wave,
resulting from characteristic impedance increase. For the distal graft, the pulse
pressure rise is associated with augmented wave reflections resulting from
compliance mismatch. Overall, the proximal aortic graft resulted in greater
haemodynamic alterations than the distal graft. Thus, it is likely that patients
who receive ascending aorta grafts are more prone to systolic hypertension and
therefore deserve closer blood pressure monitoring.
PMID- 21889371
TI - Infected Viabahn stent graft in the superficial femoral artery.
AB - We present a case of an infected stent graft in the superficial femoral artery
(SFA). A 67-year-old woman underwent excision of an infected Viabahn stent graft.
At exploration there was no apparent artery around the majority of the stent
graft, suggesting that the SFA had been autolysed. Infected stents and stent
grafts are rare in the SFA position. The risk of infection is likely minimised
with standard treatments including drainage of infection prior to stent graft
placement and periprocedural antibiotic administration. Successful management
will, in most cases, require excision of the stent graft and adjunctive arterial
reconstruction, as necessary.
PMID- 21889373
TI - Thoughts on the psychological component of TMJ/TMD syndrome.
PMID- 21889374
TI - Gaucher disease type 2: homozygosity for the mutation F331S in two unrelated
consanguineous Muslim Arab patients with Gaucher disease from the Gaza and Jenin
regions.
PMID- 21889375
TI - Does abnormal non-rapid eye movement sleep impair declarative memory
consolidation?: Disturbed thalamic functions in sleep and memory processing.
AB - Non-rapid eye movement (NREM) sleep has recently garnered support for its role in
consolidating hippocampus-based declarative memories in humans. We provide a
brief review of the latest research on NREM sleep activity and its association
with declarative memory consolidation. Utilizing empirical findings from sleep
studies on schizophrenia, Alzheimer's disease, and fibromyalgia, we argue that a
significant reduction of slow-wave sleep and sleep spindle activity contribute to
the development of deficits in declarative memory consolidation along with
concomitant sleep disturbances commonly experienced in the aforementioned
disorders. A tentative model is introduced to describe the mediating role of the
thalamocortical network in disruptions of both declarative memory consolidation
and NREM sleep. The hope is to stimulate new research in further investigating
the intimate link between these two very important functions.
PMID- 21889376
TI - Rotor-synchronized dipolar-filter sequence at fast MAS in solid-state NMR.
AB - Dipolar filters are of considerable importance for eliminating the (1)H NMR
signal of the rigid components of heterogeneous compounds while selecting the
signal of their mobile parts. On the basis of such filters, structural and
dynamical information of these compounds can often be acquired through further
manipulations (e.g. spin diffusion) on the spin systems. To overcome the
destructive interferences between the magic angle spinning (MAS) speed and the
cycle-time of the widely-used Rotor-Asynchronized Dipolar Filter (RADF) sequence,
we introduce a new method called Rotor-Synchronized Dipolar Filter (RSDF). This
communication shows that this sequence does not present any interference with the
spinning speed and is more compatible than RADF with high MAS frequencies
(nu(R)>12 kHz). This new pulse sequence will potentially contribute to future
researches on heterogeneous materials, such as multiphase polymer and membrane
systems.
PMID- 21889377
TI - The principle of reciprocity.
AB - The circumstances surrounding the realisation that NMR signal reception could be
quantified in a simple fundamental manner using Lorentz's Principle of
Reciprocity are described. The poor signal-to-noise ratio of the first European
superconducting magnet is identified as a major motivating factor, together with
the author's need to understand phenomena at a basic level. A summary is then
given of the thought processes leading to the very simple pseudo-static formula
that has been the basis of signal-to-noise calculations for over a generation.
PMID- 21889378
TI - Optimising time-varying gradient orientation for microstructure sensitivity in
diffusion-weighted MR.
AB - Here we investigate whether varying the diffusion-gradient orientation during a
general waveform single pulsed-field gradient sequence improves sensitivity to
the size of coherently oriented pores over having a fixed orientation. The
experiment optimises the shape and the orientation of the gradient waveform in
each of a set of measurements to minimise the expected variance of estimates of
the parameters of a simple model. A key application motivating the work is
measuring the size of axons in white matter. Thus, we use a two compartment white
matter model with impermeable, single-radius cylinders, and search for waveforms
that maximise the sensitivity to axon radius, intra-cellular volume fraction and
diffusion constants. Output of the optimisation suggests the only benefit of
allowing the gradient orientation to vary in the plane perpendicular to the
cylinders is that we can gain perpendicular gradient strength by maximising two
orthogonal gradients simultaneously. This suggests that varying orientation in
itself does not increase the sensitivity to model parameters. On the other hand,
the variation in a plane containing the parallel direction increases the
sensitivity significantly because parallel sensitivity improves the diffusion
constant estimates. However, we also find that similar improvement in the
estimates can be achieved without optimising the orientation, but by having one
measurement in the parallel and the rest in the perpendicular direction. The
optimisation searches a very large space where it cannot hope to find the global
minimum so we cannot make a categorical conclusion. However, given the
consistency of the results in multiple reruns and variations of the experiments
reported here, we can suggest that for probing coherently oriented systems, pulse
sequences with variable orientation, such as double-wave vector sequences, do not
offer more advantage than fixed orientation sequences with optimised shape. The
advantage of varying orientation is however likely to emerge for more complex
systems with dispersed pore orientation.
PMID- 21889379
TI - Non-uniformity correction of human brain imaging at high field by RF field
mapping of B1+ and B1-.
AB - A new method of non-uniform image correction is proposed. Image non-uniformity is
originated from the spatial distribution of RF transmission and reception fields,
represented as B(1)(+) and B(1)(-), respectively. In our method, B(1)(+) mapping
was performed invivo by a phase method. In B(1)(-) mapping, images with multiple
TEs were acquired with a multi-echo adiabatic spin echo (MASE) sequence which
enables homogeneous excitation. By T(2) fitting of these images an M(0) map
(M(0)(MASE)) was obtained, in which signal intensity was expressed as the product
of B(1)(-) and M0(1-e-(TR/T1)) . The ratio of this M(0)(MASE) map to the B(1)(+)
map showed a similar spatial pattern in different human brains. These ratios of
M(0)(MASE) to B(1)(+) in 24 subjects were averaged and then fitted with a
spatially polynomial function to obtain a ratio map of B(1)(-)/B(1)(+)(alpha).
Uniform image was achieved in spin echo (SE), MASE and inversion recovery
turboFLASH (IRTF) images using measured B(1)(+) and calculated B(1)(-) by
alphaB(1)(+). Water fractions in gray and white matters obtained from the M(0)
images corrected by this method were in good agreement with previously reported
values. From these experimental results, the proposed method of non-uniformity
correction is validated at 4.7 T imaging.
PMID- 21889380
TI - Recoupling in solid state NMR using gamma prepared states and phase matching.
AB - The paper describes two-dimensional solid state NMR experiments that use powdered
dephased antiphase coherence (gamma preparation) to encode chemical shifts in the
indirect dimension. Both components of this chemical shift encoded gamma-prepared
states can be refocused into inphase coherence by a recoupling element. This
helps to achieve sensitivity enhancement in 2D NMR experiments by quadrature
detection. The powder dependence of the gamma-prepared states allows for
manipulating them by suitable insertion of delays in the recoupling periods. This
helps to design experiments that suppress diagonal peaks in 2D spectra, leading
to improved resolution. We describe some new phase modulated heteronuclear and
homonuclear recoupling pulse sequences that simplify the implementation of the
described experiments based on gamma prepared states. Recoupling in the
heteronuclear spin system is achieved by matching the difference in the amplitude
of the sine/cosine modulated phase on the two rf-channels to the spinning
frequency while maintaining the same power on the two rf-channels.
PMID- 21889381
TI - Site-specific phi- and psi-torsion angle determination in a uniformly/extensively
13C- and 15N-labeled peptide.
AB - A solid-state rotational-echo double resonance (REDOR) NMR method was introduced
to identify the phi- and psi-torsion angle from a (1)H-(15)N or (1)H-(13)C' spin
system of alanine-like residues in a selectively, uniformly, or extensively (15)N
/(13)C-labeled peptide. When a C(alpha)(i) or a (15)N peak is site-specifically
obtainable in the NMR spectrum of a uniformly (15)N/(13)C-labeled sample system,
the psi- or phi-torsion angle specified by the conformational structure of
peptide geometry involving (15)N(i)-(1)H(alpha)i-(15)N(i+1) or (13)C'(i-1)
(1)H(N)i-(13)C'(i) spin system can be identified based on (13)C(alpha)- or (15)N
detected (1)H(alpha)-(15)N or (1)H(N)-(13)C REDOR experiment. This method will
conveniently be utilized to identify major secondary motifs, such as alpha-helix,
beta-sheet, and beta-turn, from a uniformly (15)N-/(13)C-labled peptide sample
system. When tested on a (13)C-/(15)N-labeled model system of a three amino acid
peptide Gly-[U-(13)C, (15)N]Ala-[U-(13)C, (15)N]Leu, the psi-angle of alanine
obtained experimentally, psi = -40 +/- 30 degrees , agreed reasonably well with
the X-ray determined angle, psi = -39 degrees .
PMID- 21889382
TI - Recollections of REDOR.
AB - Rotational-echo, double-resonance NMR (REDOR) is an experiment designed to
measure heteronuclear dipolar couplings in solids and is most often used to
obtain structural details in solids. A brief history of its inception and
development is presented.
PMID- 21889383
TI - Platelet satellitism and dual surface immunoglobulin light-chain expression in
circulating splenic marginal zone lymphoma cells.
AB - Platelet satellitism is believed to be an in vitro phenomenon induced at room
temperature in ethylenediamine tetraacetic acid-anticoagulated blood. Most
reports involve neutrophils; involvement with circulating lymphoma cells are
exceedingly rare. Normally, mature B cells exhibit allelic exclusion in which a
single class of surface immunoglobulin light chains (either kappa or lambda) is
expressed. The simultaneous expression of both kappa and lambda immunoglobulin
light chains is rare. Herein, we report the unusual case of a patient with
splenic marginal zone lymphoma in which circulating lymphoma cells express dual
surface immunoglobulin light chains and exhibit platelet satellitism. In addition
to clinical findings, a comprehensive analysis of the peripheral blood including
correlated light and electron microscopy as well as flow cytometry are described.
PMID- 21889384
TI - Therapeutic approaches to bone pathology in Gaucher disease: past, present and
future.
AB - Enzyme replacement therapy (ERT) is effective for the treatment of the systemic
manifestations of Gaucher disease (GD) and can have a significant impact on
skeletal manifestations. Bone involvement is broad and can occur in otherwise
clinically asymptomatic individuals. The heterogeneity in GD-related bone disease
may implicate multiple pathological processes such as disruption of coordinated
bone cell activity, in addition to the physical impact of Gaucher cells causing
vascular occlusion. Accumulated data suggests that earlier treatment initiation
decreases skeletal complications and that bone disease may require a longer
duration of treatment and higher dose than is necessary for organ involvement and
hematopoietic manifestations. However, in some patients, bone manifestations
persist and even worsen despite ERT, regardless of dose or duration of treatment.
Treating skeletal disease should be considered of equal importance as treating
visceral and hematologic manifestations. When treatment decisions involve
multiple enzyme preparations and other therapeutic modalities such small
molecules, the choice should be tailored on an individual basis with continuing
evaluation.
PMID- 21889385
TI - Atypical immunologic response in a patient with CRIM-negative Pompe disease.
AB - We report the clinical course of a patient with severe infantile onset Pompe
disease [cross-reactive immunologic material (CRIM) negative, R854X/R854X] who
was diagnosed prenatally and received standard dosing of alglucosidase alfa
(Myozyme(r)) enzyme replacement therapy (ERT) from day 10 of life until she
passed away at the age of 3 years 9 months. In the immediate neonatal period
there was cardiomegaly on chest X-ray, cardiac hypertrophy by echocardiogram, and
development of a wide complex tachycardia. CRIM negative (CN) status was
suspected based on her family history, and the available data at the time
indicated that CN patients had limited survival even with ERT. However, given the
opportunity for very early treatment, the treating provider and family elected to
initiate treatment with ERT, without immune modulation. By 9 months of age
echocardiogram was normal. Early motor development was within normal limits but
by 2 years of age her developmental progress had slowed. She seroconverted by the
4th month of ERT, and anti-rhGAA antibody titers peaked at 25,600 in the 27th
month. Immunomodulatory therapy was considered but declined by family. She
acquired Influenza A at 2 years 6 months, which led to a prolonged
hospitalization with invasive respiratory support, and placement of tracheostomy
and gastrostomy tube. Her developmental progress ceased, and she died suddenly at
home from a presumed cardiac event at age 3 years 9 months. The poor outcomes
observed in CN patients have been attributed to the development of high sustained
antibody titers. Although this CN patient's anti-rhGAA response was elevated and
sustained, it is unlike any of the 3 patterns that have been previously
described: high titer CN, high titer CRIM positive (HTCP), and low titer CP
(LTCP) patients. This patient's clinical course, with achievement of 24 months of
motor gains, 30 months of ventilator-free survival and 45 month survival, is like
that of only a fraction of ERT treated CN patients, yet it is identical to other
reported CN patients in its relentless progression and early fatality. The
immunologic response (moderate sustained antibody titers) described here has not
been previously reported and may have played a role in the overall pattern of
developmental decline. In light of proposed universal newborn screening for Pompe
disease, there is an urgent need for improved understanding of the interplay
between immunologic responses to the only available treatment, ERT, and the
relentless nature of this disease in CN patients.
PMID- 21889386
TI - Clinical outcome following pneumonectomy for management of chronic pyothorax in
four cats.
AB - Pneumonectomy is the resection of all lung lobes from one side of the thorax. The
clinical findings, treatment and outcome of four cases of feline chronic
pyothorax managed with exploratory thoracotomy and pneumonectomy are reported.
All cases were initially medically managed with thoracic drain placement and
antibiosis. However, resolution was not achieved with medical therapy and
diagnostic imaging findings consistent with an area of abscessation or marked
lung lobe consolidation were identified, supporting a decision for surgical
management. Surgical exploration was performed via median sternotomy and, on the
basis of gross inspection, non-functional lung was removed. A left-sided
pneumonectomy was performed in three cats and a right-sided pneumonectomy in one.
All cases survived to discharge and an excellent quality of life was reported on
long-term follow-up. Pneumonectomy appears to be well tolerated in the cat.
PMID- 21889387
TI - [Patient identification errors].
PMID- 21889388
TI - Telemedicine and diabetes: achievements and prospects.
AB - Health authorities currently have high expectations for telemedicine (TM), as it
addresses several major challenges: to improve access to healthcare (especially
for patients in underserved or remote areas); to overcome the scarcity of
specialists faced with epidemic disease; and to reduce the costs of healthcare
while improving quality. The aims of TM in the field of diabetes differ according
to the type of diabetes. In type 1 diabetes (T1DM) associated with complex
insulin regimens, the goal of TM is to help patients achieve better control of
their blood glucose levels through accurate adjustment of insulin doses. In type
2 diabetes (T2DM), while therapeutic adjustments may be necessary, improvement in
blood glucose control is based primarily on behavioural changes (reduced calorie
and carbohydrate intakes, increased physical activity). Many TM studies focusing
on management of blood glucose levels have been published, but most failed to
demonstrate any superiority of TM vs traditional care. While previously published
meta-analyses have shown a slight advantage at best for TM, these meta-analyses
included a mix of studies of varying durations and different populations (both
T1DM and T2DM patients, adults and children), and tested systems of inconsistent
quality. Studies published to date on TM suggest two currently promising
approaches. First, handheld communicating devices, such as smartphones, loaded
with software to apply physicians' prescriptions, have been shown to improve
glycaemic control. These systems provide immediate assistance to the patient
(such as insulin-dose calculation and food choice optimization at meals), and all
data stored in the smartphone can be transmitted to authorized caregivers,
enabling remote monitoring and even teleconsultation. These systems, initially
developed for T1DM, appear to offer many possibilities for T2DM, too. Second,
systems combining an interactive Internet system (or a mobile phone coupled to a
remote server) with a system of communication between the healthcare provider and
the patient by e-mail, texting or phone calls have also shown certain benefits
for glycaemic control. These systems, primarily aimed at T2DM patients, generally
provide motivational support as well. Although the individual benefits of these
systems for glycaemic control are fewer than with smartphones, their widespread
use should be of particular value for overcoming the relative shortage of doctors
and reducing the health costs associated with a disease of such epidemic
proportions.
PMID- 21889389
TI - Fractal and nonlinear changes in the long-term baseline fluctuations of fetal
heart rate.
AB - The interpretation of heart rate patterns obtained by fetal monitoring relies on
the definition of a baseline, which is considered as the running average heart
rate in the absence of external stimuli during periods of fetal rest. We present
a study along gestation of the baseline's fluctuations, in relation to fractal
and nonlinear properties, to assess these fluctuations according with time
varying attracting levels introduced by maturing regulatory mechanisms. A low
risk pregnancy was studied weekly from the 17th to 38th week of gestation during
long-term recording sessions at night (>6 h). Fetal averaged pulse rate samples
and corresponding baseline series were obtained from raw abdominal ECG ambulatory
data. The fractal properties of these series were evaluated by applying detrended
fluctuation analysis. The baseline series were also explored to evaluate
nonlinear properties and time ordering by applying the scaling magnitude and sign
analyses. Our main findings are that the baseline shows fractal and even
nonlinear anticorrelated fluctuations. This condition was specially the case
before mid-gestation, as revealed by alpha values near to unit, yet becoming
significantly more complex after 30 weeks of gestation as indicated by alpha(mag)
values >0.5. The structured (i.e. not random) fluctuations and particular
nonlinear changes that we found thus suggest that the baseline provides on itself
information concerning the functional integration of cardiac regulatory
mechanisms.
PMID- 21889390
TI - Associations between availability of facilities within three different
neighbourhood buffer sizes and objectively assessed physical activity in
adolescents.
AB - This study aims to explore whether objectively measured availability of parks and
sports facilities within three different buffer sizes are associated with
moderate-to-vigorous physical activity (MVPA) among adolescents, and to identify
potential cognitive mediators of this association. Data were obtained from
adolescents (N=209, mean age: 14.5 (SD: 0.6) years) at the follow-up measurement
of the Children Living in Active Neighbourhoods study in 2004. MVPA was measured
using accelerometers. Availability of parks and sport facilities were measured
within 400, 800 and 2000 m buffers around participants' residential homes.
Potential mediators (self-efficacy, attitude and the perceived availability of
parks and sports grounds) were measured by self-administered questionnaires. No
direct association was found between the objectively measured availability of
facilities and objective assessments of MVPA and no evidence for mediation by
cognitions was found in any of the buffer sizes. More specific and sensitive
measures may be needed to understand environmental correlates of MVPA.
PMID- 21889391
TI - A unified framework for inhibitory control.
AB - Inhibiting unwanted thoughts, actions and emotions figures centrally in daily
life, and the prefrontal cortex (PFC) is widely viewed as a source of this
inhibitory control. We argue that the function of the PFC is best understood in
terms of representing and actively maintaining abstract information, such as
goals, which produces two types of inhibitory effects on other brain regions.
Inhibition of some subcortical regions takes a directed global form, with
prefrontal regions providing contextual information relevant to when to inhibit
all processing in a region. Inhibition within neocortical (and some subcortical)
regions takes an indirect competitive form, with prefrontal regions providing
excitation of goal-relevant options. These distinctions are crucial for
understanding the mechanisms of inhibition and how they can be impaired or
improved.
PMID- 21889393
TI - A comparative study on the aggregate formation of two oxazine dyes in aqueous and
aqueous urea solutions.
AB - The visible absorption spectra of two oxazine dyes, brilliant cresyl blue and
nile blue, in aqueous and aqueous urea solutions (0.01-1 M) were studied as a
function of the dye concentration. Due to structural similarity of the
investigated dyes, their spectral features were compared. The dimerization
behavior of the dyes in water with or without urea was analyzed in terms of
monomer-dimer equilibrium. The nature and structure of the interacting pairs for
these dyes were discussed using the exciton theory. The dimerization constant
values of the dyes in aqueous and aqueous urea solutions were calculated. For
both the dyes in aqueous urea solutions, a reduction in dimer formation was
observed. The excitonic parameters were compared with those of oxazine-1, which
is structurally similar to the investigated dyes.
PMID- 21889392
TI - Serum biomarkers as potential predictors of antitumor activity of cetuximab
containing therapy for locally advanced head and neck cancer.
AB - We sought to identify biomarkers of antitumor activity in patients with locally
advanced head and neck cancer treated with therapy containing cetuximab, an
epidermal growth factor receptor (EGFR) inhibitor. Patients with stage III-IVB
head and neck cancer received cisplatin, docetaxel, and cetuximab (TPE) followed
by radiotherapy, cisplatin, and cetuximab (XPE) and maintenance cetuximab in a
phase II clinical trial. Serum and tissue biomarkers were examined for treatment
related changes and for association with clinical outcomes. Concentrations of 31
cytokines, chemokines and growth factors were measured before and after 3 cycles
(9 weeks) of induction TPE using multi-analyte immunobead-based profiling
(Luminex Corp., Austin, TX), with selected analytes validated by a single analyte
enzyme-linked immunosorbent assay. Tumor biomarkers included phosphorylated
signal transducer and activator of transcription-3 (pSTAT3), EGFR and human
papillomavirus (HPV). Thirty-one patients had baseline biomarkers and 25 had
paired samples, pre- and post-TPE. Adjusting for false discovery, 14 analytes
including MCP1c, IP-10, Leptin, interleukin (IL)-5, Eotaxin, IL-6, G-CSF, CXCL5
changed significantly post TPE induction. Serum vascular endothelial growth
factor (VEGF) and IL-6 levels were associated with tumor response as assessed by
positron emission tomography and progression-free survival, however, the
association was not significant after adjustment for false discovery. Analytes
were not associated with toxicities, smoking history, HPV status, EGFR
amplification, or pSTAT3 tumor protein levels. Baseline serum biomarkers, in
particular VEGF and IL-6, were identified as potentially useful prognostic
markers of cetuximab-containing therapy. Validation is warranted in future
studies specifically designed to detect biomarker associations.
PMID- 21889394
TI - Vibrational spectroscopic analysis of taranakite (K,NH4)Al3(PO4)3(OH).9(H2O) from
the Jenolan Caves, Australia.
AB - Many phosphate containing minerals are found in the Jenolan Caves. Such minerals
are formed by the reaction of bat guano and clays from the caves. Among these
cave minerals is the mineral taranakite (K,NH(4))Al(3)(PO(4))(3)(OH).9(H(2)O)
which has been identified by X-ray diffraction. Jenolan Caves taranakite has been
characterised by Raman spectroscopy. Raman and infrared bands are assigned to
H(2)PO(4), OH and NH stretching vibrations. By using a combination of XRD and
Raman spectroscopy, the existence of taranakite in the caves has been proven.
PMID- 21889395
TI - Crimean-Congo hemorrhagic fever serosurvey in at-risk professionals, Madagascar,
2008 and 2009.
AB - BACKGROUND: Crimean-Congo hemorrhagic fever (CCHF) is a zoonotic arboviral
infection with hemorrhagic manifestation and often a fatal ending. Human become
infected mainly through tick bite or by crushing infected tick, by contact with
blood or tissues from viraemic livestock or patient. CCHF virus (CCHFV) has been
isolated once in Madagascar but data on the epidemiology of the disease in the
country are very scarce. OBJECTIVES: To investigate the circulation and the
geographic distribution of CCHFV infection among at risk population in
Madagascar. STUDY DESIGN: A national cross-sectional serologic survey was
performed in 2008-2009 among slaughterhouse workers. RESULTS: A total of 1995
workers were included. A recent CCHFV infection was detected in 1 of the 1995
participants (0.50/00; 95% confidence interval [CI]: 0-0.15%), and a past CCHFV
infection was detected in 15 participants (0.75%; 95% CI: 0.37-1.13%).
CONCLUSION: Overall, the percentage of CCHFV infection seen in Madagascar among
at-risk professionals is very low compared to endemic countries. An assessment of
the prevalence in livestock as a sensitive indicator of CCHFV activity must be
considered in order to confirm the lack or the weak endemicity of CCHF in
Madagascar.
PMID- 21889396
TI - Foveal and parafoveal spatial attention and its impact on the processing of
facial expression: an ERP study.
AB - OBJECTIVE: The aim was to investigate the effects of spatial attention, stimulus
location, and emotional expression on the processing of face stimuli. METHODS:
Healthy volunteers performed two sustained attention tasks, focusing their
attention on either fixation (foveal location) or on a location several degrees
above fixation (parafoveal location). Photographs of faces with either a neutral
or a fearful expression were presented randomly at one of these locations. Event
related potentials were recorded in response to the face stimuli. RESULTS:
Spatial attention modulated the early P1 and N1 components for both foveally and
parafoveally presented stimuli. Emotional expression effects already occurred at
the P1 stage in response to attended foveal stimuli, but only arose from 220ms
post-stimulus onwards in response to attended parafoveal stimuli. When faces were
unattended, emotional expression effects were still present for parafoveal
stimuli, whereas they were completely absent for foveal stimuli. CONCLUSIONS: The
modulations of the P1/N1 components by fearful faces are due to an early sensory
modulation of extrastriate generators, and reflect an enhanced allocation of
attention to threat-related stimuli. SIGNIFICANCE: Future studies should take
into account the possibility that spatial attention effects and effects of
emotional expression processing are different for different positions in the
visual field.
PMID- 21889397
TI - Reference values for voluntary and stimulated single-fibre EMG using concentric
needle electrodes: a multicentre prospective study.
AB - OBJECTIVE: The aim of this study is to establish reference values for single
fibre electromyography (SFEMG) using concentric needles in a prospective,
multicentre study. METHODS: Voluntary or stimulated SFEMG at the extensor
digitorum communis (EDC) or frontalis (FRO) muscles was conducted in 56-63 of a
total of 69 normal subjects below the age of 60years at six Japanese institutes.
The cut-off values for mean consecutive difference (MCD) of individual potentials
were calculated using +2.5 SD or 95% prediction limit (one-tail) of the upper
10th percentile MCD value for individual subjects. RESULTS: The cut-off values
for individual MCD (+2.5 SD) were 56.8MUs for EDC-V (voluntary SFEMG for EDC),
58.8MUs for EDC-S (stimulated SFEMG for EDC), 56.8MUs for FRO-V (voluntary SFEMG
for FRO) and 51.0MUs for FRO-S (stimulated SFEMG for FRO). The false positive
rates using these cut-off values were around 2%. CONCLUSIONS: The +2.5 SD and 95%
prediction limit might be two optimal cut-off values, depending on the clinical
question. The obtained reference values were larger than those reported
previously using concentric needles, but might better coincide with conventional
values. SIGNIFICANCE: This is the first multicentre study reporting reference
values for SFEMG using concentric needles. The way to determine cut-off values
and the statistically correct definition of the percentile were discussed.
PMID- 21889398
TI - Tonic pain and continuous EEG: prediction of subjective pain perception by alpha
1 power during stimulation and at rest.
AB - OBJECTIVE: Pain neurophysiology has been chiefly characterized via event-related
potentials (ERPs), which are exerted using brief, phase-locked noxious stimuli.
Striving for objectively characterizing clinical pain states using more natural,
prolonged stimuli, tonic pain has been recently associated with the individual
peak frequency of alpha oscillations. This finding encouraged us to explore
whether alpha power, reflecting the magnitude of the synchronized activity within
this frequency range, will demonstrate a corresponding relationship with
subjective perception of tonic pain. METHODS: Five-minute-long continuous EEG was
recorded in 18 healthy volunteers under: (i) resting-state; (ii) innocuous
temperature; and (iii) psychophysically-anchored noxious temperature. Numerical
pain scores (NPSs) collected during the application of tonic noxious stimuli were
tested for correlation with alpha-1 and alpha-2 power. RESULTS: NPSs and alpha
power remained stable throughout the recording conditions (Ps?0.381). In the
noxious condition, alpha-1 power obtained at the bilateral temporal scalp was
negatively correlated with NPSs (Ps?0.04). Additionally, resting-state alpha-1
power recorded at the bilateral temporal scalp was negatively correlated with
NPSs reported during the noxious condition (Ps?0.038). CONCLUSIONS: Current
findings suggest alpha-1 power may serve as a direct, objective and
experimentally stable measure of subjective perception of tonic pain.
Furthermore, resting-state alpha-1 power might reflect individuals' inherent
tonic pain responsiveness. SIGNIFICANCE: The relevance of alpha-1 power to tonic
pain perception may deepen the understanding of the mechanisms underlying the
processing of prolonged noxious stimulation.
PMID- 21889399
TI - Antimicrobial activity of the surface coatings on TiAlZr implant biomaterial.
AB - This study is devoted to antimicrobial activity of new surface coatings on
TiAlZr. Ti alloys such as TiAlZr are used as implant biomaterials, but, despite
the good behavior of such alloys in simulated conditions, bacterial infections
appear after the introduction of an implant into the body. The infections are
typically caused by the adherence and colonization of bacteria on the surfaces of
the implants. The study presents preparation and surface morphology
characterization of coatings obtained via anodizing, as well as biomimetic
coatings with hydroxyapatite and silver ions with and without antibiotic. The
percentage inhibition of Escherichia coli bacteria growth was evaluated for each
of the studied coating, and a Trojan-horse model of silver nanoparticles (nAg)
antibacterial activity at interface was proposed. Such coatings could be more
important taking into account that antibacterial treatments with antibiotics are
becoming less effective due to their intensive use.
PMID- 21889400
TI - Antioxidant properties of rare sugar D-allose: Effects on mitochondrial reactive
oxygen species production in Neuro2A cells.
AB - The anti-oxidative activity of the rare sugar D-allose has recently been
reported, but the mechanism is largely unclear. In this study, we evaluated the
reactive oxygen species (ROS) scavenging activities of D-allose and then examined
the effects of D-allose on ROS production in mitochondria to clarify the
antioxidant properties of D-allose. While D-allose did not scavenge hydrogen
peroxide and superoxide anions, it eliminated hydroxyl radicals to the same
extent as D-glucose. Rotenone, an uncoupler of mitochondrial respiratory complex
I, induces ROS production in mouse neuroblastoma Neuro2A cells in the presence of
D-glucose. However, in the presence of D-allose, there was no change in the ROS
levels in Neuro2A cells following rotenone treatment. Furthermore, treatment with
D-allose attenuated the D-glucose-dependent ROS generation induced by rotenone.
Whereas treatment with D-glucose enhanced ATP synthesis in Neuro2A cells, D
allose was less effective in producing intracellular ATP than D-glucose.
Treatment with D-allose inhibited the ATP synthesis stimulated by D-glucose.
These results suggest that D-allose suppresses ROS production in the mitochondria
due to competition with D-glucose at the cellular level.
PMID- 21889401
TI - Lipase-catalyzed process in an anhydrous medium with enzyme reutilization to
produce biodiesel with low acid value.
AB - One major problem in the lipase-catalyzed production of biodiesel or fatty acid
methyl esters (FAME) is the high acidity of the product, mainly caused by water
presence, which produces parallel hydrolysis and esterification reactions instead
of transesterification to FAME. Therefore, the use of reaction medium in absence
of water (anhydrous medium) was investigated in a lipase-catalyzed process to
improve FAME yield and final product quality. FAME production catalyzed by
Novozym 435 was carried out using waste frying oil (WFO) as raw material,
methanol as acyl acceptor, and 3A molecular sieves to extract the water. The
anhydrous conditions allowed the esterification of free fatty acids (FFA) from
feedstock at the initial reaction time. However, after the initial esterification
process, water absence avoided the consecutives reactions of hydrolysis and
esterification, producing FAME mainly by transesterification. Using this
anhydrous medium, a decreasing in both the acid value and the diglycerides
content in the product were observed, simultaneously improving FAME yield. Enzyme
reuse in the anhydrous medium was also studied. The use of the moderate polar
solvent tert-butanol as a co-solvent led to a stable catalysis using Novozym 435
even after 17 successive cycles of FAME production under anhydrous conditions.
These results indicate that a lipase-catalyzed process in an anhydrous medium
coupled with enzyme reuse would be suitable for biodiesel production, promoting
the use of oils of different origin as raw materials.
PMID- 21889402
TI - Development of a suction device for stabilizing in vivo real-time imaging of
murine tissues.
AB - In vivo real-time imaging of molecular or cellular dynamics in tissues in live
animals is difficult due to their physiological movements. In this study, we
propose a suction device for stabilizing live imaging, which was used to
demonstrate fluorescence detection of circulating blood cells in vessels of mouse
livers.
PMID- 21889403
TI - Indoor environment and children's health: recent developments in chemical,
biological, physical and social aspects.
AB - Much research is being carried out into indoor exposure to harmful agents. This
review focused on the impact on children's health, taking a broad approach to the
indoor environment and including chemical, microbial, physical and social
aspects. Papers published from 2006 onwards were reviewed, with regards to
scientific context. Most of publications dealt with chemical exposure. Apart from
the ongoing issue of combustion by-products, most of these papers concerned semi
volatile organic compounds (such as phthalates). These may be associated with
neurotoxic, reprotoxic or respiratory effects and may, therefore, be of
particular interest so far as children are concerned. In a lesser extent,
volatile organic compounds (such as aldehydes) that have mainly respiratory
effects are still studied. Assessing exposure to metals is still of concern, with
increasing interest in bioaccessibility. Most of the papers on microbial exposure
focused on respiratory tract infections, especially asthma linked to allergens
and bio-aerosols. Physical exposure includes noise and electromagnetic fields,
and articles dealt with the auditory and non auditory effects of noise. Articles
on radiofrequency electromagnetic fields mainly concerned questions about non
thermal effects and papers on extremely low-frequency magnetic fields focused on
the characterization of exposure. The impact of the indoor environment on
children's health cannot be assessed merely by considering the effect of these
different types of exposure: this review highlights new findings and also
discusses the interactions between agents in indoor environments and also with
social aspects.
PMID- 21889404
TI - Anatomical organization of antennal-lobe glomeruli in males and females of the
scarab beetle Holotrichia diomphalia (Coleoptera: Melolonthidae).
AB - The glomerular organization of the primary olfactory brain center, the antennal
lobe, was studied in males and females of Holotrichia diomphalia adults using
serial histological sections labeled by the reduced silver-stain technique. The
results revealed an apparent sexual dimorphism. Whereas an enlarged cap-shaped
glomerulus was found at the antennal nerve entrance into the antennal lobe in
males, no such unit was present in females. Also the size of the antennal lobe
differed between the sexes, the antennal lobe of males being larger than that of
females. We estimated the total number of glomeruli at approximately 60 units in
the female antennal lobe. In males, we could discriminate only those glomeruli
that were located in the anterior area of the antennal lobe.
PMID- 21889405
TI - Origin, phenotype and function of human natural killer cells in pregnancy.
AB - During the early phases of pregnancy, natural killer (NK) cells are the
predominant lymphoid cells in the human decidua. Here, rather than act as killers
and/or drivers of inflammation, NK cells contribute to tissue building and
remodeling and formation of new vessels due to the release of interleukin-8,
vascular endothelial growth factor, stromal cell-derived factor-1 and interferon
gamma-inducible protein-10. Here, we propose that the interaction of NK cells
with CD14(+) myelomonocytic cells to promote induction of T regulatory cells
plays a pivotal role in immunosuppression and tolerance towards the fetus
allograft. Importantly, CD34(+) hematopoietic precursors are present in human
decidua and may give rise to decidual NK cells. Defects in decidual NK cell
generation, or in appropriate functional interactions with other cell types,
could have major consequences for successful pregnancy.
PMID- 21889406
TI - Endoplasmic reticulum stress, obesity and diabetes.
AB - The endoplasmic reticulum (ER) stress response, also commonly known as the
unfolded protein response (UPR), is an adaptive response used to align ER
functional capacity with demand. It is activated in various tissues under
conditions related to obesity and type 2 diabetes. Hypothalamic ER stress
contributes to inflammation and leptin/insulin resistance. Hepatic ER stress
contributes to the development of steatosis and insulin resistance, and
components of the UPR regulate liver lipid metabolism. ER stress in enlarged fat
tissues induces inflammation and modifies adipokine secretion, and saturated fats
cause ER stress in muscle. Finally, prolonged ER stress impairs insulin synthesis
and causes pancreatic beta cell apoptosis. In this review, we discuss ways in
which ER stress operates as a common molecular pathway in the pathogenesis of
obesity and diabetes.
PMID- 21889407
TI - Potential benefits of plasma exchange by apheresis on the treatment of severe
Icteric Leptospirosis: case report and literature review.
AB - The role of plasmapheresis on the treatment of Leptospirosis has not been define,
although it has already been used with beneficial effects in the reported case
mentioned above, where was possible to contribute to the resolution of the toxic
effects on the tubular renal cells. This case report show how plasma exchange
prevents the multiorganic failure.
PMID- 21889408
TI - High frequency of autoimmunization among transfusion-dependent Tunisian
thalassaemia patients.
AB - BACKGROUND: Limited data are available on the frequency of RBC alloimmunization
and autoimmunization in transfusion-dependent Tunisian beta thalassaemia
patients. MATERIALS AND METHODS: We analyzed the clinical and transfusion records
of 130 patients (57 females and 73 males; mean age 119 months; range 12-11
months) with beta thalassaemia major and who had regular blood transfusions for
periods ranging from 12 to 311 months. RESULTS: Of the 130 patients, ten (7.7%)
developed RBC alloantibodies. The most common alloantibodies were directed
against antigens in the Rh systems. Erythrocyte-autoantibodies as determined by a
positive direct antiglobulin Coombs test, developed in 52(40%) patients with and
without underlying RBC alloantibodies, thereby causing autoimmune haemolytic
anaemia in eleven patients (21%). CONCLUSIONS: Autoimmunization to erythrocyte
antigens is a frequent complication in patients with beta thalassaemia major.
Several factors might have contributed to the high autoimmunization rate observed
in this study, including non phenotypic blood exposure and alloantibody formation
prior to positive Coombs test.
PMID- 21889409
TI - What is a fair comparison in head-to-head trials of antiepileptic drugs?
PMID- 21889411
TI - Idiopathic macro-orchidism with testicular lobulation in an adolescent.
AB - Marked testicular enlargement in the pediatric age group can be caused by many
different conditions and is rarely idiopathic. Ultrasound is the primary imaging
modality for evaluation of such cases. Bilateral asymmetric idiopathic macro
orchidism with diffuse testicular lobulations is a condition which has not been
described before in the literature. We report the clinical, sonographic and
histological features of this unusual combination in an adolescent boy.
PMID- 21889410
TI - Efficacy and safety of pregabalin versus lamotrigine in patients with newly
diagnosed partial seizures: a phase 3, double-blind, randomised, parallel-group
trial.
AB - BACKGROUND: Efficacious and safe monotherapy options are needed for adult
patients with newly diagnosed epilepsy. As an adjunctive treatment for partial
seizures, pregabalin compares favourably with lamotrigine and is an effective,
approved treatment. We studied the efficacy and safety of pregabalin as
monotherapy, using a design that complied with European regulatory requirements
and International League Against Epilepsy guidelines. METHODS: This phase 3,
double-blind, randomised, non-inferiority study compared the efficacy and
tolerability of pregabalin and lamotrigine monotherapy in patients with newly
diagnosed partial seizures at 105 centres, mostly in Europe and Asia.
Randomisation to treatment groups (1:1 ratio) was by a computer-generated
pseudorandom code (random permuted blocks), with patients sequentially assigned
numbers by telephone. Investigators, site staff, and patients were masked to the
assigned treatment. After randomisation, patients were titrated to either 75 mg
oral pregabalin or 50 mg oral lamotrigine twice daily during a 4-week dose
escalation phase, followed by a 52-week efficacy assessment phase during which
the daily dose could be increased as needed to a maximum of 600 mg and 500 mg,
respectively. The primary efficacy endpoint was the proportion of patients who
remained seizure-free for 6 or more continuous months during the efficacy
assessment phase; analysis included all patients who were randomly assigned to
treatment groups and received at least one dose of study treatment. This study is
registered with ClinicalTrials.gov, number NCT00280059. FINDINGS: 660 patients
were randomly assigned to treatment groups (330 pregabalin, 330 lamotrigine), of
whom 622 entered the efficacy assessment phase (314 pregabalin, 308 lamotrigine).
Fewer patients in the pregabalin group than in the lamotrigine group became
seizure-free for 6 or more continuous months (162 [52%] vs 209 [68%]; difference
in proportion, -0.16, 95% CI -0.24 to -0.09). The overall incidence of adverse
events was similar between the groups and consistent with that in previous
studies; dizziness (55 [17%] vs 45 [14%] patients), somnolence (29 [9%] vs 14
[4%]), fatigue (27 [8%] vs 19 [6%]), and weight increase (21 [6%] vs 7 [2%]) were
numerically more common in the pregabalin group than in the lamotrigine group.
INTERPRETATION: Pregabalin has similar tolerability but seems to have inferior
efficacy to lamotrigine for the treatment of newly diagnosed partial seizures in
adults. Inferior efficacy of pregabalin might have been attributable to
limitations in the study design, as treatment doses might have not been optimised
adequately or early enough. FUNDING: Pfizer Inc.
PMID- 21889412
TI - Cell-mediated immune responses to inactivated trivalent influenza-vaccination are
decreased in patients with common variable immunodeficiency.
AB - Influenza-specific cell-mediated immune (CMI) responses can protect from
influenza, but may be decreased in CVID-patients since defects in CMI responses
have been demonstrated in CVID-patients. Therefore CMI responses were evaluated
in 15 CVID-patients and 15 matched healthy controls (HC) by determining
frequencies of interferon (IFN)gamma-producing PBMC, and frequencies of IFNgamma
, interleukin (IL)-2- and tumour necrosis factor (TNF)alpha-producing CD4+ and
CD8+ T-cells before and after influenza vaccination using IFNgamma enzyme-linked
immunospot (IFNgamma-ELISpot) and flow cytometry. Humoral responses were
determined using haemagglutination inhibition assay. In CVID-patients the number
of spotforming PBMC in the IFNgamma-ELISpot did not increase following influenza
vaccination, in contrast to HC. In flow cytometry, the frequencies of IFNgamma
producing T-cells decreased in CVID-patients after influenza vaccination, while
in HC the frequencies of IFNgamma-production flow cytometry increased.
Concluding, CMI responses following influenza vaccination are hampered in CVID
patients compared to HC. Additional protective strategies against influenza other
than vaccination are warranted.
PMID- 21889413
TI - Results of a research study evaluating WebEase, an online epilepsy self
management program.
AB - WebEase (Epilepsy Awareness, Support, and Education) is an online epilepsy self
management program to assist people with taking medication, managing stress, and
improving sleep quality. The primary study aims were to determine if those who
participated in WebEase demonstrated improvements in medication adherence,
perceived stress, and sleep quality. Participants were randomized to a treatment
(T) or waitlist control (WCL) group (n=148). At follow-up, participants in the T
group reported higher levels of medication adherence than those in the WLC group.
Analyses were also conducted comparing those who had completed WebEase modules
with those who had not. Those who had completed at least some modules within the
WebEase program reported higher levels of self-efficacy and a trend toward
significance was observed for the group*time interactions for medication
adherence, perceived stress, self-management, and knowledge. The results
highlight the usefulness of online tools to support self-management among people
with epilepsy.
PMID- 21889414
TI - Ictal singing due to left frontal lobe epilepsy: a case report and review of the
literature.
AB - Ictal singing has been rarely reported and the neural networks underlying this
specific symptom remain unknown. We report a nineteen-year-old man with medically
refractory seizures who exhibited ictal singing and laughing. He underwent
intracranial stereotactic EEG recording which demonstrated ictal activity in
medial and dorsolateral regions of the left frontal lobe in the generation of
ictal singing. Thereafter, a left frontal resection of the superior and middle
frontal gyri made him seizure-free. Among the previously reported cases of ictal
singing, the symptomatogenic zones included bilateral frontal and temporal lobes.
The wide variance of ictal onset zones suggests that the mechanism of ictal
singing is probably related to the recruitment of music-related neural networks
in different regions of both hemispheres rather than activation of a specific
cortical region.
PMID- 21889415
TI - Muslim nursing homes in the United States: barriers and prospects.
AB - Historically, many nursing homes in the United States have been established by
religious groups. This was done to provide care for the elderly when care could
not be furnished in other venues. Despite several attempts reported in the
literature, there are currently no Muslim nursing homes in the United States. In
the Arab and Muslim world, the acceptance and success of such an institution has
been somewhat variable. As the Arab Muslim population in the United States ages
and becomes more frail, the Muslim community will have to evaluate the need to
establish nursing homes to provide care for elderly.
PMID- 21889416
TI - Fall prevention and monitoring of assisted living patients: an exploratory study
of physician perspectives.
AB - OBJECTIVE: Explore physician perspectives on their involvement in fall prevention
and monitoring for residential care/assisted living (RC/AL) residents. DESIGN:
Exploratory cross-sectional study; mailed questionnaire. SETTING: Four RC/AL
communities, North Carolina. PARTICIPANTS: Primary physicians for RC/AL
residents. MEASUREMENTS: Past Behavior and future Intentions of physicians with
regard to (1) fall risk assessment and (2) collaboration with RC/AL staff to
reduce falls and fall risks among RC/AL residents were explored using Theory of
Planned Behavior (TPB) constructs. Predictor variables examined (1) physicians'
views on their own responsibilities (Attitude), (2) their views of expectations
from important referent groups (Subjective Norms), and (3) perceived constraints
on engaging in fall prevention and monitoring (Perceived Behavioral Control).
RESULTS: Physicians reported conducting fall risk assessments of 47% of RC/AL
patients and collaborating with RC/AL staff to reduce fall risks for 36% of RC/AL
patients (Behavior). These proportions increased to 75% and 62%, respectively,
for future Intentions. TPB-based models explained approximately 60% of the
variance in self-reported Behavior and Intentions. Physician's involvement in
fall prevention and monitoring was significantly associated (P < .05) with their
perceptions of barriers and facilitators-ease, time, reimbursement, and
expertise. CONCLUSION: This study provides first data on physician beliefs
regarding their involvement in fall risk assessment of RC/AL patients and
collaboration with RC/AL staff to reduce fall risks of individual patients.
Challenges to physician involvement identified in our study are not unique or
specific to the RC/AL setting, and instead relate to clinical practice and
reimbursement constraints in general.
PMID- 21889417
TI - Cement leakage in percutaneous vertebroplasty for osteoporotic vertebral
compression fractures: identification of risk factors.
AB - BACKGROUND CONTEXT: Percutaneous vertebroplasty (PVP) is a common treatment
modality for painful osteoporotic vertebral compression fractures (OVCFs). The
complication rate of PVP is low, but cement leakage occurs in up to 90% of the
treated levels. Recent evidence suggests that sequelae of cement leakage may be
more common and clinically relevant than previously thought. Preoperative
appreciation of risk factors would therefore be helpful but has not been
thoroughly investigated. PURPOSE: Identification of preoperative risk factors for
the occurrence of cement leakage in PVP for painful OVCFs. STUDY DESIGN:
Retrospective assessment of risk factors using multivariate analysis. PATIENT
SAMPLE: Eighty-nine patients treated with PVP for 177 painful OVCFs. OUTCOME
MEASURE: Occurrence of cement leakage. METHODS: The influence of all known risk
factors and other parameters potentially affecting the occurrence of cement
leakage was retrospectively assessed using multivariate analysis. Patient age,
sex, and spinal deformity index; fracture age, level, type, and semiquantitative
severity grade (1-4), the presence of an intravertebral cleft and/or cortical
disruption on preoperative magnetic resonance imaging (MRI), and the viscosity of
bone cement were included. Cement leakage was assessed on direct postoperative
computed tomography scanning of the treated levels. In addition to cement leakage
in general, three fundamentally different leakage types (cortical, epidural, and
anterior venous), with different possible clinical sequelae, were discerned, and
their respective risk factors were assessed. RESULTS: In 130 of 173 (75.1%)
treated OVCFs, cement leakage was detected. Leakage incidence was found to
increase approximately linear with advancing severity grade. High fracture
semiquantitative severity grade (adjusted per grade relative risk [RR], 1.14; 95%
confidence interval [CI], 1.05-1.24; p=.002) and low bone cement viscosity
(medium vs. low viscosity: adjusted RR, 0.73; 95% CI, 0.61-0.87; p<.001) were
strong risk factors for cement leakage in general. For cortical leakage (in 95%
intradiscal leakage), the presence of cortical disruption on MRI (adjusted RR,
1.62; 95% CI, 1.16-2.26; p=.004) and an intravertebral cleft on MRI (adjusted RR,
1.43; 95% CI, 1.07-1.77; p=.017) were identified as additional strong risk
factors. CONCLUSIONS: High fracture severity grade and low viscosity of
polymethylmethacrylate bone cement are general, strong, and independent risk
factors for cement leakage. Using MRI assessment, cortical disruption and the
presence of an intravertrebral cleft were identified as additional strong risk
factors regarding cortical (intradiscal) cement leakage, thereby potentiating
anticipation.
PMID- 21889418
TI - Ionizing radiation exposure and the development of intervertebral disc
degeneration in humans: myth or reality.
PMID- 21889419
TI - Current management review of thoracolumbar cord syndromes.
AB - BACKGROUND CONTEXT: Injuries to the thoracolumbar spine may lead to a complex
array of clinical syndromes that result from dysfunction of the anterior motor
units, lumbosacral nerve roots, and/or spinal cord. Neurologic dysfunction may
manifest in the lower extremities as loss of fine and gross motor function,
touch, pain, temperature, and proprioceptive and vibratory sense deficits. Two
clinical syndromes sometimes associated with these injuries are conus medullaris
syndrome (CMS) and cauda equina syndrome (CES). PURPOSE: To review the current
management of thoracolumbar spinal cord injuries. STUDY DESIGN: Literature
review. METHODS: Index Medicus was used to search the primary literature for
articles on thoracolumbar injuries. An emphasis was placed on the current
management, controversies, and newer treatment options. RESULTS/CONCLUSIONS:
After blunt trauma, these syndromes may reflect a continuum of dysfunction rather
than a distinct clinical entity. The transitional anatomy at the thoracolumbar
junction, where the conus medullaris is present, makes it less likely that a
"pure" CMS or CES syndrome will occur and more likely that a "mixed" injury will.
Surgical decompression is the mainstay of treatment for incomplete spinal cord
injury (SCI) and incomplete CMS and CES. The value of timing of surgical
intervention in the setting of incomplete SCI is unclear at this time. This
review summarizes the recent information on epidemiology, pathophysiology,
diagnosis, and controversies in the management of thoracolumbar neurologic injury
syndromes.
PMID- 21889420
TI - Vertebral body fractures after transpsoas interbody fusion procedures.
AB - BACKGROUND CONTEXT: Although the frequency of transpsoas lumbar interbody fusion
procedures has increased in recent years, complication reports remain scarce in
the literature. PURPOSE: To present four cases of vertebral body fracture after
transpsoas interbody fusion procedures in nonosteoporotic patients without
significant trauma and discuss relevant biomechanical factors. STUDY DESIGN: Case
series and literature review. PATIENT SAMPLE: Patients 1 and 2 were obese men who
underwent one- and two-level transpsoas interbody fusion procedures and
subsequently experienced coronal plane fracture. Patients 3 and 4 were elderly
women who underwent multilevel transpsoas interbody fusion procedures and
experienced L5 compression fracture. RESULTS: Patients 2 and 3 were treated
nonsurgically after fracture. The fractures healed uneventfully; however, Patient
3 developed a flat back syndrome. Patient 1 underwent posterior instrumented
fusion and had solid bridging bone above and below the fracture. Patient 4 was
treated with vertebroplasty. Factors potentially contributing to these fractures
were discussed. CONCLUSIONS: Fracture can occur after transpsoas lumbar interbody
fusion, even in nonosteoporotic patients. Factors, such as intraoperative end
plate breach, subsidence, compression by lateral screws, and cage rolling, could
contribute to the development of fractures after transpsoas interbody fusion.
PMID- 21889422
TI - Immersing the foot in painfully-cold water evokes ipsilateral extracranial
vasodilatation.
AB - Temporal pulse amplitude was recorded bilaterally in 56 participants before,
during and after three ice-water immersions of the foot. Half of the participants
were told that prolonged exposure to freezing temperatures could cause frostbite.
Increases in pulse amplitude were greater in the ipsilateral than contralateral
temple during and after the three foot-immersions. Although pulse amplitude
decreased after threatening instructions and repeated immersion of the foot, the
vasodilator response persisted during all three immersions. These findings
suggest that nociceptive stimulation of the foot evokes an ipsilateral supra
spinal extracranial vasodilator response, possibly as part of a broader defense
response.
PMID- 21889421
TI - Transcriptional activation of lysosomal exocytosis promotes cellular clearance.
AB - Lysosomes are cellular organelles primarily involved in degradation and recycling
processes. During lysosomal exocytosis, a Ca2+-regulated process, lysosomes are
docked to the cell surface and fuse with the plasma membrane (PM), emptying their
content outside the cell. This process has an important role in secretion and PM
repair. Here we show that the transcription factor EB (TFEB) regulates lysosomal
exocytosis. TFEB increases the pool of lysosomes in the proximity of the PM and
promotes their fusion with PM by raising intracellular Ca2+ levels through the
activation of the lysosomal Ca2+ channel MCOLN1. Induction of lysosomal
exocytosis by TFEB overexpression rescued pathologic storage and restored normal
cellular morphology both in vitro and in vivo in lysosomal storage diseases
(LSDs). Our data indicate that lysosomal exocytosis may directly modulate
cellular clearance and suggest an alternative therapeutic strategy for disorders
associated with intracellular storage.
PMID- 21889423
TI - Electrochemical determination of hydrogen peroxide with cytochrome c peroxidase
and horse heart cytochrome c entrapped in a gelatin hydrogel.
AB - A novel and versatile method, based on a membrane-free enzyme electrode in which
both the enzyme and a mediator protein are entrapped in a gelatine hydrogel was
developed for the fabrication of biosensors. As a proof of principle, we prepared
a hydrogen peroxide biosensor by successfully entrapping both horse heart
cytochrome c (HHC) and Saccharomyces cerevisae cytochrome c peroxidase (CCP) in a
gelatin matrix which is immobilized on a gold electrode. This electrode was first
pretreated with 6-mercaptohexanol. The biosensor displayed a rapid response and
an expanded linear response range from 0 to 0.3 mM (R = 0.987) with a detection
limit of 1 * 10(-5)M in a HEPES buffer solution (pH 7.0). This method of
encapsulation is now further investigated for industrial biosensor applications.
PMID- 21889424
TI - Some amino acids of the Pseudomonas aeruginosa MutL D(Q/M)HA(X)(2)E(X)(4)E
conserved motif are essential for the in vivo function of the protein but not for
the in vitro endonuclease activity.
AB - Human and Saccharomyces cerevisiae MutLalpha, and some bacterial MutL proteins,
possess a metal ion-dependent endonuclease activity which is important for the in
vivo function of these proteins. Conserved amino acids of the C-terminal region
of human PMS2, S. cerevisiae PMS1 and of some bacterial MutL proteins have been
implicated in the metal-binding/endonuclease activity. However, the contribution
of individual amino acids to these activities has not yet been fully elucidated.
In this work we show that Pseudomonas aeruginosa MutL protein possess an in vitro
metal ion-dependent endonuclease activity. In agreement with previous published
results, we observed that mutation of the aspartic acid, the first histidine or
the first glutamic acid of the conserved C-terminal DMHAAHERITYE region results
in nonfunctional in vivo proteins. We also determined that the arginine residue
is essential for the in vivo function of this protein. However, we unexpectedly
observed that although the first glutamic acid mutant derivative is not
functional in vivo, its in vitro endonuclease activity is even higher than that
of the wild-type protein.
PMID- 21889425
TI - Breadth by depth: expanding our understanding of the repair of transposon-induced
DNA double strand breaks via deep-sequencing.
AB - The transposases of DNA transposable elements catalyze the excision of the
element from the host genome, but are not involved in the repair of the resulting
double-strand break. To elucidate the role of various host DNA repair and damage
response proteins in the repair of the hairpin-ended double strand breaks (DSBs)
generated during excision of the maize Ac element in Arabidopsis thaliana, we
deep-sequenced hundreds of thousands of somatic excision products from a variety
of repair- or response-defective mutants. We find that each of these
repair/response defects negatively affects the preservation of the ends,
resulting in an enhanced frequency of deletions, insertions, and inversions at
the excision site. The spectra of the resulting repair products demonstrate, not
unexpectedly, that the canonical nonhomologous end joining (NHEJ) proteins DNA
ligase IV and KU70 play an important role in the repair of the lesion generated
by Ac excision. Our data also indicate that auxiliary NHEJ repair proteins such
as DNA ligase VI and DNA polymerase lambda are routinely involved in the repair
of these lesions. Roles for the damage response kinases ATM and ATR in the repair
of transposition-induced DSBs are also discussed.
PMID- 21889426
TI - Delayed publication of clinical trials in cystic fibrosis.
AB - BACKGROUND: When the publication of important trial data is delayed, or data are
never published, this will prevent the proper practice of evidence based medicine
through robust systematic reviews. Clinical trial registries allow researchers to
interrogate the trial protocol and afford the opportunity to identify studies
that have been completed and so determine the time lag between completion and
publication. METHODS: We searched ClinicalTrials.gov with the keywords 'cystic
fibrosis'. Intervention trials which had completed 1st Jan 1998-31st Dec 2010
were selected. Time to publication in a peer-reviewed journal was calculated.
Survival analyses using the log rank test were undertaken. RESULTS: We identified
142 records. Of these, 62 had full paper publications. The median time to
publication was 3.25 years. Phase of study (phase one studies more delayed,
p=0.024) but not source of funding (p=0.34) was associated with time to
publication. CONCLUSIONS: Clinical trials in cystic fibrosis take a considerable
amount of time to report their findings. More importantly, a large number of
trials fail to report at all.
PMID- 21889427
TI - Quantitative analysis of PD 0332991 in mouse plasma using automated micro-sample
processing and microbore liquid chromatography coupled with tandem mass
spectrometry.
AB - In the oncology therapeutic area, the mouse is the primary animal model used for
efficacy studies. Often with mouse pharmacokinetic (PK) and
pharmacokinetic/pharmacodynamic (PK/PD) studies, less than 20 MUL of total plasma
sample volume is available for bioanalysis due to the small size of the animal
and the need to split samples for other measurements such as biomarker analyses.
The need to conduct automated "small volume" sample processing for quantitative
bioanalysis has therefore increased. An automated fit for purpose protein
precipitation (PPT) method using a Hamilton MicroLab Star (Reno, NV, USA) to
support mouse PK and PK/PD studies for an oncology drug candidate PD 0332991, (a
specific inhibitor of cyclin-dependent kinase 4 (CDK-4) currently in development)
for processing "small volumes" was developed. The automated PPT method was
achieved by extracting and processing 10 MUL out of a minimum sample volume of 15
MUL plasma utilizing the Hamilton MicroLab Star. A 96-conical shallow well plate
by Agilent Technologies, Inc (Wilmington, DE, USA) was the labware of choice used
in the automated Hamilton "small volume" method platform. Analyses of a 10 MUL
plasma aliquot from 15 MUL of plasma study samples were conducted by both
automated and manual PPT method. All plasma samples were quantitated using a
Sciex API 4000 triple quadrupole mass spectrometer coupled with an Eksigent
Express HT Ultra HPLC system. The chromatography was achieved using an Agilent
microbore C(18) Extend, 1.0 * 50 mm, 3.5 MUm column at a flow rate of 0.150
mL/min with a total run time of 1.8 min. Accuracy and precision of standard and
QC concentration levels were within 90-107% and <14%, respectively. Calibration
curves were linear over the dynamic range of 1.0-1000 ng/mL. PK studies for PD
0332991 were conducted in female C3H mice following intravenous administration at
1mg/kg and oral administration at 2mg/kg. PK values such as area under curve
(AUC), volume of distribution (Vd), clearance (Cl), half life (T(1/2)) and
bioavailability (F%) demonstrated less than 11% difference between the automated
Hamilton and manual PPT methods. The results demonstrate that the automated
Hamilton PPT method can accurately and precisely aliquot 10 MUL of plasma from 15
MUL or larger volume plasma samples. The fit for purpose Hamilton PPT method is
suitable for routine analyses of plasma samples from micro-sampling PK and PK/PD
samples to support discovery studies.
PMID- 21889428
TI - Socioeconomic disparities and the familial coexistence of child stunting and
maternal overweight in Guatemala.
AB - The double burden of malnutrition, defined here as households with a stunted
child and an overweight mother (SCOM), is a growing problem in Guatemala. We
explored the magnitude of SCOM and the identification of socio-economic factors
associated with this malnutrition duality. From the 2000 Living Standards
Measurement Study from Guatemala, we obtained a sample of 2492 households with
pairs of children 6-60 months and their mothers (18-49 years) and estimated the
prevalence of SCOM. Economic characteristics of this sample were assessed with
the Concentration Index (CI). Results revealed higher prevalence of child
stunting, but a lower prevalence of maternal overweight among the poor compared
to the rich households. Economic inequality in child stunting was greater than
economic inequality in maternal overweight (CI=-0.22 vs. +0.14). SCOM pairs were
more prevalent among the poor and middle SES groups as compared to the rich
households. A multivariate logistic regression model showed that SCOM was more
likely to occur in households from the middle consumption quintile than in those
from the first quintile (odds ratio=1.7). The findings reported here add new
insights into the complex phenomenon observed in households with both extremes of
the malnutrition continuum, and support the need for the identification of
economic, social and biological interventions aimed at, on the one hand, the
prevention of this duality of the malnutrition in those households where it is
still non-existent, and on the other hand, to deter or correct the economic,
social and biological environments where those mother-child dyads are already
affected by such phenomena.
PMID- 21889429
TI - Internalization of the thin and muscular body ideal and disordered eating in
adolescence: the mediation effects of body esteem.
AB - This study investigates body esteem factors (weight-esteem and appearance-esteem)
as mediators of the relationship between 'internalization of the ideal body
figure' and disordered eating behaviors (restrained, emotional and external
eating) in a community sample of adolescent males (n=810) and females (n=1137)
from the Ontario Research on Eating and Adolescent Lifestyles (REAL) study.
Mediation models were examined using a bootstrapping approach to test indirect
effects and indirect contrasts. In males, weight-esteem partially mediated the
relationship between muscular ideal and restrained eating; appearance-esteem
partially mediated effects in the emotional and external eating regressions. In
females, both weight-esteem and appearance-esteem partially mediated the
relationship between thin ideal and all three forms of disordered eating; weight
esteem was a stronger mediator for restrained eating, and appearance-esteem a
stronger mediator for emotional and external eating. Body esteem is important to
consider for prevention and treatment of disordered eating in both genders.
PMID- 21889430
TI - Thrombotic and bleeding disorders in perinatal medicine.
PMID- 21889431
TI - Factors that may influence midwives work-related stress and burnout.
AB - RESEARCH QUESTION: To determine the incidence and level of work-related stress
and burnout in midwives and contributing and protective demographic factors that
may influence those levels. PARTICIPANTS AND METHOD: All registered midwives
(152) working in two public hospital maternity units within the same health
service district in NSW completed the Maslach Burnout Inventory Human Services
Survey and a demographic survey including care model, shift work, lifestyle data
and exercise level. FINDINGS: There was a response rate of 36.8% with 56 (56/152)
midwives completing the surveys. Almost two thirds (60.7%) of midwives in this
sample experienced moderate to high levels of emotional exhaustion, a third
(30.3%) scoring low personal accomplishment and a third (30.3%) experiencing
depersonalization related to burnout. Significant differences were found among
groups of midwives according to years in the profession, shifts worked, how many
women with multiple psychosocial issues were included in the midwife's workload
and the midwife's uptake of physical exercise. Those midwives who had spent
longer in the profession and exercised scored low burnout levels. CONCLUSION: The
impact of years in the profession, shifts worked, how many women with multiple
psychosocial issues were included in their workload and the midwife's level of
exercise significantly affected how these midwives dealt with burnout and
provided care for women. As the response rate was low, and the study cannot be
generalised to the entire midwifery workforce but provides important insights for
further research. Understanding factors related to burnout can benefit health
care institutions financially and in terms of human costs, especially in view of
consistent international shortages of midwives.
PMID- 21889432
TI - Submental sensitive transcutaneous electrical stimulation (SSTES) at home in
neurogenic oropharyngeal dysphagia: a pilot study.
AB - OBJECTIVE: Oropharyngeal dysphagia is frequent in chronic neurological disorders
and increases mortality, mainly due to pulmonary complications. Our aim was to
show that submental sensitive transcutaneous electrical stimulation (SSTES)
applied during swallowing at home can improve swallowing function in patients
with chronic neurological disorders. METHODS: Thirteen patients were recruited
for the study (4 f, 68 +/- 12 years). They all suffered from neurogenic
oropharyngeal dysphagia. We first compared the swallowing of paste and liquid
with and without SSTES. Thereafter, the patients were asked to perform SSTES at
home with each meal. Swallowing was evaluated before and after six weeks of SSTES
using the SWAL-QoL questionnaire. RESULTS: With the stimulator switch turned on,
swallowing coordination improved, with a decrease in swallow reaction time for
the liquid (P<0.05) and paste boluses (P<0.01). Aspiration scores also decreased
significantly with the electrical stimulations (P<0.05), with no change in
stasis. At-home compliance was excellent and most patients tolerated the
electrical stimulations with no discomfort. A comparison of the SWAL-QoL
questionnaires after 6 weeks revealed an improvement in the burden (P=0.001),
fatigue (P<0.05), and pharyngeal symptom (P<0.001) scales. CONCLUSION: The
present study demonstrated that SSTES is easy to use at home and improves
oropharyngeal dysphagia quality of life.
PMID- 21889433
TI - Racial differences in the presentation and outcomes of chronic lymphocytic
leukemia and variants in the United States.
AB - BACKGROUND: Chronic lymphocytic leukemia (CLL) is the most common form of adult
leukemia in the United States, and prolymphocytic leukemia (PLL) is a related,
rare chronic lymphoproliferative disorder. METHODS: Using the United States
Surveillance, Epidemiology and End Results (SEER) data from 13 registries, we
examined differences in incidence and survival for CLL, small lymphocytic
lymphoma (SLL) and PLL by race. International Classification of Diseases for
Oncology 3(rd) edition histology codes 9670, 9823, and 9632-34 were used to
identify cases. RESULTS: From 1992 to 2007, 30,622 cases of CLL/SLL and 268 cases
of PLL were recorded. Males had higher incidence than females (male-to-female
incidence rate ratio CLL/SLL 1.89, 95% confidence interval (CI) 1.85-1.94 and PLL
2.47, 95%CI 1.90-3.20). Black patients were diagnosed at younger age compared to
white patients (mean age at diagnosis for white versus black patients for
CLL/SLL, 70 versus 67 years, P < .001; for PLL, 71 versus 61 years, P < .001).
Greater proportion of black patients with SLL presented with B symptoms,
extranodal primary site, and advanced disease compared to white patients (P =
.003, P = .012, and P = .009 respectively). White patients with CLL/SLL had
better survival rates than black patients (5-year relative survival rate 77.1%
versus 63.9%, P < .01). In univariate Cox regression models, black race, male
gender, age at diagnosis > 65 years, advanced stage, and B-symptoms were
predictors of worse survival (P < .01) among CLL/SLL patients. CONCLUSIONS: Black
patients with CLL/SLL and PLL present at younger age and black patients with
CLL/SLL have worse survival than white patients. Epidemiological studies
examining the biological variants of these diseases in concert with race are
needed to elucidate the etiology of these disparities.
PMID- 21889434
TI - EBV-positive diffuse large b-cell lymphoma in young immunocompetent individuals.
PMID- 21889435
TI - Ribosomal protein metallopanstimulin-1 impairs multiple myeloma CAG cells growth
and inhibits fibroblast growth factor receptor 3.
AB - INTRODUCTION: It was demonstrated that metallopanstimulin-1 (MPS-1, RPS27)
inhibited the growth of tumors formed by head and neck squamous cell carcinoma
cells and reduced paxillin gene expression. METHODS: The present study examined
whether and how MPS-1 affects another type of cancer, multiple myeloma (CAG).
Enhanced expression of MPS-1 dramatically inhibited CAG in vitro and in vivo.
RESULTS: Overexpression of MPS-1 resulted in decreased fibroblast growth factor
(FGF2) receptor 3 and impaired endogenous MAPK/ErK signaling. MAPK/ErK signaling
was not stimulated by adding recombinant FGF2 to myeloma cells overexpressing MPS
1. CONCLUSIONS: These data suggest that MPS-1 suppresses CAG growth and that
weakened FGF2 signaling may contribute to this effect.
PMID- 21889436
TI - Genetic and environmental influences on impulsivity: a meta-analysis of twin,
family and adoption studies.
AB - A meta-analysis of twin, family and adoption studies was conducted to estimate
the magnitude of genetic and environmental influences on impulsivity. The best
fitting model for 41 key studies (58 independent samples from 14 month old
infants to adults; N=27,147) included equal proportions of variance due to
genetic (0.50) and non-shared environmental (0.50) influences, with genetic
effects being both additive (0.38) and non-additive (0.12). Shared environmental
effects were unimportant in explaining individual differences in impulsivity.
Age, sex, and study design (twin vs. adoption) were all significant moderators of
the magnitude of genetic and environmental influences on impulsivity. The
relative contribution of genetic effects (broad sense heritability) and unique
environmental effects were also found to be important throughout development from
childhood to adulthood. Total genetic effects were found to be important for all
ages, but appeared to be strongest in children. Analyses also demonstrated that
genetic effects appeared to be stronger in males than in females. Method of
assessment (laboratory tasks vs. questionnaires), however, was not a significant
moderator of the genetic and environmental influences on impulsivity. These
results provide a structured synthesis of existing behavior genetic studies on
impulsivity by providing a clearer understanding of the relative genetic and
environmental contributions in impulsive traits through various stages of
development.
PMID- 21889437
TI - Collective motion of surfactant-producing bacteria imparts superdiffusivity to
their upper surface.
AB - Swarming bacteria move on agar surfaces in groups, using flagella as motive
organelles. Motility depends critically on surface wetness, which is enabled by
osmotic agents and surfactants secreted by the bacteria. In a recent study, the
upper surface of an Escherichia coli swarm was found to be stationary, as
determined from the motion of MgO particles deposited on the swarm. This led to
the remarkable conclusion that the bacteria move between two stationary surfaces
the agar gel below and the liquid/air interface above. That study suggested that
secreted surfactants may contribute to immobilizing the upper surface of a swarm.
Here, we test this proposition using two robust surfactant-producing bacteria. We
find antithetically that the upper surfaces of both these swarms are mobile,
showing a superdiffusive behavior in swarms with stronger surfactant activity.
Superdiffusive behavior was not observed on the surface of a drop of bacterial
culture, on bacteria-free culture supernatant, or on nonswarming surfactant
producer colonies, which suggests that superdiffusion is an emergent property
resulting from the interaction of the collective motion of the bacteria within
the swarm with the surfactant layer above. Swarming not only allows bacteria to
forage for food, but also confers protective advantages against antimicrobial
agents. Our results are therefore relevant to superdiffusive strategies in
biological foraging and survival.
PMID- 21889438
TI - Rapid, massively parallel single-cell drug response measurements via live cell
interferometry.
AB - A central question in cancer therapy is how individual cells within a population
of tumor cells respond to drugs designed to arrest their growth. However, the
absolute growth of cells, their change in physical mass, whether cancerous or
physiologic, is difficult to measure directly with traditional techniques. Here,
we develop live cell interferometry for rapid, real-time quantification of cell
mass in cells exposed to a changing environment. We used tunicamycin induction of
the unfolded protein stress response in multiple myeloma cells to generate a mass
response that was temporally profiled for hundreds of cells simultaneously.
Within 2 h, the treated cells were growth suppressed compared to controls, with a
few cells in both populations showing a robust increase (+15%) or little change
(<5%) in mass accumulation. Overall, live cell interferometry provides a
conceptual advance for assessing cell populations to identify, monitor, and
measure single cell responses, such as to therapeutic drugs.
PMID- 21889440
TI - Spontaneous contractility-mediated cortical flow generates cell migration in
three-dimensional environments.
AB - We present a model of cell motility generated by actomyosin contraction of the
cell cortex. We identify, analytically, dynamical instabilities of the cortex and
show that they yield steady-state cortical flows, which, in turn, can induce cell
migration in three-dimensional environments. This mechanism relies on the
regulation of contractility by myosin, whose transport is explicitly taken into
account in the model. Theoretical predictions are compared to experimental data
of tumor cells migrating in three-dimensional matrigel and suggest that this
mechanism could be a general mode of cell migration in three-dimensional
environments.
PMID- 21889439
TI - Bistability of cell adhesion in shear flow.
AB - Cell adhesion plays a central role in multicellular organisms helping to maintain
their integrity and homeostasis. This complex process involves many different
types of adhesion proteins, and synergetic behavior of these proteins during cell
adhesion is frequently observed in experiments. A well-known example is the
cooperation of rolling and stationary adhesion proteins during the leukocytes
extravasation. Despite the fact that such cooperation is vital for proper
functioning of the immune system, its origin is not fully understood. In this
study we constructed a simple analytic model of the interaction between a
leukocyte and the blood vessel wall in shear flow. The model predicts existence
of cell adhesion bistability, which results from a tug-of-war between two kinetic
processes taking place in the cell-wall contact area-bond formation and rupture.
Based on the model results, we suggest an interpretation of several cytoadhesion
experiments and propose a simple explanation of the existing synergy between
rolling and stationary adhesion proteins, which is vital for effective cell
adherence to the blood vessel walls in living organisms.
PMID- 21889441
TI - Similar endothelial glycocalyx structures in microvessels from a range of
mammalian tissues: evidence for a common filtering mechanism?
AB - The glycocalyx or endocapillary layer on the luminal surface of microvessels has
a major role in the exclusion of macromolecules from the underlying endothelial
cells. Current structural evidence in the capillaries of frog mesentery indicates
a regularity in the structure of the glycocalyx, with a center-to-center fiber
spacing of 20 nm and a fiber width of 12 nm, which might explain the observed
macromolecular filtering properties. In this study, we used electron micrographs
of tissues prepared using perfusion fixation and tannic acid treatment. The
digitized images were analyzed using autocorrelation to find common spacings and
to establish whether similar structures, hence mechanisms, are present in the
microvessel glycocalyces of a variety of mammalian tissues. Continuous glycocalyx
layers in mammalian microvessels of choroid, renal tubules, glomerulus, and psoas
muscle all showed similar lateral spacings at ~19.5 nm (possibly in a
quasitetragonal lattice) and longer spacings above 100 nm. Individual glycocalyx
tufts above fenestrations in the first three of these tissues and also in stomach
fundus and jejunum showed evidence for similar short-range structural regularity,
but with more disorder. The fiber diameter was estimated as 18.8 (+/- 0.2) nm,
but we believe this is an overestimate because of the staining method used. The
implications of these findings are discussed.
PMID- 21889442
TI - Rectification of the channelrhodopsin early conductance.
AB - We analyzed the nonlinear current-voltage relationships of the early conducting
state of channelrhodopsin-2 expressed in Xenopus oocytes and human embryonic
kidney cells with respect to changes of the electrochemical gradients of H(+),
Na(+)/K(+), and Ca(2+)/Mg(2+). Several models were tested for wild-type ChR2 and
mutations at positions E90, E123, H134, and T159. Voltage-gating was excluded as
cause for the nonlinearity. However, a general enzyme kinetic model with one
predominant binding site yielded good fits throughout. The empty site with an
apparent charge number of about -0.3 and strong external cation binding causes
some inward rectification of the uniport function. Additional inward
rectification is due to asymmetric competition from outside between the
transported ion species. Significant improvement of the fits was achieved by
introducing an elastic voltage-divider formed by the voltage-sensitive barriers.
PMID- 21889443
TI - The LIM domain of zyxin is sufficient for force-induced accumulation of zyxin
during cell migration.
AB - Cellular responses to mechanical perturbation are vital to cell physiology. In
particular, migrating cells have been shown to sense substrate stiffness and
alter cell morphology and speed. Zyxin is a focal adhesion protein that responds
to external mechanical forces; however, the mechanisms of zyxin recruitment at
force-bearing sites are unknown. Using force-sensing microfabricated substrates,
we simultaneously measured traction force and zyxin recruitment at force-bearing
sites. GFP-tagged zyxin accumulates at force-bearing sites at the leading edge,
but not at the trailing edge, of migrating epithelial cells. Zyxin recruitment at
force-bearing sites depends on Rho-kinase and myosin II activation, suggesting
that zyxin responds not only to the externally applied force, as previously
shown, but also to the internally generated actin-myosin force. Zyxin in turn
recruits vasodilator-stimulated phosphoprotein, a regulator of actin assembly, to
force-bearing sites. To dissect the domains of zyxin that are essential for this
unique force-dependent accumulation, we generated two zyxin truncation mutants:
one lacking the LIM domain (DeltaLIM) and one containing only the LIM domain with
all three LIM motifs (LIM). GFP-tagged DeltaLIM does not localize to the force
bearing sites, but GFP-tagged zyxin LIM-domain is sufficient for the recruitment
to and dynamics at force-bearing focal adhesions. Furthermore, one or two LIM
motifs are not sufficient for force-dependent accumulation, suggesting that all
three LIM motifs are required. Therefore, the LIM domain of zyxin recruits zyxin
to force-bearing sites at the leading edge of migrating cells.
PMID- 21889444
TI - Biomimetic N-terminal alkylation of peptoid analogues of surfactant protein C.
AB - Surfactant protein C (SP-C) is a hydrophobic lipopeptide that is critical for
lung function, in part because it physically catalyzes the formation of surface
associated surfactant reservoirs. Many of SP-C's key biophysical properties
derive from its highly stable and hydrophobic alpha-helix. However, SP-C's
posttranslational modification with N-terminal palmitoyl chains also seems to be
quite important. We created a new (to our knowledge) class of variants of a
synthetic, biomimetic family of peptide mimics (peptoids) that allow us to study
the functional effects of biomimetic N-terminal alkylation in vitro. Mimics were
designed to emulate the amphipathic patterning, helicity, and hydrophobicity of
SP-C, and to include no, one, or two vicinal amide-linked, N-terminal octadecyl
chains (providing a reach equivalent to that of natural palmitoyl chains).
Pulsating bubble surfactometry and Langmuir-Wilhelmy surface balance studies
showed that alkylation improved biomimetic surface activities, yielding lower
film compressibility and lower maximum dynamic surface tensions. Atomic force
microscopy studies indicated that alkyl chains bind to and retain segregated
interfacial surfactant phases at low surface tensions by inducing 3D structural
transitions in the monolayer's fluid-like phase, forming surfactant-associated
reservoirs. Peptoid-based SP-C mimics are easily produced and purified, and offer
much higher chemical and secondary structure stability than polypeptide-based
mimics. In surfactant replacements intended for medical use, synthetic SP mimics
reduce the odds of pathogen contamination, which may facilitate the wider use of
surfactant treatment of respiratory disorders and diseases.
PMID- 21889445
TI - Amphipathic antimicrobial piscidin in magnetically aligned lipid bilayers.
AB - The amphipathic antimicrobial peptide piscidin 1 was studied in magnetically
aligned phospholipid bilayers by oriented-sample solid-state NMR spectroscopy.
(31)P NMR and double-resonance (1)H/(15)N NMR experiments performed between 25
degrees C and 61 degrees C enabled the lipid headgroups as well as the peptide
amide sites to be monitored over a range of temperatures. The alpha-helical
peptide dramatically affects the phase behavior and structure of anionic bilayers
but not those of zwitterionic bilayers. Piscidin 1 stabilizes anionic bilayers,
which remain well aligned up to 61 degrees C when piscidin 1 is on the membrane
surface. Two-dimensional separated-local-field experiments show that the tilt
angle of the peptide is 80 +/- 5 degrees , in agreement with previous results on
mechanically aligned bilayers. The peptide undergoes fast rotational diffusion
about the bilayer normal under these conditions, and these studies demonstrate
that magnetically aligned bilayers are well suited for structural studies of
amphipathic peptides.
PMID- 21889446
TI - Hemagglutinin fusion peptide mutants in model membranes: structural properties,
membrane physical properties, and PEG-mediated fusion.
AB - While the importance of viral fusion peptides (e.g., hemagglutinin (HA) and gp41)
in virus-cell membrane fusion is established, it is unclear how these peptides
enhance membrane fusion, especially at low peptide/lipid ratios for which the
peptides are not lytic. We assayed wild-type HA fusion peptide and two mutants,
G1E and G13L, for their effects on the bilayer structure of 1,2-dioleoyl-3-sn
phosphatidylcholine/1,2-dioleoyl-3-sn
phosphatidylethanolamine/Sphingomyelin/Cholesterol (35:30:15:20) membranes, their
structures in the lipid bilayer, and their effects on membrane fusion. All
peptides bound to highly curved vesicles, but fusion was triggered only in the
presence of poly(ethylene glycol). At low (1:200) peptide/lipid ratios, wild-type
peptide enhanced remarkably the extent of content mixing and leakage along with
the rate constants for these processes, and significantly enhanced the bilayer
interior packing and filled the membrane free volume. The mutants caused no
change in contents mixing or interior packing. Circular dichroism, polarized
attenuated total-internal-reflection Fourier-transform infrared spectroscopy
measurements, and membrane perturbation measurements all conform to the inverted
V model for the structure of wild-type HA peptide. Similar measurements suggest
that the G13L mutant adopts a less helical conformation in which the N-terminus
moves closer to the bilayer interface, thus disrupting the V-structure. The G1E
peptide barely perturbs the bilayer and may locate slightly above the interface.
Fusion measurements suggest that the wild-type peptide promotes conversion of the
stalk to an expanded trans-membrane contact intermediate through its ability to
occupy hydrophobic space in a trans-membrane contact structure. While wild-type
peptide increases the rate of initial intermediate and final pore formation, our
results do not speak to the mechanisms for these effects, but they do leave open
the possibility that it stabilizes the transition states for these events.
PMID- 21889447
TI - Hysteresis-based mechanism for the directed motility of the Ncd motor.
AB - Ncd is a Kinesin-14 family protein that walks to the microtubule's minus end.
Although available structures show its alpha-helical neck in either pre- or post
stroke orientations, little is known about the transition between these two
states. Using a combination of molecular dynamics simulations and structural
analyses, we find that the neck sequentially makes intermediate contacts with the
motor head along its mostly longitudinal path, and it develops a 24 degrees
twist in the post-stroke orientation. The forward (pre-stroke to post-stroke)
motion has an ~4.5 k(B)T (where k(B) is the Boltzmann constant, and T=300 K) free
energy barrier and is a diffusion guided by the intermediate contacts. The post
stroke free-energy minimum is higher and is formed ~10 degrees before reaching
the orientation in the post-stroke crystal structure, consistent with previous
structural data. The importance of intermediate contacts correlates with the
existing motility data, including those for mutant Ncds. Unlike the forward
motion, the recovery stroke goes nearly downhill in free energy, powered in part
by torsional relaxation of the neck. The hysteresis in the energetics of the neck
motion arises from the mechanical compliance of the protein, and together with
guided diffusion, it may be key to the directed motility of Ncd.
PMID- 21889448
TI - Disrupting the myosin converter-relay interface impairs Drosophila indirect
flight muscle performance.
AB - Structural interactions between the myosin converter and relay domains have been
proposed to be critical for the myosin power stroke and muscle power generation.
We tested this hypothesis by mutating converter residue 759, which interacts with
relay residues I508, N509, and D511, to glutamate (R759E) and determined the
effect on Drosophila indirect flight muscle mechanical performance. Work loop
analysis of mutant R759E indirect flight muscle fibers revealed a 58% and 31%
reduction in maximum power generation (P(WL)) and the frequency at which maximum
power (f(WL)) is generated, respectively, compared to control fibers at 15
degrees C. Small amplitude sinusoidal analysis revealed a 30%, 36%, and 32%
reduction in mutant elastic modulus, viscous modulus, and mechanical rate
constant 2pib, respectively. From these results, we infer that the mutation
reduces rates of transitions through work-producing cross-bridge states and/or
force generation during strongly bound states. The reductions in muscle power
output, stiffness, and kinetics were physiologically relevant, as mutant wing
beat frequency and flight index decreased about 10% and 45% compared to control
flies at both 15 degrees C and 25 degrees C. Thus, interactions between the
relay loop and converter domain are critical for lever-arm and catalytic domain
coordination, high muscle power generation, and optimal Drosophila flight
performance.
PMID- 21889449
TI - High-affinity quasi-specific sites in the genome: how the DNA-binding proteins
cope with them.
AB - Many prokaryotic transcription factors home in on one or a few target sites in
the presence of a huge number of nonspecific sites. Our analysis of lambda
repressor in the Escherichia coli genome based on single basepair substitution
experiments shows the presence of hundreds of sites having binding energy within
3 Kcal/mole of the O(R)1 binding energy, and thousands of sites with binding
energy above the nonspecific binding energy. The effect of such sites on DNA
based processes has not been fully explored. The presence of such sites
dramatically lowers the occupation probability of the specific site far more than
if the genome were composed of nonspecific sites only. Our Brownian dynamics
studies show that the presence of quasi-specific sites results in very
significant kinetic effects as well. In contrast to lambda-repressor, the E. coli
genome has orders of magnitude lower quasi-specific sites for GalR, an integral
transcription factor, thus causing little competition for the specific site. We
propose that GalR and perhaps repressors of the same family have evolved binding
modes that lead to much smaller numbers of quasi-specific sites to remove the
untoward effects of genomic DNA.
PMID- 21889450
TI - Predicting the effects of basepair mutations in DNA-protein complexes by
thermodynamic integration.
AB - Thermodynamically rigorous free energy methods in principle allow the exact
computation of binding free energies in biological systems. Here, we use
thermodynamic integration together with molecular dynamics simulations of a DNA
protein complex to compute relative binding free energies of a series of mutants
of a protein-binding DNA operator sequence. A guanine-cytosine basepair that
interacts strongly with the DNA-binding protein is mutated into adenine-thymine,
cytosine-guanine, and thymine-adenine. It is shown that basepair mutations can be
performed using a conservative protocol that gives error estimates of ~10% of the
change in free energy of binding. Despite the high CPU-time requirements, this
work opens the exciting opportunity of being able to perform basepair scans to
investigate protein-DNA binding specificity in great detail computationally.
PMID- 21889451
TI - The binding process of a nonspecific enzyme with DNA.
AB - Protein-DNA recognition of a nonspecific complex is modeled to understand the
nature of the transient encounter states. We consider the structural and
energetic features and the role of water in the DNA grooves in the process of
protein-DNA recognition. Here we have used the nuclease domain of colicin E7 (N
ColE7) from Escherichia coli in complex with a 12-bp DNA duplex as the model
system to consider how a protein approaches, encounters, and associates with DNA.
Multiscale simulation studies using Brownian dynamics and molecular-dynamics
simulations were performed to provide the binding process on multiple length- and
timescales. We define the encounter states and identified the spatial and
orientational aspects. For the molecular length-scales, we used molecular
dynamics simulations. Several intermediate binding states were found, which have
different positions and orientations of protein around DNA including major and
minor groove orientations. The results show that the contact number and the
hydrated interfacial area are measures that facilitate better understanding of
sequence-independent protein-DNA binding landscapes and pathways.
PMID- 21889452
TI - Orientation of cyanine fluorophores terminally attached to DNA via long, flexible
tethers.
AB - Cyanine fluorophores are commonly used in single-molecule FRET experiments with
nucleic acids. We have previously shown that indocarbocyanine fluorophores
attached to the 5'-termini of DNA and RNA via three-carbon atom linkers stack on
the ends of the helix, orienting their transition moments. We now investigate the
orientation of sulfoindocarbocyanine fluorophores tethered to the 5'-termini of
DNA via 13-atom linkers. Fluorescence lifetime measurements of
sulfoindocarbocyanine 3 attached to double-stranded DNA indicate that the
fluorophore is extensively stacked onto the terminal basepair at 15 degrees C,
with properties that depend on the terminal sequence. In single molecules of
duplex DNA, FRET efficiency between sulfoindocarbocyanine 3 and 5 attached in
this manner is modulated with helix length, indicative of fluorophore orientation
and consistent with stacked fluorophores that can undergo lateral motion. We
conclude that terminal stacking is an intrinsic property of the cyanine
fluorophores irrespective of the length of the tether and the presence or absence
of sulfonyl groups. However, compared to short-tether indocarbocyanine, the mean
rotational relationship between the two fluorophores is changed by ~60 degrees
for the long-tether sulfoindocarbocyanine fluorophores. This is consistent with
the transition moments becoming approximately aligned with the long axis of the
terminal basepair for the long-linker species.
PMID- 21889453
TI - Development of a "modular" scheme to describe the kinetics of transcript
elongation by RNA polymerase.
AB - Transcript elongation by RNA polymerase involves the sequential appearance of
several alternative and off-pathway states of the transcript elongation complex
(TEC), and this complicates modeling of the kinetics of the transcription
elongation process. Based on solutions of the chemical master equation for such
transcription systems as a function of time, we here develop a modular scheme for
simulating such kinetic transcription data. This scheme deals explicitly with the
problem of TEC desynchronization as transcript synthesis proceeds, and develops
kinetic modules to permit the various alternative states of the TECs (paused
states, backtracked states, arrested states, and terminated states) to be
introduced one-by-one as needed. In this way, we can set up a comprehensive
kinetic model of appropriate complexity to fit the known transcriptional
properties of any given DNA template and set of experimental conditions,
including regulatory cofactors. In the companion article, this modular scheme is
successfully used to model kinetic transcription elongation data obtained by bulk
gel electrophoresis quenching procedures and real-time surface plasmon resonance
methods from a template of known sequence that contains defined pause, stall, and
termination sites.
PMID- 21889454
TI - Fitting experimental transcription data with a comprehensive template-dependent
modular kinetic model.
AB - In the companion article, we developed a modular scheme for representing the
kinetics of transcription elongation by RNA polymerase. As an example of how to
use these approaches, in this article we use a comprehensive modular model of
this sort to fit experimental transcript elongation results obtained on the
canonical tR2 template of phage lambda by means of complementary bulk gel
electrophoresis and surface plasmon resonance assays. The gel electrophoresis
results, obtained in experiments quenched at various times after initiation of
transcription, provide distributions of RNA lengths as a function of time. The
surface plasmon resonance methods were used to monitor increases and decreases in
the total mass of transcription elongation complexes in the same experiments. The
different measures of transcription dynamics that these methods provide allow us
to use them in combination to obtain a set of largely robust and well-defined
kinetic parameters. The results show that our modular approach can be used to
develop and test predictive kinetic schemes that can be fit to real transcription
elongation data. They also suggest that these approaches can be extended to
simulate the kinetics of other processes that involve the processive extension or
shortening of nucleic acid chains and related systems of sequential branching
reaction events.
PMID- 21889455
TI - Rationalizing 5000-fold differences in receptor-binding rate constants of four
cytokines.
AB - The four cytokines erythropoietin (EPO), interleukin-4 (IL4), human growth
hormone (hGH), and prolactin (PRL) all form four-helix bundles and bind to type I
cytokine receptors. However, their receptor-binding rate constants span a 5000
fold range. Here, we quantitatively rationalize these vast differences in rate
constants by our transient-complex theory for protein-protein association. In the
transient complex, the two proteins have near-native separation and relative
orientation, but have yet to form the short-range specific interactions of the
native complex. The theory predicts the association rate constant as
k(a)=k(a0)exp(-DeltaG(el)(*)/k(B)T) where k(a0) is the basal rate constant for
reaching the transient complex by random diffusion, and the Boltzmann factor
captures the rate enhancement due to electrostatic attraction. We found that the
vast differences in receptor-binding rate constants of the four cytokines arise
mostly from the differences in charge complementarity among the four cytokine
receptor complexes. The basal rate constants (k(a0)) of EPO, IL4, hGH, and PRL
were similar (5.2 * 10(5) M(-1)s(-1), 2.4 * 10(5) M(-1)s(-1), 1.7 * 10(5) M(-1)s(
1), and 1.7 * 10(5) M(-1)s(-1), respectively). However, the average electrostatic
free energies (DeltaG(e1)(*)) were very different (-4.2 kcal/mol, -2.4 kcal/mol,
0.1 kcal/mol, and -0.5 kcal/mol, respectively, at ionic strength=160 mM). The
receptor-binding rate constants predicted without adjusting any parameters, 6.2 *
10(8) M(-1)s(-1), 1.3 * 10(7) M(-1)s(-1), 2.0 * 10(5) M(-1)s(-1), and 7.6 * 10(4)
M(-1)s(-1), respectively, for EPO, IL4, hGH, and PRL agree well with experimental
results. We uncover that these diverse rate constants are anticorrelated with the
circulation concentrations of the cytokines, with the resulting cytokine-receptor
binding rates very close to the limits set by the half-lives of the receptors,
suggesting that these binding rates are functionally relevant and perhaps
evolutionarily tuned. Our calculations also reproduced well-observed effects of
mutations and ionic strength on the rate constants and produced a set of
mutations on the complex of hGH with its receptor that putatively enhances the
rate constant by nearly 100-fold through increasing charge complementarity. To
quantify charge complementarity, we propose a simple index based on the charge
distribution within the binding interface, which shows good correlation with
DeltaG(e1)(*). Together these results suggest that protein charges can be
manipulated to tune k(a) and control biological function.
PMID- 21889456
TI - On the involvement of single-bond rotation in the primary photochemistry of
photoactive yellow protein.
AB - Prior experimental observations, as well as theoretical considerations, have led
to the proposal that C(4)-C(7) single-bond rotation may play an important role in
the primary photochemistry of photoactive yellow protein (PYP). We therefore
synthesized an analog of this protein's 4-hydroxy-cinnamic acid chromophore, (5
hydroxy indan-(1E)-ylidene)acetic acid, in which rotation across the C(4)-C(7)
single bond has been locked with an ethane bridge, and we reconstituted the apo
form of the wild-type protein and its R52A derivative with this chromophore
analog. In PYP reconstituted with the rotation-locked chromophore, 1), absorption
spectra of ground and intermediate states are slightly blue-shifted; 2), the
quantum yield of photochemistry is ~60% reduced; 3), the excited-state dynamics
of the chromophore are accelerated; and 4), dynamics of the thermal recovery
reaction of the protein are accelerated. A significant finding was that the yield
of the transient ground-state intermediate in the early phase of the photocycle
was considerably higher in the rotation-locked samples than in the corresponding
samples reconstituted with p-coumaric acid. In contrast to theoretical
predictions, the initial photocycle dynamics of PYP were observed to be not
affected by the charge of the amino acid residue at position 52, which was varied
by 1), varying the pH of the sample between 5 and 10; and 2), site-directed
mutagenesis to construct R52A. These results imply that C(4)-C(7) single-bond
rotation in PYP is not an alternative to C(7)=C(8) double-bond rotation, in case
the nearby positive charge of R52 is absent, but rather facilitates, presumably
with a compensatory movement, the physiological Z/E isomerization of the blue
light-absorbing chromophore.
PMID- 21889457
TI - Solution and solid-state NMR structural studies of antimicrobial peptides LPcin-I
and LPcin-II.
AB - Lactophoricin (LPcin-I) is an antimicrobial, amphiphatic, cationic peptide with
23-amino acid residues isolated from bovine milk. Its analogous peptide, LPcin
II, lacks six N-terminal amino acids compared to LPcin-I. Interestingly, LPcin-II
does not display any antimicrobial activity, whereas LPcin-I inhibits the growth
of both Gram-negative and Gram-positive bacteria without exhibiting any hemolytic
activity. Uniformly (15)N-labeled LPcin peptides were prepared by the recombinant
expression of fusion proteins in Escherichia coli, and their properties were
characterized by electrospray ionization mass spectrometry, circular dichroism
spectroscopy, and antimicrobial activity tests. To understand the structure
activity relationship of these two peptides, they were studied in model membrane
environments by a combination of solution and solid-state NMR spectroscopy. We
determined the tertiary structure of LPcin-I and LPcin-II in the presence of
dodecylphosphorylcholine micelles by solution NMR spectroscopy. Magnetically
aligned unflipped bicelle samples were used to investigate the structure and
topology of LPcin-I and LPcin-II by solid-state NMR spectroscopy.
PMID- 21889458
TI - Effect of zinc binding on beta-amyloid structure and dynamics: implications for
Abeta aggregation.
AB - Assembly of beta-amyloid (Abeta) peptide into toxic oligomers is widely believed
to initiate Alzheimer's disease pathogenesis. Under in vitro physiological
conditions, zinc (Zn(II)) can bind to Abeta and redirect its assembly from
amyloid fibrillar toward less toxic amorphous aggregation. Propensity of Abeta to
go toward a specific form of aggregate state is determined by structural and
dynamical properties of the initial monomeric as well as the aggregate state.
Here we probe the structural and dynamical impact of binding of Zn(II) to
monomeric Abeta40 using NMR spectroscopy. To obtain further support for the
importance of intrinsic dynamics in the aggregation precursor, (15)N relaxation
measurements were also performed for Abeta42, the more fibrillar aggregation
prone variant of Abeta. The combined data suggest that, upon Zn(II)-binding to
the N-terminus of Abeta40, a relatively rigid turnlike structure is induced at
residues Val(24)-Lys(28) whereas the residues flanking this region become more
mobile on the picosecond-to-nanosecond timescale. This is in contrast to the
increased rigidity of Abeta42 at the C-terminus, and proposed to be linked to the
higher propensity of Zn(II)-bound peptide to form amorphous aggregates with less
entropic penalties than their fibrillar counterparts.
PMID- 21889459
TI - Molecular dynamics simulation of Bombolitin II in the
dipalmitoylphosphatidylcholine membrane bilayer.
AB - The orientation behavior of Bombolitin II (BLT2) in the
dipalmitoylphosphatidylcholine membrane bilayer was investigated by using
molecular-dynamics simulation. During the 20-ns simulation, the BLT2 began to
tilt and finally reached the angle of 51 degrees from the membrane-normal. The
structure of the peptide formed the amphipathic alpha-helical structure during
the entire simulation time. The peptide tilts with its hydrophobic side faced to
the hydrophobic core of the bilayer. We analyzed the mechanism of the tilting
behavior of the peptide associated with the membrane in detail. The analysis
showed that the hydrogen-bond interaction and the electrostatic interaction were
found to exist between Lys(12) and a lipid molecule. These interactions are
considered to work as an important factor in tilting the peptide to the membrane
normal.
PMID- 21889460
TI - Microsecond unfolding kinetics of sheep prion protein reveals an intermediate
that correlates with susceptibility to classical scrapie.
AB - The microsecond folding and unfolding kinetics of ovine prion proteins (ovPrP)
were measured under various solution conditions. A fragment comprising residues
94-233 of the full-length ovPrP was studied for four variants with differing
susceptibilities to classical scrapie in sheep. The observed biexponential
unfolding kinetics of ovPrP provides evidence for an intermediate species.
However, in contrast to previous results for human PrP, there is no evidence for
an intermediate under refolding conditions. Global analysis of the kinetic data,
based on a sequential three-state mechanism, quantitatively accounts for all
folding and unfolding data as a function of denaturant concentration. The
simulations predict that an intermediate accumulates under both folding and
unfolding conditions, but is observable only in unfolding experiments because the
intermediate is optically indistinguishable from the native state. The relative
population of intermediates in two ovPrP variants, both transiently and under
destabilizing equilibrium conditions, correlates with their propensities for
classical scrapie. The variant susceptible to classical scrapie has a larger
population of the intermediate state than the resistant variant. Thus, the
susceptible variant should be favored to undergo the PrP(C) to PrP(Sc) conversion
and oligomerization.
PMID- 21889461
TI - Differential mechanical stability of filamin A rod segments.
AB - Prompted by recent reports suggesting that interaction of filamin A (FLNa) with
its binding partners is regulated by mechanical force, we examined mechanical
properties of FLNa domains using magnetic tweezers. FLNa, an actin cross-linking
protein, consists of two subunits that dimerize through a C-terminal self
association domain. Each subunit contains an N-terminal spectrin-related actin
binding domain followed by 24 immunoglobulinlike (Ig) repeats. The Ig repeats in
the rod 1 segment (repeats 1-15) are arranged as a linear array, whereas rod 2
(repeats 16-23) is more compact due to interdomain interactions. In the rod 1
segment, repeats 9-15 augment F-actin binding to a much greater extent than do
repeats 1-8. Here, we report that the three segments are unfolded at different
forces under the same loading rate. Remarkably, we found that repeats 16-23 are
susceptible to forces of ~10 pN or even less, whereas the repeats in the rod 1
segment can withstand significantly higher forces. The differential force
response of FLNa Ig domains has broad implications, since these domains not only
support the tension of actin network but also interact with many transmembrane
and signaling proteins, mostly in the rod 2 segment. In particular, our finding
of unfolding of repeats 16-23 at ~10 pN or less is consistent with the
hypothesized force-sensing function of the rod 2 segment in FLNa.
PMID- 21889462
TI - Structure and dynamics of oligomeric intermediates in beta2-microglobulin self
assembly.
AB - beta(2)-Microglobulin is a 99-residue protein with a propensity to form amyloid
like fibrils in vitro which exhibit distinct morphologies dependent on the
solution conditions employed. Here we have used ion mobility spectrometry-mass
spectrometry to characterize the oligomeric species detected during the formation
of worm-like fibrils of beta(2)-microglobulin at pH 3.6. Immediately upon sample
dissolution, beta(2)-microglobulin monomer and oligomers-the latter ranging in
size from dimer to hexamer-are present as a pool of rapidly interconverting
species. Increasing the ionic strength of the solution initiates fibril formation
without a lag-phase whereupon these oligomers become more stable and higher-order
species (7-mer to >14-mer) are observed. The oligomers detected have collision
cross-sectional areas consistent with a linearly stacked assembly comprising
subunits of native-like volume. The results provide insights into the identity
and properties of the transient, oligomeric intermediates formed during assembly
of worm-like fibrils and identify species that differ significantly from the
oligomers previously characterized during the nucleated assembly of long,
straight fibrils. The data presented demonstrate the interrelationship between
different fibril-forming pathways and identify their points of divergence.
PMID- 21889463
TI - Structured functional domains of myelin basic protein: cross talk between actin
polymerization and Ca(2+)-dependent calmodulin interaction.
AB - The 18.5-kDa myelin basic protein (MBP), the most abundant isoform in human adult
myelin, is a multifunctional, intrinsically disordered protein that maintains
compact assembly of the sheath. Solution NMR spectroscopy and a hydrophobic
moment analysis of MBP's amino-acid sequence have previously revealed three
regions with high propensity to form strongly amphipathic alpha-helices. These
regions, located in the central, N- and C-terminal parts of the protein, have
been shown to play a role in the interactions of MBP with cytoskeletal proteins,
Src homology 3-domain-containing proteins, Ca(2+)-activated calmodulin (Ca(2+)
CaM), and myelin-mimetic membrane bilayers. Here, we have further characterized
the structure-function relationship of these three domains. We constructed three
recombinant peptides derived from the 18.5-kDa murine MBP: (A22-K56), (S72-S107),
and (S133-S159) (which are denoted alpha1, alpha2, and alpha3, respectively). We
used a variety of biophysical methods (circular dichroism spectroscopy,
isothermal titration calorimetry, transmission electron microscopy, fluorimetry,
and solution NMR spectroscopy and chemical shift index analysis) to characterize
the interactions of these peptides with actin and Ca(2+)-CaM. Our results show
that all three peptides can adopt alpha-helical structure inherently even in
aqueous solution. Both alpha1- and alpha3-peptides showed strong binding with
Ca(2+)-CaM, and both adopted an alpha-helical conformation upon interaction, but
the binding of the alpha3-peptide appeared to be more dynamic. Only the alpha1
peptide exhibited actin polymerization and bundling activity, and the addition of
Ca(2+)-CaM resulted in depolymerization of actin that had been polymerized by
alpha1. The results of this study proved that there is an N-terminal binding
domain in MBP for Ca(2+)-CaM (in addition to the primary site located in the C
terminus), and that it is sufficient for CaM-induced actin depolymerization.
These three domains of MBP represent molecular recognition fragments with
multiple roles in both membrane- and protein-association.
PMID- 21889464
TI - A modified FCCS procedure applied to Ly49A-MHC class I cis-interaction studies in
cell membranes.
AB - The activity of natural killer (NK) cells is regulated by a fine-tuned balance
between activating and inhibitory receptors. Dual-color fluorescence cross
correlation spectroscopy (FCCS) was used to directly demonstrate a so-called cis
interaction between a member of the inhibitory NK cell receptor family Ly49
(Ly49A), and its ligand, the major histocompatibility complex (MHC) class I,
within the plasma membrane of the same cell. By a refined FCCS model, calibrated
by positive and negative control experiments on cells from the same lymphoid cell
line, concentrations and diffusion coefficients of free and interacting proteins
could be determined on a collection of cells. Using the intrinsic intercellular
variation of their expression levels for titration, it was found that the
fraction of Ly49A receptors bound in cis increase with increasing amounts of MHC
class I ligand. This increase shows a tendency to be more abrupt than for a
diffusion limited - three dimensional bimolecular reaction, which most likely
reflects the two-dimensional confinement of the reaction. For the Ly49A- MHC
class I interaction it indicates that within a critical concentration range the
local concentration level of MHC class I can provide a distinct regulation
mechanism of the NK cell activity.
PMID- 21889465
TI - Submolecular-scale imaging of alpha-helices and C-terminal domains of tubulins by
frequency modulation atomic force microscopy in liquid.
AB - In this study, we directly imaged subnanometer-scale structures of tubulins by
performing frequency modulation atomic force microscopy (FM-AFM) in liquid.
Individual alpha-helices at the surface of a tubulin protofilament were imaged as
periodic corrugations with a spacing of 0.53 nm, which corresponds to the common
pitch of an alpha-helix backbone (0.54 nm). The identification of individual
alpha-helices allowed us to determine the orientation of the deposited tubulin
protofilament. As a result, C-terminal domains of tubulins were identified as
protrusions with a height of 0.4 nm from the surface of the tubulin. The imaging
mechanism for the observed subnanometer-scale contrasts is discussed in relation
to the possible structures of the C-terminal domains. Because the C-terminal
domains are chemically modified to regulate the interactions between tubulins and
other biomolecules (e.g., motor proteins and microtubule-associated proteins),
detailed structural information on individual C-terminal domains is valuable for
understanding such regulation mechanisms. The results obtained in this study
demonstrate that FM-AFM is capable of visualizing the structural variation of
tubulins with subnanometer resolution. This is an important first step toward
using FM-AFM to analyze the functions of tubulins.
PMID- 21889466
TI - STED nanoscopy of actin dynamics in synapses deep inside living brain slices.
AB - It is difficult to investigate the mechanisms that mediate long-term changes in
synapse function because synapses are small and deeply embedded inside brain
tissue. Although recent fluorescence nanoscopy techniques afford improved
resolution, they have so far been restricted to dissociated cells or tissue
surfaces. However, to study synapses under realistic conditions, one must image
several cell layers deep inside more-intact, three-dimensional preparations that
exhibit strong light scattering, such as brain slices or brains in vivo. Using
aberration-reducing optics, we demonstrate that it is possible to achieve
stimulated emission depletion superresolution imaging deep inside scattering
biological tissue. To illustrate the power of this novel (to our knowledge)
approach, we resolved distinct distributions of actin inside dendrites and spines
with a resolution of 60-80 nm in living organotypic brain slices at depths up to
120 MUm. In addition, time-lapse stimulated emission depletion imaging revealed
changes in actin-based structures inside spines and spine necks, and showed that
these dynamics can be modulated by neuronal activity. Our approach greatly
facilitates investigations of actin dynamics at the nanoscale within functionally
intact brain tissue.
PMID- 21889467
TI - Determination of IL28B polymorphisms in liver biopsies obtained after liver
transplantation.
AB - BACKGROUND & AIMS: Recipient and donor IL28B polymorphisms seem to play an
important role in the response to hepatitis C treatment after liver
transplantation (LT). Since donor peripheral blood mononuclear cells (PBMC) are
not always available, the aim of our study was to assess whether follow-up
biopsies obtained after LT could be used to determine donor IL28B genotype.
METHODS: Genotyping of IL28B rs12979860 was performed by TaqMan real-time PCR and
direct sequencing in 56 HCV-infected LT recipients and their donors. Liver
biopsies were obtained at the moment of LT (reperfusion) and at any time when
clinically indicated (follow-up). Direct sequencing always confirmed the real
time PCR results. RESULTS: Genotyping of donor IL28B rs12979860 polymorphisms
showed a 100% match both in PBMC and reperfusion biopsies. The frequency of IL28B
rs12979860 polymorphisms differed significantly between donors and follow-up
biopsies (p=0.024). We found an enrichment of the IL28B rs12979860 CT genotype
(72%) in follow-up biopsies compared to donor samples (46%). Recipient alleles
were clearly detected in 14 heterozygous follow-up samples: 10 CT/CC, 1 CT/TT,
and 3 TT/CC (recipient/donor), thus reflecting a mixture of both donor and
recipient genotypes. CONCLUSIONS: Our results support that follow-up liver
biopsies from LT recipients are not suitable for determining donor IL28B
rs12979860 genotype by TaqMan real-time PCR or direct sequencing and that PBMC or
reperfusion biopsies should be used instead. Thus, it is very important to obtain
adequate samples in order to accurately determine the relative contributions of
both donor and recipient.
PMID- 21889468
TI - Relative performances of FibroTest, Fibroscan, and biopsy for the assessment of
the stage of liver fibrosis in patients with chronic hepatitis C: a step toward
the truth in the absence of a gold standard.
AB - BACKGROUND & AIMS: Liver fibrosis stage is traditionally assessed with biopsy, an
imperfect gold standard. Two widely used techniques, FibroTest(r), and liver
stiffness measurement (LSM) using Fibroscan(r) have been validated using biopsy,
and therefore the true performances of these estimates are still unknown in the
absence of a perfect reference. The aim was to assess the relative accuracy of
FibroTest, LSM, and biopsy using methods without gold standard in patients with
chronic hepatitis C (CHC) and controls. METHODS: A total of 1289 patients with
CHC and 604 healthy volunteers, with assessment of fibrosis stage by the three
techniques, and alanine aminotransferase (ALT) taken as a control test, were
analyzed by latent class method with random effects. In the volunteers, the false
positive risk of biopsy was obtained from a large surgical sample of four normal
livers. RESULTS: The latent class model with random effects permitted to
conciliate the observed data and estimates of test performances. For advanced
fibrosis, the specificity/sensitivity was for FibroTest 0.93/0.70, LSM 0.96/0.45,
ALT 0.79/0.78 and biopsy 0.67/0.63, and for cirrhosis FibroTest 0.87/0.41, LSM
0.93/0.39, ALT 0.78/0.08 and biopsy 0.95/0.51. The analysis of the discordances
between pairs suggested that the variability of the model was mainly related to
the discordances between biopsy and LSM (residuals>10; p<0.0001). CONCLUSIONS: A
method without the use of a gold standard confirmed the accuracy of FibroTest and
Fibroscan for the diagnosis of advanced fibrosis and cirrhosis in patients with
chronic hepatitis C. The variability of the model was mostly due to the
discordances between Fibroscan and biopsy.
PMID- 21889469
TI - Hepatotoxicity associated with statins: reports of idiosyncratic liver injury
post-marketing.
AB - BACKGROUND & AIMS: Limited data exist on drug-induced liver injury (DILI)
associated with statins. METHODS: Reports on adverse reactions suspected to be
due to statins received by the Swedish Adverse Drug Reactions Advisory Committe
1988-2010 were analyzed. Only cases with >5*upper limit of normal (ULN) in
aminotransferases and/or alkaline phosphatase >2*ULN were included. RESULTS: The
most common types of ADRs suspected were DILI in 124/217 (57%) cases. A total of
73/124 (59%) cases had at least possible relationship, median age 64 years (57
73), 55% males, whereas 25/124 cases (20%) were excluded due to mild elevations
of liver tests and 26 due to unlikely relationship and/or lack of data. A statin
related DILI episode was reported in 1.2/100,000 users. Atorvastatin was
implicated in 30/73 (41%) cases, simvastatin in 28 (38%), fluvastatin (15%), and
others. Two patients died of acute liver failure, one underwent liver
transplantation and 25 (34%) had jaundice. Three patients were rechallenged with
the same statin producing similar patterns of liver injury. The median duration
of therapy was 90 days (30-120), 120 (39-248) for atorvastatin, and 75 (30-150)
for simvastatin (NS). Cholestatic/mixed injury was more common with atorvastatin,
17/30 (56%) than with simvastatin, 7/28 (24%) (p=0.018). CONCLUSIONS:
Idiosyncratic liver injury associated with statins is rare but can be severe.
After recovery, a similar pattern of liver injury can be reproduced on re
exposure. Most patients experience liver injury 3-4 months after start of
therapy. Atorvastatin is mostly associated with cholestatic liver injury whereas
hepatocellular injury is more common with simvastatin.
PMID- 21889470
TI - Motion detection technology as a tool for cardiopulmonary resuscitation (CPR)
quality improvement.
PMID- 21889471
TI - Pauses during CPR--are breaks hindering our efforts?
PMID- 21889472
TI - Continuous capnography and ultrasound-based airway management.
PMID- 21889473
TI - Resolving the M-cell debate: mechanics matters.
PMID- 21889475
TI - Imaging of size-dependent uptake and identification of novel pathways in mouse
Peyer's patches using fluorescent organosilica particles.
AB - We investigated size-dependent uptake of fluorescent thiol-organosilica particles
by Peyer's patches (PPs). We performed an oral single-particle administration
(95, 130, 200, 340, 695 and 1050 nm) and a simultaneous dual-particle
administration using 2 kinds of particles. Histological imaging and quantitative
analysis revealed that particles taken up by the PP subepithelial dome were size
dependent, and there was an optimal size range for higher uptake. Quantitative
analysis of simultaneous dual-particle administration revealed that the
percentage of fluorescence areas for 95, 130, 200, 340, 695 and 1050 nm with
respect to 110 nm area was 124.0, 89.1, 73.8, 20.2, 9.2 and 0.5%, respectively.
Additionally, imaging using fluorescent thiol-organosilica particles could detect
2 novel pathways through mouse PP epithelium: the transcellular pathway and the
paracellular pathway. The uptake of nanoparticles based on an optimal size range
and 2 novel pathways could indicate a new approach for vaccine delivery and
nanomedicine development. FROM THE CLINICAL EDITOR: Studying various sizes of
fluorescent organosilica particles and their uptake in Peyer's patches, this team
of authors determined the optimal size range of administration. Two novel
pathways through mouse Peyer's patch epithelium were detected, i.e., the
transcellular pathway and the paracellular pathway. This observation may have
important applications in future vaccine delivery and nano-drug delivery.
PMID- 21889474
TI - Accessing the genomic effects of naked nanoceria in murine neuronal cells.
AB - Cerium oxide nanoparticles (nanoceria) are engineered nanoparticles whose
versatility is due to their unique redox properties. We and others have
demonstrated that naked nanoceria can act as antioxidants to protect cells
against oxidative damage. Although the redox properties may be beneficial, the
genome-wide effects of nanoceria on gene transcription and associated biological
processes remain elusive. Here we applied a functional genomic approach to
examine the genome-wide effects of nanoceria on global gene transcription and
cellular functions in mouse neuronal cells. Importantly, we demonstrated that
nanoceria induced chemical- and size-specific changes in the murine neuronal cell
transcriptome. The nanoceria contributed more than 83% of the population of
uniquely altered genes and were associated with a unique spectrum of genes
related to neurological disease, cell cycle control, and growth. These
observations suggest that an in-depth assessment of potential health effects of
naked nanoceria and other naked nanoparticles is both necessary and imminent.
FROM THE CLINICAL EDITOR: Cerium oxide nanoparticles are important antioxidants,
with potential applications in neurodegenerative conditions. This team of
investigators demonstrated the genomic effects of nanoceria, showing that it
induced chemical- and size-specific changes in the murine neuronal cell
transcriptome.
PMID- 21889476
TI - Increased anticoagulant activity of thrombin-binding DNA aptamers by nanoscale
organization on DNA nanostructures.
AB - Control over thrombin activity is much desired to regulate blood clotting in
surgical and therapeutic situations. Thrombin-binding RNA and DNA aptamers have
been used to inhibit thrombin activity and thus the coagulation cascade. Soluble
DNA aptamers, as well as two different aptamers tethered by a flexible single
strand linker, have been shown to possess anticoagulant activity. Here, we link
multiple aptamers at programmed positions on DNA nanostructures to optimize
spacing and orientation of the aptamers and thereby to maximize anticoagulant
activity in functional assays. By judicious engineering of the DNA
nanostructures, we have created a novel, functional DNA nanostructure, which is a
multi-aptamer inhibitor with activity eightfold higher than free aptamer.
Reversal of the thrombin inhibition was also achieved by the use of single
stranded DNA antidotes, thus enabling significant control over blood coagulation.
FROM THE CLINICAL EDITOR: Thrombin inhibition via DNA aptamers has recently
become a possibility. In this study, thrombin-binding DNA aptamers were further
optimized by nanoscale organization on DNA nanostructures. The authors have
created a novel, functional DNA nanostructure, which is a multi-aptamer inhibitor
with activity eightfold higher than that of free aptamer. Reversal of thrombin
inhibition was also achieved by single-stranded DNA antidotes, enabling
significant control over the coagulation pathway.
PMID- 21889477
TI - Physiological validation of cell health upon probing with carbon nanotube
endoscope and its benefit for single-cell interrogation.
AB - New-generation nanoscale devices for single-cell study are intensively being
developed. As has been shown, nanodevices are minimally invasive because of their
order-of-magnitude smaller size in comparison to conventional glass pipettes.
However, in most studies the evaluation of the nanodevice impact on cell health
has not extended to their effects on cell metabolic integrity. In this work we
evaluated the degree to which the insertion of a carbon-based nanotube endoscope
into a cell induces mechanical and biochemical stress, and affects cellular key
metabolic systems. The effects of insertion of the nanotube endoscope on cell
morphological and physiological modulations were monitored and compared to those
of glass micropipettes. We report that nanotube endoscope insertion does not
significantly modulate the plasma membrane and actin network. The cell metabolic
mechanisms such as energy production and inositol 1,4,5-trisphosphate-dependent
calcium signaling remain preserved for prolonged endoscope presence within a
cell. FROM THE CLINICAL EDITOR: In this basic science study, the effects of
insertion of carbon nanotube endoscope on cell morphological and physiological
modulations were monitored and compared to those of glass micropipettes. Nanotube
endoscope insertion is truly minimally invasive: it does not significantly
modulate the plasma membrane and actin network; the energy production and
inositol 1,4,5-trisphosphate-dependent calcium signaling also remain preserved
during prolonged endoscope presence within a cell.
PMID- 21889478
TI - Self-assembling peptide-based nanoparticles enhance cellular delivery of the
hydrophobic anticancer drug ellipticine through caveolae-dependent endocytosis.
AB - A special class of self-assembling peptide (EAK16-II) has been found to stabilize
the hydrophobic anticancer agent ellipticine (EPT) in aqueous solution. In this
study, the mechanism of such peptide-EPT complexes to enhance cellular delivery
and anticancer activity was evaluated. Results revealed that EAK16-II can form
nanoparticles with EPT, having an average size of ~100 nm. This nanoformulation
had cytotoxicity to human lung carcinoma A549 cells that was comparable to EPT
dissolved in dimethyl sulfoxide. It enhanced EPT uptake drastically when compared
to the microformulation. Such enhanced uptake was significantly reduced by
inhibitors specifically for the caveolae-dependent pathway. We also found both
protonated and neutral forms of EPT present in the cells. Interestingly, both
were found in the cytoplasm, co-localized with LysoTracker, whereas only
protonated EPT was seen in the nucleus. The promising therapeutic efficacy,
specific delivery pathway, and intracellular distribution pattern discovered in
this work may help further develop EPT as a nanoformulation for clinical
applications. FROM THE CLINICAL EDITOR: A special class of self-assembling
peptide (EAK16-II) has been found to stabilize ellipticine in aqueous solution.
The authors demonstrate therapeutic efficacy, describe specific delivery
pathways, and effective intracellular distribution pattern, which will aid the
development of this technology for future clinical applications.
PMID- 21889479
TI - Nanoparticles in the treatment and diagnosis of neurological disorders: untamed
dragon with fire power to heal.
AB - The incidence of neurological diseases of unknown etiology is increasing,
including well-studied diseases such as Alzhiemer's, Parkinson's, and multiple
sclerosis. The blood-brain barrier provides protection for the brain but also
hinders the treatment and diagnosis of these neurological diseases, because the
drugs must cross the blood-brain barrier to reach the lesions. Thus, attention
has turned to developing novel and effective delivery systems that are capable of
carrying drug and that provide good bioavailability in the brain.
Nanoneurotechnology, particularly application of nanoparticles in drug delivery,
has provided promising answers to some of these issues in recent years. Here we
review the recent advances in the understanding of several common forms of
neurological diseases and particularly the applications of nanoparticles to treat
and diagnose them. In addition, we discuss the integration of bioinformatics and
modern genomic approaches in the development of nanoparticles. FROM THE CLINICAL
EDITOR: In this review paper, applications of nanotechnology-based diagnostic
methods and therapeutic modalities are discussed addressing a variety of
neurological disorders, with special attention to blood-brain barrier delivery
methods. These novel nanomedicine approaches are expected to revolutionize
several aspects of clinical neurology.
PMID- 21889480
TI - Nanovesicle aerosols as surfactant therapy in lung injury.
AB - Acute lung injury causes inactivation of pulmonary surfactant due to leakage of
albumin and other markers. Current surfactants are ineffective in this condition
and are instilled intratracheally. Nanovesicles of 300 +/- 50 nm composed of
nonlamellar phospholipids were developed as pulmonary surfactant aerosols for
therapy in acid-induced lung injury. A combination of dipalmitoyl
phosphatidylcholine and dioleoyl phosphatidylethanolamine was used. The size and
composition of the nanovesicles were optimized for an improved airway patency in
the presence of albumin and serum. In an acid-induced lung injury model in mice,
on treatment with nanovesicle aerosols at a dose of 200 mg/kg, the alveolar
protein leakage decreased from 8.62 +/- 0.97 MUg/mL to 1.94 +/- 0.74 MUg/mL,
whereas the airway patency of the bronchoalveolar lavage fluid increased from 0.6
+/- 0.0% to 91.7 +/- 1.05%. Nanovesicle aerosols of nonlamellar lipids improved
the resistance of pulmonary surfactants to inhibition and were promising as a
noninvasive aerosol therapy in acute lung injury. FROM THE CLINICAL EDITOR: In
acute lung injury, intrinsic surfactants are inactivated via albumin leakage and
other mechanisms. Currently existing intratracheal surfactants are ineffective in
this condition. The authors demonstrate that novel nanovesicle aerosols of
nonlamellar lipids improved the resistance of pulmonary surfactants to inhibition
and are promising as a noninvasive aerosol therapy in acute lung injury.
PMID- 21889482
TI - DNA melting analysis: application of the "open tube" format for detection of
mutant KRAS.
AB - High-resolution melting (HRM) analysis is a very effective method for genotyping
and mutation scanning that is usually performed just after PCR amplification (the
"closed tube" format). Though simple and convenient, the closed tube format makes
the HRM dependent on the PCR mix, not generally optimal for DNA melting analysis.
Here, the "open tube" format, namely the post-PCR optimization procedure
(amplicon shortening and solution chemistry modification), is proposed. As a
result, mutation scanning of short amplicons becomes feasible on a standard real
time PCR instrument (not primarily designed for HRM) using SYBR Green I. This
approach has allowed us to considerably enhance the sensitivity of detecting
mutant KRAS using both low- and high-resolution systems (the Bio-Rad iQ5-SYBR
Green I and Bio-Rad CFX96-EvaGreen, respectively). The open tube format, though
more laborious than the closed tube one, can be used in situations when maximal
sensitivity of the method is needed. It also permits standardization of DNA
melting experiments and the introduction of instruments of a "lower level" into
the range of those suitable for mutation scanning.
PMID- 21889481
TI - RNA Foci, CUGBP1, and ZNF9 are the primary targets of the mutant CUG and CCUG
repeats expanded in myotonic dystrophies type 1 and type 2.
AB - Expansions of noncoding CUG and CCUG repeats in myotonic dystrophies type 1 (DM1)
and DM2 cause complex molecular pathology, the features of which include
accumulation of RNA aggregates and misregulation of the RNA-binding proteins
muscleblind-like 1 (MBNL1) and CUG-binding protein 1 (CUGBP1). CCUG repeats also
decrease amounts of the nucleic acid binding protein ZNF9. Using tetracycline
(Tet)-regulated monoclonal cell models that express CUG and CCUG repeats, we
found that low levels of long CUG and CCUG repeats result in nuclear and
cytoplasmic RNA aggregation with a simultaneous increase of CUGBP1 and a
reduction of ZNF9. Elevation of CUGBP1 and reduction of ZNF9 were also observed
before strong aggregation of the mutant CUG/CCUG repeats. Degradation of CUG and
CCUG repeats normalizes ZNF9 and CUGBP1 levels. Comparison of short and long CUG
and CCUG RNAs showed that great expression of short repeats form foci and alter
CUGBP1 and ZNF9; however, long CUG/CCUG repeats misregulate CUGBP1 and ZNF9 much
faster than high levels of the short repeats. These data suggest that correction
of DM1 and DM2 might be achieved by complete and efficient degradation of CUG and
CCUG repeats or by a simultaneous disruption of CUG/CCUG foci and correction of
CUGBP1 and ZNF9.
PMID- 21889483
TI - Local and global factors affecting RNA sequencing analysis.
AB - High-throughput RNA sequencing (RNA-seq) continues to provide unparalleled
insight into transcriptome complexity. Now the "gold standard" for assessing
global transcript levels, RNA-seq is poised to revolutionize our understanding of
transcription and posttranscriptional regulation of RNA. Despite significant
advantages over prior experimental strategies, RNA-seq is not without pitfalls.
We have identified a number of confounding factors that significantly affect
sequencing coverage. These include regional GC content, preferential sites of
fragmentation, and read "pile-up" due to primer affinity and transcript end
effects. Independent of cell type and laboratory, when ignored, these factors can
bias analyses. Understanding the underlying principles responsible for producing
these artifacts is key to recognizing both their presence and how their effects
may be controlled. Here we outline the causes of and strategies to avoid several
previously unreported complicating factors common to RNA-seq experiments.
PMID- 21889484
TI - Characterization of the 3':5' ratio for reliable determination of RNA quality.
AB - Determination of RNA quality is a critical first step in obtaining meaningful
gene expression data. The PCR-based 3':5' assay is an RNA quality assessment
tool. This assay is a simple, fast, and low-cost method of selecting samples for
further analysis. However, its practical applications are unexploited primarily
because of the absence of an experimental threshold. We show that, by anchoring
the 5' assay a specific distance from the 3' end of the sequence and by spacing
the 3' at a distance of a number of nucleotides, a cutoff determines whether a
sample is suitable for downstream quantification studies.
PMID- 21889485
TI - Foam fractionation of protein: correlation of protein adsorption onto bubbles
with a pH-induced conformational transition.
AB - A foam fractionation apparatus was prepared to aid protein separation at the gas
liquid interface. Using lysozyme as a model protein, we investigated the
alteration of enzymatic and optical activities through foaming. The lysozyme
transferred to the gaseous nitrogen phase after 5 min of bubbling with no
exogenous detergent. The bacteriolytic and optical activities of lysozyme from
the foamate were nearly equivalent to those of the original lysozyme. This result
indicated that lysozyme did not irreversibly denature during foam fractionation.
We then performed protein separation using binary mixtures of lysozyme and alpha
amylase. When the two proteins were dissolved in bulk solution of pH 10.5, which
is close to the isoelectric point (pI) of lysozyme (10.7), selective
fractionation of lysozyme from the foam was observed. Indeed, this fractionation
was identical to that from a single component solution of lysozyme. Similarly,
selective fractionation of alpha-amylase was achieved in pH 3.0 buffer.
Furthermore, circular dichroism (CD) and subsequent model fitting revealed that
the protein had a reduced or nearly complete absence of alpha-helical content,
whereas the amount of beta-sheet structure and random coil was elevated in the
buffer conditions that promoted protein adsorption. These results indicate that a
pH-induced conformational transition might correlate with protein foaming.
PMID- 21889486
TI - Development of a high-throughput method for the determination of pharmacological
levels of plasma D-serine.
AB - D-Serine administration has been shown to be effective for the treatment of
schizophrenia symptoms. However, D-Serine must be administered at high doses to
observe clinical effects. This is due in large part to D-Serine undergoing
oxidation by D-Serine acid oxidase (DAAO) before it reaches the brain.
Consequently, coadministration of D-Serine with a DAAO inhibitor has been
suggested as a way to lower the dose of D-serine required to treat schizophrenia.
During the characterization of DAAO inhibitors as potential drugs, inhibitors are
evaluated in rodents for their ability to increase plasma D-Serine levels after
oral coadministration. Current high-performance liquid chromatography (HPLC)
based methodologies to measure D-Serine in plasma are time-consuming and are not
amenable to concomitant analysis of multiple samples. We report the
characterization of a 96-well format assay to monitor D-Serine in plasma that
greatly expedites analysis time. The assay involves the use of strong cation
exchange solid phase extraction (SPE) to isolate D-Serine from plasma followed by
quantitation of D-Serine using the DAAO-catalyzed reaction. Plasma D-Serine
determination using this assay could also be used as pharmacodynamic marker and
as biomarker.
PMID- 21889487
TI - Tanshinone II-A attenuates and stabilizes atherosclerotic plaques in
apolipoprotein-E knockout mice fed a high cholesterol diet.
AB - Tanshinone II-A (Tan), a bioactive diterpene isolated from Salvia miltiorrhiza
Bunge (Danshen), possesses anti-oxidant and anti-inflammatory activities. The
present study investigated whether Tan can decrease and stabilize atherosclerotic
plaques in Apolipoprotein-E knockout (ApoE(-/-)) mice maintained on a high
cholesterol diet (HCD). Six week-old mice challenged with a HCD were randomly
assigned to 4 groups: (a) C57BL/6J; (b) ApoE(-/-); (c) ApoE(-/-)+Tan-30 (30
mg/kg/d); (d) ApoE(-/-)+Tan-10 (10mg/kg/d). After 16 weeks of intervention, Tan
treated mice showed decreased atherosclerotic lesion size in the aortic sinus and
en face aorta. Furthermore, immunohistochemical analysis revealed that Tan
rendered the lesion composition a more stable phenotype as evidenced by reduced
necrotic cores, decreased macrophage infiltration, and increased smooth muscle
cell and collagen contents. Tan also significantly reduced in situ superoxide
anion production, aortic expression of NF-kappaB and matrix metalloproteinase-9
(MMP-9). In vitro treatment of RAW264.7 macrophages with Tan significantly
suppressed oxidized LDL-induced reactive oxygen species production, pro
inflammatory cytokine (IL-6, TNF-alpha, MCP-1) expression, and MMP-9 activity.
Tan attenuates the development of atherosclerotic lesions and promotes plaque
stability in ApoE(-/-) mice by reducing vascular oxidative stress and
inflammatory response. Our findings highlight Tan as a potential therapeutic
agent to prevent atherosclerotic cardiovascular diseases.
PMID- 21889488
TI - The translocator protein (peripheral benzodiazepine receptor) mediates rat
selective activation of the mitochondrial permeability transition by norbormide.
AB - We have investigated the mechanism of rat-selective induction of the
mitochondrial permeability transition (PT) by norbormide (NRB). We show that the
inducing effect of NRB on the PT (i) is inhibited by the selective ligands of the
18kDa outer membrane (OMM) translocator protein (TSPO, formerly peripheral
benzodiazepine receptor) protoporphyrin IX, N,N-dihexyl-2-(4-fluorophenyl)indole
3-acetamide and 7-chloro-5-(4-chlorophenyl)-1,3-dihydro-1-methyl-2H-1,4
benzodiazepin-2-one; and (ii) is lost in digitonin mitoplasts, which lack an
intact OMM. In mitoplasts the PT can still be induced by the NRB cationic
derivative OL14, which contrary to NRB is also effective in intact mitochondria
from mouse and guinea pig. We conclude that selective NRB transport into rat
mitochondria occurs via TSPO in the OMM, which allows its translocation to PT
regulating sites in the inner membrane. Thus, species-specificity of NRB toward
the rat PT depends on subtle differences in the structure of TSPO or of TSPO
associated proteins affecting its substrate specificity.
PMID- 21889490
TI - Compatible solutes: ectoine and hydroxyectoine improve functional nanostructures
in artificial lung surfactants.
AB - Ectoine and hydroxyectoine belong to the family of compatible solutes and are
among the most abundant osmolytes in nature. These compatible solutes protect
biomolecules from extreme conditions and maintain their native function. In the
present study, we have investigated the effect of ectoine and hydroxyectoine on
the domain structures of artificial lung surfactant films consisting of
dipalmitoylphosphatidylcholine (DPPC), dipalmitoylphosphatidylglycerol (DPPG) and
the lung surfactant specific surfactant protein C (SP-C) in a molar ratio of
80:20:0.4. The pressure-area isotherms are found to be almost unchanged by both
compatible solutes. The topology of the fluid domains shown by scanning force
microscopy, which is thought to be responsible for the biophysical behavior under
compression, however, is modified giving rise to the assumption that ectoine and
hydroxyectoine are favorable for a proper lung surfactant function. This is
further evidenced by the analysis of the insertion kinetics of lipid vesicles
into the lipid-peptide monolayer, which is clearly enhanced in the presence of
both compatible solutes. Thus, we could show that ectoine and hydroxyectoine
enhance the function of lung surfactant in a simple model system, which might
provide an additional rationale to inhalative therapy.
PMID- 21889489
TI - Expression, purification, electron microscopy, N-glycosylation mutagenesis and
molecular modeling of human P2X4 and Dictyostelium discoideum P2XA.
AB - The recent publication of the apo-, closed-state 3D crystal structure of
zebrafish (zf) P2X4.1 has not only revolutionized the P2X research field, but
also highlighted the need for further crystal structures, of receptors in
different activation states, so that we can gain a complete molecular
understanding of ion channel function. zfP2X4.1 was selected as a 3D
crystallization candidate because of its ability to form stable trimers in
detergent solution, and purified from over-expression in baculovirus-infected
Spodoptera frugiperda (Sf9) insect cells. In this work, we have used a similar
approach to express both human P2X4 (hP2X4) and Dictyostelium discoideum P2XA
(DdP2XA) in Sf9 cells. Although hP2X4 did not form stable trimers in detergent
solution, both receptors bound to ATP-coupled resins, indicating that their
extracellular domains were folded correctly. DdP2XA formed strong trimers in
detergent solution, and we were able to selectively purify trimers using
preparative electrophoresis, and build a 21A-resolution 3D structure using
transmission electron microscopy and single particle analysis. Although the
structure of DdP2XA possessed similar dimensions to those of the previously
determined low-resolution hP2X4 structure and the zfP2X4.1 crystal structure, N
glycosylation mutagenesis and molecular modeling indicated differences between N
glycan usage and predicted accessibility in models of DdP2XA based on the
zfP2X4.1 crystal structure. Our data demonstrate that DdP2XA expressed in insect
cells retains ATP-binding capacity after detergent solubilization, is an ideal
candidate for structural study, and possesses a significantly different 3D
structure to that of both hP2X4 and zfP2X4.1.
PMID- 21889491
TI - Correlation between the ripple phase and stripe domains in membranes.
AB - We investigate the relationship between stripe domains and the ripple phase in
membranes. These have previously been observed separately without being linked
explicitly. Past results have demonstrated that solid and ripple phases exhibit
rich textural patterns related to the orientational order of tilted lipids and
the orientation of ripple corrugations. Here we reveal a highly complex network
pattern of ripple and solid domains in DLPC, DPPC bilayers with structures
covering length scales from 10 nm to 100 MUm. Using spincoated double supported
membranes we investigate domains by correlated AFM and fluorescence microscopy.
Cooling experiments demonstrate the mode of nucleation and growth of stripe
domains enriched in the fluorescent probe. Concurrent AFM imaging reveals that
these stripe domains have a one-to-one correspondence with a rippled morphology
running parallel to the stripe direction. Both thin and thick stripe domains are
observed having ripple periods of 13.5+/-0.2 nm and 27.4+/-0.6 nm respectively.
These are equivalent to previously observed asymmetric/equilibrium and
symmetric/metastable ripple phases, respectively. Thin stripes grow from small
solid domains and grow predominantly in length with a speed of ~3 times that of
the thick stripes. Thick stripes grow by templating on the sides of thinner
stripes or can emerge directly from the fluid phase. Bending and branching angles
of stripes are in accordance with an underlying six fold lattice. We discuss
mechanisms for the nucleation and growth of ripples and discuss a generic phase
diagram that may partly rationalize the coexistence of metastable and stable
phases.
PMID- 21889492
TI - The tricyclic antidepressant imipramine induces autophagic cell death in U-87MG
glioma cells.
AB - In this study, we investigated the antitumor effects of the tricyclic
antidepressant 3-(10,11-dihydro-5H-dibenzo[b,f]azepin-5-yl)-N,N-dimethylpropan-1
amine (imipramine) on glioma cells. We found that exposure of U-87MG cells to
imipramine resulted in the inhibition of PI3K/Akt/mTOR signaling, reduction of
clonogenicity, and induction of cell death. Imipramine stimulated the formation
of acidic vesicular organelles, the conversion of LC3-I to LC3-II, and the
redistribution of LC3 to autophagosomes, suggesting that it stimulates the
progression of autophagy. It did not, however, induce apoptosis. We further
showed that knockdown of Beclin-1 using siRNA abrogated imipramine-induced cell
death. These results suggest that imipramine exerts antitumor effects on PTEN
null U-87MG human glioma cells by inhibiting PI3K/Akt/mTOR signaling and by
inducing autophagic cell death.
PMID- 21889493
TI - Role of metabolism by the human intestinal microflora in arbutin-induced
cytotoxicity in HepG2 cell cultures.
AB - A possible role for metabolism by the human intestinal microflora in arbutin
induced cytotoxicity was investigated using human hepatoma HepG2 cells. When the
cytotoxic effects of arbutin and hydroquinone (HQ), a deglycosylated metabolite
of arbutin, were compared, HQ was more toxic than arbutin. Incubation of arbutin
with a human fecal preparation could produce HQ. Following incubation of arbutin
with a human fecal preparation for metabolic activation, the reaction mixture was
filter-sterilized to test its toxic effects on HepG2 cells. The mixture induced
cytotoxicity in HepG2 cells in a concentration-dependent manner. In addition, the
mixture considerably inhibited expression of Bcl-2 together with an increase in
Bax expression. Likewise, activation stimulated cleavage of caspase-3 and
production of reactive oxygen species in HepG2 cell cultures. Furthermore,
induction of apoptosis by the intestinal microflora reaction mixture was
confirmed by the terminal deoxynucleotidyltransferase-mediated dUTP-biotin nick
end labeling assay. Taken together, these findings suggest that the human
intestinal microflora is capable of metabolizing arbutin to HQ, which can induce
apoptosis in mammalian cells.
PMID- 21889494
TI - Sir-2.1 modulates 'calorie-restriction-mediated' prevention of neurodegeneration
in Caenorhabditis elegans: implications for Parkinson's disease.
AB - The phenomenon of aging is known to modulate many disease conditions including
neurodegenerative ailments like Parkinson's disease (PD) which is characterized
by selective loss of dopaminergic neurons. Recent studies have reported on such
effects, as calorie restriction, in modulating aging in living systems. We reason
that PD, being an age-associated neurodegenerative disease might be modulated by
interventions like calorie restriction. In the present study we employed the
transgenic Caenorhabditis elegans model (P(dat-1)::GFP) expressing green
fluorescence protein (GFP) specifically in eight dopaminergic (DA) neurons.
Selective degeneration of dopaminergic neurons was induced by treatment of worms
with 6-hydroxy dopamine (6-OHDA), a selective catecholaminergic neurotoxin,
followed by studies on effect of calorie restriction on the neurodegeneration.
Employing confocal microscopy of the dopaminergic neurons and HPLC analysis of
dopamine levels in the nematodes, we found that calorie restriction has a
preventive effect on dopaminergic neurodegeneration in the worm model. We further
studied the role of sirtuin, sir-2.1, in modulating such an effect. Studies
employing RNAi induced gene silencing of nematode sir-2.1, revealed that presence
of Sir-2.1 is necessary for achieving the protective effect of calorie
restriction on dopaminergic neurodegeneration. Our studies provide evidence that
calorie restriction affords, an sir-2.1 mediated, protection against the
dopaminergic neurodegeneration, that might have implications for
neurodegenerative Parkinson's disease.
PMID- 21889495
TI - The MUC4 membrane-bound mucin regulates esophageal cancer cell proliferation and
migration properties: Implication for S100A4 protein.
AB - MUC4 is a membrane-bound mucin known to participate in tumor progression. It has
been shown that MUC4 pattern of expression is modified during esophageal
carcinogenesis, with a progressive increase from metaplastic lesions to
adenocarcinoma. The principal cause of development of esophageal adenocarcinoma
is the gastro-esophageal reflux, and MUC4 was previously shown to be upregulated
by several bile acids present in reflux. In this report, our aim was thus to
determine whether MUC4 plays a role in biological properties of human esophageal
cancer cells. For that stable MUC4-deficient cancer cell lines (shMUC4 cells)
were established using a shRNA approach. In vitro (proliferation, migration and
invasion) and in vivo (tumor growth following subcutaneous xenografts in SCID
mice) biological properties of shMUC4 cells were analyzed. Our results show that
shMUC4 cells were less proliferative, had decreased migration properties and did
not express S100A4 protein when compared with MUC4 expressing cells. Absence of
MUC4 did not impair shMUC4 invasiveness. Subcutaneous xenografts showed a
significant decrease in tumor size when cells did not express MUC4. Altogether,
these data indicate that MUC4 plays a key role in proliferative and migrating
properties of esophageal cancer cells as well as is a tumor growth promoter. MUC4
mucin appears thus as a good therapeutic target to slow-down esophageal tumor
progression.
PMID- 21889496
TI - Regioselectivity of human UDP-glucuronosyltransferase isozymes in flavonoid
biotransformation by metal complexation and tandem mass spectrometry.
AB - Based on reactions with five flavonoids, the regioselectivities of twelve human
UDP-glucuronosyltransferase (UGT) isozymes were elucidated. The various flavonoid
glucuronides were differentiated based on LC-MS/MS fragmentation patterns of
[Co(II)(flavonoid-H)(4,7-diphenyl-1,10-phenanthroline)(2)](+) complexes generated
upon post-column complexation. Glucuronide distributions were evaluated to allow
a systematic assessment of the regioselectivity of each isozyme. The various UGT
enzymes, including eight UGT1A and four UGT2B, displayed a remarkable range of
selectivities, both in terms of the positions of glucuronidation and relative
reactivity with flavanones versus flavonols.
PMID- 21889497
TI - Combating enterovirus replication: state-of-the-art on antiviral research.
AB - Enteroviruses form an important genus within the large family of Picornaviridae.
They are small, non-enveloped (+)RNA viruses, many of which are important
pathogens in human and veterinary science. Despite their huge medical and socio
economical impact, there is still no approved antiviral therapy at hand for the
treatment of these infections. Three capsid-targeting molecules (pleconaril, BTA
798 and V-073) are in clinical development. Pleconaril and BTA-798 are in phase
II clinical trials for the treatment of enterovirus-induced sepsis syndrome and
rhinovirus-induced aggravation of pre-existing asthma or COPD respectively. V-073
is in preclinical development for the treatment of poliovirus infections in the
context of the worldwide polio eradication program. The capsid binding molecules
have shown good in vitro potency against a number of enterovirus species, but
lack activity against others. Another potential drawback of capsid inhibitors in
the clinical setting could be the rapid emergence of drug resistance. It will
therefore be important to develop inhibitors that affect other stages in the
viral replication cycle. Several viral proteins, such as the viral 3C protease,
the putative 2C helicase and the 3D RNA-dependent RNA polymerase may be/are
excellent targets for inhibition of viral replication. Also host cell factors
that are crucial in viral replication may be considered as potential targets for
an antiviral approach. Unraveling these complex virus-host interactions will also
provide better insights into the replication of enteroviruses. This review aims
to summarize and discuss known inhibitors and potential viral and cellular
targets for antiviral therapy against enteroviruses.
PMID- 21889498
TI - Molecular analysis of ABCD1 gene in Indian patients with X-linked
adrenoleukodystrophy.
AB - BACKGROUND: X-linked Adrenoleukodystrophy (X-ALD), with an incidence of 1:14,000
is the most frequent monogenic demyelinating disorder worldwide. The principal
biochemical abnormality in X-ALD is the increased levels of saturated, unbranched
very long chain fatty acids (VLCFA). It is caused by mutations in ABCD1 gene. No
molecular data on X-ALD is available in India and mutational spectrum in Indian
patients is not known. METHODS: We standardized conformation sensitive gel
electrophoresis (CSGE) method to detect mutations in ABCD1 gene in twenty Indian
patients with X-ALD. The results were confirmed by sequencing. Genotype-phenotype
correlation was also attempted. Prenatal diagnosis (PND) in one family was done
using chorionic villi (CV) sample at 12 weeks of gestation. RESULTS: Out of
twenty, causative mutations could be identified in twelve patients (60%). Six
reported and four novel mutations were identified. Three polymorphisms were also
observed. No hot spot was found. No significant genotype-phenotype correlation
could be established. CONCLUSIONS: The study identified the mutation spectrum of
Indian X-ALD patients, which enabled us to offer accurate genetic counseling,
carrier detection and prenatal diagnosis where needed.
PMID- 21889499
TI - Sample collection and handling considerations for peptidomic studies in whole
saliva; implications for biomarker discovery.
AB - BACKGROUND: Proteomic studies in saliva have demonstrated its potential as a
diagnostic biofluid, however the salivary peptidome is less studied. Here we
study the effects of several sample collection and handling factors on salivary
peptide abundance levels. METHODS: Salivary peptides were isolated using an
ultrafiltration device and analyzed by tandem mass spectrometry. A panel of 41
peptides common after various treatments were quantified and normalized. We
evaluated the effects of freezing rate of the samples, nutritional status of the
donors (fed vs. fasted), and room-temperature sample degradation on peptide
abundance levels. Repeatability of our sample processing method and our
instrumental analysis method were investigated. RESULTS: Increased sample
freezing rate produced higher levels of peptides. Donor nutritional status had no
influence on the levels of measured peptides. No significant difference was
detected in donors' saliva following 5, 10 and 15 min of room-temperature
degradation. Sample processing and instrumental variability were relatively
small, with median CVs of 9.6 and 6.6. CONCLUSIONS: Peptide abundance levels in
saliva are rather forgiving towards variations in sample handling and donor
nutritional status. Differences in freezing methods may affect peptide abundance,
so consistency in freezing samples is preferred. Our results are valuable for
standardizing sample collection and handling methods for peptidomic-based
biomarker studies in saliva.
PMID- 21889500
TI - Inosine 5'-monophosphate dehydrogenase activity as a biomarker in the field of
transplantation.
AB - Inosine 5'monophosphate dehydrogenase (IMPDH) is the rate limiting enzyme in the
de novo synthesis of guanine nucleotides. The direct determination of target
enzyme activity as a biomarker of mycophenolic acid (MPA) may help to estimate
better the individual response to the immunosuppressant. However, the assessment
of the clinical utility of this approach is limited by the diversity of the assay
systems, which has not yet allowed the prospective assessment of this enzyme in
larger patient cohorts. A recently validated and standardized assay allows the
investigation of IMPDH activity in larger clinical studies. Although descriptive
results from observational studies hold promise for a more individualized therapy
in transplant medicine, more studies are needed to prospectively validate this
approach.
PMID- 21889501
TI - Overexpression of UDP-GlcNAc transporter partially corrects galactosylation
defect caused by UDP-Gal transporter mutation.
AB - Nucleotide sugar transporters deliver substrates for glycosyltransferases into
the endoplasmic reticulum and the Golgi apparatus. We demonstrated that
overexpression of UDP-GlcNAc transporter (NGT) in MDCK-RCA(r) and CHO-Lec8 mutant
cells defective in UDP-Gal transporter (UGT) restored galactosylation of N
glycans. NGT overexpression resulted in decreased transport of UDP-GlcNAc into
the Golgi vesicles. This effect resembled the phenotype of mutant cells corrected
by UGT1 overexpression. The transport of UDP-Gal was not significantly changed.
Our data suggest that the biological function of UGT and NGT in galactosylation
of macromolecules may be coupled.
PMID- 21889502
TI - The endogenous siRNA pathway in Drosophila impacts stress resistance and lifespan
by regulating metabolic homeostasis.
AB - Small non-coding RNAs regulate gene expression in a sequence-specific manner. In
Drosophila, Dicer-2 (Dcr-2) functions in the biogenesis of endogenous small
interfering RNAs (endo-siRNAs). We identified 21 distinct proteins that exhibited
a >= 1.5-fold change as a consequence of loss of dcr-2 function. Most of these
were metabolic genes implicated in stress resistance and aging. dcr-2 Mutants had
reduced lifespan and were hypersensitive to oxidative, endoplasmic reticulum,
starvation, and cold stresses. Furthermore, loss of dcr-2 function led to
abnormal lipid and carbohydrate metabolism. Our results suggest roles for the
endo-siRNA pathway in metabolic regulation and defense against stress and aging
in Drosophila.
PMID- 21889503
TI - The cytochrome f-plastocyanin complex as a model to study transient interactions
between redox proteins.
AB - Transient complexes, with a lifetime ranging between microseconds and seconds,
are essential for biochemical reactions requiring a fast turnover. That is the
case of the interactions between proteins engaged in electron transfer reactions,
which are involved in relevant physiological processes such as respiration and
photosynthesis. In the latter, the copper protein plastocyanin acts as a soluble
carrier transferring electrons between the two membrane-embedded complexes
cytochrome b(6)f and photosystem I. Here we review the combination of
experimental efforts in the literature to unveil the functional and structural
features of the complex between cytochrome f and plastocyanin, which have widely
been used as a suitable model for analyzing transient redox interactions.
PMID- 21889504
TI - Conformations of the Huntingtin N-term in aqueous solution from atomistic
simulations.
AB - The first 17 amino acids of Huntingtin protein (N17) play a crucial role in the
protein's aggregation. Here we predict its free energy landscape in aqueous
solution by using bias exchange metadynamics. All our findings are consistent
with experimental data. N17 populates four main kinetic basins, which
interconvert on the microsecond time-scale. The most populated basin (about 75%)
is a random coil, with an extended flat exposed hydrophobic surface. This might
create a hydrophobic seed promoting Huntingtin aggregation. The other main
populated basins contain helical conformations, which could facilitate N17
binding on its cellular targets.
PMID- 21889505
TI - Role of microglia in CNS inflammation.
AB - There is increasing confusion about the meaning of the terms inflammation,
neuroinflammation, and microglial inflammation. We aim in this review to achieve
greater clarity regarding these terms, which are essential for our understanding
of the role of microglia in CNS inflammatory conditions. The important concept of
sterile inflammation is explained against the backdrop of classical inflammation,
and its key differences from what researchers refer to when they use the terms
neuroinflammation and microglial inflammation are illustrated. We propose to
replace the term "neuroinflammation" with "microglial activation" or "CNS pseudo
inflammation", if microglial activation does not suffice. In addition, we
recommend abandoning the terms "microglial inflammation" and "inflamed microglia"
because of the lack of a clear concept behind them.
PMID- 21889506
TI - Cytochrome c oxidase: Intermediates of the catalytic cycle and their energy
coupled interconversion.
AB - Several issues relevant to the current studies of cytochrome c oxidase catalytic
mechanism are discussed. The following points are raised. (1) The terminology
currently used to describe the catalytic cycle of cytochrome oxidase is outdated
and rather confusing. Presumably, it would be revised so as to share nomenclature
of the intermediates with other oxygen-reactive heme enzymes like P450 or
peroxidases. (2) A "catalytic cycle" of cytochrome oxidase involving complete
reduction of the enzyme by 4 electrons followed by oxidation by O(2) is a chimera
composed artificially from two partial reactions, reductive and oxidative phases,
that never operate together as a true multi-turnover catalytic cycle. The 4e(-)
reduction-oxidation cycle would not serve a paradigm for oxygen reduction
mechanism and protonmotive function of cytochrome oxidase. (3) The foremost role
of the K-proton channel in the catalytic cycle may consist in securing faultless
delivery of protons for heterolytic O-O bond cleavage in the oxygen-reducing
site, minimizing the danger of homolytic scission reaction route. (4)
Protonmotive mechanism of cytochrome oxidase may vary notably for the different
single-electron steps in the catalytic cycle.
PMID- 21889507
TI - Evolution of Cryptosporidium in vitro culture.
AB - This overview discusses findings from culturing Cryptosporidium spp. in cell and
axenic cultures as well as factors limiting the development of this parasite in
cultivation systems during recent years. A systematic review is undertaken of
findings regarding the life cycle of the parasite, taking into account
physiological, biochemical and genetic aspects, in the hope that this attempt
will facilitate future approaches to research and developments in the
understanding of Cryptosporidium biology.
PMID- 21889509
TI - The search for the missing link: a relic plastid in Perkinsus?
AB - Perkinsus marinus (Phylum Perkinsozoa) is a protozoan parasite that has
devastated natural and farmed oyster populations in the USA, significantly
affecting the shellfish industry and the estuarine environment. The other two
genera in the phylum, Parvilucifera and Rastrimonas, are parasites of
microeukaryotes. The Perkinsozoa occupies a key position at the base of the
dinoflagellate branch, close to its divergence from the Apicomplexa, a clade that
includes parasitic protista, many harbouring a relic plastid. Thus, as a taxon
that has also evolved toward parasitism, the Perkinsozoa has attracted the
attention of biologists interested in the evolution of this organelle, both in
its ultrastructure and the conservation, loss or transfer of its genes. A review
of the recent literature reveals mounting evidence in support of the presence of
a relic plastid in P. marinus, including the presence of multimembrane
structures, characteristic metabolic pathways and proteins with a bipartite N
terminal extension. Further, these findings raise intriguing questions regarding
the potential functions and unique adaptation of the putative plastid and/or
plastid genes in the Perkinsozoa. In this review we analyse the above-mentioned
evidence and evaluate the potential future directions and expected benefits of
addressing such questions. Given the rapidly expanding molecular/genetic
resources and methodological toolbox for Perkinsus spp., these organisms should
complement the currently established models for investigating plastid evolution
within the Chromalveolata.
PMID- 21889508
TI - Polymorphism associated with the Schistosoma mansoni tetraspanin-2 gene.
AB - A vaccine against schistosomiasis would contribute significantly to reducing the
3-70 million disability-adjusted life years lost annually to the disease. Towards
this end, inoculation with the large extracellular loop (EC-2) of Schistosoma
mansoni tetraspanin-2 protein (Sm-TSP-2) has proved effective in reducing worm
and egg burdens in S. mansoni-infected mice. The EC-2 loop of Schistosoma
japonicum TSP-2, however, has been found to be highly polymorphic, perhaps
diminishing the likelihood that this antigen can be used for vaccination against
this species. Here, we examine polymorphism of the EC-2 of Sm-TSP-2 in
genetically unique worms derived from six individuals from Kisumu, Kenya.
PMID- 21889510
TI - A new model for the estimation of cell proliferation dynamics using CFSE data.
AB - CFSE analysis of a proliferating cell population is a popular tool for the study
of cell division and divisionlinked changes in cell behavior. Recently Banks et
al. (2011), Luzyanina et al. (2009), Luzyanina et al. (2007), a partial
differential equation (PDE) model to describe lymphocyte dynamics in a CFSE
proliferation assay was proposed. We present a significant revision of this model
which improves the physiological understanding of several parameters. Namely, the
parameter used previously as a heuristic explanation for the dilution of CFSE dye
by cell division is replaced with a more physical component, cellular
autofluorescence. The rate at which label decays is also quantified using a
Gompertz decay process. We then demonstrate a revised method of fitting the model
to the commonly used histogram representation of the data. It is shown that these
improvements result in a model with a strong physiological basis which is fully
capable of replicating the behavior observed in the data.
PMID- 21889512
TI - Development of a high-sensitivity latex reagent for the detection of C-reactive
protein.
AB - Various convenient and high-sensitivity immunoassays based on luminescent oxygen
channeling and chromatographic techniques have been developed in recent years.
This study focused on the latex agglutination immunoassay because it is a simple,
homogenous immunoassay, which is also cost effective. We developed a highly
sensitive latex reagent and examined the method of antibody conjugation on the
latex particle surface. We introduced spacer amino acids in the latex surface to
investigate the relationship between the amino acid spacer and the binding of an
anti-C-reactive protein (anti-CRP) antibody as well as to investigate the
resulting reactivity of the latex reagent to antigen. Because the distance
between the latex particle and the antibody is equal in each case, differences in
immunoreactivity are attributed to the structure of the amino acid side chain
(R). Thus, reactivity of the latex reagent depends on the inorganicity and
organicity of R. We suggest that a useful amino acid spacer has an inorganicity
to-organicity ratio of approximately 2.
PMID- 21889511
TI - An improved cell isolation method for flow cytometric and functional analyses of
cutaneous wound leukocytes.
AB - Isolation of leukocytes from full-thickness excisional wounds has proven to be a
difficult process that results in poor cell yield and holds significant
limitations for functional assays. Given the increased interest in the isolation,
characterization and functional measurements of wound-derived cell populations,
herein we describe a method for preparing wound cell suspensions with an improved
yield that enables both phenotypic and functional assessments.
PMID- 21889513
TI - Rapid isolation of nuclei from living immune cells by a single centrifugation
through a multifunctional lysis gradient.
AB - Due to their low protein content and limited nuclear detergent stability, primary
human immune cells such as monocytes or T lymphocytes represent a great challenge
for standard nuclear isolation protocols. Nuclei clumping during the multiple
centrifugation steps or contamination of isolated nuclei with cytoplasmic
proteins due to membrane lysis is a frequently observed problem. Here we describe
a versatile and novel method for the isolation of clean and intact nuclei from
primary human monocytes, which can be applied for virtually any cell type. Living
cells were applied on an iso-osmolar discontinuous iodixanol-based density
gradient including a detergent-containing lysis layer. Mild cell lysis as well as
efficient washing of the nuclei was performed during the course of one single low
g-force centrifugation step. The isolation procedure, which we call lysis
gradient centrifugation (LGC), results in the recovery of 90-95% of highly pure
nuclei. This easy and highly reproducible procedure allows an effective
preparation of nuclei and the cytoplasm in only 15 min with the ability to handle
as little as one million cells per sample and easy parallel processing of
multiple samples.
PMID- 21889514
TI - S100B-RAGE dependent VEGF secretion by cardiac myocytes induces myofibroblast
proliferation.
AB - Post-infarct remodeling is associated with the upregulation of the receptor for
advanced glycation end products (RAGE), the induction of its ligand the calcium
binding protein S100B and the release of the potent endothelial-cell specific
mitogen vascular endothelial growth factor (VEGF). To determine a possible
functional interaction between S100B, RAGE and VEGF we stimulated rat neonatal
cardiac myocyte cultures transfected with either RAGE or a dominant-negative
cytoplasmic deletion mutant of RAGE with S100B for 48 h. Under baseline
conditions, cardiac myocytes express low levels of RAGE and VEGF and secrete VEGF
in the medium as measured by ELISA. In RAGE overexpressing myocytes, S100B (100
nM) resulted in increases in VEGF mRNA, VEGF protein, VEGF secretion, and
activation of the transcription factor NF-kappaB. Pre-treatment of RAGE
overexpressing myocytes with the NF-kappaB inhibitor caffeic acid phenethyl ester
inhibited increases in VEGF mRNA, VEGF protein and VEGF in the medium by S100B.
In myocytes expressing dominant-negative RAGE, S100B did not induce VEGF mRNA,
VEGF protein, VEGF secretion or NF-kappaB activation. In culture, rat neonatal
and adult cardiac fibroblasts undergo phenotypic transition to myofibroblasts.
Treatment of neonatal and adult myofibroblasts with VEGF (10 ng/mL) induces VEGFR
2 (flk-1/KDR) tyrosine kinase phosphorylation, ERK1/2 phosphorylation and
myofibroblast proliferation. Together these data demonstrate that secreted VEGF
by cardiac myocytes in response to S100B via RAGE ligation induces myofibroblast
proliferation potentially contributing to scar formation observed in infarcted
myocardium. This article is part of a Special Issue entitled "Local Signaling in
Myocytes".
PMID- 21889516
TI - Nandrolone and resistance training induce heart remodeling: role of fetal genes
and implications for cardiac pathophysiology.
AB - AIMS: This study was conducted to assess the isolated and combined effects of
nandrolone and resistance training on cardiac morphology, function, and mRNA
expression of pathological cardiac hypertrophy markers. MAIN METHODS: Wistar rats
were randomly divided into four groups and submitted to 6 weeks of treatment with
nandrolone and/or resistance training. Cardiac parameters were determined by
echocardiography. Heart was analyzed for collagen infiltration. Real-time RT-PCR
was used to assess the pathological cardiac hypertrophy markers. KEY FINDINGS:
Both resistance training and nandrolone induced cardiac hypertrophy. Nandrolone
increased the cardiac collagen content, and reduced the cardiac index in non
trained and trained groups, when compared with the respective vehicle-treated
groups. Nandrolone reduced the ratio of maximum early to late transmitral flow
velocity in non-trained and trained groups, when compared with the respective
vehicle-treated groups. Nandrolone reduced the alpha-myosin heavy chain gene
expression in both non-trained and trained groups, when compared with the
respective vehicle-treated groups. Training reduced the beta-myosin heavy chain
gene expression in the groups treated with vehicle and nandrolone. Only the
association between training and nandrolone increased the expression of the
skeletal alpha-actin gene and atrial natriuretic peptide in the left ventricle.
SIGNIFICANCE: This study indicated that nandrolone, whether associated with
resistance training or not, induces cardiac hypertrophy, which is associated with
enhanced collagen content, re-expression of fetal genes the in left ventricle,
and impaired diastolic and systolic function.
PMID- 21889515
TI - Statistical potentials for hairpin and internal loops improve the accuracy of the
predicted RNA structure.
AB - RNA is directly associated with a growing number of functions within the cell.
The accurate prediction of different RNA higher-order structures from their
nucleic acid sequences will provide insight into their functions and molecular
mechanics. We have been determining statistical potentials for a collection of
structural elements that is larger than the number of structural elements
determined with experimentally determined energy values. The experimentally
derived free energies and the statistical potentials for canonical base-pair
stacks are analogous, demonstrating that statistical potentials derived from
comparative data can be used as an alternative energetic parameter. A new
computational infrastructure-RNA Comparative Analysis Database (rCAD)-that
utilizes a relational database was developed to manipulate and analyze very large
sequence alignments and secondary-structure data sets. Using rCAD, we determined
a richer set of energetic parameters for RNA fundamental structural elements
including hairpin and internal loops. A new version of RNAfold was developed to
utilize these statistical potentials. Overall, these new statistical potentials
for hairpin and internal loops integrated into the new version of RNAfold
demonstrated significant improvements in the prediction accuracy of RNA secondary
structure.
PMID- 21889517
TI - Identification and functional analyses of polymorphism haplotypes of protein
phosphatase 2A-Aalpha gene promoter.
AB - The serine-threonine protein phosphatase 2A (PP2A) is a heterotrimeric enzyme
family that plays an essential regulatory role in cell growth, differentiation,
and apoptosis. Mutations in the genes encoding PP2A-Aalpha/beta subunits are
associated with tumorigenesis and other human diseases. To explore whether
genetic variations in the promoter region of the PP2A-Aalpha gene (PPP2R1A) and
their frequent haplotypes in the Han Chinese population have an impact on
transcriptional activity, we collected DNA samples from 63 healthy Chinese donors
and searched for genetic variations in the 5'-flanking promoter region of PPP2R1A
(PPP2R1Ap). Haplotypes were characterized by Haploview analysis and individual
subcloning. A set of molecular and functional experiments was performed using
reporter genes and electrophoretic mobility shifting assay (EMSA). Seven genetic
variations were identified within the promoter locus (2038bp) of PPP2R1A. Linkage
disequilibrium (LD) patterns and haplotype profiles were analyzed using the
identified genetic variants. Using serially truncated human PPP2R1A promoter
luciferase constructs, we found that a 685bp (-448nt to +237nt) fragment around
the transcription start site (TSS) was the core promoter region. Individual
subcloning revealed the existence of six haplotypes in this proximal promoter
region of PPP2R1Ap. Using luciferase reporter assays, we found that different
haplotypes bearing different variant alleles exhibit distinct promoter
activities. The EMSA revealed that the -241 -/G variant influences DNA-protein
interactions involving the transcription factor NF-kappaB, which may regulate the
activity of the PPP2R1A proximal promoter. Our findings suggest that functional
genetic variants in the proximal promoter of the PP2A-Aalpha gene and their
haplotypes are critical in the regulation of transcriptional activation.
PMID- 21889518
TI - The GABA system in anxiety and depression and its therapeutic potential.
AB - In the regulation of behavior, the role of GABA neurons has been extensively
studied in the circuit of fear, where GABA interneurons play key parts in the
acquisition, storage and extinction of fear. Therapeutically, modulators of
alpha(2)/alpha(3) GABA(A) receptors, such as TPA023, have shown clinical proof of
concept as novel anxiolytics, which are superior to classical benzodiazepines by
their lack of sedation and much reduced or absent dependence liability. In view
of the finding that anxiety disorders and major depression share a GABAergic
deficit as a common pathophysiology, the GABA hypothesis of depression has found
increasing support. It holds that alpha(2)/alpha(3) GABA(A) receptor modulators
may serve as novel antidepressants. Initial clinical evidence for this view comes
from the significantly enhanced antidepressant therapeutic response when
eszopicole, an anxiolytic/hypnotic acting preferentially on alpha(2)/alpha(3) and
alpha(1) GABA(A) receptors, was coadministered with an antidepressant. This
effect persisted even when sleep items were not considered. These initial results
warrant efforts to profile selective alpha(2)/alpha(3) GABA(A) receptor
modulators, such as TPA023, as novel antidepressants. In addition, GABA(B)
receptor antagonists may serve as potential antidepressants. This article is part
of a Special Issue entitled 'Anxiety and Depression'.
PMID- 21889519
TI - The timing of feature-based attentional effects during object perception.
AB - Allocating attention to basic features such as colour enhances perception of the
respective features throughout the visual field. We have previously shown that
feature-based attention also plays a role for more complex features required for
object perception. To investigate at which level object perception is modulated
by feature-based attention we recorded high-density event-related potentials
(ERPs). Participants detected contour-defined objects or motion, and were
informed to expect each feature dimension. Participants perceived contour-defined
objects and motion better when they expected the congruent feature. This is
consistent with modulation of the P1 when attending to lower-level features. For
contours, modulation occurred at 290 ms, first at frontal electrodes and then at
posterior sites, associated with sources in ventral visual areas accompanied by
greater signal strength. This pattern of results is consistent with what has been
observed in response to illusory contours. Our data provide novel insights into
the contribution of feature-based attention to object perception that are
associated with higher tier brain areas.
PMID- 21889520
TI - Sensory and semantic category subdivisions within the anterior temporal lobes.
AB - In the semantic memory literature the anterior temporal lobe (ATL) is frequently
discussed as one homogeneous region when in fact, anatomical studies indicate
that it is likely that there are discrete subregions within this area. Indeed,
the influential Hub Account of semantic memory has proposed that this region is a
sensory-amodal, general-purpose semantic processing region. However review of the
literature suggested two potential demarcations: sensory subdivisions and a
social/nonsocial subdivision. To test this, participants were trained to
associate social or non-social words with novel auditory, visual, or audiovisual
stimuli. Later, study participants underwent an fMRI scan where they were
presented with the sensory stimuli and the task was to recall the semantic
associate. The results showed that there were sensory specific subdivisions
within the ATL - that the perceptual encoding of auditory stimuli preferentially
activated the superior ATL, visual stimuli the inferior ATL, and multisensory
stimuli the polar ATL. Moreover, our data showed that there is stimulus-specific
sensitivity within the ATL - the superior and polar ATLs were more sensitive to
the retrieval of social knowledge as compared to non-social knowledge. No ATL
regions were more sensitive to the retrieval of non-social knowledge. These
findings indicate that the retrieval of newly learned semantic associations
activates the ATL. In addition, superior and polar aspects of the ATL are
sensitive to social stimuli but relatively insensitive to non-social stimuli, a
finding that is predicted by anatomical connectivity and single-unit studies in
non-human primates. And lastly, the ATL contains sensory processing subdivisions
that fall along superior (auditory), inferior (visual), polar (audiovisual)
subdivisions.
PMID- 21889521
TI - Area postrema lesions attenuate LiCl-induced c-Fos expression correlated with
conditioned taste aversion learning.
AB - Lesions of the area postrema (AP) block many of the behavioral and physiological
effects of lithium chloride (LiCl) in rats, including formation of conditioned
taste aversions (CTAs). Systemic administration of LiCl induces c-Fos
immunoreactivity in several brain regions, including the AP, nucleus of the
solitary tract (NTS), lateral parabrachial nucleus (latPBN), supraoptic nucleus
(SON), paraventricular nucleus (PVN), and central nucleus of the amygdala (CeA).
To determine which of these brain regions may be activated in parallel with the
acquisition of LiCl-induced CTAs, we disrupted CTA learning in rats by ablating
the AP and then quantified c-Fos-positive cells in these brain regions in sham-
and AP-lesioned rats 1 h following LiCl or saline injection. Significant c-Fos
induction after LiCl was observed in the CeA and SON of AP-lesioned rats,
demonstrating activation independent of an intact AP. LiCl-induced c-Fos was
significantly attenuated in the NTS, latPBN, PVN and CeA of AP-lesioned rats,
suggesting that these regions are dependent on AP activation. Almost all of the
lesioned rats showed some damage to the subpostremal NTS, and some rats also had
damage to the dorsal motor nucleus of the vagus; this collateral damage in the
brainstem may have contributed to the deficits in c-Fos response. Because c-Fos
induction in several regions was correlated with magnitude of CTA acquisition,
these regions are implicated in the central mediation of lithium effects during
CTA learning.
PMID- 21889524
TI - Successful acquisition of an olfactory discrimination test by Asian elephants,
Elephas maximus.
AB - The present study demonstrates that Asian elephants, Elephas maximus, can
successfully be trained to cooperate in an olfactory discrimination test based on
a food-rewarded two-alternative instrumental conditioning procedure. The animals
learned the basic principle of the test within only 60 trials and readily
mastered intramodal stimulus transfer tasks. Further, they were capable of
distinguishing between structurally related odor stimuli and remembered the
reward value of previously learned odor stimuli after 2, 4, 8, and 16 weeks of
recess without any signs of forgetting. The precision and consistency of the
elephants' performance in tests of odor discrimination ability and long-term odor
memory demonstrate the suitability of this method for assessing olfactory
function in this proboscid species. An across-species comparison of several
measures of olfactory learning capabilities such as speed of initial task
acquisition and ability to master intramodal stimulus transfer tasks shows that
Asian elephants are at least as good in their performance as mice, rats, and
dogs, and clearly superior to nonhuman primates and fur seals. The results
support the notion that Asian elephants may use olfactory cues for social
communication and food selection and that the sense of smell may play an
important role in the control of their behavior.
PMID- 21889522
TI - Corticotrophin releasing factor (CRF) induced reinstatement of cocaine seeking in
male and female rats.
AB - Significant sex differences have been demonstrated in clinical and preclinical
studies of cocaine addiction, with some of the most consistent differences noted
in regard to the role of stress and craving. The current study examined stress
induced reinstatement of cocaine seeking in male and female rats in an animal
model of relapse using corticotropin-releasing factor (CRF) administration. Both
male and female rats demonstrated increased cocaine seeking in response to CRF.
CRF-induced reinstatement was highly variable across both male and female rats,
and further analysis revealed a subpopulation that was particularly sensitive to
CRF (high responders). Female high responders displayed significantly increased
responding to CRF compared to males. Individual differences in stress
responsivity could thus contribute to the likelihood of relapse, with females
showing greater heterogeneity to stress-induced relapse.
PMID- 21889523
TI - Estradiol increases the anorexia associated with increased 5-HT(2C) receptor
activation in ovariectomized rats.
AB - Estradiol's inhibitory effect on food intake is mediated, in part, by its ability
to increase the activity of meal-related signals, including serotonin (5-HT),
which hastens satiation. The important role that postsynaptic 5-HT(2C) receptors
play in mediating 5-HT's anorexigenic effect prompted us to investigate whether a
regimen of acute estradiol treatment increases the anorexia associated with
increased 5-HT(2C) receptor activation in ovariectomized (OVX) rats. We
demonstrated that intraperitoneal and intracerebroventricular (i.c.v.)
administration of low doses of the 5-HT(2C) receptor agonist meta
chlorophenylpiperazine (mCPP) decreased 1-h dark-phase food intake in estradiol
treated, but not oil-treated, OVX rats. During a longer feeding test, we
demonstrated that i.c.v. administration of mCPP decreased 22-h food intake in oil
treated and, to a greater extent, estradiol-treated OVX rats. In a second study,
we demonstrated that estradiol increased 5-HT(2C) receptor protein content in the
caudal brainstem, but not hypothalamus, of OVX rats. We conclude that a
physiologically-relevant regimen of acute estradiol treatment increases
sensitivity to mCPP's anorexigenic effect. Our demonstration that this same
regimen of estradiol treatment increases 5-HT(2C) receptor protein content in the
caudal hindbrain of OVX rats provides a possible mechanism to explain our
behavioral findings.
PMID- 21889525
TI - The effects of phencyclidine (PCP) on anxiety-like behavior in the elevated plus
maze and the light-dark exploration test are age dependent, sexually dimorphic,
and task dependent.
AB - Previous research in our laboratory revealed sexually dimorphic effects of prior
exposure to phencyclidine (PCP) on elevated plus maze behavior. In an attempt to
examine the developmental time course of this effect and determine the extent to
which it generalizes to other anxiety paradigms, young adult (61-64 days old) and
adult (96-107 days old) male and female rats were treated with PCP (15 mg/kg) or
saline. Following a two week withdrawal period, animals were tested in either the
elevated plus maze (EPM) or a light-dark exploration (LD) test. In adults, both
tests revealed a sexually dimorphic effect driven by PCP-induced decreases in
anxiety in females as indicated by increased time spent in the open arms of the
EPM and in the lit compartment of the LD test and increased anxiety in males as
indicated by decreased time spent in the lit compartment of the LD. In young
animals, PCP pretreatment decreased open arm exploration in the elevated plus
maze, indicating increased anxiety. However, PCP increased time spent in the
light compartment in the light-dark exploration test, indicating decreased
anxiety. Corticosterone levels measured 15 min after the onset of the EPM failed
to reveal an association between the behavioral effects of PCP and corticosterone
levels. The results in adults substantiate the previously observed sexually
dimorphic effect of PCP on elevated plus maze behavior in adults and indicate
that the effect generalizes to another anxiety paradigm. The results in the
younger animals suggest an age dependent effect of PCP on anxiety in general and
indicate that behaviors in the elevated plus maze and the light-dark exploration
test reflect dissociable psychobiological states.
PMID- 21889526
TI - Antinociceptive effects of intragastric DL-tetrahydropalmatine on visceral and
somatic persistent nociception and pain hypersensitivity in rats.
AB - Although tetrahydropalmatine (THP), an alkaloid constituent of plants from the
genera Stephania and Corydalis, is known to have analgesic property, the
antinociceptive effects of THP have not been well evaluated experimentally and
the appropriate indications for treatment of clinical pain remain unclear. In the
present study, nociceptive and inflammatory models of both somatic and visceral
origins were used to assess the antinociceptive and antihyperalgesic effects of
intragastric (i.g.) pretreatment of dl-THP in rats. In the bee venom (BV) test
that has been well established experimentally, i.g. pretreatment of three doses
of dl-THP (20, 40, 60 mg/kg, body weight) resulted in less stably antinociceptive
effect on the BV-induced persistent paw flinches that are known to be processed
by spinal nociceptive circuit, however the drug of the two higher doses produced
distinct suppression of the BV-induced persistent nociception rated by
nociceptive score that reflects both spinal and supraspinal mediation. Similarly,
the antinociception of dl-THP (60 mg/kg) was only significant for phase 1 but not
for phase 2 of the formalin-induced persistent paw flinches, however, the
inhibition was distinct for both phase 1 and phase 2 of the formalin nociceptive
score. For the antihyperalgesic effect, in contrast, pretreatment of dl-THP (60
mg/kg) produced significant inhibition of both primary hyperalgesia to either
thermal or mechanical stimuli and the mirror-image thermal hyperalgesia
identified in the BV test. In the acetic acid writhing test, the number of
writhes was completely blocked at the first 5-min interval followed by a
sustained suppression in the remaining period of the whole time course comparing
to the vehicle control. These data suggest that i.g. pre-administration of dl-THP
could more effectively inhibit visceral nociception as well as thermal and
mechanical inflammatory pain hypersensitivity (hyperalgesia) than persistent
nociception. Moreover, the drug is likely to produce more effectiveness on
supraspinally processed nociceptive behaviors than spinally mediated nociceptive
behaviors, implicating an action of THP at the supraspinal level.
PMID- 21889527
TI - Promoting stair climbing in public-access settings: an audit of intervention
opportunities in England.
AB - OBJECTIVE: Introducing message prompts at the 'point-of-choice' (POC) between
stairs and escalators increases stair choice in 'public-access' settings (e.g.
malls). For nationwide campaigns, plentiful POCs appear needed. We audited the
availability of POCs in public-access settings across England. METHODS:
Boundaries for 25 urban areas (population=6,829,874) were verified using
Ordinance Survey maps, which showed all airports and train/tram stations. Malls
and bus stations were identified from commercial listings and local authority web
pages. From September 2010-March 2011 two investigators visually inspected all
venues (N=410), counting 'true' POCs and 'quasi' POCs (i.e. instances where
stairs were visible from, but not adjacent to, escalators). RESULTS: 5% of venues
had >=1 true POC (quasi POC=3%). Aggregating across areas, there was a true and
quasi POC for every 243,924 and 379,437 people, respectively. There were regional
variations; one area had 10 true/quasi POCs, whilst 10/24 remaining areas had
none. POCs were more common in airports (4/6 venues) than malls (11/85) and train
stations (4/215). CONCLUSION: Although public-access POCs reach sizeable
audiences, their availability in England is sporadic, precluding nationwide
campaigns. Interventions should be considered locally, based on available POCs.
Work/community venues (e.g. offices, hospitals), where pedestrians choose between
stairs and elevators, may provide greater intervention opportunities.
PMID- 21889528
TI - Use of conventional care and complementary/alternative medicine among US adults
with arthritis.
AB - OBJECTIVE: Managing arthritis and co-morbid conditions is of public health
importance. It is therefore critical to have a comprehensive understanding of
healthcare utilization among US adults with arthritis. Thus, the present study
identified characteristics associated with using both complementary and
alternative medicine (CAM) and conventional healthcare. METHOD: Using 2007
National Health Interview Survey data, multinomial logistic regression was
performed to compare four categories of past year healthcare use (both CAM and
conventional care vs. conventional care only, CAM only, and no healthcare use) on
their potential correlates. RESULTS: The sample (n=3850) was 62.8% female and
80.4% non-Hispanic White. Nearly half were at least 65 years old, and had used
both CAM and conventional services in the previous year. The following
characteristics were associated with having used neither CAM nor conventional
care in the previous year (vs. having used both CAM and conventional care): being
from an ethnic and racial minority group (ORs=2.44, 3.26, and 3.91) and being
uninsured (OR=4.06), identifying individuals potentially at risk for unmet need.
CONCLUSION: To ensure access to comprehensive care, potentially underserved
populations should be targeted with outreach (e.g., providing low-cost,
accessible care, and education about benefits of various treatments for arthritis
and co-morbid conditions).
PMID- 21889529
TI - Formulation optimization of chelerythrine loaded O-carboxymethylchitosan
microspheres using response surface methodology.
AB - The aims of this investigation were to develop a procedure to prepare
chelerythrine (CHE) loaded O-carboxymethylchitosan (O-CMCS) microspheres by
emulsion cross-linking method and optimize the process and formulation variables
using response surface methodology (RSM) with a three-level, three-factor Box
Behnken design (BBD). The independent variables studied were O-CMCS/CHE ratio,
O/W phase ratio, and O-CMCS concentration, dependent variables (responses) were
drug loading content and encapsulation efficiency. Mathematical equations and
response surface plots were used to relate the dependent and independent
variables. The process and formulation variables were optimized to achieve
maximum drug loading content and entrapment efficiency by the desirability
function. The optimized microsphere formulation was characterized for particle
size, shape, morphology and in vitro drug release. Results for mean particle
size, drug loading content, entrapment efficiency, and in vitro drug release of
CHE-loaded O-CMCS microspheres were found to be of 12.18 MUm, 4.16 +/- 3.36%,
57.40 +/- 2.30%, and 54.5% at pH 7.4 after 70 h, respectively. The combination
use of RSM, BBD and desirability function could provide a promising application
for O-CMCS as controlled drug delivery carrier and help to develop procedures for
a lab-scale microemulsion process.
PMID- 21889530
TI - Encapsulation of probiotic Bifidobacterium longum BIOMA 5920 with alginate-human
like collagen and evaluation of survival in simulated gastrointestinal
conditions.
AB - Alginate (ALg)-human-like collagen (HLC) microspheres were prepared by the
technology of electrostatic droplet generation in order to develop a
biocompatible vehicle for probiotic bacteria. Microparticles were spherical with
mean particle size of 400MUm. The encapsulation efficiency (EE) of ALg-HLC
microspheres could reach 92-99.2%. Water-soluble and fibrous human-like collagen
is combined with sodium alginate through intermolecular hydrogen bonding and
electrostatic force which were investigated by Fourier transform infrared
spectroscopy (FTIR) and differential scanning calorimetry (DSC), thus the matrix
of ALg-HLC was very stable. Bifidobacterium longum BIOMA 5920, as a kind of
probiotic bacteria, was encapsulated with alginate-human-like collagen to survive
and function in simulated gastrointestinal juice. Microparticles were very easy
to degradation in simulated intestinal juices. After incubation in simulated
gastric (pH 2.0, 2h), the encapsulated B. longum BIOMA 5920 numbers were 4.81 +/-
0.38 log cfu/g.
PMID- 21889532
TI - X-ray structure of a galactose-specific lectin from Spatholobous parviflorous.
AB - A galactose-specific seed lectin from Spatholobous parviflorus (SPL) has been
purified, crystallized and its X-ray structure solved. It is the first lectin
purified and crystallized from the genus Spatholobus (family: Fabaceae). The
crystals belong to the space group P1, with a=60.792 A, b=60.998 A, c=78.179 A,
alpha=78.68 degrees , beta=88.62 degrees , gamma=104.32 degrees . The data were
collected at 2.04 A resolution under cryocondition, on a MAR image-plate detector
system, mounted on a rotating anode X-ray generator. The coordinates of Dolichos
biflorus lectin (1lu1) were successfully used for the structure solution by
molecular replacement method. The primary structure of the SPL was not known
earlier and it was unambiguously visible in the electron density. S. parviflorus
lectin is a hetero-dimeric-tetramer with two alpha and two beta chains of 251 and
239 residues respectively. SPL has two metal ions, Ca(2+) and Mn(2+), bound to a
loop region of each chain. The SPL monomers are in jelly roll form.
PMID- 21889531
TI - Molecular characterization and solution properties of enzymatically tailored
arabinoxylans.
AB - Two alpha-L-arabinofuranosidases with different substrate specificities were used
to modify the arabinose-to-xylose ratio of cereal arabinoxylans: one enzyme (AXH
m) removed the L-arabinofuranosyl substituents from the monosubstituted
xylopyranosyl residues and the other (AXH-d3) the (1->3)-linked L
arabinofuranosyl units from the disubstituted xylopyranosyl residue. In this
study, we noticed that not only the arabinose-to-xylose ratio but also the
position of the arabinofuranosyl substituents affects the water-solubility of
arabinoxylans. The AXH-d3 treatment had no significant effect on the solution
conformation of arabinoxylans, but the density of the arabinoxylan molecules
decreased in DMSO solution after AXH-m modification. The possible heterogeneity
of arabinoxylans complicated the interpretation of data describing the
macromolecular properties of the enzymatically modified samples.
PMID- 21889533
TI - Roles of hinge region, loops 3 and 4 in the activation of Escherichia coli cyclic
AMP receptor protein.
AB - The cAMP receptor protein (CRP) requires cAMP for an allosteric change and
regulates more than 150 genes in Escherichia coli. In this study, the modular
half of cAMP receptor protein was used to investigate the allosteric signal
transmission pathway induced by cAMP binding. The activation of CRP upon cAMP
binding is indicated to be realignment of the two subunits within the CRP dimer.
The interaction of loop 3 and Phe136 do not involve in signal transmission.
PMID- 21889534
TI - Mechanism of inhibition of arginine kinase by flavonoids consistent with
thermodynamics of docking simulation.
AB - Arginine kinase plays a vital role in invertebrate homeostasis by buffering ATP
concentrations. Arginine kinase might serve as a target for environmentally
friendly insect-selective pesticides, because it differs notably from its
counterpart in vertebrates, creatine kinase. In this study, two members of the
flavonoid family, quercetin (QU) and luteolin (LU), were identified as novel
noncompetitive inhibitors of locust arginine kinase. They were found to have
inhibition parameters (K(i)) of 11.2 and 23.9 MUM, respectively. By comparing
changes in the activity and intrinsic fluorescence of AK, the inhibition
mechanisms of these flavonoids were found to involve binding to Trp residues in
the active site. This was determined by examination of the static quenching
parameter K(sv). The main binding forces between flavonoids and AK were found to
be hydrophobic based on the thermodynamic parameters of changes in enthalpy
(DeltaH), entropy (DeltaS), and free energy (DeltaG) and on docking simulation
results. Molecular docking analyses also suggested that flavonoids could bind to
the active site of AK and were close to the Trp 221 in active site. Molecular
simulation results mimic the experimental results, indicated that QU had a lower
binding energy and a stronger inhibitory effect on AK than LU, suggesting that
the extra hydroxyl group in QU might increase binding ability.
PMID- 21889536
TI - Three-dimensional strain fields in human brain resulting from formalin fixation.
AB - Before investigating human brains post mortem, the first preparation step is
often formalin fixation of the brain. As the brain consists of inhomogeneous
tissues, the fixation leads to a three-dimensional strain field within the
tissue. During the single case MR-based investigation of the brain, first, the
starting point with the brain post mortem but still within the cranium, was
examined. Then 13 MR data sets were acquired over a fixation period of 70 days
and compared to the initial data set. Based on affine registration of the data
sets, the global volume shrinkage was found to be 8.1%. By means of a non-rigid
registration additional maximal local volume strains of 32% were determined.
PMID- 21889535
TI - Fish consumption and prenatal methylmercury exposure: cognitive and behavioral
outcomes in the main cohort at 17 years from the Seychelles child development
study.
AB - INTRODUCTION: People worldwide depend upon daily fish consumption as a major
source of protein and other nutrients. Fish are high in nutrients essential for
normal brain development, but they also contain methylmercury (MeHg), a
neurotoxicant. Our studies in a population consuming fish daily have indicated no
consistent pattern of adverse associations between prenatal MeHg and children's
development. For some endpoints we found performance improved with increasing
prenatal exposure to MeHg. Follow up studies indicate this association is related
to the beneficial nutrients present in fish. OBJECTIVES: To determine if the
absence of adverse outcomes and the presence of beneficial associations between
prenatal MeHg and developmental outcomes previously reported persists into
adolescence. METHODS: This study was conducted on the Main Cohort of the
Seychelles Child Development Study (SCDS). We examined the association between
prenatal MeHg exposure and subjects' performance at 17 years of age on 27
endpoints. The test battery included the Wisconsin Card Sorting Test (WCST), the
California Verbal Learning Test (CVLT), the Woodcock-Johnson (W-J-II) Achievement
Test, subtests of the Cambridge Neuropsychological Test Automated Battery
(CANTAB), and measures of problematic behaviors. Analyses for all endpoints were
adjusted for postnatal MeHg, sex, socioeconomic status, maternal IQ, and child's
age at testing and the child's IQ was added for problematic behavioral endpoints.
RESULTS: Mean prenatal MeHg exposure was 6.9 ppm. There was no association
between prenatal MeHg and 21 endpoints. Increasing prenatal MeHg was associated
with better scores on four endpoints (higher W-J-II math calculation scores,
reduced numbers of trials on the Intra-Extradimensional Shift Set of the CANTAB),
fewer reports of substance use and incidents of and referrals for problematic
behaviors in school. Increasing prenatal MeHg was adversely associated with one
level of referrals to a school counselor. CONCLUSIONS: At age 17 years there was
no consistent pattern of adverse associations present between prenatal MeHg
exposure and detailed domain specific neurocognitive and behavioral testing.
There continues to be evidence of improved performance on some endpoints as
prenatal MeHg exposure increases in the range studied, a finding that appears to
reflect the role of beneficial nutrients present in fish as demonstrated
previously in younger subjects. These findings suggest that ocean fish
consumption during pregnancy is important for the health and development of
children and that the benefits are long lasting.
PMID- 21889537
TI - Evaluation of techniques used to estimate cortical feature maps.
AB - Functional properties of neurons are often distributed nonrandomly within a
cortical area and form topographic maps that reveal insights into neuronal
organization and interconnection. Some functional maps, such as in visual cortex,
are fairly straightforward to discern with a variety of techniques, while other
maps, such as in auditory cortex, have resisted easy characterization. In order
to determine appropriate protocols for establishing accurate functional maps in
auditory cortex, artificial topographic maps were probed under various
conditions, and the accuracy of estimates formed from the actual maps was
quantified. Under these conditions, low-complexity maps such as sound frequency
can be estimated accurately with as few as 25 total samples (e.g., electrode
penetrations or imaging pixels) if neural responses are averaged together. More
samples are required to achieve the highest estimation accuracy for higher
complexity maps, and averaging improves map estimate accuracy even more than
increasing sampling density. Undersampling without averaging can result in
misleading map estimates, while undersampling with averaging can lead to the
false conclusion of no map when one actually exists. Uniform sample spacing only
slightly improves map estimation over nonuniform sample spacing typical of serial
electrode penetrations. Tessellation plots commonly used to visualize maps
estimated using nonuniform sampling are always inferior to linearly interpolated
estimates, although differences are slight at higher sampling densities. Within
primary auditory cortex, then, multiunit sampling with at least 100 samples would
likely result in reasonable feature map estimates for all but the highest
complexity maps and the highest variability that might be expected.
PMID- 21889538
TI - Automated detection and analysis of neuronal persistent activity.
AB - Cortical neurons receive individual as well as synchronized synaptic events. The
latter may drive a neuron into an active state where a persistently depolarized
membrane potential lasts for several seconds. Visual inspection and manual
detection of these persistent events is labor-intensive. We built a set of
scripts in MATLAB with the goal of having a core software package for the
systematic and objective detection of persistent neural activity out of large
time-series data. This analysis software includes multiple steps, from a pre
processing stage, event detection, user-interactive detection reviewing, and
filtering/graphing. Analysis scripts and brief usage information are freely
available upon request.
PMID- 21889539
TI - A bundled microwire array for long-term chronic single-unit recording in deep
brain regions of behaving rats.
AB - Chronic single-unit recording in subcortical brain regions is increasingly
important in neurophysiological studies. However, methods for long-term, stable
recording of multiple single-units in deep brain regions and in dura-surrounded
ganglion have not yet been established. In the present study, we propose a
bundled microwire array design which is capable of long-term recording of the
trigeminal ganglion and deep-brain units. This electrode set is easy to construct
from common materials and tools found in an electrophysiological laboratory. The
salient features of our design include: (1) short and separated tungsten
microwires for stable chronic recording; (2) the use of a 30-guage stainless
steel guide tube for facilitating penetration and aiming for deep targets as well
as electrical grounding; (3) the inclusion of a reference of the same microwire
material inside the bundle to enhance common mode rejection of far field noises;
and (4) an adjustable connector. In our case, we used a 90 degrees backward
bending connector so that implanted rats could perform the same hole-seeking
behavior and their faces and the whiskers could be stimulated in the behaving
state. It was demonstrated that this multi-channel electrode caused minimal
tissue damage at the recording site and we were able to obtain good, stable
single-unit recordings from the trigeminal ganglion and ventroposterior medial
thalamus areas of freely moving rats for up to 80 days. This methodology is
useful for the studies that require long term and high quality unit recording in
the deep brain or in the trigeminal system.
PMID- 21889540
TI - Rapid typing of influenza viruses using super high-speed quantitative real-time
PCR.
AB - The development of a rapid and sensitive system for detecting influenza viruses
is a high priority for controlling future epidemics and pandemics. Quantitative
real-time PCR is often used for detecting various kinds of viruses; however, it
requires more than 2h per run. Detection assays were performed with super high
speed RT-PCR (SHRT-PCR) developed according to a newly designed heating system.
The new method uses a high-speed reaction (18s/cycle; 40 cycles in less than
20min) for typing influenza viruses. The detection limit of SHRT-PCR was 1
copy/reaction and 10(-1) plaque-forming unit/reaction for viruses in culture
supernatants during 20min. Using SHRT-PCR, 86 strains of influenza viruses
isolated by the Tokyo Metropolitan Institute of Public Health were tested; the
results showed 100% sensitivity and specificity for each influenza A and B virus,
and swine-origin influenza virus. Twenty-seven swabs collected from the
pharyngeal mucosa of outpatients were also tested, showing positive signs for
influenza virus on an immunochromatographic assay; the results between SHRT-PCR
and immunochromatography exhibited 100% agreement for both positive and negative
results. The rapid reaction time and high sensitivity of SHRT-PCR makes this
technique well suited for monitoring epidemics and pre-pandemic influenza
outbreaks.
PMID- 21889541
TI - Antiviral activity of tenofovir against Cauliflower mosaic virus and its
metabolism in Brassica pekinensis plants.
AB - The antiviral effect of the acyclic nucleoside phosphonate tenofovir (R)-PMPA on
double-stranded DNA Cauliflower mosaic virus (CaMV) in Brassica pekinensis plants
grown in vitro on liquid medium was evaluated. Double antibody sandwich ELISA and
PCR were used for relative quantification of viral protein and detecting nucleic
acid in plants. (R)-PMPA at concentrations of 25 and 50 mg/l significantly
reduced CaMV titers in plants within 6-9 weeks to levels detectable neither by
ELISA nor by PCR. Virus-free plants were obtained after 3-month cultivation of
meristem tips on semisolid medium containing 50 mg/l (R)-PMPA and their
regeneration to whole plants in the greenhouse. Studying the metabolism of (R)
PMPA in B. pekinensis revealed that mono- and diphosphate, structural analogs of
NDP and/or NTP, are the only metabolites formed. The data indicate very low
substrate activity of the enzymes toward (R)-PMPA as substrate. The extent of
phosphorylation in the plant's leaves represents only 4.5% of applied labeled (R)
PMPA. In roots, we detected no radioactive peaks of phosphorylated metabolites of
(R)-PMPAp or (R)-PMPApp.
PMID- 21889542
TI - Vesicular Stomatitis Virus glycoprotein G carrying a tandem dimer of Foot and
Mouth Disease Virus antigenic site A can be used as DNA and peptide vaccine for
cattle.
AB - Effective Foot and Mouth Disease Virus (FMDV) peptide vaccines for cattle have
two major constraints: resemblance of one or more of the multiple conformations
of the major VP1 antigenic sites to induce neutralizing antibodies, and
stimulation of T cells despite the variable bovine-MHC polymorphism. To overcome
these limitations, a chimeric antigen was developed, using Vesicular Stomatitis
Virus glycoprotein (VSV-G) as carrier protein of an in tandem-dimer of FMDV
antigenic site A (ASA), the major epitope on the VP1 capsid protein (aa 139-149,
FMDV-C3 serotype). The G-ASA construct was expressed in the Baculovirus system to
produce a recombinant protein (DEL BAC) (cloned in pCDNA 3.1 plasmid) (Invitrogen
Corporation, Carlsbad, CA) and was also prepared as a DNA vaccine (pC DEL).
Calves vaccinated with both immunogens elicited antibodies that recognized the
ASA in whole virion and were able to neutralize FMDV infectivity in vitro. After
two vaccine doses, DEL BAC induced serum neutralizing titers compatible with an
"expected percentage of protection" above 90%. Plasmid pC DEL stimulated FMDV
specific humoral responses earlier than DEL BAC, though IgG1 to IgG2 ratios were
lower than those induced by both DEL BAC and inactivated FMDV-C3 after the second
dose. DEL BAC induced FMDV-specific secretion of IFN-gamma in peripheral blood
mononuclear cells of outbred cattle immunized with commercial FMDV vaccine,
suggesting its capacity to recall anamnestic responses mediated by functional T
cell epitopes. The results show that exposing FMDV-VP1 major neutralizing
antigenic site in the context of N-terminal sequences of the VSV G protein can
overcome the immunological limitations of FMDV-VP1 peptides as effective protein
and DNA vaccines for cattle.
PMID- 21889543
TI - The effect of cytokine profiles on the viral response to re-treatment in
antiviral-experienced patients with chronic hepatitis C virus infection.
AB - BACKGROUND: There have been few studies on the potential immunological factors
associated with viral controls in antiviral-experienced patients on a second
round of combination therapy. In this study, we evaluated the level of systemic
cytokines and potential impact on combination therapy in both antiviral-naive and
-experienced patients chronically infected with hepatitis C virus. METHODS:
Longitudinal analysis of 27 cytokines and chemokines was performed using the
multiplex Biorad 27 plex assay in 37 antiviral-naive and 24 experienced
chronically HCV-1b-infected patients during combination therapy with
peginterferon-alfa and ribavirin. A group of healthy donors was included as the
control (n=11). RESULTS: Fifty percent of antiviral-experienced chronically HCV
patients could achieve a delayed and slow virologic response after 48 weeks
combination therapy, comparing with an early and fast virologic response in
antiviral-naive patients. A distinction of immune mediators profiling before and
during antiviral therapy between antiviral-naive and -experienced patients was
identified, IL-4, IFN-gamma and CCL-3 (MIP-1a) were significantly higher in naive
patients than those in experienced patients (P=0.005, 0.047 and 0.017,
respectively) while G-CSF in naive was lower than in experienced patients
(P<0.05). Notably, higher Th1 type cytokine IFN-gamma and lower Th2 type cytokine
IL-4 at baseline and week 4 were associated with HCV clearance in naive patients,
and a similar trend appeared at week 12 in experienced patients. CONCLUSIONS: We
found a successful second round therapy in antiviral-experienced patients appears
to be associated with the host immune response. Dominant Th1-polar cytokines,
especially IFN-gamma, is a potential predictor of viral responsiveness.
PMID- 21889545
TI - Behavioral alterations and changes in Ca/calmodulin kinase II levels in the
striatum of connexin36 deficient mice.
AB - Gap junctions (GJ) are intercellular channels which directly connect the
cytoplasm of adjacent cells. GJ allow direct cell-to-cell communication via the
diffusion of ions, metabolites and second messengers such as IP(3). The
connexin36 (Cx36) protein has been detected in GJ between interneurons of the
hippocampus, cerebral cortex, striatum, amygdala, the inferior olive, cerebellum
and other brain structures, such as the olfactory bulb. Cx36 knockout (Cx36 KO)
mice display changes in synchronous network oscillations in the hippocampus,
neocortex and inferior olive and exhibit impaired spatial alternation and one
trial object recognition in a Y-maze. Here, we further characterized the
behavioral changes induced by Cx36 deficiency in the mouse by using different
behavioral measures and experimental procedures. Additionally, we examined the
effects of Cx36 deficiency on acetylcholine esterase (AChE) activity and calcium
calmodulin kinase II alpha (CaMKII) protein levels in the striatum. The
homozygous Cx36 KO mice displayed increased locomotion and running speed in the
open-field, reduced object exploration and impaired one-trial object-place
recognition. Furthermore, they exhibited more anxiety-like behavior as compared
to the heterozygous controls in the light-dark box. Homozygous Cx36 KO mice
exhibited reduced CaMKII levels in the striatum as compared to the heterozygous
mice. AChE activity in the striatum was not significantly different between
groups. The present results suggest that Cx36 deficiency in the mouse leads to
reduced CaMKII levels in the striatum and behavioral changes in open-field
activity, anxiety-related behavior in the light-dark box and one-trial object
place recognition.
PMID- 21889544
TI - Sex differences in the behavioral response to methylphenidate in three adolescent
rat strains (WKY, SHR, SD).
AB - Methylphenidate (MPD) is the most widely used drug in the treatment of attention
deficit hyperactivity disorder (ADHD). ADHD has a high incidence in children and
can persist in adolescence and adulthood. The relation between sex and the
effects of acute and chronic MPD treatment was examined using adolescent male and
female rats from three genetically different strains: spontaneously hyperactive
rat (SHR), Wistar-Kyoto (WKY) and Sprague-Dawley (SD). Rats from each strain and
sex were randomly divided into a control group that received saline injections
and three MPD groups that received either 0.6 or 2.5 or 10mg/kg MPD injections.
All rats received saline on experimental day 1 (ED1). On ED2 to ED7 and ED11, the
rats were injected either with saline or MPD and received no treatment on ED8
ED10. The open field assay was used to assess the dose-response of acute and
chronic MPD administration. Significant sex differences were found. Female SHR
and SD rats were significantly more active after MPD injections than their male
counterparts, while the female WKY rats were less active than the male WKY rats.
Dose dependent behavioral sensitization or tolerance to MPD treatment was not
observed for SHR or SD rats, but tolerance to MPD was found in WKY rats for the
10mg/kg MPD dose. The use of dose-response protocol and evaluating different
locomotor indices provides the means to identify differences between the sexes
and the genetic strain in adolescent rats. In addition these differences suggest
that the differences to MPD treatment between the sexes are not due to the
reproductive hormones.
PMID- 21889546
TI - Exogenous oxytocin reverses the decrease of colonic smooth muscle contraction in
antenatal maternal hypoxia mice via ganglia.
AB - Oxytocin (OT) has been reported to have a potential protective effect on stress
induced functional gastrointestinal disorders. This study determined whether
colonic contraction in adults was affected by antenatal maternal hypoxia, and
whether OT is involved in antenatal maternal hypoxia induced colonic contraction
disorder. Isometric spontaneous contractions were recorded in colonic
longitudinal muscle strips in order to investigate colonic contractions and the
effects of exogenous OT on the contraction in antenatal maternal hypoxia and
control mice. Both high potassium and carbachol-induced contractions of proximal
colon but not distal colon were reduced in antenatal maternal hypoxia mice.
Exogenous OT decreased the contractions of proximal colonic smooth muscle strips
in control mice, while it increased contractions in antenatal maternal hypoxia
mice. OT increased the contractions of distal colonic smooth muscle strips in
both antenatal maternal hypoxia and control mice. Hexamethonium blocked the OT
induced potentiation of proximal colon but not distal colon in antenatal maternal
hypoxia mice. These results suggest that exogenous oxytocin reverses the decrease
of proximal colonic smooth muscle contraction in antenatal maternal hypoxia mice
via ganglia.
PMID- 21889547
TI - Expanding the cellular molecular chaperone network through the ubiquitous
cochaperones.
AB - Cellular environments are highly complex and contain a copious variety of
proteins that must operate in unison to achieve homeostasis. To guide and
preserve order, multifaceted molecular chaperone networks are present within each
cell type. To handle the vast client diversity and regulatory demands, a wide
assortment of chaperones are needed. In addition to the classic heat shock
proteins, cochaperones with inherent chaperoning abilities (e.g., p23, Hsp40,
Cdc37, etc.) are likely used to complete a system. In this review, we focus on
the HSP90-associated cochaperones and provide evidence supporting a model in
which select cochaperones are used to differentially modulate target proteins,
contribute to combinatorial client regulation, and increase the overall reach of
a cellular molecular chaperone network. This article is part of a Special Issue
entitled: Heat Shock Protein 90 (HSP90).
PMID- 21889548
TI - Exploration of novel in vitro assays to study drugs against Trichuris spp.
AB - Though trichuriasis is a significant public health problem, few effective drugs
are available underscoring the need for new drug therapies. For the evaluation of
trichuricidal activity of test compounds in vitro an accurate, reliable,
sensitive, fast and cheap drug sensitivity assay is essential. The aim of the
present investigation was to evaluate the performance of different in vitro drug
sensitivity assays in comparison to the standard motility assay. Trichuris muris
L4 larvae or adult worms were isolated from the intestinal tract from infected
female C57BL/10 mice and incubated in the presence of ivermectin, levamisole and
nitazoxanide (200, 100 and 50 MUg/ml) for 72 h. The health status of the worms
was either evaluated microscopically using a motility scale from 0 to 3 (motility
assay), by examination of absorbance or emission in response to metabolic
activity (MTT (Thiazolyl Blue Tetrazolium Bromide) and Alamar Blue assay),
through analysis of absorbance of an enzyme-substrate reaction (acid phosphatase
activity assay), by measuring the noise amplitudes (isothermal microcalorimetry
and xCELLigence System) or the heat flow (isothermal microcalorimetry) of T.
muris. The Alamar Blue assay, xCELLigence and microcalorimetry compared favorably
to the standard motility assay. These three assays precisely determined the
trichuricidal activity of the three test drugs. The acid phosphatase and the MTT
assays showed a poorer performance than the motility assay. In conclusion, the
colorimetric Alamar Blue in vitro assay is a good alternative to the motility
assay to study drug effects against T. muris L4 and adults, since it is easy to
perform, precise and of low cost.
PMID- 21889549
TI - Preparation of highly infective Leishmania promastigotes by cultivation on SNB-9
biphasic medium.
AB - Protozoan hemoflagellates Leishmania are causative agents of leishmaniases and an
important biological model for study of host-pathogen interaction. A wide range
of methods of Leishmania cultivation on both biphasic and liquid media is
available. Biphasic media are considered to be superior for initial isolation of
the parasites and obtaining high promastigote infectivity; however, liquid media
are more suitable for large-scale experiments. The aim of the present study was
the adaptation and optimization of the cultivation of Leishmania promastigotes on
a biphasic SNB-9 (saline-neopeptone-blood 9) medium that was originally developed
for Trypanosoma cultivation and combines the advantages of biphasic and liquid
media. SNB-9 medium is characterized with a large volume of the liquid phase,
which facilitates the manipulation with the culture and provides parasite yields
comparable to parasite yields on such liquid medium as Schneider's Insect Medium.
We demonstrate that SNB-9 very considerably surpasses Schneider's Insect Medium
in in vitro infectivity of the parasites. Additionally, we show that the ratio of
apoptotic parasites, which are important for the infectivity of the inoculum, in
Leishmania culture in SNB-9 is higher than in Leishmania culture in Schneider's
Insect Medium. Thus, we demonstrate that the cultivation of Leishmania on SNB-9
reliably yields highly infective promastigotes suitable for experimental
infection.
PMID- 21889550
TI - Intervention of mitochondrial dysfunction-oxidative stress-dependent apoptosis as
a possible neuroprotective mechanism of alpha-lipoic acid against rotenone
induced parkinsonism and L-dopa toxicity.
AB - The current study evidenced hypothesis that mitochondrial dysfunction-oxidative
stress-dependent apoptotic pathways play a critical role in degeneration of
dopaminergic neurons in Parkinson's disease. Model of rotenone-induced
parkinsonism in rats produced decrease in striatal complex I activity and reduced
glutathione with increase in nitrites concentration and caspase-3 activity. This
was confirmed by significant correlation of catalepsy score with neurochemical
parameters. Moreover, electron microscopic examination of striatal neurons
displayed ultrastructure affection as hyperchromatic nuclei and disrupted
mitochondria that are typical features of undergoing apoptosis. Administration of
L-dopa as replacement therapy, although caused symptomatic improvement in
catalepsy score, but further worsening in neurochemical parameters. Therefore,
efforts are not only to improve effect of L-dopa, but also to introduce drugs
provide antiparkinsonian and neuroprotective effects. In this study, alpha-lipoic
acid exhibited noticeable neuroprotective effects by a mechanism via intervention
of mitochondrial dysfunction-oxidative stress-dependent apoptotic pathways.
Combination of alpha-lipoic acid efficiently halting deleterious toxic effects of
L-dopa, revealed normalization of catalepsy score in addition to amelioration of
neurochemical parameters and apparent preservation of striatal ultrastructure
integrity, indicating benefit of both symptomatic and neuroprotective therapy. In
conclusion, alpha-lipoic acid could be recommended as a disease-modifying therapy
when given with L-dopa early in course of Parkinson's disease.
PMID- 21889551
TI - Overexpression and purification of the recombinant diphtheria toxin variant
CRM197 in Escherichia coli.
AB - The expression of the recombinant diphtheria toxin mutant CRM197 in bacteria
other than Corynebacterium diphtheriae has proven to be difficult. Here we
propose a new and alternative procedure for the production of full-length CRM197
in Escherichia coli. The present study relates specifically to the expression of
an artificial sequence and to a method for the isolation and purification of the
corresponding protein. In particular, a synthetic gene coding for CRM197, bearing
a short histidine tag and optimized for E. coli codon usage, was cloned in the
pET9a vector. Accordingly, the over-expression of the protein was simply induced
with arabinose in E. coli BL21AI. The recombinant protein was insoluble and
always found inside protein aggregates, which were solubilised using urea.
Surprisingly, the expression of CRM197, devoid of the short tag, always failed.
Following a refolding step, the his-tagged CRM197 was purified by affinity and
gel-filtration chromatography and the purity of the final preparation reached
95%. Interestingly, the recombinant protein features DNase activity, indicating
that the presence of the tag is not affecting its biochemical properties.
However, the removal of the synthetic tag could be easily obtained by incubating
the target protein with a proper quantity of a commercial enterokinase.
PMID- 21889552
TI - A novel prokaryotic expression system for biosynthesis of recombinant human
membrane-bound catechol-O-methyltransferase.
AB - Membrane proteins constitute 20-30% of all proteins encoded by the genome of
various organisms. While large amounts of purified proteins are required for
pharmaceutical and crystallization attempts, there is an unmet need for the
development of novel heterologous membrane protein overexpression systems.
Specifically, we tested the application of Brevibacillus choshinensis cells for
the biosynthesis of human membrane bound catechol-O-methyltransferase (hMBCOMT).
In terms of the upstream stage moderate to high expression was obtained for
complex media formulation with a value near 45 nmol/h/mg for hMBCOMT specific
activity achieved at 20 h culture with 37 degrees C and 250 rpm. Subsequently,
the efficiency for reconstitution of hMBCOMT is markedly null in the presence of
ionic detergents, such as sodium dodecyl sulphate (SDS). In general, for non
ionic and zwiterionic detergents, until a detergent critic micellar concentration
(CMC) of 1.0 mM, hMBCOMT shows more biological activity at lower detergent
concentrations while for detergent CMC higher than 1 mM, higher detergent
concentrations seem to be ideal for hMBCOMT solubilization. Indeed, from the
detergents tested, the non-ionic digitonin at 0.5% (w/v) appears to be the most
suitable for hMBCOMT solubilization.
PMID- 21889553
TI - Association of Vpu with hepatitis C virus NS3/4A stimulates transcription of type
1 human immunodeficiency virus.
AB - Type 1 human immunodeficiency virus (HIV-1) and hepatitis C virus (HCV) are
deadly bloodborne-transmitting pathogens. Due to sharing the routes of
transmission, co-infection of HIV-1 and HCV is common with a high rate. Co
infection of HCV affects morbidity and mortality of patients with AIDS and
impairs their tolerance to antiretroviral therapy. In this study, the roles of
HCV proteins in the regulation of HIV-1 replication and the molecular mechanism
involved in such regulation were investigated. We demonstrated that HCV NS3
protein stimulated HIV-1 LTR transcription and that HIV-1 Vpu protein was
required for the activation of HIV-1 transcription regulated by HCV NS3/4A
complex. Further study revealed that Vpu mediated ubiquitination-associated
degradation of NS4A, detached NS3/4A complex and release NS3 for nuclear
translocation. Since both degradation of NS4A and activation of HIV-1 LTR were
closely correlated and mediated by Vpu, we proposed that Vpu impairs the
stability of NS4A and releases NS3 from NS3/4A complex for the stimulation of HIV
1 transcription. This study enriched our understanding on HIV-1/HCV co-infection
and provided new insights in molecular mechanism involved in the co-infection of
the two viruses.
PMID- 21889554
TI - Photochemical internalisation of a macromolecular protein toxin using a cell
penetrating peptide-photosensitiser conjugate.
AB - Photochemical internalisation (PCI) is a site-specific technique for improving
cellular delivery of macromolecular drugs. In this study, a cell penetrating
peptide, containing the core HIV-1 Tat 48-57 sequence, conjugated with a
porphyrin photosensitiser has been shown to be effective for PCI. Herein we
report an investigation of the photophysical and photobiological properties of a
water soluble bioconjugate of the cationic Tat peptide with a hydrophobic
tetraphenylporphyrin derivative. The cellular uptake and localisation of the
amphiphilic bioconjugate was examined in the HN5 human head and neck squamous
cell carcinoma cell line. Efficient cellular uptake and localisation in
endo/lysosomal vesicles was found using fluorescence detection, and light
induced, rupture of the vesicles resulting in a more diffuse intracellular
fluorescence distribution was observed. Conjugation of the Tat sequence with a
hydrophobic porphyrin thus enables cellular delivery of an amphiphilic
photosensitiser which can then localise in endo/lysosomal membranes, as required
for effective PCI treatment. PCI efficacy was tested in combination with a
protein toxin, saporin, and a significant reduction in cell viability was
measured versus saporin or photosensitiser treatment alone. This study
demonstrates that the cell penetrating peptide-photosensitiser bioconjugation
strategy is a promising and versatile approach for enhancing the therapeutic
potential of bioactive agents through photochemical internalisation.
PMID- 21889555
TI - Nanogel with endosome-escaping function.
PMID- 21889556
TI - Post-translational modifications of pro-opiomelanocrtin related hormones in
medaka pituitary based on mass spectrometric analyses.
AB - Direct tissue matrix-assisted laser desorption ionization with time-of-flight
mass spectrometry analysis provides a selective detection of mass profile for the
peptides contained into cell secretory granules. By this mass spectrometry with
slice of pituitary, two novel molecular forms of pro-opimelanocrtin related
hormone were found in the orange-red strain medaka (Oryzias latipes var.). The
structures of [N,O-diacetyl Serine(1), O-acetyl Serine(3)]-alpha-melanocyte
stimulating hormone (MSH) and [hydroxyproline(15)]-beta-MSH, together with
[phosphoserine(15)]-corticotropin-like intermediate lobe peptide, were determined
for the first time using a collision-induced dissociation with electrospray
ionization mass spectrometry. A combination of mass spectrometry analyses is thus
a powerful tool to lead to the elucidation of the post-translational processing
from the pre-prohormone.
PMID- 21889558
TI - Contacts with children and young people and adult risk of suffering herpes
zoster.
AB - We carried out a matched case-control study to analyze the possible association
between exposure to the children and the risk of suffering herpes-zoster in
adulthood. Cases of herpes zoster in immunocompetent healthy patients aged >= 25
years seen in the dermatology department of the Sagrado Corazon Hospital in 2007
2008 were matched with four controls. Data were analyzed using conditional
logistic regression. 153 cases and 604 matched controls were included. Contacts
with children were significantly associated with a reduction in the risk of
suffering herpes zoster in adulthood (adjusted OR 0.56 [0.37-0.85]). Herpes
zoster vaccination in immunocompetent people aged >= 50 years could counteract
the possible negative effects of mass varicella vaccination in childhood on the
epidemiology of herpes zoster in adults.
PMID- 21889557
TI - Global expression profiling of peripheral Qa-1-restricted
CD8alphaalpha+TCRalphabeta+ regulatory T cells reveals innate-like features:
implications for immune-regulatory repertoire.
AB - Among peripheral regulatory T cells, CD8(+) T cells also play an important role
in the maintenance of immune homeostasis. A subset of CD8(+) Treg that express
alphabeta T cell receptor (TCR) and CD8alphaalpha homodimers can recognize TCR
derived peptides in the context of the class Ib MHC molecule Qa-1. To gain a
better understanding of the nature and phenotype of CD8alphaalpha(+)TCRalphabeta+
Treg, a global gene expression profiling using microarray, real-time quantitative
polymerase chain reaction, and flow-cytometric analysis was performed using
functional Treg clones and lines. The study findings show that CD8(+) Treg shared
gene profile expressed by innate-like lymphocytes, including murine
intraepithelial lymphocytes and thymic CD8alphaalpha(+)TCRalphabeta+ T-cell
populations. In addition, this subset displays differential expression of several
key regulatory molecules, including CD200. CD8alphaalpha(+) Treg expressed higher
levels of a number of natural killer cell-related receptors and molecules
belonging to the TNF superfamily. Collectively, peripheral class Ib-reactive
CD8alphaalpha(+)TCRalphabeta+ T cells represent a unique regulatory population
different from class Ia major histocompatibility complex-restricted conventional
T cells. These studies have important implications for the regulatory mechanisms
mediated by the CD8(+) Treg population in general.
PMID- 21889559
TI - Using the fish plasma model for comparative hazard identification for
pharmaceuticals in the environment by extrapolation from human therapeutic data.
AB - Thousands of drugs are currently in use, but only for a few of them experimental
chronic fish data exist. Therefore, Huggett et al. (Human Ecol Risk Assess 2003;
9:1789-1799) proposed the fish plasma model (FPM) to extrapolate the potential of
unintended long-term effects in fish. The FPM compares human therapeutic plasma
concentrations (HPC(T)) with estimated fish steady-state concentrations
(FPC(ss)), under the assumption that biological drug targets may be conserved
across the species. In this study, the influence of using different input
parameters on the model result was characterised for 42 drugs. The existence of
structurally and functionally conserved protein targets in zebrafish could not be
refuted. Thus, the FPM model application was not in contradiction to its basic
assumption. Further, dissociation of drugs was shown to be important in
determining the output and model robustness. As the proposed model for FPC(ss)
estimation was considered to predict accurate values for neutral and lipophilic
chemicals only, a modified bioconcentration model was used with D(OW) as
predictor. Using reasonable worst case assumptions, a hazard was indicated for
one third of the selected drugs. Our results support the notion that this
approach might help to prioritise among in use drugs to identify compounds where
follow up evidence should be considered.
PMID- 21889560
TI - Is switching antidepressants following early nonresponse more beneficial in acute
phase treatment of depression?: a randomized open-label trial.
AB - RATIONALE: Treatment guidelines for major depressive disorder (MDD) recommend a
continuous use of antidepressants for several weeks, while recent meta-analyses
indicate that antidepressant efficacy starts to appear within 2 weeks and early
treatment nonresponse is a predictor of subsequent nonresponse. OBJECTIVES: We
prospectively compared 8-week outcomes between switching antidepressants and
maintaining the same antidepressant in early nonresponders, to generate a
hypothesis on possible benefits of early switching strategy. METHOD: Patients
with MDD without any treatment history for the current episode were included.
When subjects failed to show an early response (i.e., >=20% improvement in the
Montgomery-Asberg Depression Rating Scale (MADRS)) to the initial treatment with
sertraline 50mg at week 2, they were randomly divided into two groups; in the
Continuing group, sertraline was titrated at 50-100mg, whereas sertraline was
switched to paroxetine 20-40 mg in the Switching group. A primary outcome measure
was a response rate (i.e., >=50% improvement in the MADRS) at week 8. RESULTS:
Among 132 subjects, 41 subjects showed early nonresponse. The Switching group
(n=20) showed a higher rate of responders than the Continuing group (n=21) (75%
vs. 19%: p=0.002). Further, the Switching group was also superior in the rate of
remitters (total score of <=10 in the MADRS) (60% vs. 14%: p=0.004) and
continuous changes in the MADRS (19.0 vs. 7.5: p<0.001). CONCLUSIONS: Our
preliminary findings suggest that patients with MDD who fail to show early
response to an initial antidepressant may derive benefits from the early
switching antidepressants in the acute-phase treatment of depression.
PMID- 21889561
TI - Sertraline promotes hippocampus-derived neural stem cells differentiating into
neurons but not glia and attenuates LPS-induced cellular damage.
AB - Sertraline is one of the most commonly used antidepressants in clinic. Although
it is well accepted that sertraline exerts its action through inhibition of the
reuptake of serotonin at presynaptic site in the brain, its effect on the neural
stem cells (NSCs) has not been well elucidated. In this study, we utilized NSCs
separated from the hippocampus of fetal rat to investigate the effect of
sertraline on the proliferation and differentiation of NSCs. The study
demonstrated that sertraline had no effect on NSCs proliferation but it
significantly promoted NSCs to differentiate into serotoninergic neurons other
than glia cells. Furthermore, we found that sertraline protected NSCs against the
lipopolysaccharide-induced cellular damage. These data indicate that sertraline
can promote neurogenesis and protect the viability of neural stem cells.
PMID- 21889563
TI - Evaluating potential of curcumin loaded solid lipid nanoparticles in aluminium
induced behavioural, biochemical and histopathological alterations in mice brain.
AB - Aluminium, a well established neurotoxicant, is reported to be involved in the
aetiology of Alzheimer's disease (AD) due to its easy admittance and accumulation
in central nervous system (CNS). Simultaneous curcumin treatment during the
induction of neurotoxicity by AlCl(3) is reported to provide protection. However,
the therapeutic potential of curcumin in terms of reversing the neuronal damage
once induced is limited due to its compromised bioavailability (BA). We prepared
solid lipid nanoparticles of curcumin (C-SLNs) with enhanced BA (32-155 times)
and investigated its therapeutic role in alleviating behavioural, biochemical and
histochemical changes upon oral administration (100mg/kg) of AlCl(3) in male
Lacca mice. Adverse effects of AlCl(3) were completely reversed by oral
administration of C-SLNs. Treatment with free curcumin showed <= 15% recovery in
membrane lipids (LPO) and 22% recovery in acetylcholinesterase (AChE) with
respect to AlCl(3) treated group. C-SLNs showed significantly better results
(97.46% and 73% recovery in LPO and AChE) at a dose of 50mg/kg, and the results
were comparable (p <= 0.001) to those achieved with rivastigmine. Histopathology
of the brain sections of C-SLNs treated groups also indicated significant
improvement. Study highlights the potential of C-SLNs for treatment of AD.
PMID- 21889562
TI - Antidepressant-like effect of Valeriana glechomifolia Meyer (Valerianaceae) in
mice.
AB - The antidepressant-like effect of a supercritical CO2 (SCCO2) Valeriana
glechomifolia extract enriched in valepotriates was investigated in a mice tail
suspension test (TST) and forced swimming test (FST). The SCCO2 extract decreased
mice immobility in the FST (0.5-20 mg/kg p.o.) and elicited a biphasic dose
response relationship in the TST (1-20 mg/kg p.o.) with no alterations in
locomotor activity and motor coordination (assessed in the open-field and rota
rod tests, respectively). The anti-immobility effect of the SCCO2 extract (5
mg/kg, p.o.) was prevented by mice pre-treatment with yohimbine (1 mg/kg, i.p.,
an alpha2 adrenoceptor antagonist), SCH 23390 (15 MUg/kg, s.c., D1 dopamine
receptor antagonist) and sulpiride (50 mg/kg, i.p., D2 dopamine receptor
antagonist). However, mice pre-treatments with prazosin (1 mg/kg, i.p., alpha1
adrenoceptor antagonist) and p-chlorophenilalanine methyl ester (4*100 mg/kg/day,
i.p., a serotonin synthesis inhibitor) were not able to block the anti-immobility
effect of the SCCO2 extract. Administration (p.o.) of the SCCO2 extract (0.25
mg/kg) and imipramine (10 mg/kg), desipramine (5 mg/kg) and bupropion (3 mg/kg)
at sub-effective doses significantly reduced mice immobility time in the FST.
These data provide the first evidence of the antidepressant-like activity of V.
glechomifolia valepotriates, which is due to an interaction with dopaminergic and
noradrenergic neurotransmission.
PMID- 21889564
TI - Fructose and non-fructose sugar intakes in the US population and their
associations with indicators of metabolic syndrome.
AB - BACKGROUND: Relationships of sugar intakes with indicators of metabolic syndrome
are important concerns for public health and safety. For individuals, dietary
intake data for fructose and other sugars are limited. METHOD: Descriptive
statistics. The data from 25,506 subjects, aged 12-80 yr, contained in the NHANES
1999-2006 databases were analyzed for sugar intakes and health parameters.
RESULTS: Dietary fructose was almost always consumed with other sugars. On
average, fructose provided 37% of total simple sugar intake and 9% of energy
intake. In more than 97% of individuals studied, fructose caloric contribution
was lower than that of non-fructose sugars. Fructose and non-fructose sugar
intakes had no positive association with blood concentrations of TG, HDL
cholesterol, glycohemoglobin, uric acid, blood pressure, waist circumference, and
BMI in the adults studied (aged 19 to 80 yr, n=17,749). CONCLUSION: Daily
fructose intakes with the American diet averaged approximately 37% of total
sugars and 9% of daily energy. Fructose was rarely consumed solely or in excess
over non-fructose sugars. Fructose and non-fructose sugar ordinary consumption
was not positively associated with indicators of metabolic syndrome, uric acid
and BMI.
PMID- 21889565
TI - How porphyrinogenic drugs modeling acute porphyria impair the hormonal status
that regulates glucose metabolism. Their relevance in the onset of this disease.
AB - This work deals with the study of how porphyrinogenic drugs modeling acute
porphyrias interfere with the status of carbohydrate-regulating hormones in
relation to key glucose enzymes and to porphyria, considering that glucose
modulates the development of the disease. Female Wistar rats were treated with 2
allyl-2-isopropylacetamide (AIA) and 3,5-diethoxycarbonyl-1,4-dihydrocollidine
(DDC) using different doses of AIA (100, 250 and 500mg/kg body weight) and a
single dose of DDC (50mg DDC/kg body weight). Rats were sacrificed 16h after
AIA/DDC administration. In the group treated with the highest dose of AIA (group
H), hepatic 5-aminolevulinic acid synthase (ALA-S) increased more than 300%,
phosphoenolpyruvate carboxykinase (PEPCK) and glycogen phosphorylase (GP)
activities were 43% and 46% lower than the controls, respectively, plasmatic
insulin levels exceeded normal values by 617%, and plasmatic glucocorticoids (GC)
decreased 20%. GC results are related to a decrease in corticosterone (CORT)
adrenal production (33%) and a significant reduction in its metabolization by UDP
glucuronosyltransferase (UGT) (62%). Adrenocorticotropic hormone (ACTH)
stimulated adrenal production 3-fold and drugs did not alter this process. Thus,
porphyria-inducing drugs AIA and DDC dramatically altered the status of hormones
that regulate carbohydrate metabolism increasing insulin levels and reducing GC
production, metabolization and plasmatic levels. In this acute porphyria model,
gluconeogenic and glycogenolytic blockages caused by PEPCK and GP depressed
activities, respectively, would be mainly a consequence of the negative
regulatory action of insulin on these enzymes. GC could also contribute to PEPCK
blockage both because they were depressed by the treatment and because they are
positive effectors on PEPCK. These disturbances in carbohydrates and their
regulation, through ALA-S de-repression, would enhance the porphyria state
promoted by the drugs on heme synthesis and destruction. This might be the
mechanism underlying the "glucose effect" observed in hepatic porphyrias. The
statistical correlation study performed showed association between all the
variables studied and reinforce these conclusions.
PMID- 21889566
TI - Evaluation of Streptococcus mutans biofilms formed on fluoride releasing and non
fluoride releasing resin composites.
AB - OBJECTIVES: The aim of this study was to evaluate the acid production, acid
tolerance and composition of Streptococcus mutans biofilms formed on fluoride
releasing and non fluoride releasing resin composites. METHODS: S. mutans
biofilms were formed on saliva-coated discs prepared from fluoride releasing
(Unifil Flow and F2000) or non fluoride releasing materials (Filtek Z350, GRADIA
DIRECT and hydroxyapatite). To assess the level of acid production and acid
tolerance, glycolytic pH drop and proton permeability assays were performed using
94h old S. mutans biofilms. To evaluate the biofilm composition, the biomass
(total dry-weight), colony forming unit (CFU), water-insoluble extracellular
polysaccharides (EPS), water-soluble EPS and intracellular iodophilic
polysaccharides (IPS) of 94 h old S. mutans biofilms were analysed. The amount of
fluoride of old culture medium released from the materials during the
experimental period was also determined. Each assay was performed in duplicate in
at least four different experiments (n=8). RESULTS: All biofilms showed similar
initial rates of acid production (0.083-0.089 pH drop/min) and proton
permeability (0.025-0.036 pH increase/min), irrespective of fluoride release from
the materials. On the other hand, the amount of biomass, water-insoluble EPS and
IPS of the biofilms on Unifil Flow, which releases a larger amount of fluoride in
the early stages of biofilm formation, were significantly lower than those on the
other materials (up to 27%, 38% and 36% reduction in biomass, water-insoluble and
IPS, respectively). CONCLUSIONS: Our finding suggests that fluoride releasing
resin composites might contribute to the decrease in cariogenic composition of S.
mutans biofilms if an appropriate amount of fluoride is released in the early
stages of biofilm formation.
PMID- 21889567
TI - Pharmacological and structural characterization of long-sarafotoxins, a new
family of endothelin-like peptides: Role of the C-terminus extension.
AB - Long-sarafotoxins (l-SRTXs) have recently been identified in both the venom of
Atractaspis microlepidota and that of Atractaspis irregularis. They are
characterized by different C-terminus extensions that follow the invariant Trp21,
which plays a crucial role in endothelin-receptor binding. We initially
determined the toxicity and three-dimensional structures of two chemically
synthesized l-SRTXs that have different C-terminus extensions, namely SRTX-m (24
aa, including extension "D-E-P") and SRTX-i3 (25 aa, including extension "V-N-R
N"). Both peptides were shown to be highly toxic in mice and displayed the
cysteine-stabilized alpha-helical motif that characterizes endothelins and short
SRTXs, to which a longer C-terminus with variable flexibility is added. To
discern the functional and pharmacological consequences of the supplementary
amino acids, different chimerical as well as truncated forms of SRTX were
designed and synthesized. Thus, we either removed the extra-C-terminal residues
of SRTX-m or i3, or grafted the latter onto the C-terminal extremity of a short
SRTX (s-SRTX) (ie. SRTX-b). Our competitive binding assays where SRTXs competed
for iodinated endothelin-1 binding to cloned ET(A) and ET(B) receptor subtypes
over-expressed in CHO cells, revealed the essential role of the C-terminus
extensions for ET-receptor recognition. Indeed, l-SRTXs displayed an affinity
three to four orders of magnitude lower as compared to SRTX-b for the two
receptor subtypes. Moreover, grafting the C-terminus extension to SRTX-b induced
a drastic decrease in affinity, while its removal (truncated l-SRTXs) yielded an
affinity for ET-receptors similar to that of s-SRTXs. Furthermore, we established
by intracellular Ca(2+) measurements that l-SRTXs, as well as s-SRTXs, display
agonistic activities. We thus confirmed in these functional assays the major
difference in potency for these two SRTX families as well as the crucial role of
the C-terminus extension in their various pharmacological profiles. Finally, one
of the chimeric toxin synthesized in this study appears to be one of the most
potent and selective ligand of the ET(B) receptor known to date.
PMID- 21889568
TI - Motifs in the C-terminal region of the Penicillium chrysogenum ACV synthetase are
essential for valine epimerization and processivity of tripeptide formation.
AB - The first step in the penicillin biosynthetic pathway is the non-ribosomal
condensation of L-alpha-aminoadipic acid, L-cysteine and L-valine into the
tripeptide delta-(L-alpha-aminoadipyl)-L-cysteinyl-D-valine (ACV). This reaction
is catalysed by the multienzyme ACV synthetase (ACVS), which is encoded in the
filamentous fungus Penicillium chrysogenum by the pcbAB gene. This enzyme
contains at least ten catalytic domains. The precise role of the C-terminal
domain of this multidomain NRPS still remains obscure. The C-terminal region of
ACVS bears the epimerase and the thioesterase domains and may be involved in the
epimerization of LLL-ACV to LLD-ACV and in the hydrolysis of the thioester bond.
In this work, the conserved motifs (3371)EGHGRE(3376) (located in the putative
epimerase domain) and (3629)GWSFG(3633) (located in the thioesterase domain) were
changed by site-directed-mutagenesis to LGFGLL and GWAFG, respectively. In
addition, the whole thioesterase domain (230 amino acids) and the different parts
of this domain were deleted. The activity of these mutant enzymes was assessed in
vivo by two different procedures: i) through the quantification of bisACV
produced by the fungus and ii) by quantifying the benzylpenicillin production
using tailored strains of P. chrysogenum, which lack the pcbAB gene, as host
strains. All indicated mutant enzymes showed lower or null activity than the
control strain confirming that E3371, H3373, R3375 and E3376 belong to the
epimerase active centre. Different fragments included in the C-terminal region of
ACVS control thioester hydrolysis. Overexpression of the sequence encoding the
ACVS integrated thioesterase domain as a separate (stand-alone) transcriptional
unit complemented mutants lacking the integrated thioesterase domain, although
with low ACV releasing activity, suggesting that the stand-alone thioesterease
interacts with the other ACVS domains.
PMID- 21889569
TI - Frontal late positivity in dental phobia: a study on gender differences.
AB - Although dental phobia afflicts men and women, gender differences in neural
correlates of this disorder have not been investigated thus far. We recorded
event-related potential (ERPs) in 30 individuals with dental phobia (15 women, 15
men with comparable disorder severity) and 30 nonphobic controls (15 women, 15
men) while they passively viewed pictures depicting dental treatment, generally
fear-eliciting, disgust-eliciting and neutral contents. Male and female
individuals with dental phobia as compared with controls displayed an enlarged
centro-parietal late positivity (300-1500 ms). Gender difference concerned
prefrontal ERPs. Only men with dentophobia showed an enhanced positivity towards
the phobic relative to the neutral pictures in the time window between 300 and
1500 ms. Such a differentiation was absent in the other groups (male controls,
female phobics, female controls). This finding indicates a gender-dependent
recruitment of frontal attention networks in dental phobia and might reflect that
male and female sufferers of dentophobia differ with regard to controlled
attention focusing and cognitive avoidance during exposure.
PMID- 21889570
TI - Effort-reward imbalance, overcommitment, and cellular immune measures among white
collar employees.
AB - We investigated whether chronic job stress, i.e., effort-reward imbalance (ERI)
and overcommitment is associated with cellular immunity among 190 male and 157
female white-collar daytime employees (mean age 38; range 22-69 years).
Participants provided a blood sample for the measurement of circulating immune
(natural killer (NK), B, and T) cell counts and NK cell cytotoxicity (NKCC) and
completed a questionnaire survey during April to June 2002. Stepwise multiple
linear regression analyses revealed that NK cells were associated with effort
(beta=-.230; p=.013), reward (beta=.169; p=.047), and ERI (beta=-.182; p=.047)
scores but not with overcommitment in men; reward score was positively associated
with NKCC (beta=.167; p=.049) and inversely associated with B cells (beta=-.181;
p=.030). No significant associations were found in women. Although the picture
remains less clear in women, our findings suggest a potential immunological
pathway linking adverse working conditions and stress-related disorders in men.
PMID- 21889571
TI - Vitamin D and diabetes: its importance for beta cell and immune function.
AB - Experimental evidence indicates that vitamin D may play a role in the defense
against type 1 diabetes (T1D) as well as type 2 diabetes (T2D). Epidemiological
data have established a link between vitamin D deficiency and an increased
incidence of both T1D and T2D, whereas early and long-term vitamin D
supplementation may decrease the risk of these disorders. The protective effects
of vitamin D are mediated through the regulation of several components such as
the immune system and calcium homeostasis. However, an increasing amount of
evidence suggests that vitamin D also affects beta cells directly thereby
rendering them more resistant to the types of cellular stress encountered during
T1D and T2D. This review evaluates the role of vitamin D signaling in the
pathogenesis of T1D and T2D with a special emphasis on the direct effects of
vitamin D on pancreatic beta cells.
PMID- 21889572
TI - Melatonin: the smart killer: the human trophoblast as a model.
AB - Melatonin has both the ability to induce intrinsic apoptosis in tumor cells while
it inhibits it in non-tumor cells. Melatonin kills tumor cells through induction
of reactive oxygen species generation and activation of pro-apoptotic pathways.
In contrast, melatonin promotes the survival of non-tumor cells due to its
antioxidant properties and the inhibition of pro-apoptotic pathways. In primary
human villous trophoblast, a known pseudo-tumorigenic tissue, melatonin promotes
the survival through inhibition of the Bax/Bcl-2 pathway while in BeWo
choriocarcinoma cell line melatonin induces permeabilization of the mitochondrial
membrane leading to cellular death. These findings suggest that the trophoblast
is a good model to study the differential effects of melatonin on the intrinsic
apoptosis pathway. This review describes the differential effects of melatonin on
the intrinsic apoptosis pathway in tumor and non-tumor cells and presents the
trophoblast as a novel model system in which to study these effects of melatonin.
PMID- 21889573
TI - Intracellular Ca(2+) channels - a growing community.
AB - The Ca(2+) signals that control almost every cellular activity are generated by
regulating Ca(2+) transport, usually via Ca(2+)-permeable channels, across the
plasma membrane or the membranes of intracellular organelles. The most widespread
and best understood of the intracellular Ca(2+) channels are inositol
trisphosphate receptors (IP(3)R) and ryanodine receptors, most of which are
expressed in the endoplasmic or sarcoplasmic reticulum. However, accumulating
evidence suggests physiological roles for many additional Ca(2+) channels in both
ER and other intracellular organelles. Interactions between these channels,
whether mediated by Ca(2+) itself or interactions between proteins, is a
recurrent feature of the Ca(2+) signals evoked by physiological stimuli. We focus
on two specific examples, clustering of IP(3)Rs and NAADP (nicotinic acid
dinucleotide phosphate)-evoked Ca(2+) release from endo-lysosomes, to illustrate
the diversity of Ca(2+) channels and the interplay between them.
PMID- 21889574
TI - Association analysis between the Val66Met polymorphism in the brain-derived
neurotrophic factor (BDNF) gene and treatment response to venlafaxine XR in
generalized anxiety disorder.
AB - While antidepressant drugs are used to treat generalized anxiety disorder (GAD),
patients vary greatly in their treatment response. Evidence shows genetic factors
may play a role in treatment response in GAD. We examined whether the BDNF gene,
which has been shown to play a role in antidepressant treatment response in major
depressive disorder (MDD), also has an effect in GAD. In our study, 155 patients
diagnosed with GAD received venlafaxine XR treatment as part of an 18-month
relapse prevention study. Genotypes were obtained for the BDNF functional variant
rs6265 (Val66Met) for the entire sample (n=155); however, only the European
American (EA) population was considered (n=111) for pharmacogenetic analysis. We
did not find a significant association between rs6265 and antidepressant
treatment response in our GAD population. Future studies in larger populations
will need to be conducted to further elucidate the pharmacogenetic role of this
variant in anxiety disorders.
PMID- 21889575
TI - Rosiglitazone enhances the proliferation of neural progenitor cells and inhibits
inflammation response after spinal cord injury.
AB - It has been previously shown that peroxisome proliferators-activated receptor
gamma (PPAR-gamma) is beneficial for nervous system injury. In present study, we
examined the effect of rosiglitazone, a PPAR-gamma agonist, on spinal cord injury
(SCI) in rats. SCI was induced by dropping a 10g weight rod at a height of 25mm.
The animals were randomly divided into vehicle group, rosiglitazone treated
group, and G3335 treated group. Locomotor function recovery was evaluated by the
Basso-Beattie-Bresnahan locomotor rating scale (BBB scale), NF-kappaB expression
and endogenous neural progenitor cells (NPCs) proliferation and differentiation
was assessed by flow cytometry and immunohistochemistry. Compared with the
vehicle groups, we found that the rosiglitazone could significantly ameliorate
locomotor recovery, reduce NF-kappaB expression, and increase the proliferation
of endogenous NPCs. when the PPAR-gamma antagonist was use, these effects were
abolished. However, neurons differentiating from endogenous NPCs were inhibited
when PPAR-gamma was activated. Our results suggest that the activation of PPAR
gamma may be a potential alternative treatment for spinal cord injury.
PMID- 21889576
TI - Contributions of vision and proprioception to arm movement planning in the
vertical plane.
AB - The roles of visual and somatosensory information in arm movement planning remain
enigmatic. Previous studies have examined these roles by dissociating visual and
somatosensory cues about limb position prior to movement onset and examining the
resulting effects on movements performed in the horizontal plane. Here we
examined the effects of misaligned limb position cues prior to movement onset as
reaches were planned and executed along different directions in the vertical
plane. Movements were planned with somatosensory and visual feedback aligned at
the starting position of the reach or with visual feedback displaced horizontally
(Experiment 1) or vertically (Experiment 2). As in the horizontal plane, changes
in movement directions induced by misaligned feedback indicated that vision and
proprioception were both generally taken into account when planning vertical
plane movements. However, we also found evidence that the contributions of vision
and proprioception differed across target directions and between directions of
displaced visual feedback. These findings suggest that the contributions of
vision and proprioception to movement planning in the vertical plane reflect the
unique multisensory and biomechanical demands associated with moving against
gravity.
PMID- 21889577
TI - Effects of D-cycloserine on extinction and reinstatement of morphine-induced
conditioned place preference.
AB - d-Cycloserine (DCS), a partial agonist at the strychnine-insensitive glycine
recognition site on the N-methyl-d-aspartate (NMDA) receptor complex, has been
shown to facilitate the extinction and prevent the relapse of cocaine-induced
conditioned place preference (CPP) when administered before or after each
extinction trail. However, some studies have suggested that DCS does not
influence or even enhance relapse of seeking behavior on cocaine self
administration (SA) in rats or cocaine-dependent individuals undergoing clinical
exposure treatment. Furthermore, there are no reports on the effects of DCS and
the extinction of morphine-conditioned behaviors in mice. The present study
investigated the effects of DCS on extinction by exposing mice to drug-paired
cues and the subsequent reinstatement of morphine-primed CPP. Our results showed
that DCS at doses of 7.5, 15, and 30mg/kg did not induce conditioned appetitive
or aversive effects and DCS combined with morphine conditioning failed to affect
the acquisition of morphine-induced CPP. Moreover, pretreatment with DCS (7.5,
15, and 30mg/kg, i.p.) prior to extinction training had no significant effects on
the extinction and subsequent morphine-primed reinstatement of morphine-induced
CPP. These results suggested that DCS may not be a powerful adjunct for cue
exposure therapy of opioid addiction. In view of differing outcomes in both
preclinical and clinical studies, the potential of DCS in exposure treatment of
drug-seeking behaviors should be carefully evaluated.
PMID- 21889578
TI - Sex differences in a landmark environmental re-orientation task only during the
learning phase.
AB - Sex differences are consistently reported in human navigation. Indeed, to orient
themselves during navigation women are more likely to use landmark-based
strategies and men Euclidean-based strategies. The difference could be due to
selective social pressure, which fosters greater spatial ability in men, or
biological factors. And the great variability of the results reported in the
literature could be due to the experimental setting more than real differences in
ability. In this study, navigational behaviour was assessed by means of a place
learning task in which a modified version of the Morris water maze for humans was
used to evaluate sex differences. In using landmarks, sex differences emerged
only during the learning phase. Although the men were faster than the women in
locating the target position, the differences between the sexes disappeared in
delayed recall.
PMID- 21889579
TI - Motor lateralization is characterized by a serial hybrid control scheme.
AB - Our previous studies of limb coordination in healthy right- and left-handers led
to the development of a theoretical model of motor lateralization, dynamic
dominance, which was recently supported by studies in patients with unilateral
stroke. One of our most robust findings was on single-joint movements in young
healthy subjects [Sainburg and Schaefer (2004) J Neurophysiol 92:1374-1383]. In
this study, subjects made elbow joint reaching movements toward four targets of
different amplitudes with each arm. Although both arms achieved equivalent task
performance, each did so through different strategies. The dominant arm strategy
scaled peak acceleration with peak velocity and movement extent, while the
nondominant strategy adjusted acceleration duration to achieve the different
velocities and distances. We now propose that these observed interlimb
differences can be explained using a serial hybrid controller in which movements
are initiated using predictive control and terminated using impedance control.
Further, we propose that the two arms should differ in the relative time that
control switches from the predictive to the impedance mechanisms. We present a
mathematical formulation of our hybrid controller and then test the plausibility
of this control paradigm by investigating how well our model can explain
interlimb differences in experimental data. Our findings confirm that the model
predicts early shifts between controllers for left arm movements, which rely on
impedance control mechanisms, and late shifts for right arm movements, which rely
on predictive control mechanisms. This is the first computational model of motor
lateralization and is consistent with our theoretical model that emerged from
empirical findings. It represents a first step in consolidating our theoretical
understanding of motor lateralization into an operational model of control.
PMID- 21889580
TI - Chemical stimulation of the ST36 acupoint reduces both formalin-induced
nociceptive behaviors and spinal astrocyte activation via spinal alpha-2
adrenoceptors.
AB - Spinal astrocytes have emerged as important mechanistic contributors to
pathological and chronic pain. Recently, we have demonstrated that injection of
diluted bee venom (DBV) into the Zusanli (ST36) acupoint produces a potent anti
nociceptive effect via the activation of spinal alpha-2 adrenoceptors. However,
it is unclear if this anti-nociceptive effect is associated with alterations in
spinal astrocytes. Thus, the present study was designed to determine: (1) whether
DBV's anti-nociceptive effect in the formalin test involves suppression of spinal
astrocyte activation; (2) whether DBV-induced astrocyte inhibition is mediated by
spinal alpha-2 adrenoceptors; and (3) whether this glial modulation is
potentiated by intrathecal administration of the glial metabolic inhibitor,
fluorocitrate (FC) in combination with DBV injection. DBV was injected directly
into the ST36 acupoint, and spinal expression of the astrocytic marker, glial
fibrillary acidic protein (GFAP), was assessed together with effects on formalin
induced nociception. DBV treatment reduced pain responses in the late phase of
the formalin test and significantly blocked the formalin-evoked increase in
spinal GFAP expression. These effects of DBV were prevented by intrathecal
pretreatment with selective alpha-2A and alpha-2C adrenoceptor antagonists.
Moreover, low dose intrathecal injection of FC in conjunction with low dose DBV
injection into the ST36 acupoint synergistically suppressed pain responses and
GFAP expression. These results demonstrate that DBV stimulation of the ST36
acupoint inhibits the formalin-induced activation of spinal astrocytes and
nociceptive behaviors in this inflammatory pain model and this inhibition is
associated with the activation of spinal alpha-2 adrenoceptors.
PMID- 21889581
TI - Metabolomic analysis of the toxic effects of chronic exposure to low-level
dichlorvos on rats using ultra-performance liquid chromatography-mass
spectrometry.
AB - The purpose of the current study was to assess the effects of long-term exposure
to low levels of DDVP on the biochemical parameters and metabolic profiles of
rats. Three different doses (2.4, 7.2, and 21.6 mg/kg body weight/day) of DDVP
were administered to rats through their drinking water over 24 weeks. Significant
changes in blood cholinesterase, creatinine, urea nitrogen, aspartate
aminotransferase, alanine aminotransferase, and albumin concentrations were
observed in the middle and high dose groups. Changes in the concentration of some
urine metabolites were detected via ultra performance liquid chromatography-mass
spectrometry (UPLC-MS). Dimethyl phosphate (DMP), which was exclusively detected
in the treated groups, can be an early, sensitive biomarker for DDVP exposure.
Moreover, DDVP treatment resulted in an increase in the lactobionic acid, estrone
sulfate, and indoxyl sulfic concentrations, and a decrease in citric acid,
suberic acid, gulonic acid, urea, creatinine, and uric acid. These results
suggest that chronic exposure to low-level DDVP can cause a disturbance in
carbohydrate and fatty acid metabolism, the antioxidant system, etc. Therefore,
an analysis of the metabolic profiles can contribute to the understanding of the
adverse effects of long-term exposure to low doses of DDVP.
PMID- 21889582
TI - Nanosuspensions as advanced printing ink for accurate dosing of poorly soluble
drugs in personalized medicines.
AB - Folic acid was used as a model drug to demonstrate the advantages of formulating
poorly soluble drugs as nanosuspensions and their use in an inkjet-type printing
technique to produce personalized medicines. 10% folic acid nanosuspensions
stabilized with Tween 20, a stabilizer showing the best wetting potential for
folic acid, were prepared via high pressure homogenization. The particle size of
the folic acid nanosuspension was well below 5 MUm being a prerequisite for
inkjet type printing technique. A good reproducibility of the particle size of
folic acid nanosuspension prepared via high pressure homogenization was found. As
indicated by the zeta potential the formulation showed a good storage stability.
High pressure homogenization had no influence on the crystalline state of folic
acid. An increase in the saturation solubility by 53.7% was found reducing the
particle size from the micrometer range to the nanometer range. The dissolution
velocity of the folic acid nanosuspension was significantly enhanced compared to
a folic acid suspension, i.e. after 5 min 78.6% of the folic acid was dissolved
from the nanosuspension and only 6.2% from the suspension. Moreover, the printing
of 10% folic acid nanosuspension could be successfully demonstrated.
PMID- 21889583
TI - Validation of USP apparatus 4 method for microsphere in vitro release testing
using Risperdal Consta.
AB - The current manuscript addresses the need for a validated in vitro release
testing method for controlled release parenteral microspheres. A USP apparatus 4
method was validated with the objective of possible compendial adaptation for
microsphere in vitro release testing. Commercial microspheres (Risperdal Consta)
were used for method validation. Accelerated and real-time release tests were
conducted. The accelerated method had significantly reduced test duration and
showed a good correlation with the real-time release profile (with limited number
of sample analysis). Accelerated conditions were used for method validation
(robustness and reproducibility). The robustness testing results revealed that
release from the microspheres was not flow rate dependent and was not affected by
minor variations in the method (such as cell preparation technique, amount of
microspheres, flow-through cell size and size of glass beads). The significant
difference in the release profile with small variations (+/- 0.5 degrees C) in
temperature was shown to be due to a change in risperidone catalyzed PLGA
degradation in response to temperature. The accelerated method was reproducible
as changing the system/equipment or the analyst did not affect the release
profile. This work establishes the suitability of the modified USP apparatus 4
for possible compendial adaptation for drug release testing of microspheres.
PMID- 21889584
TI - Nuclear delivery of a therapeutic peptide by long circulating pH-sensitive
liposomes: benefits over classical vesicles.
AB - The purpose of this study is to propose a suitable vector combining increased
circulation lifetime and intracellular delivery capacities for a therapeutic
peptide. Long circulating classical liposomes [SPC:CHOL:PEG-750-DSPE (47:47:6
molar% ratio)] or pH-sensitive stealth liposomes [DOPE:CHEMS:CHOL:PEG(750)-DSPE
(43:21:30:6 molar% ratio)] were used to deliver a therapeutic peptide to its
nuclear site of action. The benefit of using stealth pH-sensitive liposomes was
investigated and formulations were compared to classical liposomes in terms of
size, shape, charge, encapsulation efficiency, stability and, most importantly,
in terms of cellular uptake. Confocal microscopy and flow cytometry were used to
evaluate the intracellular fate of liposomes themselves and of their hydrophilic
encapsulated material. Cellular uptake of peptide-loaded liposomes was also
investigated in three cell lines: Hs578t human epithelial cells from breast
carcinoma, MDA-MB-231 human breast carcinoma cells and WI-26 human diploid lung
fibroblast cells. The difference between formulations in terms of peptide
delivery from the endosome to the cytoplasm and even to the nucleus was
investigated as a function of time. Characterization studies showed that both
formulations possess acceptable size, shape and encapsulation efficiency but
cellular uptake studies showed the important benefit of the pH-sensitive
formulation over the classical one, in spite of liposome PEGylation. Indeed,
stealth pH-sensitive liposomes were able to deliver hydrophilic materials
strongly to the cytoplasm. Most importantly, when encapsulated in pH-sensitive
stealth liposomes, the peptide was able to reach the nucleus of tumorigenic and
non tumorigenic breast cancer cells.
PMID- 21889585
TI - Engineering of microorganisms for the production of biofuels and perspectives
based on systems metabolic engineering approaches.
AB - The increasing oil price and environmental concerns caused by the use of fossil
fuel have renewed our interest in utilizing biomass as a sustainable resource for
the production of biofuel. It is however essential to develop high performance
microbes that are capable of producing biofuels with very high efficiency in
order to compete with the fossil fuel. Recently, the strategies for developing
microbial strains by systems metabolic engineering, which can be considered as
metabolic engineering integrated with systems biology and synthetic biology, have
been developed. Systems metabolic engineering allows successful development of
microbes that are capable of producing several different biofuels including
bioethanol, biobutanol, alkane, and biodiesel, and even hydrogen. In this review,
the approaches employed to develop efficient biofuel producers by metabolic
engineering and systems metabolic engineering approaches are reviewed with
relevant example cases. It is expected that systems metabolic engineering will be
employed as an essential strategy for the development of microbial strains for
industrial applications.
PMID- 21889586
TI - Peripheral bacterial endotoxin administration triggers both memory consolidation
and reconsolidation deficits in mice.
AB - Peripherally administered inflammatory stimuli, such as lipopolysaccharide (LPS),
induce the synthesis and release of proinflammatory cytokines and chemokines in
the periphery and the central nervous system, and trigger a variety of
neurobiological responses. Indeed, prior reports indicate that peripheral LPS
administration in rats disrupts contextual fear memory consolidation processes,
potentially due to elevated cytokine expression. We used a similar, but partially
olfaction-based, contextual fear conditioning paradigm to examine the effects of
LPS on memory consolidation and reconsolidation in mice. Additionally,
interleukin-1beta (IL-1beta), brain-derived neurotrophic factor (BDNF), and zinc
finger (Zif)-268 mRNA expression in the hippocampus and the cortex, along with
peripheral cytokines and chemokines, were assessed. As hypothesized, LPS
administered immediately or 2 h, but not 12 h, post-training impaired memory
consolidation processes that support the storage of the conditioned contextual
fear memory. Additionally, as hypothesized, LPS administered immediately
following the fear memory trace reactivation session impaired memory
reconsolidation processes. Four hours post-injection, both central cytokine and
peripheral cytokine and chemokine levels were heightened in LPS-treated animals,
with a simultaneous decrease in BDNF, but not Zif-268, mRNA. Collectively, these
data reinforce prior work showing LPS- and cytokine-related effects on memory
consolidation, and extend this work to memory reconsolidation.
PMID- 21889587
TI - Comparative pharmacokinetics of perfluorooctanesulfonate (PFOS) in rats, mice,
and monkeys.
AB - Perfluorooctanesulfonate (PFOS) has been found in biological samples in wildlife
and humans. The geometric mean half-life of serum elimination of PFOS in humans
has been estimated to be 4.8 years (95% CI, 4.0-5.8). A series of studies was
undertaken to establish pharmacokinetic parameters for PFOS in rats, mice, and
monkeys after single oral and/or IV administration of K(+)PFOS. Animals were
followed for up to 23 weeks, and pharmacokinetic parameters were determined by
WinNonlin(r) software. Rats and mice appeared to be more effective at eliminating
PFOS than monkeys. The serum elimination half-lives in the rodent species were on
the order of 1-2 months; whereas, in monkeys, the serum elimination half lives
approximated 4 months. Collectively, these studies provide valuable insight for
human health risk assessment regarding the potential for accumulation of body
burden in humans on repeated exposure to PFOS and PFOS-generating materials.
PMID- 21889588
TI - In utero and lactational exposure to fenvalerate disrupts reproductive function
in female rats.
AB - Fenvalerate is a synthetic pyrethroid insecticide used in agriculture and
domestic insect control. Some studies have proposed that it may act as an
environmental estrogen; other studies suggest possible genotoxicity in germ
cells. This study aimed to evaluate the effects of fenvalerate on the female
reproduction in rats whose mothers were exposed during gestation and lactation.
Pregnant Wistar rats were exposed to fenvalerate (40 mg/kg) or corn oil (vehicle)
orally from gestational day 12 until the end of lactation. The dose selection was
based on previous studies, whereas this was considered an effective dose. Results
showed decreases in ovarian weight, pre-antral follicles and corpora lutea at PND
75 and an increase in the resorption number, when fertility test was performed at
PND 80. Under some experimental conditions, fenvalerate may impair reproductive
development of female offspring, manifested as reduced fecundity and ovulation
number, resulting from the impairment in corpora lutea counting.
PMID- 21889589
TI - A lymphoblast model for IDH2 gain-of-function activity in d-2-hydroxyglutaric
aciduria type II: novel avenues for biochemical and therapeutic studies.
AB - The recent discovery of heterozygous isocitrate dehydrogenase 2 (IDH2) mutations
of residue Arg(140) to Gln(140) or Gly(140) (IDH2(wt/R140Q), IDH2(wt/R140G)) in d
2-hydroxyglutaric aciduria (D-2-HGA) has defined the primary genetic lesion in
50% of D-2-HGA patients, denoted type II. Overexpression studies with IDH1(R132H)
and IDH2(R172K) mutations demonstrated that the enzymes acquired a new function,
converting 2-ketoglutarate (2-KG) to d-2-hydroxyglutarate (D-2-HG), in lieu of
the normal IDH reaction which reversibly converts isocitrate to 2-KG. To confirm
the IDH2(wt/R140Q) gain-of-function in D-2-HGA type II, and to evaluate potential
therapeutic strategies, we developed a specific and sensitive IDH2(wt/R140Q)
enzyme assay in lymphoblasts. This assay determines gain-of-function activity
which converts 2-KG to D-2-HG in homogenates of D-2-HGA type II lymphoblasts, and
uses stable-isotope-labeled 2-keto[3,3,4,4-(2)H(4)]glutarate. The specificity and
sensitivity of the assay are enhanced with chiral separation and detection of
stable-isotope-labeled D-2-HG by ultra performance liquid chromatography-tandem
mass spectrometry (UPLC-MS/MS). Eleven potential inhibitors of IDH2(wt/R140Q)
enzyme activity were evaluated with this procedure. The mean reaction rate in D-2
HGA type II lymphoblasts was 8-fold higher than that of controls and D-2-HGA type
I cells (14.4nmolh(-1)mgprotein(-1) vs. 1.9), with a corresponding 140-fold
increase in intracellular D-2-HG level. Optimal inhibition of IDH2(wt/R140Q)
activity was obtained with oxaloacetate, which competitively inhibited
IDH2(wt/R140Q) activity. Lymphoblast IDH2(wt/R140Q) showed long-term cell culture
stability without loss of the heterozygous IDH2(wt/R140Q) mutation, underscoring
the utility of the lymphoblast model for future biochemical and therapeutic
studies.
PMID- 21889591
TI - Gene therapy for amyotrophic lateral sclerosis.
AB - Gene therapy continues to be a potential option for amyotrophic lateral sclerosis
(ALS). This chapter will inform the reader about promising therapeutic transgenes
and proof-of-principle studies in transgenic rodent models of ALS. Challenges
regarding the disease targets and time for therapeutic intervention will be also
discussed. Finally, restorative therapy for ALS, as well as gene therapy for
other motor neuron diseases will be briefly reviewed.
PMID- 21889592
TI - Network excitability in a model of chronic temporal lobe epilepsy critically
depends on SK channel-mediated AHP currents.
AB - Hippocampal CA1 pyramidal neurons generate an after-hyperpolarization (AHP) whose
medium component is thought to be generated by small-conductance Ca(2+)-activated
K(+) channels (SK channels). Neuronal excitability is increased in epilepsy, and
the AHP in turn is fundamentally involved in regulation of cellular excitability.
We therefore investigated the involvement of the SK channel-mediated AHP in
controlling cell and network excitability in the pilocarpine model epilepsy. Both
acutely isolated CA1 pyramidal cells and isolated hippocampal slices were
investigated in terms of the impact of SK channel-mediated AHP on
hyperexcitability. Our findings show that pilocarpine-treated chronically
epileptic rats exhibit significantly reduced SK channel-mediated hyperpolarizing
outward current which was accompanied by a significant decrease in the somatic
AHP. Paradoxically, inhibiting SK channels strongly exacerbated 0-Mg(2+)-induced
epileptiform activity in slices from pilocarpine-treated animals, while having a
significantly smaller effect in control tissue. This suggests that in chronically
epileptic tissue, network excitability very critically depends on the remaining
SK-channel mediated AHP. Additional real-time RT-PCR and semiquantitative Western
blot experiments revealed that both the SK2 channel transcript and protein were
significantly downregulated in the epileptic CA1 region. We conclude that SK2
channels are down-regulated in chronic epilepsy underlying the impaired SK
channel function in CA1 pyramidal cells, and a further reduction of the remaining
critical mass of SK channels results in an acute network decompensation.
PMID- 21889590
TI - Volume of white matter hyperintensities in healthy adults: contribution of age,
vascular risk factors, and inflammation-related genetic variants.
AB - Aging is associated with appearance of white matter hyperintensities (WMH) on MRI
scans. Vascular risk and inflammation, which increase with age, may contribute to
white matter deterioration and proliferation of WMH. We investigated whether
circulating biomarkers and genetic variants associated with elevated vascular
risk and inflammation are associated with WMH volume in healthy adults (144
volunteers, 44-77 years of age). We examined association of WMH volume with age,
sex, hypertension, circulating levels of total plasma homocysteine (tHcy),
cholesterol (low-density lipoprotein), and C-reactive protein (CRP), and four
polymorphisms related to vascular risk and inflammation: Apolipoprotein epsilon
(ApoE epsilon2,3,4), Angiotensin-Converting Enzyme insertion/deletion (ACE I/D),
methylenetetrahydrofolate reductase (MTHFR) C677T, C-reactive protein (CRP)
286C>A>T, and interleukin-1beta (IL-1beta) C-511T. We found that larger WMH
volume was associated with advanced age, hypertension, and elevated levels of
homocysteine and CRP but not with low-density lipoprotein levels. Homozygotes for
IL-1beta-511T allele and carriers of CRP-286T allele that are associated with
increased inflammatory response had larger WMH than the other allelic
combinations. Carriers of the APOE epsilon2 allele had larger frontal WMH than
epsilon3 homozygotes and epsilon4 carriers did. Thus, in healthy adults, who are
free of neurological and vascular disease, genetic variants that promote
inflammation and elevated levels of vascular risk biomarkers can contribute to
brain abnormalities. This article is part of a Special Issue entitled: Imaging
Brain Aging and Neurodegenerative disease.
PMID- 21889593
TI - The Rab1 GTPase of Sciaenops ocellatus modulates intracellular bacterial
infection.
AB - The Rab family proteins belong to the Ras-like GTPase superfamily and play
important roles in intracellular membrane trafficking. To date no studies on fish
Rab have been documented, though rab-like sequences have been found in a number
of teleosts. In this study, we identified and analyzed a Rab homologue, SoRab1,
from red drum, Sciaenops ocellatus. The cDNA of SoRab1 contains a 5'-
untranslated region (UTR) of 358 bp, an open reading frame (ORF) of 612 bp, and a
3'-UTR of 265 bp. The ORF encodes a putative protein of 203 residues, which
shares 92-99% overall sequence identities with the Rab1 from fish, human, and
mouse. SoRab1 possesses a typical Rab1 GTPase domain with the conserved G box
motifs and the switch I and switch II regions. Recombinant SoRab1 purified from
Escherichia coli exhibits apparent GTPase activity. Quantitative real time RT-PCR
analysis showed that SoRab1 expression was detected in a number of tissues, with
the lowest expression found in blood and highest expression found in muscle.
Bacterial and lipopolysaccharide challenges significantly upregulated SoRab1
expression in liver, kidney, and spleen in time-dependent manners. Transient
overexpression of SoRab1 in primary hepatocytes reduced intracellular bacterial
infection, whereas interference with SoRab1 expression by RNAi enhanced
intracellular bacterial invasion. These results provide the first indication that
a fish Rab1 GTPase, SoRab1, regulates intracellular bacterial infection and thus
is likely to play a role in bacteria-induced host immune defense.
PMID- 21889594
TI - Association between language and spatial laterality and cognitive ability: an
fMRI study.
AB - The interaction between language and spatial laterality and its association with
cognitive ability was explored in a group of 42 right-handers and 40 left-handers
using functional magnetic resonance imaging. Cognitive ability measures including
working memory, verbal comprehension and perceptual organisation were assessed
using the Wechsler Adult Intelligence Scale (version III). Left-handers show
lower working memory scores than right-handers. Increased rightward language
laterality is also associated with decreased working memory performance, which we
suggest is related to the involvement of the left inferior frontal gyrus in
subvocal rehearsal during working memory tasks. The interaction between language
and spatial laterality is associated with performance on verbal comprehension and
perceptual organisation, such that when language and spatial laterality are
dissociated between the hemispheres a significant increase in verbal
comprehension and perceptual organisation performance is found. There is a
decrease in performance on the verbal comprehension and perceptual organisation
subtests when language and spatial processing are associated to the same
hemisphere (i.e. both lateralised to the right hemisphere or both lateralised to
the left). This interaction is interpreted in relation to the 'hemispheric
crowding' hypothesis, which proposes increased cognitive ability when language
and spatial laterality are dissociated.
PMID- 21889595
TI - Conflict caused by visual feedback modulates activation in somatosensory areas
during movement execution.
AB - The role of sensory information in motor control has been studied, but the
cortical processing underlying cross-modal relationship between visual and
somatosensory information for movement execution remains a matter of debate.
Visual estimates of limb positions are congruent with proprioceptive estimates
under normal visual conditions, but a mismatch between the watched and felt
movement of the hand disrupts motor execution. We investigated whether activation
in somatosensory areas was affected by the discordance between the intended and
an executed action. Subjects performed self-paced thumb movement of the left hand
under normal visual and mirror conditions. The Mirror condition provided a non
veridical and unexpected visual feedback. The results showed activity in the
primary somatosensory area to be inhibited and activity in the secondary
somatosensory area (SII) to be enhanced with voluntary movement, and neural
responses in the SII and parietal cortex were strongly affected by the unexpected
visual feedback. These results provide evidence that the visual information plays
a crucial role in activation in somatosensory areas during motor execution. A
mechanism that monitors sensory inputs and motor outputs congruent with current
intension is necessary to control voluntary movement.
PMID- 21889596
TI - The trajectory of recovery and the inter-relationships of symptoms, activity and
participation in the first year following total hip and knee replacement.
AB - OBJECTIVE: Primary total hip (THR) and knee (TKR) replacement outcomes typically
include pain and function with a single time of follow-up post-surgery. This
research evaluated the trajectory of recovery and inter-relationships within and
across time of physical impairments (PI) (e.g., symptoms), activity limitations
(AL), and social participation restrictions (PR) in the year following THR and
TKR for osteoarthritis. DESIGN: Participants (hip: n=437; knee: 494) completed
measures pre-surgery and at 2 weeks, 1, 3, 6 and 12 months post-surgery. These
included PI (Hip Disability and Osteoarthritis Outcome Score (HOOS)/Knee Injury
and Osteoarthritis Outcome Score (KOOS) symptoms and Chronic Pain Grade); AL
(HOOS/KOOS activities of daily living and sports/leisure activities); and, PR
(Late Life Disability and the Calderdale community mobility). Repeated measures
analysis of variance (RANOVA) was used to evaluate the trajectory of recovery of
outcomes and the inter-relationships of PI, AL and PR were evaluated using path
analysis. All analyses were adjusted for age, sex, obesity, THR/TKR, low back
pain and mood. RESULTS: THR: age 31-86 years with 55% female; TKR: age 35-88
years with 65% female. Significant improvements in outcomes were observed over
time. However, improvements were lagged over time with earlier improvements in PI
and AL and later improvements in PR. Within and across time, PI was associated
with AL and AL was associated with PR. The magnitude of these inter-relationships
varied over time. CONCLUSION: Given the lagged inter-relationship of PI, AL and
PR, the provision and timing of interventions targeting all constructs are
critical to maximizing outcome. Current care pathways focusing on short-term
follow-up with limited attention to social and community participation should be
re-evaluated.
PMID- 21889597
TI - Gene expression associated with vegetative incompatibility in Amylostereum
areolatum.
AB - In filamentous fungi, vegetative compatibility among individuals of the same
species is determined by the genes encoded at the heterokaryon incompatibility
(het) loci. The hyphae of genetically similar individuals that share the same
allelic specificities at their het loci are able to fuse and intermingle, while
different allelic specificities at the het loci result in cell death of the
interacting hyphae. In this study, suppression subtractive hybridization (SSH)
followed by pyrosequencing and quantitative reverse transcription PCR were used
to identify genes that are selectively expressed when vegetatively incompatible
individuals of Amylostereum areolatum interact. The SSH library contained genes
associated with various cellular processes, including cell-cell adhesion, stress
and defence responses, as well as cell death. Some of the transcripts encoded
proteins that were previously implicated in the stress and defence responses
associated with vegetative incompatibility. Other transcripts encoded proteins
known to be associated with programmed cell death, but have not previously been
linked with vegetative incompatibility. Results of this study have considerably
increased our knowledge of the processes underlying vegetative incompatibility in
Basidiomycetes in general and A. areolatum in particular.
PMID- 21889598
TI - Diet affects resting, but not basal metabolic rate of normothermic Siberian
hamsters acclimated to winter.
AB - We examined the effect of different dietary supplements on seasonal changes in
body mass (m(b)), metabolic rate (MR) and nonshivering thermogenesis (NST)
capacity in normothermic Siberian hamsters housed under semi-natural conditions.
Once a week standard hamster food was supplemented with either sunflower and flax
seeds, rich in polyunsaturated fatty acids (FA), or mealworms, rich in saturated
and monounsaturated FA. We found that neither of these dietary supplements
affected the hamsters' normal winter decrease in m(b) and fat content nor their
basal MR or NST capacity. NST capacity of summer-acclimated hamsters was lower
than that of winter-acclimated ones. The composition of total body fat reflected
the fat composition of the dietary supplements. Resting MR below the lower
critical temperature of the hamsters, and their total serum cholesterol
concentration were lower in hamsters fed a diet supplemented with mealworms than
in hamsters fed a diet supplemented with seeds. These results indicate that in
mealworm-fed hamsters energy expenditure in the cold is lower than in animals
eating a seed-supplemented diet, and that the degree of FA unsaturation of diet
affects energetics of heterotherms, not only during torpor, but also during
normothermy.
PMID- 21889599
TI - Triacylglycerol catabolism in the prawn Macrobrachium borellii (Crustacea:
Palaemoniade).
AB - While invertebrates store neutral lipids as their major energy source, little is
known about triacylglycerol (TAG) class composition and their differential
catabolism in aquatic arthropods. This study focuses on the composition of the
main energy source and its catabolism by lipase from the midgut gland
(hepatopancreas) of the crustacean Macrobrachium borellii. Silver-ion thin-layer
chromatography of prawn large TAG deposit (80% of total lipids) and its
subsequent fatty acid analysis by gas chromatography allowed the identification
of 4 major fractions. These are composed of fatty acids of decreasing
unsaturation and carbon chain length, the predominant being 18:1n-9. Fraction I,
the most unsaturated one, contained mainly 20:5n-3; fraction II 18:2n-6; fraction
III 18:1n-9 while the most saturated fraction contained mostly 16:0.
Hepatopancreas main lipase (Mr 72 kDa) cross-reacted with polyclonal antibodies
against insect lipase, was not dependent on the presence of Ca(2+) and had an
optimum activity at 40 degrees C and pH 8.0. Kinetic analysis showed a Michaelis
Menten behavior. A substrate competition assay evidenced lipase specificity
following the order: 18:1n-9-TAG>PUFA-enriched-TAG>16:0-TAG different from that
in vertebrates. These data indicate there is a reasonable correspondence between
the fatty acid composition of TAG and the substrate specificity of lipase, which
may be an important factor in determining which fatty acids are mobilized during
lipolysis for oxidation in crustaceans.
PMID- 21889600
TI - In vitro lipid transfer between lipoproteins and midgut-diverticula in the spider
Polybetes pythagoricus.
AB - It has been already reported that most hemolymphatic lipids in the spider
Polybetes pythagoricus are transported by HDL1 and VHDL lipoproteins. We studied
in vitro the lipid transfer among midgut-diverticula (M-diverticula), and either
hemolymph or purified lipoproteins as well as between hemolymphatic lipoproteins.
M-diverticula and hemolymph were labeled by in vivo (14)C-palmitic acid
injection. In vitro incubations were performed between M-diverticula and either
hemolymph or isolated lipoproteins. Hemolymph lipid uptake was associated to HDL1
(67%) and VHDL (32%). Release from hemolymph towards M-diverticula showed the
opposite trend, VHDL 75% and HDL1 45%. Isolated lipoproteins showed a similar
behavior to that observed with whole hemolymph. Lipid transfer between
lipoproteins showed that HDL1 transfer more (14)C-lipids to VHDL than vice versa.
Only 38% FFA and 18% TAG were transferred from M-diverticula to lipoproteins,
while on the contrary 75% and 73% of these lipids, respectively, were taken up
from hemolymph. A similar trend was observed regarding lipoprotein phospholipids.
This study supports the hypothesis that HDL1 and hemocyanin-containing VHDL are
involved in the uptake and release of FFA, phospholipids and triacylglycerols in
the spider P. pythagoricus. The data support a directional flow of lipids from
HDL1 and VHDL suggesting a mode of lipid transport between lipoproteins and M
diverticula.
PMID- 21889601
TI - Effects of sexual steroids on the expression of foxl2 in Gobiocypris rarus.
AB - Gobiocypris rarus is an emerging fish model for aquatic toxicology in China as it
is sensitive to environmental hormone disruptors. Exogenous sex steroids can
affect sex differentiation and the expression of sex-related genes. Foxl2, a
member of forkhead-box transcription factor family, is the key gene for ovary
development and its mutation causes the blepharophimosis ptosis epicanthus
inversus syndrome in human. We find that two foxl2 genes exist in fish genome,
one is foxl2, and the other is foxl2b. Here, we reported the isolation and
expression of foxl2 in G. rarus. G. rarus foxl2 cDNA is 1700bp in length with a
921bp of open reading frame encoding 306 amino acids containing the typical FH
domain. Semi-quantitative RT-PCR revealed its predominant expression in the eye,
brain, gill and gonads. Moreover, the expression level in the ovary was
significantly higher than that in the testis. Quantitative RT-PCR showed that
foxl2 was up regulated after treatment with estradiol and was down regulated with
2-methyl-testosterone. These results suggested that Foxl2 plays an important role
in female development of G. rarus, foxl2 mRNA expression is regulated by
downstream sex hormones, and foxl2 can be used as a molecular indicator
monitoring the environmental endocrine disruptors.
PMID- 21889602
TI - Induction of ambicoloration by exogenous cortisol during metamorphosis of spotted
halibut Verasper variegatus.
AB - Cortisol, the main glucocorticoid in fish, increases during flatfish
metamorphosis and peaks before the surge of thyroxine. A large body of evidence
indicates the essential role of thyroxine in flatfish metamorphosis, whereas
information on cortisol is limited. We administered cortisol to spotted halibut
Verasper variegatus larvae in order to examine the effect on pigmentation during
metamorphosis. Administration of 10 MUg cortisol per mL of water from before the
onset of metamorphosis (stage E) to metamorphic climax (stage G) induced the
development of adult type pigment cells on the blind side of the metamorphosed
juveniles and increased the occurrence of ambicolored juveniles. When 10 MUg/mL
cortisol was administered during stage D, stages E-F, stage G or stage H, only
the administration during stages E-F induced the development of adult type
pigment cells on the blind side. In addition, the expression of the gene
dopachrome tautomerase (dct), a marker of melanoblasts, was enhanced at Stage E
by cortisol administration. These results clearly indicated, for the first time,
the enhancement of pigmentation by exogenous high-dose cortisol. Since endogenous
cortisol is secreted in response to various kinds of stress in rearing
conditions, these results indicate a possible influence of stress conditions in
the occurrence of ambicoloration in flatfish.
PMID- 21889603
TI - O-Acetylated peptidoglycan: controlling the activity of bacterial autolysins and
lytic enzymes of innate immune systems.
AB - The O-acetylation of peptidoglycan is now known to occur in 50 different
bacterial species, both Gram positive and Gram negative, including a number of
important human pathogens. This modification to the essential cell wall component
of bacteria provides both a level of control over endogenous autolysins and
protection from the lysozymes of innate immune systems. In this review, we
describe the details of the pathways for peptidoglycan O-acetylation that are now
beginning to emerge and we explore the possibility that the associated enzymes
may present new candidates for antibacterial targets.
PMID- 21889604
TI - HIV vaccine: hopes and hurdles.
AB - The AIDS vaccine development effort has already been facing various scientific
and economic challenges. The fundamental challenge resides at the level of
understanding the basic biology of HIV-1 infection and an effective antiviral
immune response. There is a need to design immunogens that can elicit cross-clade
neutralizing antibodies (NAbs) along with effective T-cell responses against a
wide variety of primary HIV isolates. We must exploit the capabilities of the
vaccine-elicited cytotoxic T cells and the NAb responses in controlling HIV-1
replication. A coordinated approach is required to understand the intricacies
involved in the basic immune responses against HIV infection as well as the cross
clade effectiveness of an AIDS vaccine.
PMID- 21889605
TI - Where do innovative drugs come from?
PMID- 21889606
TI - Study on X-ray-induced apoptosis and chromosomal damage in G2 human lymphocytes
in the presence of pifithrin-alpha, an inhibitor of p53.
AB - The aim of this study is to investigate the role of the cell-cycle phase in cells
exposed to radiation and chemicals in relation to the cellular response. The
analysis was focused on the G2 cell-cycle phase, exploring the impact of p53
inhibition in human lymphocytes irradiated with X-rays in the presence or absence
of pifithrin-alpha (PFT-alpha), a p53-specific inhibitor. Lymphocytes, 44h after
stimulation to proliferate, were X-irradiated with 0.5Gy both in the presence or
the absence of PFT-alpha and post-treated with a pulse of 5-bromodeoxyuridine
(BrdUrd) to distinguish cells in the S- or G2-phase at the moment of irradiation.
At early sampling times after X-ray exposure the following parameters were
analysed: cellular proliferation, apoptosis, chromosomal aberrations and p53
expression. The results show an enhancement of apoptotic cells in G2 at early
sampling times after irradiation and no differences in terms of chromosomal
aberration induction both in cells treated with X-rays alone and in cells treated
with X-rays plus PFT-alpha. Expression of p53 was not detectable at all recovery
times. The results suggest a p53-independent apoptotic pathway acting at early
times after X-ray exposure in G2 lymphocytes. Furthermore, the same yield of X
ray-induced chromatid breaks was observed both in the presence or absence of PFT
alpha implying that in G2 X-irradiated lymphocytes this inhibitor of the p53
protein does not affect DNA repair.
PMID- 21889607
TI - In vitro and in vivo induction of chromosome aberrations by alpha- and beta
zearalenols: comparison with zearalenone.
AB - Zearalenone (ZEN) is a non-steroidal estrogenic mycotoxin produced by Fusarium
fungi. It contaminates different components of the food chain and can cause
serious economic and public health problems. The major metabolites of ZEN in
various animal species are alpha- and beta-zearalenol (alpha-, beta-ZOL). Some in
vivo studies have shown that these two metabolites are as toxic as the mother
molecule (ZEN), but other investigations have demonstrated that alpha- and beta
ZOL are less toxic than ZEN. Thus, the aim of the present study was to evaluate
cytotoxicity and genotoxicity of alpha- and beta-ZOL in vivo, in mouse bone
marrow cells and in vitro, in cultured HeLa cells, and to compare it with ZEN.
ZEN showed the same cytotoxicity as alpha-ZOL and both are more cytotoxic than
beta-ZOL. Genotoxicity of ZEN and its derivatives was assessed by the chromosome
aberration assay. Our results show that ZEN as well as alpha- and beta-ZOL
increased the percentage of chromosome aberrations in mouse bone-marrow cells and
in HeLa cells. In the two systems, ZEN and alpha-ZOL exhibited the same range of
genotoxicity and both were more genotoxic than beta-ZOL. Furthermore, our results
show that either ZEN or its two metabolites inhibited cell viability in a dose
dependent manner. We conclude that biotransformation of ZEN may be considered as
only a partial detoxification pathway since the resulting metabolites remain
relatively toxic.
PMID- 21889608
TI - The LXR agonist GW3965 increases apoA-I protein levels in the central nervous
system independent of ABCA1.
AB - Lipoprotein metabolism in the central nervous system (CNS) is based on high
density lipoprotein-like particles that use apoE as their predominant
apolipoprotein rather than apoA-I. Although apoA-I is not expressed in astrocytes
and microglia, which produce CNS apoE, apoA-I is reported to be expressed in
porcine brain capillary endothelial cells and also crosses the blood-brain
barrier (BBB). These mechanisms allow apoA-I to reach concentrations in
cerebrospinal fluid (CSF) that are approximately 0.5% of its plasma levels.
Recently, apoA-I has been shown to enhance cognitive function and reduce
cerebrovascular amyloid deposition in Alzheimer's Disease (AD) mice, raising
questions about the regulation and function of apoA-I in the CNS. Peripheral apoA
I metabolism is highly influenced by ABCA1, but less is known about how ABCA1
regulates CNS apoA-I. We report that ABCA1 deficiency leads to greater retention
of apoA-I in the CNS than in the periphery. Additionally, treatment of
symptomatic AD mice with GW3965, an LXR agonist that stimulates ABCA1 expression,
increases apoA-I more dramatically in the CNS compared to the periphery.
Furthermore, GW3965-mediated up-regulation of CNS apoA-I is independent of ABCA1.
Our results suggest that apoA-I may be regulated by distinct mechanisms on either
side of the BBB and that apoA-I may serve to integrate peripheral and CNS lipid
metabolism. This article is part of a Special Issue entitled Advances in High
Density Lipoprotein Formation and Metabolism: A Tribute to John F. Oram (1945
2010).
PMID- 21889609
TI - Quantitative and qualitative analyses of the SNRPN gene using real-time PCR with
melting curve analysis.
AB - Prader-Willi syndrome and Angelman syndrome are distinct neurodevelopmental
disorders that are associated with the deletion of the chromosomal 15q11-13
region or uniparental disomy of chromosome 15. In this article, we applied SYBR
Green I-based real-time PCR and melting curve analysis assay for rapid genotyping
of the small nuclear ribonucleoprotein polypeptide N (SNRPN) gene methylation
status and for detecting aberrations in copy number in a single tube. A single
pair of primers was designed to create a 357 bp fragment containing the cytosine
phosphodiester guanine islands in the SNRPN promoter and to amplify both
unmethylated and methylated sequences. Genotypes were identified based on the TC
value for copy number changes and the characteristic melting temperature of
methylated cytosine phosphodiester guanine. Genotyping of SNRPN was performed on
blood samples of 20 individuals with Prader-Willi syndrome, 3 individuals with
Angelman syndrome, and 20 unaffected individuals. The promoter methylation status
and the copy number changes were successfully determined and compared with
standard methylation-specific PCR, and were validated by multiplex ligation
dependent probe amplification. This single-tube, SYBR Green I, real-time PCR with
melting curve assay is rapid, reliable, sensitive, and easy to perform. It is
suitable for high-throughput analysis as an alternative technique for
quantitative and qualitative analysis of target genes.
PMID- 21889610
TI - Diagnostic testing for IDH1 and IDH2 variants in acute myeloid leukemia an
algorithmic approach using high-resolution melting curve analysis.
AB - Isocitrate dehydrogenase 1 (IDH1) and IDH2 mutations and polymorphism are
reported in 5% to 15% of acute myeloid leukemia (AML) cases, with G105 and R132
of IDH1 and R140 and R172 of IDH2 known to be clinically significant. Current
Sanger sequencing assays to detect IDH mutations are labor intensive and not cost
effective for clinical testing of low-frequency mutations. Therefore, we
developed clinical assays using high-resolution melting (HRM) analysis to screen
for all four variants listed above, followed by Sanger sequencing confirmation.
The sensitivities of the assays were 7.3% and 7.9% for the detection of IDH2 and
IDH1 variants, respectively, against the background of wild-type transcripts.
Comparison of HRM to Sanger sequencing on 146 AML bone marrow samples for
validation showed near-perfect concordance for all positive and negative results
for IDH1 (98%) and IDH2 (94%). Postvalidation clinical implementation of upfront
HRM screening (N = 106), using a more conservative algorithm to avoid false
negative results, reduced the number of Sanger sequencing tests by 73% (IDH1) and
78% (IDH2). Of the variant calls made by HRM in postvalidation clinical samples,
Sanger confirmed the presence of a variant in 62% (IDH1) and 44% (IDH2) of the
samples. In conclusion, our HRM assays are rapid, convenient, and versatile
assays for screening and confirmation of alterations in IDH1 and IDH2.
PMID- 21889611
TI - Novel, improved sample preparation for rapid, direct identification from positive
blood cultures using matrix-assisted laser desorption/ionization time-of-flight
(MALDI-TOF) mass spectrometry.
AB - Matrix-assisted laser desorption ionization time-of-flight mass spectrometry
(MALDI-TOF MS) is widely used for rapid and reliable identification of bacteria
and yeast grown on agar plates. Moreover, MALDI-TOF MS also holds promise for
bacterial identification from blood culture (BC) broths in hospital laboratories.
The most important technical step for the identification of bacteria from
positive BCs by MALDI-TOF MS is sample preparation to remove blood cells and host
proteins. We present a method for novel, rapid sample preparation using
differential lysis of blood cells. We demonstrate the efficacy and ease of use of
this sample preparation and subsequent MALDI-TOF MS identification, applying it
to a total of 500 aerobic and anaerobic BCs reported to be positive by a Bactec
9240 system. In 86.5% of all BCs, the microorganism species were correctly
identified. Moreover, in 18/27 mixed cultures at least one isolate was correctly
identified. A novel method that adjusts the score value for MALDI-TOF MS results
is proposed, further improving the proportion of correctly identified samples.
The results of the present study show that the MALDI-TOF MS-based method allows
rapid (<20 minutes) bacterial identification directly from positive BCs and with
high accuracy.
PMID- 21889612
TI - Comparison of QIAsymphony automated and QIAamp manual DNA extraction systems for
measuring Epstein-Barr virus DNA load in whole blood using real-time PCR.
AB - Automated and manual extraction systems have been used with real-time PCR for
quantification of Epstein-Barr virus [human herpesvirus 4 (HHV-4)] DNA in whole
blood, but few studies have evaluated relative performances. In the present
study, the automated QIAsymphony and manual QIAamp extraction systems (Qiagen,
Valencia, CA) were assessed using paired aliquots derived from clinical whole
blood specimens and an in-house, real-time PCR assay. The detection limits using
the QIAsymphony and QIAamp systems were similar (270 and 560 copies/mL,
respectively). For samples estimated as having >=10,000 copies/mL, the intrarun
and interrun variations were significantly lower using QIAsymphony (10.0% and
6.8%, respectively), compared with QIAamp (18.6% and 15.2%, respectively); for
samples having <=1000 copies/mL, the two variations ranged from 27.9% to 43.9%
and were not significantly different between the two systems. Among 68 paired
clinical samples, 48 pairs yielded viral loads >=1000 copies/mL under both
extraction systems. Although the logarithmic linear correlation from these
positive samples was high (r(2) = 0.957), the values obtained using QIAsymphony
were on average 0.2 log copies/mL higher than those obtained using QIAamp. Thus,
the QIAsymphony and QIAamp systems provide similar EBV DNA load values in whole
blood.
PMID- 21889613
TI - Activating transcription factor 3 and reactive astrocytes following optic nerve
injury in zebrafish.
AB - Nerve regeneration in the central nervous system is restricted in mammals, but
fish and amphibians show amazing resiliency following injury to the central
nervous system. We have examined the response of zebrafish (Danio rerio) to optic
nerve injury to try to understand the differences between fish and mammals that
enable fish to regenerate their optic nerves following crushing and severing. In
previous work, we have shown that activating transcription factor 3 (atf3) is
expressed at higher levels following optic nerve injury. Here we use a polyclonal
anti-ATF3 antibody, anti-cytokeratin (KRT-18) and anti-bystin (BYSL) antibodies
to show that Atf3 and Bysl colocalize with cytokeratin-expressing astrocytes in
the optic nerve following severing. Furthermore, anti-ATF3 antibodies fail to
colocalize with GFP in transgenic zebrafish expressing EGFP in astrocytes
Tg(gfap:GFP) or oligodendrocytes Tg(olig2:EGFP). Interestingly, labeling of Atf3
was detected in retinal ganglion cell axons in both the nerve fiber layer and the
optic nerve on the injured side. Finally, optic nerve astrocytes labeled with
anti-bystin antibodies showed evidence of hypertrophy, suggesting that fish
astrocytes in the optic nerve raise a bona fide reactive response to injury even
though they do not express glial fibrillary acidic protein.
PMID- 21889614
TI - In vitro evaluation of co-exposure of arsenium and an organic nanomaterial
(fullerene, C60) in zebrafish hepatocytes.
AB - Taking into account the concept of the "Trojan Horse", where contaminants may
have its entry into specific organs potentiated by its association with
nanomaterials, the aim of this study was to analyze the joint toxic effects
induced by an organic nanomaterial, fullerene (C(60)) with the metalloid arsenic
(As(III)). Hepatocytes of zebrafish Danio rerio were exposed to As(III) (2.5 or
100 MUM), C(60) or As+C(60) for 4h, not altering cells viability. Intracellular
reactive oxygen species concentration was reduced in cells exposed only to the
C(60) (1mg/L) and in the treatment of 100 MUM As(III)+C(60). Co-exposure with
C(60) abolished the peak of the antioxidant glutathione (GSH) registered in cells
exposed to the lowest As(III) concentration (2.5 MUM). A similar result was
observed in terms of lipid damage (TBARS). Total antioxidant capacity was
significantly higher at both As(III) concentrations co-exposed to C(60) when
compared with the control group. Activity of glutathione-S-transferase omega, a
limiting enzyme in the methylation pathway of As(III), was reduced in the 100 MUM
As(III)+C(60) treatment. Cells co-exposed to C(60) had a significantly higher
accumulation of As(III), showing a "Trojan Horse" effect which did not result in
higher cell toxicity. Instead, co-exposure of As(III) with C(60) showed to reduce
cellular injury.
PMID- 21889615
TI - Method selection and adaptation for distributed monitoring of infectious diseases
for syndromic surveillance.
AB - BACKGROUND: Automated surveillance systems require statistical methods to
recognize increases in visit counts that might indicate an outbreak. In prior
work we presented methods to enhance the sensitivity of C2, a commonly used time
series method. In this study, we compared the enhanced C2 method with five
regression models. METHODS: We used emergency department chief complaint data
from US CDC BioSense surveillance system, aggregated by city (total of 206
hospitals, 16 cities) during 5/2008-4/2009. Data for six syndromes (asthma,
gastrointestinal, nausea and vomiting, rash, respiratory, and influenza-like
illness) was used and was stratified by mean count (1-19, 20-49, >=50 per day)
into 14 syndrome-count categories. We compared the sensitivity for detecting
single-day artificially-added increases in syndrome counts. Four modifications of
the C2 time series method, and five regression models (two linear and three
Poisson), were tested. A constant alert rate of 1% was used for all methods.
RESULTS: Among the regression models tested, we found that a Poisson model
controlling for the logarithm of total visits (i.e., visits both meeting and not
meeting a syndrome definition), day of week, and 14-day time period was best.
Among 14 syndrome-count categories, time series and regression methods produced
approximately the same sensitivity (<5% difference) in 6; in six categories, the
regression method had higher sensitivity (range 6-14% improvement), and in two
categories the time series method had higher sensitivity. DISCUSSION: When
automated data are aggregated to the city level, a Poisson regression model that
controls for total visits produces the best overall sensitivity for detecting
artificially added visit counts. This improvement was achieved without increasing
the alert rate, which was held constant at 1% for all methods. These findings
will improve our ability to detect outbreaks in automated surveillance system
data.
PMID- 21889616
TI - Distinct expression patterns of dickkopf genes during late embryonic development
of Danio rerio.
AB - Dickkopf (dkk) genes belong to the family of secreted wnt-inhibitors with
conserved cysteine-rich domains. In contrast to the prototype dkk1, dkk3 does not
modulate canonical Wnt/beta-catenin signalling. Until now, neither functions nor
interaction partners of dkk3 in lower vertebrates have been described. In this
study we cloned two dkk3 homologues dkk3a(dkk3l) and dkk3b(dkk3) and a dkk1
homologue dkk1a of the zebrafish and studied their expression patterns during
embryonic development in comparison to the known dkk1b gene. Moreover, mutants
with defects in hedgehog signalling (smo), notch (mib) signalling, nodal
signalling (Zoep) or retinoic acid synthesis (neckless) were analyzed for changes
in dkk3 gene expression. In situ hybridization analyses showed a dynamic
expression of dkk1a and dkk1b primarily in epidermal structures of the otic
vesicle, lens, branchial arches and fin folds. While dkk1a was expressed mainly
in deep tissues, dkk1b expression was mainly found in protrusions at the outer
surface of the branchial arch epidermis. In contrast, dkk3 genes showed
expression in different tissues. Strong signals for dkk3a(dkk3l) were present in
various neuronal structures of the head, whereas dkk3b(dkk3) expression was
restricted mainly to endocrine cells of the pancreas and to the brachial arches.
In summary, both dkk3 genes display a unique and distinct expression pattern in
late embryonic development, pointing to a specific role during neuronal and
pancreatic cell differentiation.
PMID- 21889617
TI - Quantification and molecular characterization of the feline leukemia virus A
receptor.
AB - Virus receptors and their expression patterns on the cell surface determine the
cell tropism of the virus, host susceptibility and the pathogenesis of the
infection. Feline thiamine transport protein 1 (fTHTR1) has been identified as
the receptor for feline leukemia virus (FeLV) A. The goal of the present study
was to develop a quantitative, TaqMan real-time PCR assay to investigate fTHTR1
mRNA expression in tissues of uninfected and FeLV-infected cats, cats of
different ages, in tumor tissues and leukocyte subsets. Moreover, the receptor
was molecularly characterized in different feline species. fTHTR1 mRNA expression
was detected in all 30 feline tissues investigated, oral mucosa scrapings and
blood. Importantly, identification of significant differences in fTHTR1
expression relied on normalization with an appropriate reference gene. The lowest
levels were found in the blood, whereas high levels were measured in the oral
mucosa, salivary glands and the musculature. In the blood, T lymphocytes showed
significantly higher fTHTR1 mRNA expression levels than neutrophil granulocytes.
In vitro activation of peripheral blood mononuclear cells with concanavalin A
alone or followed by interleukin-2 led to a transient increase of fTHTR1 mRNA
expression. In the blood, but not in the examined tissues, FeLV-infected cats
tended to have lower fTHTR1 mRNA levels than uninfected cats. The fTHTR1 mRNA
levels were not significantly different between tissues with lymphomas and the
corresponding non-neoplastic tissues. fTHTR1 was highly conserved among different
feline species (Iberian lynx, Asiatic and Indian lion, European wildcat,
jaguarundi, domestic cat). In conclusion, while ubiquitous fTHTR1 mRNA expression
corresponded to the broad target tissue range of FeLV, particularly high fTHTR1
levels were found at sites of virus entry and shedding. The differential
susceptibility of different species to FeLV could not be attributed to variations
in the fTHTR1 sequence.
PMID- 21889618
TI - Differential association of KIR gene loci to risk of malaria in ethnic groups of
Assam, Northeast India.
AB - Receptors encoded within the Natural Killer Cell (NKC) complex and Killer
Immunoglobulin like (KIRs) genomic regions have been suggested to influence
malaria pathogenesis and infection susceptibility. We have examined KIR locus in
relation to risk of infection and disease in Tea tribes (TT) of Austro Asiatic
affinity and Tibeto-Burman (TB) populations from malaria endemic regions of
Assam. Consistent with differences in their genetic background, KIR gene loci
frequencies differed in studied groups. Surprisingly, KIR3DS1 frequency in TT was
low (17%) and comparable to that reported from African populations. KIR3DL1
frequency was positively associated with malaria severity (Pearson phi, R(2) =
0.297 p = 0.006) and logistic regression modelling predicted KIR3DL1 as a risk
factor in complicated malaria [Odds Ratio (95% C.I)] = [6.39 (1.34-30.60)]. An
interaction between ethnicity and KIR3DL1 was also seen where higher proportion
of KIR3DL1 positive and complicated malaria patients belonged to Tea tribes (p =
0.009). Notably, four activating genes protected from frequent malaria (p = 0.02)
while six activating genes enhanced the risk of complicated malaria (p = 0.05).
Combination of KIR2DS4, KIR2DS4del, KIR2DS5 negatively influenced disease outcome
in Tea tribes (p = 0.048) but not in Tibeto-Burman. In conclusion our data
indicates KIR gene loci differentially influenced malaria outcome in Tea tribes
and Tibeto-Burman and that four activating genes appeared to provide optimal
activation that protected from frequent episodes of malaria. Our data also
indicated KIR3DS1 to be an ancestral genotype, maintained at low frequency
possibly by malaria in the Austro Asiatic tribes.
PMID- 21889619
TI - Genetic variation of the alpha subunit of the epithelial Na+ channel influences
exhaled Na+ in healthy humans.
AB - Epithelial Na(+) channels (ENaC) are located in alveolar cells and are important
in beta(2)-adrenergic receptor-mediated lung fluid clearance through the removal
of Na(+) from the alveolar airspace. Previous work has demonstrated that genetic
variation of the alpha subunit of ENaC at amino acid 663 is important in channel
function: cells with the genotype resulting in alanine at amino acid 663 (A663)
demonstrate attenuated function when compared to genotypes with at least one
allele encoding threonine (T663, AT/TT). We sought to determine the influence of
genetic variation at position 663 of ENaC on exhaled Na(+) in healthy humans.
Exhaled Na(+) was measured in 18 AA and 13 AT/TT subjects (age=27+/-8 years vs.
30+/-10 years; ht.=174+/-12 cm vs. 171+/-10 cm; wt.=68+/-12 kg vs. 73+/-14 kg;
BMI=22+/-3 kg/m(2) vs. 25+/-4 kg/m(2), mean+/-SD, for AA and AT/TT,
respectively). Measurements were made at baseline and at 30, 60 and 90 min
following the administration of a nebulized beta(2)-agonist (albuterol sulfate,
2.5 mg diluted in 3 ml normal saline). The AA group had a higher baseline level
of exhaled Na(+) and a greater response to beta(2)-agonist stimulation
(baseline=3.1+/-1.8 mmol/l vs. 2.3+/-1.5 mmol/l; 30 min-post=2.1+/-0.7 mmol/l vs.
2.2+/-0.8 mmol/l; 60 min-post=2.0+/-0.5 mmol/l vs. 2.3+/-1.0 mmol/l; 90 min
post=1.8+/-0.8 mmol/l vs. 2.6+/-1.5 mmol/l, mean+/-SD, for AA and AT/TT,
respectively, p<0.05). The results are consistent with the notion that genetic
variation of ENaC influences beta(2)-adrenergic receptor stimulated Na(+)
clearance in the lungs, as there was a significant reduction in exhaled Na(+)
over time in the AA group.
PMID- 21889621
TI - Base-metal dental casting alloy biocompatibility assessment using a human-derived
three-dimensional oral mucosal model.
AB - Nickel-chromium (Ni-Cr) alloys used in fixed prosthodontics have been associated
with type IV Ni-induced hypersensitivity. We hypothesised that the full-thickness
human-derived oral mucosa model employed for biocompatibility testing of base
metal dental alloys would provide insights into the mechanisms of Ni-induced
toxicity. Primary oral keratinocytes and gingival fibroblasts were seeded onto
AllodermTM and maintained until full thickness was achieved prior to Ni-Cr and
cobalt-chromium (Co-Cr) alloy disc exposure (2-72 h). Biocompatibility assessment
involved histological analyses with cell viability measurements, oxidative stress
responses, inflammatory cytokine expression and cellular toxicity analyses.
Inductively coupled plasma mass spectrometry analysis determined elemental ion
release levels. We detected adverse morphology with significant reductions in
cell viability, significant increases in oxidative stress, inflammatory cytokine
expression and cellular toxicity for the Ni-Cr alloy-treated oral mucosal models
compared with untreated oral mucosal models, and adverse effects were increased
for the Ni-Cr alloy that leached the most Ni. Co-Cr demonstrated significantly
enhanced biocompatibility compared with Ni-Cr alloy-treated oral mucosal models.
The human-derived full-thickness oral mucosal model discriminated between dental
alloys and provided insights into the mechanisms of Ni-induced toxicity,
highlighting potential clinical relevance.
PMID- 21889620
TI - Opposing influences by subsite -1 and subsite +1 residues on relative
xylopyranosidase/arabinofuranosidase activities of bifunctional beta-D
xylosidase/alpha-L-arabinofuranosidase.
AB - Conformational inversion occurs 7-8kcal/mol more readily in furanoses than
pyranoses. This difference is exploited here to probe for active-site residues
involved in distorting pyranosyl substrate toward reactivity. Spontaneous
glycoside hydrolysis rates are ordered 4-nitrophenyl-alpha-l-arabinofuranoside
(4NPA)>4-nitrophenyl-beta-d-xylopyranoside (4NPX)>xylobiose (X2). The
bifunctional beta-d-xylosidase/alpha-l-arabinofuranosidase exhibits the opposite
order of reactivity, illustrating that the enzyme is well equipped in using
pyranosyl groups of natural substrate X2 in facilitating glycoside hydrolysis.
Probing the roles of all 17 active-site residues by single-site mutation to
alanine and by changing both moieties of substrate demonstrates that the
mutations of subsite -1 residues decrease the ratio k(cat)(4NPX/4NPA), suggesting
that the native residues support pyranosyl substrate distortion, whereas the
mutations of subsite +1 and the subsite -1/+1 interface residues increase the
ratio k(cat)(4NPX/4NPA), suggesting that the native residues support other
factors, such as C1 migration and protonation of the leaving group. Alanine
mutations of subsite -1 residues raise k(cat)(X2/4NPX) and alanine mutations of
subsite +1 and interface residues lower k(cat)(X2/4NPX). We propose that
pyranosyl substrate distortion is supported entirely by native residues of
subsite -1. Other factors leading to the transition state are supported entirely
by native residues of subsite +1 and interface residues.
PMID- 21889622
TI - iTRAQ-coupled 2-D LC-MS/MS analysis of cytoplasmic protein profile in Escherichia
coli incubated with apidaecin IB.
AB - Apidaecins refer to a series of proline-rich, 18- to 20-residue antimicrobial
peptides produced by insects. Accumulating evidence that proline-rich
antimicrobial peptides are not-toxic to human and animal cells makes them
potential candidates for the development of novel antibiotic drugs. However, the
mechanism of action was not fully understood. In this study, antibacterial
mechanism of apidaecins was investigated. iTRAQ-coupled 2-D LC-MS/MS technique
was utilized to identify altered cytoplasmic proteins of Escherichia coli
incubated with one isoform of apidaecins--apidaecin IB. The production of the
chaperonin GroEL and its cofactor GroES, which together form the only essential
chaperone system in E. coli cytoplasm under all growth conditions, was decreased
in cells incubated with apidaecin IB. The decreasing of the GroEL-GroES chaperone
team was further found to be involved in a new antibacterial mechanism of
apidaecins. Our findings therefore provide important new insights into the
antibacterial mechanism of apidaecins and perhaps, by extension, for other
proline-rich antimicrobial peptides.
PMID- 21889623
TI - The influence of Escherichia coli Hfq mutations on RNA binding and sRNA*mRNA
duplex formation in rpoS riboregulation.
AB - The Escherichia coli RNA binding protein Hfq plays an important role in
regulating mRNA translation through its interactions with small non-coding RNAs
(sRNAs) and specific mRNAs sites. The rpoS mRNA, which codes for a transcription
factor, is regulated by several sRNAs. DsrA and RprA enhance translation by
pairing to a site on this mRNA, while OxyS represses rpoS mRNA translation. To
better understand how Hfq interacts with these sRNAs and rpoS mRNA, the binding
of wt Hfq and eleven mutant Hfqs to DsrA, RprA, OxyS and rpoS mRNA was examined.
Nine of the mutant Hfq had single-residue mutations located on the proximal,
distal, and outer-edge surfaces of the Hfq hexamer, while two Hfq had truncated C
terminal ends. Hfq with outer-edge mutations and truncated C-terminal ends
behaved similar to wt Hfq with regard to binding the sRNAs, rpoS mRNA segments,
and stimulating DsrA*rpoS mRNA formation. Proximal surface mutations decreased
Hfq binding to the three sRNAs and the rpoS mRNA segment containing the
translation initiation region. Distal surface mutations lowered Hfq's affinity
for the rpoS mRNA segment containing the (ARN)(4) sequence. Strong Hfq binding to
both rpoS mRNA segments appears to be needed for maximum enhancement of DsrA*rpoS
mRNA annealing. OxyS bound tightly to Hfq but exhibited weak affinity for rpoS
mRNA containing the leader region and 75 nt of coding sequence in the absence or
presence of Hfq. This together with other results suggest OxyS represses rpoS
mRNA translation by sequestering Hfq rather than binding to rpoS mRNA.
PMID- 21889624
TI - Method ruggedness studies incorporating a risk based approach: a tutorial.
AB - This tutorial explains how well thought-out application of design and analysis
methodology, combined with risk assessment, leads to improved assessment of
method ruggedness. The authors define analytical method ruggedness as an
experimental evaluation of noise factors such as analyst, instrument or
stationary phase batch. Ruggedness testing is usually performed upon transfer of
a method to another laboratory, however, it can also be employed during method
development when an assessment of the method's inherent variability is required.
The use of a ruggedness study provides a more rigorous method for assessing
method precision than a simple comparative intermediate precision study which is
typically performed as part of method validation. Prior to designing a ruggedness
study, factors that are likely to have a significant effect on the performance of
the method should be identified (via a risk assessment) and controlled where
appropriate. Noise factors that are not controlled are considered for inclusion
in the study. The purpose of the study should be to challenge the method and
identify whether any noise factors significantly affect the method's precision.
The results from the study are firstly used to identify any special cause
variability due to specific attributable circumstances. Secondly, common cause
variability is apportioned to determine which factors are responsible for most of
the variability. The total common cause variability can then be used to assess
whether the method's precision requirements are achievable. The approach used to
design and analyse method ruggedness studies will be covered in this tutorial
using a real example.
PMID- 21889625
TI - A review of recent, unconventional applications of ion mobility spectrometry
(IMS).
AB - The applications of ion mobility spectrometry (IMS) have grown exponentially
beyond its uses for explosive, illicit drug and chemical warfare agent monitoring
in recent years. Instrumental developments including new drift tube materials and
ionization sources have enabled the manufacturing of more sophisticated and
affordable IMS equipment for the advantageous analysis of samples with no
pretreatment. The most recent applications of IMS include quality control and
cleaning validation procedures in the pharmaceutical industry; determinations of
contaminants in food samples; clinical analyses of biological fluids;
environmental analyses of contaminants in gaseous, liquid and solid samples; and
(bio)process quality control monitoring. Coupling IMS with MS(n) has enabled the
analysis of very complex samples and the extraction of knowledge unavailable from
isolated MS measurements, especially in the polymer and petroleomic industries.
PMID- 21889626
TI - Technology behind commercial devices for blood glucose monitoring in diabetes
management: a review.
AB - The blood glucose monitoring devices (BGMDs) are an integral part of diabetes
management now-a-days. They have evolved tremendously within the last four
decades in terms of miniaturization, rapid response, greater specificity,
simplicity, minute sample requirement, painless sample uptake, sophisticated
software and data management. This article aims to review the developments in the
technologies behind commercial BGMD, especially those in the areas of
chemistries, mediators and other components. The technology concerns, on-going
developments and future trends in blood glucose monitoring (BGM) are also
discussed.
PMID- 21889627
TI - Determination of ultra trace arsenic species in water samples by hydride
generation atomic absorption spectrometry after cloud point extraction.
AB - Cloud point extraction (CPE) methodology has successfully been employed for the
preconcentration of ultra-trace arsenic species in aqueous samples prior to
hydride generation atomic absorption spectrometry (HGAAS). As(III) has formed an
ion-pairing complex with Pyronine B in presence of sodium dodecyl sulfate (SDS)
at pH 10.0 and extracted into the non-ionic surfactant, polyethylene glycol tert
octylphenyl ether (Triton X-114). After phase separation, the surfactant-rich
phase was diluted with 2 mL of 1M HCl and 0.5 mL of 3.0% (w/v) Antifoam A. Under
the optimized conditions, a preconcentration factor of 60 and a detection limit
of 0.008 MUg L(-1) with a correlation coefficient of 0.9918 was obtained with a
calibration curve in the range of 0.03-4.00 MUg L(-1). The proposed
preconcentration procedure was successfully applied to the determination of
As(III) ions in certified standard water samples (TMDA-53.3 and NIST 1643e, a low
level fortified standard for trace elements) and some real samples including
natural drinking water and tap water samples.
PMID- 21889628
TI - Synthesis and application of imprinted polyvinylimidazole-silica hybrid copolymer
for Pb2+ determination by flow-injection thermospray flame furnace atomic
absorption spectrometry.
AB - A novel ion imprinted polyvinylimidazole-silica hybrid copolymer (IIHC) was
synthesized and used as a selective solid sorbent for Pb(2+) ions
preconcentration using an on-line solid phase extraction (SPE) system coupled to
TS-FF-AAS. The ionic hybrid sorbent was prepared using 1-vinylimidazole and 3
(trimethoxysilyl)propylmethacrylate as monomers, Pb(2+) ions as template,
tetraethoxysilane as reticulating agent and 2,2'-azobis-isobutyronitrile as
initiator. The best on-line SPE conditions concerning sorption behavior,
including sample pH (6.46), buffer concentration (9.0 mmol L(-1)), eluent
(HNO(3)) concentration (0.5 mol L(-1)) and preconcentration flow rate (4.0 mL
min(-1)), were optimized by means of full factorial design and Doehlert matrix.
The analytical curve ranged from 2.5 to 65.0 MUg L(-1) (r=0.999) with limit of
detection of 0.75 MUg L(-1); the precision (repeatability) calculated as relative
standard deviation (n=10) was 5.0 and 3.6% for Pb(2+) concentration of 10.0 and
60.0 MUg L(-1), respectively. From on-line breakthrough curve, column capacity
was 3.5 mg g(-1). Preconcentration factor (PF), consumptive index (CI) and
concentration efficiency (CE) were 128.0, 0.16 mL and 25.6 min(-1), respectively.
The selective performance of the sorbent, based on relative selectivity
coefficient, was compared to NIC (non imprinted copolymer) for the binary mixture
Pb(2+)/Cd(2+), Pb(2+)/Cu(2+) and Pb(2+)/Zn(2+). The results showed that ion
imprinted polyvinylimidazole-silica hybrid polymer had higher selectivity for
Pb(2+) than NIC at 64.9, 16.0 and 8.8 folds. The developed method was
successfully applied for highly sensitive and selective Pb(2+) determination in
different kinds of water samples, parenteral solutions and urine. Accuracy was
also assessed by analyzing certified reference fish protein (DORM-3) and marine
sediment (MESS-3 and PACS-2) with satisfactory results.
PMID- 21889629
TI - Support vector machines in water quality management.
AB - Support vector classification (SVC) and regression (SVR) models were constructed
and applied to the surface water quality data to optimize the monitoring program.
The data set comprised of 1500 water samples representing 10 different sites
monitored for 15 years. The objectives of the study were to classify the sampling
sites (spatial) and months (temporal) to group the similar ones in terms of water
quality with a view to reduce their number; and to develop a suitable SVR model
for predicting the biochemical oxygen demand (BOD) of water using a set of
variables. The spatial and temporal SVC models rendered grouping of 10 monitoring
sites and 12 sampling months into the clusters of 3 each with misclassification
rates of 12.39% and 17.61% in training, 17.70% and 26.38% in validation, and
14.86% and 31.41% in test sets, respectively. The SVR model predicted water BOD
values in training, validation, and test sets with reasonably high correlation
(0.952, 0.909, and 0.907) with the measured values, and low root mean squared
errors of 1.53, 1.44, and 1.32, respectively. The values of the performance
criteria parameters suggested for the adequacy of the constructed models and
their good predictive capabilities. The SVC model achieved a data reduction of
92.5% for redesigning the future monitoring program and the SVR model provided a
tool for the prediction of the water BOD using set of a few measurable variables.
The performance of the nonlinear models (SVM, KDA, KPLS) was comparable and these
performed relatively better than the corresponding linear methods (DA, PLS) of
classification and regression modeling.
PMID- 21889630
TI - Identification of protein methylation sites by coupling improved ant colony
optimization algorithm and support vector machine.
AB - Protein methylation is involved in dozens of biological processes and plays an
important role in adjusting protein physicochemical properties, conformation and
function. However, with the rapid increase of protein sequence entering into
databanks, the gap between the number of known sequence and the number of known
methylation annotation is widening rapidly. Therefore, it is vitally significant
to develop a computational method for quick and accurate identification of
methylation sites. In this study, a novel predictor (Methy_SVMIACO) based on
support vector machine (SVM) and improved ant colony optimization algorithm
(IACO) is developed to identify methylation sites. The IACO is utilized to find
the optimal feature subset and parameter of SVM, while SVM is employed to perform
the identification of methylation sites. Comparison of the IACO with conventional
ACO shows that the IACO converges quickly toward the global optimal solution and
it is more useful tool for feature selection and SVM parameter optimization. The
performance of Methy_SVMIACO is evaluated with a sensitivity of 85.71%, a
specificity of 86.67%, an accuracy of 86.19% and a Matthew's correlation
coefficient (MCC) of 0.7238 for lysine as well as a sensitivity of 89.08%, a
specificity of 94.07%, an accuracy of 91.56% and a MCC of 0.8323 for arginine in
10-fold cross-validation test. It is shown through the analysis of the optimal
feature subset that some upstream and downstream residues play important role in
the methylation of arginine and lysine. Compared with other existing methods, the
Methy_SVMIACO provides higher Acc, Sen and Spe, indicating that the current
method may serve as a powerful complementary tool to other existing approaches in
this area. The Methy_SVMIACO can be acquired freely on request from the authors.
PMID- 21889631
TI - A three-dimensional hierarchical nanoporous PdCu alloy for enhanced
electrocatalysis and biosensing.
AB - Nanoporous copper (NPC) obtained by dealloying CuAl alloy is used as both three
dimensional template and reducing agent for the fabrication of nanoporous PdCu
alloy with hollow ligaments by a simple galvanic replacement reaction with
H(2)PdCl(4) aqueous solution. Electron microscopy and X-ray diffraction
characterizations demonstrate that after the replacement reaction, the ligaments
become hollow tubular structure and the ligament shell is also comprised of small
pores and nanoparticles with a typical size of ~4 nm (third order porosity). The
as-prepared nanotubular mesoporous PdCu alloy (NM-PdCu) structure exhibits
remarkably improved electrocatalytic activity towards the oxidation of formic
acid and H(2)O(2) compared with nanoporous Pd (NP-Pd), and can be used for
sensitive electrochemical sensing applications. After coupled with glucose
oxidase (GOx), the enzyme modified NM-PdCu electrode can sensitively detect
glucose over a wide linear range (0.5-20 mM).
PMID- 21889632
TI - Pre-analytical method for NMR-based grape metabolic fingerprinting and
chemometrics.
AB - Although metabolomics aims at profiling all the metabolites in organisms, data
quality is quite dependent on the pre-analytical methods employed. In order to
evaluate current methods, different pre-analytical methods were compared and used
for the metabolic profiling of grapevine as a model plant. Five grape cultivars
from Portugal in combination with chemometrics were analyzed in this study. A
common extraction method with deuterated water and methanol was found effective
in the case of amino acids, organic acids, and sugars. For secondary metabolites
like phenolics, solid phase extraction with C-18 cartridges showed good results.
Principal component analysis, in combination with NMR spectroscopy, was applied
and showed clear distinction among the cultivars. Primary metabolites such as
choline, sucrose, and leucine were found discriminating for 'Alvarinho', while
elevated levels of alanine, valine, and acetate were found in 'Arinto' (white
varieties). Among the red cultivars, higher signals for citrate and GABA in
'Touriga Nacional', succinate and fumarate in 'Aragones', and malate, ascorbate,
fructose and glucose in 'Trincadeira', were observed. Based on the phenolic
profile, 'Arinto' was found with higher levels of phenolics as compared to
'Alvarinho'. 'Trincadeira' showed lowest phenolics content while higher levels of
flavonoids and phenylpropanoids were found in 'Aragones' and 'Touriga Nacional',
respectively. It is shown that the metabolite composition of the extract is
highly affected by the extraction procedure and this consideration has to be
taken in account for metabolomics studies.
PMID- 21889633
TI - Optimized cleanup method for the determination of short chain polychlorinated n
alkanes in sediments by high resolution gas chromatography/electron capture
negative ion-low resolution mass spectrometry.
AB - The performances of three adsorbents, i.e. silica gel, neutral and basic alumina,
in the separation of short chain polychlorinated n-alkanes (sPCAs) from potential
interfering substances such as polychlorinated biphenyls (PCBs) and
organochlorine pesticides were evaluated. To increase the cleanup efficiency, a
two-step cleanup method using silica gel column and subsequent basic alumina
column was developed. All the PCB and organochlorine pesticides could be removed
by this cleanup method. The very satisfying cleanup efficiency of sPCAs has been
achieved and the recovery in the cleanup method reached 92.7%. The method
detection limit (MDL) for sPCAs in sediments was determined to be 14 ng g(-1).
Relative standard deviation (R.S.D.) of 5.3% was obtained for the mass fraction
of sPCAs by analyzing four replicates of a spiked sediment sample. High
resolution gas chromatography/electron capture negative ion-low resolution mass
spectrometry (HRGC/ECNI-LRMS) was used for sPCAs quantification by monitoring [M
HCl](-) ions. When applied to the sediment samples from the mouth of the Daliao
River, the optimized cleanup method in conjunction with HRGC/ECNI-LRMS allowed
for highly selective identifications for sPCAs. The sPCAs levels in sediment
samples are reported to range from 53.6 ng g(-1) to 289.3 ng g(-1). C(10)- and
C(11)-PCAs are the dominant residue in most of investigated sediment samples.
PMID- 21889634
TI - Implications of partial tryptic digestion in organic-aqueous solvent systems for
bottom-up proteome analysis.
AB - For bottom-up MS, the digestion step is critical and is typically performed with
trypsin. Solvent-assisted digestion in 80% acetonitrile has previously been shown
to improve protein sequence coverage at shorter digestion times. This has been
attributed to enhanced enzyme digestion efficiency in this solvent. However, our
results demonstrate that tryptic digestion in 80% acetonitrile is less efficient
than that of conventional (aqueous) digestion. This is a consequence of decreased
enzyme activity beyond ~40% acetonitrile, increased enzyme autolysis and lower
protein solubility in 80% acetonitrile. We observe multiple missed cleavages and
reduced concentration of fully cleaved digestion products. Nonetheless we
confirm, through room temperature solvent-assisted digestion, a consistent
improvement in protein sequence coverage when analyzed by mass spectrometry.
These results are explained through the increased number of unique digestion
products available for detection. Thus, while solvent-assisted digestion has
clear merits for proteome analysis, one should be aware of the inefficiency of
protein digestion though this protocol, particularly with absolute protein
quantitation experiments.
PMID- 21889635
TI - Metabolomic approach for determination of key volatile compounds related to beef
flavor in glutathione-Maillard reaction products.
AB - The non-targeted analysis, combining gas chromatography coupled with time-of
flight mass spectrometry (GC-TOF/MS) and sensory evaluation, was applied to
investigate the relationship between volatile compounds and the sensory
attributes of glutathione-Maillard reaction products (GSH-MRPs) prepared under
different reaction conditions. Volatile compounds in GSH-MRPs correlating to the
sensory attributes were determined using partial least-squares (PLS) regression.
Volatile compounds such as 2-methylfuran-3-thiol, 3-sulfanylpentan-2-one, furan-2
ylmethanethiol, 2-propylpyrazine, 1-furan-2-ylpropan-2-one, 1H-pyrrole, 2
methylthiophene, and 2-(furan-2-ylmethyldisulfanylmethyl)furan could be
identified as possible key contributors to the beef-related attributes of GSH
MRPs. In this study, we demonstrated that the unbiased non-targeted analysis
based on metabolomic approach allows the identification of key volatile compounds
related to beef flavor in GSH-MRPs.
PMID- 21889636
TI - Determination of amines based on their interaction with QDs: effect of the
formation QD-assemblies.
AB - Assemblies of closed nanoparticles have focused interest because they exhibit new
optical and chemical properties. The use of a 1D covalent strategy for quantum
dots-assemblies has been proposed in this work as novelty. It was studied the
effect of use different dithiols, including aromatic and aliphatic dithiol
compounds, on the formation of QDs-assemblies in order to establish the influence
of the linker's structure on the geometry of the assemblies, and hence on their
properties. As a second part of the work, the changes on analytical response to
analytes thanks to the formation of QDs-assemblies when dithiols are added were
studied for firs time. For this study, some biogenic amines were selected as
target analytes. We observed an improvement of 2.7-4 times in the sensitivity,
expressed as slope of the calibration graph, when the dithiols were added to the
system obtaining QDs-assemblies.
PMID- 21889637
TI - A carbohydrate modified fluoride ion sensor and its applications.
AB - A new fluorescent probe for the detection of F(-) (TBA(+) and Na(+) salts) has
been developed, which is based on a desilylation triggered chromogenic reaction
in water. This probe exhibits excellent F(-) ion selectivity as well as
significant color changes visible to the naked eye at the concentration of 1.5 mg
L(-1), the WHO recommended level of F(-) ions in drinking water. This new
carbohydrate modified probe can be used directly in aqueous medium without using
organic co-solvents. Furthermore, the probe presents high sensitivity and
selectivity for the imaging of F(-) ions in HepG2 cells.
PMID- 21889638
TI - Molecularly imprinted polymers as optical sensing receptors: correlation between
analytical signals and binding isotherms.
AB - Despite the increasing number of usage of molecularly imprinted polymers (MIPs)
in optical sensor application, the correlation between the analytical signals and
the binding isotherms has yet to be fully understood. This work investigates the
relationship between the signals generated from MIPs sensors to its respective
binding affinity variables generated using binding isotherm models. Two different
systems based on the imprinting of metal ion and organic compound have been
selected for the study, which employed reflectance and fluorescence sensing
schemes, respectively. Batch binding analysis using the standard binding isotherm
models was employed to evaluate the affinity of the binding sites. Evaluation
using the discrete bi-Langmuir isotherm model found both the MIPs studied have
generally two classes of binding sites that was of low and high affinities, while
the continuous Freundlich isotherm model has successfully generated a
distribution of affinities within the investigated analytical window. When the
MIPs were incorporated as sensing receptors, the changes in the analytical signal
due to different analyte concentrations were found to have direct correlation
with the binding isotherm variables. Further data analyses based on this
observation have generated robust models representing the analytical performance
of the optical sensors. The best constructed model describing the sensing trend
for each of the sensor has been tested and demonstrated to give accurate
prediction of concentration for a series of spiked analytes.
PMID- 21889639
TI - Surface-enhanced Raman scattering detection of cholinesterase inhibitors.
AB - A new sensitive surface-enhanced Raman scattering (SERS) assay for detection of
cholinesterase inhibitors such as organophosphorous pesticides using silver
colloidal nanoparticles was developed and optimized. Acetylcholinesterase (AChE)
mediated the hydrolysis of acetylthiocholine to produce thiocholine, which
interacted with the silver nanoparticles to give a specific SERS spectrum.
Variation in enzyme activity due to inhibition was measured from changes in
intensity of a characteristic peak (772 cm(-1)) of the SERS spectrum that was
directly correlated with the concentration of produced thiocholine. The method
was demonstrated for the detection of paraoxon as reference AChE inhibitor. Limit
of detection of paraoxon for 5 min incubation at 25 degrees C was 1.8*10(-8) M.
This assay can be utilized for the detection of trace amounts of any AChE
inhibitor.
PMID- 21889640
TI - Comparison of molecular imprinted particles prepared using precipitation
polymerization in water and chloroform for fluorescent detection of
nitroaromatics.
AB - A comparative study was conducted to study the effects that two different
polymerization solvents would have on the properties of imprinted polymer
microparticles prepared using precipitation polymerization. Microparticles
prepared in chloroform, which previous results indicated was the optimal solvent
for molecular imprinting of nitroaromatic explosive compounds, were compared to
water, which was hypothesized to decrease water swelling of the polymer and allow
enhanced rebinding of aqueous template. The microparticles were characterized and
were integrated into a fluorescence sensing mechanism for detection of
nitroaromatic explosive compounds. The performance of the sensing mechanisms was
compared to illustrate which polymerization solvent produced optimal imprinted
polymer microparticles for detection of nitroaromatic molecules. Results
indicated that the structures of microparticles synthesized in chloroform versus
water varied greatly. Sensor performance studies showed that the microparticles
prepared in chloroform had greater imprinting efficiency and higher template
rebinding than those prepared in water. For detection of 2,4,6-trinitrotoluene,
the chloroform-based fluorescent microparticles achieved a lower limit of
detection of 0.1 MUM, as compared to 100 MUM for the water-based fluorescent
microparticles. Detection limits for 2,4-dinitrotoluene, as well as time response
studies, also demonstrated that the chloroform-based particles are more effective
for detection of nitroaromatic compounds than water-based particles. These
results illustrate that the enhanced chemical properties of using the
experimentally determined optimal polymerization solvent overcome deformation of
imprinted binding sites by water swelling and benefits of using the
polymerization solvent for rebinding of the template.
PMID- 21889641
TI - Anomalies in evaporative light scattering detection.
AB - A two-dimensional (2-D) "heart-cutting" HPLC system was used to fractionate
oligostyrenes into the respective diastereoisomers. For samples of known
composition, the response of an ultraviolet (UV) absorbance detector followed the
anticipated pattern. The response of an evaporative light-scattering (ELSD)
detector on the other hand indicated quite different concentrations for the two
diastereoisomers, relative to what was anticipated and what was indicated by the
UV detector. Whereas approximately the same concentration was indicated by UV,
ELSD in some cases indicated no detection of the later eluting isomer. The
magnitude of the errors depended on both the molecular weight and the tacticity
of the diastereomers. These anomalies appear to be an artifact of power transform
functions imbedded within the firmware processor of the ELSD, invisible to the
user.
PMID- 21889642
TI - Weak affinity ligands selection using quartz crystal microbalance biosensor:
multi-hydroxyl amine ligands for protein separation.
AB - Multi-hydroxyl amines including tris(hydroxymethyl)aminomethane (Tris), serinol
and ethanolamine were selected as weak affinity ligands using a rapid screening
by quartz crystal microbalance (QCM) biosensor. Based on the specific recognition
between the ligands and two proteins, lysozyme (LZM) and cytochrome c (Cyt c), a
weak affinity chromatography method was developed for specific separation of the
two proteins. The frontal analysis results showed that the apparent dissociation
constants (K(D)) of ligand-protein complexes were all in the order of weak
affinity (10(-4) M). By weak affinity columns modified with the three multi
hydroxyl amines individually, LZM and Cyt c were baseline separated as retarded
peaks from non-specific protein and each other in a single cycle of loading and
eluting. Moreover, the Tris-modified column typically showed the satisfactory
repeatability and stability as a new type of weak affinity columns. The present
strategy composed of QCM selecting and affinity chromatography separating was
promising to extend the variety of weak affinity ligands and develop inexpensive
specific affinity methods for separation and purification of multiple proteins on
one single column.
PMID- 21889643
TI - One-pot labeling-based capillary zone electrophoresis for separation of amino
acid mixture and assay of biofluids.
AB - A fast, simple and cost-effective one-pot labeling strategy coupled with
capillary zone electrophoresis was developed for the complete separation of amino
acid mixture. The strategy includes two steps of reactions: Cyanuric chloride was
made to react first with 7-amino-1,3-naphthalenedisulfonic acid monopotassium
salt at 0 degrees C for 10 min, and then with amino acids at 55 degrees C for 6
min. The resulted products, after diluted with water, were injected into
capillary zone electrophoresis system for separation. Using a running buffer of
20 mM sodium tetraborate decahydrate at pH 10.1, nineteen amino acids were
efficiently separated in 25 min, with relative standard deviation of 0.36-1.6%
and 0.96-2.1% (within and between days, respectively) for migration time and
0.030-1.6% and 0.22-2.4% (within and between days, respectively) for peak area.
The proposed method has been successfully applied to the determination of free
amino acids in biofluids, including human serum, urine, and saliva. The linearity
of quantification was over two orders of magnitude for most amino acids, with a
correlation coefficient larger than 0.999. The average recovery, determined by
spiking a known amount of amino acid standards into real samples, was in a range
from 91.6% to 105.9%. This method can be a noninvasive means since it could
directly assay the urine and saliva samples.
PMID- 21889644
TI - Memories and the human spirit.
PMID- 21889645
TI - Reflections on a defining moment.
PMID- 21889646
TI - Ten years later: reflections of 9/11.
PMID- 21889647
TI - Ten years later: reflections of 9/11.
PMID- 21889648
TI - Ten years later: reflections of 9/11.
PMID- 21889649
TI - Ten years later: reflections of 9/11.
PMID- 21889650
TI - Catastrophic progression of preterm delivery caused by 2009 H1N1 influenza
infection.
PMID- 21889651
TI - Emergency department policies and procedures for treatment of patients abusing
methamphetamine.
PMID- 21889652
TI - Theory development for situational awareness in multi-casualty incidents.
AB - INTRODUCTION: Nurses and other field-level providers will be increasingly called
on to respond to both natural and manmade situations that involve multiple
casualties. Situational Awareness (SA) is necessary for managing these
complicated incidents. The purpose of the study was to create new knowledge by
discovering the process of SA in multi-casualty incidents (MCI) and develop
substantive theory with regard to field-level SA for use by emergency response
nurses and other providers. METHODS: A qualitative, grounded theory approach was
used to develop the first substantive theory of SA for MCI. The sample included
15 emergency response providers from the Southeastern United States. One pilot
interview was conducted to trial and refine the semi-structured interview
questions. Following Institutional Review Board approval, data collection and
analysis occurred from September 2008 through January 2009. The grounded theory
methods of Corbin and Strauss (2008) and Charmaz (2006) informed this study.
Transcribed participant interviews constituted the bulk of the data with
additional data provided by field notes and extensive memos. Multiple levels of
coding, theoretical sampling, and theoretical sensitivity were used to develop
and relate concepts resulting in emerging theory. Multiple methods were used for
maintaining the rigor of the study. RESULTS: The process of SA in MCI involves
emergency responders establishing and maintaining control of dynamic,
contextually-based situations. Against the backdrop of experience and other
preparatory interval actions, responders handle various types of information and
manage resources, roles, relationships and human emotion. The goal is to provide
an environment of relative safety in which patient care is provided. SA in MCI is
an on-going and iterative process with each piece of information informing new
actions. Analysis culminated in the development of the Busby Theory of
Situational Awareness in Multi-casualty Incidents. CONCLUSION: SA in MCI is a
growing need at local, national and international levels. The newly developed
theory provides a useful model for appreciating SA in the context of MCI thereby
improving practice and providing a tool for education. The theory also provides a
catalyst for further research refining and testing of the theory and for studying
larger-scale incidents.
PMID- 21889653
TI - Responding to mental health emergencies: implementation of an innovative
telehealth service in rural and remote new South Wales, Australia.
AB - INTRODUCTION: Mental health is a national priority in Australia, and the need is
greatest where access to specialist care is poorest, in the rural and remote
regions of the nation. The Mental Health Emergency Care-Rural Access Project
(MHEC) was developed to provide 24-hour access to mental health specialists in
rural and remote New South Wales using video conferencing equipment. METHOD: An
evaluation of the service activity of MHEC using a concurrent mixed methods
approach. RESULTS: Use of the service increased from a low base to around 60
video assessments per month at the end of the study period. Use of video
assessments was greatest in the remote zone (30.7 video assessments/10,000
population/year). The number of people referred to a mental health inpatient unit
declined (50 fewer patients, representing a decrease from 73% to 52% of all
admissions between 2008 and 2009). Both patients and providers found the service
helpful. Most patients (81%) stated that they would recommend or use the service
again. DISCUSSION: This service is well positioned to have an increasing effect
on emergency nursing and patient outcomes, as well as potentially on
transportations. Continued use of MHEC suggests that video conference technology
is acceptable and offers responsive specialist emergency mental health care to
rural and remote communities.
PMID- 21889654
TI - Treatment of hemophilia with inhibitors: an advance in options for pediatric
patients.
PMID- 21889655
TI - Nursing process and critical thinking linked to disaster preparedness.
PMID- 21889656
TI - Responding to a multiple-casualty incident: room for improvement.
PMID- 21889657
TI - A 57-year-old woman with atypical chest pain.
PMID- 21889658
TI - Three people, three different complaints, three stories, one thing in common.
PMID- 21889660
TI - Myocardial revascularization by percutaneous coronary intervention: past,
present, and the future.
AB - Percutaneous coronary revascularization was introduced over 30 years ago by Dr
Andreas Gruntzig. This event catapulted adult invasive cardiology from a
diagnostic entity to a therapeutic modality, which has since become the most
frequently performed coronary revascularization procedure worldwide. This success
has been built on several key concepts-problem identification, targeted
solutions, applied technology, populations-based testing, postmarket
surveillance, and education. These concepts will continue to be of paramount
importance as novel percutaneous innovations and strategies are brought to bear
on an increasingly broader group of patients with cardiovascular disease. In this
review, we trace the history, review current practices, and also provide insight
into future potential innovations, as it pertains to percutaneous coronary
revascularization.
PMID- 21889661
TI - Improving cataract surgery refractive outcomes.
PMID- 21889662
TI - Orbital inflammation and lyme disease.
PMID- 21889663
TI - Golimumab for uveitis.
PMID- 21889664
TI - Beta-blockade affects simulator scores.
PMID- 21889665
TI - Surgery for floaters.
PMID- 21889666
TI - Blue-blocking IOLs.
PMID- 21889668
TI - Blue-blocking IOLs.
PMID- 21889670
TI - Repeat endothelial keratoplasty.
PMID- 21889672
TI - Pediatric lamellar keratoplasty.
PMID- 21889674
TI - Preface.
PMID- 21889675
TI - Medically unexplained symptoms: a treacherous foundation for somatoform
disorders?
PMID- 21889676
TI - The somatoform disorders: 6 characters in search of an author.
PMID- 21889678
TI - The relationship between somatic symptoms, health anxiety, and outcome in medical
out-patients.
PMID- 21889677
TI - Health care utilization and poor reassurance: potential predictors of somatoform
disorders.
PMID- 21889679
TI - Relevance of cognitive and behavioral factors in medically unexplained syndromes
and somatoform disorders.
PMID- 21889680
TI - Does psychological stress cause chronic pain?
PMID- 21889681
TI - Can neural imaging explain pain?
PMID- 21889683
TI - Simulated illness: the factitious disorders and malingering.
PMID- 21889684
TI - Somatoform disorders in children and adolescents.
PMID- 21889682
TI - Inflammation at the intersection of behavior and somatic symptoms.
PMID- 21889685
TI - Somatization in older people.
PMID- 21889686
TI - Functional somatic syndromes and somatoform disorders in special psychosomatic
units: organizational aspects and evidence-based treatment.
PMID- 21889687
TI - Teaching trainees about the practice of consultation-liaison psychiatry in the
general hospital.
PMID- 21889688
TI - Preface: Surgical complications.
PMID- 21889689
TI - Metabolic complications of endocrine surgery in companion animals.
AB - Metabolic complications of endocrine surgery occur commonly and precautions
should be taken to avoid potentially life-threatening situations and to lessen
expense associated with a more extended hospital stay. Common complications of
endocrine surgery as well as prevention strategies will be reviewed for
pancreatic, parathyroid, and adrenal surgery.
PMID- 21889690
TI - Complications of upper urinary tract surgery in companion animals.
AB - Due to the negative effects of urine on wound healing, the high rate of
complications associated with surgical incisions in the ureter and a desire to
avoid large open approaches to the abdomen, there is a strong trend in human
medicine toward the use of endoscopic methods in the treatment of upper urinary
tract disease. However, the small size of urogenital structures in companion
animals has prevented the widespread application of endoscopy of the upper
urinary tract and surgery continues to be the mainstay of treatment. Through
careful decision making, veterinary surgeons now use microsurgical technique and
interventional radiology to provide a high success rate. The current review will
discuss complications pertaining to surgery of the kidney and ureter in companion
animals, using experimental and clinical data to guide the detection and
avoidance of these complications.
PMID- 21889691
TI - Complications of lower urinary tract surgery in small animals.
AB - Surgical procedures of the lower urinary tract are commonly performed in small
animal practice. Cystotomy for removal of uroliths and urethrostomy diverting
urine outflow due to urethral obstruction are the most commonly performed
surgical procedures of the bladder and urethra respectively. Surgical procedures
of the lower urinary tract are typically associated with few complications,
including leakage of urine, loss of luminal diameter (stricture or stenosis),
urine outflow obstruction, tissue devitalization, denervation, urinary
incontinence, urinary tract infection, and death. Complications can result from
inappropriate or inadequate diagnosis, localization, and surgical planning;
failure to respect regional anatomy, and other causes.
PMID- 21889692
TI - Complications of gastrointestinal surgery in companion animals.
AB - The small animal surgeon creates wounds in the gastrointestinal (GI) tract for
biopsy, for foreign body or neoplasm removal, or to relieve obstruction. Unlike a
skin wound, dehiscence of a wound of the GI tract often leads to generalized
bacterial peritonitis and potentially death. Technical failures and factors that
negatively affect GI healing are of great clinical significance. Surgery of the
GI tract must be considered clean-contaminated at best; as one progresses
aborally down the GI tract, the bacterial population increases. Intraoperative
spillage, wound dehiscence, or perforations that occur in the lower small
intestine or colon tend to be associated with a relatively higher mortality rate.
PMID- 21889693
TI - Complications of hepatic surgery in companion animals.
AB - The most common hepatic procedures performed in companion animals are liver
biopsies and partial or complete liver lobectomies. Although these procedures are
relatively simple to perform in healthy animals, surgery in clinical patients
with liver disease is often complicated by the presence of significant systemic
illness or by the technical challenges associated with removing massive hepatic
tumors. An in-depth understanding of the possible complications that can occur
with hepatic surgery helps to provide the best possible outcome for the patient
by allowing the surgeon to not only take precautions to try to prevent
complications but allows one to monitor for them postoperatively and treat them
early if noted.
PMID- 21889694
TI - Complications of the extrahepatic biliary surgery in companion animals.
AB - Surgery of the biliary tract is demanding and is associated with several
potentially life-threatening complications. Veterinarians face challenges in
obtaining accurate diagnosis of biliary disease, surgical decision-making,
surgical hemostasis and bile peritonitis. Intensive perioperative monitoring is
required to achieve early recognition of common postoperative complications.
Proper treatment and ideally, avoidance of surgical complications can be achieved
by gaining a clear understanding physiology, anatomy, and the indications for
hepatobiliary surgery.
PMID- 21889695
TI - Complications of upper airway surgery in companion animals.
AB - Surgery of the upper airway is performed in dogs for the correction of
brachycephalic airway syndrome and laryngeal paralysis and for temporary or
permanent tracheostomy. Although technically simple to perform, upper airway
surgeries can lead to the development of significant postoperative complications.
This article reviews complications associated with common surgical conditions of
the upper airway. It involves a discussion of brachycephalic airway syndrome and
associated respiratory and gastrointestinal complications. It also covers
laryngeal paralysis with a focus on unilateral arytenoid lateralization and the
complication of aspiration pneumonia. The condition of acquired laryngeal
webbing/stenosis and potential treatment options is also discussed. Finally,
tracheostomies and associated complications in dogs and cats are reviewed.
PMID- 21889696
TI - Management of complications associated with total ear canal ablation and bulla
osteotomy in dogs and cats.
AB - Total ear canal ablation combined with bulla osteotomy is a salvage procedure
recommended primarily for end-stage inflammatory ear canal disease but also for
neoplasia and severe traumatic injuries. Due to the complexity of the procedure
and the poor exposure associated with the surgical approach, there is significant
risk for a variety of complications. This review discusses intraoperative, early
postoperative, and late postoperative complications reported in large
retrospective studies, the causes for these complications, and recommendations
about how to prevent them.
PMID- 21889697
TI - Complications of reconstructive surgery in companion animals.
AB - Factors that affect wound healing include the general health of the patient,
nutritional status, and wound factors. Treatments such as corticosteroids,
chemotherapy, or radiation are also common causes of delayed healing. Multimodal
cancer treatment has become more common and the veterinary surgeon may be
required to perform reconstructive procedures on an animal that has received or
will receive chemotherapy and/or radiation treatments. Complications of
reconstructive cutaneous procedures include seroma, hematoma formation,
infection, wound dehiscence, distal tip necrosis of skin flaps, paresthesia, and
free skin graft failure. Procedures such as maxillectomy or hemipelvectomy also
have complications. Knowledge of common complications can facilitate client
education and even allow the surgeon to avoid these complications.
PMID- 21889698
TI - Complications of minimally invasive surgery in companion animals.
AB - Minimally invasive surgery (MIS) has become increasingly popular in recent years
for diagnosis and treatment of an ever-expanding list of disease processes in
small animal patients. Reports in the veterinary literature have documented a
large number of MIS alternatives to traditional open surgery albeit mostly in
small cohorts of patients. Advantages of MIS have been documented by many
investigators and include significant decreases in postoperative pain, length of
hospital stay, and analgesic requirements, as well as other types of morbidity,
with some procedures now being performed on an outpatient basis. However, MIS
procedures are not without surgical morbidity and in some cases may be associated
with higher levels or different types of complications compared to open surgery.
PMID- 21889699
TI - Complications of ovariohysterectomy and orchiectomy in companion animals.
AB - Complications following elective spay or neuter procedures are particularly
feared by new graduates. However, even the most experienced surgeons may
encounter surgical or postoperative complications. At best, complications
associated with elective procedures can harm the doctor-client relationship. At
worst, these can present legal and financial problems. Veterinary surgeons should
be aware of the potential complications associated with elective sterilization,
these should be communicated to the client, and there should be a clear plan for
action when a complication occurs. This article reviews the reported
complications encountered in elective sterilization surgery in companion animals,
with a special focus on early detection and prevention.
PMID- 21889700
TI - Surgical site infections in small animal surgery.
AB - Surgical site infections (SSIs) are a significant source of morbidity, mortality,
and cost associated with small animal surgery. The most well-established
strategies to reduce the impact of SSI are preventive, focusing on bolstering
host immunity while decreasing wound contamination during surgery. When SSI is
identified, the use of consistent definitions and culture-based therapy help to
facilitate surveillance and appropriate management. Debridement and open wound
management of infected wounds are important for successful treatment.
PMID- 21889701
TI - Updated Society for Vascular Surgery guidelines for management of extracranial
carotid disease.
AB - Management of carotid bifurcation stenosis is a cornerstone of stroke prevention
and has been the subject of extensive clinical investigation, including multiple
controlled randomized trials. The appropriate treatment of patients with carotid
bifurcation disease is of major interest to the community of vascular surgeons.
In 2008, the Society for Vascular Surgery published guidelines for treatment of
carotid artery disease. At the time, only one randomized trial, comparing carotid
endarterectomy (CEA) and carotid stenting (CAS), had been published. Since that
publication, four major randomized trials comparing CEA and CAS have been
published, and the role of medical management has been re-emphasized. The current
publication updates and expands the 2008 guidelines with specific emphasis on six
areas: imaging in identification and characterization of carotid stenosis,
medical therapy (as stand-alone management and also in conjunction with
intervention in patients with carotid bifurcation stenosis), risk stratification
to select patients for appropriate interventional management (CEA or CAS),
technical standards for performing CEA and CAS, the relative roles of CEA and
CAS, and management of unusual conditions associated with extracranial carotid
pathology. Recommendations are made using the GRADE (Grades of Recommendation
Assessment, Development and Evaluation) system, as has been done with other
Society for Vascular Surgery guideline documents.[corrected] The perioperative
risk of stroke and death in asymptomatic patients must be <3% to ensure benefit
for the patient. CAS should be reserved for symptomatic patients with stenosis of
50% to 99% at high risk for CEA for anatomic or medical reasons. CAS is not
recommended for asymptomatic patients at this time. Asymptomatic patients at high
risk for intervention or with <3 years life expectancy should be considered for
medical management as the first-line therapy.
PMID- 21889702
TI - One year outcomes of the United States regulatory trial of the Endurant Stent
Graft System.
AB - OBJECTIVE: To report the 1-year outcomes of the United States (US) regulatory
trial of the Endurant Stent Graft System (Medtronic Vascular), a new device for
the treatment of abdominal aortic aneurysms (AAA). METHODS: This was a
prospective, single arm, multicenter trial conducted at 26 sites in the US. From
April 2008 to May 2009, 150 patients with AAA were treated with the Endurant
bifurcated stent graft. The main inclusion criteria were an AAA diameter >5 cm,
proximal neck length >= 10 mm, bilateral iliac fixation length >= 15 mm, and a
neck angulation of <= 60 degrees. A clinical events committee (CEC) adjudicated
all adverse events except blood loss, and a core laboratory reviewed all imaging.
The primary safety endpoint was freedom from major adverse events at 30 days, and
the primary effectiveness endpoint was successful aneurysm treatment at 12
months. RESULTS: One hundred forty-nine patients (99.3%) had a successful stent
graft implant, 83.3% under general anesthesia. One failure was due to inability
to cannulate the contralateral gate. One patient developed a neck rupture during
the procedure, but was still treated successfully. Patients were predominantly
male (91.3%), elderly (mean age, 73.1 years) with significant comorbidities. Mean
estimated blood loss was 185 mL (range, 0-1450 mL), with blood transfusion
required in one patient. Average hospital stay was 2.1 days. At 1 month, the
major adverse events rate was only 4% with no operative mortality. Serious
adverse events were recorded in 43 of 150 (28.7%) patients. Cardiac (8.7%), fever
(6%), urological (4.7%), pulmonary (4%), and vascular events (4%) were the most
frequent. Through 12 months of follow up, there were no migrations, ruptures, or
conversions. No type I or III endoleaks were identified during the first year.
Fifteen of 129 patients (11.6%) had endoleaks at 6 months and 13 of 130 (10%) at
1 year, all type II except for one indeterminate endoleak. One Type II endoleak
proved to be a Type IB on later angiography. Ten aneurysm related reinterventions
were performed during the first year of follow up, mostly for limb thrombosis or
stenosis (5) or for type II endoleak (2). Four of the procedures were
endovascular. Aneurysm sac diameter decreased >5 mm at 1 year in 47% of patients
and remained stable in 53%. No sac showed an increase of >5 mm. None of seven
late deaths (range, 90-458 days post-implant) was adjudicated to be aneurysm
related. CONCLUSION: Early results of the Endurant pivotal trial are quite
encouraging and suggest a safe and effective new device for the treatment of
abdominal aortic aneurysms.
PMID- 21889703
TI - Prevalence and clinical significance of stent fracture and deformation following
carotid artery stenting.
AB - OBJECTIVE: Carotid artery stenting (CAS) is a developing intervention for carotid
artery stenosis, and long-term outcomes remain unclear. We examined the
prevalence and clinical significance of carotid stent fractures or deformations
following CAS. METHODS: Two hundred thirty-one CAS performed in 219 patients at
one academic institution between August 2000 and March 2009 were reviewed. One
hundred sixteen stents (57 closed cell, 59 open cell) were evaluated with
multiplanar plain films of the neck to assess for stent fracture or deformation.
Stent fracture was identified by wire strut disruption. Deformation was defined
as an increase in stent-cell area from stent strut distortion. Study endpoints
included rate of stent fracture or deformation determined using Kaplan-Meier and
life table analysis. Factors associated with stent fracture or deformation were
identified by Cox regression. Effect of stent fracture or deformation on
recurrent carotid artery stenosis >70% requiring reintervention and postoperative
stroke was studied. RESULTS: There were five stent fractures (4%) and 27 deformed
stents (23%). Rate of stent fracture or deformation was 15% at 2 years and 50% at
4 years. Deformations were significantly more common in open cell stents than in
closed cell stents (58% vs 5% at 4 years, P < .00005). Presence of calcified
plaque on plain film was significantly associated with increased rate of stent
fracture or deformation (P = .0006). At median follow-up of 25 months, restenosis
requiring treatment occurred in four (5%) and late stroke in one (1%). Neither
stent fracture nor deformation was associated with late stroke or reintervention.
CONCLUSIONS: Stent fracture and deformation is not uncommon following CAS and is
associated with the presence of heavy calcification. Whether a carotid stent
fractures or deforms correlates with stent design. Larger studies are necessary
to determine the possible clinical significance of carotid stent fracture and
deformation.
PMID- 21889705
TI - Updated Society for Vascular Surgery guidelines for management of extracranial
carotid disease: executive summary.
AB - In 2008, the Society for Vascular Surgery published guidelines for the treatment
of carotid bifurcation stenosis. Since that time, a number of prospective
randomized trials have been completed and have shed additional light on the best
treatment of extracranial carotid disease. This has prompted the Society for
Vascular Surgery to form a committee to update and expand guidelines in this
area. The review was done using the GRADE methodology.[corrected] The
perioperative risk of stroke and death in asymptomatic patients must be below 3%
to ensure benefit for the patient. Carotid artery stenting (CAS) should be
reserved for symptomatic patients with stenosis 50% to 99% at high risk for CEA
for anatomic or medical reasons. CAS is not recommended for asymptomatic patients
at this time. Asymptomatic patients at high risk for intervention or with <3
years life expectancy should be considered for medical management as first line
therapy. In this Executive Summary, we only outline the specifics of the
recommendations made in the six areas evaluated. The full text of these
guidelines can be found on the on-line version of the Journal of Vascular Surgery
at http://journals.elsevierhealth.com/periodicals/ymva.
PMID- 21889706
TI - Ethics of re-hearsing procedures on a corpse.
AB - You are the attending surgeon of a homeless pedestrian who sustained multiple
injuries when struck by a car. He died soon after being brought to the emergency
department. It is late in the evening. A first-year resident and a medical
student have been helping with the failed attempt at resuscitation. The emergency
department is empty, except for your case. A central line kit lies on the bed,
opened but not used. The junior resident asks your permission for herself and the
student to practice the technique of subclavian cauterization and tracheal
intubation on the fresh cadaver to get a "feel" for the procedures. There is no
medical simulation for these procedures at your medical center. The best ethical
response is: A. Tell them to go ahead and practice. B. They can only practice
intubation because it leaves no external wounds. C. You should supervise them
yourself to assure educational benefit. D. They should wait until you get
permission from the medical examiner. E. The present case is not appropriate for
educational purposes.
PMID- 21889707
TI - ViPS technique as a novel concept for a sutureless vascular anastomosis.
AB - OBJECTIVE: To describe a novel technique (Viabhan Padova Sutureless [ViPS]) that
connects a vascular prosthetic graft to a target artery in a sutureless fashion.
METHODS: The patient was a 74-year-old male with complete superficial femoral
artery (FA) occlusion and reconstitution of a circumferentially calcified above
knee popliteal artery (ANPA). The proximal end of a surgeon-modified 7-mm Viabahn
endoprosthesis was sutured to a 7-mm polytetrafluoroethylene graft (PTFEg). After
surgical exposure, the ANPA was transected, and the undeployed distal portion of
the Viabahn was inserted, supported by a stiff guidewire. The distal portion of
the Viabahn graft was then deployed and ballooned with optimal apposition.
Finally, the proximal end of the PTFEg was sutured to the common FA. RESULTS:
Operative time was 60 minutes. Completion angiogram and the computed tomography
angiogram at 6 months demonstrated a patent graft. CONCLUSION: The ViPS technique
provides an alternative for bypass creation when challenging arterial anastomoses
are required.
PMID- 21889710
TI - Regarding "Optimal statin type and dosage for vascular patients".
PMID- 21889708
TI - Thrombolysis for acute ischemic stroke.
AB - Thrombolysis with intravenous alteplase is the primary therapy for acute ischemic
stroke, and is approved in most countries. Early administration improves
functional outcome though benefit and risk depend on the time elapsed between
stroke onset and initiation of treatment. Randomized controlled trials
demonstrated benefit from intravenous thrombolysis when initiated up to 4.5 hours
after symptom onset, and pooled analysis of all trials indicates that the sooner
that alteplase is given, the greater is the benefit. Treatment carries a risk of
bleeding, with symptomatic intracranial hemorrhage (SICH) of around 3%.
Initiating treatment after 4.5 hours increases mortality and reverses the risk
benefit balance. Baseline stroke severity, age, diabetes and concomitant stroke
are associated with poorer outcome from acute stroke; but secondary analyses and
controlled registry data suggest that intravenous alteplase remains effective in
most subgroups. Intra-arterial thrombolysis has a less extensive evidence base
and is mostly unapproved for acute stroke. Access to thrombolysis remains patchy
and involves unacceptable delays: greater awareness of the benefits and time
dependency are crucial.
PMID- 21889712
TI - Hormones and cancer: breast and prostate.
PMID- 21889713
TI - Endocrinology and Metabolism Clinics of North America. Hormones and cancer:
breast and prostate. Preface.
PMID- 21889714
TI - The pivotal role of insulin-like growth factor I in normal mammary development.
AB - Mammary development begins in puberty in response to an estrogen (E(2)) surge.
E(2) does not act alone. It relies on pituitary growth hormone (GH) to induce
insulin-like growth factor I (IGF-I) production in the mammary stromal
compartment. In turn, IGF-I permits E(2) (and progesterone) action. During
puberty, E(2) and IGF-I synergize for ductal morphogenesis. During pregnancy,
progesterone joins IGF-I and E(2) to stimulate secretory differentiation
necessary to produce milk. Prolactin stimulates milk production, while
transforming growth factor-beta inhibits proliferation. The orchestrated action
of hormones, growth factors, and receptors necessary for mammary development and
function are also critical in breast cancer.
PMID- 21889715
TI - Estrogen carcinogenesis in breast cancer.
AB - Many studies have reported a correlation between elevated estrogen blood levels
and breast cancer and this observation has raised controversy concerning the long
term use of hormonal replacement therapy. This review will not address further
this controversial topic; but rather, this review focuses on the role of estrogen
signaling in first, the normal development of the breast and second, how
alterations of this signaling pathway contribute to breast cancer.
PMID- 21889716
TI - Hormonal mechanisms underlying the relationship between obesity and breast
cancer.
AB - Given the worldwide epidemic of obesity, it is inevitably an increasingly common
comorbidity for women who develop breast cancer; therefore, it is critical to
understand its impact on this disease. This review focuses on the influence of
obesity on breast cancer development and progression and describes the hormonal
factors that may underlie the observations, with particular emphasis on the roles
of estrogen, insulin/insulin-like growth factor axis, and adipokines.
PMID- 21889718
TI - Hormonal modulation in the treatment of breast cancer.
AB - This article explores the history of endocrine therapy for the treatment of
breast cancer, the clinical evidence behind the current standards of care, and
controversies that may change these standards in the future.
PMID- 21889717
TI - Postmenopausal hormone therapy and breast cancer risk: current status and
unanswered questions.
AB - Many women take hormone therapy (HT) for menopausal symptom relief. Studies have
tried to clarify whether various factors can modify the risk of HT, such as the
age at initiation, dose, duration, or type of HT, or characteristics of the
individual, such as family history or body mass index. The relative risks of
breast cancer associated with HT across various subgroups of women should be
considered similar, but absolute risks can vary significantly among women and
this may inform individual decision making. For breast cancer survivors, systemic
HT should be discouraged.
PMID- 21889719
TI - Androgens and breast cancer in men and women.
AB - Abundant clinical evidence suggests that androgens normally inhibit mammary
epithelial proliferation and breast growth. Clinical and nonhuman primate studies
support the notion that androgens inhibit mammary proliferation and, thus, may
protect from breast cancer. On the other hand, administration of conventional
estrogen treatment suppresses endogenous androgens and may, thus, enhance
estrogenic breast stimulation and possibly breast cancer risk. Addition of
testosterone to the usual hormone therapy regimen may diminish the
estrogen/progestin increase in breast cancer risk, but the impact of this
combined use on mammary gland homeostasis still needs evaluation.
PMID- 21889720
TI - Management of bone disease in patients undergoing hormonal therapy for breast
cancer.
AB - Estrogen deficiency at menopause is associated with increased risk of bone loss
and osteoporosis. Aromatase inhibitors (AIs) are increasingly being used for the
treatment of postmenopausal hormone-sensitive breast cancer because of better
disease-free survival compared with tamoxifen seen in clinical trials with AIs.
This article reviews the effect of endocrine therapies of breast cancer on bone
and the management of bone disease with these endocrine therapies. The effect of
these therapies on bone mineral density and bone turnover along with possible
interventions is discussed. AIs are also associated with skeletal-related events,
which are not discussed.
PMID- 21889721
TI - Overview of prostate anatomy, histology, and pathology.
AB - The human prostate is heterogeneous with regard to its embryologic origin. The
two most prevalent diseases of aging males, benign prostatic hyperplasia and
prostate cancer (PCa), arise from different zones within the prostate. The
biology of PCa is also heterogeneous and even within a single individual there
often exist prostate cancers with varying potential to progress and metastasize.
Through careful study of the histology and molecular signatures of both the human
and mouse-modeled disease, treatment decisions can be tailored to individual
cases so as to optimize efficacy and minimize side effects from therapy.
PMID- 21889722
TI - The critical role of androgens in prostate development.
AB - Androgens are involved in every aspect of prostate development, growth, and
function from early in male embryogenesis to prostatic hyperplasia in aging men
and dogs. Likewise, androgen deprivation at any phase of life causes a decrease
in prostate cell number and DNA content. The process by which the circulating
androgen testosterone is converted to dihydrotestosterone in the tissue and
dihydrotestosterone in turn gains access to the nucleus where it regulates gene
expression, largely via interaction with a receptor protein, is understood, but
the downstream control mechanisms by which hormonal signals are translated into
differentiation, growth, and function are being unraveled.
PMID- 21889724
TI - The timing and extent of androgen deprivation therapy for prostate cancer:
weighing the clinical evidence.
AB - Androgen deprivation therapy (ADT) is an effective means of palliating symptoms
of prostate cancer but is associated with significant toxicities that increase
with treatment duration. Primary ADT in men with localized disease provides no
survival advantage. Neoadjuvant ADT, when combined with external beam radiation,
improves survival for men with locally advanced disease. Immediate adjuvant
androgen deprivation does not seem to benefit most men undergoing radical
prostatectomy. No evidence supports combined androgen blockade or monotherapy
with nonsteroidal antiandrogens for locally advanced prostate cancer. ADT with
orchiectomy or gonadotropin-releasing hormone agonists or antagonists is standard
care for men with metastatic prostate cancer.
PMID- 21889726
TI - Androgens and prostate cancer bone metastases: effects on both the seed and the
soil.
AB - Androgens are essential for normal prostate development and are necessary, but
not sufficient, for the development of prostate cancer (PCa). Androgen
deprivation therapy has long been the mainstay of treatment for PCa bone
metastases, providing palliation of symptoms in the majority of patients,
followed by relapse and progression. The majority of published preclinical
studies demonstrate a stimulatory effect of androgens and androgen receptor
signaling on the multistep process of PCa bone metastases, including androgenic
promotion of local PCa growth, angiogenesis, invasion, bone targeting,
stimulation of PCa growth factors that enhance osteoclastogenesis, and
enhancement of Wnt signaling in osteoblasts.
PMID- 21889727
TI - Management of side effects of androgen deprivation therapy.
AB - Androgen deprivation therapy (ADT) is a major component of the contemporary
management of prostate cancer. ADT's use is increasing rapidly. The side effects
of this therapy include loss of bone mass and fractures, increase in fat mass,
and worsening of insulin resistance, the metabolic syndrome, diabetes and
cardiovascular risk, and anemia and loss of muscle. Neuropsychological and sexual
symptoms are common. The impact of these side effects is often overlooked or
underestimated in decisions about prostate cancer therapy. This review surveys
the data relating to the side effects of ADT and provides recommendations
regarding their minimization and management.
PMID- 21889728
TI - [Open letter to the members of the French Hand Surgery Society].
PMID- 21889725
TI - New hormonal therapies for castration-resistant prostate cancer.
AB - Continued activation of the androgen receptor (AR) axis despite castration
remains a critical force in the development of castration-resistant prostate
cancer (CRPC). Therapeutic strategies designed to more effectively ablate tumoral
androgen activity are required to improve clinical efficacy and prevent disease
progression. Tumor-based alterations in expression and activity of the AR and in
steroidogenic pathways mediating ligand generation facilitate the development of
CRPC. This article reviews AR and ligand-dependent mechanisms underlying CRPC
progression and the status of novel hormonal therapies targeting the AR axis that
are currently in clinical and preclinical development.
PMID- 21889729
TI - Reprint of: Theoretical and experimental basis of slow freezing.
AB - In human IVF, cryopreservation of oocytes has become an alternative to embryo
storage. It has also shown enormous potential for oocyte donation, fertility
preservation and animal biotechnology. Mouse oocytes have represented the
elective model to develop oocyte cryopreservation in the human and over several
decades their use has made possible the development of theoretical and empirical
approaches. Progress in vitrification has overshadowed slow freezing to such an
extent that it has been suggested that vitrification could soon become the
exclusive cryopreservation choice in human IVF. However, recent studies have
clearly indicated that human embryo slow freezing, a practice considered well
established for decades, can be significantly improved by a simple empirical
approach. Alternatively, recent and more advanced theoretical models can predict
oocyte responses to the diverse factors characterizing an entire slow-freezing
procedure, offering a global method for the improvement of current protocols.
This gives credit to the notion that oocyte slow freezing still has considerable
margins for improvement. In human IVF, cryopreservation of oocytes has become an
alternative to embryo storage. It has also shown enormous potential for oocyte
donation, fertility preservation and animal biotechnology. Mouse oocytes have
represented the elective model to develop oocyte cryopreservation in the human
and over several decades their use has made possible the development of
theoretical and empirical approaches. Progress in vitrification has overshadowed
slow freezing to such an extent that it has been suggested that vitrification
could soon become the exclusive cryopreservation choice in human IVF. However,
recent studies have clearly indicated that human embryo slow freezing, a practice
considered well established for decades, can be significantly improved by a
simple empirical approach. Alternatively, recent and more advanced theoretical
models can predict oocyte responses to the diverse factors characterizing an
entire slow freezing procedure, offering a global method for the improvement of
current protocols. This gives credit to the notion that oocyte slow freezing
still has considerable margins of improvement.
PMID- 21889723
TI - Estrogens and prostate cancer: etiology, mediators, prevention, and management.
AB - The mainstay targets for hormonal prostate cancer (PCa) therapies are based on
negating androgen action. Recent epidemiologic and experimental data have
pinpointed the key roles of estrogens in PCa development and progression. Racial
and geographic differences, as well as age-associated changes, in estrogen
synthesis and metabolism contribute significantly to the etiology. This article
summarizes how different estrogens/antiestrogens/estrogen mimics contribute to
prostate carcinogenesis, the roles of the different mediators of estrogen in the
process, and the potentials of new estrogenic/antiestrogenic compounds for
prevention and treatment of PCa.
PMID- 21889739
TI - Re: "ACR Appropriateness Criteria(r) on treatment of uterine leiomyomas".
PMID- 21889741
TI - Planning for the future through intersociety collaboration.
PMID- 21889742
TI - Reconciliation.
PMID- 21889743
TI - The opt-out option.
PMID- 21889744
TI - The virtues of democracy.
PMID- 21889745
TI - Accreditation and you.
PMID- 21889746
TI - ACR Appropriateness Criteria(r) on acute shoulder pain.
AB - The shoulder joint is a complex array of muscles, tendons, and capsuloligamentous
structures that has the greatest freedom of motion of any joint in the body.
Acute (<2 weeks) shoulder pain can be attributable to structures related to the
glenohumeral articulation and joint capsule, rotator cuff, acromioclavicular
joint, and scapula. The foundation for investigation of acute shoulder pain is
radiography. Magnetic resonance imaging is the procedure of choice for the
evaluation of occult fractures and the shoulder soft tissues. Ultrasound, with
appropriate local expertise, is an excellent evaluation of the rotator cuff, long
head of the biceps tendon, and interventional procedures. Fluoroscopy is an
excellent modality to guide interventional procedures. Computed tomography is an
excellent modality for characterizing complex shoulder fractures. Computed
tomographic arthrography or fluoroscopic arthrography may be alternatives in
patients for whom MR arthrography is contraindicated. A multimodal approach may
be required to accurately assess shoulder pathology. The ACR Appropriateness
Criteria((r)) are evidence-based guidelines for specific clinical conditions that
are reviewed every 2 years by a multidisciplinary expert panel. The guideline
development and review include an extensive analysis of current medical
literature from peer-reviewed journals and the application of a well-established
consensus methodology (modified Delphi) to rate the appropriateness of imaging
and treatment procedures by the panel. In those instances in which evidence is
lacking or not definitive, expert opinion may be used to recommend imaging or
treatment.
PMID- 21889747
TI - Medical imaging data reconciliation, part 1: innovation opportunity.
AB - The concept of data reconciliation has been well described in the industrial and
pharmaceutical literature and is designed to ensure the integrity, reliability,
and accuracy of sequential data in a multistep process. This concept can
similarly be applied to the delivery of medical imaging services, which begins
with order entry and ends with reporting and communication. The ultimate goal of
medical imaging data reconciliation is to ensure continuity of care, extending
across multiple service providers and imaging and information system
technologies. The longitudinal analysis of standardized data across the imaging
continuum presents an opportunity to improve communication between service
providers, education and training, workflow, and clinical outcomes. In addition,
the derived data can in turn be used to create data-driven best-practice
guidelines and computerized decision support tools.
PMID- 21889748
TI - The value-added services of hospital-based radiology groups.
AB - The authors discuss the ways in which a single, cohesive, on-site radiology group
adds value to both the processes of patient care and the success of the hospital.
The value-added services fall into 6 categories: (1) patient safety, (2) quality
of the images, (3) quality of the interpretations, (4) service to patients and
referring physicians, (5) cost containment, and (6) helping build the hospital's
business. If the hospital allows its radiology department to become fragmented by
the intrusion of other specialists or teleradiology companies in remote
locations, most of these added values would be lost, and chaos could ensue.
PMID- 21889749
TI - A survey of emergency physician-performed ultrasound: implications for academic
radiology departments.
AB - A survey of academic radiology departments shows that the prevalence of emergency
room physician-performed ultrasound examinations is high and that providing a
24/7 attending radiology service leads to no significant reduction in physician
activity.
PMID- 21889750
TI - Automatically inserted technical details improve radiology report accuracy.
AB - PURPOSE: To assess the effect of automatically inserted technical details on the
concordance of a radiology report header with the actual procedure performed.
METHODS AND MATERIALS: The study was IRB approved and informed consent was
waived. We obtained radiology report audit data from the hospital's compliance
office from the period of January 2005 through December 2009 spanning a total of
20 financial quarters. A "discordance percentage" was defined as the percentage
of total studies in which a procedure code change was made during auditing. Using
Chi-square analysis we compared discordance percentages between reports with
manually inserted technical details (MITD) and automatically inserted technical
details (AITD). The second quarter data of 2007 was not included in the analysis
as the switch from MITD to AITD occurred during this quarter. RESULTS: The
hospital's compliance office audited 9,110 studies from 2005-2009. Excluding the
564 studies in the second quarter of 2007, we analyzed a total of 8,546 studies,
3,948 with MITD and 4,598 with AITD. The discordance percentage in the MITD group
was 3.95% (156/3,948, range per quarter, 1.5- 6.1%). The AITD discordance
percentage was 1.37% (63/4,598, range per quarter, 0.0-2.6%). A Chi-square
analysis determined a statistically significant difference between the 2 groups
(P < 0.001). CONCLUSION: There was a statistically significant improvement in the
concordance of a radiology report header with the performed procedure using
automatically inserted technical details compared to manually inserted details.
PMID- 21889751
TI - Putting the heat back into radiology morbidity and mortality conferences.
AB - Morbidity and mortality (M&M) conferences run the gamut from heated adversarial
affairs seen in traditional surgery departments, to more passive versions often
seen in radiology departments. If done well, not only can M&M conferences have
great utility as a resident training tool, but they also can be a vehicle for
quality improvement and allow a first impression for how a complication might be
perceived in court. The legislature and courts have deemed candid peer review so
essential that such proceedings have been provided with a qualified privilege. To
maximize the benefit of M&M conferences, an emphasis on accountability and robust
debate, preconference preparation, broader faculty attendance, and an
understanding of the ground rules are necessary. M&M conferences also should be
solution oriented, and practice quality improvements and teaching modules should
regularly be generated from such conferences. An example of a potential revised
M&M conference is outlined.
PMID- 21889752
TI - Putting the light (not the heat) back into radiology morbidity and mortality
conferences.
PMID- 21889753
TI - Identifying benchmarks for discrepancy rates in preliminary interpretations
provided by radiology trainees at an academic institution.
AB - PURPOSE: At many academic medical centers, radiology house staff provide
preliminary interpretations for imaging studies after hours, the accuracy and
timely availability of which are crucial to patient care. Nevertheless, these
preliminary interpretations are sometimes discrepant with finalized attending
reports. The rate of such discrepancies can provide valuable information for
quality improvement. The aim of this study was to identify specific benchmarks
for resident discrepancy rates by reviewing all 73,072 on-call reports generated
at the authors' institution over 1 year. METHODS: A custom-built interface called
Orion was used to track all on-call reports generated in 2010. Reports graded as
discrepant with major changes during attending review were automatically
identified. The turnaround time (TAT) of all reports was measured. These data
were used to identify specific benchmarks for resident performance on call.
RESULTS: A total of 45,608 of 73,072 preliminary dictations (62%) were
interpreted by residents; of these, 407 (0.89%) had major discrepancies. The
major discrepancy rates varied among individual residents (0.2% to 1.8%),
modalities, and level of resident training. On the basis of distributions, major
discrepancy benchmarks were established for overall rate (1.7%) and for the
modalities of conventional radiography (1.5%), CT (4.0%), and ultrasound (4.0%).
The mean TAT was significantly shorter for the emergency department (46 minutes)
than for inpatient services (144 minutes). A benchmark TAT of 1 hour has been
adopted for all imaging studies performed through the emergency department.
CONCLUSIONS: Identifying benchmarks for major discrepancy rates and TAT of
preliminary interpretations by radiology trainees is a valuable first step for
individual and departmental quality improvement.
PMID- 21889754
TI - Enhancing same-day access to magnetic resonance imaging.
AB - PURPOSE: The aim of this study was to provide better patient access to MRI within
24 hours through process improvement. METHODS: The MRI process in an academic
radiology department was examined. Customer value was determined using a Kano
questionnaire. Current state process and performance data were measured. Process
time and process value mapping were conducted. Underlying root causes that
hampered MRI process flow were identified and prioritized using a fishbone
diagram and failure mode and effect analysis. Statistical analysis, queuing
theory, and statistical process control were used to describe and understand
process behaviors, to test hypotheses, to validate solutions, and to monitor
results. RESULTS: Timely access to MRI examinations within 24 hours was
identified as a key customer value. A total of 33 failure modes leading to
process wastes, together with 113 potential failure causes, were worked out, of
which 14 failure modes were prioritized and dealt with. Having improved the
process, patient access within 24 hours increased from 53% to >90%. The mean
cycle time was reduced from 52 to 39 minutes. The monthly throughput increased by
38%. Scanner productivity was increased by 32%. Revenue and savings are estimated
to be about ?247,000 in the first year, after subtracting all costs. CONCLUSIONS:
Patient access to MRI within 24 hours has been granted for >90% of requests.
Improved productivity and cost saving are achieved simultaneously.
PMID- 21889755
TI - Meaningful use in radiology.
AB - With an estimated $1.5 billion in potential stimulus bonus payments for
radiologist professionals at stake, and penalties looming farther down the road,
radiologists would be wise to study and respond to recent federal regulations
related to meaningful use of complete certified ambulatory electronic health
records and their equivalents. Many radiologists mistakenly believe that they
were "left out" of the meaningful use rewards or that compliance is technically
impractical. With diligent preparation, including the adoption of new technology
and workflows, the vast majority of radiologists can qualify before October 2012
to capture the full available rewards and avoid later penalties.
PMID- 21889756
TI - Accountable care organizations: before you jump in....
PMID- 21889757
TI - Pointers for optimizing radiation dose in chest CT protocols.
PMID- 21889758
TI - Investing in radiology's future: ongoing efforts by the Minnesota Radiological
Society to promote resident participation and leadership.
PMID- 21889759
TI - Richard Hall Chamberlain.
PMID- 21889760
TI - [Restricted intrauterine growth: a problem of definition or content?].
PMID- 21889761
TI - Comparison of frequencies of patent foramen ovale and thoracic aortic
atherosclerosis in patients with cryptogenic ischemic stroke undergoing
transesophageal echocardiography.
AB - Studies have shown an association between the presence of a patent foramen ovale
(PFO) and cryptogenic stroke (CS) in patients aged <55 years. In addition,
protruding atheromatous plaques in the ascending aorta and aortic arch are an
independent risk factor for ischemic stroke in patients aged >=55 years. The aim
of this study was to determine the association of CS in the 2 age groups with PFO
and with atheromatous plaques in the ascending aorta and in the aortic arch.
Transesophageal echocardiograms in 229 patients evaluated for CS were compared to
those in 314 patients evaluated for cardiac disease other than PFO with no
histories of stroke (the control group). The prevalence of PFO and the presence
of complex atheromatous plaques in the ascending aorta and aortic arch were
determined in all patients and compared between the CS and control patients in
the 2 age groups. The prevalence of PFO was significantly higher in patients with
CS than in control patients among those aged <55 years (31 of 114 [27%] vs 24 of
171 [14%], p = 0.006) and those aged >=55 years (28 of 115 [24%] vs 21 of 143
[15%], p = 0.049). The incidence of atrial septal aneurysm was similar in the 2
groups irrespective of patient age, as was the prevalence of complex atheromatous
plaques. Multivariate analysis showed that PFO was independently associated with
CS, irrespective of patient age (<55 years: odds ratio 2.4, 95% confidence
interval 1.3 to 4.5, p = 0.01; >=55 years: odds ratio 1.9, 95% confidence
interval 1.1 to 3.5, p = 0.03). In conclusion, PFO was significantly associated
with CS in younger (aged <55 years) and older (aged >=55 years) patients. Atrial
septal aneurysm and complex atheromas in the ascending aorta and aortic arch do
not appear to be associated with CS.
PMID- 21889762
TI - Cervical carcinomas overexpress human trophoblast cell-surface marker (Trop-2)
and are highly sensitive to immunotherapy with hRS7, a humanized monoclonal anti
Trop-2 antibody.
AB - OBJECTIVE: We evaluated the expression of human trophoblast cell-surface marker
(Trop-2) and the potential of hRS7, a humanized monoclonal anti-Trop-2 antibody,
against treatment-refractory cervical cancer. STUDY DESIGN: Trop-2 expression was
evaluated by immunohistochemistry, real-time polymerase chain reaction, and flow
cytometry. Sensitivity to hRS7 antibody-dependent cell-mediated cytotoxicity
(ADCC) and complement-dependent cytotoxicity was tested in 5-hour chromium
release assays. The effect of interleukin (IL)-2 on hRS7 ADCC was also
investigated. RESULTS: Membrane Trop-2 expression was observed in 8 of 8 (100%)
of the cancer samples tested by immunohistochemistry, but not in normal cervix.
High messenger RNA expression by real-time polymerase chain reaction and high
Trop-2 surface expression by flow cytometry were detected in 80% of cervical
cancers (4 of 5 cell lines). Although these tumors were resistant to natural
killer cell-dependent cytotoxicity in vitro (mean killing, 6.0%), Trop-2-positive
cell lines showed high sensitivity to hRS7 ADCC (range of killing, 30.6-73.2%).
Incubation with IL-2 further increased the level of cytotoxicity against Trop-2
positive tumors. CONCLUSION: hRS7 may represent a novel treatment option for
patients with cervical cancer refractory to conventional treatment modalities.
PMID- 21889763
TI - Anatomic relationships of the pudendal nerve branches.
AB - OBJECTIVE: We sought to characterize the distribution of the pudendal nerve
branches and to correlate findings with injury risk related to common midurethral
sling procedures. STUDY DESIGN: Dissections were performed in 18 female cadavers.
Biopsies were obtained to confirm gross findings by histology. RESULTS: In all
dissections, most of the clitoral and perineal nerves coursed caudal to the
ventral portion of the perineal membrane. The inferior rectal nerve did not enter
the pudendal canal in 44% (n = 8) of specimens. Nerve tissue was confirmed
histologically in tissue sampled. CONCLUSION: The clitoral and perineal branches
of the pudendal nerve should be at low risk of direct nerve injury during
midurethral slings and similar procedures as they course caudal to the ventral
portion of the perineal membrane. The inferior rectal nerve might be at risk of
injury during procedures that involve passage of needles through the ischioanal
fossa.
PMID- 21889764
TI - Mean, lowest, and highest pulsatility index of the uterine artery and adverse
pregnancy outcome in twin pregnancies.
AB - OBJECTIVE: The objective of the study was to assess the use of mean, lowest, and
highest pulsatility index (PI) of the uterine arteries to screen for adverse
pregnany outcome in twin pregnancies. STUDY DESIGN: This was a screening study of
423 twin pregnancies. Relationship between PI at 20-22 weeks and adverse
pregnancy outcome was evaluated. RESULTS: Mean, lowest, and highest PI above the
95th centile were significant risk factors for preeclampsia and adverse pregnancy
outcome in monochorionic and dichorionic twins. We calculated a sensitivity for
preeclampsia for mean, highest, and lowest PI of 35%, 29%, and 27%, respectively.
CONCLUSION: Increased mean, lowest, and highest PI is associated with a higher
risk of preeclampsia and adverse pregnancy outcome in twins. We observed the
highest sensitivity and specificity by using highest PI. The high incidence of
preeclampsia in twins makes it attractive to use the PI of the uterine artery for
risk stratification in twins.
PMID- 21889765
TI - Risks of stress urinary incontinence and pelvic organ prolapse surgery in
relation to mode of delivery.
PMID- 21889766
TI - Use of expiratory CT pulmonary angiography to reduce inspiration and breath-hold
associated artefact: contrast dynamics and implications for scan protocol.
AB - AIM: To investigate the effects of scanning in expiration during computed
tomography pulmonary angiography (CTPA). MATERIALS AND METHODS: One hundred and
eighty-one consecutive expiratory CTPA examinations were compared with 145
inspiratory CTPA examinations performed using a standardized protocol through
assessment of attenuation seen in the cardiac chambers, pulmonary artery (PA),
and ascending aorta. RESULTS: Expiratory scans showed greater attenuation at the
pulmonary trunk, right PA, left PA, lobar and segmental PAs (p<0.05). Expiratory
scans showed a lower incidence of transient contrast medium interruption
(p<0.001) and generalized unsatisfactory PA opacification (p<0.05). Scans with
generalized low PA attenuation had lower attenuation in the right ventricle, left
heart, and ascending aorta (p<0.001) suggesting that contrast medium delivery or
dilution prior to contrast medium entry into the PA is responsible. Expiratory
scans showed lower quality scores (p<0.001) for depiction of lung parenchyma.
CONCLUSION: Expiratory scanning could be used as an optimal protocol for
dedicated PA imaging. However, it suffers from inferior parenchymal imaging and
should probably be reserved for failed inspiratory breath-hold CTPA.
PMID- 21889767
TI - Development of an automatic subsea blowout preventer stack control system using
PLC based SCADA.
AB - An extremely reliable remote control system for subsea blowout preventer stack is
developed based on the off-the-shelf triple modular redundancy system. To meet a
high reliability requirement, various redundancy techniques such as controller
redundancy, bus redundancy and network redundancy are used to design the system
hardware architecture. The control logic, human-machine interface graphical
design and redundant databases are developed by using the off-the-shelf software.
A series of experiments were performed in laboratory to test the subsea blowout
preventer stack control system. The results showed that the tested subsea blowout
preventer functions could be executed successfully. For the faults of
programmable logic controllers, discrete input groups and analog input groups,
the control system could give correct alarms in the human-machine interface.
PMID- 21889768
TI - D-dimer testing versus multislice computed tomography in the diagnosis of
postpartum pulmonary embolism in symptomatic high-risk women.
PMID- 21889770
TI - Increased resting heart rate and greater progression of subclinical coronary
atherosclerosis: another bad fact about fast hearts? Commentary on the study of
Rubin et al.
PMID- 21889769
TI - Variants in the APOA5 gene region and the response to combination therapy with
statins and fenofibric acid in a randomized clinical trial of individuals with
mixed dyslipidemia.
AB - OBJECTIVE: Atherogenic dyslipidemia is highly associated with coronary heart
disease and is characterized by elevated triglycerides (TG), low high-density
lipoprotein cholesterol (HDL-C), and elevated low-density lipoprotein cholesterol
(LDL-C). The combination of statins and fibrates is a common modality to treat
individuals with atherogenic dyslipidemia. We sought to identify single
nucleotide polymorphisms (SNPs) associated with HDL-C, TG, and apolipoprotein A1
(ApoA-I) response to combination therapy with statins and fenofibric acid (FA) in
individuals with atherogenic dyslipidemia. METHODS: 2228 individuals with mixed
dyslipidemia who were participating in a multicenter, randomized, double-blind,
active-controlled study comparing FA alone, in combination with a statin, or
statin alone for a 12-week period, were genotyped for 304 candidate SNPs. A
multivariate linear regression analysis for percent change in HDL-C, ApoA-I and
TG levels was performed. RESULTS: SNPs in the apolipoprotein (APO) A5-ZNF259
region rs3741298 (P = 1.8 * 10(-7)), rs964184 (P = 3.6 * 10(-6)), rs651821 (P =
4.5 * 10(-5)), and rs10750097 (P = 1 * 10(-4)), were significantly associated
with HDL-C response to combination therapy with statins and FA, with a similar
association identified for ApoA-I. A haplotype composed of the minor alleles of
SNPs rs3741298, rs964184, and rs10750097, was associated with a positive response
to statins and FA (P = 8.7 * 10(-7)) and had a frequency of 18% in the study
population. CONCLUSION: In a population with atherogenic dyslipidemia, common
SNPs and haplotypes within the APOA5-ZNF259 region are highly associated with HDL
C and ApoA-I response to combination therapy with statins and FA.
PMID- 21889771
TI - Lack of association between IL6 single nucleotide polymorphisms and
cardiovascular disease in Spanish patients with rheumatoid arthritis.
AB - INTRODUCTION: Rheumatoid arthritis (RA) is a complex polygenic inflammatory
disease associated with accelerated atherosclerosis. IL-6 is a key mediator of
inflammation in RA. A recent study showed an association between IL6-174 G/C gene
polymorphism and cardiovascular (CV) disease in UK individuals with RA. To
confirm this association we assessed the influence of three IL6 gene
polymorphisms in the risk of CV disease in a large series of patients with RA.
MATERIAL AND METHODS: We studied 1250 Spanish patients with RA. Besides
genotyping the traditional single nucleotide polymorphism (SNP) promoter -174G/C
(rs1800795), we assessed another two SNPs (rs2069827 and rs2069840) located in
the IL6 gene that were selected by SNP-tagging. RESULTS: Two-hundred and twenty
(17.6%) of the 1250 patients experienced CV events. No significant differences in
the genotype, allele and haplotype frequencies between RA patients with and
without CV events were observed. CONCLUSION: Our results do not confirm in a
Spanish population the association of IL6 gene with CV disease in RA previously
reported in the UK.
PMID- 21889772
TI - An analysis of the frame-content theory in babble of 9-month-old babies with
cleft lip and palate.
AB - The aim of this study was to examine the consonant-vowel co-occurrence patterns
predicted by the Frame-Content theory in 16 nine-month-old babies with unrepaired
cleft palate (+/-cleft lip) and 16 age-matched non-cleft babies. Babble from
these babies was phonetically transcribed and grouped according to the
intrasyllabic predictions of the theory (labial-central, alveolar-front, and
velar-back). Both groups demonstrated the three consonant-vowel co-occurrence
patterns predicted by the Frame-Content theory. Other patterns not predicted by
the Frame-Content theory emerged as strong patterns as well. LEARNING OUTCOMES:
The reader will be able to: * Describe consonant-vowel co-occurrence patterns
produced by babies with and without cleft palate. * Describe vowel inventories of
babies with cleft palate. * Identify possible therapy targets for babies with
cleft palate.
PMID- 21889773
TI - Intentional communication in nonverbal and verbal low-functioning children with
autism.
AB - In this study we characterized profiles of communicative functions and forms of
children with autism and intellectual disability (n=26), as compared to typically
developing children (n=26) with a comparable nonverbal mental age (2-5 years).
Videotapes of the Communication and Symbolic Behavior Scales - Developmental
Profile were analyzed using a standardized observation scheme in which three main
functions were distinguished: behavior regulation, social interaction, and joint
attention. Different forms of communication were also investigated: gestures,
vocalizations/verbalizations, and eye gaze. Results indicated that in typically
developing children the proportion of communication for the purpose of joint
attention was much higher than for behavior regulation, whereas in children with
autism the opposite pattern was seen. Low-functioning nonverbal children with
autism mainly communicated for behavior regulation and not or only rarely for
declarative purposes. Generally, this subgroup used the least complex forms to
communicate. Low-functioning verbal children with autism differed from typically
developing children only in the rate, not in the proportion of communication for
specific functions. Combinations of three different communicative forms were used
by verbal children with autism less frequently than by typically developing
children. LEARNING OUTCOMES: After reading this paper, readers should be able to:
(1) describe early development of communicative functions, (2) explain
differences in communication profiles with respect to form and function between
verbal and nonverbal low-functioning children with autism and typically
developing children matched on nonverbal mental age and (3) discuss clinical
implications of the findings for communication interventions in verbal and
nonverbal low-functioning children with autism.
PMID- 21889774
TI - Feasibility of group voice therapy for individuals with Parkinson's disease.
AB - PURPOSE: The primary purpose was to demonstrate the feasibility of executing
treatment tasks focused on increasing loudness in a group format for individuals
with Parkinson's disease (PD). A second purpose was to report preliminary pre-to
post treatment outcomes for individuals with PD immediately after they complete
the group program. METHODS: The group intervention is described. Fifteen adults
with PD who participated in the group and three clinicians leading the group
provided feedback about the execution of the intervention. The participants also
provided voice samples and self-ratings of voice handicap once before completing
the 8-week voice group and once immediately after completing the voice group.
Outcome measures included voice intensity, fundamental frequency (F0) mean,
standard deviation and range, maximum phonation time, and listener judgment of
loudness. RESULTS: Feedback from the clinicians suggested that many, but not all,
of the voice activities could be executed within a group setting. Participants
with PD indicated they understood the focus of the group and that subjectively
they felt the group was helpful for increasing loudness. Statistically
significant increases occurred for voice intensity, F0 maximum, and F0 range.
Voice handicap scores decreased significantly and 80% of the participants were
judged louder post intervention. CONCLUSIONS: Clinician and participant feedback
indicated that it was feasible to execute most LSVT((r)) tasks in a group format
with some modifications. The preliminary outcome data indicate that the targeted
behavior (voice dB and loudness) did change in the predicted direction as did
several other measures. Future studies comparing outcomes of group intervention
to the gold standard LSVT((r)), and exploring retention of treatment gains over
time, are needed. LEARNING OUTCOMES: After reading the manuscript, readers will
be able to: (1) Describe previous attempts at group intervention to improve voice
for individuals with Parkinson's disease. (2) List three ways that the group
intervention tried in this study differed from LSVT((r)). (3) Identify three
limitations to this study that must be addressed before advocating implementation
of the group approach in clinical situations.
PMID- 21889775
TI - Lignans as food constituents with estrogen and antiestrogen activity.
AB - Phytoestrogens are plant-derived food ingredients assumed to contribute to the
prevention of hormone-dependent cancers, osteoporosis, cardiovascular disease,
and menopausal symptoms. Lignans occur in numerous food plants and various
structures; they are common constituents of human diet, and estrogen activity has
been assessed for lignan metabolites formed in the mammalian intestine. We
examined natural lignans and semisynthetic norlignans for estrogen and
antiestrogen activity. A transformed yeast strain (Saccharomyces cerevisiae)
expressing the estrogen receptor alpha and a reporter system was applied as test
system. Some plant lignans showed estrogen activity while others and the
semisynthetic norlignans were moderately active antiestrogens. Docking of lignans
to protein models of estrogen receptor alpha in the active and inactive form
sustained the results of the yeast estrogen assay and supported the concept of
plant lignans as phytoestrogens.
PMID- 21889776
TI - Outcome of depression in primary care: better than expected.
PMID- 21889777
TI - Histomolecular classification of adult diffuse gliomas: the diagnostic value of
immunohistochemical markers.
AB - Adult gliomas are most often infiltrative. The World Health Organization (WHO)
has classed them into three major groups according to the presomptive cell of
origin: astrocytoma, oligodendroglioma and mixed oligoastrocytoma. Depending on
the presence or absence of a small number of signs of anaplasia (mitosis, nuclear
atypia, cell density, microvascular proliferation and necrosis) the WHO
distinguishes grade II (LGG), III (anaplastic), and IV (glioblastomas, GBM).
Mutation in the isocitrate deshydrogenase I and II (IDH1 and 2) genes
distinguishes grade II, III and secondary GBM from primary GBM. Moreover two
additional genetic alterations are recorded in grade II and III gliomas: TP53
mutations that characterize astrocytomas and 1p19q codeletion (as the result of
t(1;19)(q10;p10) translocation) recorded in oligodendrogliomas. Mixed gliomas,
the most non-reproducible category, share with astrocytomas and
oligodendrogliomas the same genetic alterations. Interestingly TP53 mutation
(p53+) and 1p19q codeletion (1p19q+) are mutually exclusive and involve IDH
mutated (IDH+) glial precursor cells. According to IDH, TP53, and 1p19q status,
four major subtypes of LGG are recorded: IDH+/p53-/1p19q-, IDH+/p53+/1p19q-,
IDH+/p53-/1p19q+ and triple negative, this last subgroup having the worst
prognosis. Interestingly, p53 expression and internexin alpha (INA) expression
can replace to some extent TP53 mutation and 1p19 codeletion, respectively.
Moreover the antibody directed against the IDH1R132H isoform is highly specific.
Because this mutation is the most frequent it is sufficient to assess IDH status
in more than 80% of grade II and III gliomas. Taken together these three
immunohistochemical markers are contribute greatly to the classification of
gliomas and should be tested routinely as diagnostic markers. Finally, although
GBM are genetically heterogeneous, the vast majority display EGFR amplification,
often associated with EGFR expression, which can be helpful for diagnosis in
certain cases.
PMID- 21889778
TI - Physiopathology of radiation-induced neurotoxicity.
AB - Ionizing irradiation for the treatment of malignant brain tumors has associated
with it a risk of inducing serious morphologic and functional deficits. While
obvious tissue damage generally occurs after relatively high radiation doses,
cognitive impairment can be seen after lower exposures. The mechanisms
responsible for cognitive injury are not well understood, but may involve
neurogenesis, a process that is affected by microenvironmental factors including
oxidative stress and inflammation. In addition, damage to neurons, either
directly or through environmental influences may have a profound impact on
cognition. The relationships between cellular response, environmental factors and
behavior are complex and difficult to study. However, understanding such issues
should provide critical information relevant to the development of strategies and
approaches to ameliorate or treat radiation-induced injuries that are associated
with behavioral performance.
PMID- 21889779
TI - [Anti-angiogenic strategies in glioblastoma].
AB - INTRODUCTION: Glioblastoma, a highly angiogenic tumor, has poor prognosis despite
aggressive conventional therapies combining surgery, chemotherapy and
radiotherapy. Anti-angiogenic strategies that have recently come to the clinic,
are the most promising therapeutic approaches for these tumors. STATE OF ART:
Tumor hypoxia is the main trigger of angiogenesis processes driven primarily by
vascular endothelial growth factor (VEGF). Clinical data indicate that inhibitors
of VEGF such as bevacizumab or VEGF receptors such as tyrosine kinase inhibitors
are of potential interest in the treatment of recurrent glioblastoma, with an
acceptable toxicity. However, despite high rate of initial radiological response
and rapid clinical improvement in about half of patients, therapeutic failure is
the rule. Mechanisms of resistance remain poorly understood but an invasive
phenotype and alternative angiogenesis factors may contribute to tumor escape.
CONCLUSIONS AND PERSPECTIVES: Anti-angiogenic strategies already play an
important role in the management of recurrent glioblastoma. However, optimal
combination and schedules of angiogenic inhibitors with radiotherapy and
chemotherapy remain to be established. Important randomized clinical trials
currently investigate therapeutic combinations for newly diagnosed glioblastoma.
PMID- 21889780
TI - [OMICS and biomarkers of glial tumors].
AB - INTRODUCTION: OMICS is the term used to designate new biological sciences
investigating a large group of molecules in biological samples. For instance,
genomics and transcriptomics study changes in genome and transcription expression
respectively. Numerous others OMICS are emerging (e.g. epigen-, prote-, metabol-,
lipid-, glucid-OMICS). Support from bioinformatics and biostatistics, together
with new molecular biology technologies for screening these large molecular
groups (i.e. high-throughput biological arrays), has led to the development of
these scientific fields. They help to draw relevant molecular identity cards of
tumors. STATE OF THE ART: Glial tumors form a heterogeneous morphological and
clinical tumor group including astrocytomas (from grade I to IV),
oligodendrogliomas and oligoastrocytomas (grades II and III). OMICS has enabled a
better understanding of clinical and biological behavior of these tumors
identifying new molecular abnormalities and relevant biomarkers (i.e. diagnostic,
prognostic, predictive of response to treatments and predisposing to gliomas).
BRAF abnormalities are diagnostic markers in pilocytic astrocytomas and
pleomorphic xanthoastrocytomas (duplication with rearrangement and V600E
mutation, respectively). Translocation (1;19)(q10;p10) is associated with
oligodendroglial phenotype and better prognosis in gliomas. MGMT promoter
methylation is predictive of response to chemotherapy in grade IV astrocytomas
(GBM). In GBM, high-throughput studies have discovered: genetic and genomic
disruption of tyrosine kinase receptors, TP53 and RB signaling pathways in the
vast majority of cases; several transcriptomic (e.g. neural, proneural, classic
and mesenchymal), epigenomic (e.g. CpG Island Methylator phenotype versus non
methylator phenotype) and proteomic (e.g. EGFR, PDGFR and NF1) patterns with
biological and/or clinical impacts. Finally, OMICS have identified recurrent
IDH1/IDH2 mutations with prognostic significance in glial tumors and five single
nucleotide polymorphisms associated with susceptibility to gliomas (e.g. TERT,
CCDC26, PHLDB1, RTEL1 and CDKN2A/CDKN2B). These latter data combined with already
known inherited cancer syndromes (i.e. Turcot type 1, Cowden, melanoma
astrocytoma, Li-Fraumeni, tuberous sclerosis complex, type I and II
neurofibromatosis) improve our knowledge of genetic predisposition to gliomas.
PERSPECTIVES: Data generated by OMICS are huge, multidimensional and promising.
Bioinformatics and biostatistics will allow their integration (integromics)
toward a precise dissection of their clinical of biological significance in neuro
oncology. CONCLUSIONS: OMICS have a growing impact in neuro-oncology improving
basic research in brain tumors and clinical management of patients through the
discovery of biomarkers.
PMID- 21889781
TI - Associations among pathogenic bacteria, parasites, and environmental and land use
factors in multiple mixed-use watersheds.
AB - Over a five year period (2004-08), 1171 surface water samples were collected from
up to 24 sampling locations representing a wide range of stream orders, in a
river basin in eastern Ontario, Canada. Water was analyzed for Cryptosporidium
oocysts and Giardia cyst densities, the presence of Salmonella enterica
subspecies enterica, Campylobacter spp., Listeria monocytogenes, and Escherichia
coli O157:H7. The study objective was to explore associations among pathogen
densities/occurrence and objectively defined land use, weather, hydrologic, and
water quality variables using CART (Classification and Regression Tree) and
binary logistical regression techniques. E. coli O157:H7 detections were
infrequent, but detections were related to upstream livestock pasture density;
20% of the detections were located where cattle have access to the watercourses.
The ratio of detections:non-detections for Campylobacter spp. was relatively
higher (>1) when mean air temperatures were 6% below mean study period
temperature values (relatively cooler periods). Cooler water temperatures, which
can promote bacteria survival and represent times when land applications of
manure typically occur (spring and fall), may have promoted increased frequency
of Campylobacter spp. Fifty-nine percent of all Salmonella spp. detections
occurred when river discharge on a branch of the river system of Shreve stream
order = 9550 was >83 percentile. Hydrological events that promote off farm/off
field/in stream transport must manifest themselves in order for detection of
Salmonella spp. to occur in surface water in this region. Fifty seven percent of
L. monocytogenes detections occurred in spring, relative to other seasons. It was
speculated that a combination of winter livestock housing, silage feeding during
winter, and spring application of manure that accrued during winter, contributed
to elevated occurrences of this pathogen in spring. Cryptosporidium and Giardia
oocyst and cyst densities were, overall, positively associated with surface water
discharge, and negatively associated with air/water temperature during spring
summer-fall. Yet, some of the highest Cryptosporidium oocyst densities were
associated with low discharge conditions on smaller order streams, suggesting
wildlife as a contributing fecal source. Fifty six percent of all detections of
>= 2 bacteria pathogens (including Campylobacter spp., Salmonella spp., and E.
coli O157:H7) in water was associated with lower water temperatures (<~ 14
degrees C; primarily spring and fall) and when total rainfall the week prior to
sampling was >~ 27 mm (62 percentile). During higher water temperatures (>~ 14
degrees C), a higher amount of weekly rainfall was necessary to promote detection
of >= 2 pathogens (primarily summer; weekly rainfall ~>42 mm (>77 percentile);
15% of all >= 2 detections). Less rainfall may have been necessary to mobilize
pathogens from adjacent land, and/or in stream sediments, during cooler water
conditions; as these are times when manures are applied to fields in the area,
and soil water contents and water table depths are relatively higher. Season,
stream order, turbidity, mean daily temperature, surface water discharge,
cropland coverage, and nearest upstream distance to a barn and pasture were
variables that were relatively strong and recurrent with regard to discriminating
pathogen presence and absence, and parasite densities in surface water in the
region.
PMID- 21889782
TI - Impacts of China's Three Gorges Dam Project on net primary productivity in the
reservoir area.
AB - China's Three Gorges Dam Project (TGP) is the world's largest hydroelectric power
project, and as a consequence the reservoir area is at risk of ecological
degradation. This study uses net primary productivity (NPP) as an important
indicator of the reservoir ecosystem's productivity to estimate the impacts of
the TGP in the local resettlement region of the Three Gorges Reservoir Area
(TGRA) over the 2000-2010 period. The modeling method is based upon the Carnegie
Ames Stanford Approach (CASA) terrestrial carbon model and uses Moderate
Resolution Imaging Spectroradiometer (MODIS) remote sensing data for modeling
simulation. The results demonstrate that total NPP in the resettlement region
decreased by 8.0% (632.8Gg) from 2000 to 2010. The impact of the TGP on NPP is
mainly mediated by land-use change brought about by the large-scale inundation of
land and subsequent massive resettlement of both rural and urban residents.
Nearby resettlement, land inundation, and relocation of old urban centers and
affiliated urban dwellers are responsible for 54.3%, 28.0%, and 5.8% respectively
of total NPP reduction in the resettlement region over the study period. The
major national ecological projects implemented in the TGRA since 1998 have played
a key role in offsetting the negative impacts of the TGP on NPP in the region.
PMID- 21889783
TI - Removal of airborne nanoparticles by membrane coated filters.
AB - The increasing amount of nanoparticles with the development of nanotechnology
gives rise to concerns about potential negative impact on the environment and
health hazards posed to humans. Membrane filter is an effective media to control
nanoparticles. Three filters coated with polytetrafluoroethylene (PTFE) membrane
were investigated in this study. A series of experiments on the filter efficiency
and relevant parameters such as the particle size and face velocity were carried
out. The data show that the efficiency curves for the membrane filters
demonstrate the typical shape of "v" for particle sizes from 10 to 300nm at face
velocities from 0.3 to 15cm/s. Membrane filters with larger pore sizes have
larger Most Penetrating Particles Sizes (MPPS), and the MPPS decreases with
increasing face velocity. The efficiencies decrease with increasing face velocity
for particle sizes from 10 to 300nm. We present the filtration efficiency data as
a novel three-dimensional graph to illustrate its dependence on the particle size
and face velocity. The membrane coated filter can be considered as two combined
layers, one fibrous layer and one membrane layer. We develop a new filtration
efficiency model which is a combination of the models for the two layers. Results
from the model calculation agree with experimental data well. The study can help
to optimize the filter product and to determine the operational parameters of
filters, thus contributing to reduction of air pollution by rapidly emerging
nanoparticles.
PMID- 21889784
TI - Soot-driven reactive oxygen species formation from incense burning.
AB - This study investigated the effects of reactive oxygen species (ROS) generated as
a function of the physicochemistry of incense particulate matter (IPM), diesel
exhaust particles (DEP) and carbon black (CB). Microscopical and elemental
analyses were used to determine particle morphology and inorganic compounds. ROS
was determined using the reactive dye, Dichlorodihydrofluorescin (DCFH), and the
Plasmid Scission Assay (PSA), which determine DNA damage. Two common types of
soot were observed within IPM, including nano-soot and micro-soot, whereas DEP
and CB mainly consisted of nano-soot. These PM were capable of causing oxidative
stress in a dose-dependent manner, especially IPM and DEP. A dose of IPM (36.6
102.3MUg/ml) was capable of causing 50% oxidative DNA damage. ROS formation was
positively correlated to smaller nano-soot aggregates and bulk metallic
compounds, particularly Cu. These observations have important implications for
respiratory health given that inflammation has been recognised as an important
factor in the development of lung injury/diseases by oxidative stress. This study
supports the view that ROS formation by combustion-derived PM is related to PM
physicochemistry, and also provides new data for IPM.
PMID- 21889785
TI - Size distribution and source apportionment of polycyclic aromatic hydrocarbons
(PAHs) in aerosol particle samples from the atmospheric environment of Delhi,
India.
AB - Ambient aerosol particles were collected using a five-stage impactor at six
different sites in Delhi. The impactor segregates the TSPM into five different
sizes (viz. >10.9, 10.9-5.4, 5.4-1.6, 1.6-0.7, and <0.7MUm). Samples collected
were chemically analyzed for all the five size ranges, for the estimation of 16
different PAHs. The particle size distribution of PAHs was observed to be
unimodal in nature with the highest peak towards the smallest size aerosol
particle (<0.7MUm). The five size ranges were categorized into two broad
categories viz. coarse (>10.9+10.9 to 5.4+5.4 to 1.6MUm) and fine (1.6 to
0.7+<0.7MUm). It was observed that the dominant PAHs found were pyrene,
benzo(a)pyrene, benzo(ghi)perylene and benzo(b)fluoranthene for both the coarse
and fine fractions. Source apportionment of polycyclic aromatic hydrocarbons
(PAHs) has been carried out using principal component analysis method (PCA) in
both coarse and fine size modes. The major sources identified in this study,
responsible for the elevated concentration of PAHs in Delhi, are vehicular
emission and coal combustion. Some contribution from biomass burning was also
observed.
PMID- 21889786
TI - Outdoor, but not indoor, nitrogen dioxide exposure is associated with persistent
cough during the first year of life.
AB - BACKGROUND AND AIMS: Because their lungs and immune system are not completely
developed, children are more susceptible to respiratory disease and more
vulnerable to ambient pollution. We assessed the relation between prenatal and
postnatal nitrogen dioxide (NO(2)) levels and the development of lower
respiratory tract infections (LRTI), wheezing and persistent cough during the
first year of life. METHODS: The study population consisted of 352 children from
a birth cohort in Valencia, Spain. Prenatal exposure to NO(2), a marker of
traffic related air pollution was measured at 93 sampling sites spread over the
study area during four different sampling periods of 7 days each. It was modeled
for each residential address through land use regression using the empirical
measurements and data from geographic information systems. Postnatal exposure was
measured once inside and outside each home using passive samplers for a period of
14 days. Outcomes studied were any episode of LRTI during the child's first year
of life diagnosed by a doctor (bronchitis, bronchiolitis or pneumonia), wheezing
(defined as whistling sounds coming from the chest), and persistent cough (more
than three consecutive weeks). Outcomes and potential confounders were obtained
from structured questionnaires. Multiple logistic regression was used to identify
associations. RESULTS: The cumulative incidence (CI) at first year of life was
30.4% for LRTI (23.0% bronchiolitis, 11.9% bronchitis and 1.4% pneumonia), 26.1%
for wheezing and 6.3% for persistent cough. The adjusted odds ratio (95%
confidence interval) per 10MUg/m(3) increment in postnatal outdoor NO(2)
concentration was 1.40 (1.02-1.92) for persistent cough. We also found some
pattern of association with LRTI, bronchiolitis, bronchitis, wheezing and
persistent cough in different prenatal periods, although it was not statistically
significant. CONCLUSIONS: Our results indicate that exposure to outdoor, but not
indoor, NO(2) during the first year of life increases the risk of persistent
cough.
PMID- 21889787
TI - The new era of the livestock production in Mongolia: Consequences on streams of
the Great Lakes Depression.
AB - Mongolia, a landlocked country of the Central Asian plateau, is experiencing a
significant modification of herding practices coupled with an increase in
livestock numbers. These modifications lead to increasing impacts of grazing on
the Mongolian steppes with major consequences on the waterbodies. We researched
the impacts of grazing intensity on the streams of the Great Lakes Depression in
northwestern Mongolia. We assessed the level of watershed and stream bank erosion
and the type of vegetation structure. We calculated the livestock densities per
watershed and linked them to the stream water discharge through a new metric
(I(CU)). I(CU) was created as a function of cattle unit density and water
discharge, having water discharge at a stream section reflecting its location in
the drainage and therefore accounting for the surface area drained upstream. We
measured also the major nutrients in the stream water and researched the
causalities between the grazing and the impairment of watersheds and streams. Our
results suggest that the increase of livestock numbers is reaching beyond the
grassland and affecting the stream ecosystem. Two major impacts were highlighted
by this study, 1) the extensive watershed and stream bank erosion and 2) the
increase in concentration of suspended particles and orthophosphate in stream
systems. When compared with past values from literature, our results show recent
eutrophication of the streams compared to the pre-liberalization of the herding
activity in Mongolia (before 1991). Consequently the continued uncontrolled
increase of livestock numbers could threaten the conservation of the Mongolian
waterbodies, with notable consequences on the life of the nomadic population of
the Central Asian Plateau.
PMID- 21889788
TI - The Posidonia oceanica marine sedimentary record: A Holocene archive of heavy
metal pollution.
AB - The study of a Posidonia oceanica mat (a peat-like marine sediment) core has
provided a record of changes in heavy metal abundances (Fe, Mn, Ni, Cr, Cu, Pb,
Cd, Zn, As and Al) since the Mid-Holocene (last 4470yr) in Portlligat Bay (NW
Mediterranean). Metal contents were determined in P. oceanica. Both, the
concentration records and the results of principal components analysis showed
that metal pollution in the studied bay started ca. 2800yr BP and steadily
increased until present. The increase in Fe, Cu, Pb, Cd, Zn and As concentrations
since ca. 2800yr BP and in particular during Greek (ca. 2680-2465cal BP) and
Roman (ca. 2150-1740cal BP) times shows an early anthropogenic pollution rise in
the bay, which might be associated with large- and short-scale cultural and
technological development. In the last ca. 1000yr the concentrations of heavy
metals, mainly derived from anthropogenic activities, have significantly
increased (e.g. from ~15 to 47MUg g(-1) for Pb, ~23 to 95MUg g(-1) for Zn and ~8
to 228MUg g(-1) for As). Our study demonstrates for the first time the uniqueness
of P. oceanica meadows as long-term archives of abundances, patterns, and trends
of heavy metals during the Late Holocene in Mediterranean coastal ecosystems.
PMID- 21889789
TI - Toxicity and potential risk assessment of a river polluted by acid mine drainage
in the Iberian Pyrite Belt (SW Spain).
AB - Metal contamination from acid mine drainage (AMD) is a serious problem in the
southwest of the Iberian Peninsula, where the Iberian Pyrite Belt is located.
This zone contains original sulfide reserves of about 1700Mt distributed among
more than 50 massive sulfide deposits. Weathering of these minerals releases to
the waters significant quantities of toxic elements, which severely affect the
sediments and surface waters of the region. The main goal of this paper is to
evaluate the toxicity and the potential risk associated with the mining areas
using Microtox test and different factors which assess the degree of
contamination of the sediments and waters. For this, a natural stream polluted by
AMD-discharge from an abandoned mine has been studied. The results show that
elevated concentrations of Cu, As and Zn involve an important potential risk on
the aquatic environment, associated both with sediments and waters. Microtox test
informs that the sediments are extremely or very toxic, mainly related to
concentrations of Fe, As, Cr, Al, Cd, Cu and Zn. Pollution is mainly transferred
to the sediments increasing their potential toxicity. A natural creek affected by
AMD can store a huge amount of pollution in its sediments while exhibiting a not
very low water pH and low water metal concentration.
PMID- 21889791
TI - Safe injection practices: keeping safety in and the "bugs" out.
PMID- 21889792
TI - Key words: a prescriptive approach to reducing patient anxiety and improving
safety.
PMID- 21889790
TI - Assembly of the prothrombinase complex on the surface of human foreskin
fibroblasts: Implications for connective tissue growth factor.
AB - Activated factor X (FXa) and thrombin can up-regulate gene expression of
connective tissue growth factor (CTGF/CCN2) on fibroblasts. Since tissue factor
(TF) is expressed on these cells, we hypothesized that they may assemble the
prothrombinase complex leading to CTGF/CCN2 upregulation. In addition, the effect
of thrombospondin-1 (TSP1) on this reaction was evaluated. Human foreskin
fibroblasts were incubated with purified factor VII (FVII), factor X (FX), factor
V (FV), prothrombin and calcium in the presence and absence of TSP1. Generation
of FXa and of thrombin were assessed using chromogenic substrates. SMAD pathway
phosphorylation was detected via Western-blot analysis. Pre-incubation of
fibroblasts with FVII led to its auto-activation by cell-surface expressed TF,
which in turn in the presence of FX, FVa, prothrombin and calcium led to FXa
(9.7+/-0.8nM) and thrombin (7.9+/-0.04 U/mL*10-3) generation. Addition of TSP1
significantly enhanced thrombin (23.3+/-0.7 U/mL*10-3) but not FXa (8.5+/-0.6nM)
generation. FXa and thrombin generation leads to upregulation of CTGF/CCN2. TSP1
alone upregulated CTGF/CCN2, an effect mediated via activation of transforming
growth factor beta (TGFbeta) as shown by phosphorylation of the SMAD pathway, an
event blunted by using a TGFbeta receptor I inhibitor (TGFbetaRI). FXa- and
thrombin-induced upregulation of CTGF/CCN2 was not blocked by TGFbetaRI. In
summary, assembly of the prothrombinase complex occurs on fibroblast's surface
leading to serine proteases generation, an event enhanced by TSP1 and associated
with CTGF/CCN2 upregulation. These mechanisms may play an important role in human
diseases associated with fibrosis.
PMID- 21889793
TI - A 54-year-old woman with a "machine on my back".
PMID- 21889794
TI - Time for action in New York on non-communicable diseases.
PMID- 21889795
TI - Effect of alginate encapsulation on the cellular transcriptome of human islets.
AB - Encapsulation of human islets may prevent their immune rejection when
transplanted into diabetic recipients. To assist in understanding why clinical
outcomes with encapsulated islets were not ideal, we examined the effect of
encapsulation on their global gene (mRNA) and selected miRNAs (non-coding
(nc)RNA) expression. For functional studies, encapsulated islets were
transplanted into peritoneal cavity of diabetic NOD-SCID mice. Genomics analysis
and transplantation studies demonstrate that islet origin and isolation centres
are a major source of variation in islet quality. In contrast, tissue culture and
the encapsulation process had only a minimal effect, and did not affect islet
viability. Microarray analysis showed that as few as 29 genes were up-regulated
and 2 genes down-regulated (cut-off threshold 0.1) by encapsulation. Ingenuity
analysis showed that up-regulated genes were involved mostly in inflammation,
especially chemotaxis, and vascularisation. However, protein expression of these
factors was not altered by encapsulation, raising doubts about the
biosignificance of the gene changes. Encapsulation had no effect on levels of
islet miRNAs. In vivo studies indicate differences among the centres in the
quality of the islets isolated. We conclude that microencapsulation of human
islets with barium alginate has little effect on their transcriptome.
PMID- 21889796
TI - Accelerated mineralization of dense collagen-nano bioactive glass hybrid gels
increases scaffold stiffness and regulates osteoblastic function.
AB - Plastically compressed dense collagen (DC) gels mimic the microstructural,
mechanical, and biological properties of native osteoid. This study investigated
the effect of hybridizing DC with osteoinductive nano-sized bioactive glass (nBG)
particles in order to potentially produce readily implantable, and mineralizable,
cell seeded hydrogel scaffolds for bone tissue engineering. Due to the high
surface area of nBG and increased reactivity, calcium phosphate formation was
immediately detected within as processed DC-nGB hybrid gel scaffolds. By day 3 in
simulated body fluid, accelerated mineralization was confirmed through the
homogeneous growth of carbonated hydroxylapatite on the nanofibrillar collagen
framework. At day 7, there was a 13 fold increase in the hybrid gel scaffold
compressive modulus. MC3T3-E1 pre-osteoblasts, three-dimensionally seeded at the
point of nanocomposite self-assembly, were viable up to day 28 in culture. In the
absence of osteogenic supplements, MC3T3-E1 metabolic activity and alkaline
phosphatase production were affected by the presence of nBG, indicating
accelerated osteogenic differentiation. Additionally, no cell-induced contraction
of DC-nBG gel scaffolds was detected. The accelerated mineralization of rapidly
produced DC-nBG hybrid gels indicates their potential suitability as
osteoinductive cell delivery scaffolds for bone regenerative therapy.
PMID- 21889797
TI - Interactions between acute lymphoblastic leukemia and bone marrow stromal cells
influence response to therapy.
AB - The cure rate for pediatric patients with B precursor acute lymphoblastic
leukemia (pre-B ALL) is steadily improving, however relapses do occur despite
initial response to therapy. To identify links between drug resistance and gene
deregulation we used oligonucleotide microarray technology and determined in 184
pre-B ALL specimen genes differentially expressed compared to normal CD34(+)
specimens. We identified 20 signature genes including CTGF, BMP-2, CXCR4 and
IL7R, documented to regulate interactions in the bone marrow. We recorded
remarkably similar levels of expression in three independent patient cohorts, and
found distinct patterns in cytogenetically defined subgroups of pre-B ALL. The
canonical pathways that were affected are involved in inter- and intra-cellular
communication, regulating signaling within the microenvironment. We tested
experimentally whether interaction with stromal cells conferred protection to
four drugs used in current ALL therapy, and demonstrated that bone marrow stromal
cells significantly influenced resistance to vincristine and cytosine
arabinoside. Compounds designed to block the identified cellular interactions
within the bone marrow microenvironment are expected to mobilise the leukemic
cells and make them more accessible to contemporary antileukemic agents. The data
provide novel insight into the pathobiology of ALL and indicate new therapeutic
targets for patients with ALL.
PMID- 21889798
TI - Hippophagy in the UK: a failed dietary revolution.
AB - This study explores the history of horseflesh consumption in modern Britain and
France. It examines why horsemeat became relatively popular in France, but not
Britain. These reasons include the active role of scientists, philanthropists,
journalists and butchers. These figures did not actively promote horsemeat in
Britain. These factors are as important as cultural and economic ones in
explaining dietary transformation.
PMID- 21889799
TI - Prevalence and risk factors of dry eye disease in Japan: Koumi study.
AB - OBJECTIVE: To estimate the prevalence and risk factors of dry eye disease (DED)
in a rural setting in Japan. DESIGN: Cross-sectional study. PARTICIPANTS: We
included 3294 subjects, aged >= 40 years who were in the residential registry for
Koumi town. INTERVENTION: Subjects in a rural mountain area, Koumi town,
completed questionnaires designed to detect dry eye diagnosis and risk factors.
MAIN OUTCOME MEASURES: Clinically diagnosed DED was defined as the presence of a
previous clinical diagnosis of DED by ophthalmologists or severe symptoms of DED
(both dryness and irritation constantly or often). Current symptoms of DED and
possible risk factors such as age, gender, educational history, smoking history,
alcohol drinking history, height and weight, visual display terminal (VDT) use,
and contact lens (CL) wear, and past/current history of certain common systemic
diseases were the main outcome measures. We used logistic regression analysis to
examine associations between DED and other demographic factors. RESULTS: Of the
3294 eligible residents, 2791 residents (85%) completed the questionnaire. The
percentage of women with a composite outcome of clinically diagnosed DED or
severe symptoms (21.6%; 95% confidence interval [CI], 19.5-23.9) was higher than
that of men (12.5%; 95% CI, 10.7-14.5; P<0.001). A low body mass index (BMI; odds
ratio [OR], 2.07; 95% CI, 0.98-4.39), CL use (OR, 3.84; 95% CI, 1.46-10.10), and
hypertension (HT) (OR, 1.39; 95% CI, 0.94-2.06) were risk factors for DED in men.
Use of a VDT (OR, 2.33; 95% CI, 1.12-4.85), CL use (OR, 3.61; 95% CI, 2.13-6.10),
and myocardial infarction or angina were the risk factors (OR, 2.64; 95% CI, 1.51
4.62), whereas high BMI was a preventive factor (OR, 0.69; 95% CI, 0.48-1.01) for
DED in women. CONCLUSIONS: Among a Japanese cohort, DED leading to a clinical
diagnosis or severe symptoms is prevalent. Use of CLs was a common dry eye risk
factor in both genders. The condition is more prevalent in men with low BMI, HT,
and in women with myocardial infarction or angina and VDT use. Relevant measures
directed against the modifiable risks may provide a positive impact on public
health and quality of life of Japanese. FINANCIAL DISCLOSURE(S): The authors have
no proprietary or commercial interest in any materials discussed in this article.
PMID- 21889800
TI - Randomized, controlled trial of an educational intervention to promote spectacle
use in rural China: the see well to learn well study.
AB - OBJECTIVE: To test an educational intervention promoting the purchase of
spectacles among Chinese children. DESIGN: Randomized, controlled trial.
PARTICIPANTS: Children in years 1 and 2 of all 20 junior and senior high schools
(ages 12-17 years) in 3 rural townships in Guangdong, China. METHODS: Children
underwent visual acuity (VA) testing, and parents of participants with presenting
VA worse than 6/12 in either eye improving by more than 2 lines with cycloplegic
refraction were recommended to purchase glasses. Children at 10 randomly selected
schools received a lecture, video, and classroom demonstration promoting
spectacle purchase. MAIN OUTCOME MEASURES: Self-reported purchase of spectacles
(primary outcome) and observed wear or possession of newly purchased glasses
(secondary outcome) at follow-up examinations (mean, 219 +/- 87 days after the
baseline visit). RESULTS: Among 15 404 eligible children, examinations were
completed for 6379 (74.6%) at intervention schools and 5044 (73.6%) at control
schools. Spectacles were recommended for 2236 (35.1%) children at intervention
schools and for 2212 (43.9%) at control schools. Of these, 417 (25.7%)
intervention schools children and 537 (34.0%, P = 0.45) control schools children
reported buying glasses. Predictors of purchase in regression models included
female gender (P = 0.02), worse uncorrected VA (P < 0.001), and higher absolute
value of refractive error (P = 0.001). Neither the rate of self-reported purchase
of glasses or observed wear or possession of newly purchased glasses differed
between control schools and intervention schools in mixed-effect logistic
regression models. Among children not purchasing glasses, 21.7% had better-eye VA
of worse than 6/18. CONCLUSIONS: An intervention based on extensive pilot testing
and focus groups in the area failed to promote spectacle purchase or wear. The
high burden of remaining uncorrected poor vision underscores the need to develop
better interventions. FINANCIAL DISCLOSURE(S): The author(s) have no proprietary
or commercial interest in any materials discussed in this article.
PMID- 21889801
TI - The Ahmed Versus Baerveldt study: one-year treatment outcomes.
AB - OBJECTIVE: To report the 1-year treatment outcomes of the Ahmed Versus Baerveldt
(AVB) Study. DESIGN: Multicenter randomized clinical trial. PARTICIPANTS: A total
of 238 patients were enrolled in the study, including 124 in the Ahmed group and
114 in the Baerveldt group. METHODS: Patients aged 18 years or older with
uncontrolled glaucoma refractory to medicinal, laser, and surgical therapy were
randomized to undergo implantation of an Ahmed-FP7 valve (New World Medical,
Inc., Rancho Cucamonga, CA) or a Baerveldt-350 implant (Abbott Medical Optics,
Inc., Santa Ana, CA), to be followed for 5 years. MAIN OUTCOME MEASURES: The
primary outcome measure was failure, defined as intraocular pressure (IOP) out of
target range (5-18 mmHg with >= 20% reduction from baseline) for 2 consecutive
visits after 3 months, vision-threatening complications, additional glaucoma
procedures, or loss of light perception. Secondary outcome measures included IOP,
medication use, visual acuity, complications, and interventions. RESULTS: There
were no significant differences in baseline ocular or demographic characteristics
between the study groups with the exception of sex. Preoperatively, the study
group had a mean IOP of 31.4 +/- 10.8 mmHg on a mean of 3.1 +/- 1.0 glaucoma
medications with a median Snellen acuity of 20/100. The cumulative probability of
failure a 1-year was 43% in the Ahmed group and 28% in the Baerveldt group (P =
0.02). The mean IOP at 1 year was 16.5 +/- 5.3 mmHg in the Ahmed group and 13.6
+/- 4.8 mmHg in the Baerveldt group (P < 0.001). The mean number of glaucoma
medications required was 1.6 +/- 1.3 in the Ahmed group and 1.2 +/- 1.3 in the
Baerveldt group (P = 0.03). Visual acuity was similar in both groups at all
visits in the first year (P = 0.66). In the first year after surgery, there were
a similar number of patients who experienced postoperative complications in the 2
groups (45% Ahmed, 54% Baerveldt, P = 0.19), but a greater number of patients in
the Baerveldt group required interventions (26% Ahmed vs. 42% Baerveldt, P =
0.009). CONCLUSIONS: The Baerveldt-350 group had a higher success rate than the
Ahmed-FP7 group after 1 year of follow-up, but required a greater number of
interventions. FINANCIAL DISCLOSURE(S): Proprietary or commercial disclosure may
be found after the references.
PMID- 21889802
TI - The impact of depression on the treatment of obsessive-compulsive disorder:
results from a 5-year follow-up.
AB - BACKGROUND: Many OCD patients present with comorbid conditions, and major
depression is one of the most frequent comorbidities observed. OCD patients with
comorbid depression exhibit functional disability and poor quality of life.
However, it is unclear whether depressive symptoms are predictive of treatment
response, and debate remains whether they should be targeted in the treatment of
comorbid patients. The current study aimed at assessing the predictive value of
depression and OCD symptoms in the long term outcome of OCD treatment. METHODS:
In the current study, relations between OCD and depressive symptoms were
systematically investigated in a group of 121 OCD patients who received 16
sessions of behavior or cognitive therapy either alone or with fluvoxamine.
RESULTS: Depression (either as a continuous or categorical variable) was not
predictive of treatment response in any of the treatment modalities for up to 5
years of follow-up. Changes in OCD symptoms largely predicted changes in
depressive symptoms but not vice versa. LIMITATIONS: Subsequent to participation
in the RCT, almost two-thirds of the participants received some form of
additional treatment (either pharmacological or psychological), and as a result,
it is impossible to determine interaction effects with additional treatment
received after the trial. CONCLUSIONS: Treatment of OCD with comorbid depression
should focus on amelioration of OCD symptoms. When OCD treatment is successful,
depressive symptoms are likely to ameliorate as well.
PMID- 21889803
TI - Expression and distribution of canine antimicrobial peptides in the skin of
healthy and atopic beagles.
AB - Antimicrobial peptides (AMPs) are small immuno-modulatory proteins important in
defense against pathogenic organisms. Defensins and cathelicidin are the most
frequently studied human AMPs. An increase in AMPs in atopic humans has been
reported recently. Our goals were to determine the distribution of AMPs and
evaluate their mRNA and protein expression in non-lesional (Day 0), acute
lesional skin (Day 3) and post-challenged skin after resolution of skin lesions
(Day 10) using a canine model of atopic dermatitis (AD). All dogs were
environmentally challenged for three consecutive days with house dust mite.
Clinical evaluation of atopic beagles was performed using a CADESI score at each
time point before and after environmental challenge. Skin biopsies were taken
from six healthy and seven atopic beagles before and after allergen challenge
(Day 0, Day 3 and Day 10). The transcription of canine cathelicidin (cCath) and
beta-defensins (cBD)-1, -2 and -3 mRNA was quantified using quantitative-RT-PCR
while the protein distribution of cBD2, cBD3 and cCath was detected by indirect
immunofluorescence. A significant effect, over-time, was seen in CADESI score in
AD beagles with an increase score after challenge (Day 3). Quantitative analysis
showed a significant difference in mRNA transcript levels between groups (with
atopic dogs having more than controls) for all AMPs but cBD2. No effect over time
was evident for either group. No significant differences were seen for the AMP
protein patterns of distribution (homogenous distribution). Although, these
results showed no differences in AMP's localization after allergen exposure in
each group; atopic dogs had a higher mRNA expression of AMPs when compared with
healthy dogs, a similar finding to humans.
PMID- 21889804
TI - Laminar chemokine mRNA concentrations in horses with carbohydrate overload
induced laminitis.
AB - Chemokines play a vital role in leukocyte activation and emigration that
reportedly plays a central role in laminar injury in equine laminitis. The
purpose of this study was to evaluate the pattern of laminar chemokine expression
in horses in the classical carbohydrate overload (CHO)-model of laminitis.
Laminar samples were obtained 24h following water administration in the control
group (CON, n=8), and at the onset of fever (>= 102 degrees F, 12-22 h post CHO,
DEV group, n=8) and at the onset of lameness (20-48 h post CHO, LAM group, n=8)
in induced horses. Real time quantitative PCR was performed on all samples in
order to determine laminar mRNA concentrations of both CXC chemokines (CXCL1,
CXCL6, CXCL8) and CC chemokines (CCL2 [MCP-1], CCL3 [MIP-1alpha], and CCL8 [MCP
2]). Data were subjected to ANOVA followed by Student-Newman-Keuls (P<0.05).
Laminar mRNA concentrations for all CXC chemokines were increased (P<0.05) at
both the DEV and LAM horses when compared to the control horses, whereas mRNA
concentrations of CCL2 and CCL8 were only increased in the LAM horses when
compared to controls and the DEV horses. When taken in context with our previous
studies, CXCL1, CXCL6 and CXCL8 increases precede peak laminar leukocyte
accumulation. Additionally, CCL2 and CCL8 expression corroborate previous reports
of monocyte/macrophage accumulation in affected laminae. Compared with previous
studies, our findings demonstrate that increased laminar CXC chemokine expression
consistently precedes peak leukocyte accumulation and onset of lameness in CHO
laminitis models. Chemokine antagonists may be considered as possible therapeutic
targets to decrease the influx of leukocytes that occurs during the development
of equine laminitis.
PMID- 21889805
TI - Training speech-in-noise perception in mainstream school children.
AB - OBJECTIVE: Auditory training has been advocated as a management strategy for
children with hearing, listening or language difficulties. Because poor speech-in
noise perception is commonly reported, previous research has focused on the use
of complex (word/sentence) stimuli as auditory training material to improve
sentence-in-noise perception. However, some evidence suggests that engagement
with the training stimuli is more important than the type of stimuli used for
training. The aim of this experiment was to assess if sentence-in-noise
perception could be improved using simpler auditory training stimuli. METHODS: We
recruited 41 typically developing, normal-hearing children aged 8-10 years
divided into four groups. Groups 1-3 trained over 4 weeks (12 * 30 min sessions)
on either: (1) pure-tone frequency discrimination (FD), (2) FD in a modulated
noise (FDN) or, (3) mono-syllabic words in a modulated noise (WN). Group 4 was an
untrained Control. In the training tasks, either tone frequency (Group 1), or
tone (Group 2) or speech (Group 3) level was varied adaptively. All children
completed pre- and post-training tests of sentence perception in modulated (SMN)
and unmodulated (SUN) noise and a probe measure of each training task. RESULTS:
All trained groups improved significantly on the trained tasks. Transfer of
training occurred between FDN training and FD, WN and SMN testing, and between WN
training and SMN testing. A significant performance suppression on the SUN test
resulted from FD and FDN training. CONCLUSION: The pattern of training-induced
improvement, relative to Controls, suggests that transfer of training is more
likely when some stimulus dimensions (tone frequency, speech, modulated noise)
are shared between training tasks and outcomes. This and the finding of
suppressed post-training performance, relative to Controls, between tasks not
sharing a stimulus dimension both favour the use of outcome-specific material for
auditory training.
PMID- 21889806
TI - The hippocampal-striatal axis in learning, prediction and goal-directed behavior.
AB - The hippocampal formation and striatum subserve declarative and procedural
memory, respectively. However, experimental evidence suggests that the ventral
striatum, as opposed to the dorsal striatum, does not lend itself to being part
of either system. Instead, it may constitute a system integrating inputs from the
amygdala, prefrontal cortex and hippocampus to generate motivational, outcome
predicting signals that invigorate goal-directed behaviors. Inspired by
reinforcement learning models, we suggest an alternative scheme for computational
functions of the striatum. Dorsal and ventral striatum are proposed to compute
outcome predictions largely in parallel, using different types of information as
input. The nature of the inputs to striatum is furthermore combinatorial, and the
specificity of predictions transcends the level of scalar value signals,
incorporating episodic information.
PMID- 21889807
TI - Detecting and categorizing frailty status in older adults using a self-report
screening instrument.
AB - PURPOSE: The purpose of this study was to design and validate a self-reported
assessment tool for the identification of frailty. MATERIALS AND METHODS: A
thousand community-dwelling older adults (>=60 years), users of the medical
insurance of the French national education system, received (Year 1) a postal
questionnaire requesting information about health and socio-demographic
characteristics. Among those who responded to the questionnaire (n=535), 398
individuals were classified as frail, pre-frail, or robust. One year later (Year
2), the same questionnaire was sent to this group and n=309 were returned.
Frailty was operationalized using four criteria: low body mass index (BMI), low
level of physical activity, and dissatisfaction with both muscle strength and
endurance. RESULTS: Frailty constituted a single entity, different from physical
limitation and co-morbidity. Compared with robust individuals, frail persons were
older, had more chronic diseases, higher levels of disability and physical
function decline. Pre-frail individuals had an intermediate distribution. Those
people classified as either frail or pre-frail had higher frequency of
hospitalization, and a higher probability of co-morbidity than robust. Frailty
was also associated with higher mortality. CONCLUSIONS: Our screening tool for
frailty was able to evidence important characteristics of this syndrome, i.e., it
is a single entity with grades of severity which are associated with health
problems. Detecting and categorizing frailty may lead to early therapeutic
interventions to combat this condition.
PMID- 21889808
TI - Predictors of smoking cessation in 50-66-year-old male Taiwanese smokers: a 7
year national cohort study.
AB - The study was aimed to determine the predictors of smoking cessation in 50-66
year-old male Taiwanese smokers. The study analyzed datasets of the "Survey of
Health and Living Status of the Elderly in Taiwan" (SHLSET), a population-based
longitudinal cohort study conducted by the Bureau of Health Promotion of Taiwan.
Binary logistic regression analysis was performed to determine the association of
demographic, socioeconomic, lifestyle and health-related variables with changes
in smoking status at baseline, or with subsequent changes in smoking status in 50
66-year-old male Taiwanese. Functional impairment was the strongest predictor of
quitting smoking for 50-66-year-old Taiwanese men. Other factors including a
first hospitalization, emergency visit, or diagnosis of heart disease, quitting
drinking, living with a spouse and older age were associated with increased
likelihood of quitting smoking. Men with long smoking history, heavy daily
cigarette consumption and more formal education were less likely to quit. Results
suggest that functional decline is the major cause for quitting smoking for older
Taiwanese men. Physical impairment and traumatic diseases that cause physical
impairment have the most impact whereas "silent diseases" such as hypertension or
diabetes have little impact. These findings should be useful for designing target
specific intervention strategies for older male Taiwanese smokers.
PMID- 21889809
TI - An evaluation of Foot-and-Mouth Disease outbreak reporting in mainland South-East
Asia from 2000 to 2010.
AB - Foot and Mouth Disease (FMD) is considered to be endemic throughout mainland
South-East Asia (SEA). The South-East Asia and China FMD (SEACFMD) campaign is a
regional control programme which has been ongoing since 1997. The programme
encourages countries to submit reports of outbreaks regularly. This paper evolved
from a collaboration with SEACFMD to evaluate 10 years worth of reporting. All
publicly available outbreak reports (5237) were extracted from the ASEAN Region
Animal Health Information System (ARAHIS) for the period from 2000 to mid 2010.
These reports included date, outbreak location (at the province and district
level) and serotype (if known) plus information on the outbreak size and affected
species. Not all records had complete information on the population at-risk or
the number of animals affected. This data was transferred into a spatially
enabled database (along with data from other sources) and analysed using R and
SaTScan. Outbreak serotype was unknown in 2264 (43%) of reports and some
countries had very few laboratory confirmed cases (range <1-86%). Outbreak
reports were standardised by number of villages in each province. Outbreak
intensity varied however there did not appear to be a consistent pattern, nor was
there any seasonal trend in outbreaks. Spatial and spatio-temporal cluster
detection methods were applied. These identified significant clusters of disease
reports. FMD is endemic across the region but is not uniformly present. ARAHIS
reports can be regarded as indicators of disease reporting: there may be reports
in which laboratory confirmation has not occurred, and in some cases clinical
signs are inconsistent with FMD. This raises questions about the specificity of
the data. Advances in decentralised testing techniques offer hope for improved
verification of FMD as the cause of disease outbreaks. Advances in molecular
typing may provide a substantial leap forward in understanding the circulation of
FMD in South East Asia.
PMID- 21889810
TI - The impact of legalized abortion on child health outcomes and abandonment.
Evidence from Romania.
AB - We use household survey data and a unique census of institutionalized children to
analyze the impact of abortion legalization in Romania. We exploit the lift of
the abortion ban in December 1989, when communist dictator Ceausescu and his
regime were removed from power, to understand its impact on children's health at
birth and during early childhood and whether the lift of the ban had an immediate
impact on child abandonment. We find insignificant estimates for health at birth
outcomes and anthropometric z-scores at age 4 and 5, except for the probability
of low birth weight which is slightly higher for children born after abortion
became legal. Additionally, our findings suggest that the lift of the ban had
decreased the number of abandoned children.
PMID- 21889811
TI - Increasing prevalence of diabetes in middle or low income residents in Louisiana
from 2000 to 2009.
AB - OBJECTIVE: To examine the trends in the prevalence of diabetes in patients who
received medical care from the Louisiana State University Health Care Services
Division (LSUHCSD) hospital system between 2000 and 2009. METHODS: The study
population included 969,609 unique outpatients and inpatients between 2000 and
2009. The diabetes cases were identified by using ICD-9 code (250*). The annual
diabetes prevalence was calculated as the number of unique individuals with an
ICD-9 diabetes during the year divided by the number of unique individuals
visiting the LSUHCSD hospitals during the year. RESULTS: The age-standardized
prevalence of diabetes in LSUHCSC hospital patients aged >= 20 years increased by
36.2% during 2000-2009, from 10.5% to 14.3%. The rise in age-standardized
prevalence of diabetes from 2000 to 2009 occurred in men (from 8.9% to 13.3%) and
women (from 11.5% to 15.0%), and in white (from 8.9% to 13.1%), African (from
11.7% to 15.8%) and other race Americans (from 8.2% to 10.4%). The age
standardized prevalence of diabetes was higher in women than in men (p < 0.001).
CONCLUSION: The annual prevalence of diabetes has dramatically increased from
2000 to 2009 in both men and women and in all races of the population served by
the LSUHCSD hospitals.
PMID- 21889812
TI - Dimethylarginines in patients with type 2 diabetes mellitus: relation with the
glycaemic control.
AB - We tested the relationship between plasma levels of dimethylarginines (ADMA and
SDMA) and glycaemic control in 43 type 2 diabetic patients. Type 2 diabetics with
poor glycaemic control (HbA1c>6.5) had significantly lower SDMA and higher ADMA
concentrations than those with well-controlled glycaemia (HbA1c<6.5).
PMID- 21889813
TI - The foot in type 2 diabetes: is there a link between vascular calcification and
bone mineral density?
AB - AIMS: To examine the relationship between vascular calcification in the foot
(FVC) and bone mineral density (BMD) in the heel of type 2 diabetes mellitus (DM)
subjects. METHODS: 65 subjects with type 2 DM and serum creatinine<125 MUmol/l
underwent CT scanning of the foot to assess FVC and dual energy X ray
absorptiometry (DEXA) scan to assess heel BMD. Routine biochemistry including
osteoprotegerin (OPG) and Receptor activator of nuclear factor kappa-B ligand
(RANKL) was also carried out. RESULTS: The proportion of subjects with FVC was
43%, whilst 40% had low BMD (T score<-1.0). Age, neuropathy and 25 hydroxyvitamin
D were independent predictors of FVC. Body-weight, eGFR, 25 hydroxyvitamin D,
OPG, and total cholesterol were independent predictors of low heel BMD. There was
no correlation between albuminuria and BMD or FVC. There was no difference in
heel BMD between those with FVC and those without, but those with frank
osteoporosis were significantly more likely to have FVC than those with higher
BMD. CONCLUSIONS: There is no clear-cut association between FVC and low BMD in
type 2 DM with relatively well-preserved renal function. Age, neuropathy, eGFR,
hyperlipidemia, body-weight, 25 hydroxyvitamin D and OPG play a complex role in
their pathogenesis.
PMID- 21889814
TI - [Childhood sarcoidosis: ophthalmological manifestations and diagnostic
difficulties in two cases].
AB - Sarcoidosis is a systemic expression of granuloma found in young adults, but
which remains rare in children. Its incidence is underestimated because of the
asymptomatic forms. Ocular involvement is present in 25% of the cases. The
diagnosis is sometimes difficult and is based on clinical, radiological, and
biological arguments and is confirmed by histopathological examination. The
authors report the observations of two children, aged 5 and 10 years, discussing
the diagnostic difficulty and most particularly, the differential diagnosis with
tuberculosis, thereby illustrating the two forms of pediatric sarcoidosis.
PMID- 21889815
TI - [Management of eyelid burns].
AB - Burns are devastating injuries scarring patients, both physically and
psychologically, for life. This remains particularly true for facial burns.
Eyelid burns occur in about 10% of thermal injuries and is a considerable
challenge for the reconstructive surgeon given the particular anatomy of the
eyelids. Reconstruction of the eyelids following burn injuries has been performed
by plastic surgeons since the earliest days of reconstructive surgery, yet a
consensus on a treatment regime has not been reached and plastic surgeons are
divided on the subject. Controversies exist regarding the excision and
debridement of eschar, temporary suture and surgical tarsorrhaphy, timing of
surgery for eyelid contraction, and the role of full and split-thickness skin
grafts in eyelid reconstruction. This paper describes the particularities of the
treatment of burned eyelids in our Burn Center.
PMID- 21889816
TI - [OCT measurement of the impact of Nd:YAG laser capsulotomy on foveal thickness].
AB - INTRODUCTION: Posterior capsular opacification is the commonest complication of
cataract surgery. It is treated with Nd:YAG laser capsulotomy. However, after
treatment, cases of cystoid macular edema have been reported. The purpose of this
study was to measure the foveal thickness change after Nd:YAG capsulotomy using
optical coherence tomography (OCT) in order to clarify the physiopathology of
this edema. PATIENTS AND METHODS: A prospective, single-center study was
conducted on patients who underwent Nd:YAG laser capsulotomy between May 2008 and
November 2009. All patients received the same drug protocol after Nd:YAG
capsulotomy (acetazolamide, apraclonidine, and rimexolone). Demographic
parameters (age, sex, and medical history), clinical features (visual acuity,
intraocular pressure) before and after Nd:YAG laser, and laser complications were
analyzed. Central foveal thickness was measured by OCT (Stratus OCT 3, Zeiss).
Data were collected before Nd:YAG laser capsulotomy and 1 week, 1 month, and 3
months after capsulotomy. The preoperative and postoperative thicknesses were
compared. We used a Student t-test for statistical analysis. RESULTS: Thirty eyes
of 26 patients were analyzed. The mean foveal thickness was 209 +/- 26 MUm before
capsulotomy, 213 +/- 23 MUm, 204 +/- 19 MUm, 213 +/- 23 MUm 1 week, 1 month, and
3 months, respectively, after capsulotomy. The foveal thickness did not
significantly change during the first 3 months following laser treatment. No
complications occurred. DISCUSSION AND CONCLUSION: Macular cystoid edema was a
classical complication after Nd:YAG capsulotomy. However, there was no
significant increase of macular thickness shortly after Nd:YAG capsulotomy in our
study.
PMID- 21889817
TI - [Photodynamic therapy for chronic central serous chorioretinopathy and diffuse
retinal epitheliopathy].
AB - PURPOSE: To report outcomes in patients with long-standing (more than 6 months)
chronic central serous chorioretinopathy (CSC) treated with low-fluence
Visudyne((r)) photodynamic therapy (LFV-PDT). PATIENTS AND METHODS: The clinical,
angiographic and optical coherence tomography (OCT) results of patients with long
standing chronic central serous chorioretinopathy (CCSC) treated with LFV-PDT in
the Lyon Centre Rabelais between 2002 and 2008 were retrospectively analyzed. A
comprehensive check-up (macular syndrome signs, ETDRS best-corrected visual
acuity [BCVA], biomicroscopy, fluorescein [FA] and indocyanine green [ICGA]
angiographies, OCT scans) was performed before LFV-PDT treatment and 3 months
later. Patients were then followed regularly and retreated in case of recurrence.
The LFV-PDT treatment, with a fluence of 25 J/cm(2) at an irradiance of 300 mW,
was guided by ICGA. RESULTS: Forty-one eyes of 34 patients (27 males; mean age:
53 years) were included, of which 18 eyes had already been treated with laser
photocoagulation. Several leaking points were visible on FA in most of the cases
(n=38), mainly in the macula (35 cases). Before treatment, metamorphopsia was
noted in 51% of the cases, intraretinal edema (IRE) was present on OCT scans in
71%, serous retinal detachment (SRD) in 85%, and pigment epithelial detachment
(PED) in 10%. Thirty-nine eyes had only one treatment session and one eye was
retreated once. At 3 months after LFV-PDT, IRE was present in 15% of the cases,
SRD in 12%, and PED in 2%. At the end of the 20-month follow-up, IRE was present
in 14% of the cases, SRD in 15%, and PED in 0%. Macular atrophy was observed on
OCT in most of the cases at the end of the follow-up (mean central thickness,
144.5 MUm). Compared to the initial BCVA, at 3 months after LFV-PDT, BCVA
decreased in 22% of the cases, stabilized in 39%, and increased in 39%, while at
the end of the follow-up, BCVA decreased in 12% of the cases, stabilized in 17%,
and increased in 71%. No complication was observed. DISCUSSION: LFV-PDT treatment
for patients with long-standing chronic central serous chorioretinopathy results
in anatomical and functional improvement (sustained disappearance of the
exudative phenomenon in most cases and increased BCVA in more than two-thirds of
the cases). The macular atrophy observed may be due to the treatment or the
natural course of the disease.
PMID- 21889818
TI - [Epidemiologic study of pediatric uveitis: a series of 49 cases].
AB - PURPOSE: To analyze the patterns of pediatric uveitis. PATIENTS AND METHODS: A
retrospective study of 49 children with uveitis, examined from January 2000 to
December 2009. All patients underwent a complete ophthalmic examination and an
etiological search; follow-up varied from six months to seven years. RESULTS: The
mean age at onset of uveitis was 11.6 years (range, 5-14 years). The sex ratio
was 1.04. Uveitis was bilateral in 59.20% of the patients, anterior in 22 cases
(44.9%), intermediate in seven cases (14.3%), posterior in four cases (8.1%), and
panuveitis was found in 16 cases (32.7%). In 57.2% of the patients, uveitis was
idiopathic. Infectious uveitis was responsible for 14.1% of the cases, the most
common of which were toxoplasmosis and toxocarosis. Systemic associations were
found in 22.5%, with juvenile idiopathic arthritis in 6.2%. A specific ocular
entity was responsible for 6.2% of the cases. Ocular complications occurred in
65.3% of the affected eyes, the most common being cataract (24.5%) and cystoid
macular edema (20.5%). The final visual acuity was less than 20/200 in 18%.
CONCLUSIONS: Pediatric uveitis is rare but may cause visual loss. In our study,
the cause of uveitis in childhood remains most often undiagnosed. Toxoplasmosis
and toxocarosis are the most frequent infectious causes. Cataract was the most
frequent complication. A strict ophthalmological follow-up is mandatory to
improve the prognosis.
PMID- 21889819
TI - [In vivo confocal microscopy (HRT-II(r)) of posterior polymorphous dystrophy
(PPMD)].
PMID- 21889820
TI - [Intravitreal bevacizumab pretreatment in vitrectomy for severe diabetic
retinopathy: a series of six cases].
AB - INTRODUCTION: Bevacizumab (Avastin((r)), Roche) is a full-length humanized
monoclonal antibody applicable to all subtypes of vascular endothelial growth
factor (VEGF). The purpose of this study was to report the results of its use as
a surgical additive in severe cases of proliferative diabetic retinopathy (PDR).
PATIENTS AND METHOD: This retrospective study focused on six eyes of six patients
with complicated diabetic retinopathy. A vitrectomy was performed within 13.6
days after an intravitreal bevacizumab injection of 0.1 mL (2.5mg), with
dissection of the fibrovascular proliferation using a mono- or bimanual
delamination technique. RESULTS: The mean follow-up after intravitreal injection
was 13.3 months. The mean surgery time was 64 minutes. The bimanual technique was
not necessary. Only one iatrogenic retinal tear was repaired. The intraoperative
bleeding was negligible. No adverse events resulting from the drug nor recurrence
were observed throughout the follow-up period. CONCLUSION: Intravitreal
bevacizumab is useful as a surgical additive in severe cases of PDR,
significantly improving surgical conditions. Nevertheless, its use beyond
approved indications should be reserved for complex surgical cases.
PMID- 21889821
TI - [Bidirectional barbed suture for bladder neck reconstruction, posterior
reconstruction and vesicourethral anastomosis during robot assisted radical
prostatectomy].
AB - BACKGROUND: The urethrovesical anastomosis is a particular challenging step of
robot assisted radical prostatectomy (RARP). Failure to achieve a watertight
anastomosis is associated with postoperative urinary leak and its consequences,
which include paralytic ileus, prolonged catheterization, urinary peritonitis and
possibly re-intervention. The bidirectional barbed suture is a new technology
that may lead to improve the quality of the urethrovesical anastomosis.
OBJECTIVE: To present our surgical technique of urethrovesical anastomosis,
bladder neck reconstruction and posterior reconstruction, using a bidirectional
barbed suture. MATERIAL AND METHODS: The bladder neck reconstruction, posterior
reconstruction and vesicourethral anastomosis were performed using a 2-0
synthetic absorbable bidirectional monofilament barbed suture RESULTS: All cases
were finished successfully without major complication or conversion to
laparoscopic or open surgery. CONCLUSION: The authors successfully modified their
RARP technique to take advantage of the properties of the bidirectional barbed
suture. Comparative studies that evaluate objective outcomes such as leakage
rates and operative time are needed to definitely establish the benefits of this
device in comparison to the traditional absorbable monofilament.
PMID- 21889822
TI - Bilateral supraclinoid aneurysms associated with progressive visual impairment.
PMID- 21889823
TI - Cranial trepanation in The Egyptian.
AB - INTRODUCTION: Medicine and literature have been linked from ancient times; proof
of this shown by the many doctors who have made contributions to literature and
the many writers who have described medical activities and illnesses in their
works. An example is The Egyptian, the book by Mika Waltari that provides a
masterly narration of the protagonist's medical activity and describes the
trepanation technique. DEVELOPMENT: The present work begins with the analysis of
trepanations since prehistory and illustrates the practice of the trepanation in
The Egyptian. The book mentions trepanation frequently and illustrates how to
practice it and which instruments are required to perform it. Trepanation is one
of the oldest surgical interventions carried out as treatment for cranial trauma
and neurological diseases, but it also had the magical and religious purpose of
expelling the evil spirits which caused the mental illness, epilepsy, or migraine
symptoms. CONCLUSIONS: Trepanation is a surgical practice that has been carried
out since prehistory to treat post-traumatic epilepsy, migraine, and psychiatric
illness. The Egyptian is a book that illustrates the trepan, the trepanation
technique, and the required set of instruments in full detail.
PMID- 21889824
TI - Humeral arterial access: an alternative route to the femoral artery in the
endovascular treatment of acute stroke.
PMID- 21889825
TI - Natural products: an evolving role in future drug discovery.
AB - The therapeutic areas of infectious diseases and oncology have benefited from
abundant scaffold diversity in natural products, able to interact with many
specific targets within the cell and indeed for many years have been source or
inspiration for the majority of FDA approved drugs. The present review describes
natural products (NPs), semi-synthetic NPs and NP-derived compounds that have
undergone clinical evaluation or registration from 2005 to 2010 by disease area
i.e. infectious (bacterial, fungal, parasitic and viral), immunological,
cardiovascular, neurological, inflammatory and related diseases and oncology.
PMID- 21889827
TI - Telangiectasia macularis eruptive perstans (TMEP) in childhood: a case report and
literature review.
PMID- 21889826
TI - [Management of the risk of hepatitis B virus reactivation in patients receiving
immunosuppressive and immunomodulatory agents in internal medicine: data from the
REACTI-B survey and proposal for a management algorithm].
AB - PURPOSE: [corrected] This study aimed to evaluate the screening practices and
management of the risk of hepatitis B virus (HBV) reactivation in patients
receiving immunosuppressive and immunomodulatory agents in internal medicine
departments and to propose a diagnostic and therapeutic algorithm. METHODS:
Descriptive, cross-sectional survey of the 1350 members of the French Society of
Internal Medicine, which took place in France in January 2011 using an electronic
questionnaire. Experts in the field of HBV infection proposed a decisional
algorithm. RESULTS: The overall response rate was 21.5%. Screening of HBV
infection was performed in 44%, 68% and 76% of patients receiving or prior to
initiating corticosteroids, immunosuppressive and immunomodulatory agents,
respectively. Among participants, 35% had been confronted with one or several
cases of HBV reactivation, mainly in patients receiving corticosteroids (54%),
cyclophosphamide (34%) or rituximab (33%). Chronic, inactive carriers of HBV were
considered to be at risk of reactivation in 89% of cases, while 41% of anti-HBc
positive patients were considered at risk. In at-risk patients initiating
immunosuppressive and/or immunomodulatory agents, 43% of practitioners consider
the use of pre-emptive therapy, whereas 33% treat in case of confirmed
reactivation. Systematic HBV vaccination of seronegative patients is planned in
less than 50% of cases. Finally, 89% of participants feel they are not
sufficiently educated regarding the risks of HBV reactivation and its prevention.
CONCLUSION: This survey highlights the need to improve the education of
physicians regarding the risks of HBV reactivation prior to initiating
corticosteroids, immunosuppressive and immunomodulatory agents, and to provide
more specific guidelines for patients managed in internal medicine departments.
PMID- 21889828
TI - Plasma adrenomedullin levels in children with asthma: any relation with atopic
dermatitis?
AB - BACKGROUND: Asthma is a chronic, inflammatory disease of the airway, and
adrenomedullin (ADM) may have some effects against bronchoconstriction. However,
the role(s) of ADM in asthmatic children have not been evaluated yet. The aims of
this study were to determine if there are any changes in plasma ADM levels during
acute asthma attack, and to search for any association between allergen
sensitivity and ADM level in asthmatic children. METHODS: Twenty-seven children
with acute asthma attack, ranging in age from 5 to 15 years were investigated and
compared with 20 controls. Plasma ADM levels (ng/mL) were measured by ELISA
method. RESULTS: No significant difference was found in ADM levels between the
controls and patients in either the acute attack or remission period. Plasma ADM
levels were significantly higher in the acute attack (p=0.043) compared to the
remission period in patients who were considered as having a "severe attack"
according to GINA (Global Initiative for Asthma) classification. There were
statistically significant correlations between the patients' AlaTOP and Food
Panel 7 levels and plasma ADM levels in the acute attack period (p=0.010,
p=0.001, respectively). The ADM levels in patients with a history of atopic
dermatitis were significantly higher in the acute attack period compared to those
without a history of atopic dermatitis (p=0.007). CONCLUSION: We speculate that
ADM may have a role in children with atopic dermatitis, and may also have a role
in the immuno-inflammatory process of asthma.
PMID- 21889829
TI - Food anaphylaxis, antiphospholipid syndrome and thrombosis.
PMID- 21889830
TI - Evaluation of angiotensin converting enzyme gene polymorphism and susceptibility
to bronchial asthma among Egyptians.
AB - BACKGROUND: Angiotensin converting enzyme (ACE) is expressed at high levels in
the lungs and plays a role in the metabolism of the endogenous peptides involved
in asthma pathogenesis. ACE gene polymorphisms have been reported to be linked to
asthma. However, the results are conflicting, with no reported studies on
Egyptian asthmatics. We aimed to assess ACE gene polymorphism among Egyptian
asthmatics, and to determine its possible association with asthma severity.
METHODS: This case-control study was conducted on 30 adult asthmatic patients,
and 30 healthy controls with no history of asthma or atopy. Atopic status among
asthmatics was determined by skin prick test (SPT). Lung functions were assessed
by spirometry. Determination of ACE genotypes was performed for all subjects.
Total serum IgE levels were measured by ELISA. RESULTS: The frequencies of the
DD, ID and II genotypes were 46.7%, 40%, and 13.3%, respectively among the cases,
and 33.3%, 40%, and 26.7%, respectively among the controls. No significant
differences in ACE genotype distribution were observed between cases and controls
(p=0.37). Genotype distribution did not differ according to age of onset or
severity of asthma, total serum IgE levels, SPT positivity, or number of positive
SPT reactions. Furthermore, ACE polymorphism was not statistically different
between asthmatic patients without any associated atopic disease and those with
an associated atopic disease. CONCLUSION: The results of our study indicate that
ACE gene polymorphism is not significantly associated with bronchial asthma or
with its severity among Egyptian adults.
PMID- 21889831
TI - Urticaria caused by dimenhydrinate.
PMID- 21889832
TI - Dose escalation for prostate cancer radiotherapy: predictors of long-term
biochemical tumor control and distant metastases-free survival outcomes.
AB - BACKGROUND: Higher radiation dose levels have been shown to be associated with
improved tumor-control outcomes in localized prostate cancer (PCa) patients.
OBJECTIVE: Identify predictors of biochemical tumor control and distant
metastases-free survival (DMFS) outcomes for patients with clinically localized
PCa treated with conformal external-beam radiotherapy (RT) as well as present an
updated nomogram predicting long-term biochemical tumor control after RT. DESIGN,
SETTING, AND PARTICIPANTS: This retrospective analysis comprised 2551 patients
with clinical stages T1-T3 PCa. Median follow-up was 8 yr, extending >20 yr.
INTERVENTION: Prescription doses ranged from 64.8 to 86.4 Gy. A total of 1249
patients (49%) were treated with neoadjuvant and concurrent androgen-deprivation
therapy (ADT); median duration of ADT was 6 mo. MEASUREMENTS: A proportional
hazards regression model predicting the probability of biochemical relapse and
distant metastases after RT included pretreatment prostate-specific antigen (PSA)
level, clinical stage, biopsy Gleason sum, ADT use, and radiation dose. A
nomogram predicting the probability of biochemical relapse after RT was
developed. RESULTS AND LIMITATIONS: Radiation dose was one of the important
predictors of long-term biochemical tumor control. Dose levels < 70.2 Gy and 70.2
79.2 Gy were associated with 2.3- and 1.3-fold increased risks of PSA relapse
compared with higher doses. Improved PSA relapse-free survival (PSA-RFS) outcomes
with higher doses were observed for all risk groups. Use of ADT, especially for
intermediate- and high-risk patients, was associated with significantly improved
biochemical tumor-control outcomes. A nomogram predicting PSA-RFS was generated
and was associated with a concordance index of 0.67. T stage, Gleason score,
pretreatment PSA, ADT use, and higher radiation doses were also noted to be
significant predictors of improved DMFS outcomes. CONCLUSIONS: Higher radiation
dose levels were consistently associated with improved biochemical control
outcomes and reduction in distant metastases. The use of short-course ADT in
conjunction with RT improved long-term PSA-RFS and DMFS in intermediate- and high
risk patients; however, an overall survival advantage was not observed.
PMID- 21889833
TI - A randomized double-blind, placebo-controlled multicenter study to evaluate the
efficacy and safety of two doses of the tramadol orally disintegrating tablet for
the treatment of premature ejaculation within less than 2 minutes.
AB - BACKGROUND: Premature ejaculation (PE) is a widely observed male sexual
dysfunction with a major impact on quality of life for many men and their sexual
partners. OBJECTIVE: To assess the safety of tramadol orally disintegrating
tablet (ODT) (Zertane) and its efficacy in prolonging intravaginal ejaculation
latency time (IELT) and improving Premature Ejaculation Profile (PEP) scores.
DESIGN, SETTING, AND PARTICIPANTS: We conducted an integrated analysis of two
identical 12-wk randomized double-blind, placebo-controlled phase 3 trials across
62 sites in Europe. Healthy men 18-65 yr of age with a history of lifelong PE
according to the Diagnostic and Statistical Manual of Mental Disorders, 4th
Edition, Text Revision, and an IELT <= 120 s were included. There were 604 intent
to-treat subjects included in the analysis. INTERVENTION: Subjects were
randomized to receive 1:1:1 placebo (n=200), 62 mg tramadol ODT (n=206), or 89 mg
tramadol ODT (n=198). MEASUREMENTS: We measured overall change and fold increase
in median IELT and the mean change in all four measures of the PEP. Differences
across treatment groups were analyzed using Wilcoxon rank-sum tests, analysis of
variance, and chi-square analyses. RESULTS AND LIMITATIONS: Tramadol ODT resulted
in significant increases in median IELT compared with placebo; increases were 0.6
min (1.6 fold), 1.2 min (2.4 fold), and 1.5 min (2.5 fold) for placebo, 62 mg
tramadol ODT, and 89 mg tramadol ODT, respectively (p<0.001 for all comparisons).
Men saw significantly greater improvement in all four measures of the PEP in both
doses compared with placebo (p<0.05 for all comparisons). Tramadol ODT was well
tolerated; study discontinuation occurred in 0%, 1.0%, and 1.6% of subjects in
placebo, 62 mg, and 89 mg tramadol ODT groups, respectively. Limitations include
study inclusion for men with IELT up to 120 s. CONCLUSIONS: On-demand 62mg
tramadol ODT is an effective treatment for PE in a low and safe therapeutic dose
and provides a new option for managing mild to severe PE.
PMID- 21889834
TI - LESS: an acronym searching for a home.
PMID- 21889835
TI - Prostate cancer units: the patients' perspective.
PMID- 21889836
TI - Prostate-specific antigen-based risk assessment in younger men.
PMID- 21889838
TI - Intraoperative MRI for transphenoidal procedures: short-term outcome for 100
consecutive cases.
AB - BACKGROUND: The majority of pituitary lesions are benign and can be cured with
complete surgical resection. However, the transsphenoidal technique (the most
common approach for pathology in this region) is limited by poor visualization
and anatomical constraints. This can lead to incomplete tumor resection and thus
increased recurrence rates. The use of iMRI during these procedures offers the
advantage of radiographic confirmation during the procedure and may improve
extent of resection. We reviewed our experience with this technology in 100
consecutive cases and compared the outcomes to published results. METHODS: 100
patients were treated via transnasal transsphenoidal approach using the GE Signa
SP 0.5Tesla (double doughnut design) iMRI system between July 2002 and August
2009 and followed prospectively. Intraoperative findings, imaging results,
postoperative MRI and clinical outcome were evaluated to determine the extent of
tumor resection, monitor for recurrence and determine outcome. RESULTS: There
were 100 patients studied, 81 macroadenomas, 9 microadenomas, and 10 other
pathological diagnosis. The average extent of resection was 96% with gross total
resection based on iMRI in 76 patients (76%). Four patients (4%) all with
macroadenomas greater than 4 cm experienced major perioperative complications
(hydrocephalus 2, thalamic infarct 1, major arterial bleeding 1), six patients
(6%) developed post-operative CSF leaks, one patient (1%) had post-operative
worsening of visual fields, and five patients (5%) had abdominal fat graft
infections. Nine patients (9%) including five with known residual tumor required
post-operative adjuvant treatment during the follow-up period secondary to either
disease progression or failure to achieve endocrinological cure. CONCLUSIONS:
iMRI-guided transsphenoidal pituitary surgery provides the surgeon with immediate
radiographic feedback during the procedure and aides in overcoming the
limitations in direct visualization during such procedures. As a result of this
it may enable surgeons to perform such procedures with fewer complications and
increased rate of gross total resection. However, the impact of this technology
on long-term tumor control still needs to be determined with further follow-up.
PMID- 21889839
TI - Use of microwaves for in-situ removal of pollutant compounds from solid matrices.
AB - Thermal treatments are the most used methods to remediate contaminated solids.
However, they may seriously damage the otherwise recoverable matrices, especially
when mild operating conditions cannot be used. Microwaves recently raised as a
powerful tool in industrial engineering for their ability, among other
advantages, to offer a selected heating, thus allowing to treat and remove only
the undesired components of a matrix. This work approaches the microwave assisted
thermal treatments of waste from a physical-chemical point of view. Two
recovering operations have been performed, respectively, on a soil contaminated
by volatile organic compounds and on a ceramic filter spoiled by soot, using two
specially designed prototypes, both realized on pre-pilot scale. The heat and
mass transfer balances have then been analyzed in their more general form, and
terms related to the use of microwaves outlined. Solutions of the differential
equations have been applied to interpret the effects of microwaves on rate and
efficiency of the remediation processes.
PMID- 21889840
TI - Exceptional catalytic efficiency in mineralization of the reactive textile azo
dye (RB5) by a combination of ultrasound and core-shell nanoparticles (CdS/TiO2).
AB - A novel composite with a core-shell structure (CdS/TiO(2)) was prepared through
the combination of microemulsion and ultrasound (20 kHz). The degradation of
reactive black 5 (RB5) was carried out in aqueous solution in a series of
experiments by CdS/TiO(2) nanoparticles. This composite with mole ratio of 1/6
has shown an exceptional sonocatalytic activity in comparison to the pure
nanoparticles of TiO(2) and CdS. A significant decrease in the concentration of
RB5 (~ 94%) was observed in 3 min sonication of the solution containing the core
shell nanocomposite. While at the same time, the concentration was reduced to 4%
under sonication without nanocomposite and 50% under UV light with nanocomposite.
The increased catalytic activity of nanocomposite in the presence of ultrasound
is due to the enhancement of mass transfer, cleaning and sweeping the surface of
catalyst, and preventing the aggregation of particles. In addition, the presence
of CdS nanoparticles in the composite acts as photosensitizer which not only
extends the spectral response to the visible region but also reduces the charge
recombination. The selected combined method (sonocatalysis) was able to
decolorize and oxidize simultaneously the organic dye with a complete
mineralization into SO(4)(2-) and NO(3)(-) ions.
PMID- 21889841
TI - Chelating agent free-solid phase extraction (CAF-SPE) of Co(II), Cu(II) and
Cd(II) by new nano hybrid material (ZrO2/B2O3).
AB - New nano hybrid material (ZrO(2)/B(2)O(3)) was synthesized and applied as a
sorbent for the separation and/or preconcentration of Co(II), Cu(II) and Cd(II)
in water and tea leaves prior to their determination by flame atomic absorption
spectrometry. Synthesized nano material was characterized by scanning electron
microscope, transmission electron microscope and X-ray diffraction. The optimum
conditions for the quantitative recovery of the analytes, including pH, eluent
type and volume, flow rate of sample solution were examined. The effect of
interfering ions was also investigated. Under the optimum conditions, adsorption
isotherms and adsorption capacities have been examined. The recoveries of Co(II),
Cu(II) and Cd(II) were 96 +/- 3%, 95 +/- 3%, 98 +/- 4% at 95% confidence level,
respectively. The analytical detection limits for Co(II), Cu(II), and Cd(II) were
3.8, 3.3, and 3.1 MUg L(-1), respectively. The reusability and adsorption
capacities (32.2 mg g(-1) for Co, 46.5 mg g(-1) for Cu and 109.9 mg g(-1) for Cd)
of the sorbent were found as satisfactory. The accuracy of the method was
confirmed by analyzing certified reference material (GBW-07605 Tea leaves) and
spiked real samples. The method was applied for the determination of analytes in
tap water and tea leaves.
PMID- 21889842
TI - Stabilization of ZnCl2-containing wastes using calcium sulfoaluminate cement:
leaching behaviour of the solidified waste form, mechanisms of zinc retention.
AB - To assess the potential of calcium sulfoaluminate cement to solidify and
stabilize wastes containing high amounts of soluble zinc chloride (a strong
inhibitor of Portland cement hydration), a simulated cemented waste form was
submitted to leaching by pure water at a fixed pH of 7 for three months,
according to a test designed to understand the degradation processes of cement
pastes. Leaching was controlled by diffusion. The zinc concentration in the
leachates always remained below the detection limit (2 MUmol/L), showing the
excellent confining properties of the cement matrix. At the end of the
experiment, the solid sample exhibited three zones which were accurately
characterized: (i) a highly porous and friable surface layer, (ii) a less porous
intermediate zone in which several precipitation and dissolution fronts occurred,
and (iii) the sound core. Ettringite was a good tracer for degradation. The good
retention of zinc by the cement matrix was mainly attributed to the precipitation
of a hydrated and well crystallized phase with platelet morphology (which may
belong to the layered double hydroxide family) at early age (<= 1 day), and to
chemisorption onto aluminum hydroxide at later age.
PMID- 21889843
TI - Synthesis of a novel silica-supported dithiocarbamate adsorbent and its
properties for the removal of heavy metal ions.
AB - Silica-supported dithiocarbamate adsorbent (Si-DTC) was synthesized by anchoring
the chelating agent of macromolecular dithiocarbamate (MDTC) to the chloro
functionalized silica matrix (SiCl), as a new adsorbent for adsorption of Pb(II),
Cd(II), Cu(II) and Hg(II) from aqueous solution. The surface characterization was
performed by FT-IR, XPS, SEM and elemental analysis indicating that the
modification of the silica surface was successfully performed. The effects of
media pH, adsorption time, initial metal ion concentration and adsorption
temperature on adsorption capacity of the adsorbent had been investigated.
Experimental data were exploited for kinetic and thermodynamic evaluations
related to the adsorption processes. The characteristics of the adsorption
process were evaluated by using the Langmuir, Freundlich and Dubinin-Radushkevich
(D-R) adsorption isotherms and adsorption capacities were found to be 0.34 mmol
g(-1), 0.36 mmol g(-1), 0.32 mmol g(-1) and 0.40 mmol g(-1) for Pb(II), Cd(II),
Cu(II) and Hg(II), respectively. The adsorption mechanism of Hg(II) onto Si-DTC
is quite different from that of Pb(II), Cd(II) or Cu(II) onto Si-DTC, which is
demonstrated by the XPS and FT-IR results.
PMID- 21889844
TI - Retention-oxidation-adsorption process for emergent treatment of organic liquid
spills.
AB - The feasibility and effectiveness of retention-oxidation-adsorption process (ROA)
for the elimination of organic contaminants induced by chemical accidents were
investigated in this study. Organobentonites (DTMA-, TTA-, CTMA- and OTMA
bentonite), potassium ferrate (Fe(VI)), ozone and granular activated carbon (GAC)
were used as rapid and efficient materials in the treatment and recovery of
organic liquid spills. Results indicated that the retention capacities of
organobentonites (especially CTMA-bentonite) were much higher than that of
natural bentonite towards the chosen organic compounds. Additionally, pH, oxidant
dosage, initial concentration of contaminant and chemical structure had
significant influences on the effectiveness of the oxidation process. In a pilot
scale experiment, the ferrate/GAC (F/G) and ozone/GAC (O/G) processes made a
comparatively good performance in the treatment of wastewater containing aniline
or nitrobenzene, with the removal efficiencies of the contaminants greater than
80%. Overall, the ROA process showed a high efficiency and steady operation in
the removal of hazardous organic liquids and subsequent clean up of the
contaminated site.
PMID- 21889845
TI - Removal of Ag+ from water environment using a novel magnetic thiourea-chitosan
imprinted Ag+.
AB - A novel, thiourea-chitosan coating on the surface of magnetite (Fe(3)O(4)) (Ag
TCM) was successfully synthesized using Ag(I) as imprinted ions for adsorption
and removal of Ag(I) ions from aqueous solutions. The thermal stability, chemical
structure and magnetic property of the Ag-TCM were characterized by the scanning
electron microscope (SEM), Fourier transform infrared spectrometer (FT-IR) and
vibrating sample magnetometer (VSM), respectively. Batch adsorption experiments
were performed to evaluate the adsorption conditions, selectivity and
reusability. The results showed that the maximum adsorption capacity was 4.93
mmol/g, observed at pH 5 and temperature 30 degrees C. Equilibrium adsorption was
achieved within 50 min. The kinetic data, obtained at the optimum pH 5, could be
fitted with a pseudo-second order equation. Adsorption process could be well
described by Langmuir adsorption isotherms and the maximum adsorption capacity
calculated from Langmuir equation was 5.29 mmol/g. The selectivity coefficient of
Ag(I) ions and other metal cations onto Ag-TCM indicated an overall preference
for Ag(I) ions, which was much higher than non-imprinted thiourea-chitosan beads.
Moreover, the sorbent was stable and easily recovered, the adsorption capacity
was about 90% of the initial saturation adsorption capacity after being used five
times.
PMID- 21889846
TI - Liquid-liquid extraction and flat sheet supported liquid membrane studies on
Am(III) and Eu(III) separation using 2,6-bis(5,6-dipropyl-1,2,4-triazin-3
yl)pyridine as the extractant.
AB - Solvent extraction and supported liquid membrane transport studies for the
preferential removal of Am(3+) from feeds containing a mixture of Am(3+) and
Eu(3+) was carried out using 2,6-bis(5,6-dipropyl-1,2,4-triazin-3-yl)pyridine (n
Pr-BTP) as the extractant. Diluent plays an important role in these studies. It
was observed that the distribution coefficients deteriorate significantly for
both Am(3+) and Eu(3+) though the separation factors were affected only
marginally. The transport studies were carried out at pH 2.0 in the presence of
NaNO(3) to result in the preferential Am(3+) transport with high separation
factors. Effect of different experimental parameters, viz. feed composition,
stripping agents, diluents of the organic liquid membrane and membrane pore size
was studied on the transport and separation behaviour of Am(3+) and Eu(3+). The
supported liquid membrane studies indicated about 85% Am(3+) and 6% Eu(3+)
transport in 6h using 0.03 M n-Pr-BTP in n-dodecane/1-octanol (7:3) diluent
mixture for a feed containing 1M NaNO(3) at pH 2 and a receiver phase containing
pH 2 solution as the strippant. Consequently, a permeability coefficient of (1.75
+/- 0.21) * 10(-4)cms(-1) was determined for the Am(3+) transport. Stability of
the n-Pr-BTP and its SLM was also studied by carrying out the distribution and
transport experiment after different time intervals.
PMID- 21889847
TI - Adsorption and photocatalytic and photosensitised bleaching of acid orange 7 on
multilayer mesoporous films of TiO2.
AB - A series of mesoporous films of titania of different thicknesses are prepared and
their surface areas and porosities determined by physical adsorption using Kr as
the adsorbate. The amounts of acid orange 7 (AO7) adsorbed by these films are
found to be proportional to their measured surface areas and so the possibility
of using this as a method of determining the surface area of thin titania films
is discussed. The initial rates of UV-driven photocatalytic- and visible-driven
photosensitised-bleaching of AO7 in solution, upon UVA and visible light
irradiation, respectively, are also directly dependent upon the measured surface
areas of the titania films. The quantum efficiencies for the UV photocatalytic-
and visible photosensitised-bleaching of AO7 by the thickest of the AO7 films
were estimated to be 0.08 and 0.01%, respectively.
PMID- 21889848
TI - CO2 sequestration by carbonation of steelmaking slags in an autoclave reactor.
AB - Carbon dioxide (CO(2)) sequestration experiments using the accelerated
carbonation of three types of steelmaking slags, i.e., ultra-fine (UF) slag, fly
ash (FA) slag, and blended hydraulic slag cement (BHC), were performed in an
autoclave reactor. The effects of reaction time, liquid-to-solid ratio (L/S),
temperature, CO(2) pressure, and initial pH on CO(2) sequestration were
evaluated. Two different CO(2) pressures were chosen: the normal condition (700
psig) and the supercritical condition (1300 psig). The carbonation conversion was
determined quantitatively by using thermo-gravimetric analysis (TGA). The major
factors that affected the conversion were reaction time (5 min to 12h) and
temperature (40-160 degrees C). The BHC was found to have the highest carbonation
conversion of approximately 68%, corresponding to a capacity of 0.283 kg CO(2)/kg
BHC, in 12h at 700 psig and 160 degrees C. In addition, the carbonation products
were confirmed to be mainly in CaCO(3), which was determined by using scanning
electron microscopy (SEM) and X-ray powder diffraction (XRD) to analyze samples
before and after carbonation. Furthermore, reaction kinetics were expressed with
a surface coverage model, and the carbon footprint of the developed technology in
this investigation was calculated by a life cycle assessment (LCA).
PMID- 21889849
TI - Evaluation of conventional PCR for detection of Strongylus vulgaris on horse
farms.
AB - Strongyle parasites are ubiquitous in grazing horses. Of these, the bloodworm
Strongylus vulgaris is regarded as most pathogenic. Increasing levels of
anthelmintic resistance in strongyle parasites has led to recommendations of
decreased treatment intensities, and there is now a pronounced need for reliable
tools for detection of parasite burdens in general and S. vulgaris in particular.
The only method currently available for diagnosing S. vulgaris in practice is the
larval culture, which is laborious and time-consuming, so veterinary
practitioners most often pool samples from several horses together in one culture
to save time. Recently, molecular tools have been developed to detect S. vulgaris
in faecal samples. The aim of this study was to compare the performance of a
conventional polymerase chain reaction (PCR) assay with the traditional larval
culture and furthermore test the performance of pooled versus individual PCR for
farm screening purposes. Faecal samples were obtained from 331 horses on 18
different farms. Farm size ranged from 6 to 56 horses, and horses aged between 2
months and 31 years. Larval cultures and PCR were performed individually on all
horses. In addition, PCR was performed on 66 faecal pools consisting of 3-5
horses each. Species-specific PCR primers previously developed were used for the
PCR. PCR and larval culture detected S. vulgaris in 12.1 and 4.5% of individual
horses, respectively. On the farm level, eight farms tested positive with the
larval culture, while 13 and 11 farms were positive with the individual and
pooled PCRs, respectively. The individual PCR method was statistically superior
to the larval culture, while no statistical difference could be detected between
pooled and individual PCR for farm screening. In conclusion, pooled PCR appears
to be a useful tool for farm screening for S. vulgaris.
PMID- 21889850
TI - Prevalence of Dirofilaria immitis infection in dogs from Dandong, China.
AB - The aim of the present study was to estimate the prevalence and risk factors of
Dirofilaria immitis infection in dogs from Dandong, China. A total of 886 dogs
were examined for D. immitis infection by microscopic examination and PCR,
indicating that the prevalence was 16.6% (213/886) and 24.0% (147/886),
respectively. The odds of infection were significantly higher in older dogs and
dogs sheltered in outdoor, compared to the younger ones and ones sheltered in
indoor. No significant difference of infection was observed in different genders,
and between pure breed and cross-breed dogs in the same rearing conditions. These
results indicated that the risk of exposure to D. immitis in dogs is high in
Dandong, China, and prophylaxis against the parasite is advisable to decrease the
incidence of canine dirofilariosis.
PMID- 21889851
TI - Molecular and functional characterization of a Schistosoma bovis annexin:
fibrinolytic and anticoagulant activity.
AB - Annexins belong to an evolutionarily conserved multigene family of proteins
expressed throughout the animal and plant kingdoms. Although they are soluble
cytosolic proteins that lack signal sequences, they have also been detected in
extracellular fluids and have been associated with cell surface membranes, where
they could be involved in anti-haemostatic and anti-inflammatory functions.
Schistosome annexins have been identified on the parasite's tegument surface and
excretory/secretory products, but their functions are still unknown. Here we
report the cloning, sequencing, in silico analysis, and functional
characterization of a Schistosoma bovis annexin. The predicted protein has
typical annexin secondary and tertiary structures. Bioassays with the recombinant
protein revealed that the protein is biologically active in vitro, showing
fibrinolytic and anticoagulant properties. Finally, the expression of the native
protein on the tegument surface of S. bovis schistosomula and adult worms is
demonstrated, revealing the possibility of exposure to the host's immune system
and thus offering a potential vaccine target for the control of schistosomiasis
in ruminants.
PMID- 21889852
TI - Impacts of naturally acquired protozoa and strongylid nematode infections on
growth and faecal attributes in lambs.
AB - On two separate sampling occasions, faecal samples were collected from lambs (2-5
months of age) grazing pasture on two separate sheep farms in southern Western
Australia. Live weight, body condition score (BCS), faecal consistency score
(FCS) and faecal dry matter percentage (DM%) were measured. Faecal samples were
screened by PCR for Cryptosporidium (18S rRNA, actin and 60 kDa glycoprotein
[gp60] loci), Giardia duodenalis (glutamate dehydrogenase [gdh] and beta-giardin)
and patent strongylid nematode infections (ITS-2 nuclear ribosomal DNA for
Haemonchus contortus, Teladorsagia circumcincta, Trichostrongylus spp. Chabertia
ovina and Oesophagostomum spp.). Faecal worm egg counts (WECs) were performed
using a modified McMaster WEC technique. The WECs were adjusted for FCS and
transformed using log(10)(adjusted WEC+25) prior to statistical analyses.
Cryptosporidium, Giardia and Trichostrongylus spp. detected by PCR were
associated with an increased risk of non-pelleted faeces (FCS >= 3.0) for both
flocks. Cryptosporidium-positive lambs were 2.8-11.6 times more likely to have
non-pelleted faeces and Giardia-positive lambs were 2.4-14.0 times more likely to
have non-pelleted faeces compared to lambs negative for each respective parasite.
Lambs positive for both Cryptosporidium and Giardia were 2.9-11.8 times more
likely to have non-pelleted faeces than lambs positive for only one or neither of
these parasites. Mixed internal parasite infections were found to have greater
impacts on FCS and BCS than single infections. A higher number of internal
parasites detected per lamb was associated with lower BCS and more loose faeces.
The relationship between parasite detection and live weight or growth rate were
inconsistent for both flocks. Adjusted WEC was correlated with FCS and faecal DM%
for one flock only, although little or no correlation was found with live weight
and growth rate for both flocks. Cryptosporidium ubiquitum and Cryptosporidium
parvum were the most prevalent Cryptosporidium species isolated in the two
flocks. Giardia assemblage E was the most commonly isolated genotype assemblage
from both flocks, while assemblage A was isolated almost as frequently as
assemblage E in the one flock. One flock was a potential source of zoonotic
Cryptosporidium and the other flock was a potential source of zoonotic Giardia.
PMID- 21889853
TI - Self and peer perceptions of childhood aggression, social withdrawal and
likeability predict adult substance abuse and dependence in men and women: a 30
year prospective longitudinal study.
AB - While childhood behaviors such as aggression, social withdrawal and likeability
have been linked to substance abuse outcomes in adolescence and adulthood, the
mechanisms by which these variables relate are not yet well established. Self and
peer perceptions of childhood behaviors in men and women were compared to assess
the role of context in the prediction of drug and alcohol abuse and dependence.
Participants (N=676) in an ongoing longitudinal project examining the relation
between childhood behavior and adult mental health outcomes completed the
Structured Clinical Interview for the DSM IV regarding their histories of
substance abuse in mid-adulthood (mean age=34, SD=2). In women, higher levels of
both self and peer reported aggression were associated with drug and alcohol
abuse and dependence, and higher levels of peer reported aggression were
associated with higher levels of alcohol abuse and dependence. As well, higher
levels of self-perceived likeability were protective regarding substance abuse
and dependence outcomes. In men, higher levels of peer perceived social
withdrawal were protective regarding substance abuse and dependence outcomes.
Findings support the comparison of self and peer perceptions of childhood
behavior as a method of assessing the mechanisms by which childhood behaviors
impact adult outcomes, and suggest the importance of gender in the relation
between childhood behaviors and adult substance abuse and dependence.
PMID- 21889854
TI - Identification of cattle, llama and horse meat by near infrared reflectance or
transflectance spectroscopy.
AB - Visible and near infrared reflectance spectroscopy (VIS-NIRS) was used to
discriminate meat and meat juices from three livestock species. In a first trial,
samples of Longissimus lumborum muscle, corresponding to beef (31) llamas (21)
and horses (27), were homogenised and their spectra collected in reflectance
(NIRSystems 6500 scanning monochromator, in the range of 400-2500 nm). In the
second trial, samples of meat juice (same muscle) from the same species (20 beef,
19 llama and 19 horse) were scanned in folded transmission (transflectance).
Discriminating models (PLS regression) were developed against "dummy" variables,
testing different mathematical treatments of the spectra. Best models indentified
the species of almost all samples by their meat (reflectance) or meat juice
(transflectance) spectra. A few (three of beef and one of llama, for meat
samples; one of beef and one of horse, for juice samples) were classified as
uncertain. It is concluded that NIRS is an effective tool to recognise meat and
meat juice from beef, llama and horses.
PMID- 21889855
TI - Characterization and clonal grouping of pathogenic Escherichia coli isolated from
intestinal contents of diarrheic piglets in Villa Clara province, Cuba, according
to their antibiotic resistance and ERIC-PCR profiles.
PMID- 21889856
TI - Administration of a gonadotropin-releasing hormone antagonist to mares at
different times during the luteal phase of the estrous cycle.
AB - The GnRH antagonist cetrorelix was given during the early (Days 1-5), mid (Days 6
10 or 5-12) or for the entire (Days 1-16) luteal phase of mares to inhibit the
secretion of FSH and LH (Day 0=ovulation). Frequent blood sampling from Day 6 to
Day 14 was used to determine the precise time-course of the suppression
(cetrorelix given Days 6-10). Cetrorelix treatment caused a decrease in FSH and
LH concentrations by 8 and 16 h, respectively, and an obliteration of the
response to exogenous GnRH given 24h after treatment onset. Treatment never
suppressed gonadotropin concentrations to undetectable levels; e.g. frequent
sampling showed that the nadirs reached in FSH and LH were 46.2+/-6% and 33.1+/
11%, respectively, of pre-treatment concentrations. Daily FSH concentrations were
decreased in all treatment groups but daily LH concentrations were lower only
when treatment commenced at the beginning of the luteal phase; progesterone
concentrations depended on the time of cetrorelix administration, but the changes
suggested a role for LH in corpus luteum function. The inter-ovulatory interval
was longer than controls when cetrorelix was given in the mid- or for the entire
luteal phase, but was unaffected by treatment in the early phase. Nevertheless,
in all groups, FSH concentrations were higher (P<0.05 when compared to Day 0,
subsequent ovulation) approximately 6-10 days before this next ovulation. This
consistent relationship suggests a stringent requirement for a GnRH-induced
elevation of FSH above a threshold at, but only at, this time; i.e. approximately
6-10 days before ovulation.
PMID- 21889857
TI - Cervical cancer in Indigenous women: The case of Australia.
AB - Globally, health inequities between Indigenous and non-Indigenous populations
exist. The disparity in health outcomes between Indigenous and non-Indigenous
Australians is exemplified by cervical cancer. Current evidence suggests that
Indigenous women have higher age standardised incidence and mortality than non
Indigenous women when adjusted for stage at diagnosis and co-morbidities;
however, there is little information pertaining to national estimates of cervical
cancer in Indigenous women. In this paper we review available evidence on the
difference in occurrence and case fatality of cervical cancer among Indigenous
and non-Indigenous Australian women. The Australian Bureau of Statistics,
Australian Institute of Health and Welfare, and State- or Territory-based Cancer
Registries were utilised to collect surveillance data. To corroborate existing
data, further available journal literature was identified through Medline and
Embase. All papers selected for review were cross-referenced to identify further
relevant studies. The most recent national estimate of age-standardised cervical
cancer incidence rate was 16.9 and 7.1 per 100,000 women-years in Indigenous and
non-Indigenous women respectively (incidence ratio 2.4). The Indigenous age
standardised mortality rate was 9.9 per 100,000 women years (95% CI 7.1-13.3),
over 5 times the non-Indigenous rate. Cervical cancer incidence, in both
Indigenous and non-Indigenous women, has decreased since 1991. Despite the
decline, age-standardised incidence among Indigenous women is still higher than
non-Indigenous women. The pattern of cervical cancer incidence and survival
corroborates the health inequities that exist in Australia. Indigenous women are
more likely than non-Indigenous women to develop cervical cancer and are less
likely to survive it. Similar patterns exist in Indigenous populations worldwide,
such as New Zealander Maoris and Canadian Aboriginals, suggesting that high rates
of cervical cancer incidence and mortality may be a symptom of social and
economic inequity.
PMID- 21889858
TI - Embryonal rhabdomyosarcoma of the head and neck in an adult.
AB - OBJECTIVE: This report describes an exceedingly rare case of adult embryonal
rhabdomyosarcoma arising in the head and neck, which was initially diagnosed as a
primary unknown cancer. METHOD: The patient underwent a radical neck dissection
with the total excision of the tumor, which was diagnosed as embryonal
rhabdomyosarcoma. RESULT: The patient received intensive adjuvant chemotherapy,
and is still alive with no signs of recurrence or metastasis. CONCLUSION: This
case report demonstrates not only the rarity of this neoplasm but the importance
of the differential diagnosis for planning the therapeutic strategy.
PMID- 21889859
TI - [Influence of malnutrition on childhood mortality in a rural hospital in Rwanda].
AB - BACKGROUND: Recent estimates of the role of malnutrition on childhood mortality
have led to a call for action by decision makers in the fight against child
malnutrition. Further evaluation is needed to assess the burden of malnutrition
in terms of morbidity and mortality, as well as to assess the impact of various
interventions. The objective of this study is to determine the effect of
malnutrition on mortality in a pediatric service of a rural hospital in Rwanda.
METHODS: A prospective cohort study included children aged 6-59 months coming
from the catchment area of the hospital and admitted to the pediatric ward
between January 2008 and June 2009. Anthropometric, clinical and biological data
were gathered at the time of admission. The effect of malnutrition at the time of
admission on mortality during hospitalization was analyzed by using logistic
regression. RESULTS: At the time of admission, the prevalences of wasting,
underweight and stunting among children was 14.2%, 37.5% and 57.3% respectively.
Fifty-six children died during hospitalization. The period mortality rate was
6.9%. After adjustment for age, sex, malaria thick smear and breathing with chest
retractions, death was associated with underweight and stunting with adjusted
odds rations of 4.6 (IC95% 2.5-8.4) and 4.0 (IC95% 2.0-8.2) respectively.
CONCLUSION: The study confirmed the influence of malnutrition on child mortality
in pediatrics wards. These results can be of great help for improving the
awareness of the community decision-makers in the fight to prevent malnutrition.
PMID- 21889860
TI - Permeability to macromolecular contrast media quantified by dynamic MRI
correlates with tumor tissue assays of vascular endothelial growth factor (VEGF).
AB - PURPOSE: To correlate dynamic MRI assays of macromolecular endothelial
permeability with microscopic area-density measurements of vascular endothelial
growth factor (VEGF) in tumors. METHODS AND MATERIAL: This study compared tumor
xenografts from two different human cancer cell lines, MDA-MB-231 tumors (n=5),
and MDA-MB-435 (n=8), reported to express respectively higher and lower levels of
VEGF. Dynamic MRI was enhanced by a prototype macromolecular contrast medium
(MMCM), albumin-(Gd-DTPA)35. Quantitative estimates of tumor microvascular
permeability (K(PS); MUl/min * 100 cm(3)), obtained using a two-compartment
kinetic model, were correlated with immunohistochemical measurements of VEGF in
each tumor. RESULTS: Mean K(PS) was 2.4 times greater in MDA-MB-231 tumors
(K(PS)=58 +/- 30.9 MUl/min * 100 cm(3)) than in MDA-MB-435 tumors (K(PS)=24 +/-
8.4 MUl/min * 100 cm(3)) (p<0.05). Correspondingly, the area-density of VEGF in
MDA-MB-231 tumors was 2.6 times greater (27.3 +/- 2.2%, p<0.05) than in MDA-MB
435 cancers (10.5 +/- 0.5%, p<0.05). Considering all tumors without regard to
cell type, a significant positive correlation (r=0.67, p<0.05) was observed
between MRI-estimated endothelial permeability and VEGF immunoreactivity.
CONCLUSION: Correlation of MRI assays of endothelial permeability to a MMCM and
VEGF immunoreactivity of tumors support the hypothesis that VEGF is a major
contributor to increased macromolecular permeability in cancers. When applied
clinically, the MMCM-enhanced MRI approach could help to optimize the appropriate
application of VEGF-inhibiting therapy on an individual patient basis.
PMID- 21889861
TI - Halorubellus salinus gen. nov., sp. nov. and Halorubellus litoreus sp. nov.,
novel halophilic archaea isolated from a marine solar saltern.
AB - Two extremely halophilic archaeal strains GX3(T) and GX26(T) were isolated from
the Gangxi marine solar saltern near the Weihai city of Shandong Province, China.
Cells from the two strains were pleomorphic and stained Gram-negative, colonies
were red-pigmented. Strains GX3(T) and GX26(T) were able to grow at 25-50
degrees C (optimum 37 degrees C), at 1.4-5.1M NaCl (optimum 3.1M), at pH 5.5-9.5
(optimum pH 7.0) and neither strain required Mg(2+) for growth. Cells lyse in
distilled water and the minimal NaCl concentration to prevent cell-lysis was 8%
(w/v). The major polar lipids of the two strains were PA (phosphatidic acid), PG
(phosphatidylglycerol), PGP-Me (phosphatidylglycerol phosphate methyl ester) and
three major glycolipids (GL1, GL2 & GL3) chromatographically identical to S-TGD-1
(sulfated galactosyl mannosy glucosyl diether), S-DGD-1 (sulfated mannosyl
glucosyl diether), and DGD-1 (mannosyl glucosyl diether) respectively, an
unidentified lipid (GL4) was also detected in strain GX26(T). Phylogenetic
analysis based on 16S rRNA gene revealed that strain GX3(T) and strain GX26(T)
formed a distinct clade with the closest relative, Haladaptatus paucihalophilus
(89.9-92.4% and 90.4-92.7, respectively). The rpoB' gene similarities between
strains GX3(T) and GX26(T), and between the two strains and the closest relative,
Halorussus rarus TBN4(T) are 96.5%, 84.3% and 83.9%, respectively. The DNA G+C
contents of strain GX3(T) and strain GX26(T) are 67.3 mol% and 67.2 mol%,
respectively. The DNA-DNA hybridization value between strain GX3(T) and strain
GX26(T) was 44%. The phenotypic, chemotaxonomic and phylogenetic properties
suggest that strain GX3(T) and strain GX26(T) represent two novel species in a
new genus within the family Halobacteriaceae, Halorubellus salinus gen. nov., sp.
nov. (type strain GX3(T)=CGMCC 1.10384(T)=JCM 17115(T)) and Halorubellus litoreus
sp. nov. (type strain GX26(T)=CGMCC 1.10386(T)=JCM 17117(T)).
PMID- 21889863
TI - Response to letter to the editor and commentary to "'And then one day he'd shot
himself. Then I was really shocked': general practitioners' reaction to patient
suicide".
PMID- 21889862
TI - The bacterial microbiota in the ceca of Capercaillie (Tetrao urogallus) differs
between wild and captive birds.
AB - The diet of wild capercaillie differs strongly between seasons. Particularly
during winter, when energy demands are high and the birds forage solely on
coniferous needles, microbial fermentations in the ceca are considered to
contribute significantly to the energy requirement and to the detoxification of
the resinous diet. Here, we present the first cultivation-independent analysis of
the bacterial community in the cecum of capercaillie, using the 16S rRNA gene as
a molecular marker. Cloning and fingerprinting analyses of cecum feces show
distinct differences between wild and captive birds. While certain lineages of
Clostridiales, Synergistetes, and Actinobacteria are most prevalent in wild
birds, they are strongly reduced in individuals raised in captivity. Most
striking is the complete absence of Megasphaera and Synergistes species in
captive capercaillie, which are characterized by a large abundance of
Gammaproteobacteria closely related to members of the genus Anaerobiospirillum,
bacteria that are commonly connected with intestinal dysfunction. The community
profiles of cecum content from wild birds differed between summer and winter
season, and the cecum wall may be an important site for bacterial colonization.
Our results corroborate the hypothesis that the bacterial community in the ceca
of tetraonid birds changes in response to their highly specialized seasonal
diets. Moreover, we propose that the observed differences in community profiles
between wild and captive capercaillie reflects a disturbance in the bacterial
microbiota that compromises the performance of the cecum and may be responsible
for the high mortality of captive birds released into nature.
PMID- 21889864
TI - Further validation of the 5-item Perceived Efficacy in Patient-Physician
Interactions (PEPPI-5) scale in patients with osteoarthritis.
AB - OBJECTIVE: To examine the structural validity, internal consistency, test-retest
reliability, and construct validity of the 5-item Perceived Efficacy in Patient
Physician Interactions (PEPPI-5) scale in patients with osteoarthritis (OA).
METHODS: A cross-sectional sample of 224 outpatients with OA completed a survey
containing the Dutch PEPPI-5 and other standardized measures assessing perceived
health-management skills, general self-efficacy, social support, and health
related quality of life. A subsample of 100 patients completed the PEPPI-5 again
approximately 3 weeks later. RESULTS: Confirmatory factor analysis demonstrated
good fit for a unidimensional model of the PEPPI-5. Additionally, the scale
showed high internal consistency (alpha=0.92) and fair test-retest reliability
(ICC=0.68). As hypothesized, the PEPPI-5 was strongly correlated with perceived
health-management skills, moderately with social support and psychosocial aspects
of health, and not with physical aspects of health. Contrary to expectations,
however, it was not correlated with general self-efficacy. CONCLUSION: The Dutch
PEPPI-5 demonstrated adequate validity and reliability in patients with OA.
PRACTICE IMPLICATIONS: The PEPPI-5 is a brief and appropriate tool for measuring
self-efficacy of patients with OA to interact with their physicians. Additional
research into its sensitivity to change is needed before it can be confidently
recommended as an outcome measure in intervention studies.
PMID- 21889866
TI - Supporting self management--a necessity in diabetes healthcare.
PMID- 21889865
TI - A conceptual model of the role of communication in surrogate decision making for
hospitalized adults.
AB - OBJECTIVE: To build a conceptual model of the role of communication in decision
making, based on literature from medicine, communication studies and medical
ethics. METHODS: We proposed a model and described each construct in detail. We
review what is known about interpersonal and patient-physician communication,
described literature about surrogate-clinician communication, and discussed
implications for our developing model. RESULTS: The communication literature
proposes two major elements of interpersonal communication: information
processing and relationship building. These elements are composed of constructs
such as information disclosure and emotional support that are likely to be
relevant to decision making. We propose these elements of communication impact
decision making, which in turn affects outcomes for both patients and surrogates.
Decision making quality may also mediate the relationship between communication
and outcomes. CONCLUSION: Although many elements of the model have been studied
in relation to patient-clinician communication, there is limited data about
surrogate decision making. There is evidence of high surrogate distress
associated with decision making that may be alleviated by communication-focused
interventions. More research is needed to test the relationships proposed in the
model. PRACTICE IMPLICATIONS: Good communication with surrogates may improve both
the quality of medical decisions and outcomes for the patient and surrogate.
PMID- 21889867
TI - The "50% rule" in arthroscopic and orthopaedic surgery.
AB - The "50% rule" is used commonly to guide treatment of partial tears of tendons
and ligaments. The purpose of this study was to examine the history and validity
of the 50% rule in arthroscopic and orthopaedic surgery. A PubMed search yielded
1,039 articles that were reviewed to identify the origins of the 50% rule for
hand flexor tendon lacerations, partial anterior cruciate ligament tears, partial
thickness rotator cuff tears, and partial injuries of the long head of the biceps
tendon. The rule appears to have evolved from the hand literature toward somewhat
arbitrary application for other orthopaedic conditions. Little scientific
information is available to support the 50% rule for these disparate entities. In
our Level V opinion, the 50% rule allows surgeons to use subjective discretion in
the management of prevalent orthopaedic conditions but there is very little
scientific support for this ubiquitous decision-making criterion.
PMID- 21889868
TI - Posterior shoulder dislocation: systematic review and treatment algorithm.
AB - PURPOSE: Posterior shoulder dislocations (PSDs) comprise a small subset of
shoulder dislocations, and there are few evidence-based treatment protocols and
no actual algorithm for the treatment of PSDs available in the literature. This
article provides a systematic review of the literature, as well as an overview of
clinical and radiologic diagnostic techniques, and presents an algorithm for
treatment of PSDs, including minimally invasive treatment options. METHODS: For a
systematic review of current literature, a systematic search was performed in the
Medline and Cochrane databases. Journal articles published between January 1940
and June 2010 were taken into account. Studies that only existed as abstracts
were not included in the analysis. Broad exclusion criteria consisted of
radiologic reports, review articles, case reports, and technical notes. Refined
exclusion criteria consisted of a minimum of 4 patients with PSDs operated on by
the same surgical technique and clinical outcome documented by a functional
shoulder score. RESULTS: The final set of articles for evaluating closed or open
techniques included 5 prospective case series and 6 retrospective studies. Within
this group, there was no study with a level of evidence higher than Level IV. We
present a descriptive comparison of these studies because of the heterogeneity
and/or number of patients and the level of evidence. Case reports illustrate the
different surgical approaches according to the literature. CONCLUSIONS: PSDs are
still a challenge for the treating physician. There are few articles available
about PSDs in evidence-based literature, with a limited number of cases. Our
algorithm provides guidelines for decision making including minimally invasive
treatment options according to the available literature. LEVEL OF EVIDENCE: Level
IV, systematic review of Level IV studies.
PMID- 21889869
TI - Comparisons of femoral tunnel position and length in anterior cruciate ligament
reconstruction: modified transtibial versus anteromedial portal techniques.
AB - PURPOSE: We aimed to compare the modified transtibial and anteromedial (AM)
portal techniques of anterior cruciate ligament reconstruction with respect to
femoral tunnel position and length, as well as to identify factors associated
with tunnel length. METHODS: After exclusions, 105 primary anterior cruciate
ligament reconstructions (55 in transtibial group and 50 in AM portal group) were
studied. Femoral tunnel positions were assessed on postoperative tunnel-view
radiographs, and tunnel lengths were measured during surgery. Differences between
femoral tunnel positions in the coronal plane and lengths in these 2 groups were
examined, and factors associated with tunnel lengths were investigated. RESULTS:
The AM portal group had a significantly more oblique femoral tunnel position than
the transtibial group. However, femoral tunnels in the AM portal group were
substantially shorter than tunnels in the transtibial group (34.2 v 43.3 mm, P <
.001); the proportions of knees with femoral tunnels measuring less than 30 mm in
the AM portal and transtibial groups were 26% and only 2%, respectively. In
addition, a more oblique femoral tunnel position and a shorter distal femur
mediolateral width were found to be significantly associated with a shorter
femoral tunnel. CONCLUSIONS: This study shows that the AM portal technique can
achieve a more oblique femoral tunnel position but that resultant tunnels are
substantially shorter than tunnels produced by the modified transtibial
technique.
PMID- 21889870
TI - [Towards the end of eponyms in medicine].
PMID- 21889871
TI - Not everything that is hot on a staging bone scan is malignant: a pictorial
review of benign causes of increased isotope uptake.
PMID- 21889872
TI - Complete dislodgement of a femoral component of a knee arthroplasty and expulsion
through an infected sinus.
AB - This is an unusual presentation of complete loosening of an infected femoral
component of a knee arthroplasty and expulsion of the metal foreign body out of
the body.
PMID- 21889873
TI - Refractive outcomes after cataract surgery: Scheimpflug keratometry versus
standard automated keratometry in virgin corneas.
AB - PURPOSE: To compare pupil size-based Scheimpflug Holladay equivalent keratometry
with conventional automated keratometry in refractive outcomes after cataract
surgery in patients with virgin corneas. SETTING: Private practice, Lombard,
Illinois, USA. DESIGN: Comparative case series. METHODS: Two groups had
phacoemulsification with implantation of a posterior chamber intraocular lens
(IOL). Both had immersion ultrasound biometry. The study group had Scheimpflug
keratometry based on pupil size. The control group had conventional automated
keratometry. The IOL power was calculated with the Holladay 2 formula.
Statistical analysis of the refractive outcomes and the keratometry (K) readings
was performed. RESULTS: The study group comprised 76 patients (110 eyes) and the
control group, 94 patients (137 eyes). The mean average K reading was 43.45
diopters (D) in the study group and 43.51 D in the control group; the difference
was not statistically significant (P=.779). The mean absolute refractive error
was 0.480 D in the study group and 0.252 D in the control group; the difference
was statistically significant (P<.001). In the study group, 77 eyes were within
+/- 0.50 D of the intended postoperative refraction, 28 were between +/- 0.50 D
and +/- 1.00 D, 4 were between +/- 1.00 D and +/- 1.50 D, and 1 was between +/-
1.50 D and +/- 2.00 D. In the control group, 131 eyes were within +/- 0.50 D, 3
were between +/- 0.50 D and +/- 1.00 D, and 3 were between +/- 1.00 D and +/-
1.50 D. The between-group difference was statistically significant (P<.001).
CONCLUSION: Conventional automated keratometry gave better refractive outcomes
after cataract surgery than pupil-based Scheimpflug keratometry. FINANCIAL
DISCLOSURE: No author has a financial or proprietary interest in any material or
method mentioned.
PMID- 21889874
TI - Phacoemulsification cataract surgery in a large cohort of diabetes patients:
visual acuity outcomes and prognostic factors.
AB - PURPOSE: To assess visual acuity outcomes after phacoemulsification cataract
surgery in a large population of diabetic patients with all degrees of diabetic
retinopathy. SETTING: Diabetology and ophthalmology unit, Copenhagen, Denmark.
DESIGN: Cohort study. METHODS: This review of prospectively collected data
comprised patients who had small-incision phacoemulsification cataract surgery
between 1999 and 2008 (10 years) according to the Danish National Patient
Registry. RESULTS: Data of 7323 diabetic patients were reviewed. Of these
patients, 285 had cataract surgery. The corrected distance visual acuity (CDVA)
increased significantly after cataract surgery (P<.001; P<.05 in all diabetic
retinopathy categories). The postoperative CDVA outcome was positively correlated
with preoperative CDVA and negatively correlated with the degree of diabetic
retinopathy and age (P<.001). Patients with a history of focal laser treatment
for clinically significant macular edema had a higher risk for not gaining from
cataract surgery (P=.04; relative risk, 1.6). In post hoc analysis, the
proportion of patients in the cohort without diabetic retinopathy appeared to
increase the year before cataract surgery (P=.03) and decrease the year after
cataract surgery (P<.001). CONCLUSIONS: The CDVA increased significantly after
phacoemulsification cataract surgery in diabetic patients regardless of the
degree of diabetic retinopathy. The apparent progression in diabetic retinopathy
after modern cataract surgery seems to reflect the masking of low grades of
diabetic retinopathy by preoperative lens opacities. FINANCIAL DISCLOSURE: No
author has a financial or proprietary interest in any material or method
mentioned.
PMID- 21889875
TI - Hoarding behaviors among nonclinical elderly adults: correlations with hoarding
cognitions, obsessive-compulsive symptoms, and measures of general
psychopathology.
AB - This study examines correlates of hoarding behaviors among nonclinical elderly
adults, focusing upon hoarding cognitions, obsessive-compulsive symptoms, and
measures of general psychopathology. Two hundred and sixty-nine adults aged 56-93
years (M=72.49 years) completed the Saving Inventory-Revised, Savings Cognitions
Inventory-Revised, Obsessive Compulsive Inventory-Revised, Social Interaction
Anxiety Scale, Penn State Worry Questionnaire, and Beck Depression Inventory. Our
geriatric sample evidenced significantly greater severity of hoarding behaviors
than did a younger community sample (24-72 years; M=44.4 years; Frost, Steketee,
& Grishman, 2004). Within our sample, moderate correlations were found between
hoarding behaviors and hoarding cognitions; however, controlling for obsessive
compulsive and depressive symptoms resulted in reduced-magnitude associations. As
well, relationships between obsessive-compulsive symptoms and both hoarding
behaviors and hoarding cognitions were generally strong, with most relationships
diminishing after controlling for depressive symptoms. Associations between
hoarding symptoms and symptoms of social anxiety, general worry, and depression
were generally moderate. A significant regression model showed depressive
symptoms explaining the most unique variance in hoarding behaviors. Findings
confirm a relatively greater severity of hoarding behaviors in older adults (as
compared to younger adults) and suggest that related psychopathology plays a
critical role in hoarding expression among older adults. As well, the current
study contributes to the ongoing investigation of the diagnostic categorization
of compulsive hoarding.
PMID- 21889876
TI - The effects of high molecular weight hyaluronic acid (Hylan G-F 20) on
experimentally induced temporomandibular joint osteoartrosis: part II.
AB - The aim of this study was to determine the efficacy of Hylan G-F 20 on
experimentally induced osteoarthritic changes in rabbit temporomandibular joint
(TMJ). A 3mg/ml concentration of sodium mono iodoacetate (MIA) had been injected
into both joints of 24 rabbits to create osteoartrosis. The study group was
injected with Hylan G-F 20 in one joint and saline in the contralateral joint as
a control (once a week for 3 weeks). Histological changes in articular cartilage,
osteochondral junction, chondrocyte appearance and subchondral bone were
determined at 4, 6, and 8 weeks. Regarding cartilage, there was a statistically
significant difference between the two groups at 4 weeks. Degenerative bony
changes to subchondral bone were significantly higher in the controls. No
statistical difference was found in the study group at 6 weeks. A positive
correlation was found between osteochondral junction and subchondral bone in the
study group at 8 weeks. The changes in chondrocyte appearance were significantly
decreased in the study group at all follow-up times. Intra-articular injection of
Hylan G-F 20 decreased cartilage changes in early stage TMJ osteoartrosis and
clustering of chondrocytes showed the chondroprotective effects of Hylan G-F 20
caused by hypertrophic responses.
PMID- 21889877
TI - Factors leading to failure to diagnose acute aortic dissection in the emergency
room.
AB - BACKGROUND: Acute aortic dissection (AAD) is often missed on initial assessment.
PURPOSE: The aim of our study was to identify features associated with
misdiagnosis of AAD. METHODS AND RESULTS: We examined a total of 109 emergency
room (ER) patients who were ultimately diagnosed with AAD. Misdiagnosis of AAD
was defined as failure to diagnose AAD at the end of the initial assessment in
the ER, and occurred in 17 patients (16%). The alternate diagnosis consisted of
acute coronary syndrome (n=10), other cardiovascular disease (n=3), abdominal
disease (n=3), and cerebral infarction (n=1). In the misdiagnosed patients, walk
in mode of admission to the ER (29% vs. 10%, p=0.042) and anterior chest pain
(71% vs. 41%, p=0.025) were more frequent, and widened mediastinum (25% vs. 55%,
p=0.023) was less frequent than in diagnosed patients. The number of imaging
studies performed per patient was also fewer in misdiagnosed patients than in
diagnosed patients (0.82 +/- 0.81 vs. 1.53 +/- 0.52, p<0.001). However, there was
no significant difference in in-hospital mortality (18% vs. 15%, p=0.520).
Multivariate analysis showed that the strongest predictor of misdiagnosis was
walk-in mode of admission (odds ratio 4.777; 95% confidence interval 1.267
18.007; p=0.021). CONCLUSIONS: Both diversity of symptoms and variability of the
severity of symptoms, especially walk-in mode of admission lead ER physicians to
miss AAD in about 1 in 6 cases of AAD. It is therefore important to keep AAD as a
differential diagnosis in mind, even when patients present with mild enough
symptoms that allow them to walk into the ER.
PMID- 21889878
TI - Double-blind comparison of the safety and efficacy of lurasidone and ziprasidone
in clinically stable outpatients with schizophrenia or schizoaffective disorder.
AB - BACKGROUND: Lurasidone is a new atypical antipsychotic agent with high affinity
for D(2), 5-HT(2A) and 5-HT(7) receptors. The current study evaluated the safety
and efficacy of lurasidone and ziprasidone in stable outpatients diagnosed with
schizophrenia or schizoaffective disorder. METHODS: Adult outpatients who met DSM
IV criteria for schizophrenia or schizoaffective disorder that was chronic (>=6
months duration) and stable were randomized to 21 days of double-blind treatment
with a fixed dose of lurasidone 120 mg once daily (N=150) or ziprasidone 80 mg
BID (N=151). Changes from baseline in efficacy measures were evaluated using
mixed model for repeated measures (MMRM) analyses. RESULTS: The proportion of
patients who discontinued from the study was similar for lurasidone and
ziprasidone (32.5% vs. 30.7%); the proportion who discontinued due to adverse
events was similar (10.4% vs. 11.1%). Treatment with lurasidone and ziprasidone
was associated with a small endpoint reduction in median weight (-0.65 kg vs.
0.35 kg) and median total cholesterol (-6.4 vs. -4.4 mg/dL); no endpoint change
was observed in median triglycerides (0.0 vs. 0.0 mg/dL). There were no
clinically significant changes in other laboratory or ECG parameters. Improvement
was observed on an MMRM analysis of the PANSS total score for lurasidone and
ziprasidone at Week 1 (-4.1 vs. -1.6; P=0.020), Week 2, (-6.1 vs. -3.6; P=0.074),
and Week 3 (-6.3 vs. -4.5; P=0.229). CONCLUSION: In this double-blind, fixed-dose
comparison of lurasidone 120 mg and ziprasidone 160 mg, treatment with lurasidone
was well-tolerated and safe, and was not associated with clinically significant
changes from baseline in weight, metabolic parameters, or QTc interval. Study
limitations include the relatively short trial duration and lack of placebo
control.
PMID- 21889879
TI - The roles of P- and E-selectins and P-selectin glycoprotein ligand-1 in primary
and metastatic mouse melanomas.
AB - BACKGROUND: Malignant melanoma is often accompanied by a host response of
inflammatory cell infiltration that is highly regulated by multiple adhesion
molecules. OBJECTIVE: To evaluate the role of adhesion molecules, including P
selectin glycoprotein ligand-1 (PSGL-1), P-selectin, and E-selectin. METHODS:
Subcutaneous primary growth and metastasis to the lung of B16 melanoma cells were
examined in mice lacking PSGL-1, P-selectin, or E-selectin. RESULTS: Primary
subcutaneous growth of B16 melanoma was augmented by loss of PSGL-1, P-selectin,
or E-selectin, while pulmonary metastasis was reduced by the loss of E-selectin.
The enhancement of subcutaneous tumor growth was associated with a reduced
accumulation of natural killer cells, CD4(+) T cells and CD8(+) T cells, while
the attenuation of pulmonary metastasis was related to the numbers of CD8(+) T
cells. The expressions of transforming growth factor (TGF)-beta and interleukin
(IL)-6 were correlated with primary subcutaneous growth; TGF-beta, IL-6, and
interferon-gamma were related to number of metastatic lung nodules. Cytotoxicity
against melanoma cells in splenocytes and in tumor-draining lymph node cells were
not defective by the absence of adhesion molecules, suggesting that the
enhancement of tumor growth and metastasis caused by the loss of selectins
results from an impaired migration of effector cells into the tissue.
CONCLUSIONS: The results indicate the complexity of anti-tumor responses mediated
by adhesion molecules in primary subcutaneous tumors and pulmonary metastasis of
murine experimental melanoma.
PMID- 21889880
TI - (18)FDG PET/CT is a powerful tool for detecting subclinical arthritis in patients
with psoriatic arthritis and/or psoriasis vulgaris.
PMID- 21889882
TI - Pseudomonas aeruginosa and carbapenems: the urgent need to harmonise disagreeing
breakpoints.
PMID- 21889883
TI - Poly (N-isopropylacrylamide)/poly (ethylene oxide) blend nanofibrous scaffolds:
thermo-responsive carrier for controlled drug release.
AB - A facile electrospinning method has been utilized to fabricate poly (N
isopropylacrylamide) (PNIPAM)/poly (ethylene oxide) (PEO) blend nanofibers having
the mean fiber diameters from approximately 250 to 380 nm. Scanning electron
microscopy (SEM) images showed that the morphology and diameter distribution of
the nanofibrous scaffolds can be easily modulated by changing the weight ratio of
PNIPAM/PEO in electrospinning solution. X-ray diffraction (XRD) and
thermogravimetric analysis (TGA) demonstrated that there were interactions
between the molecules of PNIPAM and PEO. Vitamin B12 was chosen as a hydrophilic
model drug for in situ encapsulation in PNIPAM/PEO blend nanofibrous scaffolds.
The rate of drug release can be controlled by adjusting the weight ratio of
PNIPAM/PEO, the temperature of release medium and the drug loading amount. It is
suggested that the blend nanofibrous scaffold could be used as a new thermo
responsive matrix for the entrapment and controlled release of drugs.
PMID- 21889881
TI - Galectin-3 and the skin.
AB - Galectin-3 is highly expressed in epithelial cells including keratinocytes and is
involved in the pathogenesis of inflammatory skin diseases by affecting the
functions of immune cells. For example, galectin-3 can contribute to atopic
dermatitis (AD) by promoting polarization toward a Th2 immune response by
regulating dendritic cell (DC) and T cell functions. In addition, galectin-3 may
be involved in the development of contact hypersensitivity by regulating the
migratory capacity of antigen presenting cells. Galectin-3 may act as a regulator
of epithelial tumor progression and development through various signaling
pathways, such as inhibiting keratinocyte apoptosis through regulation of the
activation status of extracellular signal-regulated kinase (ERK) and activated
protein kinase B (AKT). Galectin-3 is detected at different stages of melanoma
development. In contrast, a marked decrease in the expression of galectin-3 is
observed in non-melanoma skin cancers, such as squamous cell carcinoma (SCC) and
basal cell carcinoma (BCC). Galectin-3 may play an important role in tumor cell
growth, apoptosis, cell motility, invasion, and metastasis. Galectin-3 may be a
novel therapeutic target for a variety of skin diseases.
PMID- 21889884
TI - Liver X receptor negatively regulates fibroblast growth factor 21 in the fatty
liver induced by cholesterol-enriched diet.
AB - Cholesterol homeostasis is regulated by the liver X receptor (LXR) at the
transcriptional level, but it remains unknown whether LXR can affect expression
levels of intrahepatic lipolysis related gene. Recent evidence has demonstrated
that fibroblast growth factor 21 (FGF21) regulates hepatic lipolysis and fatty
acid utilization. In the present study, we examined the role of LXR in FGF21 gene
expression associated with regulation of cross-talk signals between cholesterol
and triglyceride metabolism in the liver. An in vivo cholesterol feeding test
revealed that intake of excess cholesterol increased cholesterol catabolism
related gene expression as well as fatty-acid biosynthesis related gene
expression. Moreover, the accumulated cholesterol suppressed FGF21 and hormone
sensitive lipase (HSL) gene expression. After 15-day cholesterol feeding, hepatic
triglyceride concentrations were negatively correlated with expression levels of
the FGF21 and HSL genes in the liver. An LXR agonist (TO-901317) repressed the
FGF21 gene expression in mouse primary hepatocytes and HepG2 cells. A promoter
deletion study and electrophoretic mobility shift assay revealed that the human
FGF21 promoter has at least one LXR response element located from -37 to -22 bp.
In summary, LXR represses FGF21 gene expression at the transcription level and
might suppress lipolysis and lipid utilization to protect the liver from excess
accumulation of toxic cholesterol.
PMID- 21889885
TI - Tiliroside, a glycosidic flavonoid, ameliorates obesity-induced metabolic
disorders via activation of adiponectin signaling followed by enhancement of
fatty acid oxidation in liver and skeletal muscle in obese-diabetic mice.
AB - Tiliroside contained in several dietary plants, such as rose hips, strawberry and
raspberry, is a glycosidic flavonoid and possesses anti-inflammatory,
antioxidant, anticarcinogenic and hepatoprotective activities. Recently, it has
been reported that the administration of tiliroside significantly inhibited body
weight gain and visceral fat accumulation in normal mice. In this study, we
evaluated the effects of tiliroside on obesity-induced metabolic disorders in
obese-diabetic KK-A(y) mice. In KK-A(y) mice, the administration of tiliroside
(100 mg/kg body weight/day) for 21 days failed to suppress body weight gain and
visceral fat accumulation. Although tiliroside did not affect oxygen consumption,
respiratory exchange ratio was significantly decreased in mice treated with
tiliroside. In the analysis of metabolic characteristics, it was shown that
plasma insulin, free fatty acid and triglyceride levels were decreased, and
plasma adiponectin levels were increased in mice administered tiliroside. The
messenger RNA expression levels of hepatic adiponectin receptor (AdipoR)-1 and
AdipoR2 and skeletal muscular AdipoR1 were up-regulated by tiliroside treatment.
Furthermore, it was indicated that tiliroside treatment activated AMP-activated
protein kinase in both the liver and skeletal muscle and peroxisome proliferator
activated receptor alpha in the liver. Finally, tiliroside inhibited obesity
induced hepatic and muscular triglyceride accumulation. These findings suggest
that tiliroside enhances fatty acid oxidation via the enhancement adiponectin
signaling associated with the activation of both AMP-activated protein kinase and
peroxisome proliferator-activated receptor alpha and ameliorates obesity-induced
metabolic disorders, such as hyperinsulinemia and hyperlipidemia, although it
does not suppress body weight gain and visceral fat accumulation in obese
diabetic model mice.
PMID- 21889886
TI - Association between Omega3 and Omega6 fatty acid intakes and serum inflammatory
markers in COPD.
AB - Dietary intake of polyunsaturated fatty acids, including omega-3 and omega-6,
could modulate chronic obstructive pulmonary disease (COPD) persistent
inflammation. We aimed to assess the relationship between dietary intake of omega
3 and omega-6 fatty acids and serum inflammatory markers in COPD. A total of 250
clinically stable COPD patients were included. Dietary data of the last 2 years
were assessed using a validated food frequency questionnaire (122 items), which
provided levels of three omega-3 fatty acids: docosahexaenoic acid,
eicosapentaenoic acid and alpha-linolenic acid (ALA); and two omega-6 fatty
acids: linoleic acid and arachidonic acid (AA). Inflammatory markers [C-reactive
protein (CRP), interleukin (IL)-6, IL-8 and tumor necrosis factor alpha
(TNFalpha)] were measured in serum. Fatty acids and inflammatory markers were
dichotomised according to their median values, and their association was assessed
using multivariate logistic regression. Higher intake of ALA (an anti
inflammatory omega-3 fatty acid) was associated with lower TNFalpha
concentrations [adjusted odds ratio (OR)=0.46; P=.049]. Higher AA intake (a
proinflammatory omega-6 fatty acid) was related to higher IL-6 (OR=1.96; P=.034)
and CRP (OR=1.95; P=.039) concentrations. Therefore, this study provides the
first evidence of an association between dietary intake of omega-3 and omega-6
fatty acids and serum inflammatory markers in COPD patients.
PMID- 21889887
TI - Low background signal platform for the detection of ATP: when a molecular aptamer
beacon meets graphene oxide.
AB - A novel molecular aptamer beacon (MAB) was designed by integrating a single
labeled hairpin-shaped aptamer and graphene oxide (GO). The hairpin-shaped
aptamer was constructed with anti-ATP aptamer and another five nucleotides added
to the 5'-end of the aptamer which are complementary to nucleotides at the 3'-end
of the aptamer to form a hairpin-shaped probe. This newly designed MAB which acts
as a low background signal platform was used for the ATP detection based on long
range resonance energy transfer (LrRET). In the absence of ATP, the adsorption of
the dye-labeled hairpin-shaped aptamer on GO makes the dyes close proximity to GO
surface resulting in high efficiency quenching of fluorescence of the dyes.
Therefore, the fluorescence of the designed MAB is completely quenched by GO, and
the system shows very low background. Conversely, and very importantly, upon the
adding of ATP, the quenched fluorescence is recovered significantly, and ATP can
be detected in a wide range of 5-2500MUM with a detection limit of 2MUM and good
selectivity. Moreover, when the GO-based MAB was used in cellular ATP assays,
preeminent fluorescence signals were obtained, thus the platform of GO-based MAB
could be used to detect ATP in real-world samples.
PMID- 21889888
TI - Modeling transcriptional networks in Drosophila development at multiple scales.
AB - Quantitative models of developmental processes can provide insights at multiple
scales. Ultimately, models may be particularly informative for key questions
about network level behavior during development such as how does the system
respond to environmental perturbation, or operate reliably in different genetic
backgrounds? The transcriptional networks that pattern the Drosophila embryo have
been the subject of numerous quantitative experimental studies coupled to
modeling frameworks in recent years. In this review, we describe three studies
that consider these networks at different levels of molecular detail and
therefore result in different types of insights. We also discuss other
developmental transcriptional networks operating in Drosophila, with the goal of
highlighting what additional insights they may provide.
PMID- 21889890
TI - Plant Y chromosome degeneration is retarded by haploid purifying selection.
AB - Sex chromosomes evolved many times independently in many different organisms [1].
According to the currently accepted model, X and Y chromosomes evolve from a pair
of autosomes via a series of inversions leading to stepwise expansion of a
nonrecombining region on the Y chromosome (NRY) and the consequential
degeneration of genes trapped in the NRY [2]. Our results suggest that plants
represent an exception to this rule as a result of their unique life-cycle that
includes alteration of diploid and haploid generations and widespread haploid
expression of genes in plant gametophytes [3]. Using a new high-throughput
approach, we identified over 400 new genes expressed from X and Y chromosomes in
Silene latifolia, a plant that evolved sex chromosomes about 10 million years
ago. Y-linked genes show faster accumulation of amino-acid replacements and loss
of expression, compared to X-linked genes. These degenerative processes are
significantly less pronounced in more constrained genes and genes that are likely
exposed to haploid-phase selection. This may explain why plants retain hundreds
of expressed Y-linked genes despite millions of years of Y chromosome
degeneration, whereas animal Y chromosomes are almost completely degenerate.
PMID- 21889889
TI - Emerging themes in cryptococcal capsule synthesis.
AB - Cryptococcus neoformans, a basidiomycete yeast and opportunistic pathogen,
expends significant biosynthetic effort on construction of a polysaccharide
capsule with a radius that may be many times that of the cell. Beyond posing a
stimulating challenge in terms of defining biosynthetic pathways, the capsule is
required for this yeast to cause fatal disease. This combination has focused the
attention of researchers on this system. Here we briefly review two aspects of
the rapidly advancing field of capsule synthesis: the extensive variation that
occurs in capsule polymers and the regulation of capsule biosynthesis.
PMID- 21889892
TI - Essence of life: essential genes of minimal genomes.
AB - Essential genes are absolutely required for cell survival. Determination of the
universal minimal set of genes needed to sustain life is, therefore, expected to
contribute greatly to our understanding of life at its simplest level, with
applications in medicine and synthetic biology. The search for the minimal genome
has led to the identification of often variable gene sets. We argue here that,
based on the outcome of these analyses, it is becoming increasingly evident that
some genes, and the functions encoded by them, are absolutely necessary for the
survival of any living entity, whereas others can be omitted. We also examine
ways of determining the minimal genome and discuss possible practical
applications of a minimal cell.
PMID- 21889893
TI - What are the factors in risk prediction models for rehospitalisation for adults
with chronic heart failure?
AB - BACKGROUND: Risk prediction models can assist in identifying individuals at risk
of adverse events and also the judicious allocation of scare resources. Our
objective was to describe risk prediction models for the rehospitalisation of
individuals with chronic heart failure (CHF) and identify the elements
contributing to these models. METHODS: The electronic data bases MEDLINE,
PsychINFO, Ovid Evidence-Based Medicine Reviews and Scopus (1950-2010), were
searched for studies that describe models to predict all-cause hospital
readmission for individuals with CHF. Search terms included: patient readmission;
risk; chronic heart failure, congestive heart failure and heart failure. We
excluded non-English studies, pediatric studies, and publications without
original data. RESULTS: Only 1 additional model was identified since the review
undertaken by Ross and colleagues in 2008. All models were derived from data sets
collected in the United States and patients were followed from 60 days to 18
months. The only common predictors of re-hospitalisation in the models identified
by Ross and colleagues were a history of diabetes mellitus and a history of prior
hospitalisation. The additional model extends its scope to include the non
clinical factors of social instability and socioeconomic status as predictors of
rehospitalisation. CONCLUSIONS: In spite of the burden of hospitalisation in CHF,
there are limited tools to assist clinicians in assessing risk. Developing risk
prediction models, based on patient, provider and system characteristics may
assist in identifying individuals in the community at greatest risk and in need
of targeted interventions to improve outcomes.
PMID- 21889894
TI - Heart transplantation in HIV-infected patients: more cases in Europe.
PMID- 21889895
TI - Will CT ordering practices change if we educate residents about the potential
effects of radiation exposure? Experience at a large academic medical center.
AB - RATIONALE AND OBJECTIVES: The aim of this study was to determine if educating
residents about the potential effects of radiation exposure from computed
tomographic (CT) imaging alters ordering patterns. This study also explored
whether referring physicians are interested in radiation education and was an
initial effort to address their CT ordering behavior. MATERIALS AND METHODS: Two
to four months after a radiologist's lecture on the potential effects of
radiation exposure related to CT scans, urology and orthopedic residents were
surveyed regarding the number and types of CT scans they ordered, the use of
alternative imaging modalities, and whether they used the lecture information to
educate patients. RESULTS: Twenty-one resident lecture attendants completed the
survey. The number of CT scans ordered after the lecture stayed constant for 90%
(19 of 21) and decreased for 10% (two of 21). The types of CT scans ordered
changed after the lecture for 14% (three of 21). Thirty-three percent (seven of
21) reported increases in alternative imaging after the lecture, including 24%
(five of 21) reporting increases in magnetic resonance imaging and 19% (four of
21) reporting increases in ultrasound. Patients directed questions about
radiation exposure to 57% (12 of 21); 38% (eight of 21) used the lecture
information to educate patients. Referring physicians were interested in the
topic, and afterward, other physician groups requested radiation education
lectures. CONCLUSIONS: Most clinicians did not change their CT scan ordering
after receiving education about radiation from a radiologist. Radiation education
allowed clinicians to discuss CT benefits and risks with their patients and to
choose appropriate CT protocols. Referring physician groups are interested in
this topic, and radiologists should be encouraged to give radiation lectures to
them.
PMID- 21889896
TI - Interactive high-resolution computed tomography digital atlas of interstitial
lung disease.
AB - High-resolution computed tomography is a necessary tool used in the diagnosis of
interstitial lung disease. The interpretation of high-resolution computed
tomography can be difficult given the wide spectrum of imaging appearances within
the same disease and among different diseases. The authors provide a new
educational method to learn about the spectrum of idiopathic interstitial lung
disease through the use of a free online digital atlas and review article. This
atlas can be downloaded at
http://www.seattlechildrens.org/radiologyeducation/ILD.
PMID- 21889891
TI - Preservation of the Y transcriptome in a 10-million-year-old plant sex chromosome
system.
AB - Classical genetic studies discovered loss of genes from the ancient sex
chromosome systems of several animals (genetic degeneration), and complete genome
sequencing confirms that the heterogametic sex is hemizygous for most sex-linked
genes. Genetic degeneration is thought to result from the absence of
recombination between the sex chromosome pair (reviewed by [1]) and is very rapid
after sex chromosome-autosome fusions in Drosophila [2-4]. Plant sex chromosome
systems allow study of the time course of degeneration, because they evolved from
a state wholly without sex chromosomes (rather than after a large genome region
fused to a preexisting sex chromosome), and, in several taxa, recombination
stopped very recently. However, despite increasing genetic and physical mapping
of plant nonrecombining sex-determining regions [5-8], it remains very difficult
to discover sex-linked genes, and it is unclear whether Y-linked genes are losing
full function. We therefore developed a high-throughput method using RNA-Seq to
identify sex linkage in Silene latifolia. Recombination suppression between this
plant's XY sex chromosome pair started only about 10 million years ago [9]. Our
approach identifies several hundred new sex-linked genes, and we show that this
young Y chromosome retains many genes, yet these already have slightly reduced
gene expression and are accumulating changes likely to reduce protein functions.
PMID- 21889897
TI - Correlation between Doppler velocities and duplex ultrasound carotid cross
sectional percent stenosis.
AB - RATIONALE AND OBJECTIVES: Cross-sectional imaging is being increasingly proposed
as a suitable tool to characterize carotid plaques. The aim of this work was to
correlate the Doppler velocity parameters with the cross-sectional percent
stenosis (CPoS) of internal carotid artery (ICA) and to identify the cutoff
values of these parameters in five progressive classes of stenosis area severity
(ie, 40%-49%, 50%-59%, 60%-69%, 70%-79%, 80%-90%). MATERIALS AND METHODS: High
quality scans from 90 patients (mean age, 74 +/- 9 years) with 43%-90% ICA
stenosis were analyzed. ICA peak-systolic (PSV) and end-diastolic (EDV)
velocities were measured at maximum stenosis level. Total ICA area and residual
lumen (RL) were measured to derive the CPoS. A simple physical model described by
the equation Velocity = Flow rate/Area was considered. Effectively, the CPoS is
expected to negatively correlate with the inverse of velocity parameters,
assuming flow rate to be constant. Multiple stepwise regression analyses were
used to investigate the relationships between velocity and echographic measures.
RESULTS: With CPoS as the dependent variable, the first significant regressor was
the inverse ICA-EDV (r(2) = 0.64; P < .0001) followed by inverse ICA-PSV (r(2) =
0.43; P < .0001). ICA-EDV mean values throughout five progressive classes of
stenosis were: 28 cm/second for 40%-49% stenosis, 35 cm/second for 50%-59%, 43
cm/second for 60%-69%, 69 cm/second for 70%-79%. and 103 cm/second for 80%-90%.
ICA-PSV mean values were: 97 cm/second for 40%-49%, 110 cm/second for 50%-59%,
136 cm/second for 60%-69%, 224 cm/second for 70%-79%, and 286 cm/second for 80%
90%. CONCLUSION: ICA-EDV is the parameter that better correlates with CPoS.
Nevertheless, ICA-PSV maintained a highly significant correlation with CPoS.
Moreover, the categorization of Doppler parameters in five progressive classes of
severity of stenosis could provide physicians with an easily accessible tool in
clinical practice, complementary to the morphological evaluation of cross
sectional stenosis.
PMID- 21889898
TI - Detection of a fatty liver after binge drinking: correlation of MR-spectroscopy,
DECT, biochemistry and histology in a rat model.
AB - RATIONALE AND OBJECTIVES: The purpose of this study was to evaluate the
possibility of detecting a fatty liver after binge drinking in an animal model
using (1)H magnetic resonance spectroscopy ((1)H-MRS), dual-energy computed
tomography (DECT), biochemistry, and the gold standard of histology. MATERIALS
AND METHODS: In 20 inbred female Lewis rats, an alcoholic fatty liver was
induced; 20 rats served as controls. To simulate binge drinking, each rat was
given a dose of 9.3 g/kg body weight 50% ethanol twice, with 24 hours between
applications. Forty-eight hours after the first injection, DECT and (1)H-MRS were
performed. Fat content as well as triglycerides were also determined
histologically and biochemically, respectively. To assess specific liver enzymes,
blood was drawn from the orbital venous plexus. RESULTS: In all 20 animals in the
experimental group, fatty livers were detected using (1)H-MRS, DECT, and
biochemical and histologic analysis. The spectroscopic fat/water ratio and the
biochemical determination were highly correlated (r = 0.892, P < .05). A
significant correlation was found between (1)H-MRS and histologic analysis (r =
0.941, P < .001). Also, a positive linear correlation was found between the dual
energy computed tomographic density of DeltaHU and the biochemical (r = 0.751, P
< .05) and histologic (r = 0.786, P < .001) analyses. CONCLUSIONS: Quantification
of hepatic fat content on (1)H-MRS showed high correlation with histologic and
biochemical steatosis determination. In comparison to DECT, it is more suitable
to reflect the severity of acute fatty liver.
PMID- 21889899
TI - Epidermoid cyst of the temporal region.
AB - Epidermoid cysts are rare, slow-growing, benign, developmental cysts that are
derived from abnormally situated ectodermal tissue. Epidermoid cysts may grow
anywhere on the body and about 7% of them are located in the head and neck. In
literature, very few epidermoid cysts have been reported in the temporal region.
Histopathologically, they are lined with plain stratified keratin-producing
squamous epithelium, although in some cases part of the lining is made up of
mucous secreting and ciliated epithelium. This may suggest an endodermal rather
than an ectodermal origin. We present and discuss the management of a patient
presenting a posttraumatic epidermoid cyst of the temporal region.
PMID- 21889900
TI - [Hypofractionated whole breast irradiation: Pro and cons].
AB - The continuous increase of breast cancer (BC) incidence, the logistic constraints
of the protracted standard 5-week radiations regimen have led to test short
hypofractionated whole breast radiation therapy schemes. Three prospective
randomized trials and a pilot trial have been published. Large numbers of
patients were included, with follow-up duration ranging from 5 to 12 years. The
conclusions of these trials were similar, showing local control and toxicity
equivalent to those of the standard regimen, and supporting the use of three
schemes: 42.5 Gy/16 fractions/3 weeks, 40 Gy/15 fractions/3 weeks or 41.6 Gy/13
fractions/5 weeks. However, the patients in these trials had favourable
prognostic factors, were treated to the breast only and the boost dose, when
indicated, was delivered with a standard fractionation. Hypofractionated
treatment can only be recommended in patients treated to the breast only, without
nodal involvement, with grade<3 tumours and who are not candidate to
chemotherapy. If a boost is to be given, a standard fractionation should be used.
Particular care should be taken to avoid heterogeneities leading to high
fractional doses to organs at risk (lung and heart).
PMID- 21889901
TI - [Stereotactic radiotherapy for non-small cell lung cancer: From concept to
clinical reality. 2011 update].
AB - Only 60% of patients with early-stage non-small cell lung cancer (NSCLC), a
priori bearing a favorable prognosis, undergo radical resection because of the
very frequent co-morbidities occurring in smokers, precluding surgery to be
safely performed. Stereotactic radiotherapy consists of the use of multiple
radiation microbeams, allowing high doses of radiation to be delivered to the
tumour (ranging from 7.5 to 20 Gy per fraction) in a small number of fractions
(one to eight on average). Several studies with long-term follow-up are now
available, showing the effectiveness of stereotactic radiotherapy to control
stage I/II non-small cell lung cancer in medically inoperable patients. Local
control rates are consistently reported to be above 95% with a median survival of
34 to 45 months. Because of these excellent results, stereotactic radiation
therapy is now being evaluated in operable patients in several randomized trials
with a surgical arm. Ultimately, the efficacy of stereotactic radiotherapy in
early-stage tumours leads to hypothesize that it may represent an opportunity for
locally-advanced tumors. The specific toxicities of stereotactic radiotherapy
mostly correspond to radiation-induced chest wall side effects, especially for
peripheral tumours. The use of adapted fractionation schemes has made feasible
the use of stereotactic radiotherapy to treat proximal tumours. Overall, from a
technical concept to the availability of specific treatment devices and the
publication of clinical results, stereotactic radiotherapy represents a model of
implementation in thoracic oncology.
PMID- 21889902
TI - Molecular control and function of endoreplication in development and physiology.
AB - Endoreplication, also called endoreduplication, is a cell cycle variant of
multicellular eukaryotes in which mitosis is skipped and cells repeatedly
replicate their DNA, resulting in cellular polyploidy. In recent years, research
results have shed light on the molecular mechanism of endoreplication control,
but the function of this cell-cycle variant has remained elusive. However, new
evidence is at last providing insight into the biological relevance of cellular
polyploidy, demonstrating that endoreplication is essential for developmental
processes, such as cell fate maintenance, and is a prominent response to
physiological conditions, such as pathogen attack or DNA damage. Thus,
endoreplication is being revealed as an important module in plant growth that
contributes to the robustness of plant life.
PMID- 21889903
TI - DNA replication and repair bypass machines.
AB - Maintenance of genetic stability is of crucial importance for any form of life.
Before cell division in each mammalian cell, the process of DNA replication must
faithfully duplicate three billion bases with an absolute minimum of mistakes.
This is complicated by the fact that DNA itself is highly reactive and is
constantly attacked by endogenous and exogenous factors leading to 50,000-100,000
different damages in the DNA of human cells every day. In this mini-review we
will focus on lesion bypass by DNA polymerase machines either in replication or
repair, with particular focus on the repair of oxidative lesions.
PMID- 21889904
TI - Composition of prehistoric rock-painting pigments from Egypt (Gilf Kebir area).
AB - The composition of rock-painting pigments from Egypt (Gilf Kebia area) has been
analyzed by means of molecular spectroscopy such as Fourier transform infrared
and micro-Raman spectroscopy and scanning electron microscopy coupled to an
energy dispersive X-ray spectrometer and X-ray fluorescence analysis. Red and
yellow pigments were recognized as red and yellow ochre with additional rutile.
PMID- 21889905
TI - Current management and recommendations for access to antiviral therapy of herpes
labialis.
AB - Herpes labialis is a common skin infective condition, worldwide, which is
primarily caused by HSV-1. Recurrent episodes of herpes labialis, also known as
cold sores, can be frequent, painful, long-lasting and disfiguring for infected
patients. At present, there are two types of antivirals for the treatment of
herpes labialis, topical and oral, which are available over the counter or as
prescription-only. The aim of antiviral therapy is to block viral replication to
enable shortening the duration of symptoms and to accelerate healing of the
lesions associated with herpes labialis. This review examines the evidence for
the effectiveness of current topical and oral antivirals in the management of
recurrent episodes of herpes labialis. In most countries, oral antivirals for
herpes labialis are available as prescription-only. However, in early 2010, the
oral antiviral famciclovir was reclassified from prescription-only medicine to
pharmacist-controlled status in New Zealand. The benefits and risks associated
with moving an antiviral therapy for herpes labialis from prescription-only to
pharmacist-controlled status are reviewed here, and the implications for
patients, general physicians and pharmacists are considered.
PMID- 21889906
TI - Rhabdomyolysis and severe muscular weakness in a traveler diagnosed with Alkhurma
hemorrhagic fever virus infection.
AB - Alkhurma hemorrhagic fever virus (AHFV) is a tick-borne flavivirus with high case
fatality rates, endemic in the Arabian Peninsula. Recently AHFV was detected in
travelers returning from Egypt suggesting geographical spreading. We also report
AHFV infection in a traveler ex Egypt, representing atypical symptoms of
rhabdomyolysis and severe muscular weakness.
PMID- 21889907
TI - Trends of the internal phthalate exposure of young adults in Germany--follow-up
of a retrospective human biomonitoring study.
AB - The exposure of the general population to phthalates is of increasing public
health concern. Variations in the internal exposure of the population are likely,
because the amounts, distribution and application characters of the phthalate use
change over time. Estimating the chronological sequences of the phthalate
exposure, we performed a retrospective human biomonitoring study by investigating
the metabolites of the five most prominent phthalates in urine. Therefore, 24h
urine samples from the German Environmental Specimen Bank (ESB) collected from
240 subjects (predominantly students, age range 19-29 years, 120 females, 120
males) in the years 2002, 2004, 2006 and 2008 (60 individuals each), were
analysed for the concentrations of mono-n-butyl phthalate (MnBP) as metabolite of
di-n-butyl phthalate (DnBP), mono-iso-butyl phthalate (MiBP) as metabolite of di
iso-butyl phthalate (DiBP), mono-benzyl phthalate (MBzP) as metabolite of
butylbenzyl phthalate (BBzP), mono-(2-ethylhexyl) phthalate (MEHP), mono-(2-ethyl
5-hydroxyhexyl) phthalate (5OH-MEHP), mono-(2-ethyl-5-oxohexyl) phthalate (5oxo
MEHP), mono-(2-ethyl-5-carboxypentyl) phthalate (5cx-MEPP) and mono-(2
carboxymethyl hexyl) phthalate (2cx-MMHxP) as metabolites of di(2-ethylhexyl)
phthalate (DEHP), monohydroxylated (OH-MiNP), monooxidated (oxo-MiNP) and
monocarboxylated (cx-MiNP) mono-iso-nonylphthalates as metabolites of di-iso
nonyl phthalates (DiNP). Based on the urinary metabolite excretion, together with
results of a previous study, which covered the years 1988-2003, we investigated
the chronological sequences of the phthalate exposure over two decades. In more
than 98% of the urine samples metabolites of all five phthalates were detectable
indicating a ubiquitous exposure of people living in Germany to all five
phthalates throughout the period investigated. The medians in samples from the
different years investigated are 65.4 (2002), 38.5 (2004), 29.3 (2006) and 19.6
MUg/l (2008) for MnBP, 31.4 (2002), 25.4 (2004), 31.8 (2006) and 25.5 MUg/l
(2008) for MiBP, 7.8 (2002), 6.3 (2004), 3.6 (2006) and 3.8 MUg/l (2008) for
MBzP, 7.0 (2002), 5.6 (2004), 4.1 (2006) and 3.3 MUg/l (2008) for MEHP, 19.6
(2002), 16.2 (2004), 13.2 (2006) and 9.6 MUg/l (2008) for 5OH-MEHP, 13.9 (2002),
11.8 (2004), 8.3 (2006) and 6.4 MUg/l (2008) for 5oxo-MEHP, 18.7 (2002), 16.5
(2004), 13.8 (2006) and 10.2 MUg/l (2008) for 5cx-MEPP, 7.2 (2002), 6.5 (2004),
5.1 (2006) and 4.6 MUg/l (2008) for 2cx-MMHxP, 3.3 (2002), 2.8 (2004), 3.5 (2006)
and 3.6 MUg/l (2008) for OH-MiNP, 2.1 (2002), 2.1 (2004), 2.2 (2006) and 2.3
MUg/l (2008) for oxo-MiNP and 4.1 (2002), 3.2 (2004), 4.1 (2006) and 3.6 MUg/l
(2008) for cx-MiNP. The investigation of the time series 1988-2008 indicates a
decrease of the internal exposure to DnBP by the factor of 7-8 and to DEHP and
BzBP by the factor of 2-3. In contrast, an increase of the internal exposure by
the factor of 4 was observed for DiNP over the study period. The exposure to DiBP
was found to be stable. In summary, we found decreases of the internal human
exposure for legally restricted phthalates whereas the exposure to their
substitutes increased. Future investigations should verify these trends. This is
of increasing importance since the European Commission decided to require ban or
authorization from 1.1.2015 for DEHP, DnBP, DiBP and BzBP according to REACh
Annex XIV.
PMID- 21889908
TI - A pitfall in the diagnosis of giant bronchogenic cyst presented as loculated
pleural effusion.
AB - Being considered as an uncommon congenital anomaly, pulmonary bronchogenic cyst
requires surgical treatment due to its rarity and mortality especially in
complicated forms. In the present paper, we are presenting a case report of a
giant pulmonary bronchogenic cyst (18 cm * 15 cm * 10 cm) misdiagnosed with
loculated pleural effusion. Because of unsuccessful treatment of tube
thoracostomy, the patient was scheduled for left thoracotomy. Later giant
pulmonary cyst was removed completely without any complications. Histopathology
studies revealed a giant bronchogenic cyst with abscess formation.
PMID- 21889909
TI - Culture-negative infective endocarditis of the aortic valve due to Aerococcus
urinae: a rare aetiology.
AB - Bacteria of the species Aerococcus urinae are Gram-positive, catalase-negative
cocci that are arranged in pairs, tetrads, or clusters resembling enterococci or
staphylococci. They are rare causative agents of infective endocarditis.
Repetitive urinary tract infections based upon underlying genitourinary tract
abnormalities could involve these bacteria. Due to their similarity to other Gram
positive cocci misinterpretation may occur along the line of microbiologic
differentiation, which could potentially lead to a fatal outcome. We herein
report on the clinical course of a 68 year-old male patient who in the setting of
an embolic stroke was initially diagnosed with a culture-negative acute infective
endocarditis of the aortic valve.
PMID- 21889910
TI - Pericardial tamponade: a life threatening complication of laparoscopic gastro
oesophageal surgery.
AB - Laparoscopic surgical procedures involving the gastro-oesophageal region are
commonly performed for the management of morbid obesity and refractory gastro
oesophageal reflux disease (GORD). In general, laparoscopic procedures are
associated with lower morbidity and mortality in comparison with open techniques.
This report highlights cases of potentially life threatening, late onset
pericardial tamponade, occurring in the absence of infection or trauma,
complicating laparoscopic gastro-oesophageal surgery. Possible mechanisms,
clinical manifestations, diagnostic investigations and management of pericardial
tamponade are reviewed.
PMID- 21889911
TI - Assessment of efficacy of antifungals in experimental models of invasive
aspergillosis in an era of emerging resistance: the value of real-time
quantitative PCR.
AB - Experimental models of invasive aspergillosis (IA) have been used to explore
pharmacokinetic and pharmacodynamic (PK/PD) properties of antifungal agents.
Survival is still considered the golden standard effect measure but has the
disadvantage that a large number of animals are needed to determine the dose
response relationships and PK/PD of antifungals. The feasibility of using fungal
load by real-time quantitative PCR (qPCR) as an effect measure has been explored
recently. The majority of studies reported convincingly demonstrate a larger
dynamic range for qPCR compared to conventional assays. However interpretation
and translating the results to guidance in clinical decision making need further
study. It is expected that the use of qPCR will become the primary outcome
measure for assessment of PK/PD relationships of antifungals in experimental
models of IA.
PMID- 21889912
TI - RAGE against the self.
PMID- 21889913
TI - Obsessive-compulsive disorder in chronic epilepsy.
AB - There is a long-recognized association between obsessive-compulsive disorder
(OCD) and chronic epilepsy, most notably refractory temporal lobe epilepsy (TLE).
The literature documents this association with case reports, patient series, and
some larger controlled studies that reveal that almost a quarter of patients with
TLE exhibit OCD features, which may go unrecognized. Obsession features with
ordering, symmetry, exactness, handwashing, and religiosity occur more often in
persons with right- or left-sided epileptic foci than in those with idiopathic
generalized epilepsies or controls. Neurobiological and social factors suggest
abnormalities of the frontal-thalamic-pallidal-striatal-anterior cingulate
frontal circuits stemming from the observation that certain diseases, damage, or
surgery along these circuits may produce or, conversely, reduce OCD in TLE. This
review explores the literature on case reports, case series, and larger
retrospective controlled studies and looks at the associations of epilepsy with
OCD. Contemporary speculation on the theoretical neurobiological underpinnings
provides some basis on how and where to direct treatment. Invasive deep brain
stimulation has triggered recent controversy on newer treatment modalities.
PMID- 21889914
TI - Insular lateralization in tinnitus distress.
AB - Tinnitus affects 15% of the population. Of these 1-2% are severely disabled by
it. The role of the autonomic system in tinnitus is hardly being investigated.
The aim of this study is to investigate the relationship between tinnitus
distress and lateralization of the anterior insula, known to be involved in
interoceptive awareness and (para)sympathetic changes. For this, Tinnitus
Questionnaire scores are correlated to Heart Rate Variability markers, and
related to neural activity in left and right anterior insula. Our results show
that tinnitus distress is related to sympathetic activation, in part mediated via
the right anterior insula.
PMID- 21889915
TI - Modulation of the processive abasic site lyase activity of a pyrimidine dimer
glycosylase.
AB - The repair of cis-syn cyclobutane pyrimidine dimers (CPDs) can be initiated via
the base excision repair (BER) pathway, utilizing pyrimidine dimer-specific DNA
glycosylase/lyase enzymes (pdgs). However, prior to incision at lesion sites,
these enzymes bind to non-damaged DNAs through charge-charge interactions.
Following initial binding to DNA containing multiple lesions, the enzyme incises
at most of these sites prior to dissociation. If a subset of these lesions are in
close proximity, clustered breaks may be produced that could lead to decreased
cell viability or increased mutagenesis. Based on the co-crystal structures of
bacteriophage T4-pdg and homology modeling of a related enzyme from Paramecium
bursaria Chlorella virus-1, the structure-function basis for the processive
incision activity for both enzymes was investigated using site-directed
mutagenesis. An assay was developed that quantitatively measured the rates of
incision by these enzymes at clustered apurinic/apyrimidinic (AP) sites.
Mathematical modeling of random (distributive) versus processive incisions
predicted major differences in the rate and extent of the accumulation of singly
nicked DNAs between these two mechanisms. Comparisons of these models with
biochemical nicking data revealed significant changes in the damage search
mechanisms between wild-type pdgs and most of the mutant enzymes. Several
conserved arginine residues were shown to be critical for the processivity of the
incision activity, without interfering with catalysis at AP sites. Comparable
results were measured for incision at clustered CPD sites in plasmid DNAs. These
data reveal that pdgs can be rationally engineered to retain full catalytic
activity, while dramatically altering mechanisms of target site location.
PMID- 21889916
TI - PCNA ubiquitination-independent activation of polymerase eta during somatic
hypermutation and DNA damage tolerance.
AB - The generation of high affinity antibodies in B cells critically depends on
translesion synthesis (TLS) polymerases that introduce mutations into
immunoglobulin genes during somatic hypermutation (SHM). The majority of
mutations at A/T base pairs during SHM require ubiquitination of PCNA at lysine
164 (PCNA-Ub), which activates TLS polymerases. By comparing the mutation spectra
in B cells of WT, TLS polymerase eta (Poleta)-deficient, PCNA(K164R)-mutant, and
PCNA(K164R);Poleta double-mutant mice, we now find that most PCNA-Ub-independent
A/T mutagenesis during SHM is mediated by Poleta. In addition, upon exposure to
various DNA damaging agents, PCNA(K164R) mutant cells display strongly impaired
recruitment of TLS polymerases, reduced daughter strand maturation and
hypersensitivity. Interestingly, compared to the single mutants,
PCNA(K164R);Poleta double-mutant cells are dramatically delayed in S phase
progression and far more prone to cell death following UV exposure. Taken
together, these data support the existence of PCNA ubiquitination-dependent and
independent activation pathways of Poleta during SHM and DNA damage tolerance.
PMID- 21889917
TI - Plastic surgeons: are we dextrous or sinister?
PMID- 21889918
TI - Interpreting lineage markers in view of subpopulation effects.
AB - The interpretation of lineage markers is usually carried out as a count in a
database. The count is a factual statement and does not take into account
subpopulation effects that may be acting on the data. Subpopulation effects are
usually taken into consideration for autosomal DNA genotype interpretation by the
incorporation of a correction, theta. The question has arisen as to whether
lineage markers should also have such a correction. This paper discusses if and
how subpopulation effects could be considered.
PMID- 21889919
TI - Components anteversion in primary cementless THA using straight stem and
hemispherical cup: a prospective study in 91 hips using CT-scan measurements.
AB - BACKGROUND: The recommended range of anteversion of the components in total hip
arthroplasty (THA) is between 10 and 30 degrees , but the intraoperative
estimation of these versions may be inadequate. HYPOTHESIS: The components
anteversion in primary cementless THA using straight stem and hemispherical cup
is not significantly different from the native anteversion of the hip joint.
OBJECTIVES: To evaluate in a prospective manner the range of anteversion
currently achieved in cementless THA. PATIENTS AND METHODS: Five senior surgeons
operated 91 patients with primary cementless THA. We used a straight press fit
stem and a hemispherical press fit cup. We aimed to obtain femoral anteversion of
10 to 30 degrees , acetabular anteversion of 10 to 30 degrees and a global
combined anteversion of 25 to 55 degrees . Cup position was checked with an
impactor-positioner, and stem position was determined with the knee flexed 90
degrees . In all cases we used elevated liners and 28 mm diameter ceramic heads.
At 3 months postoperatively the component versions were measured using a General
Electric LightSpeed Pro 16 (Milwaukee, Wi, USA) with the patient in supine
position. RESULTS: Femoral component measurements ranged from 17 degrees of
retroversion to 60 degrees of anteversion with a mean of 23.0+/-11.8 degrees .
Similarly, acetabular component version ranged from 28 degrees of retroversion
to 46 degrees of anteversion with a mean of 18.5+/-13.7 degrees . There were no
correlations to the native femoral and acetabular versions. Only 55 hips (60.4%)
were within the accepted range of 25 to 55 degrees of combined anteversion, but
none of the cases dislocated during a follow-up of 2 years. CONCLUSION: In
cementless THA with our operative technique, the intraoperative estimation of
femoral and acetabular anteversion, in many cases, resulted to be inadequate in
relation to the intended range of 10 to 30 degrees of anteversion.
PMID- 21889920
TI - Frequent low expression of chromatin remodeling gene ARID1A in breast cancer and
its clinical significance.
AB - BACKGROUND: ARID1A gene encodes BAF250a which is a member of the ARID family of
DNA-binding proteins and a subunit of human SWI/SNF-related complexes. Low
expression of ARID1A has been correlated with specific tumor cell lines or
specific pathological types of cancer tissue. The purpose of this study was to
investigate the expression of ARID1A in invasive ductal breast carcinomas and to
evaluate its clinicopathological characteristics and prognostic value. METHODS:
ARID1A mRNA expression was evaluated by real-time reverse transcriptase
polymerase chain reaction (RT-PCR) in 40 pairs of fresh frozen breast cancer and
normal breast samples. BAF250a expression was evaluated by immunohistochemistry
in 112 paraffin-embedded surgical specimens of invasive breast cancers and 20
cases of matched normal breast tissues. We further analyzed the
clinicopathological characteristics of ARID1A expression. Overall survival time
was assessed by the Kaplan-Meier method and Cox regression model. RESULTS: ARID1A
mRNA expression was lower in breast cancer tissue than in corresponding normal
tissue (P<0.001), and this decreased expression level was markedly associated
with factors such as larger tumor size (P=0.038), higher stage (P=0.016), ER(-)
(P=0.038), higher Ki-67 (P=0.025), P53 mutation (P=0.018) and ER(-)/PR(-)/Her-2(
) molecular subtype (P=0.044). With immunohistochemical staining, we showed that
low BAF250a expression existed in 56% (63/112) of the breast cancers tissues. Low
BAF250a expression was significantly associated with tumor stage (P=0.021), P53
(P=0.018), Ki-67 (P=0.031) and ER(-)/PR(-)/Her-2(-) molecular subtype (P=0.044).
Low ARID1A expression was a predictor, not an independent, of overall survival.
CONCLUSION: These data suggest that low ARID1A expression is frequent in breast
cancers, and we need to investigate further the role of ARID1A and SWI/SNF
complexes in breast tumorigenesis, especially in triple-negative breast cancer.
PMID- 21889921
TI - What you should know before your surgical residency, but were afraid to ask.
PMID- 21889922
TI - Primary peritonitis due to Streptococcus A: laparoscopic treatment.
AB - Primary peritonitis is defined as peritoneal infection without an evident
intraperitoneal septic focus. This is a rare condition and few cases are reported
in the literature. We report a case of primary peritonitis in a 23-year-old
female that was diagnosed and treated laparoscopically. The challenge for the
surgeon is to consider the possibility of this diagnosis, and to avoid conversion
to laparotomy in search of a hypothetical septic focus when none is apparent on
laparoscopy.
PMID- 21889923
TI - Long-term expansion of epithelial organoids from human colon, adenoma,
adenocarcinoma, and Barrett's epithelium.
AB - BACKGROUND & AIMS: We previously established long-term culture conditions under
which single crypts or stem cells derived from mouse small intestine expand over
long periods. The expanding crypts undergo multiple crypt fission events,
simultaneously generating villus-like epithelial domains that contain all
differentiated types of cells. We have adapted the culture conditions to grow
similar epithelial organoids from mouse colon and human small intestine and
colon. METHODS: Based on the mouse small intestinal culture system, we optimized
the mouse and human colon culture systems. RESULTS: Addition of Wnt3A to the
combination of growth factors applied to mouse colon crypts allowed them to
expand indefinitely. Addition of nicotinamide, along with a small molecule
inhibitor of Alk and an inhibitor of p38, were required for long-term culture of
human small intestine and colon tissues. The culture system also allowed growth
of mouse Apc-deficient adenomas, human colorectal cancer cells, and human
metaplastic epithelia from regions of Barrett's esophagus. CONCLUSIONS: We
developed a technology that can be used to study infected, inflammatory, or
neoplastic tissues from the human gastrointestinal tract. These tools might have
applications in regenerative biology through ex vivo expansion of the intestinal
epithelia. Studies of these cultures indicate that there is no inherent
restriction in the replicative potential of adult stem cells (or a Hayflick
limit) ex vivo.
PMID- 21889924
TI - Core-shell biodegradable nanoassemblies for the passive targeting of docetaxel:
features, antiproliferative activity and in vivo toxicity.
AB - Amphiphilic block copolymers of poly(E-caprolactone) and poly(ethylene oxide)
were assembled in core-shell nanoparticles (NPs) by a melting-sonication
technique (MeSo). The entrapment of the poorly water-soluble anticancer drug
docetaxel (DTX), nanocarrier cytotoxicity toward different cells and toxicity in
mice were investigated. The encapsulation mechanism was rationalized and related
to copolymer properties such as crystallinity and drug solubility in the
copolymer phase. DTX release from NPs occurred in 2 drug pulses over 30 days. DTX
entrapment in NPs strongly decreased haemolysis of erythrocytes in comparison
with a commercial DTX formulation. In comparison with free DTX, NPs were both
more efficient in inhibiting cell growth of breast and prostate cancer cells and
less toxic in experimental animal models. The results of this study indicate that
MeSo is an interesting technique for the achievement of peculiar core-shell
nanocarriers for the passive targeting and sustained release of poorly water
soluble anticancer drugs. FROM THE CLINICAL EDITOR: In this study, stealth
nanoparticles of PEO/PCL block copolymers for passive targeting of docetaxel to
solid tumors were developed using a novel technique. The studied properties of
NPs suggest strong potential as anticancer drug-delivery system.
PMID- 21889925
TI - Self-assembled, cation-selective ion channels from an oligo(ethylene glycol)
derivative of benzothiazole aniline.
AB - This paper describes the spontaneous formation of well-defined pores in planar
lipid bilayers from the self-assembly of a small synthetic molecule that contains
a benzothiazole aniline (BTA) group attached to a tetra-ethylene glycol (EG4)
moiety. Macroscopic and single-channel current recordings suggest that these
pores are formed by the assembly of four BTA-EG4 monomers with an open pore
diameter that appears similar to the one of gramicidin pores (~0.4 nm). The
single-channel conductance of these pores is modulated by the pH of the
electrolyte and has a minimum at pH~3. Self-assembled pores from BTA-EG4 are
selective for monovalent cations and have long open channel lifetimes on the
order of seconds. BTA-EG4 monomers in these pores appear to be arranged
symmetrically across both leaflets of the bilayer, and spectroscopy studies
suggest that the fluorescent BTA group is localized inside the lipid bilayers. In
terms of biological activity, BTA-EG4 molecules inhibited growth of gram-positive
Bacillus subtilis bacteria (IC50~50 MUM) and human neuroblastoma SH-SY5Y cells
(IC50~60 MUM), while they were not toxic to gram-negative Escherichia coli
bacteria at a concentration up to 500 MUM. Based on these properties, this drug
like, synthetic, pore-forming molecule with a molecular weight below 500 g mol(
1) might be appealing as a starting material for development of antibiotics or
membrane-permeating moieties for drug delivery. From a biophysical point of view,
long-lived, well-defined ion-selective pores from BTA-EG4 molecules offer an
example of a self-assembled synthetic supramolecule with biological function.
PMID- 21889926
TI - Unresolved mysteries in the biogenesis of mitochondrial membrane proteins.
AB - Mitochondria are essential eukaryotic organelles that are surrounded by two
membranes. Both membranes contain a variety of different integral membrane
proteins. After three decades of research on mitochondrial biogenesis five major
import complexes with more than 40 subunits altogether were identified and
characterized. In the current contribution we want to draw attention to some
unexplored issues regarding the integration of mitochondrial membrane proteins
and to formulate crucial questions that remain unanswered. This article is part
of a Special Issue entitled: Protein Folding in Membranes.
PMID- 21889927
TI - M2, a novel anthracenedione, elicits a potent DNA damage response that can be
subverted through checkpoint kinase inhibition to generate mitotic catastrophe.
AB - Pixantrone is a promising anti-cancer aza-anthracenedione that has prompted the
development of new anthracenediones incorporating symmetrical side-chains of
increasing length varying from two to five methylene units in each pair of drug
side-chains. A striking relationship has emerged in which anthracenedione-induced
growth inhibition and apoptosis was inversely associated with side-chain length,
a relationship that was attributable to a differential ability to stabilise the
topoisomerase II (TOP2) cleavage complex. Processing of the complex to a DNA
double strand break (DSB) flanked by gammaH2AX in nuclear foci is likely to
occur, as the generation of the primary lesion was antecedent to gammaH2AX
induction. M2, bearing the shortest pair of side-chains, induced TOP2-mediated
DSBs efficiently and activated cell cycle checkpoints via Chk1 and Chk2
phosphorylation, implicating the involvement of ATM and ATR, and induced a
protracted S phase and subsequent G2/M arrest. The inactive analogue M5,
containing the longest pair of side-chains, only weakly stimulated any of these
responses, suggesting that efficient stabilisation of the TOP2 cleavage complex
was crucial for eliciting a strong DNA damage response (DDR). An M2 induced DDR
in p53-defective MDA-MB-231 cells was abrogated by UCN-01, a ubiquitous inhibitor
of kinases including Chk1, in a response associated with substantial mitotic
catastrophe and strong synergy. The rational selection of checkpoint kinase
inhibitors may significantly enhance the therapeutic benefit of anthracenediones
that efficiently stabilise the TOP2 cleavage complex.
PMID- 21889928
TI - Increased apoptotic efficacy of lonidamine plus arsenic trioxide combination in
human leukemia cells. Reactive oxygen species generation and defensive protein
kinase (MEK/ERK, Akt/mTOR) modulation.
AB - Lonidamine is a safe, clinically useful anti-tumor drug, but its efficacy is
generally low when used in monotherapy. We here demonstrate that lonidamine
efficaciously cooperates with the anti-leukemic agent arsenic trioxide (ATO,
Trisenox) to induce apoptosis in HL-60 and other human leukemia cell lines, with
low toxicity in non-tumor peripheral blood lymphocytes. Apoptosis induction by
lonidamine/ATO involves mitochondrial dysfunction, as indicated by early
mitochondrial permeability transition pore opening and late mitochondrial
transmembrane potential dissipation, as well as activation of the intrinsic
apoptotic pathway, as indicated by Bcl-X(L) and Mcl-1 down-regulation, Bax
translocation to mitochondria, cytochrome c and Omi/HtrA2 release to the cytosol,
XIAP down-regulation, and caspase-9 and -3 cleavage/activation, with secondary
(Bcl-2-inhibitable) activation of the caspase-8/Bid axis. Lonidamine stimulates
reactive oxygen species production, and lonidamine/ATO toxicity is attenuated by
antioxidants. Lonidamine/ATO stimulates JNK phosphorylation/activation, and
apoptosis is attenuated by the JNK inhibitor SP600125. In addition, lonidamine
elicits ERK and Akt/mTOR pathway activation, as indicated by increased ERK, Akt,
p70S6K and rpS6 phosphorylation, and these effects are reduced by co-treatment
with ATO. Importantly, co-treatment with MEK/ERK inhibitor (U0126) and PI3K/Akt
(LY294002) or mTOR (rapamycin) inhibitors, instead of ATO, also potentiates
lonidamine-provoked apoptosis. These results indicate that: (i) lonidamine
efficacy is restrained by drug-provoked activation of MEK/ERK and Akt/mTOR
defensive pathways, which therefore represent potential therapeutic targets. (ii)
Co-treatment with ATO efficaciously potentiates lonidamine toxicity via defensive
pathway inhibition and JNK activation. And (iii) conversely, the pro-oxidant
action of lonidamine potentiates the apoptotic efficacy of ATO as an anti
leukemic agent.
PMID- 21889929
TI - Different types of storage devices for blood transportation in the anti-doping
field.
PMID- 21889930
TI - Changes in serum obestatin, preptin and ghrelins in patients with Gestational
Diabetes Mellitus.
AB - OBJECTIVES: The present study aims to establish the levels of acylated ghrelin,
desacylated ghrelin, obestatin and preptin, during pregnancy and the postpartum
period in pregnant women with Gestational Diabetes Mellitus (GDM) and healthy
pregnancy women. DESIGN AND METHODS: The study registered 20 pregnant women with
GDM and 20 healthy pregnant women. Fasting venous blood samples were collected
from all cases between weeks 24 and 28 of pregnancy and after 24h postpartum.
Hormones were analyzed using ELISA method. RESULTS: Serum acylated ghrelin
(p:0.001), desacylated ghrelin (p:0.001), obestatin (p:0.006) and preptin
(p:0.001) levels were all found statistically higher in both groups during the
postpartum period, when compared to the pregnancy period. A positive correlation
was established between desacylated ghrelin and acylated ghrelin (p:0.008),
desacylated ghrelin and preptin (p:0.012) and preptin and insulin (p:0.039) in
the GDM group during pregnancy. CONCLUSIONS: The studied hormones (especially
desacylated ghrelin and obestatin) are critical in GDM pathophysiology based on
the comparison of measure after and before the delivery.
PMID- 21889931
TI - Prooxidant-anti-oxidant balance is not associated with extent of coronary artery
disease.
AB - OBJECTIVE: We have measured the pro-oxidant-antioxidant-balance (PAB) levels in
patients with defined coronary artery disease (CAD) and compared them with
concentrations in healthy subjects. DESIGN AND METHODS: Based on angiography
results, 400 patients with stable CAD were divided into CAD- and CAD+, this being
further subcategorized into groups with single-, double- and triple-vessel
disease (VD). RESULTS: The mean PAB values in the healthy subjects, was
significantly lower than for other groups (P<0.001). In CAD- patients, PAB levels
were 123.2 +/- 43.9, which was not statistically different compared to groups
with SVD, 2VD and 3VD (P>0.05). In the CAD+ group, PAB values in 1VD, 2VD and 3VD
were not significantly different among patients with SVD, 2VD and 3VD (P>0.05).
CONCLUSIONS: In conclusion, we found higher levels of oxidative stress in CAD+
patients compared to healthy subjects. The oxidation level was not related to
measures of the extent of CAD such as number of stenosed vessels.
PMID- 21889932
TI - Glycerol as a reference material for fecal fat quantitation using low-resolution
time domain 1H NMR spectroscopy.
AB - OBJECTIVES: To assess glycerol as reference material for low-resolution time
domain (1)H NMR analysis of fecal fat. DESIGN AND METHODS: NMR analysis of fecal
fat in stool samples with added glycerol was used to assess linearity, recovery,
and relationship with NMR lipid signal. RESULTS: The study revealed for added
glycerol excellent linearity (r=0.9998), recovery (101-104%), and linear
relationship with simulated fecal fat content. CONCLUSIONS: Glycerol is an
effective reference material for NMR fecal fat analysis.
PMID- 21889933
TI - High Resolution Melting Analysis (HRMA) for the identification of a rare UGT1A1
promoter polymorphism.
PMID- 21889934
TI - Evaluation of an ELISA assay for total proinsulin and establishment of reference
values during an oral glucose tolerance test in a healthy population.
AB - OBJECTIVES: Assessment of the analytical performance of the Total Proinsulin
ELISA Kit (Millipore) and determination of reference values. DESIGN AND METHODS:
Imprecision, specificity, antibodies interference and reference values in
normoglycaemic non-obese adults were determined. RESULTS: The inter-assay CV is
<6.9%, the limits of detection and quantification are 0.2 and 0.6 pmol/L. Molar
cross-reactivity of split proinsulins varies from 103 to 92.5%. The interference
of anti-(pro)insulin antibodies can be eliminated with the use of polyethylene
glycol. The reference values are 2.7-14.2 pmol/L at fasting, 8.5-56.5 pmol/L at
T30 min and 11.9-70.5 pmol/L at T120 min during an OGTT. CONCLUSION: The
reference values established for this kit, which showed good analytical
performances, allow for a better assessment of pathologies associated with
increased proinsulinaemia.
PMID- 21889935
TI - The gap junctional protein INX-14 functions in oocyte precursors to promote C.
elegans sperm guidance.
AB - Innexins are the subunits of invertebrate gap junctions. Here we show that the
innexin INX-14 promotes sperm guidance to the fertilization site in the
Caenorhabditis elegans hermaphrodite reproductive tract. inx-14 loss causes cell
nonautonomous defects in sperm migration velocity and directional velocity.
Results from genetic and immunocytochemical analyses provide strong evidence that
INX-14 acts in transcriptionally active oocyte precursors in the distal gonad,
not in transcriptionally inactive oocytes that synthesize prostaglandin sperm
attracting cues. Somatic gonadal sheath cell interaction is necessary for INX-14
function, likely via INX-8 and INX-9 expressed in sheath cells. However, electron
microscopy has not identified gap junctions in oocyte precursors, suggesting that
INX-14 acts in a channel-independent manner or INX-14 channels are difficult to
document. INX-14 promotes prostaglandin signaling to sperm at a step after F
series prostaglandin synthesis in oocytes. Taken together, our results support
the model that INX-14 functions in a somatic gonad/germ cell signaling mechanism
essential for sperm function. We propose that this mechanism regulates the
transcription of a factor(s) that modulates prostaglandin metabolism, transport,
or activity in the reproductive tract.
PMID- 21889936
TI - The FGD homologue EXC-5 regulates apical trafficking in C. elegans tubules.
AB - Maintenance of the shape of biological tubules is critical for development and
physiology of metazoan organisms. Loss of function of the Caenorhabditis elegans
FGD protein EXC-5 allows large fluid-filled cysts to form in the lumen of the
single-cell excretory canal tubules, while overexpression of exc-5 causes defects
at the tubule's basolateral surface. We have examined the effects of altering
expression levels of exc-5 on the distribution of fluorescently-marked
subcellular organelles. In exc-5 mutants, early endosomes build up in the cell,
especially in areas close to cysts, while recycling endosomes are depleted.
Endosome morphology changes prior to cyst formation. Conversely, when exc-5 is
overexpressed, recycling endosomes are enriched. Since FGD proteins activate the
small GTPases CDC42 and Rac, these results support the hypothesis that EXC-5 acts
through small GTPases to move material from apical early endosomes to recycling
endosomes, and that loss of such movement is likely the cause of tubule
deformation both in nematodes and in tissues affected by FGD dysfunction such as
Charcot-Marie-Tooth Syndrome type 4H.
PMID- 21889937
TI - SoxE gene duplication and development of the lamprey branchial skeleton: Insights
into development and evolution of the neural crest.
AB - SoxE genes are multifunctional transcriptional regulators that play key roles in
specification and differentiation of neural crest. Three members (Sox8, Sox9,
Sox10) are expressed in the neural crest and are thought to modulate the
expression and activity of each other. In addition to regulating the expression
of other early neural crest marker genes, SoxE genes are required for development
of cartilage. Here we investigated the role of SoxE genes in development of the
neural crest-derived branchial skeleton in the sea lamprey. Using a morpholino
knockdown approach, we show that all three SoxE genes described in lamprey are
required for branchial basket development. Our results suggest that SoxE1 and
SoxE2 are required for specification of the chondrogenic neural crest. SoxE3
plays a morphogenetic role in patterning of the branchial basket and may be
required for the development of mucocartilage, a tissue unique to larval
lampreys. While the lamprey branchial basket develops primarily from an elastin
like major extracellular matrix protein that is specific to lampreys, fibrillar
collagen is also expressed in developing branchial cartilage and may be regulated
by the lamprey SoxE genes. Our data suggest that the regulation of Type II
collagen by Sox9 might have been co-opted by the neural crest in development of
the branchial skeleton following the divergence of agnathan and gnathostome
vertebrates. Finally, our results also have implications for understanding the
independent evolution of duplicated SoxE genes among agnathan and gnathostome
vertebrates.
PMID- 21889938
TI - Initial diameter of the polar body contractile ring is minimized by the
centralspindlin complex.
AB - Polar body formation is an essential step in forming haploid eggs from diploid
oocytes. This process involves completion of a highly asymmetric cytokinesis that
results in a large egg and two small polar bodies. Unlike mitotic contractile
rings, polar body contractile rings assemble over one spindle pole so that the
spindle must move through the contractile ring before cytokinesis. During time
lapse imaging of C. elegans meiosis, the contractile ring moved downward along
the length of the spindle and completed scission at the midpoint of the spindle,
even when spindle length or rate of ring movement was increased. Patches of
myosin heavy chain and dynamic furrowing of the plasma membrane over the entire
embryo suggested that global cortical contraction forces the meiotic spindle and
overlying membrane out through the contractile ring center. Consistent with this
model, depletion of myosin phosphatase increased the velocity of ring movement
along the length of the spindle. Global dynamic furrowing, which was restricted
to anaphase I and II, was dependent on myosin II, the anaphase promoting complex
and separase, but did not require cortical contact by the spindle. Large cortical
patches of myosin during metaphase I and II indicated that myosin was already in
the active form before activation of separase. To identify the signal at the
midpoint of the anaphase spindle that induces scission, we depleted two proteins
that mark the exact midpoint of the spindle during late anaphase, CYK-4 and ZEN
4. Depletion of either protein resulted in the unexpected phenotype of initial
ingression of a polar body ring with twice the diameter of wild type. This
phenotype revealed a novel mechanism for minimizing polar body size. Proteins at
the spindle midpoint are required for initial ring ingression to occur close to
the membrane-proximal spindle pole.
PMID- 21889939
TI - Short-term changes in fathers' hormones during father-child play: impacts of
paternal attitudes and experience.
AB - Hormonal differences between fathers and non-fathers may reflect an effect of
paternal care on hormones. However, few studies have evaluated the hormonal
responses of fathers after interacting with their offspring. Here we report
results of a 30-minute in-home experiment in which Filipino fathers played with
their toddlers and consider whether paternal experience and men's perceptions of
themselves as fathers affect hormonal changes. Fathers provided saliva and dried
blood spot samples at baseline (B) and 30 (P30) and 60 (P60, saliva only) minutes
after the interaction. We tested whether testosterone (T), cortisol (CORT), and
prolactin (PRL) shifted after the intervention. In the total sample, T did not
vary over the study period, while CORT declined from B to P30 and P60, and PRL
also declined from B to P30. Fathers who spent more time in daily caregiving and
men who thought their spouses evaluated them positively as parental caregivers
experienced a larger decline in PRL (B to P30) compared to other fathers. First
time fathers also had larger declines in PRL compared to experienced fathers.
Experienced fathers also showed a greater decline in CORT (B to P60) compared to
first-time fathers. These results suggest that males' paternal experience and age
of offspring affect hormonal responses to father-child play and that there is a
psychobiological connection between men's perceptions of themselves as fathers
and their hormonal responsivity to childcare.
PMID- 21889941
TI - Mineralocorticoid receptors in the medial prefrontal cortex and hippocampus
mediate rats' unconditioned fear behaviour.
AB - Corticosterone is released from the adrenal cortex in response to stress, and
binds to glucocorticosteroid receptors (GRs) and mineralocorticosteroid receptors
(MRs) in the brain. Areas such as the dorsal hippocampus (DH), ventral
hippocampus (VH) and medial prefrontal cortex (mPFC) all contain MRs and have
been previously implicated in fear and/or memory. The purpose of the following
experiments was to examine the role of these distinct populations of MRs in rats'
unconditioned fear and fear memory. The MR antagonist (RU28318) was microinfused
into the DH, VH, or mPFC of rats. Ten minutes later, their unconditioned fear was
tested in the elevated plus-maze and the shock-probe tests, two behavioral models
of rat "anxiety." Twenty-four hours later, conditioned fear of a non-electrified
probe was assessed in rats re-exposed the shock-probe apparatus. Microinfusions
of RU28318 into each of the three brain areas reduced unconditioned fear in the
shock-probe burying test, but only microinfusions into the VH reduced
unconditioned fear in the plus-maze test. RU28318 did not affect conditioned fear
of the shock-probe 24hr later. MRs in all three areas of the brain mediated
unconditioned fear to a punctate, painful stimulus (probe shock). However, only
MRs in the ventral hippocampus seemed to mediate unconditioned fear of the more
diffuse threat of open spaces (open arms of the plus maze). In spite of the known
roles of the hippocampus in spatial memory and conditioned fear memory, MRs
within these sites did not appear to mediate memory of the shock-probe.
PMID- 21889940
TI - Galanthamine plus estradiol treatment enhances cognitive performance in aged
ovariectomized rats.
AB - We hypothesize that beneficial effects of estradiol on cognitive performance
diminish with age and time following menopause due to a progressive decline in
basal forebrain cholinergic function. This study tested whether galanthamine, a
cholinesterase inhibitor used to treat memory impairment associated with
Alzheimer's disease, could enhance or restore estradiol effects on cognitive
performance in aged rats that had been ovariectomized in middle-age. Rats were
ovariectomized at 16-17 months of age. At 21-22 months of age rats began
receiving daily injections of galanthamine (5mg/day) or vehicle. After one week,
half of each group also received 17beta-estradiol administered subcutaneously.
Rats were then trained on a delayed matching to position (DMP) T-maze task,
followed by an operant stimulus discrimination/reversal learning task. Treatment
with galanthamine+estradiol significantly enhanced the rate of DMP acquisition
and improved short-term delay-dependent spatial memory performance. Treatment
with galanthamine or estradiol alone was without significant effect. Effects were
task-specific in that galanthamine+estradiol treatment did not significantly
improve performance on the stimulus discrimination/reversal learning task. In
fact, estradiol was associated with a significant increase in incorrect responses
on this task after reversal of the stimulus contingency. In addition, treatments
did not significantly affect hippocampal choline acetyltransferase activity or
acetylcholine release. This may be an effect of age, or possibly is related to
compensatory changes associated with long-term cholinesterase inhibitor
treatment. The data suggest that treating with a cholinesterase inhibitor can
enhance the effects of estradiol on acquisition of a DMP task by old rats
following a long period of hormone deprivation. This could be of particular
benefit to older women who have not used hormone therapy for many years and are
beginning to show signs of mild cognitive impairment. Potential mechanisms for
these effects are discussed.
PMID- 21889942
TI - Influence of obesity and metabolic dysfunction on the endothelial control in the
coronary circulation.
AB - Diseases of the coronary circulation remain the leading cause of death in Western
society despite impressive advances in diagnosis, pharmacotherapy and post-event
management. Part of this statistic likely stems from a parallel increase in the
prevalence of obesity and metabolic dysfunction, both significant risk factors
for coronary disease. Obesity and diabetes pose unique challenges for the heart
and their impact on the coronary vasculature remains incompletely understood. The
vascular endothelium is a major interface between arterial function and the
physical and chemical components of blood flow. Proper function of the
endothelium is necessary to preserve hemostasis, maintain vascular tone and limit
the extent of vascular diseases such as atherosclerosis. Given its central role
in vascular health, endothelial dysfunction has been the source of considerable
research interest in diabetes and obesity. In the current review, we will examine
the pathologic impact of obesity and diabetes on coronary function and the extent
to which these two factors impact endothelial function. This article is part of a
Special Issue entitled "Coronary Blood Flow".
PMID- 21889944
TI - High fat diet induces central obesity, insulin resistance and microvascular
dysfunction in hamsters.
AB - Microvascular dysfunction is an early finding in obesity possibly related to co
morbidities like diabetes and hypertension. Therefore we have investigated
changes on microvascular function, body composition, glucose and insulin
tolerance tests (GTT and ITT) on male hamsters fed either with high fat (HFD,
n=20) or standard (Control, n=21) diet during 16 weeks. Total body fat and
protein content were determined by carcass analysis, aorta eNOS and iNOS
expression by immunoblotting assay and mean blood pressure (MAP) and heart rate
(HR) by an arterial catheter. Microvascular reactivity in response to
acetylcholine and sodium nitroprusside, functional capillary density (FCD),
capillary recruitment induced by a hyperinsulinemic status and macromolecular
permeability after 30 min ischemia was assessed on either cheek pouch or
cremaster muscle preparations. Compared to Control, HFD animals have shown
increased visceral fat (6.0 +/- 0.8 vs. 13.8 +/- 0.6g/100g BW), impaired
endothelial dependent vasodilatation, decreased FCD (11.3 +/- 1.3 vs. 6.8 +/-
1.2/field) and capillary recruitment during hyperinsulinemia and increased
macromolecular permeability after ischemia/reperfusion (86.4 +/- 5.2 vs.105.2 +/-
5.1 leaks/cm(2)), iNOS expression and insulin resistance. MAP, HR, endothelial
independent vasodilatation and eNOS expression were not different between groups.
Our results have shown that HFD elicits an increase on visceral fat deposition,
microvascular dysfunction and insulin resistance in hamsters.
PMID- 21889943
TI - Myocardial perfusion and contraction in acute ischemia and chronic ischemic heart
disease.
AB - A large body of evidence has demonstrated that there is a close coupling between
regional myocardial perfusion and contractile function. When ischemia is mild,
this can result in the development of a new balance between supply and energy
utilization that allows the heart to adapt for a period of hours over which
myocardial viability can be maintained, a phenomenon known as "short-term
hibernation". Upon reperfusion after reversible ischemia, regional myocardial
function remains depressed. The "stunned myocardium" recovers spontaneously over
a period of hours to days. The situation in myocardium subjected to chronic
repetitive ischemia is more complex. Chronic dysfunction can initially reflect
repetitive stunning with insufficient time for the heart to recover between
episodes of spontaneous ischemia. As the frequency and/or severity of ischemia
increases, the heart undergoes a series of adaptations which downregulate
metabolism to maintain myocyte viability at the expense of contractile function.
The resulting "hibernating myocardium" develops regional myocyte cellular
hypertrophy as a compensatory response to ischemia-induced apoptosis along with a
series of molecular adaptations that while regional, are similar to global
changes found in advanced heart failure. As a result, flow-function relations
become independently affected by tissue remodeling and interventions that
stimulate myocyte regeneration. Similarly, chronic vascular remodeling may alter
flow regulation in a fashion that increases myocardial vulnerability to ischemia.
Here we review our current understanding of myocardial flow-function relations
during acute ischemia in normal myocardium and highlight newly identified
complexities in their interpretation in viable chronically dysfunctional
myocardium with myocyte cellular and molecular remodeling. This article is part
of a Special Issue entitled "Coronary Blood Flow".
PMID- 21889945
TI - Mutagens interfere with microRNA maturation by inhibiting DICER. An in silico
biology analysis.
AB - Exposure to environmental mutagens results in alteration of microRNA expression
mainly oriented towards down-regulation, as typically observed in cigarette
smoke. However, the molecular mechanism triggering this event is still unknown.
To shed light on this issue, we developed an 'in silico' analysis testing 25
established environmental mutagens (polycyclic aromatic hydrocarbons,
heterocyclic compounds, nitrosoamines, morpholine, ethylnitrosurea, benzene
derivatives, hydroxyl amines, alkenes) for their potential to interfere with the
function of DICER, the enzyme involved in the cytoplasmic phase of microRNA
maturation. In order to analyse the binding affinity between DICER and each
mutagen, the three-dimensional bioinformatic structures of DICER-RNase III
domains and of mutagens have been constructed. The binding affinity of mutagens
for each DICER's RNase III domain was estimated by calculating the global contact
energy and the number of intermolecular contacts. These two parameters reflect
the stability of the DICER-mutagen complexes. All the 25 mutagens tested form
stable complexes with DICER, 20 of which form a complex with DICER A domain, that
is more stable than those formed by DICER with its natural substrate, i.e. double
strand short RNAs. These mutagens are benzo(a)pyrene diol epoxide,
nitroimidazoles, fluorenes, naphthalene, morpholine, stilbenes, hydroxylamines,
fecapentenes. In the case of exposure to mutagen mixtures (benzo(a)pyrene
diolepoxide and 4-acetylaminostilbene), synergistic or less than addictive
effects occur depending on the docking order of the compounds. A group of 8
mutagens with the highest ability to interfere with this DICER function, was
identified by hierarchical cluster analysis. This group included 1-ethyl-1
nitrosourea and 4-nitrosomorpholine. Herein, presented data support the view that
mutagens interfere with microRNA maturation by binding DICER. This finding sheds
light on a new epigenetic mechanism exerted by environmental mutagens in inducing
cell damage.
PMID- 21889946
TI - AT cells are not radiosensitive for simple chromosomal exchanges at low dose.
AB - Cells deficient in ATM (product of the gene that is mutated in ataxia
telangiectasia patients) or NBS (product of the gene mutated in the Nijmegen
breakage syndrome) show increased yields of both simple and complex chromosomal
aberrations after high doses (>0.5Gy) of ionizing radiation (X-rays or gamma
rays), however less is known on how these cells respond at low dose. Previously
we had shown that the increased chromosome aberrations in ATM and NBS defective
lines was due to a significantly larger quadratic dose-response term compared to
normal fibroblasts for both simple and complex exchanges. The linear dose
response term for simple exchanges was significantly higher in NBS cells compared
to wild type cells, but not for AT cells. However, AT cells have a high
background level of exchanges compared to wild type or NBS cells that confounds
the understanding of low dose responses. To understand the sensitivity
differences for high to low doses, chromosomal aberration analysis was first
performed at low dose-rates (0.5Gy/d), and results provided further evidence for
the lack of sensitivity for exchanges in AT cells below doses of 1Gy. Normal lung
fibroblast cells treated with KU-55933, a specific ATM kinase inhibitor, showed
increased numbers of exchanges at a dose of 1Gy and higher, but were similar to
wild type cells at 0.5Gy or below. These results were confirmed using siRNA
knockdown of ATM. The present study provides evidence that the increased
radiation sensitivity of AT cells for chromosomal exchanges found at high dose
does not occur at low dose.
PMID- 21889948
TI - Neural correlates of an illusory touch experience investigated with fMRI.
AB - When asked to judge the presence or absence of near-threshold tactile stimuli,
participants often report touch experiences when no tactile stimulation has been
delivered ('false alarms'). The simultaneous presentation of a light flash during
the stimulation period can increase the frequency of touch reports, both when
touch is and is not present. Using fMRI, we investigated the BOLD response during
both light-present and light-absent false alarms, testing predictions concerning
two possible neural mechanisms underlying these illusory touch experiences:
activation of a tactile representation in primary somatosensory cortex (SI)
and/or activation of a tactile representation in late processing areas outside of
sensory-specific cortex, such as medial prefrontal cortex (MPC). Our behavioural
results showed that participants made false alarms in light-present and light
absent trials, both of which activated regions of the medial parietal and medial
prefrontal cortex including precuneus, posterior cingulate and paracingulate
cortex, suggesting the same underlying mechanism. However, only a non-significant
increase in SI activity was measured in response to false alarm vs. correct
rejection trials. We argue that our results provide evidence for the role of top
down regions in somatic misperception, consistent with findings from studies in
humans and non-human primates.
PMID- 21889947
TI - Neural correlates of contextual cueing are modulated by explicit learning.
AB - Contextual cueing refers to the facilitated ability to locate a particular visual
element in a scene due to prior exposure to the same scene. This facilitation is
thought to reflect implicit learning, as it typically occurs without the
observer's knowledge that scenes repeat. Unlike most other implicit learning
effects, contextual cueing can be impaired following damage to the medial
temporal lobe. Here we investigated neural correlates of contextual cueing and
explicit scene memory in two participant groups. Only one group was explicitly
instructed about scene repetition. Participants viewed a sequence of complex
scenes that depicted a landscape with five abstract geometric objects.
Superimposed on each object was a letter T or L rotated left or right by 90
degrees . Participants responded according to the target letter (T) orientation.
Responses were highly accurate for all scenes. Response speeds were faster for
repeated versus novel scenes. The magnitude of this contextual cueing did not
differ between the two groups. Also, in both groups repeated scenes yielded
reduced hemodynamic activation compared with novel scenes in several regions
involved in visual perception and attention, and reductions in some of these
areas were correlated with response-time facilitation. In the group given
instructions about scene repetition, recognition memory for scenes was superior
and was accompanied by medial temporal and more anterior activation. Thus,
strategic factors can promote explicit memorization of visual scene information,
which appears to engage additional neural processing beyond what is required for
implicit learning of object configurations and target locations in a scene.
PMID- 21889949
TI - Enhanced suppression of tumor growth by concomitant treatment of human lung
cancer cells with suberoylanilide hydroxamic acid and arsenic trioxide.
AB - The efficacy of arsenic trioxide (ATO) against acute promyelocytic leukemia (APL)
and relapsed APL has been well documented. ATO may cause DNA damage by generating
reactive oxygen intermediates. Suberoylanilide hydroxamic acid (SAHA), a histone
deacetylase inhibitor, modulates gene and protein expression via histone
dependent or -independent pathways that may result in chromatin decondensation,
cell cycle arrest, differentiation, and apoptosis. We investigated whether ATO
and SAHA act synergistically to enhance the death of cancer cells. Our current
findings showed that combined treatment with ATO and SAHA resulted in enhanced
suppression of non-small-cell lung carcinoma in vitro in H1299 cells and in vivo
in a xenograft mouse model. Flow cytometric analysis of annexin V+ cells showed
that apoptotic cell death was significantly enhanced after combined treatment
with ATO and SAHA. At the doses used, ATO did not interfere with cell cycle
progression, but SAHA induced p21 expression and led to G1 arrest. A Comet assay
demonstrated that ATO, but not SAHA, induced DNA strand breaks in H1299 cells;
however, co-treatment with SAHA significantly increased ATO-induced DNA damage.
Moreover, SAHA enhanced acetylation of histone H3 and sensitized genomic DNA to
DNase I digestion. Our results suggest that SAHA may cause chromatin relaxation
and increase cellular susceptibility to ATO-induced DNA damage. Combined
administration of SAHA and ATO may be an effective approach to the treatment of
lung cancer.
PMID- 21889950
TI - Identification of aryl hydrocarbon receptor binding targets in mouse hepatic
tissue treated with 2,3,7,8-tetrachlorodibenzo-p-dioxin.
AB - Genome-wide, promoter-focused ChIP-chip analysis of hepatic aryl hydrocarbon
receptor (AHR) binding sites was conducted in 8-week old female C57BL/6 treated
with 30 MUg/kg/body weight 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD) for 2 h and
24 h. These studies identified 1642 and 508 AHR-bound regions at 2h and 24h,
respectively. A total of 430 AHR-bound regions were common between the two time
points, corresponding to 403 unique genes. Comparison with previous AHR ChIP-chip
studies in mouse hepatoma cells revealed that only 62 of the putative target
genes overlapped with the 2 h AHR-bound regions in vivo. Transcription factor
binding site analysis revealed an over-representation of aryl hydrocarbon
response elements (AHREs) in AHR-bound regions with 53% (2 h) and 68% (24 h) of
them containing at least one AHRE. In addition to AHREs, E2f-Myc activator motifs
previously implicated in AHR function, as well as a number of other motifs,
including Sp1, nuclear receptor subfamily 2 factor, and early growth response
factor motifs were also identified. Expression microarray studies identified 133
unique genes differentially regulated after 4 h treatment with TCDD. Of which, 39
were identified as AHR-bound genes at 2 h. Ingenuity Pathway Analysis on the 39
AHR-bound TCDD responsive genes identified potential perturbation in biological
processes such as lipid metabolism, drug metabolism, and endocrine system
development as a result of TCDD-mediated AHR activation. Our findings identify
direct AHR target genes in vivo, highlight in vitro and in vivo differences in
AHR signaling and show that AHR recruitment does not necessarily result in
changes in target gene expression.
PMID- 21889951
TI - Neural effects of acute nicotinic treatment on visual spatial attention in non
smokers.
AB - Enhanced cortical cholinergic signaling associated with nicotinic acetylcholine
receptor (nAChR) stimulation has been linked with pro-cognitive actions in a
variety of performance domains, including attentional tasks. Improvements in
stimulus selection with the nAChR agonist nicotine have been reported but its
effects on visual spatial selective attention are unclear. Employing a double
blind, placebo-controlled design, this study examined the acute actions of
nicotine (6 mg) in 24 non-smokers performing a visual search task of spatial
attention that was probed with behavioral performance measures and the N2pc
component of the event-related potentials (ERPs), which served as a neural index
of spatial attentional selection. Nicotine did not affect behavioral performance
indices. In high symptomatic subjects (as indexed by greater increases in heart
rate post-administration), nicotine was associated with an N2pc amplitude
enhancement while in low symptomatic individuals it was associated with an N2pc
difference amplitude decrease. Nicotine modulation of the ERP marker of spatial
attentional selection corroborates in general the attentional effects of nAChR
agonists and extends these properties to include altered selective mechanisms
during visual spatial processing.
PMID- 21889952
TI - Overview of glutamatergic neurotransmission in the nervous system.
AB - This introductory article to the special edition on glutamate neurotransmission
in neuropsychiatric disorders provides an overview of glutamate neurotransmitter
system physiology and pharmacology. Glutamate was only relatively recently
recognized as the major excitatory neurotransmitter in the mammalian brain, in
part due to its ubiquitous nature and diverse metabolic roles within the CNS. The
extremely high concentration of glutamate in brain tissue paired with its
excitotoxic potential requires tight physiological regulation of extracellular
glutamate levels and receptor signaling in order to assure optimal excitatory
neurotransmission but limits excitotoxic damage. In order to achieve this high
level of control, the system has developed a complex physiology with multiple
regulatory processes modulating glutamate metabolism, release, receptor
signaling, and uptake. The basic physiology of the various regulatory components
of the system including the rich receptor pharmacology is briefly reviewed.
Potential contributions from each of the system's components to the
pathophysiology of neuropsychiatric illnesses are briefly discussed, as are the
many new pharmacological targets for drug development provided by the system,
especially as they pertain to the proceeding preclinical and clinical articles in
this issue.
PMID- 21889954
TI - Development of a specific ELISA to measure BACE1 levels in human tissues.
AB - The aspartyl protease BACE1 is the rate limiting enzyme in the synthesis of
amyloid beta, which accumulation in the human brain is a hallmark of Alzheimer's
disease (AD). BACE1 has been proposed as a surrogate marker of AD; however, very
few BACE1 immunoassays have been reported. In the present study we have screened
ten BACE1 antibodies by Western blot and several antibody pairs to develop a new
BACE1 sandwich ELISA procedure. We identified one pair that showed little
background and good reproducibility. Several dilution buffers and sample
denaturation methods were tried to partially unfold BACE1 before capture. We
found that dilution in PBS followed by 10 min incubation at 50 degrees C
critically improves the performance of the assay. Finally, we successfully
measured BACE1 levels in a few human brain and platelet lysates as well as in
plasma and AD CSF. We anticipate that this assay will lay the ground to
accurately measure BACE1 levels in human tissues, which could facilitate the
molecular diagnosis of AD in the near future.
PMID- 21889955
TI - Proliferating culture of aged microglia for the study of neurodegenerative
diseases.
AB - Microglial cells' phenotype and function change with aging. Since microglial cell
impairments that are relevant for neurodegenerative diseases appear to be unique
to aged individuals, it is important to assess function of aged microglia.
However, most studies are done with microglia from neonates, mostly due to lack
of reliable protocols to obtain microglia from adult animals. Here, we present a
conditioned media-dependent culture system that promotes proliferation of adult
microglia. We observed that inflammatory activation was increasingly oxidative in
microglia from aged animals. Also, whereas phagocytosis of Abeta by microglia
from adult animals was more robust than that of microglia from neonates, the
induction of phagocytosis by TGFbeta was abolished in aged animals. Our results
show the importance of using adult animals cells for the study of
neurodegenerative processes or other diseases associated with aging. The proposed
culture method is inexpensive and cell yield allows for their assessment by
functional bioassays and biochemistry.
PMID- 21889953
TI - Anatomical insights into the interaction of emotion and cognition in the
prefrontal cortex.
AB - Psychological research increasingly indicates that emotional processes interact
with other aspects of cognition. Studies have demonstrated both the ability of
emotional stimuli to influence a broad range of cognitive operations, and the
ability of humans to use top-down cognitive control mechanisms to regulate
emotional responses. Portions of the prefrontal cortex appear to play a
significant role in these interactions. However, the manner in which these
interactions are implemented remains only partially elucidated. In the present
review we describe the anatomical connections between ventral and dorsal
prefrontal areas as well as their connections with limbic regions. Only a subset
of prefrontal areas are likely to directly influence amygdalar processing, and as
such models of prefrontal control of emotions and models of emotional regulation
should be constrained to plausible pathways of influence. We also focus on how
the specific pattern of feedforward and feedback connections between these
regions may dictate the nature of information flow between ventral and dorsal
prefrontal areas and the amygdala. These patterns of connections are inconsistent
with several commonly expressed assumptions about the nature of communications
between emotion and cognition.
PMID- 21889956
TI - Human telomerase reverse transcriptase-immortalized porcine monomyeloid cell
lines for the production of porcine reproductive and respiratory syndrome virus.
AB - Porcine reproductive and respiratory syndrome virus (PRRSV) shows highly
restricted cell tropism and targets subpopulations of differentiated macrophages
such as porcine alveolar macrophages (PAM) in the natural host. Although primary
PAM cells would be ideal for in vitro virus production, they are not only
difficult and expensive for establishment but cannot be frozen reliably for long
term storage and use. Apart from PAM cells, African green monkey kidney derived
Marc-145 cells are used commonly for virus propagation. However, concerns have
been raised regarding a possible modification of specific epitopes associated
with virus neutralization because of distinct virus entry between PAM and Marc
145 cells. In order to overcome these problems, the present study was aimed to
generate immortalized porcine monocyte/macrophage cell lines and to evaluate
their potential for PRRSV production. Primary PAM cells were transfected stably
with the human telomerase reverse transcriptase (hTERT) cDNA by a retrovirus
vector so that constitutive expression of the hTERT protein allows cells to
proliferate indefinitely. The newly immortalized PAM clones were shown to exert
functional telomerase activity, indicating sustained expression of hTERT. In
addition, telomerase-immortalization of PAMs did not affect expression levels of
the native CD163 receptor on their surface. It was further demonstrated that
these continuous PAM cell lines are fully permissive for the efficient growth of
both type 1 and 2 PRRSV strains. The findings suggest that the hTERT-immortalized
PAM cell lines can enable us to facilitate the continued use of PAMs for virus
isolation and production and to provide a promising tool for viral pathogenesis
and immune function studies.
PMID- 21889957
TI - Effects of D-cycloserine and midazolam on the expression of the GABA-A alpha-2
receptor subunits in brain structures of fear conditioned rats.
AB - The role of the GABA-A alpha-2 receptor subunit in the basolateral amygdala
(BLA), dentate gyrus of the hippocampus (DG) and prefrontal cortex (M2 area)
during a fear session (performed one week after the conditioned fear test), was
studied. We employed a model of high (HR) and low anxiety (LR) rats divided
according to their conditioned freezing response. Pretreatment of rats with d
cycloserine immediately before the fear session attenuated fear response in HR
and LR rats and increased the density of alpha-2 subunits in the BLA, M2 area and
DG of HR animals. The less potent behavioural influence of midazolam (in HR group
only) was linked to the increased expression of alpha-2 subunit in M2 area and
DG. These results support a role of the GABA-A receptor alpha-2 subunit in
processing of emotional cortico-hippocampal input to the BLA.
PMID- 21889958
TI - Distinct differentiation of closely related species of Bacillus subtilis group
with industrial importance.
AB - PCR amplification of 16S rRNA gene by universal primers followed by restriction
fragment length polymorphism analysis using RsaI, CfoI and HinfI endonucleases,
distinctly differentiated closely related Bacillus amyloliquefaciens, Bacillus
licheniformis and Bacillus pumilus from Bacillus subtilis sensu stricto. This
simple, economical, rapid and reliable protocol could be an alternative to
misleading phenotype-based grouping of these closely related species.
PMID- 21889959
TI - Ethanol interferes with gustatory plasticity in Caenorhabditis elegans.
AB - Ethanol affects the formation of learning and memory in many species. However,
the molecular mechanisms underlying the behavioral effects of ethanol are still
poorly understood. In Caenorhabditis elegans, gustatory plasticity is a simple
learning paradigm, in which animals after prolonged pre-exposure to a chemo
attractive salt in the absence of food show chemo-aversion to this salt during
subsequent chemotaxis test stage. We characterized the effect of ethanol on this
simple learning model. Our results showed that ethanol administration interfered
with gustatory plasticity during pre-exposure or test stage in well-fed worms.
Genetic analysis revealed that one mutant previously implicated involved in acute
ethanol responses, slo-1, as well as two mutants with defects in serotonin
synthesis, tph-1 and bas-1, failed to exhibit ethanol interference with gustatory
plasticity. Furthermore, two metabotropic serotonin receptors, SER-4 and SER-7,
were found to be involved in ethanol-mediated gustatory plasticity. In addition,
the tph-1 and ser-4 loci were also involved in ethanol's effect on locomotion
behavior. These data suggested an essential role of serotonin signaling in
modulating acute effects of ethanol.
PMID- 21889960
TI - Induction of virus-specific neutralizing immune response against West Nile and
Japanese encephalitis viruses by chimeric peptides representing T-helper and B
cell epitopes.
AB - West Nile virus (WNV) and Japanese encephalitis virus (JEV), the members of JEV
serocomplex group are pathogens of global health concern. The co-circulation of
these viruses poses challenges in effective diagnostics due to antigenic
similarity between the E-protein of these viruses. The present study aimed to
design chimeric peptides and study the immune response against the same. B-cell
epitopes were predicted on structural proteins of WNV and JEV based on
bioinformatics tools. The peptides representing to these B-cell epitopes were
synthesized and subjected to ELISA. Two peptides, one each from WNV (named WE147)
and JEV (named JE40) E-protein, showed virus-specific and strong reactivity to
the immune mice sera and human clinical samples. The chimeric peptides for WNV
and JEV were constructed by synthesizing the B-cell epitope of WNV (WE147) or JEV
(JE40) with T-helper epitope (JM17) separated by diglycine spacer in between. The
immune response generated against these chimeric peptides was found to be
specific to the respective B-cell epitopes. The anti-peptide sera showed virus
specific reactivity in ELISA and in immunofluorescence assay with no cross
reactivity. Also, the anti-peptide sera could neutralize JE and WN viruses in an
in vitro virus neutralization assay. The B-cell epitopes identified in the
present study may be used as diagnostic markers for differentiating between WN
and JE virus infections. The present study can form a basis for future design of
vaccines.
PMID- 21889961
TI - Distinct mutant hepatitis B virus genomes, with alterations in all four open
reading frames, in a single South African hepatocellular carcinoma patient.
AB - Sequence variation of hepatitis B virus (HBV) can influence the replication,
antigen expression and pathogenicity of the virus. We report on the mutational
analysis of HBV performed in a 28-year-old Black South African female diagnosed
with HBV-induced hepatocellular carcinoma. Full-genome amplification and DNA
sequencing of HBV was carried out. Five distinct complete genomic clones were
described with extensive genomic and intragenic variation. Phylogenetic analysis
revealed that all five clones belonged to subgenotype A1 and that there were at
least four virus populations with genomes of different lengths ranging from 3194
to 3253 base pairs. In this particular patient, four major characteristic
features, not previously reported to occur simultaneously in HBV isolated from a
single patient, were observed. Firstly, all the clones harboured a 13 base pair
deletion and a 45 base pair insertion in the basic core promoter (BCP). Secondly,
a 37 base pair insertion in the core gene with three adjacent single nucleotide
deletions were observed. Thirdly, premature S gene stop codons were observed in
some clones and lastly X gene initiation codon mutations were also observed. The
complex nature of the mutations in the HBV isolated from this single patient may
have contributed to the early onset of hepatocarcinogenesis.
PMID- 21889962
TI - Rana grylio virus as a vector for foreign gene expression in fish cells.
AB - In the present study, Rana grylio virus (RGV, an iridovirus) thymidine kinase
(TK) gene and viral envelope protein 53R gene were chosen as targets for foreign
gene insertion. DeltaTK-RGV and Delta53R-RGV, two recombinant RGV, expressing
enhanced green fluorescence protein (EGFP) were constructed and analyzed in
Epithelioma papulosum cyprinid (EPC) cells. The EGFP gene which fused to the
virus major capsid protein (MCP) promoter p50 was inserted into TK and 53R gene
loci of RGV, respectively. Cells infected with these two recombinant viruses not
only displayed plaques, but also emitted strong green fluorescence under
fluorescence microscope, providing a simple method for selection and purification
of recombinant viruses. DeltaTK-RGV was purified by seven successive rounds of
plaque isolation and could be stably propagated in EPC cells. All of the plaques
produced by the purified recombinant virus emitted green fluorescence. However,
Delta53R-RGV was hard to be purified even through twenty rounds of plaque
isolation. The purified recombinant virus DeltaTK-RGV was verified by PCR
analysis and Western blotting. These results showed EGFP was expressed in DeltaTK
RGV infected cells. Furthermore, one-step growth curves and electron microscopy
revealed that infection with recombinant DeltaTK-RGV and wild-type RGV are
similar. Therefore, RGV was demonstrated could be as a viral vector for foreign
gene expression in fish cells.
PMID- 21889963
TI - Novel cell-specific and pH-sensitive non-viral gene carrier system. Preface.
PMID- 21889964
TI - Antifungal action of human cathelicidin fragment (LL13-37) on Candida albicans.
AB - Human cathelicidin LL37 and its fragments LL13-37 and LL17-32 exhibited similar
potencies in inhibiting growth of the yeast Candida albicans. After treatment
with 0.5 MUM and 5 MUM LL13-37, the hyphae changed from a uniformly thick to an
increasingly slender appearance, with budding becoming less normal in appearance
and cell death could be detected. Only the yeast form and no hyphal form could be
observed following exposure to 50 MUM LL13-37. LL13-37 at a concentration of 5
MUM was able to permeabilize the membrane of yeast form as well as hyphal form of
C. albicans since the nuclear stain SYTOX Green was localized in both forms.
Mycelia treated with LL13-37 stained with SYTOX Green, but did not stain with
MitoTracker deep red, indicating that the mitochondria were adversely affected by
LL13-37. Bimane-labeled LL13-37 was able to enter some of the hyphae, but not all
hyphae were affected, suggesting that LL37 impaired membrane permeability
characteristics in some of the hyphae. Reactive oxygen species was detectable in
the yeast form of C. albicans cells after treatment with LL13-37 but not in the
untreated cells. The results suggest that the increased membrane permeability
caused by LL13-37 might not be the sole cause of cell death. It might lead to the
uptake of the peptide, which might have some intracellular targets.
PMID- 21889965
TI - Novel anti-inflammatory peptides as cosmeceutical peptides.
AB - Ultraviolet (UV) radiation induced inflammation plays an important role in the
aging of human skin. Prostaglandin (PG) E(2) is the primary mediator of UVB
induced photoinflammation. We screened an internal library for dipeptides that
inhibited UVB induced PGE(2) synthesis but showed no cytotoxicity toward human
keratinocytes. We identified three highly active inhibitory sequences, LE
(Leu+Glu), MW (Met+Trp) and MY (Met+Tyr). To evaluate their efficacy in human
skin, 24 sites of abdomen skin were irradiated with a 308 nm excimer laser (300
mJ/cm(2)), after which 2% LE, MW, MY or a control were applied to the irradiated
sites for 24h. The erythema index (EI) was measured before and 24h after
treatment. The results showed that LE and MW significantly decreased UVB induced
erythema (p=0.041 and p=0.036, respectively), but ME did not. Overall, LE and MW
are candidate cosmeceutical peptides that can protect skin from UVB induced
photoinflammation.
PMID- 21889966
TI - MBL1 gene in nonhuman primates.
AB - With the aim of investigating the evolution of MBL1P1 (MBL1) gene, we analyzed
the MBL1 coding region sequences in several specimens of two species of great
apes, two species of Hylobatidae, four species of Cercopithecidae, and one
Platyrrhine species, and in human beings. An indication for a progressive
silencing of the molecule has been found. We found a ~300 bp insertion in the
first intron of MBL1 in the Cercopithecidae that could explain the different
splicing between primates species and possibly why Macaca mulatta is able to
produce a complete protein, whereas in human beings the protein product is
truncated. Based on our genetic findings, we could speculate that all the
Cercopithecidae (presenting the 300-bp insertion) may express MBL1 mature protein
like the M mulatta, whereas the lesser and great apes, which lack this insertion
as do human beings, may have only the truncated pseudogene.
PMID- 21889967
TI - Autoimmune and inflammatory mechanisms of CNS damage.
AB - Brain morphology and function are susceptible to various psysiological
influences, including changes in the immune system. Inflammation and autoimmunity
are two principal immunological responses that can compromise the function of
multiple organs and tissues, including the central nervous system. The present
article reviews clinical and experimental evidence pointing to structural brain
damage induced by chronic autoimmune and/or inflammatory processes. Largely due
to the vast complexity of neuroendocrine and immune systems, most of the
principal pathogenic circuits are far from elucidated. In addition to summarizing
the current knowledge, this article aims to highlight the importance of
interdisciplinary research and combined efforts of physicians and scientists in
revealing the intricate links between immunity and mental health.
PMID- 21889968
TI - Social distance modulates recipient's fairness consideration in the dictator
game: an ERP study.
AB - Previous research showed that social distance (e.g., being friends or strangers)
influences people's fairness consideration and other-regarding behavior. However,
it is not entirely clear how social distance influences the recipient's
evaluation of (un)fair behavior. In this study, we let people play a dictator
game in which they received (un)fair offers from either friends or strangers
while their brain potentials were recorded. Results showed that the medial
frontal negativity (MFN), a component associated with the processing of
expectancy violation, was more negative-going in response to unfair than to fair
offers from friends whereas it did not show differential responses to offers from
strangers. The P300 was more positive for fair than for unfair offers
irrespective of friends or strangers making the offers. These results suggest
that violation of social norms can be detected at an early stage of evaluative
processing and that this detection can be modulated by social distance.
PMID- 21889969
TI - Has dysregulated interleukin-6 gene a role in the development of Alzheimer's
disease?
PMID- 21889970
TI - Biomarkers of anhedonic-like behavior, antidepressant drug refraction, and stress
resilience in a rat model of depression.
AB - The aim of the present study was to identify potential biomarkers for depression
in the search for novel disease targets and treatment regimens. Furthermore, the
study includes a search for biomarkers involved in treatment resistance and
stress resilience in order to investigate mechanisms underlying antidepressant
drug refraction and stress-coping strategies. Depression-related transcriptomic
changes in gene expression profiles were investigated in laser-captured
microdissected (LCM) rat hippocampal granular cell layers (GCL) using the chronic
mild stress (CMS) rat model of depression and chronic administration of two
selective serotonin reuptake inhibitors (SSRIs), escitalopram and sertraline. CMS
rats were segregated into diverging groups according to behavioral readouts, and
under stringent constraints, the associated differential gene regulations were
analyzed. Accordingly, we identified four genes associated with recovery, two
genes implicated in treatment resistance, and three genes involved in stress
resilience. The identified genes associated with mechanisms of cellular
plasticity, including signal transduction, cell proliferation, cell
differentiation, and synaptic release. Hierarchical clustering analysis confirmed
the subgroup segregation pattern in the CMS model. Thus antidepressant treatment
refractors cluster with anhedonic-like rats, and, interestingly, stress-resilient
rats cluster with rats undergoing antidepressant-mediated recovery from
anhedonia, suggesting antidepressant mechanisms of action to emulate endogenous
stress-coping strategies.
PMID- 21889971
TI - Spinal glycinergic and GABAergic neurons expressing C-fos after capsaicin
stimulation are increased in rats with contralateral neuropathic pain.
AB - There is increasing evidence that pain transmission on one side of the body is
influenced by a painful state on the other side. We have investigated this
phenomenon by studying the activation pattern (using C-fos labeling) of spinal
glycinergic and GABAergic (Gly/GABA) neurons after capsaicin injection in the
ipsilateral hind paw of rats that were preconditioned with an acute or chronic
pain stimulus in the contralateral hind paw or rats that were not preconditioned
(control). For this purpose, fluorescent in situ hybridization with GlyT2 and
GAD67 mRNA probes was combined with fluorescent C-fos immunohistochemistry. Rats
were preconditioned with acute (capsaicin, Complete Freund's Adjuvant (CFA) 1.5
h), chronic inflammatory (CFA 20 h and 4 days), neuropathic (spared nerve injury
(SNI) 2 weeks), or control pain stimuli (saline 20 h and 4 days; sham-SNI 2
weeks). We found that after capsaicin injection in rats preconditioned with CFA
inflammation (4 days), sham-SNI or with SNI neuropathic pain, the numbers (27 +/-
3, 21 +/- 2, and 21 +/- 2, respectively) and percentages (55% +/- 4, 43% +/- 2,
and 42% +/- 2, respectively) of C-fos activated neurons that were Gly/GABA
increased significantly as compared with control (10 +/- 1 and 25% +/- 2). The
increase in the total number of C-fos activated Gly/GABA neurons was present
primarily in the superficial dorsal horn (laminae I and II; control: 9%; CFA 4
days: 56%; SNI 2 weeks: 42%). This increase in C-fos activation of Gly/GABA
neurons occurred without significant changes in the total number of C-fos
activated neurons, and without any significant changes in the mechanical
thresholds in the hind paws after capsaicin injection. The results showed that
one-sided chronic pain, especially inflammation, significantly increases the C
fos activation pattern of spinal Gly/GABA neurons on the other side of the spinal
cord. This further underlines the existence of a dynamic interaction between ipsi
and contralateral spinal neurons in the processing of nociceptive information.
PMID- 21889972
TI - Decreased pain inhibition in irritable bowel syndrome depends on altered
descending modulation and higher-order brain processes.
AB - Irritable bowel syndrome (IBS) is a functional gastrointestinal disorder
involving abdominal pain and bowel dysfunction. IBS pain symptoms have been
hypothesized to depend on peripheral and central mechanisms, but the
pathophysiology is still unclear. The aim of the present study was to assess the
contribution of cerebral and cerebrospinal processes to pain inhibition deficits
in IBS. Fourteen female patients with diarrhea-predominant IBS (IBS-D) and 14
healthy female volunteers were recruited. Acute pain and the nociceptive
withdrawal reflex (RIII reflex) were evoked by transcutaneous electrical
stimulation of the right sural nerve with modulation by hetero-segmental counter
irritation produced by sustained cold pain applied on the left forearm.
Psychological symptoms were assessed by questionnaires. Shock pain decreased
significantly during counter-irritation in the controls (P<0.001) but not in IBS
patients (P=0.52). Similarly, RIII-reflex amplitude declined during counter
irritation in the controls (P=0.009) but not in IBS patients (P=0.11).
Furthermore, pain-related anxiety increased during counter-irritation in IBS
patients (P=0.003) but not in the controls (P=0.74). Interestingly, across all
subjects, counter-irritation analgesia was positively correlated with RIII-reflex
inhibition (r=0.39, P=0.04) and negatively with pain-related anxiety (r=-0.61,
P<0.001). In addition, individual differences in counter-irritation analgesia
were predicted independently by the modulation of RIII responses (P=0.03) and by
pain catastrophizing (P=0.01), with the latter mediating the effect of pain
related anxiety. In conclusion, these results demonstrate that pain inhibition
deficits in female IBS-D patients depend on two potentially separable mechanisms
reflecting: (1) altered descending modulation and (2) higher-order brain
processes underlying regulation of pain and affect.
PMID- 21889973
TI - Female choice for heterozygous mates changes along successive matings in a
lizard.
AB - Female mate choice and female multiple mating are major focuses of studies on
sexual selection. In a multiple mating context, the benefits of mate choice can
change along successive matings, and female choice would be expected to change
accordingly. We investigated sequential female mate choice in the moderately
polyandrous common lizard (Zootoca vivipara, synonym Lacerta vivipara). Along
successive mating opportunities, we found that females were relatively
unselective for the first mate, but accepted males of higher heterozygosity for
subsequent mating, consistent with the trade-up choice hypothesis. We discuss the
evidence of trade-up mate choice in squamates and generally trade-up for mate
heterozygosity in order to motivate new studies to fill gaps on these questions.
PMID- 21889974
TI - Drying eggs to inhibit bacteria: Incubation during laying in a cavity nesting
passerine.
AB - Early incubation has been suggested as a defensive adaptation against potentially
pathogenic bacteria colonizing avian eggshells in the wild. The inhibitory
mechanisms underlying this adaptation are poorly understood and only recent
experimental evidence demonstrates that keeping eggs dry is a proximate mechanism
for the antimicrobial effects of avian incubation. We estimated partial
incubation (the bouts of incubation that some birds perform during the egg-laying
period, days of lay 3-5 in our population) intensity of female pied flycatchers
breeding in nest-boxes using data loggers that allowed a precise measurement of
temperature just between the eggs in the nest-cup. We also measured relative
humidity within the nest-boxes and related it to incubation intensity, showing
that more intense incubation during laying contributes to drying the air near the
eggs. We analyzed separately the effects of incubation and of relative humidity
on loads of three types of culturable bacteria known to be present on eggshells,
heterotrophic bacteria, Gram-negative enterics and pseudomonads. Our results show
an association of early incubation with an inhibition of bacterial proliferation
through a drying effect on eggshells, as we found that incubation intensity was
negatively and relative humidity positively associated with eggshell bacterial
loads for heterotrophic bacteria, Gram-negative bacteria and pseudomonads,
although the significance of these associations varied between bacterial groups.
These results point to microclimatically driven effects of incubation on
bacterial proliferation on eggshells during laying in a temperate cavity nesting
passerine.
PMID- 21889975
TI - Comparison of the effects of nicotine upon the transcellular electrical
resistance and sucrose permeability of human ECV304/rat C6 co-cultures and human
CaCo2 cells.
AB - It is now well established that nicotine adversely affects the integrity of the
blood-brain barrier (BBB). In contrast, nicotine has been reported to increase
the transendothelial electrical resistance (TEER) of CaCo2 colon cancer cells. In
the present study, the effects of nicotine upon the TEER and sucrose permeability
of ECV304/C6 co-cultures and, for comparative purposes, CaCo2 cells has been
investigated. Neither ECV304 nor C6 cells were found to express measurable
membrane levels of nicotinic acetylcholine receptors, as assessed by [3H]
epibatidine binding. Nicotine treatment (0.01-1 MUM) for up to 48 h had little or
no effect upon the TEER or sucrose permeability of either ECV304/C6 co-cultures
or CaCo2 cells. It is concluded that in contrast to the situation for the BBB,
ECV304 cells lack nicotinic acetylcholine receptors and the barrier properties of
ECV304/C6 co-cultures are not affected to any important extent by nicotine. This
study underlines the conclusions made by other authors that the ECV304/C6 co
culture system is of limited validity as a model of the BBB.
PMID- 21889976
TI - Key strongylid nematodes of animals - Impact of next-generation transcriptomics
on systems biology and biotechnology.
AB - The advent and integration of high-throughput 'omic technologies (e.g., genomics,
transcriptomics, proteomics and metabolomics) are becoming instrumental to assist
fundamental explorations of the systems biology of organisms. In particular,
these technologies now provide unique opportunities for global, molecular
investigations of parasites. For example, studies of the transcriptomes (all
transcripts in an organism, tissue or cell) of different species and/or
developmental stages of parasitic nematodes provide insights into aspects of gene
expression, regulation and function, which is a major step to understanding their
biology. The purpose of this article was to review salient aspects of the
systematics and biology of selected species of parasitic nematodes (particularly
key species of the order Strongylida) of socio-economic importance, to describe
conventional and advanced sequencing technologies and bioinformatic tools for
large-scale investigations of the transcriptomes of these parasites and to
highlight the prospects and implications of these explorations for developing
novel methods of parasite intervention.
PMID- 21889977
TI - Production of plant proteases in vivo and in vitro--a review.
AB - In the latest two decades, the interest received by plant proteases has increased
significantly. Plant enzymes such as proteases are widely used in medicine and
the food industry. Some proteases, like papain, bromelain and ficin are used in
various processes such as brewing, meat softening, milk-clotting, cancer
treatment, digestion and viral disorders. These enzymes can be obtained from
their natural source or through in vitro cultures, in order to ensure a
continuous source of plant enzymes. The focus of this review will be the
production of plant proteases both in vivo and in vitro, with particular emphasis
on the different types of commercially important plant proteases that have been
isolated and characterized from naturally grown plants. In vitro approaches for
the production of these proteases is also explored, focusing on the techniques
that do not involve genetic transformation of the plants and the attempts that
have been made in order to enhance the yield of the desired proteases.
PMID- 21889978
TI - Rate and irregularity of electrical activation during atrial fibrillation affect
myocardial NGF expression via different signalling routes.
AB - An irregular ventricular response during atrial fibrillation (AF) has been shown
to mediate an increase in sympathetic nerve activity in human subjects. The
molecular mechanisms remain unclear. This study aimed to investigate the impact
of rate and irregularity on nerve growth factor (NGF) expression in
cardiomyocytes, since NGF is known to be the main contributor to cardiac
sympathetic innervation density. Cell cultures of neonatal rat ventricular
myocytes were electrically stimulated for 48 h with increasing rates (0, 5 and 50
Hz) and irregularity (standard deviation (SD)=5%, 25% and 50% of mean cycle
length). Furthermore, we analyzed the calcineurin-NFAT and the endothelin-1
signalling pathways as possible contributors to NGF regulation during arrhythmic
stimulation. We found that the increase of NGF expression reached its maximum at
the irregularity of 25% SD by 5 Hz (NGF: 5 Hz 0% SD=1 vs. 5Hz 25% SD=1.57,
P<0.05). Specific blockade of the ET-A receptor by BQ123 could abolish this NGF
increase (NGF: 5 Hz 25% SD+BQ123=0.66, P<0.05). High frequency electrical field
stimulation (HFES) with 50 Hz decreased the NGF expression in a significant
manner (NGF: 50Hz=0.55, P<0.05). Inhibition of calcineurin-NFAT signalling with
cyclosporine-A or 11R-VIVIT abolished the HFES induced NGF down-regulation (NGF:
50 Hz+CsA=1.14, P<0.05). In summary, this study reveals different signalling
routes of NGF expression in cardiomyocytes exposed to increasing rates and
irregularity. Whether this translates into different degrees of NGF expression
and possibly neural sympathetic growth in various forms of ventricular rate
control during AF remains to be elucidated in further studies.
PMID- 21889979
TI - Brief seizures cause dendritic injury.
AB - Seizures may directly cause brain injury by disrupting the structure and function
of synapses. Previous studies using in vivo time-lapse imaging have demonstrated
an acute beading of dendrites and loss of dendritic spines immediately following
status epilepticus, but the effects of brief seizures and the long-term evolution
of this dendritic injury are unknown. Here, we examined the effects of seizures
of varying durations on dendritic structure over several weeks using in vivo
multiphoton imaging with kainate-induced seizures in mice. The degree of
dendritic injury was directly dependent on the duration of the seizures, with
seizures lasting more than 30 min (status epilepticus) resulting in a greater
than 75% spine loss. However, even brief seizures (<5 min) induced moderate
dendritic beading and spine loss. The dendritic injury from brief seizures
usually recovered within 2 weeks, whereas status epilepticus-induced injury only
partially reversed. These studies demonstrate that seizures of all durations may
trigger at least transient neuronal injury.
PMID- 21889980
TI - Genetically encoded redox sensor identifies the role of ROS in degenerative and
mitochondrial disease pathogenesis.
AB - Mitochondrial dysfunction plays an important role in the pathogenesis of
neurodegenerative diseases, numerous other disease states and senescence. The
ability to monitor reactive oxygen species (ROS) within tissues and over time in
animal model systems is of significant research value. Recently, redox-sensitive
fluorescent proteins have been developed. Transgenic flies expressing genetically
encoded redox-sensitive GFPs (roGFPs) targeted to the mitochondria function as a
useful in vivo assay of mitochondrial dysfunction and ROS. We have generated
transgenic flies expressing a mitochondrial-targeted roGFP2, demonstrated its
responsiveness to redox changes in cultured cells and in vivo and utilized this
protein to discover elevated ROS as a contributor to pathogenesis in a
characterized neurodegeneration mutant and in a model of mitochondrial
encephalomyopathy. These studies identify the role of ROS in pathogenesis
associated with mitochondrial disease and demonstrate the utility of genetically
encoded redox sensors in Drosophila.
PMID- 21889981
TI - Viral-mediated overexpression of mutant huntingtin to model HD in various
species.
AB - Huntington's disease (HD) is an autosomal dominant neurodegenerative disorder
caused by an expansion of CAG repeats in the huntingtin (Htt) gene. Despite
intensive efforts devoted to investigating the mechanisms of its pathogenesis,
effective treatments for this devastating disease remain unavailable. The lack of
suitable models recapitulating the entire spectrum of the degenerative process
has severely hindered the identification and validation of therapeutic
strategies. The discovery that the degeneration in HD is caused by a mutation in
a single gene has offered new opportunities to develop experimental models of HD,
ranging from in vitro models to transgenic primates. However, recent advances in
viral-vector technology provide promising alternatives based on the direct
transfer of genes to selected sub-regions of the brain. Rodent studies have shown
that overexpression of mutant human Htt in the striatum using adeno-associated
virus or lentivirus vectors induces progressive neurodegeneration, which
resembles that seen in HD. This article highlights progress made in modeling HD
using viral vector gene transfer. We describe data obtained with of this highly
flexible approach for the targeted overexpression of a disease-causing gene. The
ability to deliver mutant Htt to specific tissues has opened pathological
processes to experimental analysis and allowed targeted therapeutic development
in rodent and primate pre-clinical models.
PMID- 21889983
TI - Anticholinergics boost the pathological process of neurodegeneration with
increased inflammation in a tauopathy mouse model.
AB - Anticholinergics, and drugs with anticholinergic properties, are widely and
frequently prescribed, especially to the elderly. It is well known that these
drugs decrease cognitive function and increase the risk of dementia. Although the
mechanism of anticholinergic drug-induced cognitive impairment has been assumed
to be functionally reduced acetylcholine (ACh) neurotransmission, some data have
indicated that anticholinergics might enhance the pathology of Alzheimer's
disease. In this study, we investigated the pathological effects of
anticholinergics on neurodegeneration. We chronically administered two
anticholinergics, trihexyphenidyl (TP) and propiverine (PP) (the latter with less
central anticholinergic action), to neurodegenerative tauopathy model mice 2 to
10 months old. Furthermore, because the ACh nervous system regulates both central
and peripheral inflammation, we administered TP or PP to PS19 mice in which we
had artificially induced inflammation by lipopolysaccharide injection. Tau
pathology, synaptic loss, and neurodegeneration in the hippocampal region, as
well as tau insolubility and phosphorylation, were markedly increased in TP
treated mice and mildly increased in PP-treated mice. Furthermore,
immunohistochemical analysis revealed microglial proliferation and activation.
Moreover, anticholinergics increased interleukin-1beta expression in both the
spleen and brain of the tauopathy model mice intraperitoneally injected with
lipopolysaccharide to induce systemic inflammation. Interestingly, these
alterations were more strongly observed in TP-treated mice than in PP-treated
mice, consistent with the level of central anticholinergic action.
Anticholinergic drugs not only impair cognitive function by decreased ACh
neurotransmission, but also accelerate neurodegeneration by suppressing an ACh
dependent anti-inflammatory system. Anticholinergics should be less readily
prescribed to reduce the risk of dementia.
PMID- 21889984
TI - The modulation of Amyotrophic Lateral Sclerosis risk by ataxin-2 intermediate
polyglutamine expansions is a specific effect.
AB - Full expansions of the polyglutamine domain (polyQ>=34) within the polysome
associated protein ataxin-2 (ATXN2) are the cause of a multi-system
neurodegenerative disorder, which usually presents as a Spino-Cerebellar Ataxia
and is therefore known as SCA2, but may rarely manifest as Levodopa-responsive
Parkinson syndrome or as motor neuron disease. Intermediate expansions
(27<=polyQ<=33) were reported to modify the risk of Amyotrophic Lateral Sclerosis
(ALS). We have now tested the reproducibility and the specificity of this
observation. In 559 independent ALS patients from Central Europe, the association
of ATXN2 expansions (30<=polyQ<=35) with ALS was highly significant. The study of
1490 patients with Parkinson's disease (PD) showed an enrichment of ATXN2 alleles
27/28 in a subgroup with familial cases, but the overall risk of sporadic PD was
unchanged. No association was found between polyQ expansions in Ataxin-3 (ATXN3)
and ALS risk. These data indicate a specific interaction between ATXN2 expansions
and the causes of ALS, possibly through altered RNA-processing as a common
pathogenic factor.
PMID- 21889982
TI - Enhanced GABAergic network and receptor function in pediatric cortical dysplasia
Type IIB compared with Tuberous Sclerosis Complex.
AB - Tuberous Sclerosis Complex (TSC) and cortical dysplasia Type IIB (CDIIB) share
histopathologic features that suggest similar epileptogenic mechanisms. This
study compared the morphological and electrophysiological properties of cortical
cells in tissue from pediatric TSC (n=20) and CDIIB (n=20) patients using whole
cell patch clamp recordings and biocytin staining. Cell types were normal
appearing and dysmorphic-cytomegalic pyramidal neurons, interneurons, and
giant/balloon cells, including intermediate neuronal-glial cells. In the cortical
mantle, giant/balloon cells occurred more frequently in TSC than in CDIIB cases,
whereas cytomegalic pyramidal neurons were found more frequently in CDIIB. Cell
morphology and membrane properties were similar in TSC and CDIIB cases. Except
for giant/balloon and intermediate cells, all neuronal cell types fired action
potentials and displayed spontaneous postsynaptic currents. However, the
frequency of spontaneous glutamatergic postsynaptic currents in normal pyramidal
neurons and interneurons was significantly lower in CDIIB compared with TSC cases
and the GABAergic activity was higher in all neuronal cell types in CDIIB.
Further, acutely dissociated pyramidal neurons displayed higher sensitivity to
exogenous application of GABA in CDIIB compared with TSC cases. These results
indicate that, in spite of similar histopathologic features and basic cell
membrane properties, TSC and CDIIB display differences in the topography of
abnormal cells, excitatory and inhibitory synaptic network properties, and
GABA(A) receptor sensitivity. These differences support the notion that the
mechanisms of epileptogenesis could differ in patients with TSC and CDIIB.
Consequently, pharmacologic therapies should take these findings into
consideration.
PMID- 21889985
TI - Reprint of: Current strategies for the use of affinity tags and tag removal for
the purification of recombinant proteins.
AB - Affinity tags are highly efficient tools for protein purification. They allow the
purification of virtually any protein without any prior knowledge of its
biochemical properties. The use of affinity tags has therefore become widespread
in several areas of research e.g., high throughput expression studies aimed at
finding a biological function to large numbers of yet uncharacterized proteins.
In some cases, the presence of the affinity tag in the recombinant protein is
unwanted or may represent a disadvantage for the projected application of the
protein, like for clinical use. Therefore, an increasing number of approaches are
available at present that are designed for the removal of the affinity tag from
the recombinant protein. Most of these methods employ recombinant endoproteases
that recognize a specific sequence. These process enzymes can subsequently be
removed from the process by affinity purification, since they also include a tag.
Here, a survey of the most common affinity tags and the current methods for tag
removal is presented, with special emphasis on the removal of N-terminal
histidine tags using TAGZyme, a system based on exopeptidase cleavage. In the
quest to reduce the significant costs associated with protein purification at
large scale, relevant aspects involved in the development of downstream processes
for pharmaceutical protein production that incorporate a tag removal step are
also discussed. A comparison of the yield of standard vs. affinity purification
together with an example of tag removal using TAGZyme is also included.
PMID- 21889987
TI - Reprint of: A new tagged-TEV protease: Construction, optimisation of production,
purification and test activity.
AB - The Tobacco Etch Virus (TEV) protease is frequently used in the cleavage of
recombinant fusion proteins because of its efficiency and high specificity. In
this work, we present a new recombinant form of TEV termed Streptag II-TEV for
high-level production and purification of TEV protease from Escherichia coli and
compare it to the hexahistidine (6xHis) tagged version of TEV. The effects of
varying the host strain, the bacterial induction temperature (25, 30 and 37
degrees C) and the IPTG inducer concentration on production and solubility of the
two recombinant TEV proteases have been examined. Optimal Streptag II-TEV protein
expression were obtained in the E. coli KRX strain under an induction temperature
of 25 degrees C in the presence of IPTG at 0.5mM. In these conditions, soluble
Streptag II-TEV and 6xHis-TEV proteases accounted for about 25% and 18% of total
soluble proteins, respectively. About 70% of Streptag II-TEV and 60% of 6xHis-TEV
were detected in the supernatant. Streptag II-TEV protease purifies to near
homogeneity (approximately 99%) via a simple, single step Strep-Tactin
chromatography purification protocol based on the presence of Streptag II. The
higher production of Streptag II-TEV coupled to its purification and cleavage
efficiencies make it an attractive alternate to 6xHis-TEV.
PMID- 21889988
TI - Reprint of: Tagging for Protein Expression.
AB - Tags are frequently used in the expression of recombinant proteins to improve
solubility and for affinity purification. A large number of tags have been
developed for protein production and researchers face a profusion of choices when
designing expression constructs. Here, we survey common affinity and solubility
tags, and offer some guidance on their selection and use.
PMID- 21889989
TI - Reprint of: Current strategies for the use of affinity tags and tag removal for
the purification of recombinant proteins.
AB - Affinity tags are highly efficient tools for protein purification. They allow the
purification of virtually any protein without any prior knowledge of its
biochemical properties. The use of affinity tags has therefore become widespread
in several areas of research e.g., high throughput expression studies aimed at
finding a biological function to large numbers of yet uncharacterized proteins.
In some cases, the presence of the affinity tag in the recombinant protein is
unwanted or may represent a disadvantage for the projected application of the
protein, like for clinical use. Therefore, an increasing number of approaches are
available at present that are designed for the removal of the affinity tag from
the recombinant protein. Most of these methods employ recombinant endoproteases
that recognize a specific sequence. These process enzymes can subsequently be
removed from the process by affinity purification, since they also include a tag.
Here, a survey of the most common affinity tags and the current methods for tag
removal is presented, with special emphasis on the removal of N-terminal
histidine tags using TAGZyme, a system based on exopeptidase cleavage. In the
quest to reduce the significant costs associated with protein purification at
large scale, relevant aspects involved in the development of downstream processes
for pharmaceutical protein production that incorporate a tag removal step are
also discussed. A comparison of the yield of standard vs. affinity purification
together with an example of tag removal using TAGZyme is also included.
PMID- 21889990
TI - Randomness of resting-state brain oscillations encodes Gray's personality trait.
AB - Randomness of functional Magnetic Resonance Imaging (fMRI) resting-state time
series has recently been used as a biomarker for numerous disorders including
Alzheimer's and Parkinson's disease as well as autism. To date, however, it
remains unknown whether and to what degree personality traits are associated with
the randomness of resting-state temporal dynamics. To investigate this question,
we estimated the Hurst exponent - a measure of the randomness of a time-series -
during resting-state fMRI in brain areas previously associated with trait
Impulsivity as defined in Gray's Reinforcement Sensitivity Theory of Personality
in 15 healthy individuals. The Hurst exponent in the ventral striatum as well as
in the orbitofrontal cortex (OFC) was significantly associated with the measure
of Gray's trait Impulsivity. Specifically, more random resting-state neural
dynamics corresponded to higher Impulsivity scores both in the ventral striatum
(r(15)=-.71; p=.003) and the OFC (r(15)=-.81; p<.001). In summary, we provide
evidence for an association between individual differences in Gray's Impulsivity
and randomness in key areas of the reward system which have previously been
associated with this personality trait. Based on evidence from fMRI and
electroencephalographical studies, we suggest that this association might arise
from resting-state fluctuations constraining task-related neural responsiveness.
Thereby, we outline a potential mechanism linking randomness of resting-state
dynamics and personality.
PMID- 21889991
TI - Does training or deprivation modulate amygdala activation?
AB - Amygdala involvement in visual emotional processing has been unequivocally
established, but the amygdala's participation in auditory emotional processing is
less clear. In a previous functional magnetic resonance imaging study (Klinge et
al., 2010) we investigated the amygdala's role in auditory emotional processing
in blind and sighted humans. We observed stronger amygdala responses to auditory
emotional stimuli in the blind who were also better at discriminating emotional
stimuli. Importantly, inter-individual differences in this skill correlated with
amygdala activation. While these data suggested that the amygdala serves the
dominant sensory modality for emotional perception, we could not rule out
possible influences of use-dependent training effects. To disambiguate between
plastic changes due to deprivation or training we now studied professional actors
who have undergone extensive perceptual and expressive auditory emotional
trainings but no sensory deprivation. Actors showed emotion discrimination skills
comparable to those of blind individuals. However, in contrast to blind
volunteers they lacked increased amygdala activations. Surprisingly, actors
selectively rated angry stimuli as less intense than control participants,
paralleled by a down-regulation of amygdala responses via the anterior cingulate
cortex. Taken together, the data from our two studies suggest that enhanced
amygdala responses in the blind are mainly due to deprivation-induced plasticity,
as highly trained actors who possess the same excellent emotion discrimination
skills as the blind did not show these responses. It is also conceivable that the
actors' training requires a more professional and controlled dealing with the
emotional stimuli, resulting in a down-regulation of affective experience and
accompanying amygdala responses.
PMID- 21889992
TI - The neural markers of an imminent failure of response inhibition.
AB - In his novel Ulysses, James Joyce wrote that mistakes are the "...portals of
discovery". The present study investigated the pre-stimulus oscillatory EEG
signatures of selective attention and motor preparation that predicted failures
of overt response inhibition. We employed a trial-by-trial spatial cueing task
using a go/no-go response paradigm with bilateral target stimuli. Subjects were
required to covertly attend to the spatial location cued on each trial and
respond to most of the number targets (go trials) at that location while
withholding responses for one designated number (no-go trials). We analyzed the
post-cue/pre-target spectral patterns comparing no-go trials in which a response
occurred in error (False Alarms, FA) with trials in which participants correctly
withheld a response (Correct Rejections, CR). We found that cue-induced occipital
alpha (8-12 Hz) lateralization and inter-frequency anti-correlations between the
motor beta (18-24 Hz) and pre-frontal theta (3-5 Hz) bands each independently
predicted subsequent failures of response inhibition. Based on these findings, we
infer that independent perceptual and motor mechanisms operate in parallel to
contribute to failures of response inhibition.
PMID- 21889993
TI - PET imaging predicts future body weight and cocaine preference.
AB - Deficits in dopamine D2/D3 receptor (D2R/D3R) binding availability using PET
imaging have been reported in obese humans and rodents. Similar deficits have
been reported in cocaine-addicts and cocaine-exposed primates. We found that
D2R/D3R binding availability negatively correlated with measures of body weight
at the time of scan (ventral striatum), at 1 (ventral striatum) and 2 months
(dorsal and ventral striatum) post scan in rats. Cocaine preference was
negatively correlated with D2R/D3R binding availability 2 months (ventral
striatum) post scan. Our findings suggest that inherent deficits in striatal
D2R/D3R signaling are related to obesity and drug addiction susceptibility and
that ventral and dorsal striatum serve dissociable roles in maintaining weight
gain and cocaine preference. Measuring D2R/D3R binding availability provides a
way for assessing susceptibility to weight gain and cocaine abuse in rodents and
given the translational nature of PET imaging, potentially primates and humans.
PMID- 21889994
TI - Anticorrelations in resting state networks without global signal regression.
AB - Anticorrelated relationships in spontaneous signal fluctuation have been
previously observed in resting-state functional magnetic resonance imaging
(fMRI). In particular, it was proposed that there exists two systems in the brain
that are intrinsically organized into anticorrelated networks, the default mode
network, which usually exhibits task-related deactivations, and the task-positive
network, which usually exhibits task-related activations during tasks that
demands external attention. However, it is currently under debate whether the
anticorrelations observed in resting state fMRI were valid or were instead
artificially introduced by global signal regression, a common preprocessing
technique to remove physiological and other noise in resting-state fMRI signal.
We examined positive and negative correlations in resting-state connectivity
using two different preprocessing methods: a component base noise reduction
method (CompCor, Behzadi et al., 2007), in which principal components from noise
regions-of-interest were removed, and the global signal regression method. Robust
anticorrelations between a default mode network seed region in the medial
prefrontal cortex and regions of the task-positive network were observed under
both methods. Specificity of the anticorrelations was similar between the two
methods. Specificity and sensitivity for positive correlations were higher under
CompCor compared to the global regression method. Our results suggest that
anticorrelations observed in resting-state connectivity are not an artifact
introduced by global signal regression and might have biological origins, and
that the CompCor method can be used to examine valid anticorrelations during
rest.
PMID- 21889995
TI - Asymmetric bias in user guided segmentations of brain structures.
AB - Brain morphometric studies often incorporate comparative hemispheric asymmetry
analyses of segmented brain structures. In this work, we present evidence that
common user guided structural segmentation techniques exhibit strong left-right
asymmetric biases and thus fundamentally influence any left-right asymmetry
analyses. In this study, MRI scans from ten pediatric subjects were employed for
studying segmentations of amygdala, globus pallidus, putamen, caudate, and
lateral ventricle. Additionally, two pediatric and three adult scans were used
for studying hippocampus segmentation. Segmentations of the sub-cortical
structures were performed by skilled raters using standard manual and semi
automated methods. The left-right mirrored versions of each image were included
in the data and segmented in a random order to assess potential left-right
asymmetric bias. Using shape analysis we further assessed whether the asymmetric
bias is consistent across subjects and raters with the focus on the hippocampus.
The user guided segmentation techniques on the sub-cortical structures exhibited
left-right asymmetric volume bias with the hippocampus displaying the most
significant asymmetry values (p<<0.01). The hippocampal shape analysis revealed
the bias to be strongest on the lateral side of the body and medial side of the
head and tail. The origin of this asymmetric bias is considered to be based in
laterality of visual perception; therefore segmentations with any degree of user
interaction contain an asymmetric bias. The aim of our study is to raise
awareness in the neuroimaging community regarding the presence of the asymmetric
bias and its influence on any left-right hemispheric analyses. We also recommend
reexamining previous research results in the light of this new finding.
PMID- 21889996
TI - AFNI: what a long strange trip it's been.
AB - AFNI is an open source software package for the analysis and display of
functional MRI data. It originated in 1994 to meet the specific needs of
researchers at the Medical College of Wisconsin, in particular the mapping of
activation maps to Talairach-Tournoux space, but has been expanded steadily since
then into a wide-ranging set of tool for FMRI data analyses. AFNI was the first
platform for real-time 3D functional activation and registration calculations.
One of AFNI's main strengths is its flexibility and transparency. In recent
years, significant efforts have been made to increase the user-friendliness of
AFNI's FMRI processing stream, with the introduction of "super-scripts" to setup
the entire analysis, and graphical front-ends for these managers.
PMID- 21889997
TI - A rapid, semi-automated method for scoring micronuclei in mononucleated mouse
lymphoma cells.
AB - A semi-automated scoring system has been developed to provide rapid, accurate
assessment of micronuclei in preparations of mononuclear mouse lymphoma L5178Y
cells. Following exposure to a range of test agents, flat, single-cell
preparations were produced from exponentially growing cultures by
cytocentrifugation. Following staining with 4'-6-diamidino-2-phenylindole (DAPI),
cells were scanned by use of the MicroNuc module of Metafer 4 v 3.4.102, after
modifying the classifier developed for selecting micronuclei in binucleate cells
to increase its sensitivity. The image gallery of all cells was then sorted to
bring aberrant cells to the top of the gallery to assess visually the numbers of
cells with micronuclei, as distinct from other debris. Slide quality was shown to
be paramount in obtaining accurate results from an automated scan and the data
obtained compared very well with the incidence of micronuclei scored
conventionally by microscopy. Compared with manual scoring the time saving is
considerable, as more than 2000 images are captured in approximately 2min, with
subsequent visual assessment of aberrant cells in the image gallery taking about
1-2min/slide. By scanning all aberrant cells, the system also captures additional
information on necrotic, apoptotic and fragmented cells. Although optimised for
mouse lymphoma cells, it should be simple to adapt the method for any cell type
growing in suspension.
PMID- 21889998
TI - Metabolic and growth inhibitory effects of conjugated fatty acids in the cell
line HT-29 with special regard to the conversion of t11,t13-CLA.
AB - Conjugated fatty acids (CFAs) exhibit growth inhibitory effects on colon cancer
in vitro and in vivo. To investigate whether the anticancerogenic potency depends
on number or configuration of the conjugated double bonds, the effect of
conjugated linoleic acid (CLA; C18:2) isomers and conjugated linolenic acid
(CLnA; C18:3) isomers on viability and growth of HT-29 cells were compared. Low
concentrations of CLnAs (<10MUM) yielded a higher degree of inhibitory effects
compared to CLAs (40MUM). All trans-CFAs were more effective compared to
cis/trans-CFAs as follows: t9,t11,t13-CLnA>=c9,t11,t13-CLnA>t11,t13-CLA>=t9,t11
CLA>c9,t11-CLA. The mRNA expression analysis of important genes associated with
fatty acid metabolism showed an absence of ?5-/?6-desaturases and elongases in HT
29 cells, which was confirmed by fatty acid analysis. Using time- and dose
dependent stimulation experiments several metabolites were determined. Low
concentrations of all trans-CFAs (5-20MUM) led to dose-dependent increase of
conjugated t/t-C16:2 formed by beta-oxidation of C18 CFAs, ranging from 1-5% of
total FAME. Importantly, it was found that CLnA is converted to CLA and that CLA
is inter-converted (t11,t13-CLA is metabolized to c9,t11-CLA) by HT-29 cells. In
summary, our study shows that growth inhibition of human cancer cells is
associated with a specific cellular transcriptomic and metabolic profile of fatty
acid metabolism, which might contribute to the diversified ability of CFAs as
anti-cancer compounds.
PMID- 21889999
TI - Identification and characterization of ABCB1-mediated and non-apoptotic sebum
secretion in differentiated hamster sebocytes.
AB - Sebaceous glands secrete sebum onto the skin surface in a holocrine manner and as
such a thin lipid layer is formed as a physiological barrier. In the present
study, extracellular level of triacylglycerols (TG), a major sebum component, as
well as intracellular TG accumulation was augmented in insulin-differentiated
hamster sebocytes (DHS). The DHS exhibited phosphatidylserine exposure in an
apoptosis-independent manner. In addition, intracellular ATP level and membrane
transporter activity using a substrate, Rhodamine 123, were highly detectable in
the DHS rather than in the undifferentiated hamster sebocytes. A membrane
transporter activating reagent, 2'(3')-O-(4-benzoylbenzoyl) adenosine 5'
triphosphate (BzATP), enhanced transporter activity, extracellular TG level, and
phosphatidylserine exposure in the DHS. Both transporter activity and TG
secretion were suppressed by R-verapamil, a potent membrane-transporter
inhibitor, in the BzATP-treated and untreated DHS. Furthermore, the gene
expression and production of ATP-binding cassette subfamily B member 1 (ABCB1)
were augmented in the DHS. ABCB1 was also detectable in sebaceous glands in the
skin of hamsters. Moreover, the cell-differentiation- and BzATP-augmented
transporter activity and TG secretion were dose-dependently inhibited by adding
not only an ABCB1 antibody but also a selective inhibitor of ABCB1, PSC833. Thus,
these results provide novel evidence that ABCB1 is involved in sebum secretion in
the DHS, which is associated with non-apoptotic phosphatidylserine exposure and
the increased level of intracellular ATP. These findings should accelerate the
understanding of sebum secretion occurring in a holocrine-independent manner in
sebaceous glands, and may contribute to the development of therapies for
sebaceous gland disorders such as acne, seborrhea, and xerosis.
PMID- 21890000
TI - Cholesterol and membrane phospholipid compositions modulate the leakage capacity
of the swaposin domain from a potato aspartic protease (StAsp-PSI).
AB - Potato aspartic proteases (StAPs) and their swaposin domain (StAsp-PSI) are
proteins with cytotoxic activity which involves plasma membrane destabilization.
The ability of these proteins to produce cell death varies with the cellular
type. Therefore, StAPs and StAsp-PSI selective cytotoxicity could be attributed
to the different membrane lipid compositions of target cells. In this work we
investigate the possible mechanism by which StAPs and StAsp-PSI produce selective
membrane destabilization. Results obtained from leakage assays show that StAsp
PSI is a potent inducer of the leakage of LUVs containing anionic phospholipids,
especially those containing phosphatidylglycerol. Based in these results, we
suggest that the cytotoxic activity of StAsp-PSI on pathogenic microorganisms
could be mediated by the attraction between the exposed positive domains of StAsp
PSI and the negatively charged microorganism membrane. On the other hand, our
circular dichroism spectroscopic measurements and analysis by size exclusion
chromatography and followed by electrophoresis, indicate that hydrophobic
environment is necessary to StAsp-PSI oligomerization and both StAsp-PSI
disulfide bounds and membrane with negative charged phospholipids are required by
StAsp-PSI to produce membrane destabilization and then induce cell death in
tumors and microorganism cell targets. Additionally, we demonstrate that the
presence of cholesterol into the LUV membranes strongly diminishes the capacity
of StAsp-PSI to produce leakage. This result suggests that the lack of hemolytic
and cytotoxic activities on human lymphocytes of StAsp-PSI/StAPs may be partly
due by the presence of cholesterol in these cell membrane types.
PMID- 21890001
TI - Dendrobium officinale polysaccharides ameliorate the abnormality of aquaporin 5,
pro-inflammatory cytokines and inhibit apoptosis in the experimental Sjogren's
syndrome mice.
AB - Sjogren's syndrome (SS) is a chronic autoimmune disease with exocrine glands
disorder. Our previous work demonstrated the protective effect of Dendrobium
officinale polysaccharides (DP) both on the phenotypes of patients and animal
model with SS. In this study, we expand these observations to explore the
possible mechanisms. The experimental SS mice model was established with or
without the administration of DP (20mg/ml). The time frame of lymphocytes
infiltration, apoptotic indicators such as Bax, Bcl-2 and caspase-3 were
determined in submandibular gland (SG), as well as the subsequent mRNA expression
of cytokines such as TNF-alpha, IL-1 beta and IL-6. The expression and
localization of aquaporin-5 (AQP-5) was examined by Western blot and
immunofluorescent staining. As the result, DP could suppress the progressive
lymphocytes infiltration and apoptosis, and balance the chaos of pro-inflammatory
cytokines in the SG. Further, DP ameliorated the abnormalities of AQP-5 and
maintained its functional importance of saliva secretion. In addition, the
protection of AQP-5 by DP from human TNF-alpha was supported by an in vitro study
on A-253 cell line. Our study further supported the efficacy of DP as the
promising candidate for the therapy of SS.
PMID- 21890002
TI - Protected effect of Esenbeckia leiocarpa upon the inflammatory response induced
by carrageenan in a murine air pouch model.
AB - This study was conducted to investigate the anti-inflammatory efficacy of
Esenbeckia leiocarpa against the inflammation caused by the carrageenan using a
murine air pouch model. MATERIAL AND METHODS: The effects of the crude
hydroalcoholic extract (CHE), fractions (n-hexane (Hex) and ethyl acetate
(AcOEt)), subfractions (polar (Pol) and nonpolar (Nonpol)), or isolated compounds
(dihydrocorynantheol (DHC) and beta-sitosterol (beta-Sit)) isolated from CHE upon
leukocytes, exudate, myeloperoxidase (MPO) adenosine-deaminase (ADA),
nitrate/nitrite (NO(x)), interleukin-1 beta (IL-1beta), tumor necrosis factor
alpha (TNF-alpha), and inhibitory kappa-B-alpha (IkappaB-alpha) degradation were
evaluated. The CHE, Alk, Pol, Nonpol, DHC and beta-Sit, inhibited leukocytes,
exudate, MPO and ADA, NO(x), IL-1beta, and TNF-alpha (P<0.05). The Hex and AcOEt
fractions inhibited all of the proinflammatory parameters, except the exudate.
The compound DHC prevented the IkappaB-alpha degradation. CONCLUSION: E.
leiocarpa possesses important anti-inflammatory properties. These inhibitory
effects occurred along with the downregulation of nitric oxide, IL-1beta and TNF
alpha levels. The isolated compounds DHC and beta-Sit may be partially
responsible for these anti-inflammatory effects.
PMID- 21890003
TI - Short-term modulation of the exercise ventilatory response in younger and older
women.
AB - The exercise ventilatory response (EVR; defined as the slope of the relationship
between ventilation and CO(2) production) is reversibly augmented within a single
exercise trial with increased respiratory dead space (DS) in both younger (Wood,
H.E., Mitchell, G.S., Babb, T.G., 2008. Short-term modulation of the exercise
ventilatory response in young men. J. Appl. Physiol. 104, 244-252) and older
(Wood, H.E., Mitchell, G.S., Babb, T.G., 2010. Short-term modulation of the
exercise ventilatory response in older men. Respir. Physiol. Neurobiol. 173, 37
46) men. The neural mechanism accounting for this augmentation is known as short
term modulation (STM) of the EVR. Since the effects of female sex hormones on STM
are unknown, we examined the capacity for STM in healthy adult women of two age
groups; nine younger (29+/-3 yrs, eumenorrheic) and seven older (69+/-3 yrs,
postmenopausal) women were studied at rest and during cycle exercise (10 W, 30 W;
not randomized) in control conditions and with added external DS (200 mL, 400 mL;
randomized). Within groups, the main effects of DS and work rate on EVR were
analyzed with a two-way repeated measures ANOVA; EVR comparisons between groups
were made with unpaired t-tests. In both groups, EVR increased progressively with
increasing DS volume (e.g. at 10 W 31+/-4 and 35+/-6 in control, 40+/-11 and 40+/
6 with 200 mL, 48+/-12 and 49+/-11 with 400 mL DS in younger and older women,
respectively). In younger women, the effects of DS on EVR differed between work
rates (significant interaction, p<0.05), although this was not the case for older
women. In both groups, [Formula: see text] regulation was similar between DS and
control; hence, increased EVR was not due to altered chemoreceptor feedback from
rest to exercise. EVR with and without added DS did not differ between age
groups. We conclude that the capacity for STM of the EVR with added DS is similar
in healthy younger and older women.
PMID- 21890004
TI - Kinetic mechanism of an aldehyde reductase of Saccharomyces cerevisiae that
relieves toxicity of furfural and 5-hydroxymethylfurfural.
AB - An effective means of relieving the toxicity of furan aldehydes, furfural (FFA)
and 5-hydroxymethylfurfural (HMF), on fermenting organisms is essential for
achieving efficient fermentation of lignocellulosic biomass to ethanol and other
products. Ari1p, an aldehyde reductase from Saccharomyces cerevisiae, has been
shown to mitigate the toxicity of FFA and HMF by catalyzing the NADPH-dependent
conversion to corresponding alcohols, furfuryl alcohol (FFOH) and 5
hydroxymethylfurfuryl alcohol (HMFOH). At pH 7.0 and 25 degrees C, purified Ari1p
catalyzes the NADPH-dependent reduction of substrates with the following values
(k(cat) (s(-1)), k(cat)/K(m) (s(-1)mM(-1)), K(m) (mM)): FFA (23.3, 1.82, 12.8),
HMF (4.08, 0.173, 23.6), and dl-glyceraldehyde (2.40, 0.0650, 37.0). When acting
on HMF and dl-glyceraldehyde, the enzyme operates through an equilibrium ordered
kinetic mechanism. In the physiological direction of the reaction, NADPH binds
first and NADP(+) dissociates from the enzyme last, demonstrated by k(cat) of HMF
and dl-glyceraldehyde that are independent of [NADPH] and (K(ia)(NADPH)/k(cat))
that extrapolate to zero at saturating HMF or dl-glyceraldehyde concentration.
Microscopic kinetic parameters were determined for the HMF reaction (HMF+NADPH<
>HMFOH+NADP(+)), by applying steady-state, presteady-state, kinetic isotope
effects, and dynamic modeling methods. Release of products, HMFOH and NADP(+), is
84% rate limiting to k(cat) in the forward direction. Equilibrium constants,
[NADP(+)][FFOH]/[NADPH][FFA][H(+)]=5600*10(7)M(-1) and
[NADP(+)][HMFOH]/[NADPH][HMF][H(+)]=4200*10(7)M(-1), favor the physiological
direction mirrored by the slowness of hydride transfer in the non-physiological
direction, NADP(+)-dependent oxidation of alcohols (k(cat) (s(-1)), k(cat)/K(m)
(s(-1)mM(-1)), K(m) (mM)): FFOH (0.221, 0.00158, 140) and HMFOH (0.0105,
0.000104, 101).
PMID- 21890005
TI - A novel halotolerant xylanase from marine isolate Bacillus subtilis cho40: gene
cloning and sequencing.
AB - Although several xylanases have been studied, only few xylanases from marine
micro-organisms have been reported. We report here a novel halotolerant xylanase
from marine bacterium Bacillus subtilis cho40 isolated from Chorao island of
mandovi estuary Goa, India. Extracellular xylanase was produced by using
agricultural residue such as wheat bran as carbon source under solid-state
fermentation (SSF). The optimal pH and temperature of xylanase were reported to
be 6.0 and 60 degrees C, respectively. Xyn40 was highly salt-tolerant, and showed
highest activity at 0.5M NaCl. Xylanase activity was greatly induced (140%) when
pre-incubated with 0.5M NaCl for 4h. The xylanase gene, xyn40, from marine
bacterium B. subtilis cho40 was cloned, and expressed in Escherichia coli. The
xylanase gene was 645 bp long and had a 215 amino acid ORF protein with a
molecular mass of 22.9 kDa. It had all features of xylanase enzyme and showed
homology to xylanases reported from B. subtilis. It differs from the earlier
reported xylanase sequences by the presence of more serine residues compared to
threonine and also by the presence of polar (hydrophilic) amino acids in higher
abundance (61%) than non-polar amino acids (39%). The novel xylanase, reported in
this study is a halotolerant enzyme from marine isolate and can play a very
important role in bioethanol production from marine seaweeds.
PMID- 21890006
TI - SILAC zebrafish for quantitative analysis of protein turnover and tissue
regeneration.
AB - Defective tissue regeneration is thought to contribute to several human diseases,
including neurodegenerative disorders, heart failure and various lung diseases.
Boosting the regenerative capacity has been suggested a possible therapeutic
approach. Methods to metabolically label newly synthesized proteins in vivo with
stable isotopic forms of amino acids holds promise for the study of protein
turnover and tissue regeneration that are fundamental to the sustained life of
all organisms. Here, we used the "stable isotope labeling with amino acids in
cell culture" (SILAC) approach to explore normal protein turnover and tissue
regeneration in adult zebrafish. The ratio of labeled and unlabeled
proteins/peptides in specific organs of zebrafish fed a SILAC diet containing
(13)C(6)-labeled lysine was determined by liquid chromatography and tandem mass
spectrometry. Labeling was highest in tissues with high regenerative capacity,
including intestine, liver, and fin, whereas brain and heart displayed the lowest
labeling. Proteins with high degree of labeling were mainly involved in catalytic
or transport activity pathways. The technique also verified increased protein
synthesis during regeneration of the caudal fin following amputation. This newly
developed SILAC zebrafish model constitutes a novel tool to analyze tissue
regeneration in an animal model amenable to genetic and pharmacologic
manipulation.
PMID- 21890007
TI - Effects of Clostridium difficile Toxin A on the proteome of colonocytes studied
by differential 2D electrophoresis.
AB - Clostridium difficile is a spore-forming anaerobic pathogen, commonly associated
with severe diarrhea or life-threatening pseudomembraneous colitis. Its main
virulence factors are the single-chain, multi-domain toxin A (TcdA) and B (TcdB).
Their glucosyltransferase domain selectively inactivates Rho proteins leading to
a reorganization of the cytoskeleton. To study exclusively glucosyltransferase
dependent molecular effects of TcdA, human colonic cells (Caco-2) were treated
with recombinant wild type TcdA and the glucosyltransferase deficient variant of
the toxin, TcdA(gd) for 24h. Changes in the protein pattern of the colonic cells
were investigated by 2-D DIGE and LCMS/MS methodology combined with detailed
proteome mapping. gdTcdA did not induce any detectable significant changes in the
protein pattern. Comparing TcdA-treated cells with a control group revealed seven
spots of higher and two of lower intensity (p<0.05). Three proteins are involved
in the assembly of the cytoskeleton (beta-actin, ezrin, and DPYL2) and four are
involved in metabolism and/or oxidative stress response (ubiquitin, DHE3, MCCB,
FABPL) and two in regulatory processes (FUBP1, AL1A1). These findings correlate
well to known effects of TcdA like the reorganization of the cytoskeleton and
stress the importance of Rho protein glucosylation for the pathogenic effects of
TcdA.
PMID- 21890008
TI - Increased callus mass and enhanced strength during fracture healing in mice
lacking the sclerostin gene.
AB - Humans with inherited sclerostin deficiency have high bone mass. Targeted
deletion of the sclerostin gene in mice (SOST-KO) causes increases in bone
formation, bone mass and bone strength. Inhibition of sclerostin by a monoclonal
antibody increases bone formation and enhances fracture healing in rodent and
primate models. In this study, we describe the temporal progression of femoral
fracture healing in SOST-KO mice compared with wild type (WT) control mice to
further characterize the role of sclerostin in fracture healing. Sixty-seven male
9-10 week-old SOST-KO (N=37) and WT (N=30) mice underwent a closed femoral
fracture. Weekly radiography was used to monitor the progress of healing.
Histologic sections were used to characterize callus composition, evaluate callus
bridging, and quantify lamellar bone formation on days 14 and 28. Densitometry
and biomechanical testing were utilized to characterize bone mass and strength at
the fractured and contralateral femurs on day 45. A significant improvement in
time to radiographic healing (no discernible fracture line) was observed in SOST
KO mice, which corresponded to an increase in histologic bony bridging at 14 days
(38% versus 0% in WT). Both genotypes appeared to be nearly fully bridged at 28
days post-fracture. The increased bridging at 14 days was associated with 97%
greater bone area and 40% lower cartilage area in the callus of SOST-KO mice as
compared to WT mice. Bone formation-related endpoints were higher in SOST-KO mice
at both 14 and 28 days. At 45 days post-fracture, peak load and bone mass were
significantly greater in the fractured femurs of SOST-KO mice as compared to WT
mice. In conclusion, fractures in mice lacking sclerostin showed accelerated
bridging, greater callus maturation, and increased bone formation and strength in
the callus.
PMID- 21890009
TI - Sclerostin expression is induced by BMPs in human Saos-2 osteosarcoma cells but
not via direct effects on the sclerostin gene promoter or ECR5 element.
AB - Sclerostin is a secreted inhibitor of Wnt signaling and plays an essential role
in the regulation of bone mass. The expression of sclerostin is largely
restricted to osteocytes although its mode of transcriptional regulation is not
well understood. We observed regulated expression of sclerostin mRNA and protein
that was directly correlated with the mineralization response in cultured human
Saos-2 osteosarcoma cells and rat primary calvarial cells. Sclerostin mRNA and
protein levels were increased following treatment of cells with BMP2, BMP4 and
BMP7. Analysis of deletion mutants from the -7.4 kb upstream region of the human
sclerostin promoter did not reveal any specific regions that were responsive to
BMPs, Wnt3a, PTH, TGFbeta1 or Activin A in Saos-2 cells. The downstream ECR5
element did not show enhancer activity in Saos-2 cells and also was not affected
when Saos-2 cells were treated with BMPs or PTH. Genome-wide microarray analysis
of Saos-2 cells treated with BMP2 showed significant changes in expression of
several transcription factors with putative consensus DNA binding sites in the
region of the sclerostin promoter. However, whereas most factors tested showed
either a range of inhibitory activity (DLX family, MSX2, HEY1, SMAD6/7) or lack
of activity on the sclerostin promoter including SMAD9, only MEF2B showed a
positive effect on both the promoter and ECR5 element. These results suggest that
the dramatic induction of sclerostin gene expression by BMPs in Saos-2 cells
occurs indirectly and is associated with late stage differentiation of
osteoblasts and the mineralization process.
PMID- 21890010
TI - In vivo validation of a computational bone adaptation model using open-loop
control and time-lapsed micro-computed tomography.
AB - Cyclic mechanical loading augments trabecular bone mass, mainly by increasing
trabecular thickness. For this reason, we hypothesized that an in silico
thickening algorithm using open-loop control would be sufficient to reliably
predict the response of trabecular bone to cyclic mechanical loading. This would
also mean that trabecular bone adaptation could be modeled as a system responding
to an input signal at the onset of the process in a predefined manner, without
feedback from the outputs. Here, time-lapsed in vivo micro-computed tomography
scans of mice cyclically loaded at the sixth caudal vertebra were used to
validate the in silico model. When comparing in silico and in vivo data sets
after a period of four weeks, a maximum prediction error of 2.4% in bone volume
fraction and 5.4% in other bone morphometric indices was calculated.
Superimposition of sequentially acquired experimental images and simulated
structures revealed that in silico simulations deposited thin and homogeneous
layers of bone whilst the experiment was characterized by local areas of strong
thickening, as well as considerable volumes of bone resorption. From the results,
we concluded that the proposed computational algorithm predicted changes in bone
volume fraction and global parameters of bone structure very well over a period
of four weeks while it was unable to reproduce accurate spatial patterns of local
bone formation and resorption. This study demonstrates the importance of
validation of computational models through the use of experimental in vivo data,
including the local comparison of simulated and experimental remodeling sites. It
is assumed that the ability to accurately predict changes in bone micro
architecture will facilitate a deeper understanding of the cellular mechanisms
underlying bone remodeling and adaptation due to mechanical loading.
PMID- 21890011
TI - Prematurity viewed through the social ecological framework.
PMID- 21890012
TI - Understanding and preventing preterm birth: the power of collaboration.
PMID- 21890013
TI - Epidemiology: the changing face of preterm birth.
AB - Preterm birth, defined as a pregnancy ending at less than 37 completed weeks of
gestation, is the leading cause of infant mortality in the United States. The
occurrence of preterm births rose steadily from 9.4% of all pregnancies in the
United States in 1981 to 12.8% in 2006, before declining to 12.7% in 2007 and
12.3% in 2008. Most of the increase was attributable to increases in multiple
gestations. Recent research has sought to understand this condition by evaluating
its familial occurrence and both clinical and pathologic information to derive an
etiologically homogeneous categorization.
PMID- 21890015
TI - The role of inflammation and infection in preterm birth.
AB - Much emphasis in recent decades has been devoted to inflammation and infection as
a premier causal mechanism of preterm birth. This article explores the
epidemiologic, clinical, and animal data that exist to support this conceptual
paradigm as well as proposed mechanisms through which to potentially mitigate the
adversity of prematurity. Truly successful interventions are not likely to occur
until the pathogenesis of preterm birth and the role of inflammation in causing
not only parturition but also fetal and neonatal injury is fully elucidated.
PMID- 21890016
TI - Abruption-associated prematurity.
AB - Chronic, subacute decidual hemorrhage (ie, abruptio placenta and retrochorionic
hematoma formation) is an important contributor to preterm parturition. Such
hemorrhage induces thrombin from decidual tissue factor, which plays a pivotal
role in the development of preterm premature rupture of membranes and preterm
delivery by acting through protease-activated receptors to promote the production
of pro-inflammatory cytokines, and matrix-degrading metalloproteinases. Severe,
acute abruption can lead to maternal and fetal mortality. Current management of
abruption is individualized based on severity of disease, underlying etiology,
and gestational age.
PMID- 21890017
TI - Medically indicated--iatrogenic prematurity.
AB - Premature delivery of an infant is occasionally performed because of
complications of pregnancy. This article reviews common medical indications for
preterm delivery and the available evidence supporting delivery before 37 weeks
of gestation. In many conditions, few data exist to guide optimal timing of
delivery and management is guided by expert opinion. Ultimately, an individual
assessment must be made in each case to weigh the risks that pregnancy
continuation poses to the mother and/or fetus with the risks of prematurity and
its associated morbidities.
PMID- 21890014
TI - The contribution of maternal stress to preterm birth: issues and considerations.
AB - Preterm birth represents the most significant problem in maternal-child health,
with maternal stress identified as a variable of interest. The effects of
maternal stress on risk of preterm birth may vary as a function of context. This
article focuses on select key issues and questions highlighting the need to
develop a better understanding of which particular subgroups of pregnant women
may be especially vulnerable to the potentially detrimental effects of maternal
stress, and under what circumstances and at which stages of gestation. Issues
related to the characterization and assessment of maternal stress and candidate
biologic mechanisms are addressed.
PMID- 21890018
TI - Outcomes of preterm infants: morbidity replaces mortality.
AB - Over the last 50 years in the United States a rising preterm birth rate, a
progressive decrease in preterm mortality, and a lowering of the limit of
viability have made preterm birth a significant public health problem.
Neuromaturation, the functional development of the central nervous system (CNS),
is a dynamic process that promotes and shapes CNS structural development. This
article reviews preterm outcomes, recognizing that multiple factors influence
neuromaturation and lead to a range of neurodevelopmental disabilities,
dysfunctions, and altered CNS processing. Ways to protect preterm infants and
support their growth and development in and beyond intensive care are examined.
PMID- 21890019
TI - Neuroprotective approaches: before and after delivery.
AB - Infants born preterm are especially vulnerable to cerebral palsy, the risk of
which is inversely proportional to gestational age at birth. The contribution of
prematurity to the overall burden of cerebral palsy is substantial. This article
reviews and discusses potential antenatal and postnatal neuroprotective
approaches targeted at the numerous risk factors associated with cerebral palsy
among preterm infants, including magnesium sulfate.
PMID- 21890020
TI - Care at the edge of viability: medical and ethical issues.
AB - Decision-making for extremely immature preterm infants at the margins of
viability is ethically, professionally, and emotionally complicated. A standard
for prenatal consultation should be developed incorporating assessment of
parental decision-making preferences and styles, a communication process
involving a reciprocal exchange of information, and effective strategies for
decisional deliberation, guided by and consistent with parental moral framework.
Professional caregivers providing perinatal consultations or end-of-life
counseling for extremely preterm infants should be sensitive to these issues and
be taught flexibility in counseling techniques adhering to consistent guidelines.
Emphasis must shift away from physician beliefs and behaviors about the
boundaries of viability.
PMID- 21890021
TI - Morbidity and mortality in late preterm and early term newborns: a continuum.
AB - Late preterm and early term infants are at higher risk for short-term and long
term morbidities and mortality than term infants. Such outcomes are influenced by
many factors, the strongest of which is gestational age. Counseling and educating
women and families about risks of late preterm and early term births is helpful
for timing and route of delivery, managing the pregnancy and infant, and
prognosticating outcomes for infants.
PMID- 21890022
TI - What we have here is a failure to communicate: obstacles to optimal care for
preterm birth.
AB - Obstetricians and pediatricians share the common goal of a healthy beginning for
every baby, mother, and family. This article asserts that miscommunication
between the specialties, fostered by separate definitions, metrics, and outcomes,
is an impediment to optimal care. Solutions are suggested for improving
communication and outcomes.
PMID- 21890024
TI - Late preterm birth: preventable prematurity?
AB - Prematurity is one of the leading causes of infant morbidity and mortality
globally. Over the years, however, advances in medicine and technology have
enhanced the ability to care for babies at very early gestations. There has also
been a shift in the distribution of births away from term/post-term gestations
and toward earlier gestational ages. These changes have added to the burden of
premature births. The focus of this article is to present both sides of the
story, one that highlights the many problems and morbidities faced by this
subgroup of premature infants and the other that justifies their early delivery.
PMID- 21890025
TI - Term pregnancy: time for a redefinition.
AB - The designation term pregnancy and the distinction between term, preterm, and
postterm pregnancy carry with them significant clinical implications with respect
to the management of pregnancy complications. Although the potential hazards of
both preterm birth and postterm pregnancy have been long recognized, little
attention has, until recently, been given to the differential morbidity
experienced by neonates born at different times within the 5-week interval
classically considered term gestation. This article is a reevaluation of the
concept of term pregnancy in light of current data.
PMID- 21890023
TI - Controversy: antenatal steroids.
AB - There is no controversy that women at risk of preterm delivery before 32 to 34
weeks' gestational age should be treated with antenatal steroids. Three recent
meta-analyses by the Cochrane Collaboration on the benefits of antenatal
steroids, the choice of steroid and dosing, and repeat doses of corticosteroids
comprehensively summarize the available clinical information to about 2007.
However, there are many unanswered questions about which steroid and dose to use
and about their use in selected populations. This review focuses on those areas
of uncertainty.
PMID- 21890026
TI - Quality improvement opportunities to prevent preterm births.
AB - Quality improvement initiatives have been successfully employed in many areas of
medicine, but few have been implemented in preventing prematurity (or preterm
birth), which continues to be one of the most common complications in obstetrics,
and the leading cause of perinatal morbidity and mortality in the United States.
Due to the complex nature of the causes of prematurity, developing and
instituting a quality improvement program to prevent prematurity can be
challenging. However, using proven quality improvement principles and techniques,
along with institutional will and commitment, are invaluable in rapidly
implementing evidence-based initiatives for the prevention of preterm births.
PMID- 21890027
TI - 9/11: ten years on.
PMID- 21890028
TI - War and peace.
PMID- 21890029
TI - 9/11--new data, reviews, and reflections.
PMID- 21890030
TI - Taking the terror out of terrorism: mortality data after 9/11.
PMID- 21890031
TI - 9/11: the view ahead.
PMID- 21890032
TI - Medical care for workers exposed to the WTC disaster.
PMID- 21890033
TI - Suicide attacks--the rationale and consequences.
PMID- 21890034
TI - Fighting a war, sparing civilians.
PMID- 21890035
TI - Role of law in global response to non-communicable diseases.
PMID- 21890036
TI - To err is human.
PMID- 21890038
TI - Mechthild Prinz: using DNA to identify the victims of 9/11.
PMID- 21890039
TI - JoAnn Difede: emotional engagement with victims of trauma.
PMID- 21890040
TI - National, regional, and worldwide estimates of stillbirth rates.
PMID- 21890041
TI - National, regional, and worldwide estimates of stillbirth rates.
PMID- 21890043
TI - Stillbirth in high-income countries.
PMID- 21890044
TI - Stillbirth in high-income countries.
PMID- 21890046
TI - Stillbirth and healthy timing and spacing of pregnancy.
PMID- 21890048
TI - Trials of antenatal syphilis screening urgently needed.
PMID- 21890050
TI - Better understanding needed of physiology of sustaining life in utero.
PMID- 21890051
TI - Genetic factors in stillbirths.
PMID- 21890052
TI - Mortality among survivors of the Sept 11, 2001, World Trade Center disaster:
results from the World Trade Center Health Registry cohort.
AB - BACKGROUND: The Sept 11, 2001 (9/11) World Trade Center (WTC) disaster has been
associated with several subacute and chronic health effects, but whether excess
mortality after 9/11 has occurred is unknown. We tested whether excess mortality
has occurred in people exposed to the WTC disaster. METHODS: In this
observational cohort study, deaths occurring in 2003-09 in WTC Health Registry
participants residing in New York City were identified through linkage to New
York City vital records and the National Death Index. Eligible participants were
rescue and recovery workers and volunteers; lower Manhattan area residents,
workers, school staff and students; and commuters and passers-by on 9/11. Study
participants were categorised as rescue and recovery workers (including
volunteers), or non-rescue and non-recovery participants. Standardised mortality
ratios (SMR) were calculated with New York City rates from 2000-09 as the
reference. Within the cohort, proportional hazards were used to examine the
relation between a three-tiered WTC-related exposure level (high, intermediate,
or low) and total mortality. FINDINGS: We identified 156 deaths in 13,337 rescue
and recovery workers and 634 deaths in 28,593 non-rescue and non-recovery
participants. All-cause SMRs were significantly lower than that expected for
rescue and recovery participants (SMR 0.45, 95% CI 0.38-0.53) and non-rescue and
non-recovery participants (0.61, 0.56-0.66). No significantly increased SMRs for
diseases of the respiratory system or heart, or for haematological malignancies
were found. In non-rescue and non-recovery participants, both intermediate and
high levels of WTC-related exposure were significantly associated with mortality
when compared with low exposure (adjusted hazard ratio 1.22, 95% CI 1.01-1.48,
for intermediate exposure and 1.56, 1.15-2.12, for high exposure). High levels of
exposure in non-rescue and non-recovery individuals, when compared with low
exposed non-rescue and non-recovery individuals, were associated with heart
disease-related mortality (adjusted hazard ratio 2.06, 1.10-3.86). In rescue and
recovery participants, level of WTC-related exposure was not significantly
associated with all-cause mortality (adjusted hazard ratio 1.25, 95% CI 0.56
2.78, for high exposure and 1.03, 0.52-2.06, for intermediate exposure when
compared with low exposure). INTERPRETATION: This exploratory study of mortality
in a well defined cohort of 9/11 survivors provides a baseline for continued
surveillance. Additional follow-up is needed to establish whether these
associations persist and whether a similar association over time will occur in
rescue and recovery participants. FUNDING: US Centers for Disease Control and
Prevention (National Institute for Occupational Safety and Health, Agency for
Toxic Substances and Disease Registry, and National Center for Environmental
Health); New York City Department of Health and Mental Hygiene.
PMID- 21890053
TI - Persistence of multiple illnesses in World Trade Center rescue and recovery
workers: a cohort study.
AB - BACKGROUND: More than 50,000 people participated in the rescue and recovery work
that followed the Sept 11, 2001 (9/11) attacks on the World Trade Center (WTC).
Multiple health problems in these workers were reported in the early years after
the disaster. We report incidence and prevalence rates of physical and mental
health disorders during the 9 years since the attacks, examine their associations
with occupational exposures, and quantify physical and mental health
comorbidities. METHODS: In this longitudinal study of a large cohort of WTC
rescue and recovery workers, we gathered data from 27,449 participants in the WTC
Screening, Monitoring, and Treatment Program. The study population included
police officers, firefighters, construction workers, and municipal workers. We
used the Kaplan-Meier procedure to estimate cumulative and annual incidence of
physical disorders (asthma, sinusitis, and gastro-oesophageal reflux disease),
mental health disorders (depression, post-traumatic stress disorder [PTSD], and
panic disorder), and spirometric abnormalities. Incidence rates were assessed
also by level of exposure (days worked at the WTC site and exposure to the dust
cloud). FINDINGS: 9-year cumulative incidence of asthma was 27.6% (number at
risk: 7027), sinusitis 42.3% (5870), and gastro-oesophageal reflux disease 39.3%
(5650). In police officers, cumulative incidence of depression was 7.0% (number
at risk: 3648), PTSD 9.3% (3761), and panic disorder 8.4% (3780). In other rescue
and recovery workers, cumulative incidence of depression was 27.5% (number at
risk: 4200), PTSD 31.9% (4342), and panic disorder 21.2% (4953). 9-year
cumulative incidence for spirometric abnormalities was 41.8% (number at risk:
5769); three-quarters of these abnormalities were low forced vital capacity.
Incidence of most disorders was highest in workers with greatest WTC exposure.
Extensive comorbidity was reported within and between physical and mental health
disorders. INTERPRETATION: 9 years after the 9/11 WTC attacks, rescue and
recovery workers continue to have a substantial burden of physical and mental
health problems. These findings emphasise the need for continued monitoring and
treatment of the WTC rescue and recovery population. FUNDING: Centers for Disease
Control and Prevention and National Institute for Occupational Safety and Health.
PMID- 21890054
TI - Early assessment of cancer outcomes in New York City firefighters after the 9/11
attacks: an observational cohort study.
AB - BACKGROUND: The attacks on the World Trade Center (WTC) on Sept 11, 2001 (9/11)
created the potential for occupational exposure to known and suspected
carcinogens. We examined cancer incidence and its potential association with
exposure in the first 7 years after 9/11 in firefighters with health information
before 9/11 and minimal loss to follow-up. METHODS: We assessed 9853 men who were
employed as firefighters on Jan 1, 1996. On and after 9/11, person-time for 8927
firefighters was classified as WTC-exposed; all person-time before 9/11, and
person-time after 9/11 for 926 non-WTC-exposed firefighters, was classified as
non-WTC exposed. Cancer cases were confirmed by matches with state tumour
registries or through appropriate documentation. We estimated the ratio of
incidence rates in WTC-exposed firefighters to non-exposed firefighters, adjusted
for age, race and ethnic origin, and secular trends, with the US National Cancer
Institute Surveillance Epidemiology and End Results (SEER) reference population.
CIs were estimated with overdispersed Poisson models. Additional analyses
included corrections for potential surveillance bias and modified cohort
inclusion criteria. FINDINGS: Compared with the general male population in the
USA with a similar demographic mix, the standardised incidence ratios (SIRs) of
the cancer incidence in WTC-exposed firefighters was 1.10 (95% CI 0.98-1.25).
When compared with non-exposed firefighters, the SIR of cancer incidence in WTC
exposed firefighters was 1.19 (95% CI 0.96-1.47) corrected for possible
surveillance bias and 1.32 (1.07-1.62) without correction for surveillance bias.
Secondary analyses showed similar effect sizes. INTERPRETATION: We reported a
modest excess of cancer cases in the WTC-exposed cohort. We remain cautious in
our interpretation of this finding because the time since 9/11 is short for
cancer outcomes, and the reported excess of cancers is not limited to specific
organ types. As in any observational study, we cannot rule out the possibility
that effects in the exposed group might be due to unidentified confounders.
Continued follow-up will be important and should include cancer screening and
prevention strategies. FUNDING: National Institute for Occupational Safety and
Health.
PMID- 21890055
TI - Casualties in civilians and coalition soldiers from suicide bombings in Iraq,
2003-10: a descriptive study.
AB - BACKGROUND: Suicide bombs in Iraq are a major public health problem. We aimed to
describe documented casualties from suicide bombs in Iraq during 2003-10 in Iraqi
civilians and coalition soldiers. METHODS: In this descriptive study, we analysed
and compared suicide bomb casualties in Iraq that were documented in two datasets
covering March 20, 2003, to Dec 31, 2010--one reporting coalition-soldier deaths
from suicide bombs, the other reporting deaths and injuries of Iraqi civilians
from armed violence. We analysed deaths and injuries over time, by bomb subtype
and victim demographics. FINDINGS: In 2003-10, 1003 documented suicide bomb
events caused 19% (42,928 of 225,789) of all Iraqi civilian casualties in our
dataset, 26% (30,644 of 117,165) of injured civilians, and 11% (12,284 of
108,624) of civilian deaths. The injured-to-killed ratio for civilians was 2.5
people injured to one person killed from suicide bombs. Suicide bombers on foot
caused 43% (5314 of 12,284) of documented suicide bomb deaths. Suicide bombers
who used cars caused 40% (12,224 of 30,644) of civilian injuries. Of 3963
demographically identifiable suicide bomb fatalities, 2981 (75%) were men, 428
(11%) were women, and 554 (14%) were children. Children made up a higher
proportion of demographically identifiable deaths from suicide bombings than from
general armed violence (9%, 3669 of 40,276 deaths; p<0.0001). The injured-to
killed ratio for all suicide bombings was slightly higher for women than it was
for men (p=0.02), but the ratio for children was lower than it was for both women
(p<0.0001) and men (p=0.0002). 200 coalition soldiers were killed in 79 suicide
bomb events during 2003-10. More Iraqi civilians per lethal event were killed
than were coalition soldiers (12 vs 3; p=0.004). INTERPRETATION: Suicide bombers
in Iraq kill significantly more Iraqi civilians than coalition soldiers. Among
civilians, children are more likely to die than adults when injured by suicide
bombs. FUNDING: None.
PMID- 21890056
TI - Effectiveness of battlefield-ethics training during combat deployment: a
programme assessment.
AB - BACKGROUND: Breakdowns in the ethical conduct of soldiers towards non-combatants
on the battlefield are of grave concern in war. Evidence-based training
approaches to prevent unethical conduct are scarce. We assessed the effectiveness
of battlefield-ethics training and factors associated with unethical battlefield
conduct. METHODS: The training package, based on movie vignettes and leader-led
discussions, was administered 7 to 8 months into a 15-month high-intensity combat
deployment in Iraq, between Dec 11, 2007, and Jan 30, 2008. Soldiers from an
infantry brigade combat team (total population about 3500) were randomly
selected, on the basis of company and the last four digits of each soldier's
social security number, and invited to complete an anonymous survey 3 months
after completion of the training. Reports of unethical behaviour and attitudes in
this sample were compared with a randomly selected pre-training sample from the
same brigade. The response patterns for ethical behaviour and reporting of
ethical violations were analysed with chi-square analyses. We developed two
logistic regression models using self-reported unethical behaviours as dependent
variables. Factors associated with unethical conduct, including combat
experiences and post-traumatic stress disorder (PTSD), were assessed with
validated scales. FINDINGS: Of 500 randomly selected soldiers 421 agreed to
participate in the anonymous post-training survey. A total of 397 soldiers of the
same brigade completed the pre-training survey. Training was associated with
significantly lower rates of unethical conduct of soldiers and greater
willingness to report and address misconduct than in those before training. For
example, reports of unnecessary damage or destruction of private property
decreased from 13.6% (54 of 397; 95% CI 10.2-17.0) before training to 5.0% (21 of
421; 2.9-7.1) after training (percent difference -63.2%; p<0.0001), and
willingness to report a unit member for mistreatment of a non-combatant increased
from 36.0% (143 of 397; 31.3-40.7) to 58.9% (248 of 421; 54.2-63.6; percent
difference 63.6; p<0.0001). Nearly all participants (410 [97%]) reported that
training made it clear how to respond towards non-combatants. Combat frequency
and intensity was the strongest predictor of unethical behaviour; PTSD was not a
significant predictor of unethical behaviour after controlling for combat
experiences. INTERPRETATION: Leader-led battlefield ethics training positively
influenced soldiers' understanding of how to interact with and treat non
combatants, and reduced reports of ethical misconduct. Unethical battlefield
conduct was associated with high-intensity combat but not with PTSD. FUNDING:
None.
PMID- 21890058
TI - Islam, medicine, and Arab-Muslim refugee health in America after 9/11.
AB - Islam is the world's second largest religion, representing nearly a quarter of
the global population. Here, we assess how Islam as a religious system shapes
medical practice, and how Muslims view and experience medical care. Islam has
generally encouraged the use of science and biomedicine for the alleviation of
suffering, with Islamic authorities having a crucial supportive role. Muslim
patients are encouraged to seek medical solutions to their health problems. For
example, Muslim couples who are infertile throughout the world are permitted to
use assisted reproductive technologies. We focus on the USA, assessing how
Islamic attitudes toward medicine influence Muslims' engagement with the US
health-care system. Nowadays, the Arab-Muslim population is one of the fastest
growing ethnic-minority populations in the USA. However, since Sept 11, 2001,
Arab-Muslim patients--and particularly the growing Iraqi refugee population--face
huge challenges in seeking and receiving medical care, including care that is
judged to be religiously appropriate. We assess some of the barriers to care--ie,
poverty, language, and discrimination. Arab-Muslim patients' religious concerns
also suggest the need for cultural competence and sensitivity on the part of
health-care practitioners. Here, we emphasise how Islamic conventions might
affect clinical care, and make recommendations to improve health-care access and
services for Arab-Muslim refugees and immigrants, and Muslim patients in general.
PMID- 21890057
TI - Short-term and medium-term health effects of 9/11.
AB - The New York City terrorist attacks on Sept 11, 2001 (9/11), killed nearly 2800
people and thousands more had subsequent health problems. In this Review of
health effects in the short and medium terms, strong evidence is provided for
associations between experiencing or witnessing events related to 9/11 and post
traumatic stress disorder and respiratory illness, with a correlation between
prolonged, intense exposure and increased overall illness and disability. Rescue
and recovery workers, especially those who arrived early at the World Trade
Center site or worked for longer periods, were more likely to develop respiratory
illness than were other exposed groups. Risk factors for post-traumatic stress
disorder included proximity to the site on 9/11, living or working in lower
Manhattan, rescue or recovery work at the World Trade Center site, event-related
loss of spouse, and low social support. Investigators note associations between
9/11 exposures and additional disorders, such as depression and substance use;
however, for some health problems association with exposures related to 9/11 is
unclear.
PMID- 21890059
TI - Adverse health consequences of US Government responses to the 2001 terrorist
attacks.
AB - In response to the attacks on Sept 11, 2001 (9/11), and the related security
concerns, the USA and its coalition partners began a war in Afghanistan and
subsequently invaded Iraq. The wars caused many deaths of non-combatant
civilians, further damaged the health-supporting infrastructure and the
environment (already adversely affected by previous wars), forced many people to
migrate, led to violations of human rights, and diverted resources away from
important health needs. After 9/11 and the anthrax outbreak shortly afterwards,
the USA and other countries have improved emergency preparedness and response
capabilities, but these actions have often diverted attention and resources from
more urgent health issues. The documentation and dissemination of information
about the adverse health effects of these wars and about the diversion of
resources could help to mitigate these consequences and prevent their recurrence.
PMID- 21890060
TI - Public health preparedness and response in the USA since 9/11: a national health
security imperative.
PMID- 21890061
TI - Redefining of public health preparedness after 9/11.
PMID- 21890062
TI - Sepsis and spontaneous bacterial peritonitis in Thailand.
PMID- 21890063
TI - Another good news from TTBDIS.
PMID- 21890064
TI - Updates on Borrelia burgdorferi sensu lato complex with respect to public health.
AB - Borrelia burgdorferi sensu lato (s.l.) complex is a diverse group of worldwide
distributed bacteria that includes 18 named spirochete species and a still not
named group proposed as genomospecies 2. Descriptions of new species and variants
continue to be recognized, so the current number of described species is probably
not final. Most of known spirochete species are considered to have a limited
distribution. Eleven species from the B. burgdorferi s.l. complex were identified
in and strictly associated with Eurasia (B. afzelii, B. bavariensis, B. garinii,
B. japonica, B. lusitaniae, B. sinica, B. spielmanii, B. tanukii, B. turdi, B.
valaisiana, and B. yangtze), while another 5 (B. americana, B. andersonii, B.
californiensis, B. carolinensis, and B. kurtenbachii) were previously believed to
be restricted to the USA only. B. burgdorferi sensu stricto (s.s.), B. bissettii,
and B. carolinensis share the distinction of being present in both the Old and
the New World. Out of the 18 genospecies, 3 commonly and 4 occasionally infect
humans, causing Lyme borreliosis (LB) - a multisystem disease that is often
referred to as the 'great imitator' due to diversity of its clinical
manifestations. Among the genospecies that commonly infect people, i.e. B.
burgdorferi s.s., B. afzelii, and B. garinii, only B. burgdorferi s.s. causes LB
both in the USA and in Europe, with a wide spectrum of clinical conditions
ranging from minor cutaneous erythema migrans (EM) to severe arthritis or
neurological manifestations. The epidemiological data from many European
countries and the USA show a dramatic increase of the diagnosed cases of LB due
to the development of new progressive diagnostic methods during the last decades
(Hubalek, 2009). Recently, the definition of the disease has also changed. What
was not considered Lyme borreliosis before might be now.
PMID- 21890065
TI - Prospective study on the incidence of infection by Borrelia burgdorferi sensu
lato after a tick bite in a highly endemic area of Switzerland.
AB - The periurban forest of Neuchatel (Switzerland) is a high-risk area for Lyme
Borreliosis, due to a high density of infected Ixodes ricinus ticks. In this
study, we evaluated the risk of subclinical (seroconversion) and clinical
infection after a tick bite in Neuchatel inhabitants from 2003 to 2005.
Inhabitants have been invited, through media, to visit a physician after a tick
bite. A questionnaire was filled out and two blood samples were taken at 8-week
interval. EIA screening tests for IgM and IgG (IMX system, Abbott) were applied
for paired sera. In case of a change in antibody titres between both samples, a
homemade Western-blot using Borrelia afzelii, B. burgdorferi sensu stricto and B.
garinii as antigens was performed. Participants were included into two groups.
Group one included asymptomatic participants (n=255). Among them, nine (3.5%)
seroconverted with seroconversion rates varying between 6.8% in 2003, 2.1% in
2004 and 2.3% in 2005. Participants who developed clinical symptoms of LB were
included into group two (n=14). Erythema migrans (EM) was reported in 5.2% of
participants (5.2%), varying between 7.5% in 2003, 5% in 2004 and 3.4% in 2005.
Ticks obtained from 186 participants were examined for B. burgdorferi infection
by PCR/Reverse Line Blotting, and by Real Time PCR and tick attachment duration
was estimated. Among I. ricinus ticks collected from participants, 32.8% were
infected by B. burgdorferi sensu lato. B. afzelii predominated among these ticks.
Globally, 65.9% of nymphs remained attached for more than 24h whereas only 38.3%
of female ticks remained attached for more than 24h. We observed that 6.6% and
2.4% of participants bitten by infected and uninfected ticks, respectively,
developed EM.
PMID- 21890066
TI - Borrelia afzelii ospC genotype diversity in Ixodes ricinus questing ticks and
ticks from rodents in two Lyme borreliosis endemic areas: contribution of co
feeding ticks.
AB - In Europe, the Lyme borreliosis (LB) agents like Borrelia burgdorferi sensu
stricto (ss), B. afzelii, and B. garinii are maintained in nature by enzoonotic
transmission cycles between vertebrate hosts and Ixodes ricinus ticks. The outer
surface protein C is a highly antigenic protein expressed by spirochaetes during
transmission from ticks to mammals as well as during dissemination in the
vertebrate hosts. Previous studies based on analysis of ospC gene sequences have
led to the classification of ospC genotypes into ospC groups. The aim of this
study was to analyse and compare ospC group distribution among isolates of the
rodent-associated genospecies, B. afzelii, at 3 levels (questing ticks, ticks
feeding on rodents, and xenodiagnostic ticks). Isolates were obtained during a
study carried out in 2 LB endemic areas located on the Swiss Plateau [Portes
Rouges (PR) and Staatswald (SW)], where rodents were differently infested by co
feeding ticks (Perez et al., unpublished data). Overall, we identified 10
different ospC groups with different distributions among isolates from questing
ticks, ticks that detached from rodents, and xenodiagnostic ticks at the 2 sites.
We observed a higher ospC diversity among isolates from ticks that fed on rodents
at SW, and mixed infections with 2 ospC groups were also more frequent among
isolates from ticks that fed on rodents at SW (n=18) than at PR (n=1). At both
sites, B. afzelii isolates obtained from larvae that were feeding on the rodents
simultaneously with nymphs displayed a higher diversity of ospC groups (mean
number of ospC groups: 2.25 for PR and 1.75 for SW) than isolates from larvae
feeding without nymphs (mean number of ospC groups: 1.17 for PR and 1 for SW). We
suggest that co-feeding transmission of Borrelia, previously described in
laboratory models, contributes in nature in promoting and maintaining ospC
diversity within local tick populations.
PMID- 21890067
TI - Genetic diversity in Ixodes scapularis (Acari: Ixodidae) from six established
populations in Canada.
AB - Although Ixodes scapularis is the most important vector of Borrelia burgdorferi
sensu stricto, the causative agent of Lyme disease in North America, little is
known of the genetic diversity in this tick species within the recently
established populations in Canada. In the present study, 153 I. scapularis adults
collected from southern Canada were compared genetically using single-strand
conformation polymorphism (SSCP) analyses in combination with DNA sequencing of
the mitochondrial 16S rRNA gene. Nineteen haplotypes were detected, 8 of which
have not been reported in the U.S.A. One 'new' haplotype was only detected at
Lunenburg, Nova Scotia, and comprised 38% of the ticks examined for that
population. The population in the southeast corner of Manitoba contained 3 'new'
haplotypes. Although the most common haplotype (Is-1) was present in all 6
populations of I. scapularis in Canada, there were significant differences in the
genetic structure among population. This suggests different geographical origins
for the tick populations in Canada, which may be related to the transportation of
larval and nymphal ticks by migratory passerines using different flyways.
Determination of the origins of the endemic populations of I. scapularis in
Canada, as well as those predicted to establish in the near future, has important
implications with respect to understanding the risk of exposure to pathogenic
bacteria infecting these ticks.
PMID- 21890068
TI - Nest box-deployed bait for delivering oral vaccines to white-footed mice.
AB - Although a wide range of interventions are available for use in reducing the
public health burden of Lyme disease, additional tools are needed. Vaccinating
mouse reservoirs may reduce the prevalence of spirochetal infection due to the
powerful vector and reservoir competence-modulating effects of anti-outer surface
protein A (OspA) antibody. A delivery system for an oral immunogen would be
required for field trials of any candidate vaccine. Accordingly, we tested
candidate bait preparations that were designed to be environmentally stable,
attractive to mice, and non-nutritive. In addition, we determined whether
delivery of such baits within nest boxes could effectively target white-footed
mice. A peanut butter-scented bait was preferred by mice over a blueberry-scented
one. At a deployment rate of 12.5 nest boxes per hectare, more than half of
resident mice ingested a rhodamine-containing bait, as demonstrated by
fluorescent staining of their vibrissae. We conclude that a peanut butter-scented
hardened bait placed within simple wood nest boxes would effectively deliver
vaccine to white-footed mice, thereby providing baseline information critical for
designing field trials of a candidate oral vaccine.
PMID- 21890069
TI - Human anaplasmosis in Belgium: a 10-year seroepidemiological study.
AB - Human granulocytic anaplasmosis (HGA) is a tick-borne rickettsial infection of
neutrophils caused by Anaplasma phagocytophilum. Although the pathogen was known
as a veterinary agent as early as 1932, the link with human disease was first
established in 1990. In the past decennium, the involvement of HGA as an
important and frequent cause of fever with a history of tick bite was
increasingly recognized in many regions of Europe. This paper presents a 10-year
A. phagocytophilum serosurveillance (2000-2009), wherein 1672 serum samples were
tested and 418 were found positive. A total of 111 patients had a history of tick
bite, fever, and at least a 4-fold rise in titre and are thus considered to be
confirmed cases. These findings suggest that Belgium is a hot spot for HGA
infections.
PMID- 21890070
TI - Toxic effects of various solvents against Rhipicephalus (Boophilus) annulatus.
AB - The current need of identification of a new acaricidal agent which is acceptable
to public as environmentally safe is a daring task. Use of herbal acaricides is
such an alternative. Most of the herbal extracts or fractions are dissolved in
polar or non-polar solvents or detergents before tested for acaricidal activity.
The solvent or detergent to be used for dissolving the herbal extract should be
of little acaricidal activity. In the present study, experimentations were
carried out on adult engorged female ticks to detect the toxicity of different
solvents, viz. hexane, petroleum ether, n-butanol, isopropyl alcohol, chloroform,
glycerol, ethyl acetate, acetone, ethanol, and methanol. The study revealed that
methanol was the least toxic solvent against Rhipicephalus (Boophilus) annulatus.
PMID- 21890071
TI - History and critical review of Theileria parva (Boleni), the vaccine stock
against Zimbabwean cattle theileriosis.
AB - The paper reviews the infectivity, cross-immunization experiments, and cattle
vaccination of Zimbabwean cattle-derived Theileria parva (Boleni) sporozoite
stabilates produced at the Central Veterinary Laboratory (CVL) in Harare between
1980 and 2003. The Boleni stock was first isolated in July 1978 during a
theileriosis outbreak and was shown to be virulent in susceptible cattle.
Thereafter, the reactions observed in susceptible cattle produced by different
tick stabilates derived from the original have been mostly severe (76%) or
moderate (24%). The parasite concentrations in the Boleni vaccine, the vaccines
used in East Africa, and a Malawian stock were compared. The infective Theileria
sporozoite concentration in 1 ml of stabilate in the Muguga and Serengeti (from
East Africa) and Kasoba (from Malawi) vaccines were 8*, 9*, and 14* the
concentration of the Boleni stabilate, respectively. The Boleni strain, like the
other Zimbabwean T. parva isolates, produces a characteristic low piroplasm
parasitaemia of usually less than 1% in susceptible cattle. This has largely
contributed to the difference in infection rates (1963; average 40%) among tick
batches used to prepare the various stabilates. Subsequently, the sporozoite
concentrations in 1 ml of stabilate also varied considerably (6-91; average 53),
making the reproducibility and standardization of the stabilates for immunization
difficult. Immunization of cattle using Boleni stabilates with oxytetracycline
therapy or with titrated low doses without treatment was found to be safe and
efficacious. Cross-immunity experiments demonstrated that T. parva Boleni
stabilates cross-protected against all the Zimbabwean cattle-derived T. parva
stocks tested. The characteristics of the Boleni stock in affording a wide
spectrum of cross-protection make it an excellent candidate for cattle
immunization in Zimbabwe, hence protecting the country from the introduction of
foreign vaccines and subsequently, foreign parasite populations.
PMID- 21890072
TI - Clinical and haematological study on water buffaloes (Bubalus bubalis) and
crossbred cattle naturally infected with Theileria annulata in Sharkia province,
Egypt.
AB - This study was conducted to investigate the clinical and haematological findings
in water buffaloes and crossbred cattle naturally infected with Theileria
annulata with special reference to the clinical picture of tropical theileriosis
in Egyptian buffaloes. A total 50 field cases of buffaloes and cattle was
clinically and laboratory investigated from March to June 2008. Forty-four
buffaloes and cattle out of 50 were naturally infected with T. annulata and
showed typical signs of infection. Six animals showed no clinical signs and were
free from external, internal, and blood parasites. The clinical findings of
examined cattle and buffaloes showed typical signs of tropical theileriosis:
fever, enlargement of the superficial lymph nodes, severe lacrimation, bilateral
conjunctivitis, photophobia, and corneal opacity. It was clear that the severity
of clinical signs in infected buffaloes was more prominent than in infected
cattle with persistence of some lesions after recovery as corneal opacity and
pulmonary lesions. Haematological analysis revealed a significant decrease in
RBCS count, PCV%, haemoglobin amount, and WBCs in the infected animals when
compared to the control group. It was concluded from our study that T. annulata
infection is associated with impairment and alteration of blood parameters in
both cattle and water buffaloes. Theileriosis in water buffaloes might cause
irreversible ocular changes that could lead to complete blindness. Data obtained
in this study might be the basis for subsequent studies under natural and
experimental field conditions.
PMID- 21890073
TI - Relationships between tick counts and coat characteristics in Nguni and Bonsmara
cattle reared on semiarid rangelands in South Africa.
AB - Indigenous Nguni cattle are adapted to the semiarid rangeland and appear to be
resistant to ticks; however, the mechanism for tick resistance is yet to be
established. To understand tick resistance in cattle, relationships among skin
thickness, hair length, coat score, and tick counts were estimated in Nguni
(n=12) and Bonsmara (n=12) heifers on semiarid rangelands of South Africa. The
tick species observed to infest the heifers were Rhipicephalus (Boophilus)
decoloratus (frequency: 76%), Rhipicephalus (Boophilus) microplus (9%), Amblyomma
hebraeum (5%), Rhipicephalus appendiculatus (5%), Rhipicephalus evertsi evertsi
(3%), and Hyalomma marginatum (2%). Nguni heifers had lower (P<0.05) log(10)
(x+1)-transformed coat scores (0.6+/-0.01), hair length (1.4+/-0.01), and tick
counts (1.4+/-0.03) than Bonsmara heifers whose log(10) (x+1)-transformed coat
score, hair length, and tick count values were 0.7+/-0.01, 1.5+/-0.01, and 1.8+/
0.02, respectively. The skin thickness between the two breeds were similar
(P>0.05). There was a positive linear (P<0.05) relationship between log(10) (x+1)
tick counts and log(10) (x+1) coat score in the Nguni (y=1.90x-0.40) and a
quadratic relationship in the Bonsmara (y=-7.98x(2)+12.74x-3.12) breed. It was
concluded that the smooth coats may be one of the important mechanisms of tick
resistance in the indigenous Nguni breed. Determination of genetic resistance to
ticks in the Nguni breed is recommended as this will give more specific
indication to the mechanism of host resistance in this breed.
PMID- 21890074
TI - [Diagnostic and treatment of leg ulcers].
AB - Ulcers are a frequent cause of dermatologic consultation, and most correspond to
leg ulcers. Major advances in the treatment of ulcers have occurred in recent
years as a result of research that has led to new concepts such as the
consideration of the chronic ulcer as an inflammatory process involving
proinflammatory cytokines and deficits of growth factors. Furthermore, studies
into the use of the wet dressing have led to the appearance of a wide variety of
new dressings. The aim of this review is to update the reader's knowledge of the
treatment of ulcers in general and of leg ulcers in particular, with a detailed
description of the new dressings available and of the new therapies for use in
refractory cases.
PMID- 21890075
TI - Wearable defibrillator in congenital structural heart disease and inherited
arrhythmias.
AB - Patients with congenital structural heart disease (CSHD) and inherited
arrhythmias (IAs) are at high risk of ventricular tachyarrhythmias and sudden
cardiac death. The present study was designed to evaluate the short- and long
term outcomes of patients with CSHD and IA who received a wearable cardioverter
defibrillator (WCD) for the prevention of sudden cardiac death. The study
population included 162 patients with CSHD (n = 43) and IA (n = 119) who were
prospectively followed up in a nationwide registry from 2005 to 2010. The
mortality rates were compared using Kaplan-Meier survival analysis. The mean age
of the study patients was 38 +/- 27 years. The patients with CSHD had a greater
frequency of left ventricular dysfunction (ejection fraction <30%) than did the
patients with IA (37% vs 5%, respectively; p = 0.002). The predominant indication
for WCD was pending genetic testing in the IA group and transplant listing in the
CSHD group. Compliance with the WCD was similar in the 2 groups (91%). WCD shocks
successfully terminated 3 ventricular tachyarrhythmias in the patients with IA
during a median follow-up of 29 days of therapy (corresponding to 23 appropriate
WCD shocks per 100 patient-years). No arrhythmias occurred in the patients with
CSHD during a median follow-up of 27 days. No patients died while actively
wearing the WCD. At 1 year of follow-up, the survival rates were significantly
lower among the patients with CSHD (87%) than among the patients with IA (97%, p
= 0.02). In conclusion, our data suggest that the WCD can be safely used in high
risk adult patients with IA and CSHD. Patients with IA showed a greater rate of
ventricular tachyarrhythmias during therapy but significantly lower long-term
mortality rates.
PMID- 21890076
TI - Clinical and echocardiographic predictors of outcomes in patients with apical
hypertrophic cardiomyopathy.
AB - Apical hypertrophic cardiomyopathy (HC) is considered to have a favorable
prognosis, but recent observations have suggested less benign clinical courses.
We investigated the outcomes in patients with apical HC and evaluated the
predictors. All 454 patients with apical HC (316 men, age 61 +/- 11 years) were
recruited. Major cardiovascular events (MACE) were defined as unplanned
hospitalization because of heart failure, stroke, or cardiovascular mortality.
The patients were divided into 2 groups: group 1 with MACE and group 2 without
MACE. During the follow-up period (43 +/- 20 months), the all-cause mortality
rate was 9% (39 of 454), and 110 patients (25%) had MACE. The subjects in group 1
were older and a greater proportion had diabetes, hypertension, and atrial
fibrillation. On the echocardiogram, the left atrial volume index (left atrial
volume index 36 +/- 17 vs 31 +/- 12 ml/m(2)), transmitral E velocity (65 +/- 17
vs 61 +/- 16 cm/s), mitral annulus Ea velocity (4.5 +/- 1.4 vs 5.1 +/- 1.8 cm/s),
Sa velocity (5.8 +/- 1.4 vs 6.6 +/- 1.4 cm/s), E/Ea ratio (15 +/- 5 vs 13 +/- 5),
and right ventricular systolic pressure (31 +/- 8 vs 28 +/- 7 mm Hg) were
significantly different between groups 1 and 2 (p <0.05 for all). The left atrial
volume index (for each 1-ml/m(2) increase, hazard ratio 1.01, 95% confidence
interval 1.00 to 1.03; p = 0.047), Sa velocity (hazard ratio 0.83, 95% confidence
interval 0.72 to 0.96, p = 0.014), and E/Ea ratio (hazard ratio 1.04, 95%
confidence interval 1.00 to 1.09, p = 0.030) were independent predictors of a
poor prognosis, along with age and the presence of diabetes or hypertension. In
conclusion, the clinical outcomes of patients with apical HC were less benign in
older patients and in those with hypertension or diabetes. In addition, the left
atrial volume index, Sa velocity, and E/Ea ratio were predicters of a poor
prognosis in patients with apical HC.
PMID- 21890078
TI - Maternal and paternal environmental risk factors, metabolizing GSTM1 and GSTT1
polymorphisms, and congenital heart disease.
AB - Congenital heart defects (CHDs) are the most prevalent of all birth malformations
arising from the complex interplay of environmental exposures and genes.
Modifiable environmental risk factors are still largely unknown, especially for
paternal exposure. The aim of the present study was to examine the association
between the environmental exposures of both parents and CHD risk and to explore
the modification effect of metabolizing gene polymorphisms in children who lack
the genetic capacity to produce the glutathione S-transferase (GST) GSTM1 and
GSTT1 enzymes. A total of 330 parents of a child with CHD and 330 parents of a
child without any congenital malformations were compared in terms of lifestyle
habits and toxicant exposure. GST gene polymorphisms were investigated in 180
patients with CHD (104 males, age 4.9 +/- 5.8 years). Paternal smoking (>=15
cigarettes/day) was significantly associated with CHD risk (odds ratio [OR] 2.1,
95% confidence interval [CI] 1.3 to 3.5, p = 0.002). Both maternal (OR 2.6, 95%
CI 1.6 to 4.2, p <0.0001) and paternal (OR 2.5, 95% CI 1.6 to 3.8, p <0.0001)
occupational/environmental exposures increased the risk of CHD. Also, a
significant additive risk (OR 4.5, 95% CI 2.5 to 8.3, p <0.0001) was found when
both parents were exposed to toxicants. Both maternal (OR 3.6, 95% CI 1.1 to
11.2, p = 0.03) and paternal (OR 3.3, 95% CI 1.0 to 10.8, p = 0.03) exposure to
toxicants increased the CHD risk in children who carried the combined null GST
genotypes. The effect for the combined null GST genotypes was also stronger (OR
6.5, 95% CI 1.5 to 28.0) when both parents were exposed. In conclusion, paternal
smoking and exposure to toxicants for both parents affect the risk of children
with CHD. Polymorphisms in GST genes can modify a person's risk of toxicant
exposure-induced disease.
PMID- 21890077
TI - Comparison of bare-metal and drug-eluting stents in patients with chronic kidney
disease (from the NHLBI Dynamic Registry).
AB - Patients with chronic kidney disease (CKD) have a disproportionate burden of
coronary artery disease and commonly undergo revascularization. The role and
safety of percutaneous coronary intervention (PCI) using drug-eluting stents
(DESs) verses bare-metal stents in patients with CKD not on renal replacement
therapy has not been fully evaluated. This study investigated the efficacy and
safety of DES in patients with CKD not on renal replacement therapy. Patients
were drawn from the National Heart, Lung, and Blood Institute Dynamic Registry
and were stratified by renal function based on estimated glomerular filtration
rate (GFR). Of the 4,157 participants, 1,108 had CKD ("low GFR" <60 ml/min/1.73
m(2)), whereas 3,049 patients had normal renal function ("normal GFR" >=60
ml/min/1.73 m(2)). For each stratum of renal function we compared risk of death,
myocardial infarction, or repeat revascularization between subjects who received
DESs and bare-metal stents at the index procedure. Patients with low GFR had
higher 1-year rates of death and myocardial infarction and a decreased rate of
repeat revascularization compared to patients with normal GFR. Use of DESs was
associated with a decreased need for repeat revascularization in the normal-GFR
group (adjusted hazard ratio 0.63, 95% confidence interval 0.50 to 0.79, p
<0.001) but not in the low-GFR group (hazard ratio 0.69, 95% confidence interval
0.45 to 1.06, p = 0.09). Risks of death and myocardial infarction were not
different between the 2 stents in either patient population. In conclusion,
presence of CKD predicted poor outcomes after PCI with high rates of mortality
regardless of stent type. The effect of DES in decreasing repeat
revascularization appeared to be attenuated in these patients.
PMID- 21890079
TI - Relation of milrinone after surgery for congenital heart disease to significant
postoperative tachyarrhythmias.
AB - Milrinone reduces the risk of low cardiac output syndrome for some pediatric
patients after congenital heart surgery. Data from adults undergoing cardiac
surgery suggest an association between milrinone and an increased risk of
postoperative arrhythmias. We tested the hypothesis that milrinone is an
independent risk factor for tachyarrhythmias after congenital heart surgery.
Subjects undergoing congenital heart surgery at our institution were
consecutively enrolled for 38 months, through September 2010. The data were
prospectively collected, including a review of full-disclosure telemetry and the
medical records. Within 38 months, 603 enrolled subjects underwent 724 operative
procedures. The median age was 5.5 months (range 0.0 to 426), the median weight
was 6.0 kg (range 0.7 to 108), and the cohort was 45% female. The overall
arrhythmia incidence was 50%, most commonly monomorphic ventricular tachycardia
(n = 85, 12%), junctional ectopic tachycardia (n = 69, 10%), accelerated
junctional rhythm (n = 58, 8%), and atrial tachyarrhythmias (including atrial
fibrillation, atrial flutter, and ectopic or chaotic atrial tachycardia, n = 58,
8%). Multivariate logistic regression analysis demonstrated that independent of
age <1 month, the use of cardiopulmonary bypass, the duration of cardiopulmonary
bypass, Risk Adjusted classification for Congenital Heart Surgery, version 1,
score >3, and the use of epinephrine or dopamine, milrinone use on admission to
the cardiac intensive care unit remained independently associated with an
increase in the odds of postoperative tachyarrhythmia resulting in an
intervention (odds ratio 2.8, 95% confidence interval 1.3 to 6.0, p = 0.007). In
conclusion, milrinone use is an independent risk factor for clinically
significant tachyarrhythmias in the early postoperative period after congenital
heart surgery.
PMID- 21890080
TI - Comparison of the frequency of coronary artery disease in alcohol-related versus
non-alcohol-related endstage liver disease.
AB - There are conflicting data as to the prevalence of coronary artery disease (CAD)
in patients with end-stage liver disease (ESLD) being assessed for liver
transplantation (LT). The aims of this study were to compare the prevalence of
CAD in patients with alcohol-related versus non-alcohol-related ESLD and to
assess the diagnostic utility of dobutamine stress echocardiography (DSE) in
predicting angiographically important CAD. Consecutive patients with ESLD being
assessed for LT (n = 420, mean age 56 +/- 8 years) were identified and divided
into groups of those with alcohol-related ESLD (n = 125) and non-alcohol-related
ESLD (n = 295). Demographic characteristics, CAD risk factors, results of DSE,
and coronary angiographic characteristics were recorded. There were no
significant differences in age or CAD risk factors between groups. The incidence
of severe CAD (>70% diameter stenosis) was 2% in the alcohol-related ESLD group
and 13% in the non-alcohol-related ESLD group (p <0.005). In the 2 groups, the
presence of >=1 CAD risk factor was associated with significant CAD (p <0.05 for
all). Absence of cardiac risk factors was highly predictive in ruling out
angiographically significant disease (negative predictive value 100% for alcohol
related ESLD and 97% for non-alcohol-related ESLD). DSE was performed in 205
patients. In the 2 groups, DSE had poor predictive value for diagnosing
significant CAD but was useful in ruling out patients without significant disease
(negative predictive value 89% for alcohol-related ESLD and 80% for non-alcohol
related ESLD). In conclusion, there was a significantly lower prevalence of
severe CAD in patients with alcohol-related ESLD. These findings suggest that
invasive coronary angiography may not be necessary in this subgroup, particularly
in the absence of CAD risk factors and negative results on DSE.
PMID- 21890081
TI - Effects of high adherence to mediterranean or low-fat diets in medicated
secondary prevention patients.
AB - Although the Mediterranean diet (MD) and the low-fat Therapeutic Lifestyle
Changes Diet (TLCD) promote equivalent increases in event-free survival in
secondary coronary prevention, possible mechanisms of such complete dietary
patterns in these patients, usually medicated, are unclear. The aim of this study
was to investigate the effects of the MD versus the TLCD in markers of
endothelial function, oxidative stress, and inflammation after acute coronary
syndromes. Comparison was made between 3 months of the MD (n = 21; rich in whole
grains, vegetables, fruits, nuts, and olive oil, plus red wine) and the TLCD (n =
19; plus phytosterols 2 g/day) in a highly homogenous population of stable
patients who experienced coronary events in the previous 2 years (aged 45 to 65
years, all men) allocated to each diet under a strategy designed to optimize
adherence, documented as >90%. Baseline demographics, body mass index and
clinical data, and use of statins and other drugs were similar between groups.
The MD and TLCD promoted similar decreases in body mass index and blood pressure
(p <=0.001) and particularly in plasma asymmetric dimethylarginine levels (p =
0.02) and l-arginine/asymmetric dimethylarginine ratios (p = 0.01). The 2 diets
did not further enhance flow-mediated brachial artery dilation compared to
baseline (4.4 +/- 4.0%). Compared to the TLCD, the MD promoted decreases in blood
leukocyte count (p = 0.025) and increases in high-density lipoprotein levels (p =
0.053) and baseline brachial artery diameter. Compared to the MD, the TLCD
decreased low-density lipoprotein and oxidized low-density lipoprotein plasma
levels, although the ratio of oxidized to total low-density lipoprotein remained
unaltered. Glucose, high-sensitivity C-reactive protein, triglycerides,
myeloperoxidase, intercellular adhesion molecular, vascular cell adhesion
molecule, and glutathione serum and plasma levels remained unchanged with either
diet. In conclusion, medicated secondary prevention patients show evident
although small responses to the MD and the TLCD, with improved markers of redox
homeostasis and metabolic effects potentially related to atheroprotection.
PMID- 21890082
TI - Effects of QRS duration and pacing location on pressure-volume loop evaluation of
cardiac resynchronization therapy in end-stage heart failure.
AB - Cardiac resynchronization therapy (CRT) decreases the morbidity and mortality in
patients with end-stage heart failure. However, patient selection remains
challenging, because a considerable 30% to 50% do not respond. Controversy exists
on the cutoff values for the QRS duration and the optimal lead location. The
present study relates these parameters on an individual basis to acute pump
function improvement using invasively obtained pressure-volume loops. Fifty-seven
patients with symptomatic end-stage heart failure were included in our temporary
biventricular stimulation study and were grouped according to the QRS duration
(QRS <20 ms, QRS >=120 ms but <150 ms, and QRS >=150 ms). All patients underwent
pressure-volume loop assessment of the response to biventricular pacing,
comparing the baseline measurements to both right ventricular apex pacing
combined with a left ventricular lead in the posterolateral and anterolateral
region of the LV. Group analysis during conventional (posterolateral and right
ventricular apex) CRT did not show improvement in stroke work and dP/dt(max) (
2%, p = NS; and -7%; p <0.001) in the narrow QRS group but a significant increase
in the intermediate (+27%, p = 0.020, and +5%, p = 0.044) and wide (+48%, p =
0.002, and +18%, p <0.001) QRS groups. CRT using the anterolateral and right
ventricular apex configuration evoked a consistently lower response compared to
posterolateral and right ventricular apex, resulting in a significant hemodynamic
deterioration in the narrow QRS group. However, analysis on an individual basis
identified 25% of patients with narrow QRS duration showing possible hemodynamic
benefit from CRT compared to 83% of patients with intermediate and wide QRS
combined. In contrast, 15% of patients had deterioration by conventional
(posterolateral right ventricular apex) CRT in the intermediate and wide QRS
groups compared to 31% in the narrow QRS group; 19% of patients could be improved
by lead placement in the anterolateral rather than the posterolateral region. In
conclusion, the acute hemodynamic response to CRT is generally in line with the
long-term results from large randomized trials; however, the individual variation
is large. The temporary biventricular stimulation protocol might aid in
individual patient selection and in research aiming at a reduction of
nonresponders and improvement in lead positioning.
PMID- 21890083
TI - Long-term safety and efficacy of Pitavastatin in patients with acute myocardial
infarction (from the Livalo Acute Myocardial Infarction Study [LAMIS]).
AB - Pitavastatin is a potent lipophilic statin and may play an important role in
acute myocardial infarction (AMI) but there have been limited data on the safety
and efficacy of pitavastatin in AMI. This study consisted of 1,039 consecutive
patients with AMI (74.0% men, mean age 61.4 +/- 12.6 years) who presented in 10
major percutaneous coronary intervention centers in Korea from February 2007
through September 2009. Pitavastatin 2 mg/day was routinely administered in
patients with AMI from time of presentation. We investigated changes of lipid
profiles, biochemical markers, adverse events, and clinical outcomes up to 12
months. During the study 318 events overall occurred in 220 patients (21.2%) who
reported >=1 treatment emergent adverse event, although 20 events in 14 patients
(1.4%) were treatment-related adverse events. Low-density lipoprotein (LDL)
cholesterol percent change was -25.6% and LDL cholesterol target attainment was
70.5% at 12-month follow-up. Levels of creatinine phosphokinase, serum glutamic
oxaloacetic transaminase, glutamic pyruvic transaminase, and high-sensitivity C
reactive protein decreased significantly during the first 1 month of pitavastatin
treatment and were sustained to 12-month follow-up. Major adverse cardiac events
occurred in 66 patients (7.3%). All-cause deaths occurred in 32 patients (3.5%)
including 19 (2.1%) cardiac deaths and recurrent MIs occurred in 14 (1.6%) and
target lesion revascularizations in 42 (4.7%). In conclusion, administration of
pitavastatin 2 mg/day in patients with AMI showed 70.5% LDL cholesterol target
attainment with good tolerance and was associated with favorable clinical
outcomes up to 12 months.
PMID- 21890084
TI - Acute safety and 30-day outcome after percutaneous edge-to-edge repair of mitral
regurgitation in very high-risk patients.
AB - Percutaneous edge-to-edge mitral valve repair using the MitraClip device has
evolved as a new tool for the treatment of severe mitral valve regurgitation.
This technique has been evaluated in surgical low- and high-risk patients.
Patients with advanced age, multiple morbidities, and heart failure will be the
first to be considered for a nonsurgical approach. Thus safety and feasibility
data in very high-risk patients are crucial for clinical decision making. The aim
of this study was to assess short-term safety and clinical efficacy in high-risk
patients with a Society of Thoracic Surgeons (STS) score >15% after MitraClip
implantation (mean STS score 24 +/- 4%). All relevant complications, mortality,
echocardiographic improvement, and changes in brain natriuretic peptide, high
sensitive troponin T, 6-minute walk distance test, and New York Heart Association
functional class were collected in patients within 30 days after MitraClip
implantation. Mitral regurgitation had significantly decreased after 30 days from
grade 2.9 +/- 0.2 to 1.7 +/- 0.7 (p < 0.0001). Accordingly, New York Heart
Association functional class had significantly improved from 3.38 +/- 0.59 to 2.2
+/- 0.4 (p <0.001). Objective parameters of clinical improvement showed a
significant increase in 6-minute walk distance test (from 194 +/- 44 to 300 +/-
70 m, p <0.01) and insignificant trends in brain natriuretic peptide (10,376 +/-
1,996 vs 4,385 +/- 1,266 ng/L, p = 0.06) and high-sensitive troponin T (43 +/-
8.9 vs 36 +/- 7.7 pg/L, p = 0.27) improvement. Thirty-day mortality was 0. Two
patients developed a left atrial thrombus, 1 patient was on a ventilator for >12
hours, and 1 patient had significant access site bleeding. In conclusion, this
study shows that percutaneous edge-to-edge mitral valve repair can be safely
performed even in surgical high-risk patients with an STS score >15. At 1-month
follow-up most patients showed persistent improvement in mitral regurgitation and
a clinical benefit.
PMID- 21890085
TI - Pulmonary function in patients with acute coronary syndrome treated with
ticagrelor or clopidogrel (from the Platelet Inhibition and Patient Outcomes
[PLATO] pulmonary function substudy).
AB - The Platelet Inhibition and Patient Outcomes (PLATO) trial showed that ticagrelor
reduced the risk for cardiovascular events in patients with acute coronary
syndromes compared to clopidogrel but was associated with increased incidence of
dyspnea. This substudy assessed whether ticagrelor affects pulmonary function in
patients with acute coronary syndromes: 199 patients enrolled in the PLATO trial
and receiving randomized treatment with ticagrelor 90 mg twice daily (n = 101) or
clopidogrel 75 mg/day (n = 98) took part in the pulmonary function substudy.
Patients with advanced lung disease, congestive heart failure, or coronary artery
bypass graft surgery after the index event were excluded. Pulse oximetry (blood
oxygen saturation), spirometry (forced expiratory volume in 1 second, forced
vital capacity, and forced expiratory flow between 25% and 75% of forced vital
capacity before and 20 minutes after inhalation of a beta(2) agonist), lung
volumes (total lung capacity, functional residual capacity, residual volume), and
diffusion capacity were performed after patients received study medication for 30
to 40 days. Tests were then repeated <10 days before and approximately 30 days
after the discontinuation of study medication. After a mean treatment duration of
31 days, there were no differences between the groups for any of the pulmonary
function parameters. At the end of treatment (mean 211 days) and after the
discontinuation of study medication (mean 32 days after the last dose), there was
also no evidence of a change in pulmonary function in either group. For example,
forced expiratory volume in 1 second values before beta(2) agonist inhalation in
the ticagrelor and clopidogrel groups were 2.81 +/- 0.73 and 2.70 +/- 0.84 L,
respectively, at the first visit and did not change significantly at subsequent
visits. In conclusion, no effect of ticagrelor on pulmonary function was seen in
this cohort of patients with acute coronary syndromes compared to clopidogrel.
PMID- 21890086
TI - Predictors of response to cardiac resynchronization therapy in patients with a
non-left bundle branch block morphology.
AB - Patients with non-left bundle branch block (LBBB) morphologies are thought to
derive less benefit from cardiac resynchronization therapy (CRT) than those with
LBBB. However, some patients do exhibit improvement. The characteristics
associated with a response to CRT in patients with non-LBBB morphologies are
unknown. Clinical, electrocardiographic, and echocardiographic data were
collected from 850 consecutive patients presenting for a new CRT device. For
inclusion, all patients had a left ventricular ejection fraction of <=35%, a QRS
duration of >=120 ms, and baseline and follow-up echocardiograms available.
Patients with a paced rhythm or LBBB were excluded. The response was defined as
an absolute decrease in left ventricular end-systolic volume of >=10% from
baseline. Multivariate models were constructed to identify variables
significantly associated with the response and long-term outcomes. A total of 99
patients met the inclusion criteria. Of these 99 patients, 22 had right bundle
branch block and 77 had nonspecific intraventricular conduction delay; 52.5% met
the criteria for response. On multivariate analysis, the QRS duration was the
only variable significantly associated with the response (odds ratio per 10-ms
increase 1.23, 95% confidence interval 1.01 to 1.52, p = 0.048). During a mean
follow-up of 5.4 +/- 0.9 years, 65 patients died or underwent heart transplant or
left ventricular assist device placement. On multivariate analysis, the QRS
duration was inversely associated with poor long-term outcomes (hazard ratio per
10-ms increase 0.79, 95% confidence interval 0.66 to 0.94, p = 0.005). In
patients with advanced heart failure and non-LBBB morphologies, a wider baseline
QRS duration is an important determinant of enhanced reverse ventricular
remodeling and improved long-term outcomes after CRT.
PMID- 21890087
TI - Comparison of outcomes of patients >= 80 years of age having percutaneous
coronary intervention according to presentation (stable vs unstable angina
pectoris/non-ST-segment elevation myocardial infarction vs ST-segment elevation
myocardial infarction).
AB - Patients >= 80 years old with coronary artery disease constitute a particular
risk group in relation to percutaneous coronary intervention (PCI). From 2002
through 2008 we examined the annual proportion of patients >= 80 years old
undergoing PCI in western Denmark, their indications for PCI, and prognosis. From
2002 through 2009 all elderly patients treated with PCI were identified in a
population of 3.0 million based on the Western Denmark Heart Registry. Cox
regression analysis was used to compare mortality rates according to clinical
indications controlling for potential confounding. In total 3,792 elderly
patients (>= 80 years old) were treated with PCI and the annual proportion
increased from 224 (5.4%) in 2002 to 588 (10.2%) in 2009. The clinical indication
was stable angina pectoris (SAP) in 30.2%, ST-segment elevation myocardial
infarction (STEMI) in 35.0%, UAP/non-STEMI in 29.7%, and "ventricular arrhythmia
or congestive heart failure" in 5.1%. Overall 30-day and 1-year mortality rates
were 9.2% and 18.1%, respectively. Compared to patients with SAP the adjusted 1
year mortality risk was significantly higher for patients presenting with STEMI
(hazard ratio 3.86, 95% confidence interval 3.08 to 4.85), UAP/non-STEMI (hazard
ratio 1.95, 95% confidence interval 1.53 to 2.50), and ventricular arrhythmia or
congestive heart failure (hazard ratio 2.75, 95% confidence interval 1.92 to
3.92). In patients with SAP target vessel revascularization decreased from 7.1%
in 2002 to 2.5% in 2008. In conclusion, the proportion of patients >= 80 years
old treated with PCI increased significantly over an 8-year period. Patients with
SAP had the lowest mortality rates and rates of clinically driven target vessel
revascularization decreased over time.
PMID- 21890088
TI - Relation of C-reactive protein to endothelial fibrinolytic function in healthy
adults.
AB - Increased plasma concentrations of C-reactive protein (CRP) independently predict
future atherothrombotic events in healthy asymptomatic adults. CRP may promote
atherothrombosis by altering fibrinolytic balance; however, the influence of
increased plasma CRP concentrations on endothelial fibrinolysis in healthy adults
is unclear. We tested the hypothesis that endothelial release of tissue-type
plasminogen activator (t-PA) is impaired in adults with increased plasma CRP
concentrations independent of other cardiometabolic risk factors. Fifty-four
healthy adults were studied: 24 with CRP <1.0 mg/L (low CRP; 18 men and 6 women,
55 +/- 2 years old), 18 with CRP 1.0 to 3.0 mg/L (moderate CRP; 8 men and 10
women, 58 +/- 2 years old), and 12 with CRP >3.0 mg/L (high CRP; 7 men and 5
women, 56 +/- 2 years old). Net endothelial release of t-PA was determined in
vivo in response to intrabrachial infusions of bradykinin (125 to 500 ng/min) and
sodium nitroprusside (2.0 to 8.0 MUg/min). Capacity of the endothelium to release
t-PA was significantly lower (~30%) in the high (0.32 +/- 0.5 to 38.9 +/- 6.0 ng
. 100 ml tissue(-1) . min(-1)) and moderate (-0.05 +/- 0.4 to 39.3 +/- 5.2 ng .
100 ml tissue(-1) . min(-1)) compared to the low (0.42 +/- 0.9 to 61.8 +/- 5.2 ng
. 100 ml tissue(-1) . min(-1)) CRP group. There was no significant difference in
t-PA release between the high and moderate CRP groups. Plasma CRP concentrations
were inversely related to t-PA release (r = -0.38, p <0.05). In conclusion, these
results indicate that the capacity of the endothelium to release t-PA is
decreased in adults with plasma CRP >=1.0 mg/L. Endothelial fibrinolytic
dysfunction may underlie the increased atherothrombotic risk associated with
increases in plasma CRP concentrations in otherwise healthy adults.
PMID- 21890089
TI - Usefulness of serial N-terminal pro-B-type natriuretic peptide measurements for
determining prognosis in patients with pulmonary arterial hypertension.
AB - Previous studies have shown the prognostic benefit of N-terminal pro-brain
natriuretic peptide (NT-pro-BNP) in pulmonary arterial hypertension (PAH) at time
of diagnosis. However, there are only limited data on the clinical utility of
serial measurements of the inactive peptide NT-pro-BNP in PAH. This study
examined the value of serial NT-pro-BNP measurements in predicting prognosis PAH.
We retrospectively analyzed all available NT-pro-BNP plasma samples in 198
patients who were diagnosed with World Health Organization group I PAH from
January 2002 through January 2009. At time of diagnosis median NT-pro-BNP levels
were significantly different between survivors (610 pg/ml, range 6 to 8,714) and
nonsurvivors (2,609 pg/ml, range 28 to 9,828, p <0.001). In addition, NT-pro-BNP
was significantly associated (p <0.001) with other parameters of disease severity
(6-minute walking distance, functional class). Receiver operating curve analysis
identified >=1,256 pg/ml as the optimal NT-pro-BNP cutoff for predicting
mortality at time of diagnosis. Serial measurements allowed calculation of
baseline NT-pro-BNP (i.e., intercept obtained by back-extrapolation of
concentration-time graph), providing a better discrimination between survivors
and nonsurvivors than NT-pro-BNP at time of diagnosis alone (p = 0.010).
Furthermore, a decrease of NT-pro-BNP of >15%/year was associated with survival.
In conclusion, a serum NT-pro-BNP level >=1,256 pg/ml at time of diagnosis
identifies poor outcome in patients with PAH. In addition, a decrease in NT-pro
BNP of >15%/year is associated with survival in PAH.
PMID- 21890090
TI - Myocardial ischemia and ventricular tachycardia on continuous
electrocardiographic monitoring and risk of cardiovascular outcomes after non-ST
segment elevation acute coronary syndrome (from the MERLIN-TIMI 36 Trial).
AB - Among patients with non-ST-segment elevation acute coronary syndromes, recurrent
ischemia and ventricular arrhythmias detected on continuous electrocardiographic
monitoring remain common events that are associated with worse outcomes. The
relative clinical significance of both events together is not well described. We
determined the risk associated with ischemia (>=1 mm ST depression lasting >=1
minutes) and ventricular tachycardia (VT) (>=4 beats) detected on 7-day
continuous electrocardiographic monitoring in 6,355 patients with non-ST-segment
elevation acute coronary syndromes from the Metabolic Efficiency with Ranolazine
for Less Ischemia in Non-ST-elevation Acute Coronary Syndrome-Thrombolysis In
Myocardial Infarction (MERLIN-TIMI) 36 trial. The patients were categorized into
4 groups according to the presence or absence of VT and ischemia. Cardiovascular
death, sudden cardiac death (SCD), myocardial infarction, and recurrent ischemia
were assessed during a median follow-up of 348 days. A total of 60.0% patients
had no VT or ischemia, 20.0% had VT alone, 14.7% had ischemia alone, and 5.3% had
both. The patients with either VT or ischemia were at increased risk of
cardiovascular outcomes. The combination of ischemia and VT identified a
particularly high-risk population for cardiovascular death (10.1% vs 3.0%, p
<0.001), SCD (7.8% vs 0.9%, p <0.001), and myocardial infarction (15.4% vs 6.2%,
p <0.001) compared to patients with neither. The addition of arrhythmia and
ischemia significantly improved the clinical model for predicting cardiovascular
death or SCD (p <0.001). In patients with both ischemia and VT, 66.6% of SCD
occurred within 90 days of the non-ST-segment elevation acute coronary syndromes.
In conclusion, in >6,300 patients with non-ST-segment elevation acute coronary
syndromes, the presence of myocardial ischemia or VT alone, and particularly in
combination, was independently associated with poor cardiovascular outcomes and
thus provides incremental improvement in early risk stratification.
PMID- 21890091
TI - A propensity-matched study of the comparative effectiveness of angiotensin
receptor blockers versus angiotensin-converting enzyme inhibitors in heart
failure patients age >= 65 years.
AB - The comparative effectiveness of angiotensin-converting enzyme (ACE) inhibitors
versus angiotensin II type 1 receptor blockers (ARBs) in real-world older heart
failure (HF) patients remains unclear. Of the 8,049 hospitalized HF patients aged
>= 65 years discharged alive from 106 Alabama hospitals, 4,044 received discharge
prescriptions of either ACE inhibitors (n = 3,383) or ARBs (n = 661). Propensity
scores for ARB use, calculated for each of 4,044 patients, were used to match 655
(99% of 661) patients receiving ARBs with 661 patients receiving ACE inhibitors.
The assembled cohort of 655 pairs of patients was well balanced on 56 baseline
characteristics. During >8 years of follow-up, all-cause mortality occurred in
63% and 68% of matched patients receiving ARBs and ACE inhibitors, respectively
(hazard ratio [HR] associated with ARB use 0.86, 95% confidence interval [CI]
0.75 to 0.99, p = 0.031). Among the 956 matched patients with data on the left
ventricular ejection fraction (LVEF), the association between ARB (vs ACE
inhibitor) use was significant in only 419 patients with LVEFs >= 45% (HR 0.65,
95% CI 0.51 to 0.84, p = 0.001) but not in the 537 patients with LVEFs < 45% (HR
1.00, 95% CI 0.81 to 1.23, p = 0.999; p for interaction = 0.012). HRs for HF
hospitalization associated with ARB use were 0.99 (95% CI 0.86 to 1.14, p =
0.876) overall, 0.80 (95% CI 0.63 to 1.03, p = 0.080) in those with LVEFs >=45%,
and 1.14 (95% CI 0.91 to 1.43, p = 0.246) in those with LVEFs <45% (p for
interaction = 0.060). In conclusion, in older HF patients with preserved LVEFs,
discharge prescriptions of ARBs (vs ACE inhibitors) were associated with lower
mortality and a trend toward lower HF hospitalization, findings that need
replication in other HF populations.
PMID- 21890092
TI - Mode of death and hospitalization from the Second Follow-up Serial Infusions of
Nesiritide (FUSION II) trial and comparison of clinical events committee
adjudicated versus investigator reported outcomes.
AB - The aim of this study was to evaluate the mode of death and hospitalizations in
advanced heart failure (HF) patients with renal dysfunction and to examine the
rate of concordance between events reported by the clinical events committee and
site investigators (using case report forms) in the Second Follow-Up Serial
Infusions of Nesiritide (FUSION II) trial. Little is known about the cause of
death and hospitalization in patients with advanced HF. FUSION II was a
randomized, double-blind, placebo-controlled trial evaluating outpatient
nesiritide infusions versus placebo, with 911 patients with advanced HF (New York
Heart Association class III or IV) and renal dysfunction enrolled. There were 151
deaths and 1,041 hospitalizations at 24 weeks. The clinical events committee
classified events as cardiac, renal, cardiorenal, other or noncardiovascular, or
unknown. Kappa statistics and McNemar tests were used to assess agreement
(overall and by individual modes of death and hospitalization indications). In
conclusion, the most common cause of death or hospitalization was cardiac
related, with 70% of deaths and 60% of hospitalizations due to cardiac causes.
There was 74% agreement (26% disagreement) on cardiac cause of death (kappa =
0.40, McNemar p = 0.001) and 75% agreement (25% disagreement) between the
investigators and the clinical events committee on cardiac classification for
hospitalization (kappa = 0.49, McNemar p <0.0001).
PMID- 21890093
TI - Effect of Joint National Committee VII report on hospitalizations for
hypertensive emergencies in the United States.
AB - Approximately 1% to 2% of patients with hypertension will have a hypertensive
emergency at some time in their life. However, no data are available on the
frequency of hospitalizations for a hypertensive emergency after the publication
of the Seventh Joint National Committee (JNC7) on the prevention, detection,
evaluation, and treatment of high blood pressure. We sought to explore the
changes in the frequency of hospitalizations and in-hospital mortality for
hypertensive emergencies before and after the JNC7 report. Using the Nationwide
Inpatient Sample from 2000 to 2007, adult patients (aged >=18 years) who were
hospitalized with a diagnosis of a hypertensive emergency were identified through
appropriate "International Classification of Diseases, 9th revision, Clinical
Modification" codes. A total of 456,259 hospitalizations with the diagnosis of
hypertensive emergency occurred from the start of calendar year 2000 to the end
of calendar year 2007. After adjusting for the United States census for 2000 and
American Community Survey estimates for 2007 for adults, the frequency of
hospitalizations with a hypertensive emergency increased in United States adults
from 101/100,000 in 2000 to 111/100,000 in 2007, an average increase of about
1.11%. Despite the increase in hospitalizations, the all-cause in-hospital
mortality rate decreased from 2.8% in the pre-JNC7 era to 2.6% in the post-JNC7
era (odds ratio 0.91, 95% confidence interval 0.86 to 0.96). In conclusion, the
results of the present study have shown that although the number of patients with
hypertensive emergency increased from 2000 to 2007, the mortality rates decreased
significantly after the JNC7 guidelines.
PMID- 21890095
TI - Cervical endometriosis: clinical character and management experience in a 27-year
span.
AB - OBJECTIVE: The purpose of this study was to analyze the clinicopathologic
characters and explore the possible cause of cervical endometriosis. STUDY
DESIGN: By retrospective review, among 13,566 cases of endometriosis that had
been treated in our hospital, 33 cases of pathologically proven cervical
endometriosis were extracted. RESULTS: Of 33 cases, 17 women had abnormal vaginal
bleeding or visible cervical lesions; the other 16 women had no obvious clinical
manifestations but were diagnosed retrospectively on histopathologic reports.
Vaginal delivery or curettage procedures had occurred in 84.8% of patients. Only
2 patients had undergone cervical surgery of cryotherapy or electric excision.
Seven cases were misdiagnosed before final surgery with the primary suspicion of
cervical myoma, inflammatory cyst, cervical polyp, uterine submucous myoma,
melanoma or melanin mole, and cervical cancer. Surgical treatment was performed
for all symptomatic patients. No recurrence was seen. CONCLUSION: Cervical
endometriosis should be distinguished from other benign or malignant cervical
lesions. Surgical excision is suggested for symptomatic patients.
PMID- 21890096
TI - Association between preterm delivery and subsequent C-reactive protein: a
retrospective cohort study.
AB - OBJECTIVE: We sought to determine whether giving birth preterm is associated with
raised maternal C-reactive protein (CRP) in later life and whether the
association is specific to indicated or spontaneous delivery. STUDY DESIGN: This
was a Scotland-wide retrospective cohort study of 1124 women who had a first
pregnancy resulting in a singleton, liveborn infant delivered between 24-43
weeks' gestation. Linear regression analysis was used to examine the association
between preterm delivery and subsequent CRP concentration. RESULTS: The
difference in CRP between women who delivered term and preterm was nonsignificant
on univariate analysis (beta coefficient 0.04, P = .18) but was statistically
significant following adjustment for potential confounders (beta coefficient
0.05, P < .05). On subgroup analysis the association was specific to women who
had had indicated preterm delivery (unadjusted beta coefficient 0.09, P < .01;
adjusted beta coefficient 0.09, P < .01). CONCLUSION: Women who undergo indicated
preterm delivery are at increased risk of raised CRP in later life.
PMID- 21890097
TI - Comment on: "de rerum natura" by Josef Fischer, MD.
PMID- 21890098
TI - Frailty predicts increased hospital and six-month healthcare cost following
colorectal surgery in older adults.
AB - BACKGROUND: The purpose of this study was to determine the relationship of
frailty and 6-month postoperative costs. METHODS: Subjects aged >= 65 years
undergoing elective colorectal operations were enrolled in a prospective
observational study. Frailty was assessed by a validated measure of function,
cognition, nutrition, comorbidity burden, and geriatric syndromes. Frailty was
quantified by summing the number of positive characteristics in each subject.
RESULTS: Sixty subjects (mean age, 75 +/- 8 years) were studied. Inpatient
mortality was 2% (n = 1). Overall, 40% of subjects (n = 24) were considered
nonfrail, 22% (n = 13) were prefrail, and 38% (n = 22) were frail. With advancing
frailty, hospital costs increased (P < .001) and costs from discharge to 6-months
increased (P < .001). Higher degrees of frailty were related to increased rates
of discharge institutionalization (P < .001) and 30-day readmission (P = .044).
CONCLUSIONS: A simple, brief preoperative frailty assessment accurately forecasts
increased surgical hospital costs and postdischarge to 6-month healthcare costs
after colorectal operations in older adults.
PMID- 21890099
TI - Mediastinal goiter: a comprehensive study of 60 consecutive cases with special
emphasis on identifying predictors of malignancy and sternotomy.
AB - BACKGROUND: We describe the clinical characteristics of patients with mediastinal
goiter and our principles in surgical management of this pathology; we also
identify the predictive factors of malignancy, sternotomy, and posterior
mediastinal extension. METHODS: We conducted a retrospective chart review of 60
patients with mediastinal goiter who underwent surgical intervention. RESULTS:
Major perioperative complications were recurrent laryngeal nerve sacrifice (3.3%)
and vagus nerve sacrifice (1.7%). A total of 12.7% of cases were malignant. The
presence of dysphonia increased the likelihood of malignancy (P = .02), and
malignancy was associated with a significant increase in sternotomy (P = .04) and
nerve sacrifice (P < .001) during surgery. A history of thyroidectomy was a
predictive factor for extension of the tumor to the posterior mediastinum (P =
.02). CONCLUSIONS: Presenting with dysphonia is a predictor of malignancy that
necessitates careful surgical planning because malignancy is associated with an
increase in nerve injury and sternotomy during surgery.
PMID- 21890100
TI - Response to: topical gentamicin does not provide any additional anastomotic
strength when combined with fibrin glue.
PMID- 21890101
TI - Response to: topical gentamicin does not provide any additional anastomotic
strength when combined with fibrin glue.
PMID- 21890102
TI - Increased skin autofluorescence after colorectal operation reflects surgical
stress and postoperative outcome.
AB - BACKGROUND: Abdominal surgery is a major oxidative stress effector. The increase
in oxidative stress has been related to postoperative complications. Oxidative
stress leads to the formation and accumulation of oxidation protein end products,
which exhibit autofluorescence (AF) and induce inflammatory reactions. METHODS:
Skin AF was assessed perioperatively in 40 consecutive colorectal surgery
patients until discharge. Duration of surgery, estimated blood loss, and urinary
production per hour were analyzed as measures of surgical stress. The clinical
occurrence of anastomotic leakage, systemic infections, and cardiopulmonary
complications within 30 days of surgery were analyzed. RESULTS: A perioperative
increase in skin AF of 19 +/- .2% was observed. Duration of operation and blood
loss were independently associated with the perioperative increase in skin AF.
Skin AF correlated with C-reactive protein levels postoperatively. American
Society of Anesthesiologists classification, duration of operation, and
preoperative and perioperative increases in AF were independently associated with
postoperative complications. CONCLUSIONS: This is the first study to demonstrate
an association between skin AF and surgical stress and outcomes, which may rate
the condition of a patient after operation.
PMID- 21890103
TI - Is aviation a good model to study human errors in health care?
PMID- 21890104
TI - [A non-fatal Nerium oleander self-poisoning: case report and discussion].
AB - Nerium oleander is potentially lethal plants after ingestion. We report a case of
poisoning by these plants. Our patient complained of nausea, vomiting, and
diarrhoea. He had bradycardia during first twelve hours. He was discharge after 3
days. All parts of these plants are toxic and contain a variety of cardiac
glycosides including oleandrin. In most cases, clinical management of poisoning
by N. oleander involves administration of activated charcoal and supportive care.
Digoxin specific Fab fragments are an effective treatment.
PMID- 21890105
TI - [Contribution of closed mitral commissurotomy in the surgery of rheumatic mitral
stenosis].
AB - The objective of this work was to study the indications, techniques and results
of closed heart mitral commissurotomy in patients with rheumatic mitral stenosis
in Morocco. METHODS: All patients who had undergone closed heart mitral
commissurotomy for rheumatic mitral stenosis, operated between 1999 and 2008 were
collected in this study. Mitral stenosis was diagnosed and evaluated using
Doppler echocardiography. Patients with commissural calcification, severe mitral
regurgitation, and surgical tricuspid or aortic valvular disease were excluded
from this study. RESULTS: Six hundred and twenty-five patients have been
collected. 62.2% were young with an age between 18 and 35 years and 491 (78.8%)
were female. Seventy-nine percent of patients had stage III or IV NYHA and were
in sinus regular rhythm. The closed heart mitral commissurotomy was performed for
all patients through a left thoracotomy using either digital or dual dilatation.
The mitral area was significantly increased postoperatively to 2.11 +/- 0.32 with
100% opening of the anterior commissure, while the posterior commissure was
opened only for 93.7% of patients. There were nine perioperative deaths (4.9%)
and all patients who died had severe mitral stenosis (<0.8 cm(2)) with an
elevated systolic pulmonary artery pressure (>60 mmHg). CONCLUSION: The closed
heart mitral commissurotomy provides excellent results in young patients with
rheumatic mitral stenosis.
PMID- 21890106
TI - [An exceptional cause of pacemaker dysfunction].
AB - Twiddler syndrome is a very rare and surprising complication of pacemaker
treatment. We report the case of a woman with psychiatric disease who presented
two cardiac arrests due to loss of ventricular capture. Finally, pacemaker
retropectoral implantation resolves the problem.
PMID- 21890107
TI - Preferential recruitment of bone marrow-derived cells to rat palatal wounds but
not to skin wounds.
AB - OBJECTIVE: To investigate the contribution of bone marrow-derived cells to oral
mucosa wounds and skin wounds. BACKGROUND: Bone marrow-derived cells are known to
contribute to wound healing, and are able to differentiate in many different
tissue-specific cell types. As wound healing in oral mucosa generally proceeds
faster and with less scarring than in skin, we compared the bone marrow
contribution in these two tissues. DESIGN: Bone marrow cells from GFP-transgenic
rats were transplanted to irradiated wild-type rats. After recovery, 4-mm wounds
were made in the mucoperiosteum or the skin. Two weeks later, wound tissue with
adjacent normal tissue was stained for GFP-positive cells, myofibroblasts (a
smooth muscle actin), activated fibroblasts (HSP47), and myeloid cells (CD68).
RESULTS: The fraction of GFP-positive cells in unwounded skin (19%) was larger
than in unwounded mucoperiosteum (0.7%). Upon wounding, the fraction of GFP
positive cells in mucoperiosteum increased (8.1%), whilst it was unchanged in
skin. About 7% of the myofibroblasts in both wounds were GFP-positive, 10% of the
activated fibroblasts, and 25% of the myeloid cells. CONCLUSIONS: The results
indicate that bone marrow-derived cells are preferentially recruited to wounded
oral mucosa but not to wounded skin. This might be related to the larger healing
potential of oral mucosa.
PMID- 21890108
TI - Relationship between glycemic subsets and generalized chronic periodontitis in
type 2 diabetic Brazilian subjects.
AB - OBJECTIVE: The aim of the present study was to evaluate the relationship between
glycemic subsets and clinical periodontal conditions in type 2 diabetic
Brazilians with generalized chronic periodontitis. DESIGN: Ninety-one Brazilians
with type 2 DM and generalized chronic periodontitis were involved in this study.
The clinical examination included full-mouth assessment of plaque index (PI),
bleeding on probing (BoP), probing depth (PD), suppuration (SUP), clinical
attachment level (CAL) and number of remaining teeth. Blood analyses were carried
out for glycated haemoglobin (HbA1c) and fasting plasma glucose (FPG). The
relationship between the extent of periodontitis, defined as the percentage of
sites with PD and CAL>=5 mm, and glycemic parameters were also analysed. In
addition, clinical parameters were compared amongst four (HbA1c levels <=7.5%,
7.6-9%, 9.1-11% and >11%) and two (<9% and >=9%) glycemic subsets. RESULTS: The
frequency of uncontrolled diabetic subjects (HbA1c>7.5%) was higher than well
controlled subjects (HbA1c<=7.5%). Amongst the clinical parameters evaluated,
only PI was positively correlated with the levels of HbA1c and FPG (p<0.05). The
number of remaining teeth was negatively associated with the levels of HbA1c
(p<0.05). In addition, PI was significantly higher in subjects presenting HbA1c
levels >11% and >=9% than those with HbA1c levels <=7.5% and <9%, respectively
(p<0.05). CONCLUSION: Although an increased frequency of the subjects with
generalized chronic periodontitis included presented type 2 uncontrolled DM, a
dose-response relationship between the severity and extension of periodontitis
and the glycemic control was not established in these subjects.
PMID- 21890109
TI - Differential sensitivity to psychostimulants across prefrontal cognitive tasks:
differential involvement of noradrenergic alpha1 - and alpha2-receptors.
AB - BACKGROUND: Psychostimulants improve a variety of cognitive and behavioral
processes in patients with attention-deficit/hyperactivity disorder (ADHD).
Limited observations suggest a potentially different dose-sensitivity of
prefrontal cortex (PFC)-dependent function (narrow inverted-U-shaped dose
response curves) versus classroom/overt behavior (broad inverted U) in children
with ADHD. Recent work in rodents demonstrates that methylphenidate (MPH;
Ritalin) elicits a narrow inverted-U-shaped improvement in performance in PFC
dependent tests of working memory. The current studies first tested the
hypothesis that PFC-dependent tasks, in general, display narrow dose sensitivity
to the beneficial actions of MPH. METHODS: The effects of varying doses of MPH
were examined on performance of rats in two tests of PFC-dependent cognition,
sustained attention and attentional set shifting. Additionally, the effect of
pretreatment with the alpha1-antagonist prazosin (.5 mg/kg) on MPH-induced
improvement in sustained attention was examined. RESULTS: MPH produced a broad
inverted-U-shaped facilitation of sustained attention and attentional set
shifting. Prior research indicates alpha1-receptors impair, whereas alpha2
receptors improve, working memory. In contrast, attentional set shifting is
improved with alpha1-receptor activation, whereas alpha2-receptors exert minimal
effects in this task. Given the similar dose sensitivity of sustained attention
and attentional set-shifting tasks, additional studies examined whether alpha1
receptors promote sustained attention, similar to attentional set shifting. In
these studies, MPH-induced improvement in sustained attention was abolished by
alpha1-receptor blockade. CONCLUSIONS: PFC-dependent processes display
differential sensitivity to the cognition-enhancing actions of psychostimulants
that are linked to the differential involvement of alpha1- versus alpha2
receptors in these processes. These observations have significant preclinical and
clinical implications.
PMID- 21890110
TI - A time-dependent history of mood disorders in a murine model of neuropathic pain.
AB - BACKGROUND: Chronic pain is clinically associated with the development of
affective disorders. However, studies in animal models of neuropathic pain are
contradictory and the relationship with mood disorders remains unclear. In this
study, we aimed to characterize the affective consequences of neuropathic pain
over time and to study potential underlying mechanisms. METHODS: Neuropathic pain
was induced by inserting a polyethylene cuff around the main branch of the right
sciatic nerve in C57BL/6J mice. Anxiety- and depression-related behaviors were
assessed over 2 months, using a battery of tests, such as elevated plus maze,
marble burying, novelty suppressed feeding, splash test, and forced swimming
test. Plasma corticosterone levels were assessed by radioimmunoassay. We also
investigated changes in cyclic adenosine monophosphate response element (CRE)
activity using CRE-LacZ transgenic mice. RESULTS: Mice developed anxiety-related
behavior 4 weeks after induction of the neuropathy, and depression-related
behaviors were observed after 6 to 8 weeks. Control and neuropathic mice did not
differ for basal or stress-induced levels of corticosterone or for hypothalamic
pituitary-adrenal axis negative feedback. After 8 weeks, the CRE-mediated
activity decreased in the outer granule layer of dentate gyrus of neuropathic
mice but not in the amygdala or in the anterior cingulate cortex. CONCLUSIONS:
Our results demonstrate that the affective consequences of neuropathic pain
evolve over time, independently from the hypothalamic-pituitary-adrenal axis,
which remains unaffected. CRE-mediated transcription within a limbic structure
was altered at later time points of the neuropathy. These experiments provide a
preclinical model to study time-dependent development of mood disorders and the
underlying mechanism in a neuropathic pain context.
PMID- 21890112
TI - Diverse excitatory and inhibitory synaptic plasticity outcomes in complex
horizontal circuits near a functional border of adult neocortex.
AB - The primary somatosensory cortex (SI) is topographically organized into a map of
the body. This organization is dynamic, undergoing experience-dependent
modifications throughout life. It has been hypothesized that excitatory and
inhibitory synaptic plasticity of horizontal intracortical connections
contributes to functional reorganization. However, very little is known about
synaptic plasticity of these connections; particularly the characteristics of
inhibitory synaptic plasticity, its relationship to excitatory synaptic
plasticity, and their relationship to the functional organization of the cortex.
To investigate this, we located the border between the forepaw and lower jaw
representation of SI in vivo, and used whole cell-patch electrophysiology to
record post-synaptic excitatory and inhibitory currents in complex horizontal
connections in vitro. Connections that remained within the representation
(continuous) and those that crossed from one representation to another
(discontinuous) were stimulated differentially, allowing us to examine
differences associated with the border. To induce synaptic plasticity, tetanic
stimulation was applied to either continuous or discontinuous pathways. Tetanic
stimulation induced diverse forms of excitatory and inhibitory synaptic
plasticity, with LTP dominating for excitation and LTD dominating for inhibition.
The border did not restrict plasticity in either case. In contrast, tetanization
elicited LTP of monosynaptic inhibitory responses in continuous, but not
discontinuous connections. These results demonstrate that continuous and
discontinuous pathways are capable of diverse synaptic plasticity responses that
are differentially inducible. Furthermore, continuous connections can undergo
monosynaptic inhibitory LTP, independent of excitatory drive onto interneurons.
Thus, coordinated excitatory and inhibitory synaptic plasticity of horizontal
connections are capable of contributing to functional reorganization.
PMID- 21890111
TI - Multivariate searchlight classification of structural magnetic resonance imaging
in children and adolescents with autism.
AB - BACKGROUND: Autism spectrum disorders (ASD) are neurodevelopmental disorders with
a prevalence of nearly 1:100. Structural imaging studies point to disruptions in
multiple brain areas, yet the precise neuroanatomical nature of these disruptions
remains unclear. Characterization of brain structural differences in children
with ASD is critical for development of biomarkers that may eventually be used to
improve diagnosis and monitor response to treatment. METHODS: We use voxel-based
morphometry along with a novel multivariate pattern analysis approach and
searchlight algorithm to classify structural magnetic resonance imaging data
acquired from 24 children and adolescents with autism and 24 age-, gender-, and
IQ-matched neurotypical participants. RESULTS: Despite modest voxel-based
morphometry differences, multivariate pattern analysis revealed that the groups
could be distinguished with accuracies of approximately 90% based on gray matter
in the posterior cingulate cortex, medial prefrontal cortex, and bilateral medial
temporal lobes-regions within the default mode network. Abnormalities in the
posterior cingulate cortex were associated with impaired Autism Diagnostic
Interview communication scores. Gray matter in additional prefrontal, lateral
temporal, and subcortical structures also discriminated between groups with
accuracies between 81% and 90%. White matter in the inferior fronto-occipital and
superior longitudinal fasciculi, and the genu and splenium of the corpus
callosum, achieved up to 85% classification accuracy. CONCLUSIONS: Multiple brain
regions, including those belonging to the default mode network, exhibit aberrant
structural organization in children with autism. Brain-based biomarkers derived
from structural magnetic resonance imaging data may contribute to identification
of the neuroanatomical basis of symptom heterogeneity and to the development of
targeted early interventions.
PMID- 21890113
TI - Alteration of protein expression profile following voluntary exercise in the
perilesional cortex of rats with focal cerebral infarction.
AB - Identification of functional molecules in the brain related to improvement of the
degree of paralysis or increase of activities will contribute to establishing a
new treatment strategy for stroke rehabilitation. Hence, protein expression
changes in the cerebral cortex of rat groups with/without voluntary exercise
using a running wheel after cerebral infarction were examined in this study.
Motor performance measured by the accelerated rotarod test and alteration of
protein expression using antibody microarray analysis comprised 725 different
antibodies in the cerebral cortex adjacent to infarction area were examined. In
behavioral evaluation, the mean latency until falling from the rotating rod in
the group with voluntary exercise for five days was significantly longer than
that in the group without voluntary exercise. In protein expression profile,
fifteen proteins showed significant quantitative changes after voluntary exercise
for five days compared to rats without exercise. Up-regulated proteins were
involved in protein phosphorylation, stress response, cell structure and
motility, DNA replication and neurogenesis (11 proteins). In contrast, down
regulated proteins were related to apoptosis, cell adhesion and proteolysis (4
proteins). Additional protein expression analysis showed that both growth
associated protein 43 (GAP43) and phosphorylated serine41 GAP43 (pSer41-GAP43)
were significantly increased. These protein expression changes may be related to
the underlying mechanisms of exercise-induced paralysis recovery, that is,
neurite formation, and remodeling of synaptic connections may be through the
interaction of NGF, calmodulin, PKC and GAP43. In the present study at least some
of the participation of modulators associated with the improvement of paralysis
might be detected.
PMID- 21890115
TI - The association of testosterone, sleep, and sexual function in men and women.
AB - Testosterone has been the focus of several investigations and review studies in
males, but few have addressed its effects on sleep and sexual function, despite
evidence of its androgenic effects on circadian activity in both sexes. Studies
have been conducted to understand how sleeping increases (and how waking
decreases) testosterone levels and how this rhythm can be related to sexual
function. This review addresses the inter-relationships among testosterone,
sexual function and sleep, including sleep-disordered breathing in both sexes,
specifically its effects related to sleep deprivation. In addition, hormonal
changes in testosterone that occur in the gonadal and adrenal axis with
obstructive sleep apnea and other conditions of chronic sleep deprivation, and
which consequently affect sexual life, have also been explored. Nevertheless,
hormone-associated sleep disruptions occur across a lifetime, particularly in
women. The association between endogenous testosterone and sex, sleep and sleep
disturbances is discussed, including the results of clinical trials as well as
animal model studies. Evidence of possible pathophysiological mechanisms
underlying this relationship is also described. Unraveling the associations of
sex steroid hormone concentrations with sleep and sexual function may have
clinical implications, as sleep loss reduces testosterone levels in males, and
low sex steroid hormone concentrations have been associated with sexual
dysfunction.
PMID- 21890114
TI - Information analysis of posterior canal afferents in the turtle, Trachemys
scripta elegans.
AB - We have used sinusoidal and band-limited Gaussian noise stimuli along with
information measures to characterize the linear and non-linear responses of
morpho-physiologically identified posterior canal (PC) afferents and to examine
the relationship between mutual information rate and other physiological
parameters. Our major findings are: 1) spike generation in most PC afferents is
effectively a stochastic renewal process, and spontaneous discharges are fully
characterized by their first order statistics; 2) a regular discharge, as
measured by normalized coefficient of variation (cv*), reduces intrinsic noise in
afferent discharges at frequencies below the mean firing rate; 3) coherence and
mutual information rates, calculated from responses to band-limited Gaussian
noise, are jointly determined by gain and intrinsic noise (discharge regularity),
the two major determinants of signal to noise ratio in the afferent response; 4)
measures of optimal non-linear encoding were only moderately greater than optimal
linear encoding, indicating that linear stimulus encoding is limited primarily by
internal noise rather than by non-linearities; and 5) a leaky integrate and fire
model reproduces these results and supports the suggestion that the combination
of high discharge regularity and high discharge rates serves to extend the linear
encoding range of afferents to higher frequencies. These results provide a
framework for future assessments of afferent encoding of signals generated during
natural head movements and for comparison with coding strategies used by other
sensory systems. This article is part of a Special Issue entitled: Neural Coding.
PMID- 21890116
TI - Synergic effect of diazepam and muscimol via presynaptic GABA(A) receptors on
glutamatergic evoked EPSCs.
AB - We investigated the functional roles of diazepam (DZP) at presynaptic GABA(A)
receptors on glutamatergic nerve terminals in contributing to glutamatergic
transmission evoked by single and/or paired-pulse focal electrical stimulation.
In mechanically dissociated rat hippocampal CA3 neurons with adherent
glutamatergic nerve terminals (boutons), namely 'synaptic bouton' preparation,
action potential-evoked excitatory postsynaptic currents (eEPSCs) were recorded
using conventional whole-cell patch configuration under voltage-clamp condition.
Selective activation of presynaptic GABA(A) receptors by muscimol (3-30MUM)
induced presynaptic inhibition: i.e. the decrease of amplitude and increase of
failure rate (Rf) and paired-pulse ratio (PPR) of eEPSCs which are sensitive to
bicuculline. DZP (10-100MUM) also induced such presynaptic inhibition, but the
bicuculline-insensitive effects were caused by inhibition of both voltage
dependent Na(+) and Ca(2+) channels. Muscimol (0.01-0.3MUM) or DZP (0.1-3MUM)
itself did not induce any currents at the low concentration used. However,
simultaneous application of muscimol and DZP at low concentrations induced a
significant bicuculline-sensitive presynaptic inhibition. Marked desensitization
of presynaptic inhibition was also caused by muscimol at higher concentrations
than 10MUM. The results suggest that in vivo conditions, activation of
presynaptic GABA(A) receptors could be readily available with a tiny amount of
DZP.
PMID- 21890117
TI - Involvement of the anterior thalamic radiation in boys with high functioning
autism spectrum disorders: a Diffusion Tensor Imaging study.
AB - BACKGROUND: Autism has been hypothesized to reflect neuronal disconnection.
Several recent reports implicate the key thalamic relay nuclei and cortico
thalamic connectivity in the pathophysiology of autism. Accordingly, we aimed to
focus on evaluating the integrity of the thalamic radiation and sought to
replicate prior white matter findings in Korean boys with high-functioning autism
spectrum disorders (ASD) using Diffusion Tensor Imaging (DTI). METHODS: We
compared fractional anisotropy (FA), mean diffusivity (MD), axial diffusivity
(AD) and radial diffusivity (RD) in 17 boys with ASD and 17 typically developing
controls in the anterior thalamic radiation (ATR), superior thalamic radiation
(STR), posterior thalamic radiation (PTR), corpus callosum (CC), uncinate
fasciculus (UF) and inferior longitudinal fasciculus (ILF). RESULTS: The two
groups were group-matched on age, IQ, handedness and head circumference. In whole
brain voxel-wise analyses, FA was significantly reduced and MD was significantly
increased in the right ATR, CC, and left UF in subjects with ASD (p<0.05,
corrected). We found significantly lower FA in right and left ATR, CC, left UF
and right and left ILF and significantly higher MD values of the CC in the ASD
group in region of interest-based analyses. We also observed significantly higher
RD values of right and left ATR, CC, left UF, left ILF in subjects with ASD
compared to typically developing boys and significantly lower AD values of both
ILF. Right ATR and right UF FA was significantly negatively correlated with total
SRS score within the ASD group (r=-.56, p=.02). CONCLUSIONS: Our preliminary
findings support evidence implicating disturbances in the thalamo-frontal
connections in autism. These findings highlight the role of hypoconnectivity
between the frontal cortex and thalamus in ASD.
PMID- 21890118
TI - Optimizing estimation of hemispheric dominance for language using magnetic source
imaging.
AB - The efficacy of magnetoencephalography (MEG) as an alternative to invasive
methods for investigating the cortical representation of language has been
explored in several studies. Recently, studies comparing MEG to the gold standard
Wada procedure have found inconsistent and often less-than accurate estimates of
laterality across various MEG studies. Here we attempted to address this issue
among normal right-handed adults (N=12) by supplementing a well-established MEG
protocol involving word recognition and the single dipole method with a sentence
comprehension task and a beamformer approach localizing neural oscillations.
Beamformer analysis of word recognition and sentence comprehension tasks revealed
a desynchronization in the 10-18Hz range, localized to the temporo-parietal
cortices. Inspection of individual profiles of localized desynchronization (10
18Hz) revealed left hemispheric dominance in 91.7% and 83.3% of individuals
during the word recognition and sentence comprehension tasks, respectively. In
contrast, single dipole analysis yielded lower estimates, such that activity in
temporal language regions was left-lateralized in 66.7% and 58.3% of individuals
during word recognition and sentence comprehension, respectively. The results
obtained from the word recognition task and localization of oscillatory activity
using a beamformer appear to be in line with general estimates of left
hemispheric dominance for language in normal right-handed individuals.
Furthermore, the current findings support the growing notion that changes in
neural oscillations underlie critical components of linguistic processing.
PMID- 21890119
TI - Reciprocal projections in hierarchically organized evolvable neural circuits
affect EEG-like signals.
AB - Modular architecture is a hallmark of many brain circuits. In the cerebral
cortex, in particular, it has been observed that reciprocal connections are often
present between functionally interconnected areas that are hierarchically
organized. We investigate the effect of reciprocal connections in a network of
modules of simulated spiking neurons. The neural activity is recorded by means of
virtual electrodes and EEG-like signals, called electrochipograms (EChG),
analyzed by time- and frequency-domain methods. A major feature of our approach
is the implementation of important bio-inspired processes that affect the
connectivity within a neural module: synaptogenesis, cell death, spike-timing
dependent plasticity and synaptic pruning. These bio-inspired processes drive the
build-up of auto-associative links within each module, which generate an areal
activity, recorded by EChG, that reflect the changes in the corresponding
functional connectivity within and between neuronal modules. We found that
circuits with intra-layer reciprocal projections exhibited enhanced stimulus
locked response. We show evidence that all networks of modules are able to
process and maintain patterns of activity associated with the stimulus after its
offset. The presence of feedback and horizontal projections was necessary to
evoke cross-layer coherence in bursts of -frequency at regular intervals. These
findings bring new insights to the understanding of the relation between the
functional organization of neural circuits and the electrophysiological signals
generated by large cell assemblies. This article is part of a Special Issue
entitled "Neural Coding".
PMID- 21890120
TI - Lesions and reversible inactivation of the dorsolateral caudate-putamen impair
cocaine-primed reinstatement to cocaine-seeking in rats.
AB - Recent evidence suggests that cocaine addiction may involve progressive drug
induced neuroplasticity of the dorsal striatum. Here, we examined the effects of
a) dorsolateral caudate putamen (dlCPu) lesions on cocaine self-administration,
extinction of responding, and subsequent reinstatement to cocaine-seeking, and b)
reversible inactivation of the dlCPu with GABA receptor agonists (baclofen and
muscimol) immediately prior to reinstatement testing. Male, Sprague-Dawley rats
self-administered cocaine (0.2mg/50MUl infusion, i.v.) along an FR1 schedule in
daily 2h sessions for 10days, whereby lever presses resulted in cocaine infusions
and presentation of a paired light-tone stimulus complex. After 14days of
abstinence, animals were returned to the self-administration chamber and lever
responding was recorded, but had no programmed consequences (relapse test).
Animals then underwent daily extinction, followed by reinstatement tests in the
presence of the conditioned cues, after a cocaine priming injection (10mg/kg), or
cues+cocaine prime. Lesions of the dlCPu failed to affect responding during self
administration, extinction, relapse, or cued-induced reinstatement. However,
lesioned animals showed reduced cocaine-seeking during cocaine-primed
reinstatement as compared to sham controls. Furthermore, reversible inactivation
of the dlCPu significantly impaired both cocaine-primed and cocaine-primed+cue
induced reinstatement. These results demonstrate the critical involvement of the
dlCPu in cocaine-primed reinstatement, perhaps via chronic drug-induced changes
in the interoceptive effects of cocaine that impact drug-seeking.
PMID- 21890121
TI - Immunohistochemical studies on disabled-2 protein in the spinal cords of rats
with experimental autoimmune encephalomyelitis.
AB - Disabled-2 (Dab-2), an adaptor protein of transforming growth factor beta (TGF
beta) signaling, was studied in the spinal cords of rats with experimental
autoimmune encephalomyelitis (EAE) to evaluate the possible involvement of Dab-2
in the pathogenesis of EAE using Western blot and immunohistochemical analyses.
Western blot analysis showed that two isoforms (p96 kDa and p67 kDa) of Dab-2
were detected in the spinal cords of rats used as controls. Both isoforms of Dab
2 were significantly elevated in the EAE spinal cord at the peak stage of EAE
(P<0.05) and declined at the recovery stage. However, only the p96 kDa isoform
was markedly phosphorylated in the EAE spinal cord. Immunohistochemistry showed
that Dab-2 and p-Dab-2 were detected in some vascular endothelial cells, glial
cells, and some neurons in the rat spinal cords of normal and immunized CFA-alone
controls. In EAE lesions, Dab-2 and p-Dab-2 were immunodetected in some
inflammatory cells (mainly in ED1-positive macrophages and R73-positive T cells),
while the enhanced immunoreactivity of Dab-2 in spinal cord cells suggested
constitutive expression. Additionally, TGF-beta1 immunoreactivity showed a
similar expression pattern of Dab-2 in EAE lesions. These findings suggest that
Dab-2 is transiently upregulated and phosphorylated (particularly the p96 kDa
isoform) in EAE, a CNS autoimmune disease, and may be involved in TGF-beta
signaling.
PMID- 21890122
TI - [Assessment of the surgical risk of 1,000 consecutive episodes using the POSSUM
system. Comparison between elective and emergency gastrointestinal surgery].
AB - INTRODUCTION: The aim of the present study was to assess the predictive capacity
of the POSSUM system in a Spanish university hospital, and to determine its
behaviour in elective gastrointestinal surgery and compare it with emergency
gastrointestinal surgery (operation < 24 hours). PATIENTS AND METHOD: A total of
1,000 surgical episodes corresponding to 909 patients who required hospital
admission, operated on under general or loco-regional anaesthesia, either in the
elective (n= 547 episodes) or the emergency setting (n= 453), were included in
the study. RESULTS: The overall morbidity was 31.9% (32.8% in elective surgery;
30.7% in emergency surgery). The discriminatory capacity of the POSSUM scale,
evaluated using receiver operating characteristic (ROC) curves, was higher for
the Portsmouth variant of mortality (Area Under the Curve [AUC] = 0,92) than for
morbidity (AUC= 0,74). The goodness of fit between the expected values using the
POSSUM scale and those observed was reduced for morbidity (Hosmer-Lemeshow [H-L]
= 164.1; p< 0.05). The POSSUM scale predicted a higher number of deaths than
those observed, although the Portsmouth variant was better at predicting
mortality. The goodness of fit for morbidity was better for elective
gastrointestinal surgery (H-L= 27.7) than emergency gastrointestinal surgery (H
L= 177.3). The logistic regression analysis identified (besides the estimated
risk using the POSSUM scale itself), surgical complexity, surgery type (elective,
emergency), and age of patient, as significant predictive factors of morbidity
and mortality. CONCLUSIONS: In a Spanish university hospital, the POSSUM system
adequately predicts morbidity risk in elective gastrointestinal surgery, and over
estimates morbidity risk in emergency gastrointestinal surgery.
PMID- 21890123
TI - Reporting and management of breast lesions detected using MRI.
AB - Magnetic resonance imaging (MRI) is the most accurate technique for diagnosing
and delineating the extent of both invasive and in-situ breast cancer and is
increasingly being used as part of the preoperative work-up to assess the local
extent of disease. It is proving invaluable in providing information that allows
successful single-stage surgery. An inevitable consequence of the high
sensitivity of MRI is that it will identify additional lesions that may or may
not represent significant extra disease. This may complicate and delay the
preoperative process. This paper outlines a strategy for managing MRI-detected
lesions to optimize the benefits of breast MRI as a local staging tool while
minimizing the false-positive diagnoses. It discusses the importance of good
technique to reduce the number of indeterminate lesions. Methods to refine the
patient pathway to minimize delays are discussed. The format of MRI reporting is
discussed in detail as is the usefulness of discussion of cases at
multidisciplinary meetings. Illustrative cases are used to clarify the points
made.
PMID- 21890124
TI - Variability in photos of the same face.
AB - Psychological studies of face recognition have typically ignored within-person
variation in appearance, instead emphasising differences between individuals.
Studies typically assume that a photograph adequately captures a person's
appearance, and for that reason most studies use just one, or a small number of
photos per person. Here we show that photographs are not consistent indicators of
facial appearance because they are blind to within-person variability. Crucially,
this within-person variability is often very large compared to the differences
between people. To investigate variability in photos of the same face, we
collected images from the internet to sample a realistic range for each
individual. In Experiments 1 and 2, unfamiliar viewers perceived images of the
same person as being different individuals, while familiar viewers perfectly
identified the same photos. In Experiment 3, multiple photographs of any
individual formed a continuum of good to bad likeness, which was highly sensitive
to familiarity. Finally, in Experiment 4, we found that within-person variability
exceeded between-person variability in attractiveness. These observations are
critical to our understanding of face processing, because they suggest that a key
component of face processing has been ignored. As well as its theoretical
significance, this scale of variability has important practical implications. For
example, our findings suggest that face photographs are unsuitable as proof of
identity.
PMID- 21890125
TI - Escaping capture: bilingualism modulates distraction from working memory.
AB - We ask whether bilingualism aids cognitive control over the inadvertent guidance
of visual attention from working memory and from bottom-up cueing. We compare
highly-proficient Catalan-Spanish bilinguals with Spanish monolinguals in three
visual search conditions. In the working memory (WM) condition, attention was
driven in a top-down fashion by irrelevant objects held in WM. In the Identify
condition, attention was driven in a bottom-up fashion by visual priming. In the
Singleton condition, attention was driven in a bottom-up fashion by including a
unique distracting object in the search array. The results showed that bilinguals
were overall faster than monolinguals in the three conditions, replicating
previous findings that bilinguals can be more efficient than monolinguals in the
deployment of attention. Interestingly, bilinguals were less captured by
irrelevant information held in WM but were equally affected by visual priming and
unique singletons in the search displays. These observations suggest that
bilingualism aids top-down WM-mediated guidance of attention, facilitating
processes that keep separate representations in WM from representations that
guide visual attention. In contrast, bottom-up attentional capture by salient yet
unrelated input operates similarly in bilinguals and monolinguals.
PMID- 21890126
TI - Automatic colposcopy video tissue classification using higher order entropy-based
image registration.
AB - Colposcopy is a well-established method to detect and diagnose intraepithelial
lesions and uterine cervical cancer in early stages. During the exam color and
texture changes are induced by the application of a contrast agent (e.g.3-5%
acetic acid solution or iodine). Our aim is to densely quantify the change in the
acetowhite decay level for a sequence of images captured during a colposcopy exam
to help the physician in his diagnosis providing new tools that overcome
subjectivity and improve reproducibility. As the change in acetowhite decay level
must be calculated from the same tissue point in all images, we present an
elastic image registration scheme able to compensate patient, camera and tissue
movement robustly in cervical images. The image registration is based on a novel
multi-feature entropy similarity criterion. Temporal features are then extracted
using the color properties of the aligned image sequence and a dual compartment
tissue model of the cervix. An example of the use of the temporal features for
pixel-wise classification is presented and the results are compared against
ground truth histopathological annotations.
PMID- 21890127
TI - [Wernicke encephalopathy in alcoholic patients].
AB - A 67-year old male was brought to the hospital by his family because he had been
suffering from somnolence, bradypsychia and gait disturbance for one week. He
lived alone, reported an ethanol intake higher than 100-120 g/day. His diet was
limited in quality and amount. The physical examination showed stigmata of
chronic liver disease. The neurological exam revealed right-side cerebellar
tremor, bilateral dysmetria and gait ataxia as well as hyporeflexia in the lower
limbs. He was diagnosed of Wernicke encephalopathy. How should this patient be
evaluated and treated?
PMID- 21890128
TI - [Venous thromboembolic disease and May-Thurner syndrome].
PMID- 21890129
TI - Melatonin protects human spermatozoa from apoptosis via melatonin receptor- and
extracellular signal-regulated kinase-mediated pathways.
PMID- 21890130
TI - Triple ultrasound markers including fetal cardiac activity are related to
miscarriage risk.
AB - OBJECTIVE: To identify early ultrasound markers in pregnant patients that predict
a favorable pregnancy outcome. DESIGN: Retrospective case-control study. SETTING:
Infertility patients in fertility clinic. PATIENT(S): 1051 women with early
pregnancies conceived after fertility treatment. INTERVENTION(S): None. MAIN
OUTCOME MEASURE(S): Ongoing pregnancy >20 weeks' gestation. RESULT(S): Ongoing
pregnancy rate was 90.5% for those pregnancies having early fetal cardiac
activity (odds ratio [OR] = 66.5). Gestational sac diameter >=12 mm was
associated with ongoing pregnancy rate of 91.9%. Small gestational sac diameter,
<8 mm, was associated with high miscarriage rate, 85.3%. Ongoing pregnancy rates
for yolk sac diameter <2 mm, 2-6 mm, and >6 mm were 20%, 89.2%, and 20%,
respectively (OR = 33.1, 2-6 vs <2 mm; OR = 33.1, 2-6 vs >6 mm). Ongoing
pregnancy rate was 94% when all three markers were present. CONCLUSION(S): On
postconception days 33-36, gestational sac diameter >=12 mm, yolk sac diameter 2
6 mm, and the presence of fetal cardiac activity were favorable markers.
PMID- 21890131
TI - Assessment of 1,2-propanediol (PrOH) genotoxicity on mouse oocytes by comet
assay.
AB - OBJECTIVE: To assess the genotoxicity of 1,2-propanediol (PrOH) on mouse oocytes
by comet assay. DESIGN: In vitro assay using murine model. SETTING:
Biogenotoxicology research laboratory. ANIMAL(S): CD1 female mice.
INTERVENTION(S): Three 40-oocyte groups were exposed to different PrOH
concentrations (5%, 7.5%, and 15%). Each concentration was tested during both
long and short exposures (1-2 hours and 1-5 minutes) in comparison with control
groups. DNA damage was evaluated by a single-cell gel electrophoresis assay, also
called "comet assay," and analyzed with Komet software. MAIN OUTCOME MEASURE(S):
DNA damage was quantified as Olive tail moment (OTM). Interpretation was done on
OTM with the use of chi(2). RESULT(S): High PrOH concentrations (7.5% and 15%)
induced significant DNA damage on mouse oocytes. The OTM chi(2) values were 4.16
+/- 0.40 and 6.80 +/- 0.4 with 7.5% PrOH at 1 and 2 hours, respectively, 24.35 +/
1.60 with 15% at 1 hour, and for 2h at 15% the DNA damage was too drastic to
calculate OTM chi(2). After 1 and 5 minutes, the OTM chi(2) values were,
respectively, 5.19 +/- 0.26 and 6.06 +/- 0.42 with 7.5%, and 7.53 +/- 0.33 and
16.81 +/- 0.67 with 15%. CONCLUSION(S): High concentrations of PrOH (7.5% and
15%) induced significant DNA damage on mouse oocytes, whatever the exposure
duration. These results should be interpreted with caution, because additional
data are needed to evaluate PrOH genotoxicity and DNA oocyte reparation after
exposure to high PrOH concentrations.
PMID- 21890132
TI - Subsequent alterations in the contractile property of the vas deferens according
to duration of spermatic cord torsion.
AB - OBJECTIVE: To determine whether twisting of the ipsilateral vas deferens results
in alteration of its contractility. DESIGN: Experimental study. SETTING:
University animal lab. ANIMAL(S): 24 male Wistar rats. INTERVENTION(S): All the
rats in the experimental groups underwent spermatic cord torsion. Durations of
torsion were 45 minutes, 3 hours, and 24 hours in groups 2, 3, and 4,
respectively. In groups 2 and 3, subgroups b were created to evaluate late
effects using in vitro pharmacological techniques. MAIN OUTCOME MEASURE(S): The
contractility of the vas deferens was evaluated in groups 1, 2a, 3a, and 4 right
after and in groups 2b and 3b 48 hours after the initial operation. RESULT(S):
Group 4 and subgroups 2b and 3a had significantly diminished responses compared
with the control group, whereas in subgroups 2a and 3b, the responses to
noradrenaline and to single-pulse field stimulation were not significantly
different. CONCLUSION(S): The impairment of contractility with the twisting of
the vas deferens might be another factor responsible for subfertility,
particularly that related to sperm transport. The unfavorable late change in
short duration of torsion may be the result of either ischemia and reperfusion
injury or sympathetic overactivation in the acute period of torsion.
PMID- 21890133
TI - Cryopreservation of blastocysts is the most feasible strategy in good responder
patients.
AB - OBJECTIVE: To assess on which day to cryopreserve and transfer thawed embryos in
good-responder patients by comparing the cycle outcomes of day 3 transfers vs
blastocysts formed through extended culture before or after cryopreservation.
DESIGN: Retrospective clinical study. SETTING: Private IVF center. PATIENT(S):
Frozen-thawed cycles (n = 2,531) who had ETs at day 3, 5, and 6 and post-thawed
extended culture of day 3 until day 5 or 6. INTERVENTION(S): None. MAIN OUTCOME
MEASURE(S): Primary outcomes were implantation and delivery rates. Secondary
outcomes were clinical pregnancy and miscarriage rates. RESULT(S): In thawing
cycles, embryos developing to blastocysts on day 5 through extended culture
before or after cryopreservation yielded higher rates of implantation (51.1% and
51.3%, respectively), clinical pregnancy (69.9% and 62.2%, respectively), and
delivery per thawing cycle (56.7% and 51%, respectively) accompanied by lower
miscarriage rates (15.2%, 16.4%, respectively) compared with day 3 transfers
(28.3%, 55.3%, 42.5%, 20.1%, respectively). Late-developing embryos formed before
or after cryopreservation resulted in compromised implantation (44.7% and 44.2%,
respectively), clinical pregnancy (59.9% and 45.9%, respectively), delivery per
thawing cycle (42.8% and 32.4%, respectively) and higher miscarriage rates (25.7%
and 23.5%, respectively) than day 5 embryos. CONCLUSION(S): The feasible strategy
in good responder patients appears to be the cryopreservation of blastocysts in
the fresh cycle. Retardation in development results in a compromised outcome
because of reduced inherent capacity of embryos.
PMID- 21890134
TI - Couples with unexplained subfertility and unfavorable prognosis: a randomized
pilot trial comparing the effectiveness of in vitro fertilization with elective
single embryo transfer versus intrauterine insemination with controlled ovarian
stimulation.
AB - OBJECTIVE: To evaluate the effectiveness of IVF with elective single embryo
transfer (IVF-eSET) vs. IUI with controlled ovarian stimulation (IUI-COS) as an
alternative treatment to reduce the risk for a multiple pregnancy. DESIGN:
Randomized pilot trial. SETTING: Three academic and six teaching hospitals in the
Netherlands. PATIENT(S): Couples with unexplained or mild male subfertility and
an unfavorable prognosis for natural conception. INTERVENTION(S): One cycle of
IVF-eSET or three cycles of IUI-COS. MAIN OUTCOME MEASURE(S): Ongoing pregnancy
per couple. RESULT(S): We randomly allocated 116 women to IVF-eSET (n = 58) or
IUI-COH (n = 58). There were 14 ongoing pregnancies (24%) in the IVF-eSET group
and 12 pregnancies (21%) in the IUI-COS group (relative ratio 1.17; 95%
confidence interval 0.60-2.30). There were two twin pregnancies in the IVF-eSET
group (14%) and two twin pregnancies and one triplet pregnancy in the IUI-COH
group (25%). CONCLUSION(S): In patients with unexplained or mild male
subfertility and a poor prognosis for natural conception, one cycle of IVF-eSET
might be as effective as three cycles of IUI-COS as primary treatment. Elective
single embryo transfer does not seem an effective strategy in preventing multiple
pregnancies in this particular population. In the future a strict SET policy
(i.e., compulsory SET) might be an option. Our trial provides evidence for the
feasibility and highlights the importance of a large definitive trial to
determine the effectiveness and side effects of both strategies.
PMID- 21890135
TI - Esophageal squamous papilloma with tentacular processes.
PMID- 21890136
TI - Pulmonary and peritoneal inflammatory findings in transgastric NOTES compared
with laparoscopy: pooled analysis from randomized porcine survival studies.
AB - BACKGROUND: Laparoscopy, which is a minimally invasive surgery, is associated
with decreased peritoneal adhesions and inflammatory response compared with
laparotomy. OBJECTIVE: To evaluate whether natural orifice transluminal
endoscopic surgery (NOTES) leads to an attenuated peritoneal response compared
with laparoscopy. DESIGN: Pooled histologic analysis from 2 randomized porcine
trials. SETTING: Laboratory. INTERVENTION: Histologic analysis of swine
undergoing diagnostic laparoscopy, diagnostic NOTES peritoneoscopy, NOTES with
transgastric mesh placement, or diagnostic endoscopy (no gastrotomy) followed by
laparoscopic mesh placement. MAIN OUTCOME MEASUREMENTS: The presence and grade of
inflammation in necropsy specimens of lung, liver, and spleen as reviewed by a
blinded veterinary pathologist. RESULTS: Four NOTES mesh animals exhibited mesh
infections at necropsy. Tissue from 48 swine were available for analysis.
Pulmonary inflammation, liver fibrosis, and spleen capsulitis were the primary
findings. No difference was seen in the incidence of each finding among groups.
The severity of the pulmonary inflammation in the laparoscopy group was
significantly higher than in the NOTES groups. The NOTES mesh group exhibited
significantly more severe liver fibrosis and spleen capsulitis. There was no
difference between clinical behavior, serum white blood cell count, or peritoneal
white blood cell count among groups in either study. Intra-abdominal pressures
during NOTES were lower than during laparoscopy. LIMITATIONS: Pooled analysis of
2 separate studies. CONCLUSION: More severe pulmonary inflammation was found in
animals undergoing longer laparoscopic procedures with higher intra-abdominal
pressures. Intraperitoneal inflammation was most significant with transgastric
mesh placement, likely caused by infections.
PMID- 21890138
TI - Identification and partial characterization of C-glycosylflavone markers in Asian
plant dyes using liquid chromatography-tandem mass spectrometry.
AB - Flavonoids in the grasses (Poaceae family), Arthraxon hispidus (Thunb.) Makino
and Miscanthus tinctorius (Steudel) Hackel have long histories of use for
producing yellow dyes in Japan and China, but up to now there have been no
analytical procedures for characterizing the dye components in textiles dyed with
these materials. LC-MS analysis of plant material and of silk dyed with extracts
of these plants shows the presence, primarily, of flavonoid C-glycosides, three
of which have been tentatively identified as luteolin 8-C-rhamnoside, apigenin 8
C-rhamnoside and luteolin 8-C-(4-ketorhamnoside). Two of these compounds,
luteolin 8-C-rhamnoside (M=432), apigenin 8-C-rhamnoside (M=416), along with the
previously known tricin (M=330) and several other flavonoids that appear in
varying amounts, serve as unique markers for identifying A. hispidus and M.
tinctorius as the source of yellow dyes in textiles. Using this information, we
have been able to identify grass-derived dyes in Japanese textiles dated to the
Nara and Heian periods. However, due to the high variability in the amounts of
various flavonoid components, our goal of distinguishing between the two plant
sources remains elusive.
PMID- 21890137
TI - Outcome of conjoined tendon and coracoacromial ligament transfer for the
treatment of chronic type V acromioclavicular joint separation.
AB - BACKGROUND: Numerous surgical methods are used to treat acromioclavicular (AC)
joint dislocations, and an anatomical reconstruction using a free tendon graft
has attracted considerable attention, particularly for chronic cases. The purpose
of this study was to introduce the results of lateral half conjoined tendon
(LHCT) and coracoacromial ligament (CAL) transfer for chronic type V injuries.
MATERIALS AND METHODS: A retrospective evaluation was performed on the clinical
and radiographic outcomes of the 12 patients who underwent LHCT and CAL transfer
for chronic type V AC injuries and had been followed for 2 years postoperatively.
All 12 patients were males with a mean age of 37.3 +/- 7.7 years (range: 26-49
years) at surgery. The causes of the injury were traffic accidents (five), falls
(three) and sports injuries (four). The mean time elapsed between trauma and
surgery was 12.5 +/- 5.4 weeks (range: 7-22 weeks). RESULTS: No reduction loss
was observed at the final follow-up. The postoperative coracoclavicular (CC)
distance was 8.9 +/- 1.6mm, which represented a significant improvement versus
the preoperative status (20.3 +/- 3.0mm; p < 0.001), and no significant
difference was observed between the injured and uninjured contralateral sides
(8.7 +/- 0.8mm), postoperatively (p = 0.619). The temporary use of a Steinman pin
for AC fixation did not cause any complications. On the other hand, there were
eight cases of mild radiographic arthrosis at the AC joint and two cases of
heterotopic ossification of the CC space, although neither affected the
functional outcomes. The mean modified UCLA score was 18.5 +/- 2.1 (range: 12
20), which represented an excellent result in 11 of the 12 cases. The single case
with a poorer postoperative score had a pre-existing brachial plexus injury.
CONCLUSIONS: Despite the small study cohort, the results of LHCT and CAL transfer
in chronic type V AC separation are promising. CAL transfer alone has been shown
to be biomechanically insufficient for an AC reconstruction, particularly in
chronic situations. The advantage of LHCT transfer is that it does not require a
distant donor site or incur the costs of an allograft or implant.
PMID- 21890139
TI - Detailed molecular characterization of castor oil ethoxylates by liquid
chromatography multistage mass spectrometry.
AB - The molecular characterization of castor oil ethoxylates (CASEOs) was studied by
reverse-phase liquid chromatography (RPLC) mass spectrometry (MS) and multistage
mass spectrometry (MS(n)). The developed RPLC method allowed the separation of
the various CASEO components, and especially, the baseline separation of multiple
nominal isobars (same nominal mass) and isomers (same exact mass). MS and MS(n)
were used for the determination and structure elucidation of various structures
and for the discrimination of the isobars and isomers. Different ionization
techniques and adduct ions were also tested for optimization of the MS detection
and the MS(n) fragmentation. A unique fragmentation pathway of ricinoleic acid is
proposed, which can be used as a marker of the polymerization process and the
topology of ethoxylation in the CASEO. In addition, characteristic neutral losses
of ricinoleic acid reveal its (terminal or internal) position in the molecule.
PMID- 21890140
TI - Protein UTLC-MALDI-MS using thin films of submicrometer silica particles.
AB - Slides for ultra thin-layer chromatography (UTLC) were made by coating nonporous
silica particles, chemically modified with polyacrylamide, as 15 MUm films on
glass or silicon. Three proteins, myoglobin, cytochrome c and lysozyme, are
nearly baseline resolved by the mechanism of hydrophilic interaction
chromatography. A plate height as low as 3 MUm, with 3900 plates, is observed in
14 mm. Varying silica particle diameter among 900, 700 and 350 nm showed that
decreasing particle diameter slightly improves resolution but slows the
separation. Matrix-assisted laser desorption/ionization (MALDI)-MS of the
proteins after separation is demonstrated by wicking sufficient sinapinic acid
into the separation medium.
PMID- 21890141
TI - A discontinuous Galerkin method to solve chromatographic models.
AB - This article proposes a discontinuous Galerkin method for solving model equations
describing isothermal non-reactive and reactive chromatography. The models
contain a system of convection-diffusion-reaction partial differential equations
with dominated convective terms. The suggested method has capability to capture
sharp discontinuities and narrow peaks of the elution profiles. The accuracy of
the method can be improved by introducing additional nodes in the same solution
element and, hence, avoids the expansion of mesh stencils normally encountered in
the high order finite volume schemes. Thus, the method can be uniformly applied
up to boundary cells without loosing accuracy. The method is robust and well
suited for large-scale time-dependent simulations of chromatographic processes
where accuracy is highly demanding. Several test problems of isothermal non
reactive and reactive chromatographic processes are presented. The results of the
current method are validated against flux-limiting finite volume schemes. The
numerical results verify the efficiency and accuracy of the investigated method.
The proposed scheme gives more resolved solutions than the high resolution finite
volume schemes.
PMID- 21890142
TI - Aptamer-targeted magnetic nanospheres as a solid-phase extraction sorbent for
determination of ochratoxin A in food samples.
AB - A sorbent based on the aptamer for ochratoxin A was immobilized onto magnetic
nanospheres (MNS) and used to develop a magnetic solid-phase extraction procedure
to clean up food samples in conjunction with high-performance liquid
chromatography separation and fluorescence detection. Specific retention of
ochratoxin A by the sorbent was demonstrated, and the capacity of the MNS-aptamer
sorbent was determined. The efficacy of this new approach was successfully
evaluated through comparison with solid-phase extraction on commercial C18
cartridge. Several different food samples fortified in the range of with 2.5-50
MUg/kg yielded mean recoveries from 67% to 90%, respectively. Finally, this
oligosorbent was applied to the selective extraction of ochratoxin A from
unfortified food samples.
PMID- 21890144
TI - Use of isopycnic plots in designing operations of supercritical fluid
chromatography. III: reason for the low column efficiency in the critical region.
AB - This paper discusses the origins of efficiency loss in supercritical fluid
chromatography (SFC) when analyses are carried out in the low pressure
supercritical region of carbon-dioxide, close to its critical point. Recent
publications have shown strong evidence of radial thermal heterogeneity inside an
SFC column and suggested that it leads to peak-shape distortion and greatly
reduces the column efficiency. We demonstrate that the physico-chemical
properties of CO(2) close to the critical point are such that formation of
thermal heterogeneity inside the column is highly probable and could cause the
observed efficiency loss. Consideration of isopycnic plots of CO(2) permits clear
identification of the problematic region and explains why these properties of
CO(2) are primarily responsible for the often perplexing efficiency losses taking
place during the SFC operations.
PMID- 21890143
TI - Protein adsorption and transport in dextran-modified ion-exchange media. III.
Effects of resin charge density and dextran content on adsorption and
intraparticle uptake.
AB - Custom-synthesized variants of the commercial Capto S resin were used to examine
the effects of resin charge density and dextran content on protein adsorption and
intraparticle uptake. For the small protein lysozyme, resin charge density had
the greatest effect on equilibrium capacity, consistent with calculations
suggesting that lysozyme capacity should be limited by the available charge on
the resin. Isocratic retention data and confocal microscopy imaging for this
protein revealed a consistent ordering of the resins linking stronger protein
resin interactions with higher static capacities but slower intraparticle uptake
rates over the range of properties studied. For the larger protein lactoferrin,
it was found that increasing dextran content led to increased protein exclusion
from the dextran layer, but that increasing resin charge density helped overcome
the exclusion, presumably due to the increased electrostatic attraction between
the resin and protein. Collectively examining the lysozyme and lactoferrin data
along with information from previous studies suggests that a trade-off in
maximizing dynamic capacities should exist between static capacities that
increase to a finite extent with increased resin charge density and uptake rates
that decrease with increased charge density. Column breakthrough data for
lysozyme and lactoferrin appear to support the hypothesis, though it appears that
whether a resin charge density is low or high must be considered in relation to
the protein charge density. Using these trends, this work could be useful in
guiding resin selection or design.
PMID- 21890145
TI - A sensitive and simple ultra-high-performance-liquid chromatography-tandem mass
spectrometry based method for the quantification of D-amino acids in body fluids.
AB - D-Amino acids are increasingly being recognized as important signaling molecules
in mammals, including humans. D-Serine and D-aspartate are believed to act as
signaling molecules in the central nervous system. Interestingly, several other D
amino acids also occur in human plasma, but very little is currently known
regarding their function and origin. Abnormal levels of D-amino acids have been
implicated in the pathogenesis of different diseases, including schizophrenia and
amyotrophic lateral sclerosis (ALS), indicating that D-amino acid levels hold
potential as diagnostic markers. Research into the biological functions of D
amino acids is hindered, however, by the lack of sufficiently sensitive, high
throughput analytical methods. In particular, the interference of large amounts
of L-amino acids in biological samples and the low concentrations of D-amino
acids are challenging. In this paper, we compared 7 different chiral
derivatization agents for the analysis of D-amino acids and show that the chiral
reagent (S)-NIFE offers outstanding performance in terms of sensitivity and
enantioselectivity. An UPLC-MS/MS based method for the quantification of D-amino
acids human biological fluids was then developed using (S)-NIFE. Baseline
separation (R(s)>2.45) was achieved for the isomers of all 19 chiral
proteinogenic amino acids. The limit of detection was <1 nM for all amino acids
except d-alanine (1.98 nM), d-methionine (1.18 nM) and d-asparagine (5.15 nM).
For measurements in human plasma, cerebrospinal fluid and urine, the accuracy
ranged between 85% and 107%. The intra-assay and inter-assay were both <16% RSD
for these three different matrices. Importantly, the method does not suffer from
spontaneous racemization during sample preparation and derivatization. Using the
described method, D-amino acid levels in human cerebrospinal fluid, plasma and
urine were measured.
PMID- 21890146
TI - Size tuning of luminescent silicon nanoparticles with meso-porous silicon
membranes.
AB - Size tuning of silicon (Si) nanoparticles (NPs) with the use of meso-porous
silicon (meso-PS) free-standing layers is reported for the first time.
Accumulation of Si NPs inside the membrane pores during the filtering process (NP
transport through the meso-PS) leads to an auto-filtration effect (called Si-by
Si (SBS) filtration) allowing more efficient size selection of the NPs. General
complex fractal shape and surface chemistry of the whole porous network, layer
thickness as well as a given initial NP size dispersion determine final size of
the NPs in the filtered solution. Moreover, quantum of step-like NP size
increasing equal to 0.12 nm was found.
PMID- 21890148
TI - Safe at home?
PMID- 21890147
TI - Markers of severe vaso-occlusive painful episode frequency in children and
adolescents with sickle cell anemia.
AB - OBJECTIVE: To identify factors associated with frequent severe vaso-occlusive
pain crises in a contemporary pediatric cohort of patients with sickle cell
anemia (SCA) enrolled in a prospective study of pulmonary hypertension and the
hypoxic response in sickle cell disease. STUDY DESIGN: Clinical and laboratory
characteristics of children with SCA who had >=3 severe pain crises requiring
health care in the preceding year were compared with those of subjects with <3
such episodes. RESULTS: Seventy-five children (20%) reported >=3 severe pain
episodes in the preceding year, and 232 (61%) had none. Frequent pain episodes
were associated with older age (OR, 1.2; 95% CI, 1.1-1.3; P < .0001), alpha
thalassemia trait (OR 3.5; 1.6-6.7; P = .002), higher median hemoglobin (OR 1.7;
95% CI: 1.2-2.4; P < .003), and lower lactate dehydrogenase concentration (OR
1.82; 95% CI: 1.07-3.11; P = .027). Children with high pain frequency also had an
increased iron burden (serum ferritin, 480 vs 198 MUg/L; P = .006) and higher
median tricuspid regurgitation jet velocity (2.41 vs 2.31 m/s; P = .001). Neither
hydroxyurea use nor fetal hemoglobin levels were significantly different
according to severe pain history. CONCLUSIONS: In our cohort of children with
SCA, increasing age was associated with higher frequency of severe pain episodes
as were alpha-thalassemia, iron overload, higher hemoglobin and lower lactate
dehydrogenase concentration, and higher tricuspid regurgitation velocity.
PMID- 21890150
TI - In vitro comparison of different mechanical prostheses suitable for replacement
of the systemic atrioventricular valve in children.
AB - OBJECTIVE: The aim of the present study was to compare the hydrodynamics of 4
different mechanical prostheses fitting the atrioventricular annulus in children.
METHODS: We tested different inverted aortic prostheses with a prosthesis-annulus
relationship in the mitral chamber of the Sheffield pulse duplicator (Department
of Medical Physics and Clinical Engineering, Royal Hallamshire Hospital,
Sheffield, UK), analyzed by comparing the prosthetic housing diameter and the
predicted annulus diameter based on body surface area (0.8 and 1 m(2)
corresponding to an annulus diameter of 18.8-20.2 mm). The On-X 19 (On-X Life
Technologies, Inc, Austin, Tex), SJM Regent 19 (St Jude Medical Inc, St Paul,
Minn), Sorin Overline 18 (Sorin Biomedica, Saluggia, Italy), and Medtronic
Advantage Supra 19 (Medtronic Inc, Minneapolis, Minn) valves with a housing
diameter of 19 to 20 mm were hydrodynamically compared. The tests were carried
out at increasing pulse rate of 72, 80, 100, and 120 beats/min for a stroke
volume of 20 and 30 mL. Therefore, cardiac output ranged from 1.44 to 3.6 L/min.
RESULTS: Regardless of the pulse rate and stroke volume, the Medtronic Advantage
Supra valve showed the highest mean diastolic pressure difference at each cardiac
output (P < .05). The mean gradients were significantly lower for the Sorin
Overline valve regardless of the cardiac output, stroke volume, and pulse rate (P
< .05). The effective orifice areas observed followed exactly the same behavior:
the lowest for the Medtronic Advantage Supra valve and the highest for the Sorin
Overline valve. The Sorin Overline valve showed the highest closure volumes (P <
.05), and the On-X prosthesis showed the highest leakage volumes (P < .05). The
Sorin Overline valve had the highest total regurgitant volume (P < .05), and the
Medtronic Advantage Supra valve had the lowest total regurgitant volume (P <
.05). The On-X valve showed the highest total energy loss regardless of the pulse
rate at 20 mL of stroke volume, which was comparable to the SJM Regent and Sorin
Overline valves at increased stroke volume. The Medtronic Advantage Supra valve
showed the lowest total energy loss regardless of cardiac outputs (P < .05).
CONCLUSIONS: This hydrodynamic evaluation model allowed us to compare the
efficiency of currently available valve prostheses suitable for atrioventricular
replacement in children. Among these prostheses, the Sorin Overline valve showed
the best diastolic performance. On the other hand, for total energy loss, the
Medtronic Advantage Supra valve demonstrated excellent performance.
PMID- 21890149
TI - Maternal smoking during pregnancy and anger temperament among adult offspring.
AB - Maternal smoking during pregnancy has been consistently associated with
aggressive behaviors among offspring across the life course. We posit that anger,
as a precedent of aggression, may have mediated the association. The current
study examines the relation between maternal smoking during pregnancy and anger
proneness among the adult offspring. Participants were 611 adult offspring (ages
38-48 years) of mothers enrolled in the Collaborative Perinatal Project between
1959 and 1966 in Boston and Providence. Information on maternal smoking during
pregnancy was collected during prenatal visits. Spielberger's trait anger scale
was used to measure anger proneness which has two components: anger temperament
and angry reaction. Results from the full sample analyses showed that offspring
whose mother smoked one pack or more per day on average scored 1.7 higher in
anger temperament T scores in comparison to offspring whose mother never smoked
during pregnancy (beta=1.7, 95% Confidence Interval (CI): 0.1, 3.2). The fixed
effects analyses among siblings that accounted for more confounding found a
greater effect of around one standard deviation increase in anger temperament T
scores corresponding to maternal smoking of one pack or more (beta=7.4, 95% CI:
0.5, 14.4). We did not observe an association of maternal smoking during
pregnancy with offspring angry reaction or other negative emotions including
anxiety and depression. We concluded that prenatal exposure to heavy cigarette
smoke was associated with an increased level of anger temperament, a stable
personality trait that may carry the influence of prenatal smoking through the
life course.
PMID- 21890151
TI - An exploratory study of boarding home sanctions and compliance in Washington
State.
AB - States vary in enforcement systems that monitor the quality of care in
residential boarding homes. The growing number of people seeking long-term care
services in boarding homes requires regulatory systems that are effective in
quality assurance enforcement. This 6 year retrospective study describes the
characteristics of 601 sanctioned and nonsanctioned homes in the state of
Washington and evaluates the effectiveness of enforcement actions such as
intermediate sanctions on future boarding home compliance. The intermediate
sanctions evaluated are stop placement of admissions, civil fines, and conditions
placed on licenses. Boarding homes that were sanctioned tended to be homes that
were for-profit and had governmental contracts for Medicaid services. Homes that
remained sanctioned throughout the 6 year study tended to be homes that were
individual ownership corporations, had smaller numbers of licensed beds, and did
not provide nursing services. Intermediate sanctions were found to vary in
effectiveness. Conditions placed on licenses were the most effective intermediate
sanction, and civil fines the least effective. Higher citation numbers and the
most severe level of complaint types were found to be predictors of becoming a
sanctioned boarding home.
PMID- 21890152
TI - Improvement of the nutritional quality of foods as a public health tool.
AB - OBJECTIVES: To assess the potential contribution of improving the nutritional
quality of processed foods on individuals' nutritional intake and food supply.
This paper also discusses the means to encourage firms to implement these
reformulations, particularly in public/private partnerships. STUDY DESIGN: The
French Observatory of Food Quality was created by the Government for the
quantification and follow-up of food reformulation by the food industry. This
nutritional composition database on branded products was matched with two
consumption databases: TNS Kantar Worldpanel, which provides details on
quantities bought and food expenditures; and INCA 2, an individuals' food
consumption survey completed by the French Food Safety Agency. Three food groups
were considered: breakfast cereals (355 items in 2008), biscuits and pastries
(1805 items in 2008), and bread-based products (620 items in 2009). METHODS:
First, the variability in nutrient composition within food categories was
determined, which made it possible to consider several food composition
modification scenarios within each category. The formulation of the food items
with the lowest nutritional quality was modified to three different levels to
improve the overall level of quality in a given category. Second, the quantities
of sugars, fat, fibre and sodium delivered to the French market through breakfast
cereals, biscuits, pastries and bread-based products were calculated for each
scenario. Finally, the distribution of individuals' nutrient consumption from the
three food groups among the French population was assessed. RESULTS: These
scenarios generated important improvements of 1-22% (increase in the amount of
fibre or decrease in the amounts of sugars, fat and sodium delivered to the
market), depending on the scenario, the food group and the nutrient considered.
Improvement of the products with the lowest nutritional quality would also lead
to significant variation in individuals' nutrient consumption for the average
adult and child consumers of the three groups (range 4.2-18.8%, depending on the
scenario, the food group and the nutrient considered). CONCLUSION: Encouraging
the reformulation of foods, especially for products with the lowest nutritional
quality in each category of processed foods, is a worthy target for health policy
makers. The methodology presented in this paper provides information for
negotiations between policy makers and firms to quantify commitments in terms of
their potential impacts on individuals' nutrient intake, and to check that the
firms' commitments are actually met.
PMID- 21890154
TI - A multicancer-like syndrome in a dog characterized by p53 and cell cycle
checkpoint kinase 2 (CHK2) mutations and sirtuin gene (SIRT1) down-regulation.
AB - INTRODUCTION: We have investigated SIRT1, p53 and cell cycle-checkpoint kinase 2
(CHK2) gene dysfunction in a dog with a multicancer syndrome-like in order to
evaluate their potential role in the determinism of the disease and to establish
a possible correlation between SIRT1 transcript level and p53 expression status.
MATERIAL AND METHODS: Blood sample and tumour samples from a pure breed English
Setter dog with different tumours were used for this study. Nucleotide sequence
analysis was performed with a DNA autosequencer in order to examine p53 and CHK2
mutations. In addition, the expression level of SIRT1 was quantified by Southern
Blot analysis of Reverse Transcriptase-Polymerase Chain Reaction (RT-PCR).
RESULTS: Cytological examination revealed five different tumours: a cutaneous
sebaceous epithelioma, a cutaneous mast cell tumour, a testicular Sertoli cell
tumour, an oral malignant melanoma, and a cutaneous squamous cell carcinoma.
Sequencing analysis revealed the presence of a nucleotide substitution, (CGG>CAG)
exon 7 of the p53 gene in DNA from peripheral blood mononuclear cells (PBMCs) as
well as in the melanoma; whereas the other four cancers showed the loss of the
wild-type allele. Furthermore, CHK2 mutation at codon 311 has been identified in
the melanoma and sebaceous epithelioma. In addition, SIRT1 cDNA expression
decreased in all tumour samples compared to cDNA SIRT1expression level in
peripheral blood mononuclear cells (PBMCs) in the same dog. CONCLUSIONS: These
results suggest that the germ line mutation of the p53 gene at codon 248 might
be, at least, one cause of the multicancer syndrome-like in our dog; furthermore,
we show a possible correlation between SIRT1 transcript level and p53 mutations
status. The regulatory role of SIRT1 in tumour suppressor pathways suggests that
the net effect seen may represent both direct and indirect downstream regulation
and it is likely to depend on the presence or absence of functional p53.
PMID- 21890155
TI - Mathematical modeling of glioma on MRI.
AB - The advent of Magnetic Resonance Imaging (MRI) has enabled quantification of
glioma growth with millimetric accuracy. Thus, it is now possible to monitor the
growth curve of tumor diameter for each patient. Mathematical modeling
contributes to the analysis of these curves and to determining individual
parameters characterizing tumor dynamics. We will focus on the most studied
model, based on a proliferation-diffusion equation. We will review how this
approach, when applied to low-grade gliomas, has enabled defining a new way to
quantify their natural history, leading to the inclusion of tumor kinetics among
prognostic factors. Finally, quantitative imaging coupled with mathematical
modeling is opening new avenues in our understanding of treatment effects,
allowing to optimize therapeutic strategies for gliomas in the near future.
PMID- 21890156
TI - New concepts in paraneoplastic neurological syndromes.
AB - Paraneoplastic neurological syndromes (PNS) are rare diseases defined so far by
the presence of a neurological disorder associated with cancer in the absence of
invasion of the nervous system by tumor cells. Discovery of circulating
autoantibodies specific for these patients has revolutionized the diagnosis and
understanding of these syndromes and demonstrated a role of the immune system in
the neurological syndromes. Until recent years, we thought that these
autoantibodies were only markers of the disease and had no role in the
pathophysiology. The recent description of autoantibodies directed against
membrane receptors or channels and playing a direct pathological role has
transformed the concept of PNS. Especially, it appears that many patients may
have a neurological syndrome and autoantibodies without cancer. This results in a
classification based on the nature of the autoantibodies associated with
neurological syndrome. In case of autoantibodies targeting intracellular
antigens, cancer is almost always associated, the neurological disorders are
mainly related to neuronal death, patients are rarely sensitive to
immunomodulatory treatments and cellular immunity appears to play a major role.
In contrast, patients with autoantibodies targeting membrane antigens (receptors,
channels or receptor associated proteins) have rarely cancer, neurological
disorders are related to a reversible neuronal dysfunction, patients are mostly
sensitive to immunomodulatory treatments and it seems that humoral immunity and
autoantibodies play a major role.
PMID- 21890157
TI - [Glioblastoma in the elderly].
AB - The incidence of malignant gliomas in the aging population of industrialized
countries is increasing. This observation justifies an important ongoing clinical
research effort specifically dedicated to this population. The first results of
prospective studies have showed the interest of radiotherapy and chemotherapy
with temozolomide. The effect of combined concomitant and adjuvant chemotherapy
with radiotherapy is currently being evaluated in a phase III study. The likely
beneficial effect of surgical resection needs to be formally demonstrated in this
fragile population. Initial functional status, quality of life and concomitant
systemic pathologies are important factors to tailor the treatment according to
patients status.
PMID- 21890158
TI - Brain tumor epilepsy: a reappraisal and six remaining issues to be debated.
AB - Epilepsy associated with brain tumors presents with specific features deserving
medical attention. Although commonly reported in patients with brain tumor,
either as revealing mode or as a remote complication, limited knowledge is
available regarding their epidemiology, clinical evolution, surgical outcome,
physiopathology and treatment, providing only clues for clinical management.
Seizures appear even more threatening for patients and caregivers, providing
seizures could mean tumor progression and recurrence. This factor adds to the
negative impact of epilepsy carried on quality of life measures. Pharmacotherapy
is complicated by the use of chemotherapy and interaction between antiepileptic
drugs and antineoplastic agents are frequent and potentially harmful. The high
incidence of epilepsy enlights the question of prophylaxy with antiepileptic
drugs, in patients without seizures, or during the perioperative period, and
after surgery, when gross total resection has been achieved. This article
attempts to provide the reader with an overview of brain tumor epilepsy in its
specific aspects and to comment on some remaining issues.
PMID- 21890159
TI - Wild, synanthropic and domestic hosts of Leishmania in an endemic area of
cutaneous leishmaniasis in Minas Gerais State, Brazil.
AB - Domestic, synanthropic and wild hosts of Leishmania spp. parasites were studied
in an area endemic for American tegumentary leishmaniasis (ATL), specifically in
northern Minas Gerais State, Brazil. Domestic dogs and small forest mammals are
reservoir hosts for L. (Leishmania) infantum. However, the role that these
animals play in the transmission cycle of the Leishmania spp. that cause
cutaneous leishmaniasis is not well known. This study evaluated 72 rodents, 25
marsupials and 98 domestic dogs found in two villages of the Xakriaba Indigenous
Territory, an area of intense ATL transmission. A total of 23 dogs (23.47%) were
shown to be positive according to at least one test; 8 dogs (8.16%) tested
positive in a single serological test and 15 dogs (15.31%) tested positive by
IFAT and ELISA. Eleven dogs were euthanised to allow for molecular diagnosis, of
which nine (81.8%) tested positive by PCR for Leishmania in at least one tissue.
Seven animals were infected only with L. (L.) infantum, whilst two displayed a
mixed infection of L. (L.) infantum and L. (V.) braziliensis. Isoenzymatic
characterisation identified L. (L.) infantum parasites isolated from the bone
marrow of two dogs. Of the 97 small mammals captured, 24 tested positive for
Leishmania by PCR. The results showed that L. (V.) braziliensis, L. (L.) infantum
and L. (V.) guyanensis are circulating among wild and synanthropic mammals
present in the Xakriaba Reserve, highlighting the epidemiological diversity of
ATL in this region.
PMID- 21890160
TI - Evaluation of the safety and tolerability of a short higher-dose primaquine
regimen for presumptive anti-relapse therapy in healthy subjects.
AB - The safety and tolerability of primaquine (PQ) administered as a short higher
dose (30mg twice daily for 7 days) regimen in 203 Australian Defence Force
personnel was evaluated in an open-label presumptive anti-relapse therapy study.
No clinically significant differences were measured in the subjects'
haematological and biochemical indices before and after PQ treatment. The most
common adverse events were nausea, abdominal pain, headache and insomnia, many of
which were mild in severity (30%; 60/203) and transient; 19% of subjects (39/203)
experienced moderate (with some interference with daily duties requiring no or
minimal medical therapy) adverse events. Two subjects (1%) had severe
gastrointestinal adverse events requiring cessation of medication, but neither
was seriously ill. Ten subjects (5%) had peripheral cyanosis (blueness of the
lips), but none reported any respiratory compromise. These findings suggest that
the short higher-dose PQ regimen is safe and well tolerated, which could improve
PQ compliance and effectiveness.
PMID- 21890161
TI - Massive enlargement of a paraumbilical vein in a patient with advanced portal
hypertension.
PMID- 21890162
TI - Solution to the influence of the MSSW propagating velocity on the bandwidths of
the single-scale wavelet-transform processor using MSSW device.
AB - The objective of this research was to investigate the possibility of solving the
influence of the magnetostatic surface wave (MSSW) propagating velocity on the
bandwidths of the single-scale wavelet transform processor using MSSW device. The
motivation for this work was prompted by the processor that -3dB bandwidth varies
as the propagating velocity of MSSW changes. In this paper, we present the
influence of the magnetostatic surface wave (MSSW) propagating velocity on the
bandwidths as the key problem of the single-scale wavelet transform processor
using MSSW device. The solution to the problem is achieved in this study. we
derived the function between the propagating velocity of MSSW and the -3dB
bandwidth, so we know from the function that -3dB bandwidth of the single-scale
wavelet transform processor using MSSW device varies as the propagating velocity
of MSSW changes. Through adjusting the distance and orientation of the permanent
magnet, we can implement the control of the MSSW propagating velocity, so that
the influence of the MSSW propagating velocity on the bandwidths of the single
scale wavelet transform processor using MSSW device is solved.
PMID- 21890163
TI - Porcine circovirus genotype 2a (PCV2a) and genotype 2b (PCV2b) recombinant
mutants showed significantly enhanced viral replication and altered antigenicity
in vitro.
AB - Two recombinant mutants of porcine circovirus type 2 (PCV2), which resulted from
replacement of a genomic fragment containing the open reading frame 2 (ORF2) of
genotype PCV2b with that of genotype PCV2a, were obtained initially from co
infection with PCV2a and 2b genotype viruses in vitro. The two mutant viruses
contained the ORF1 sequence from genotype PCV2b and the ORF2 sequence from
genotype PCV2a. They were designated according to the nomenclature proposed by
Grau et al., indicating the origin of the ORF1 sequence first and that of the
ORF2 sequence second, i.e., PCV2b(JF11)/2a(CL1) and PCV2b(YJ)/2a(CL1). The
replication efficiencies of the two PCV2 recombinant mutants were enhanced
significantly and their antigenicities were altered significantly in vitro when
compared with their parental strains.
PMID- 21890164
TI - A chimeric measles virus with a lentiviral envelope replicates exclusively in
CD4+/CCR5+ cells.
AB - We generated a replicating chimeric measles virus in which the hemagglutinin and
fusion surface glycoproteins were replaced with the gp160 envelope glycoprotein
of simian immunodeficiency virus (SIVmac239). Based on a previously cloned live
attenuated Schwarz vaccine strain of measles virus (MV), this chimera was rescued
at high titers using reverse genetics in CD4+ target cells. Cytopathic effect
consisted in the presence of large cell aggregates evolving to form syncytia, as
observed during SIV infection. The morphology of the chimeric virus was identical
to that of the parent MV particles. The presence of SIV gp160 as the only
envelope protein on chimeric particles surface altered the cell tropism of the
new virus from CD46+ to CD4+ cells. Used as an HIV candidate vaccine, this
MV/SIVenv chimeric virus would mimic transient HIV-like infection, benefiting
both from HIV-like tropism and the capacity of MV to replicate in dendritic
cells, macrophages and lymphocytes.
PMID- 21890165
TI - Operational aspects of the desulfurization process of energy gases mimics in
biotrickling filters.
AB - Biological removal of reduced sulfur compounds in energy-rich gases is an
increasingly adopted alternative to conventional physicochemical processes,
because of economical and environmental benefits. A lab-scale biotrickling filter
reactor for the treatment of high-H(2)S-loaded gases was developed and previously
proven to effectively treat H(2)S concentrations up to 12,000 ppm(v) at gas
contact times between 167 and 180 s. In the present work, a detailed study on
selected operational aspects affecting this system was carried out with the
objective to optimize performance. The start-up phase was studied at an inlet
H(2)S concentration of 1000 ppm(v) (loading of 28 g H(2)S m(-3) h(-1)) and
inoculation with sludge from a municipal wastewater treatment plant. After
reactor startup, the inlet H(2)S concentration was doubled and the influence of
different key process parameters was tested. Results showed that there was a
significant reduction of the removal efficiency at gas contact times below 120 s.
Also, mass transfer was found to be the main factor limiting H(2)S elimination,
whereas performance was not influenced by the bacterial colonization of the
packed column after the initial startup. The effect of gas supply shutdowns for
up to 5 days was shown to be irrelevant on process performance if the trickling
liquid recirculation was kept on. Also, the trickling liquid velocity was
investigated and found to influence sulfate production through a better use of
the supplied dissolved oxygen. Finally, short-term pH changes revealed that the
system was quite insensitive to a pH drop, but was markedly affected by a pH
increase, affecting both the biological activity and the removal of H(2)S.
Altogether, the results presented and discussed herein provide new insight and
operational data on H(2)S removal from energy gases in biotrickling filters.
PMID- 21890166
TI - Effect of short-chain organic acids on the enhanced desorption of phenanthrene by
rhamnolipid biosurfactant in soil-water environment.
AB - This study investigated the effect of short-chain organic acids on biosurfactant
enhanced mobilization of phenanthrene in soil-water system. The desorption
characteristics of phenanthrene by soils were assessed in the presence of
rhamnolipid and four SCOAs, including acetic acid, oxalic acid, tartaric acid and
citric acid. The tests with rhamnolipid and different organic acids could attain
the higher desorption of phenanthrene compared to those with only rhamnolipid.
Among the different combinations, the series with rhamnolipid and citric acid
exhibited more significant effect on the desorption performance. The removal of
phenanthrene using rhamnolipid and SCOAs gradually increased as the SCOA
concentration increased up to a concentration of 300 mmol/L. The effects of pH,
soil dissolved organic matter and ionic strength were further evaluated in the
presence of both biosurfactant and SCOAs. The results showed that the extent of
phenanthrene desorption was more significant at pH 6 and 9. Desorption of
phenanthrene was relatively lower in the DOM-removed soils with the addition of
biosurfactant and SCOAs. The presence of more salt ions made phenanthrene more
persistent on the solid phase and adversely affected its desorption from
contaminated soil. The results from this study may have important implications
for soil washing technologies used to treat PAH-contaminated soil and
groundwater.
PMID- 21890167
TI - Dietary uptake of polybrominated diphenyl ethers (PBDEs), occurrence and
profiles, in aquacultured turbots (Psetta maxima) from Galicia, Spain.
AB - Polybromodiphenyl ethers (PBDEs) are one of the many toxic chemicals present in
the environment and in the food we eat every day, being fish one of the main
sources of persistent organic pollutants in our diet; like other lipid-related
contaminants, they are of concern since they can bioaccumulate and biomagnify
through the trophic chain. We published a study focused on the dietary uptake of
dioxins and furans (PCDD/Fs) and dioxin-like polychlorobiphenyls (dl-PCBs) in a
set of samples of Spanish farmed turbot (Blanco et al., 2007). In the present
paper, we extend the study to PBDEs to provide more information about the uptake
and transfer from feed to fish of halogenated contaminants. PBDEs in the feeds
(2.35-4.76 ng g(-1)) were reflected in turbot fillets (0.54-2.05 ng g(-1)):
predominant congeners were tetra-BDE 47, penta-BDEs 99 and 100. It is remarkable
that tetra-BDE 49, accounting for only 2% in the feed, contributed to 15% of
total PBDEs in turbot fillets. Dietary net accumulation values, 30-45%, showed
that tri-, tetra-, penta- and hexa-BDEs were as efficiently transferred into
turbot as dl-PCBs and tetra- and penta-chlorinated PCDD/Fs. Lipid-normalized
biomagnification factors relating concentration in fish and in feed, BMFs>1 were
obtained, except for BDE 209. BDE 49 accumulation, 90%, was possibly contributed
by metabolism of higher brominated BDEs. Implication in aquaculture management is
a need for uncontaminated fish feed to offer safe products.
PMID- 21890168
TI - Influence of anionic, cationic and nonionic surfactants on adsorption and
desorption of oxytetracycline by ultrasonically treated and non-treated
multiwalled carbon nanotubes.
AB - High adsorption capacity of carbon nanotubes (CNTs) may greatly determine the
bioavailability and mobility of organic contaminants. The fate of contaminants
adsorbed by CNTs may be substantially influenced by surfactants used both in the
synthesis and dispersion of CNTs. The aim of this research was to determine the
influence of surfactants (nonionic - TX100, cationic - CTAB and anionic - SDBS)
on adsorption and desorption of oxytetracycline (OTC) by multiwalled carbon
nanotubes (MWCNTs). The surfactants used had a substantial influence on both
adsorption and desorption of OTC. The direction of changes depended clearly on
the type of surfactant. In case of anionic SDBS, increased adsorption of OTC by
MWCNTs was observed. The presence of TX100 and CTAB decreased the adsorption of
OTC by MWCNTs significantly. The increase of OTC adsorption after ultrasonic
treatment was observed in case of MWCNTs alone and MWCNTs with SDBS and TX100.
However, ultrasonic treatment caused OTC adsorption decrease in the presence of
CTAB. The change of pH had also an important effect on OTC adsorption in the
presence of surfactants. Depending on the surfactant and pH, an increase or
decrease of OTC adsorption was observed. The presence of surfactants increased
OTC desorption from MWCNTs significantly as follows: SDBS=CTAB < TX100. The
results obtained suggest new potential threats and constitute a basis for further
research considering the bioavailability and toxicity of antibiotics in the
presence of MWCNTs and surfactants.
PMID- 21890169
TI - Contamination of nonylphenolic compounds in creek water, wastewater treatment
plant effluents, and sediments from Lake Shihwa and vicinity, Korea: comparison
with fecal pollution.
AB - Nonylphenolic compounds (NPs), coprostanol (COP), and cholestanol, major
contaminants in industrial and domestic wastewaters, were analyzed in creek
water, wastewater treatment plant (WWTP) effluent, and sediment samples from
artificial Lake Shihwa and its vicinity, one of the most industrialized regions
in Korea. We also determined mass discharge of NPs and COP, a fecal sterol, into
the lake, to understand the linkage between discharge and sediment contamination.
Total NP (the sum of nonylphenol, and nonylphenol mono- and di-ethoxylates) were
0.32-875 MUg L(-1) in creeks, 0.61-87.0 MUg L(-1) in WWTP effluents, and 29.3-230
MUg g(-1) TOC in sediments. Concentrations of COP were 0.09-19.0 MUg L(-1) in
creeks, 0.11-44.0 MUg L(-1) in WWTP effluents, and 2.51-438 MUg g(-1) TOC in
sediments. The spatial distributions of NPs in creeks and sediments from the
inshore region were different from those of COP, suggesting that Lake Shihwa
contamination patterns from industrial effluents differ from those from domestic
effluents. The mass discharge from the combined outfall of the WWTPs, located in
the offshore region, was 2.27 kg d(-1) for NPs and 1.00 kg d(-1) for COP,
accounting for 91% and 95% of the total discharge into Lake Shihwa, respectively.
The highest concentrations of NPs and COP in sediments were found in samples at
sites near the submarine outfall of the WWTPs, indicating that the submarine
outfall is an important point source of wastewater pollution in Lake Shihwa.
PMID- 21890170
TI - Monitoring of PBDEs concentration in umbilical cord blood and breast milk from
Korean population and estimating the effects of various parameters on
accumulation in humans.
AB - In this study, we investigated concentration, congener distribution pattern, and
effects of potential environmental factors that affect PBDE accumulation. We also
estimated correlation between PBDE concentration and health status or thyroid
function by analyzing 90 cord blood and 21 breast milk samples obtained from
Korean population. Seven from tri- to hepta-BDEs were analyzed by solid phase
extraction-high-resolution gas chromatography/high-resolution mass spectrometry
(SPE-HRGC/HRMS). The total concentration of 7 PBDEs in cord blood was 2.786-94.64
ng g(-1) lipid and that in breast milk was 1.076-8.664 ng g(-1) lipid. Tetra-BDE
(#47) was the predominant type of PBDE and was present at concentrations of over
40% in both sample types. A weak correlation was observed between the
concentration of BDE28 and 153 and thyroid hormone concentration only in the
breast milk samples. In children, a weak negative correlation was observed
between free thyroxine (FT4) concentration and BDE28 concentration (0.302,
p<0.05), while in mothers, a weak positive correlation was observed between
thyroid hormone concentration and BDE153 concentration (0.403, p<0.05). No
significant correlations between PBDE concentration and work and residential
environments were found in this study, but a weak correlation between BDE
concentration in cord blood and potential PBDE sources was confirmed by
investigating the frequency of oil paint usage (0.510, p<0.001). A weak
correlation was also found between PBDE concentration in breast milk during
pregnancy and dietary habits such as green tea drinking (0.541, p=0.025) and
Trichiuridae intake (0.565, p=0.015).
PMID- 21890171
TI - Longitudinal increases in PCDD/F and dl-PCB concentrations in human milk in
northern China.
AB - There is a dearth of information on the temporal changes in polychlorinated
dibenzodioxin/furans (PCDD/Fs) and dioxin-like polychlorinated biphenyls (dl
PCBs) contamination, in both environmental and biological specimens, in China. We
compared the concentrations of PCDD/Fs and dl-PCBs in human milk collected in
Shijiazhuang, Hebei Province, in northern China in 2002 (n=30) and 2007 (n=20).
The level of PCDD/Fs and dl-PCBs showed an increasing trend. The mean
concentrations of PCDD/Fs plus dl-PCBs were 4.47 TEQ pg g(-1) fat and 6.24 TEQ pg
g(-1) fat in human milk from Shijiazhuang in 2002 and in 2007, respectively.
Based on statistical analysis of questionnaire data collected by in-person
interviews with mothers, we found positive correlations between consumption of
sea fish and PCDFs. The PCDDs, PCDFs, PCDD/Fs, and PCDD/Fs plus dl-PCBs levels in
individuals consuming greater amounts of sea fish were higher than those
consuming less sea fish, both with and without adjustments for potential
confounding factors. Among 17 congeners of PCDD/Fs, the 2,3,7,8-TCDF, 1,2,3,7,8
PeCDF, 2,3,4,7,8-PeCDF, 1,2,3,4,7,8-HxCDF, 1,2,3,6,7,8-HxCDF, and 2,3,4,6,7,8
HxCDF congener concentrations in 2007 increased 134%, 55%, 53%, 57%, 65% and 130%
when compared to 2002 levels, respectively. The 2007 dl-PCB congener levels were
greater than those of the 2002 samples, with the exception of PCB81 and PCB77.
Specifically, PCB105, PCB114, PCB118, PCB123 and PCB156 had increased greater
than twofold from 2002 to 2007. Continuous surveillance of PCDD/F and dl-PCB
levels in human milk is needed to accurately evaluate both environmental
contamination and the human health risk to neonates in China.
PMID- 21890172
TI - Application of a computational model for Michael addition reactivity in the
prediction of toxicity to Tetrahymena pyriformis.
AB - A computational model to predict acute aquatic toxicity to the ciliate
Tetrahymena pyriformis has been developed. A general prediction of toxicity can
be based on three consecutive steps: 1. Identification of a potential reactive
mechanism via structural alerts; 2. Confirmation and quantification of
(bio)chemical reactivity; 3. Establishing a relationship between calculated
reactivity and toxicity. The method described herein uses a combination of a
reactive toxicity (RT) model, including computed kinetic rate constants for
adduct formation (log k) via a Michael acceptor mechanism of action, and baseline
toxicity (BT), modelled by hydrophobicity (octanol-water partition coefficient).
The maximum of the RT and BT values defines acute toxicity for a particular
compound. The reactive toxicity model is based on site-specific steric and
quantum chemical ground state electronic properties. The performance of the model
was examined in terms of predicting the toxicity of 106 potential Michael
acceptor compounds covering several classes of compounds (aldehydes, ketones,
esters, heterocycles). The advantages of the computational method are described.
The method allows for a closer and more transparent mechanistic insight into the
molecular initiating events of toxicological endpoints.
PMID- 21890173
TI - The use of hard- and soft-modelling to predict radiostrontium solid-liquid
distribution coefficients in soils.
AB - The solid-liquid distribution coefficient (K(d)) is the parameter that governs
the incorporation of contaminants in soils. Its estimation allows the prediction
of the fate of contaminants in the short- and long-term after a contamination
event. Here, the K(d) of radiostrontium (K(d)(Sr)), a radionuclide of significant
environmental interest, was predicted by hard models, which are based on
knowledge of the mechanisms governing its sorption, and by soft models based on
Partial Least Squares (PLS), using a large data set with the main soil
parameters. The two approaches were tested and compared for 30 soils in Spain.
Correlations between the predicted and experimental values of K(d)(Sr) obtained
using hard- and soft-modelling showed slopes close to 1 and regression
coefficients higher than 0.95, which confirms that both approaches are able to
obtain satisfactory estimates for K(d)(Sr) from soil parameters.
PMID- 21890174
TI - Predicting the bioavailability of sediment-associated polybrominated diphenyl
ethers using a 45-d sequential Tenax extraction.
AB - A 45-d Tenax extraction was used to evaluate the bioavailability of
polybrominated diphenyl ethers (PBDEs) in three spiked sediments. The effect of
aging on desorption kinetics of PBDEs was investigated by incubating one of the
sediments for 7, 14, 30 and 60 d at room temperature. Desorption kinetics were
well described by a three-compartment model. The fraction of very slow desorption
(Fvs) contributed the most of the desorbed PBDEs from sediments. The total
desorption amount of PBDEs decreased with the increase of total organic carbon in
the sediments, suggesting that organic matter is an important factor controlling
the partition of PBDEs in sediments. The total desorption amount of PBDEs
decreased while log [(Fslow+Fvs)/Frap] increased with logKow of PBDE congeners,
indicating that the bioavailability of PBDEs in sediment decreases with logKow of
the congeners. As the residential time of PBDEs in the sediment increased from 7
to 60 d, Frap of individual PBDE congeners decreased gradually with simultaneous
increase of Fvs. There was a good positive correlation between Frap and F6/F24,
indicating that either 6 h or 24 h Tenax extraction could be a proxy for Frap and
bioavailability. In general, the results in present study suggest that the
bioavailability of nona- and deca-BDEs in sediment is very low due to their
strong hydrophobicity and large molecular size.
PMID- 21890175
TI - Multivariate toxicity profiles and QSAR modeling of non-dioxin-like PCBs--an
investigation of in vitro screening data from ultra-pure congeners.
AB - The non-dioxin-like PCBs (NDL-PCBs) found in food and human samples have a
complex spectrum of adverse effects, but lack a detailed risk assessment. The
toxicity profiles of 21 carefully selected PCBs (19 NDL-PCBs) were identified by
in vitro screening in 17 different assays on specific endpoints related to
neurotoxicity, endocrine disruption and tumor promotion. To ensure that the test
results were not affected by polychlorinated dioxins, dibenzofurans or DL-PCB
contaminants, the NDL-PCB congeners were thoroughly purified before testing.
Principal component analysis (PCA) was used to derive general toxicity profiles
from the in vitro screening data. The toxicity profiles indicated different
structure-activity relationships (SAR) and distinct mechanisms of action. The
analysis also indicated that the NDL-PCBs could be divided into two groups. The
first group included generally smaller, ortho-substituted congeners, comprising
PCB 28, 47, 51, 52, 53, 95, 100, 101, 104 and 136, with PCB 95, 101 and 136 as
generally being most active. The second group comprising PCB 19, 74, 118, 122,
128, 138, 153, 170, 180 and 190 had lower biological activity in many of the
assays, except for three endocrine-related assays. The most abundant congeners,
PCB 138, 153, 170, 180 and 190, cluster in the second group, and thereby show
similar SAR. Two quantitative structure-activity relationship (QSAR) models could
be developed that added information to the SAR and could aid in risk assessments
of NDL-PCBs. The QSAR models predicted a number of congeners as active and among
these e.g., PCB 18, 25, 45 and 49 have been found in food or human samples.
PMID- 21890176
TI - PCBs in wild mussels (Mytilus galloprovincialis) from the N-NW Spanish coast:
current levels and long-term trends during the period 1991-2009.
AB - Concentrations of polychlorinated biphenyls (PCBs) were determined in wild
mussels (Mytilus galloprovincialis) from seven areas of the N-NW Spanish coast,
during the period 1991-2009. The studied area is comprised of highly productive
ecosystems which support important commercial fishing and shellfishing
activities. The seven PCBs indicator congeners recommended by ICES (IUPAC nos.
28, 52, 101, 118, 138, 153 and 180), were analysed by gas chromatography with an
electron capture detector (GC-ECD). Lowest average concentrations of PCBs were
recorded in Arousa (1.04 MUg kg(-1) wet weight), a low populated area with no
industry; while the highest levels were found in areas near cities and/or showing
a high industrial activity such as: Bilbao Zierbena (139 MUg kg(-1) ww) or A
Coruna (55 MUg kg(-1) ww). The hexachlorinated congeners CB153 and CB138
dominated the profiles in all wild mussel populations, although a geographical
pattern was found in which the relative contributions of the lower chlorinated
congeners were higher in the west coast (Rias of Vigo, Pontevedra and Arousa),
which may reflect the occurrence of recent inputs of those compounds in the area.
Finally, the application of the Mann-Kendall's test demonstrate the existence of
a significant decrease in PCBs levels since 1991 up to 1996 in the studied area,
whereas either a slow decrease or stable concentrations were registered over the
past decade.
PMID- 21890177
TI - Mousterian technology and settlement dynamics in the site of Hummal (Syria).
AB - The site of Hummal is one of several artesian springs in the El Kowm area
(Central Syria) that became the focus of archaeological research at the beginning
of the 1980s. The archaeological sequence spans the whole Paleolithic period and
the spring is therefore a reference site for the Paleolithic in the interior part
of the Levant. Archaeological remains are found in a more than 15 m thick
succession of deposits that contain Lower, Middle and Upper Paleolithic
assemblages. The present paper addresses archaeological and geological data,
which were recovered during recent years' excavations of Mousterian deposits.
With a compiled stratigraphy of over 6 m and more than 30 archaeological levels,
the Hummal Mousterian sequence is especially apt for the reconstruction of
changing site-use patterns through time. Lithic analysis helps to elucidate
technological traditions as well as organization and the changing ways in which
mobile foragers used a site in the context of an arid steppe. Results contribute
further to existing models of Levantine Middle Paleolithic land-use strategies
and demography in the time span of between 130,000 and 50,000 BP, and partly
contradict existing interpretations. Two different lithic industries were
defined, which correspond to a C- and B-type Levantine Mousterian according to
the three-stage Tabun model. The discovery of a C-type Mousterian in the lower
deposits further extends the geographical range of this cultural facies into the
interior arid part of the Levant. An increasing importance and standardization of
Levallois points is observable and thereby supports models that postulate a
growing specialization of hunting techniques at the end of the Middle
Paleolithic.
PMID- 21890178
TI - Macrophage migration inhibitory factor induces ICAM-1and thrombomobulin
expression in vitro.
AB - Macrophage migration inhibitory factor (MIF) is an important cytokine in the
modulation of inflammatory and immune responses, but its role in coagulation
remains to be elucidated. In this study, we investigated the potential role of
MIF in coagulation through its influence on two factors, thrombomodulin (TM) and
intercellular adhesion molecule-1 (ICAM-1). Recombinant human MIF was added to
human microvascular endothelial cell line (HMEC-1) to investigate its influence
on the expression of TM and ICAM-1. The results showed that both TM and ICAM-1
were induced with MIF addition in a dose-dependent and time-dependent manner. The
expression of ICAM-1 and TM was increased as MIF doses were increased, with the
highest expression seen at 12 hr after 400 ng/ml of MIF treatment. Besides, anti
MIF antibody treatment reduced the TM expression in HMEC-1 cells. In conclusion,
our data support a role of MIF as an important factor in the regulation of
coagulation.
PMID- 21890179
TI - Immunolocalization of Smad4 protein in the testis of domestic fowl (Gallus
domesticus) during postnatal development.
AB - The transforming growth factor beta (TGF-beta) superfamily exerts a wide range of
effects on biological events, including spermatogenesis. Smad proteins are
downstream signal mediators, which transduce TGF-beta signals from the cell
surface to the nucleus. Smad4 protein is the common transducer of the TGF-beta
superfamily that participates in the signaling of all the members of TGF-beta
superfamily. Smad4 is expressed in the mammalian testis and is believed to play
an important role during testicular development and spermatogenesis. Information
about Smad4 distribution and function in the testis of birds, including the
domestic fowl, is still unclear. In the current study, our objective was to
clarify the signal transduction pathway of the TGF-beta superfamily in the
regulation of testicular development and spermatogenesis by investigating the
expression of Smad4 protein in the testis of newborn, prepuberty, puberty and
adult domestic fowl. Cellular localization of Smad4 was determined by
immunohistochemistry. Our study revealed that the Smad4 was widely expressed in
the fowl testis, mainly immunolocalized in the cytoplasm of Sertoli cells, Leydig
cells and germ cells. The presence of Smad4 protein in these testicular cells
provides molecular and morphological evidence for TGF-beta signal transduction
during testicular development and spermatogenesis.
PMID- 21890180
TI - A modified ureteroileal anastomosis technique for Bricker urinary diversion.
AB - OBJECTIVE: Up to 10% of patients who have undergone the Bricker ileal conduit
urinary diversion may develop ureteroileal anastomotic complications that are
more frequently associated with the left side ureter. We have therefore modified
the standard Bricker ileal conduit technique to minimize the anastomotic
complications associated with the left side ureter. MATERIALS AND METHODS: In our
modification, the proximate end of the ileal conduit was brought from the right
side to the left under the mesosigmoid in an isoperistaltic fashion. The left
ureter that remained in the natural extraperitoneal location was anastomosed to
the ileal segment in the usual end-to-side fashion without the need of extensive
ureteral dissection. RESULTS: A series of 42 patients have undergone ileal
conduit urinary diversion using this modified technique. During a median follow
up period of 18.6 months, this technique was found to have no associated major
perioperative complications and early- and intermediate-term ureteroileal
anastomotic complications from both sides of the ureters. CONCLUSION: Our
modified ileal conduit diversion technique was easy and safe to perform, and may
serve as an alternative technique for the standard Bricker ileal conduit urinary
diversion, especially when the left distal ureter was involved extensively with
urothelial carcinoma.
PMID- 21890181
TI - Pure NOTES transvesical venous ligation: translational animal model of
varicocelectomy.
AB - OBJECTIVE: To assess the feasibility of pure natural orifice transluminal
endoscopic surgery (NOTES) transvesical venous ligation mimicking bilateral
varicocelectomy in an animal model. MATERIALS AND METHODS: Transvesical NOTES
bilateral venous ligation was performed in 6 female pigs by considering lower
epigastric vessels as a model for gonadal vessels. Under flexible cystoscopic
guidance, a cystotomy was created on the anterior bladder. The flexible
cystoscope was introduced through the over tube, and the lower epigastric vessels
were visualized in retroflexion. Thulium laser was used to cut and coagulate the
vessels. A bladder catheter was left in place for 4 days in all animals and they
were sacrificed 15 days after the procedure. RESULTS: The procedure was
successfully carried out in all animals without intraoperative complications.
Epigastric vessels were safely cut and coagulated using the thulium laser. Median
time for the overall procedure, including establishment of the transvesical port,
was 23 minutes (range 20-30). No complications were encountered during the
postoperative follow-up period. Postmortem examination revealed complete
coagulation and separation of vessels. CONCLUSION: An animal model mimicking a
NOTES transvesical bilateral varicocelectomy procedure is successfully shown in
the present study. Despite being encouraging, these novel findings need to be
interpreted with caution. Further research is warranted and development of
purpose-built instrumentation is awaited to define potential urological
applications of transvesical NOTES.
PMID- 21890182
TI - Oncological and functional outcomes after robot-assisted radical cystectomy:
critical review of current status.
AB - Although open radical cystectomy (ORC) remains the gold-standard management of
muscle-invasive bladder cancer, the number of centers performing robotic-assisted
radical cystectomy (RARC) has recently increased, prompting greater oncological
outcome concerns. Although limited in patient number and follow-up, short-term
RARC data from centers of excellence appear to show the approach to be safe and
effective, with improved perioperative and functional outcomes, while maintaining
comparable oncologic efficiency. Nevertheless, despite the surge of centers
adopting RARC, the long-term effectiveness of minimally-invasive techniques has
yet to be proven. This review of published RARC series affirms the need for
prospective, long-term, controlled studies to adequately evaluate the role of
robotics in bladder cancer surgery.
PMID- 21890183
TI - Transurethral resection of the prostate with monopolar resectoscope: single
surgeon experience and long-term results of after 3589 procedures.
AB - OBJECTIVE: To present our clinical outcomes and to assess the impact of
technological improvements that have occurred recently in transurethral resection
of the prostate (TURP) on its morbidity. METHODS: The data from the 3589 patients
who underwent conventional monopolar TURP for BPH from March 2000 to December
2008 were evaluated retrospectively. Data were analyzed to obtain perioperative
and postoperative complications, operative time, weight of prostate chips
resected, time to catheter removal, and hospitalization time. Patients were
followed at 3 months and then yearly. The follow-up included the International
Prostate Symptom Score (IPSS), quality of life score (QoL), maximum urinary flow
rate (Q(max.)), and prostate-specific antigen. The significant improvements in
mean the IPSS, QoL score, and Q(max.) were observed in postoperative visits.
RESULTS: Intraoperative perforation of prostatic capsule or bladder neck was
observed in 27 (0.75%) patients. In the early postoperative period, clot
retention with secondary bleeding was observed in 81 (2.3%) patients.
Recatheterization was required in 195 (5.4%) patients. Mild to moderate dysuria
was observed in 819 (23%) patients. Urinary tract infection occurred in 234
(6.5%) cases. Severe dysuria, urgency, and urge incontinence was observed in 93
(2.6%) patients in the first week after surgery. During the follow-up period,
urethral stricture and bladder neck contracture occurred in 117 (3.2%) and 39
(1.08%) patients, respectively. There was no the iatrogenic incontinence. Re
operation as a result of rest prostatic adenoma was required in 158 (4.4%)
patients. CONCLUSION: These data demonstrate that a technical improvement in TURP
provides a lower complication rate. Conventional monopolar TURP can now be
performed with excellent long-term efficacy combined with reduced complications.
PMID- 21890184
TI - Chronic rhinosinusitis: epidemiology and medical management.
AB - Chronic rhinosinusitis (CRS) affects 12.5% of the US population. On epidemiologic
grounds, some association has been found between CRS prevalence and air
pollution, active cigarette smoking, secondhand smoke exposure, perennial
allergic rhinitis, and gastroesophageal reflux. The majority of pediatric and
adult patients with CRS are immune competent. Data on genetic associations with
CRS are still sparse. Current consensus definitions subclassify CRS into CRS
without nasal polyposis (CRSsNP), CRS with nasal polyposis (CRSwNP), and allergic
fungal rhinosinusitis (AFRS). Evaluation and medical management of CRS has been
the subject of several recent consensus reports. The highest level of evidence
for treatment for CRSsNP exists for saline lavage, intranasal steroids, and long
term macrolide antibiotics. The highest level of evidence for treatment of CRSwNP
exists for intranasal steroids, systemic glucocorticoids, and topical steroid
irrigations. Aspirin desensitization is beneficial for patients with aspirin
intolerant CRSwNP. Sinus surgery followed by use of systemic steroids is
recommended for AFRS. Other modalities of treatment, such as antibiotics for
patients with purulent infection and antifungal drugs for patients with AFRS, are
potentially useful despite a lack of evidence from controlled treatment trials.
The various modalities of medical treatment are reviewed in the context of recent
consensus documents and the author's personal experience.
PMID- 21890185
TI - Low prevalence of IgE to cross-reactive carbohydrate determinants in beekeepers.
PMID- 21890186
TI - In vitro maturation of canine oocytes co-cultured with bovine and canine
granulosa cell monolayers.
AB - The present study investigated the effects of bovine granulosa cell monolayers
(BGML) and canine granulosa cell monolayers (CGML) on nuclear maturation of
canine oocytes with and without cumulus cells. Cumulus-oocyte complexes (COCs) or
cumulus-free oocytes were cultured in Dulbecco's Modified Eagle's Medium (DMEM,
control group), DMEM with BGML (BGML group), or DMEM with CGML (CGML group) for
72 h at 38.5 degrees C in 5% CO(2), 5% O(2,) and 90% N(2). All media were
supplemented with 10% of FCS, 50 ng/mL of EGF, 2 MUg/mL of estradiol-17beta, 0.1
IU/mL of hCG, 0.1 IU/mL of FSH, 0.25 mM of pyruvic acid, 100 MUM of beta
mercaptoethanol, 100 IU/mL of penicillin, and 100 MUg/mL of streptomycin. In
cumulus-enclosed oocytes retrieved from ovaries at estrus and/or diestrus, the
highest percentage of M-II oocytes (P < 0.05) was present in the BGML group
(27.0%) compared with the CGML group (7.9%) and the control group (3.5%). In
cumulus-free oocytes collected from ovaries at estrus and/or diestrus, the
proportions of M-II oocytes co-cultured with the CGML were low (3.0%) and similar
(P > 0.05) to proportions achieved with control (3.0%). However, the presence of
BGML improved (P < 0.05) the ability of denuded oocytes to develop into M-II
(10.2%). The BGML group had the highest overall meiotic resumption (P < 0.05),
and least oocyte degeneration (P < 0.05) among experimental groups. In
conclusion, BGML had a positive impact on the in vitro maturation system, as well
as meiotic resumption of canine oocytes.
PMID- 21890187
TI - Reproductive tract defense and disease in postpartum dairy cows.
AB - This paper briefly reviews recent data and concepts on the development and
mitigation of infection and inflammation in the reproductive tract of dairy cows
during the first 2 mo after calving. The incidence of metritis is typically
between 10 and 20%, of clinical endometritis or purulent vaginal discharge (PVD)
approximately 15%, and of subclinical or cytological endometritis a further 15%.
Worse postpartum negative energy balance is associated with more severe or
prolonged uterine inflammation. Changes in feed intake, expression of genes for
pro-inflammatory cytokines, notably interleukin (IL) 1, IL6 and IL8, circulating
concentrations of beta-hydroxybutyrate (BHBA) or nonesterified fatty acids
(NEFA), and innate immune function precede both metritis and endometritis by
several weeks. Infections with Escherichia coli and Arcanobacterium pyogenes are
associated with both metritis and PVD. There are new data to suggest that
specific virulence factors in E. coli associated with adherence may be important
in metritis and PVD. Cytological endometritis and PVD are overlapping but largely
distinct conditions, and there are emerging data that cervicitis exists both
concurrent with and separate from endometritis. Much remains to be learned about
what initiates and sustains harmful inflammation of the reproductive tract. Such
information is necessary to develop effective treatments for the various forms of
disease and, more importantly, to develop means to prevent endometritis and
cervicitis. In particular, vaccination against specific uterine pathogens and
interventions to modulate innate immune response appear to be important avenues
for investigation. Presently, commonly recommended best management practices for
cows in the transition period are likely to be helpful to mitigate the risk of
reproductive disease.
PMID- 21890188
TI - Experimentally induced mastitis and metritis modulate soy bean derived isoflavone
biotransformation in diary cows.
AB - The present study compared the changes in isoflavone (daidzein and genistein) and
their metabolite (equol and para-ethyl-phenol) concentrations in the blood plasma
of cows with induced mastitis and metritis after feeding with soy bean. Sixteen
cows were divided into four groups: control for mastitis group, cows with induced
mastitis group, control for metritis group, and cows with induced metritis group.
All cows were fed a single dose of 2.5 kg of soy bean and then blood samples were
taken from the jugular vein for 8 h at predetermined intervals. The
concentrations of soy bean-derived isoflavones and their active metabolites were
measured in the blood plasma on HPLC system. beta-Glucuronidase activity in the
blood plasma of cows was measured by fluorometric method. In the blood plasma of
cows with induced mastitis and metritis, we found considerably higher
concentrations and time-dependent increase in isoflavone metabolites (equol and
para-ethyl-phenol) with reference to cyclic cows (P < 0.05). Moreover, we noticed
significant decrease of genistein in the blood plasma of the cows with induced
metritis compared with control cows (P < 0.05). In addition, in the blood plasma
of the cows with induced metritis, we found an increase in beta-glucuronidase
activity compared with control cows (P < 0.05). In conclusion, health status of
the females influenced the concentrations of isoflavone metabolites in the blood
plasma of the cows. Experimentally induced mastitis and metritis increased
isoflavone absorption, biotransformation and metabolism. Therefore, we suggest
that cows with induced mastitis and metritis are more exposed to active
isoflavone metabolite actions than healthy cows.
PMID- 21890189
TI - Improving post-transfer survival of bovine embryos produced in vitro: actions of
insulin-like growth factor-1, colony stimulating factor-2 and hyaluronan.
AB - Technologies for in vitro embryo production have the potential to enhance the
efficiency of cattle production systems. However, utilization of in vitro
produced embryos for transfer remains limited throughout much of the world.
Despite improvements over the past two decades, problems associated with the
production of bovine embryos in vitro still exist which limit the widespread
commercial application of this technology. In particular, bovine embryos produced
in vitro have a reduced capacity to establish and maintain pregnancy as compared
with their in vivo-derived counterparts. Embryo competence for survival following
transfer is improved by in vivo culture in the sheep oviduct, thus indicating
that standard embryo culture conditions are sub-optimal. Therefore, one strategy
to improve post-transfer survival is to modify embryo culture media to more
closely mimic the in vivo microenvironment. The maternal environment in which the
bovine embryo develops in vivo contains various growth factors, cytokines,
hormones, and other regulatory molecules. In addition to affecting bovine embryo
development in vitro, recent research indicates that embryo competence for
survival following transfer can also be improved when such molecules are added to
embryo culture medium. Among the specific molecules that can increase post
transfer embryo survival are insulin-like growth factor-1 (IGF-1), colony
stimulating factor-2 (CSF-2) and hyaluronan. This paper will review the effects
IGF-1, CSF-2 and hyaluronan on post-culture embryo viability and discuss the
potential mechanisms through which each of these molecules improves post-transfer
survival.
PMID- 21890190
TI - Estrus synchronization affects WNT signaling in the porcine reproductive tract
and embryos.
AB - The purpose of the study was to investigate an effect of estrus synchronization
with prostaglandin (PG) F(2alpha) and PMSG/hCG on WNT4, WNT5A, WNT7A, beta
catenin (CTNNB1) and E-cadherin (CDH1) gene expression. The weight of the uterus,
morphometrical parameters of the endometrium and the number of CL were recorded.
The analysis of estradiol (E(2)), prostaglandin (PG) F(2alpha) and E(2) content
in the uterine luminal flushings (ULFs) and progesterone (P(4)) level in the
blood serum were conducted. RNA was isolated from endometrial, luteal and
embryonic tissue of pregnant non-synchronized (Control; n = 15) and pregnant
synchronized (PGF(2alpha)/PMSG/hCG; n = 15) pigs. Whereas there was no change in
uterine weight, differences in height of endometrial surface and glandular
epithelium were found. However, height of the endometrium, number of the glands
and capillaries were unaffected. The total number of the CLs was higher (P <
0.05) in animals treated with PGF(2alpha)/PMSG/hCG. The amount of E(2) and P(4)
was lower (P < 0.05, P < 0.001, respectively) in pregnant gilts administrated
with PGF(2alpha)/PMSG/hCG. The concentration of PGF(2alpha) in ULFs was not
affected by hormonal management, while PGE(2) was higher (P < 0.01) in hormonally
in comparison to non-hormonally treated pigs. The content of WNT4 mRNA in
conceptuses increased on particular Days studied in Control and
PGF(2alpha)/PMSG/hCG administered animals. WNT7A and CTNNB1 were affected by
PGF(2alpha)/PMSG/hCG treatment in both conceptuses (P < 0.001, P < 0.05) and
endometrial tissue (P < 0.001, P < 0.01). The PGF(2alpha)/PMSG/hCG treatment
resulted in elevated expression of WNT4 (P < 0.001) and CTNNB1 (P < 0.05) in
luteal tissue in comparison to the Control gilts. Moreover, luteal amount of
WNT5A mRNA was higher in PGF(2alpha)/PMSG/hCG animals in comparison to the
Control group (P < 0.05). Presented data show that exogenous hormones
administration can affect gene expression in the porcine reproductive tract and
embryo.
PMID- 21890191
TI - Immunoadsorption for haemolytic uraemic syndrome.
PMID- 21890192
TI - Treatment of severe neurological deficits with IgG depletion through
immunoadsorption in patients with Escherichia coli O104:H4-associated haemolytic
uraemic syndrome: a prospective trial.
AB - BACKGROUND: In May 2011, an outbreak of Shiga toxin-producing enterohaemorrhagic
E coli O104:H4 in northern Germany led to a high proportion of patients
developing post-enteritis haemolytic uraemic syndrome and thrombotic
microangiopathy that were unresponsive to therapeutic plasma exchange or
complement-blocking antibody (eculizumab). Some patients needed ventilatory
support due to severe neurological complications, which arose 1 week after onset
of enteritis, suggesting an antibody-mediated mechanism. Therefore, we aimed to
assess immunoadsorption as rescue therapy. METHODS: In our prospective non
controlled trial, we enrolled patients with severe neurological symptoms and
confirmed recent E coli O104:H4 infection without other acute bacterial infection
or raised procalcitonin concentrations. We did IgG immunoadsorption processing of
12 L plasma volumes on 2 consecutive days, followed by IgG replacement (0.5 g/kg
intravenous IgG). We calculated a composite neurological symptom score (lowest
score was best) every day and assessed changes before and after immunoadsorption.
FINDINGS: We enrolled 12 patients who initially presented with enteritis and
subsequent renal failure; 10 (83%) of 12 patients needed renal replacement
therapy by a median of 8.0 days (range 5-12). Neurological complications
(delirium, stimulus sensitive myoclonus, aphasia, and epileptic seizures in 50%
of patients) occurred at a median of 8.0 days (range 5-15) and mandated
mechanical ventilation in nine patients. Composite neurological symptom scores
increased in the 3 days before immunoadsorption to 3.0 (SD 1.1, p=0.038), and
improved to 1.0 (1.2, p=0.0006) 3 days after immunoadsorption. In non-intubated
patients, improvement was apparent during immunoadsorption (eg, disappearance of
aphasia). Five patients who were intubated were weaned within 48 h, two within 4
days, and two patients needed continued ventilation for respiratory problems. All
12 patients survived and ten had complete neurological and renal function
recovery. INTERPRETATION: Antibodies are probably involved in the pathogenesis of
severe neurological symptoms in patients with E coli O104:H4-induced haemolytic
uraemic syndrome. Immunoadsorption can safely be used to rapidly ameliorate these
severe neurological complications. FUNDING: Greifswald University and Hannover
Medical School.
PMID- 21890193
TI - Human cardiosphere-seeded gelatin and collagen scaffolds as cardiogenic
engineered bioconstructs.
AB - Cardiac tissue engineering (CTE) aims at regenerating damaged myocardium by
combining cells to a biocompatible and/or bioactive matrix. Collagen and gelatin
are among the most suitable materials used today for CTE approaches. In this
study we compared the structural and biological features of collagen (C-RGD) or
gelatin (G-FOAM)-based bioconstructs, seeded with human adult cardiac progenitor
cells in the form of cardiospheres (CSps). The different morphology between C-RGD
(fibrous ball-of-thread-like) and G-FOAM (trabecular sponge-like) was evidenced
by SEM analysis and X-ray micro-tomography, and was reflected by their different
mechanical characteristics. Seeded cells were viable and proliferating after 1
week in culture, and a reduced expression of cell-stress markers versus standard
CSp culture was detected by realtime PCR. Cell engraftment inside the scaffolds
was assessed by SEM microscopy and histology, evidencing more relevant cell
migration and production of extracellular matrix in C-RGD versus G-FOAM.
Immunofluorescence and realtime PCR analysis showed down-regulation of vascular
and stemness markers, while early-to-late cardiac markers were consistently and
significantly upregulated in G-FOAM and C-RGD compared to standard CSps culture,
suggesting selective commitment towards cardiomyocytes. Overall our results
suggest that CSp-bioconstructs have suitable mechanical properties and improved
survival and cardiogenic properties, representing promising tools for CTE.
PMID- 21890194
TI - Hemocompatibility of siRNA loaded dextran nanogels.
AB - Although the behavior of nanoscopic delivery systems in blood is an important
parameter when contemplating their intravenous injection, this aspect is often
poorly investigated when advancing from in vitro to in vivo experiments. In this
paper, the behavior of siRNA loaded dextran nanogels in human plasma and blood is
examined using fluorescence fluctuation spectroscopy, platelet aggregometry, flow
cytometry and single particle tracking. Our results show that, in contrast to
their negatively charged counterparts, positively charged siRNA loaded dextran
nanogels cause platelet aggregation and show increased binding to human blood
cells. Although PEGylating the nanogels did not have a significant effect on
their interaction with blood cells, single particle tracking revealed that it is
necessary to prevent their aggregation in human plasma. We therefore conclude
that PEGylated negatively charged dextran nanogels are the most suited for
further in vivo studies as they do not aggregate in human plasma and exhibit
minimal interactions with blood cells.
PMID- 21890195
TI - Delivery of Oct4 and SirT1 with cationic polyurethanes-short branch PEI to aged
retinal pigment epithelium.
AB - Cationic polyurethane, a biodegradable non-viral vector, protects DNA from
nuclease degradation and helps to deliver genes efficiently. Oct4, a POU-domain
transcription factor, is highly expressed in maintaining pluripotency and
cellular reprogramming process in stem cells. SirT1, a NAD-dependent histone
deacetylase, is an essential mediator of cellular longevity. Herein we
demonstrated that both Oct4 and SirT1 (Oct4/SirT1) expression was decreased in an
age-dependent manner in retina with aged-related macular degeneration and retinal
pigment epithelium cells (RPEs). To investigate the possible rescuing role of
Oct4/SirT1, polyurethane-short branch polyethylenimine (PU-PEI) was used to
deliver Oct4/SirT1 into aged RPEs (aRPEs) or light-injured rat retinas.
Oct4/SirT1 overexpression increased the expression of several progenitor-related
genes and the self-renewal ability of aRPEs. Moreover, Oct4/SirT1 overexpression
resulted in the demethylation of the Oct4 promoter and enhanced the expression of
antioxidant enzymes, which was accompanied by a decrease in intracellular ROS
production and hydrogen peroxide-induced oxidative stress. Importantly, PU-PEI
mediated Oct4/SirT1 gene transfer rescued retinal cell loss and improved
electroretinographic responses in light-injured rat retinas. In summary, these
data suggest that PU-PEI-mediated delivery of Oct4/SirT1 reprograms aRPEs into a
more primitive state and results in cytoprotection by regulating the
antioxidative capabilities of these cells.
PMID- 21890196
TI - MicroRNAs in the regulation of interfacial behaviors of MSCs cultured on
microgrooved surface pattern.
AB - Cell-substrate interaction is one of the most important aspects of tissue
engineering. Changes of MSCs interfacial behaviors were found to be triggered by
10 MUm wide grooved pattern on poly(3-hydroxybutyrate-co-3-hydroxyhexanoate)
(PHBHHx). Global marker genes expression and miRNAs profiling analysis provided
insights into the regulation network of the topography induced MSCs' cell
responses including adhesion, proliferation, differentiation and apoptosis.
Compared to MSCs cultured on the smooth substrates, MSCs incubated on
microgrooved PHBHHx substrates showed increased expression of osteogenesis
related marker genes including cbfa1, col1a1 and bmp2, and decreased expression
of vcl, vinculin encoding gene, adipogenesis-related genes including lpl, des and
acta2, as well as myogenesis-related genes of myh11 and nse. The miRNA
microarrays revealed that 18 differentially-expressed miRNAs on microgrooved
pattern had multiple target genes, contributing comprehensively to the cellular
regulation process. Similar to the topography-triggered ostegenenesis, co
transfection of the osteogenic miRNAs combination (miR-140, miR-214, miR-320, miR
351 and miR-674-5p) was able to stimulate the expression of osteogenic marker
genes. This study elucidated the important roles of miRNAs in the regulation
processes of the microenvironment triggered cell behaviors, and provided clues
for the PHA biomedical materials development.
PMID- 21890197
TI - The determination of stem cell fate by 3D scaffold structures through the control
of cell shape.
AB - Stem cell response to a library of scaffolds with varied 3D structures was
investigated. Microarray screening revealed that each type of scaffold structure
induced a unique gene expression signature in primary human bone marrow stromal
cells (hBMSCs). Hierarchical cluster analysis showed that treatments sorted by
scaffold structure and not by polymer chemistry suggesting that scaffold
structure was more influential than scaffold composition. Further, the effects of
scaffold structure on hBMSC function were mediated by cell shape. Of all the
scaffolds tested, only scaffolds with a nanofibrous morphology were able to drive
the hBMSCs down an osteogenic lineage in the absence of osteogenic supplements.
Nanofiber scaffolds forced the hBMSCs to assume an elongated, highly branched
morphology. This same morphology was seen in osteogenic controls where hBMSCs
were cultured on flat polymer films in the presence of osteogenic supplements
(OS). In contrast, hBMSCs cultured on flat polymer films in the absence of OS
assumed a more rounded and less-branched morphology. These results indicate that
cells are more sensitive to scaffold structure than previously appreciated and
suggest that scaffold efficacy can be optimized by tailoring the scaffold
structure to force cells into morphologies that direct them to differentiate down
the desired lineage.
PMID- 21890198
TI - Non-viral gene transfection in vitro using endosomal pH-sensitive reversibly
hydrophobilized polyethylenimine.
AB - Reversibly hydrophobilized 10 kDa polyethylenimine (PEI) based on rapidly acid
degradable acetal-containing hydrophobe was designed for nontoxic and highly
efficient non-viral gene transfer. Water soluble PEI derivatives with average 5,
9 and 14 units of pH-sensitive 2,4,6-trimethoxybenzylidene
tris(hydroxymethyl)ethane (TMB-THME) hydrophobe per molecule, denoted as PEI-g
(TMB-THME)(n), were readily obtained by treating 10 kDa PEI with varying amounts
of TMB-THME-nitrophenyl chloroformate. Gel retardation assays showed that all PEI
g-(TMB-THME)(n) derivatives could effectively condense DNA at an N/P ratio of
5/1. Notably, polyplexes of PEI-g-(TMB-THME)(n) derivatives had smaller sizes
(about 100~170 nm) and higher surface charges (+25 ~ +43 mV) than the parent 10
kDa PEI at the same N/P ratios ranging from 10/1 to 40/1. MTT assays revealed
that these PEI-g-(TMB-THME)(n) derivatives were practically non-toxic at polymer
concentrations used in transfection experiments. The acetal degradation of PEI-g
(TMB-THME)(9) was shown to be highly pH dependent in which half lives of 1.3, 2.8
and 11 h were determined for pH 4.0, 5.0 and 6.0, respectively, while negligible
hydrolysis (<12%) was observed after 24 h at pH 7.4. Gel electrophoresis, dynamic
light scattering (DLS) and zeta potential analyses indicated that polyplexes
formed at an N/P ratio of 10/1 were dissociated following 5 h incubation at pH
5.0, highlighting the importance of hydrophobic TMB-THME moieties in DNA
condensation and supporting that acetal hydrolysis in endosomes would facilitate
DNA release. Notably, in vitro transfection experiments performed at N/P ratios
of 10/1 and 20/1 in HeLa, 293T, HepG2 and KB cells using plasmid pGL3 expressing
luciferase as the reporter gene showed that reversibly hydrophobilized PEIs had
superior transfection activity to 25 kDa PEI control. For example, polyplexes of
PEI-g-(TMB-THME)(14) showed about 235-fold and 175-fold higher transfection
efficiency as compared to 10 kDa PEI in HeLa cells in serum-free and 10% serum
media, respectively, which were approximately 7-fold and 16-fold higher than 25
kDa PEI formulation at its optimal N/P ratio under otherwise the same conditions.
Confocal laser scanning microscope (CLSM) studies confirmed that PEI-g-(TMB
THME)(14) efficiently delivered Cy5-labeled DNA to the nuclei of HeLa cells.
These endosomal pH-sensitive reversibly hydrophobilized PEIs have great
potentials for safe and efficient non-viral gene transfection.
PMID- 21890199
TI - Blastic plasmacytoid dendritic cell neoplasm with leukemic presentation, lacking
cutaneous involvement: Case series and literature review.
AB - The diagnosis of blastic plasmacytoid dendritic cell neoplasm (BPDCN) can be
challenging, owing to the absence of traditional lineage-specific markers, but is
facilitated by CD4/CD56 co-expression and frequent skin involvement. Herein, we
present our collective experiences with three BPDCN cases lacking cutaneous
presentation and the inherent diagnostic pitfalls. Taken in context of similar
historical cases, we suggest that BPDCN with "leukemic" presentation (L-BPDCN)
otherwise presents no major distinguishing features and is at least as aggressive
as its cutaneous-involved BPDCN counterpart.
PMID- 21890200
TI - JAK2 46/1 haplotype predisposes to splanchnic vein thrombosis-associated BCR-ABL
negative classic myeloproliferative neoplasms.
PMID- 21890201
TI - Reversible pulmonary arterial hypertension likely related to long-term, low-dose
dasatinib treatment for chronic myeloid leukaemia.
PMID- 21890202
TI - Looking for suitable biomarkers in benthic macroinvertebrates inhabiting coastal
areas with low metal contamination: comparison between the bivalve Cerastoderma
edule and the Polychaete Diopatra neapolitana.
AB - Metals accumulated in marine sediments are often a threat to benthic communities.
With the recognized importance and wide use of stress biochemical responses as
indicators of metal contamination it becomes essential to compare these markers
between different species and verify their ubiquity and accuracy. Using wild
Diopatra neapolitana and Cerastoderma edule, collected at several areas differing
in metal contamination, this study aimed to assess the use of these two
macrobenthic species as sentinel organisms and to determine the applicability of
currently used biomarkers in benthic species exposed to a range of low metal and
As concentrations. Total metal accumulation and intracellular partitioning was
analyzed and metal-induced alterations were assessed through the analysis of
several biochemical parameters in both organisms, including stress-induced
reactive oxygen species (ROS), lipid peroxidation and protein content, the
activity of antioxidant enzymes catalase (CAT), superoxide dismutase (SOD) and of
glutathione S-transferase (GST). Metal chelation by Metallothioneins (MTs) was
also determined. Results revealed that D. neapolitana accumulated higher levels
of metals when compared to C. edule, independently of the sediment concentration.
Results also showed strong species-specific responses to metals and differences
in the ability to sequester metals. Overall, C. edule showed to be more efficient
metal chelator and precipitatior than D. neapolitana, which was less tolerant and
presented oxidative stress. MTs proved to be a good predictor of metal
accumulation in both species, even under low metal exposures. On the other hand,
lipid peroxidation was a good indicator of oxidative damage, only observed in D.
neapolitana, which was a result of higher metal retention in the soluble
fraction.
PMID- 21890203
TI - An assessment of the genotoxic effects of landfill leachates using bacterial and
plant tests.
AB - Two bacterial tests (the Ames test and the umu-test) and the Allium test were
used to assess the genotoxicity of aqueous leachates from municipal solid waste
landfill sites in Southern Poland. A comparison of the sensitivity of the applied
tests was performed. None of the tested samples revealed genotoxic activity in
the umu-test and thus did not appear to be sensitive enough for evaluations of
leachates. Two out of 22 leachates were described as genotoxic in the Ames test
and 3 out of 6 leachates in the Allium test. All of the analyzed leachates
samples affected cell divisions. Two of the tests applied, the Allium and Ames
test, revealed the high genotoxicity of leachate 4. Among the bioassays used in
these studies, the Allium test proved to be more sensitive than bacterial tests
for the investigation of leachate toxicity. The results suggest that the Allium
cytogenetic bioassay is efficient and simple for genotoxicity studies of
leachates. The potential correlations between the chemical characteristics and
genotoxic effects is discussed. The biological effects of selected appeared to be
related to chemical parameters. Leachates have a genotoxic potential and pose a
risk to human health and the environment. A combination of biotests and chemical
analyses is the best approach for the assessment of the risk or impact of
leachates.
PMID- 21890204
TI - Case of interstitial lung disease possibly induced by exposure to iron dust.
AB - Interstitial lung diseases are primarily attributable to occupational or
environmental exposures to dusts and irritants. We report on a case of
interstitial lung disease, possibly secondary to iron exposure. Our male patient
presented with cough and shortness of breath of more than 20 years' duration
after his occupational exposure had ended. A chest radiograph showed patchy
shadows throughout both lower fields, and computed tomography showed ground-glass
like opacification, with fibrosis in the lower lobes. A lung biopsy revealed
foamy cells in the alveolar spaces, with bronchiolitis obliterans. Microelemental
analysis showed an increased level of iron in the lung tissue. After treatment
with N-acetyl cysteine effervescent tablets, the patient's symptoms gradually
improved. This probable case of iron-induced interstitial lung disease suggests
the importance of obtaining a patient's history of occupational and environmental
exposures for the sake of an accurate diagnosis.
PMID- 21890206
TI - Assessment of the clinical use of intravenous and oral N-acetylcysteine in the
treatment of acute acetaminophen poisoning in children: a retrospective review.
AB - BACKGROUND: N-acetylcysteine (NAC) is the most effective therapy for
acetaminophen (APAP) toxicity and is currently available for oral and intravenous
(IV) administration. Although both routes are effective, use of the IV
formulation has been increasing since becoming available in the United States in
2004, raising questions about cost/benefit comparisons between the 2
formulations. Decreased length of treatment and hospital stay have been used to
justify the use of IV NAC; however, some patients may receive extended therapy of
either NAC regimen. OBJECTIVE: This retrospective review assessed the clinical
use of oral and IV NAC in pediatric patients with APAP intoxication from June 1,
2004 through May 31, 2008. METHODS: Electronic medical charts for patients aged
<=21 years were identified with International Classification of Diseases, Ninth
Revision (ICD-9) codes for APAP overdose. Descriptive statistics were used to
describe the overall patient population and route of NAC administration. The
primary outcome variable was the length of treatment with IV and oral NAC
therapy. RESULTS: A total of 62 charts for patients with APAP toxicity were
reviewed; 37 patients (60%) received IV NAC and 25 patients (40%) received oral
NAC. The average lengths of treatment and stay for IV dosing were 23.5 hours
(range, 17.6-54.9 hours) and 1.6 days (range, 1-3 days), respectively; those for
oral dosing were 69.5 hours (range, 33-133 hours) and 1.95 days (range, 1-5
days), respectively. Of 16 patients who received oral NAC and were admitted for
<3 days, 14 were transferred to an inpatient psychiatric unit and completed the
72-hour therapy. A total of 3 patients received extended NAC dosing-2 with IV
dosing and 1 with oral dosing. CONCLUSIONS: Based on our review, the majority of
patients received recommended dosing of NAC therapy; however, 3 patients received
extended NAC therapy. Patient-specific factors should be considered when
assessing whether NAC therapy should be extended and if one route of
administration may be preferred. ClinicalTrials.gov identifier: NCT00725179.
PMID- 21890207
TI - Spatial and temporal distribution of Pu in the Northwest Pacific Ocean using
modern coral archives.
AB - Historical (239)Pu activity concentrations and (240)Pu/(239)Pu atom ratios were
determined in skeletons of dated modern corals collected from three locations
(Chuuk Lagoon, Ishigaki Island and Iki Island) to identify spatial and temporal
variations in Pu inputs to the Northwest Pacific Ocean. The main Pu source in the
Northwest Pacific is fallout from atmospheric nuclear weapons testing which
consists of global fallout and close-in fallout from the former US Pacific
Proving Grounds (PPG) in the Marshall Islands. PPG close-in fallout dominated the
Pu input in the 1950s, as was observed with higher (240)Pu/(239)Pu atom ratios
(>0.30) at the Ishigaki site. Specific fallout Pu contamination from the Nagasaki
atomic bomb and the Ivy Mike thermonuclear detonation at the PPG were identified
at Ishigaki Island from the (240)Pu/(239)Pu atom ratios of 0.07 and 0.46,
respectively. During the 1960s and 1970s, global fallout was the major Pu source
to the Northwest Pacific with over 60% contribution to the total Pu. After the
cessation of the atmospheric nuclear tests, the PPG again dominated the Pu input
due to the continuous transport of remobilised Pu from the Marshall Islands along
the North Equatorial Current and the subsequent Kuroshio Current. The Pu
contributions from the PPG in recent coral bands (1984 onwards) varied over time
with average estimated PPG contributions between 54% and 72% depending on
location.
PMID- 21890208
TI - Maternal parenting stress and mothers' reports of their infants' mastery
motivation.
AB - Mastery motivation is a psychological force that stimulates an individual to
attempt to master a task that is challenging to him or her. This prospective
longitudinal study examined the relationship between maternal stress, using the
Parenting Stress Index-Short Form, and infant mastery motivation, using the
Dimensions of Mastery Questionnaire, for 150 mother-infant pairs assessed at both
6- and 18-months of age. Infants of mothers with elevated stress levels at 6
months tended to show lower mastery motivation at 18 months (standardized beta=
.46, p=.001). Conversely, infants with lower general competence (standardized
beta=-.24, p=.021) and lower persistence during social interactions with other
children (standardized beta=-.18, p=.037) at 6 months of age had mothers with
elevated total stress at 18 months of age. Implications for programs which
simultaneously intervene with child and mother are discussed.
PMID- 21890209
TI - Resilience as a response to the stigma of depression: a mixed methods analysis.
AB - BACKGROUND: Stigma has been shown to have a significant influence on help
seeking, adherence to treatment and social opportunities for those experiencing
depression. There is a need for studies which examine how the stigma of
depression intersects with responses to depression. METHODS: 161 telephone
interviews with people experiencing depressive symptoms, derived from a
longitudinal cohort study, were sampled on the basis of their perceptions of
stigma around depression. Interview transcripts were searched for references to
stigma and analysed thematically. The frequency of the themes was calculated and
cross-referenced, producing a meta-theme matrix. RESULTS: Stigma was closely
linked to ideas about responsibility for causation and/or continuation of
depressive symptoms. Stigmatized individuals felt compelled to take steps to
develop their resilience including drawing on existing support networks and
expanding on positive emotions and personal strengths in order to counteract this
stigma. However, such strategies were burdensome for some. These participants
gained relief from relinquishing their personal responsibility. LIMITATIONS: The
data were briefer than many interview studies. This narrowed its interpretation,
but allowed a large sample of participants. CONCLUSIONS: When considering how to
tailor therapies for those experiencing depressive symptoms, health professionals
should consider the interaction of stigma with coping strategies. Many
individuals can build on existing relationships and personal strengths to develop
resilience, some however need to first relinquish the expectation of having
sufficient pre-existing resilience within themselves.
PMID- 21890210
TI - Obstetrical and neonatal outcomes after prenatal exposure to selective serotonin
reuptake inhibitors: the relevance of dose.
AB - OBJECTIVE: The purpose of this study was to evaluate the effects of prenatal
exposure to selective serotonin reuptake inhibitors (SSRIs) on obstetrical and
neonatal outcomes. METHOD: A case-control study was conducted to compare
perinatal outcomes among pregnant women with affective disorder (DSM-IV criteria)
and who received SSRIs during pregnancy with those of women without an active
psychiatric disorder during pregnancy who were non-exposed to antidepressants
during pregnancy. Each case was matched to two controls for maternal age (+/- 2
years) and parity. RESULTS: A total of 252 women were enrolled in the study, 84
exposed and 168 non-exposed. Demographic and clinical characteristics did not
differ significantly between the groups. The rates of prelabor rupture of
membranes, induction of labor and cesarean delivery were slightly higher but not
statistically significant in the exposed group. The mean gestational age at birth
was 38.8 (+/- 1.86) weeks for the exposed group and 39.4 (+/- 1.52) weeks for the
non-exposed group (p=.005). Rates for preterm birth were higher in the exposed
group (OR=3.44, 95% CI=1.30-9.11). After stratification for dose, it was found
that exposure to a high-dose was associated with lower gestational age (p=.009)
and higher rates of prematurity (OR=5.07, 95% CI=1.34-19.23). The differences
remained significant after controlling for maternal status and the length of
exposure. CONCLUSION: Women treated with SSRIs during pregnancy, mainly at high
dose, had an increased risk of preterm birth compared to healthy women of similar
age and parity who were not exposed to SSRI during pregnancy.
PMID- 21890211
TI - Mapping the depressed brain: a meta-analysis of structural and functional
alterations in major depressive disorder.
AB - BACKGROUND: Depression has a lifetime prevalence of up to 20%. Neuroimaging
methods have revealed various structural and functional changes that occur in a
human brain during a depressive episode. However, we still lack information
concerning the extent to which structural and functional changes co-occur in a
depressed brain. Furthermore, it is difficult to evaluate from a merely
qualitative literature review what regional brain changes in volume and
activation are robust across depressed patient samples and consistent across
imaging centers. METHODOLOGY AND PRINCIPLE FINDINGS: This study is a meta
analysis from 10 selected studies published previously. We applied the
statistical anatomical/activation likelihood estimate method (ALE) in a total of
176 depressed patients and 175 controls for the MRI modality and in a total of
102 depressed patients and 94 controls for the PET modality to quantitatively
identify those brain regions that show concordant alteration in the midst of a
depressive episode across imaging modalities and study sites. We find a
convergent change in the limbic-cortical brain circuit in depression compared to
controls of both Positron Emission Tomography (PET) and Magnetic Resonance
Imaging (MRI) data. The specific changes include lower gray matter volumes in the
amygdala, the dorsal frontomedian cortex, and the right paracingulate cortex, as
well as increases in glucose metabolism in the right subgenual and pregenual
anterior cingulate cortices. CONCLUSIONS/SIGNIFICANCE: Our current findings
represent an important first step towards a more focused approach to neuroimaging
unipolar depression. The regions identified could serve as a specific region-of
interest-for-disease template for both individual in vivo imaging studies and
postmortem histopathologic exploration.
PMID- 21890212
TI - Altered patterns of brain activity during transient sadness in children at
familial risk for major depression.
AB - INTRODUCTION: We used functional magnetic resonance imaging (fMRI) to investigate
the neural correlates of sadness, the prevailing mood in major depression (MD),
in a prospective, well-documented community sample followed since birth. METHODS:
The children, comprising 136 children (65 boys and 71 girls) of mothers with
varying levels of depressive symptomatology, were scanned - using a 1.5-Tesla
system - while they watched 5 blocks of both sad and neutral film excerpts.
Following scanning, they rated the emotions they experienced, and if they
identified sadness, they were also asked to rate its intensity. RESULTS: In
children whose mothers exhibited higher depressive symptomatology, compared to
children whose mothers displayed lower depressive symptomatology, altered neural
responses to sad film excerpts were noted in brain regions known to be involved
in sadness and MD, notably the insula, anterior cingulate cortex and caudate
nucleus, even though the children did not differ in current mood. LIMITATIONS:
Whether this represents genetic vulnerability or a consequence of exposure to
maternal depressive symptoms at a young age is unknown. DISCUSSION: The results
are consistent with the results of studies in healthy adults and MD patients. The
present study suggests that an altered pattern of regional brain responses to sad
stimuli, is already present in childhood and might represent vulnerability for MD
later in life.
PMID- 21890213
TI - Psychological interventions for alcohol misuse among people with co-occurring
depression or anxiety disorders: a systematic review.
AB - OBJECTIVE: Depression, anxiety and alcohol misuse frequently co-occur. While
there is an extensive literature reporting on the efficacy of psychological
treatments that target depression, anxiety or alcohol misuse separately, less
research has examined treatments that address these disorders when they co-occur.
We conducted a systematic review to determine whether psychological interventions
that target alcohol misuse among people with co-occurring depressive or anxiety
disorders are effective. DATA SOURCES: We systematically searched the PubMed and
PsychINFO databases from inception to March 2010. Individual searches in alcohol,
depression and anxiety were conducted, and were limited to 'human' published
'randomized controlled trials' or 'sequential allocation' articles written in
English. STUDY SELECTION: We identified randomized controlled trials that
compared manual guided psychological interventions for alcohol misuse among
individuals with depressive or anxiety disorders. Of 1540 articles identified,
eight met inclusion criteria for the review. DATA EXTRACTION: From each study, we
recorded alcohol and mental health outcomes, and other relevant clinical factors
including age, gender ratio, follow-up length and drop-out rates. Quality of
studies was also assessed. DATA SYNTHESIS: Motivational interviewing and
cognitive-behavioral interventions were associated with significant reductions in
alcohol consumption and depressive and/or anxiety symptoms. Although brief
interventions were associated with significant improvements in both mental health
and alcohol use variables, longer interventions produced even better outcomes.
CONCLUSIONS: There is accumulating evidence for the effectiveness of motivational
interviewing and cognitive behavior therapy for people with co-occurring alcohol
and depressive or anxiety disorders.
PMID- 21890214
TI - Impact of employment status and work-related factors on risk of completed
suicide. A case-control psychological autopsy study.
AB - The objective of this study was to determine the impact of work-related factors
on risk for completed suicide. Psychiatric disorders and socio-demographic
factors including work-related factors were assessed by a semi-structured
interview using the psychological autopsy method in 163 completed suicide cases
and by personal interview in 396 living population-based control persons.
Unemployment (in particular, for more than six months), (early) retirement, or
homemaker status were associated with highly significantly increased suicide
risk, independently of categorized psychiatric diagnosis. In addition, adverse
psychosocial working conditions, such as monotonous work, increased
responsibility and pronounced mental strain due to contact with work clients,
significantly increased suicide risk as well, again independently of categorized
psychiatric diagnosis. These findings demonstrate that negative consequences of
unemployment, homemaker status with no outside occupation, or (early) retirement,
as well as adverse psychosocial working conditions, present relevant risk factors
contributing to suicidal behavior, independently of diagnosed psychiatric
disorders. Employment and a positive modification of working conditions, may
possibly be preventive to important adverse mental health outcomes, including
suicidality.
PMID- 21890215
TI - No association of polymorphisms in the CDK5, NDEL1, and LIS1 with autism in
Chinese Han population.
AB - Autism is a pervasive neurodevelopmental disorder. CDK5 (cyclin-dependent kinase
5) and its interacting molecules are involved in neurodevelopment. We performed a
family-based association analysis between CDK5, NDEL1, and LIS1 polymorphisms and
autism in a Chinese Han population. Our study did not detect a significant
association. It indicated that common genetic variations in these genes might not
play a role in the genetic predisposition to autism.
PMID- 21890216
TI - A reduced incidence of digestive disorders in rabbits is associated with allelic
diversity at the TLR4 locus.
AB - Toll-like receptor 4 (TLR4) plays a fundamental role in pathogen recognition and
activation of host innate immunity. In this study, we detected five coding single
nucleotide polymorphisms (cSNPs) of the TLR4 gene in New Zealand White rabbits
and analyzed their associations with genetic resistance against digestive
disorders based on case-control study (125 cases and 147 controls). The five
cSNPs included two synonymous mutations (c.57 C>T and c.1098 G>A) and three non
synonymous mutations (c.566 G>A, c.760 G>A, and c.923 A>G), which totally
determined two alleles (haplotypes) H1 (the five cSNPs: CGGAG) and H2 (the five
cSNPs: TAAGA) with pair-wise LD (D') estimates of 1.0. The frequencies of alleles
and genotypes significantly differed between case group and control group
(P<0.05). The association analyses revealed that allele H2 carried a potential
protective role with an odds ratio (OR) value of 0.521 (95% confidence interval
0.324-0.837, P=0.0064). To our knowledge, this is first report to study the
genetic polymorphism of TLR4 gene and association with incidence of digestive
disorders in rabbits.
PMID- 21890217
TI - Development of a multiplex assay for the detection of antibodies to Borrelia
burgdorferi in horses and its validation using Bayesian and conventional
statistical methods.
AB - Lyme disease is a zoonotic, vector-borne disease and occurs in mammals including
horses. The disease is induced by infection with spirochetes of the Borrelia
burgdorferi sensu lato group. Infection of mammalian hosts requires transmission
of spirochetes by infected ticks during tick bites. Lyme disease diagnosis is
based on clinical signs, possible exposure to infected ticks, and antibody
testing which is traditionally performed by ELISA and Western blotting (WB). This
report describes the development and validation of a new fluorescent bead-based
multiplex assay for the detection of antibodies to B. burgdorferi outer surface
protein A (OspA), OspC and OspF antigens in horse serum. Testing of 562 equine
sera was performed blindly and in parallel by using WB and the new multiplex
assay. Because a true gold standard is missing for Lyme antibody testing, we
performed and compared different statistical approaches to validate the new Lyme
multiplex assay. One approach was to use WB results as a 'relative gold standard'
in ROC-curve and likelihood-ratio analyses of the new test. Cut-off values and
interpretation ranges of the multiplex assay were established by the analysis.
The second statistical approach used a Bayesian model for the calculation of
diagnostic sensitivities and specificities of the multiplex assay. The Bayesian
analysis takes into consideration that no true gold standard exists for detecting
antibodies to B. burgdorferi and estimated sensitivities and specificities of
both tests that were compared. Therefore, the Bayesian analysis also resulted in
an evaluation of diagnostic sensitivity and specificity of WB. Overall, the new
assay was characterized by low background values and a wide dynamic
quantification range for the detection of antibodies to OspA, OspC and OspF
antigens of B. burgdorferi. The diagnostic sensitivity and specificity for the
OspA bead-based assay were calculated as 49% and 85%, respectively, and by a
standard ROC curve analysis only because the Bayesian model could not be run on
this parameter. The Bayesian-derived diagnostic sensitivities of the OspC and
OspF assays were 80% and 86%, respectively. For comparison, the Bayesian-derived
estimates for WB resulted in sensitivities of 72% for OspC and 80% for OspF. The
Bayesian diagnostic specificities of the multiplex assay were 79% and 69% for
OspC and OspF, respectively. WB analysis had specificities of 92% for OspC and
77% for OspF. Although the analysis of a new assay in the absence of a true gold
standard remains challenging, the approach used here can help to address this
problem when new technologies and traditionally used test standards differ
significantly in their analytical sensitivities, which consequently causes
problems in the calculation of diagnostic sensitivity and sensitivity values for
the new assay. In summary, the new multiplex assay for the detection of
antibodies to B. burgdorferi OspA, OspC and OspF antigens in horse serum has
improved analytical and diagnostic sensitivities compared to WB analysis.
Multiplex analysis is a valuable quantitative tool that simultaneously detects
antibodies indicative for natural infection with and/or vaccination against the
Lyme pathogen.
PMID- 21890218
TI - Detection of calprotectin and apoptotic activity within the equine colon from
horses with black walnut extract-induced laminitis.
AB - The black walnut extract (BWE) model of equine laminitis is associated with a
systemic inflammatory response manifest by increased expression of inflammatory
cytokines in the lungs and liver as well as the laminae. The specific role of the
gastrointestinal tract in development of this response is unclear and is of
utmost importance, as gastrointestinal disease and laminitis are intimately
related. We investigated calprotectin expression and epithelial and endothelial
apoptosis in the colon of horses exposed to orally administered BWE. Sections of
colon from 19 horses including 7 controls not exposed to BWE, 6 horses at the
developmental time-point of leukopenia (DTP) and 6 at the onset of Obel grade 1
laminitis (LAM) after BWE-administration were histologically examined.
Immunohistochemical evaluation for calprotectin expression with MAC 387 antibody
was performed along with assessment of epithelial and endothelial apoptosis with
caspase-3 active antibody. Calprotectin expression and percentage of apoptotic
cells were compared between controls and the two treatment groups and presence of
a correlation between calprotectin expression and apoptosis was evaluated.
Histological findings from BWE-treated horses included eosinophil and lymphocyte
epitheliotropism. The DTP group had a higher (p<0.01) calprotectin score with
respect to the control group, while there was no significant difference in
percentage of epithelial and endothelial apoptotic cells between groups (p=0.08
and p=0.48 respectively). No significant correlation was found between
calprotectin score and epithelial or endothelial apoptosis (p=0.69 and p=0.29
respectively). There is preliminary evidence that exposure of horses to BWE
results in an early inflammatory response in the colon. Further studies are
needed to characterize the nature of the colonic injury in BWE-exposed horses and
the link to the development of laminitis.
PMID- 21890219
TI - The role of apoptosis in immunosuppression of dogs with demodicosis.
AB - The aim of the present study was to evaluate the status of apoptosis in
peripheral blood leukocytes of dogs with demodicosis. A total of 26 dogs
suffering from demodicosis, and positive for Demodex canis mites by skin
scraping, participated in the study, 13 with localized demodicosis (LD) and 13
with generalized demodicosis (GD). A further 13 clinically healthy dogs, all of
whom were negative for mites upon skin scraping, were used as controls. The dogs
with GD revealed significantly higher (P <= 0.0001) percentage of leukocytes with
externalization of phosphatidylserine (PS) and depolarized mitochondrial membrane
potentials (DeltaPsim) as compared with the dogs with LD and healthy controls.
These dogs also revealed significantly lower values (P <= 0.0001) of
hematological parameters viz. hemoglobin, total erythrocytes count total
leukocytes count, lymphocytes, monocytes and neutrophils. Significantly higher (P
<= 0.0001) percentages of leukocytes with externalization of PS and depolarized
DeltaPsim were also found in dogs with LD as compared with the healthy controls.
These dogs also revealed significantly lower values of Hb (P <= 0.0001), TEC
(P=0.025), TLC (P <= 0.0001), lymphocytes (P=0.008), monocytes (P <= 0.0001) and
neutrophils (P=0.03). It is concluded that premature apoptosis of PBL may be
implicated in the immunosuppression of the dogs with demodicosis.
PMID- 21890220
TI - Neuroadaptive changes in cerebellar neurons induced by chronic exposure to IL-6.
AB - IL-6 is an important signaling molecule in the CNS. CNS neurons express IL-6
receptors and their signal transduction molecules, consistent with a role for IL
6 in neuronal physiology. Research indicates that IL-6 levels are low in the
normal brain but can be significantly elevated in CNS injury and disease.
Relatively little is known about how the elevated levels of IL-6 affect neurons.
In the current study we show that under conditions of chronic exposure, IL-6
induces alterations in the level of protein expression in developing CNS cells.
Such changes may play a role in the altered CNS function observed in CNS
conditions associated with elevated levels of IL-6 in the CNS.
PMID- 21890221
TI - Endoscopic surgery in pediatric recurrent antrochoanal polyp, rule of wide
ostium.
AB - OBJECTIVE: To evaluate the use of wide middle meatal antrostomy in recurrent
antrochoanal polyp (ACP) in children as regard technical difficulty, efficacy,
and safety in children. STUDY DESIGN: Retrospective study. PATIENTS AND METHODS:
In a retrospective study, 12 children with unilateral recurrent ACP (5 left
sided, 7 right-sided). All the ACPs were documented by preoperative endoscopy and
computer tomographic (CT) scans. All cases were treated using endoscopic wide
middle meatal antrostomy. The average age at the onset of symptoms was 9.3 years
(median age: 10 years; range: 6-15 years). RESULTS: Postoperative improvement in
all cases was achieved using both subjective measures (symptoms improvement) and
objective measures (radiological and endoscopical). No postoperative
complications or recurrence during the follow up period. CONCLUSIONS: Endoscopic
wide middle meatal antrostomy is a useful and easily applicable technique to
manage recurrent antrochoanal 3 polyp in children. Managing associated pathology
as turbinate hypertrophy, associated adenoids, anterior ethmoidectomy,
uncinectomy and endoscopic limited septoplasty should be put in mind in order to
improve ventilations. Powered instrumentations, angled endoscopes (45 and 70
degrees ) and angled instrumentations can assure complete clearance of the polyp
by identifying the origin of polyp in maxillary antrum.
PMID- 21890223
TI - Metapopulation dynamics and determinants of H5N1 highly pathogenic avian
influenza outbreaks in Indonesian poultry.
AB - In 2008, the Indonesian Government implemented a revised village-level
Participatory Disease Surveillance and Response (PDSR) program to gain a better
understanding of both the magnitude and spatial distribution of H5N1 highly
pathogenic avian influenza (HPAI) outbreaks in backyard poultry. To date, there
has been considerable collection of data, but limited publically available
analysis. This study utilizes data collected by the PDSR program between April
2008 and September 2010 for Java, Bali and the Lampung Province of Sumatra. The
analysis employs hierarchical Bayesian occurrence models to quantify spatial and
temporal dynamics in backyard HPAI infection reports at the District level in 90
day time periods, and relates the probability of HPAI occurrence to PDSR-reported
village HPAI infection status and human and poultry density. The probability of
infection in a District was assumed to be dependent on the status of the District
in the previous 90 day time period, and described by either a colonization
probability (the probability of HPAI infection in a District given there had not
been infection in the previous 90 day time period) or a persistence probability
(the probability of HPAI infection being maintained in the District from the
previous to current 90 day period). Results suggest that the number of
surveillance activities in a district had little relationship to outbreak
occurrence probabilities, but human and poultry densities were found to have non
linear relationships to outbreak occurrence probabilities. We found significant
spatial dependency among neighboring districts, indicating that there are latent
spatial processes that are not captured by the covariates available for this
study, but which nonetheless impact outbreak dynamics. The results of this work
may help improve understanding of the seasonal nature of H5N1 in poultry and the
potential role of poultry density in enabling endemicity to occur, as well as to
assist the Government of Indonesia target scarce resources to regions and time
periods when outbreaks of HPAI in poultry are most likely to occur.
PMID- 21890222
TI - Survey disability questionnaire does not generate valid accurate data compared to
clinical assessment on an older population.
AB - PURPOSE: Policy-makers and health care managers need accurate information on
disabilities in the population to plan appropriate services to the older
population. Disability information from population surveys are often very crude
as compared to information used on the clinical field. This study aimed to verify
the concurrent validity of a survey questionnaire version of a disability
instrument (SMAF) administered by lay interviewers, compared to the original SMAF
rating scale completed by clinicians. METHODS: The survey questionnaire version
was developed by a panel of experts and pretested with 15 patients attending a
day hospital program using a think-aloud approach. A social worker administered
the SMAF rating scale at home to a sample of Community-dwelling frail people over
81 years old who participated in the PRISMA longitudinal study in Sherbrooke,
Quebec, Canada. The same subjects were contacted one month later by a lay
interviewer, who completed the survey questionnaire. The two versions were
compared using intraclass correlation coefficients (ICCs) and weighted kappas
(WK). RESULTS: 96 subjects participated in the study. The ICC was 0.82 for the
total SMAF score and ranged from 0.46 (mental functions) to 0.82 (IADL) for the
subscores. The questionnaire version systematically underestimated disability by
4.7 points on average (out of 87) (p<0.0001). For the case-mix classification
generated from the disability assessment (Iso-SMAF profiles), the underestimation
was even more striking. CONCLUSIONS: A survey questionnaire is not a valid method
for accurately estimating disability in a population.
PMID- 21890224
TI - Image-guided radiotherapy using surgical clips as fiducial markers after
prostatectomy: a report of total setup error, required PTV expansion, and
dosimetric implications.
AB - PURPOSE: To determine the total setup error and the required planning target
volume (PTV) margin for prostate bed without image guided radiotherapy (IGRT),
and to demonstrate the feasibility and dosimetric benefit of IGRT post
prostatectomy using surgical clips. MATERIALS AND METHODS: Seventeen patients
were treated with intensity modulated radiotherapy (IMRT) to the prostate bed
with a 1cm PTV margin. Three-dimensional shifts of the surgical clips inside the
prostate bed were measured with respect to the isocenter from 364 orthogonal kV
image pairs, and the total setup error was calculated to determine the required
PTV margin. Alternative IMRT plans using 5mm or 1cm PTV expansion were generated
and compared for rectal and bladder sparing. RESULTS: Surgical clips were
reproducibly and reliably identified. The mean (standard deviation) shifts in the
left-right (LR), superior-inferior (SI), and anterior-posterior (AP), axes were:
0.1 mm (1.7 mm), 0.6 mm (2.4 mm), and -2.1 mm (2.6 mm), respectively. The
required PTV margins were calculated to be 6, 8, and 9 mm in the LR, AP, and SI
axis, respectively. A PTV expansion of 5mm, compared to 1cm, significantly
reduced V65 Gy to the rectum by 10%. CONCLUSIONS: In the absence of IGRT, a non
uniform PTV margin of 6mm LR, 8mm AP, and 9 mm SI should be considered. Use of
clips as fiducial markers can decrease the total setup error, enable a smaller
PTV margin, and improve rectal sparing.
PMID- 21890225
TI - Phase I study of radiochemotherapy with gemcitabine in invasive bladder cancer.
AB - Tolerability to gemcitabine radiochemotherapy was evaluated in 33 patients with
inoperable, locally advanced transitional-cell bladder cancers. The dose of 75
mg/m(2) gemcitabine once a week, concurrently with standard radiotherapy of 60
Gy/6 weeks, was found to be acceptable. Eighty-one percentage of 3-year local
progression-free survival suggests efficiency warranting further studies.
PMID- 21890226
TI - Aerobic and resistance training effects compared to aerobic training alone in
obese type 2 diabetic patients on diet treatment.
AB - AIMS: The study was designed to compare a combined aerobic and resistance
training (ART) with an aerobic training (AT) over hemodynamic, glucose metabolism
and endothelial factors, adipokines and pro-inflammatory marker release in a
population of obese type 2 diabetic patients. METHODS: Forty-seven patients were
randomly assigned to aerobic (27 patients) or aerobic plus resistance (20
patients) exercise trainings, on the top of a diet regime. Anthropometric,
metabolic, hormonal and inflammatory variables were measured at hospitalization
and discharge. RESULTS: Both exercise programs equally improved body weight and
fructosamine levels however ART only partially decreased HOMA index compared with
AT (ART: -25% vs AT: -54%, p<0.01). Mean blood pressure (AT: -3.6 mmHg vs ART:
+0.6 mmHg, p<0.05) and endothelin-1 (ET-1) incremental areas during walking test
(AT: -11% vs ART: +30%, p<0.001) decreased after AT while increased after ART.
Adiponectin levels increased by 54% after AT while decreased by 13% after ART
(p<0.0001) and matrix metalloproteinase-2 (MMP-2), tumor necrosis factor-alpha
(TNF-alpha) and monocyte chemoattractan protein-1 (MCP-1) levels significantly
decreased in AT while increased in ART group. CONCLUSIONS: Compared with AT, ART
similarly enhanced body weight loss but exerted less positive effects on insulin
sensitivity and endothelial factors, adipokines and pro-inflammatory marker
release.
PMID- 21890227
TI - Temporal changes in the prevalence of diabetes, impaired fasting glucose and its
associated risk factors in the rural area of Baluchistan.
AB - AIMS: To observe temporal changes in the prevalence of diabetes, impaired fasting
glucose and its associated risk factors in the rural area of Baluchistan province
of Pakistan according to American Diabetes Association criteria by comparing the
two surveys done in 2002 and 2009. METHODOLOGY: This community based survey of
1264 subjects aged 25 years and above was conducted from February 2009 to
February 2010 in sixteen villages of southern Baluchistan. The temporal changes
were assessed in comparison with a similar survey conducted seven years
previously. Data from 2002 survey was also re-analyzed according to the latest
ADA criteria. RESULTS: A two-fold increase in the prevalence of diabetes (from
7.2% to 14.2%) was seen in 2009 survey and the prevalence of impaired fasting
glucose also increased significantly (6.5-11.0%). An important finding was the
number of hypertensives and subjects with positive family history of diabetes
also increased significantly (p<0.000) from the previous survey. CONCLUSION:
Coordinated National Programs for primary prevention to counteract the increasing
prevalence of diabetes are the need of time. Further large scale studies with
proper risk factor assessment are needed to ascertain the reasons of rising
prevalence of glucose intolerance.
PMID- 21890228
TI - Safety and effectiveness of pemetrexed in patients with malignant pleural
mesothelioma based on all-case drug-registry study.
AB - BACKGROUND: Pemetrexed in combination with cisplatin (Pem/Cis) is the only
approved chemotherapeutic regimen for malignant pleural mesothelioma (MPM). At
the time of launch, limited safety information was available. The purpose of this
postmarketing all-case registry study was to investigate the safety and
effectiveness of pemetrexed in patients with MPM. METHODS: From January 2007 to
May 2008, MPM patients to be treated with pemetrexed in Japan were registered to
this study to monitor its safety and effectiveness. Supply of pemetrexed was
restricted to institutions with experienced medical oncologists based on
predetermined criteria. RESULTS: Of 953 patients registered, data from 903
patients were eligible for analysis. Most patients were male, with median age of
65 years and 68.5% had a history of asbestos exposure. More than 90% of patients
received the first cycle of Pem/Cis treatment; median number of treatment cycles
was 4.0. Treatment-associated death was reported in 0.8% of patients. The
incidence of Interstitial lung disease (ILD) associated with Pem/Cis during the
observation period was 0.9%. The frequency of ILD in patients with pre-existing
asbestosis was higher than that in patients without it. For tumor response, the
overall response rate was 25.0% (95% confidence interval (CI): 22.2-28.0%). The
six-month survival rate estimated by the Kaplan-Meier method was 75.9%.
CONCLUSIONS: This large scale all case registry study appeared to have enrolled a
major portion of Japanese MPM patients. Treatment with pemetrexed was generally
well tolerated and showed safety and effectiveness comparable to prior clinical
trials.
PMID- 21890229
TI - Correlation between tumor measurement on Computed Tomography and resected
specimen size in lung adenocarcinomas.
AB - OBJECTIVE: To compare preoperative size of stage I and stage II lung
adenocarcinoma as measured by Computed Tomography (CT) and as assessed on gross
pathology specimens. MATERIALS AND METHODS: 47 patients diagnosed with stage I or
II lung adenocarcinoma were evaluated. Institutional Review Board permission was
obtained. Tumor contours were delineated using a semi-automated segmentation
algorithm and adjusted based on a radiologist's input. Based on the tumor
perimeter, maximal in-plane tumor diameter was calculated automatically. The
largest single diameter from the pathology gross report was utilized. A paired t
test was used to examine the measurement difference between CT and pathology.
RESULTS: The mean largest diameter of the tumors at CT and pathology was 29.53 mm
and 24.04 mm, respectively. There was a statistically significant difference
between the mean CT measurement and mean pathology measurement of 5.49 mm
(standard deviation 9.08 mm, p<0.001). The percent relative difference between
the two measurements was 18.3% (standard deviation 28.2%). CONCLUSION: There is a
statistically significant difference between the tumor diameter as measured by CT
and on pathology gross specimen. These differences could have implications in the
treatment and prognosis of patients with early stage lung adenocarcinoma.
PMID- 21890230
TI - The evolution and significance of male mate choice.
AB - The distinct reproductive roles of males and females, which for many years were
characterised in terms of competitive males and choosy females, have remained a
central focus of sexual selection since Darwin's time. Increasing evidence now
shows that males can be choosy too, even in apparently unexpected situations,
such as under polygyny or in the absence of male parental care. Here, we provide
a synthesis of the theory on male mate choice and examine the factors that
promote or constrain its evolution. We also discuss the evolutionary significance
of male mate choice and the contrasts in male versus female mate choice. We
conclude that mate choice by males is potentially widespread and has a distinct
role in how mating systems evolve.
PMID- 21890231
TI - Applications and implications of direct groundwater velocity measurement at the
centimetre scale.
AB - Three projects involving point velocity probes (PVPs) illustrate the advantages
of direct groundwater velocity measurements. In the first, a glacial till and
outwash aquifer was characterized using conventional methods and multilevel PVPs
for designing a bioremediation program. The PVPs revealed a highly conductive
zone that dominated the transport of injected substances. These findings were
later confirmed with a natural gradient tracer test. In the second, PVPs were
used to map a groundwater velocity field around a dipole recirculation well. The
PVPs showed higher than expected velocities near the well, assuming homogeneity
in the aquifer, leading to improved representations of the aquifer heterogeneity
in a 3D flow model, and an improved match between the modelled and experimental
tracer breakthrough curves. In the third study, PVPs detected subtle changes in
aquifer permeability downgradient of a biostimulation experiment. The changes
were apparently reversible once the oxygen source was depleted, but in locations
where the oxygen source lingered, velocities remained low. PVPs can be a useful
addition to the hydrogeologist's toolbox, because they can be constructed
inexpensively, they provide data in support of models, and they can provide
information on flow in unprecedented detail.
PMID- 21890232
TI - A socio-ecological adaptive approach to contaminated mega-site management: from
'control and correct' to 'coping with change'.
AB - Mega-sites have a notable impact on surrounding ecological systems. At such sites
there are substantial risks associated with complex socio-ecological interactions
that are hard to characterize, let alone model and predict. While the urge to
control and clean-up mega-sites (control and correct) is understandable, rather
than setting a goal of cleaning up such sites, we suggest a more realistic
response strategy is to address these massive and persistent sources of
contamination by acknowledging their position as new features of the socio
ecological landscapes within which they are located. As it seems nearly
impossible to clean up such sites, we argue for consideration of a 'coping with
change' rather than a 'control and correct' approach. This strategy recognizes
that the current management option for a mega-site, in light of its physical
complexities and due to changing societal preferences, geochemical
transformations, hydrogeology knowledge and remedial technology options may not
remain optimal in future, and therefore needs to be continuously adapted, as
community, ecology, technology and understanding change over time. This approach
creates an opportunity to consider the relationship between a mega-site and its
human and ecological environments in a different and more dynamic way. Our
proposed approach relies on iterative adaptive management to incorporate mega
site management into the overall socio-ecological systems of the site's context.
This approach effectively embeds mega-site management planning in a triple bottom
line and environmental sustainability structure, rather than simply using single
measures of success, such as contaminant-based guidelines. Recognizing that there
is probably no best solution for managing a mega-site, we present a starting
point for engaging constructively with this seemingly intractable issue.
Therefore, we aim to initiate discussion about a new approach to mega-site
management, in which the complexity of the problems posed by mega-sites is
reflected upon in its entirety. These complexities are associated with
uncertainties and unknowns that have to be addressed, as they have an impact on
the strategies being developed and applied. We contend that the best that can be
hoped for in mega-site management is an acceptable solution for the current state
of affairs, with good flexibility to modify strategies as new site conditions,
remediation possibilities, community preferences and management objectives
develop over time.
PMID- 21890233
TI - [Intraocular foreign bodies (IOFB) of the posterior segment: retrospective
analysis and management of 57 cases].
AB - PURPOSE: To determine the influence of prognostic factors and the type of
tamponade agent in surgical management of intraocular foreign bodies (IOFBs) for
better visual outcome. PATIENTS AND METHODS: Fifty-seven consecutive cases were
retrospectively reviewed at the XV-XX National Hospital (Paris) between 1 January
2004 and 31 December 2007. Univariate and multivariate analyses were performed to
identify prognostic variables. Several parameters were measured: pre- and
postoperatively: best corrected visual acuity (BCVA), material and size of the
foreign body, entry site, time from trauma to surgical removal, IOFB location,
initial retinal detachment, choice of vitreous tamponade agent (none, gas,
silicone oil), postoperative visual outcome, and complications (siderosis,
endophthalmitis, and vitreoproliferative retinal detachment). RESULTS: Metal
IOFBs accounted for the majority with 80.7% (n=46). The inferior retinal segment
was the most frequent location found: 47.4% (n=27). Initial and final mean BCVAs
were, respectively, 1.47 (+/-0.99) and 1.03 (+/-0.96) (LogMar scale). The mean
follow-up was 20.7 months (range, 12-60 months). Initial retinal detachment was
found in 24.56% (n=14), with a statically worse prognosis. The BCVA was better in
the group with gas tamponade (n=16) than in the group with silicone tamponade
(n=21) and the group without a tamponade agent (n=20). Initial BCVA was the most
important predictive factor for final BCVA. Time to surgery was a predictive
factor of final visual outcome with a cut-off in the first week. The scleral or
corneoscleral entry site had a better prognosis than the corneal site. Five cases
of siderosis (8.7%) related to delayed management and two cases of
endophthalmitis (3.5%) were found (despite use of prophylactic systemic
antibiotics). No statistical difference was found regarding the IOFB location on
the retina, its size, or the material. Finally, the retina remained detached in
nine cases (15.78%). A final BCVA of 20/40 or more was obtained in 39.3 and 17.5%
had light perception or worse. CONCLUSION: The prognosis of an IOFB injury is for
the most part uncertain due to a complex combination of parameters. Nevertheless,
good postoperative results can be achieved without a silicone tamponade agent.
The main prognostic factors related to better visual outcome were initial BCVA,
time to surgery (first week), initially attached retina, and the scleral entry
site. The main complications were vitreoproliferative retinal detachment,
endophthalmitis, and siderosis. The location, type, and size of IOFBs were not
statistically significant predictive factors in this study.
PMID- 21890234
TI - Children's sun exposure and sun protection: prevalence in Australia and related
parental factors.
AB - BACKGROUND: Reducing childhood exposure to ultraviolet radiation is important to
minimize lifetime skin cancer risk. OBJECTIVES: We sought to describe the
prevalence of children's sun-related behaviors and associated parental and other
factors. METHODS: In weekly cross-sectional telephone interviews during summer,
1140 parents/guardians of children aged 0 to 11 years were recruited. Parents
provided proxy reports for one of their children. Key questions related to
weekend sun protection and sunburn, parent's sun-related attitudes, and
demographic characteristics. Potential predictors of children's sun protection
and sunburn were analyzed adjusting for covariates including weather conditions
on the previous weekend. RESULTS: On summer weekends, 73% of children spent
longer than 15 minutes outdoors in peak ultraviolet radiation periods. Of these,
64% were protected by a hat and 58% by sun-protection factor 15 or higher
sunscreen, 32% stayed under shade, and 18% wore three-quarter or long-sleeved
tops. Overall, 8% of children had sunburn. Parental attitudes were typically
supportive of children's sun protection. Parental use of hats (odds ratio [OR]
3.1; 95% confidence interval [CI] 1.6-6.2), shade (OR 9.6; 95% CI 4.4-20.8),
sunscreen (OR 12.6; 95% CI 5.2-30.4), longer leg cover (OR 10.3; 95% CI 4.4
24.0), and two or more protective behaviors (OR 5.7; 95% CI 2.8-11.9) increased
the odds of their children practicing these behaviors, as did some parental
attitudes. LIMITATIONS: We relied on cross-sectional parent reports. CONCLUSION:
Although children's sun protection was favorable, there was room for improvement.
Health promotion to improve sun-protection practices in adults may benefit
children's sun-safe behaviors.
PMID- 21890235
TI - Common complementary and alternative therapies with potential use in dermatologic
surgery: risks and benefits.
AB - BACKGROUND: Ambulatory surgery patients often use complementary and alternative
medicine (CAM) therapies. CAM therapies may create beneficial and detrimental
perioperative conditions. OBJECTIVE: We sought to improve knowledge of CAM
effects in dermatologic surgery, allowing dermatologists to potentially
capitalize on therapeutic actions and to mitigate complications. METHODS: PubMed
literature search of CAM therapies in dermatologic and surgical settings was
performed. Common CAM therapies with possible effects on dermatologic surgery
were selected. Beneficial and detri-mental effects were reviewed. RESULTS: A
myriad of products may be used perioperatively by the patient. Therapies
appearing to have some evidence for potential benefit include bromelain, honey,
propolis, arnica, vitamin C and bioflavonoids, chamomile, aloe vera gel, grape
seed extract, zinc, turmeric, calendula, chlorella, lavender oil, and gotu kola.
Potential complications vary according to product and include platelet
inhibition, contact dermatitis and, in rare cases, systemic toxicity.
LIMITATIONS: This review focuses on CAM having significant published studies
evaluating efficacy for wound healing, anti-inflammatory, antipurpuric, or
perioperative-related use. Most published studies have been small and often have
design flaws. The scope of CAM is large and not all therapies are discussed.
CONCLUSION: Selected CAM therapies have been reported to promote wound healing,
reduce edema or purpura, and provide anti-inflammatory effects. Because of high
rates of CAM use, surgeons should familiarize themselves with common uses,
potential benefits, and complications. Further study of effects in the
dermatologic surgery setting may improve the patient-doctor relationship and
enhance outcomes.
PMID- 21890236
TI - Patient-centered online management of psoriasis: a randomized controlled
equivalency trial.
AB - BACKGROUND: Previous research suggests that technology-enabled health care
delivery may improve access to dermatologic specialty care. Outcomes research
using validated outcomes measures is necessary for evaluation of novel health
care delivery models. OBJECTIVE: We sought to compare the clinical equivalence of
a novel patient-centered online health care delivery model with standard in
office care for follow-up treatment of patients with psoriasis. METHODS: A total
of 64 participants with psoriasis were randomized to receive follow-up care
either in-office or online over a 24-week period. Patients randomized to the
online group underwent standardized training on capturing high-quality digital
images of their psoriatic skin and transmitting these images and clinical history
to a dermatologist securely. The dermatologist then performed asynchronous,
online evaluation and provided recommendations directly to patients. We used
clinically validated disease severity and quality-of-life measures to assess
effectiveness between the models. RESULTS: Both online and in-office groups
showed improvement in psoriasis disease severity as measured by mean improvement
in Psoriasis Area and Severity Index (online group: mean = -3.4, in-office: mean
= -3.4). Patient-centered online care resulted in similar improvement in
psoriasis severity compared with in-person follow-up care (mean difference in
Psoriasis Area and Severity Index change 0.1, 95% confidence interval -2.2 to
2.3, a priori equivalence margin of 2.5). Investigator Global Assessment and
Dermatology Life Quality Index scores also improved during the study period; no
significant differences existed between the two groups. LIMITATIONS: The follow
up period was limited to 24 weeks. CONCLUSION: A patient-centered online model
may be an effective alternative to in-office care for follow-up management of
psoriasis.
PMID- 21890237
TI - Selective use of computed tomography compared with routine whole body imaging in
patients with blunt trauma.
AB - STUDY OBJECTIVE: Routine pan-computed tomography (CT, including of the head,
neck, chest, abdomen/pelvis) has been advocated for evaluation of patients with
blunt trauma based on the belief that early detection of clinically occult
injuries will improve outcomes. We sought to determine whether selective imaging
could decrease scan use without missing clinically important injuries. METHODS:
This was a prospective observational study of 701 patients with blunt trauma at
an academic trauma center. Before scanning, the most senior emergency physician
and trauma surgeon independently indicated which components of pan-CT were
necessary. We calculated the proportion of scans deemed unnecessary that: (a)
were abnormal and resulted in a pre-defined critical action or (b) were abnormal.
RESULTS: Pan-CT was performed in 600 of the patients; the remaining 101 underwent
limited scanning. One or both physicians indicated a willingness to omit 35% of
the individual scans. An abnormality was present in 18% of scans, including 22%
of desired scans and 10% of undesired scans. Among the 95 patients who had one of
the 102 undesired scans with abnormal results, 3 underwent a predefined critical
action. There is disagreement among the authors about the clinical significance
of the abnormalities found on the 99 undesired scans that did not lead to a
critical action. CONCLUSION: Selective scanning could reduce the number of scans,
missing some injuries but few critical ones. The clinical importance of injuries
missed on undesired scans was subject to individual interpretation, which varied
substantially among authors. This difference of opinion serves as a microcosm of
the larger debate on appropriate use of expensive medical technologies.
PMID- 21890238
TI - Viral study on a ventilation filter from a public building.
PMID- 21890239
TI - Self-administered preoperative antiseptic wash to prevent postoperative infection
after deep brain stimulation.
AB - BACKGROUND: Prevention of surgical site infections is critical in deep brain
stimulation (DBS). In the present study, we tested the ability of a self
administered preoperative alcohol-based (70% ethyl alcohol) preparation to reduce
the rate of postoperative infection after DBS surgery. METHODS: This
Institutional Review Board-approved retrospective review was conducted at our
institution between January 2005 and October 2007 (mean follow-up, 23 months).
The participants comprised a consecutive sample of 172 patients with movement
disorders who underwent DBS surgery at our institution. Starting in January 2007,
all patients were required to use the alcohol-based preparation. These patients
(n = 48) were instructed to self-administer the wash on the night before surgery
and the morning of surgery. Before this time, no self-administered wash was used
(n = 122). RESULTS: There was no difference in preoperative skin cleansing
between the 2 groups, and all patients received intravenous antibiotics
immediately before and after surgery for 24 hours. We compared the rate of
postoperative infection in the 2 groups and reviewed other possible factors
underlying infection. We found 11 cases of infection (6.47%), all in the group
without the preoperative antiseptic wash. The infection rate was 9.02% in the
group without the preoperative wash and 0 in the group with the preoperative wash
(P < .029). There was no difference between the 2 groups in terms of mean age,
duration of operative procedure, or number of microelectrode tracts attempted.
CONCLUSIONS: Our results support the incorporation of this self-administered
antiseptic wash into our standard antiseptic protocol for patients undergoing DBS
surgery.
PMID- 21890240
TI - ["Time is brain": only in the acute phase of stroke?].
AB - INTRODUCTION AND OBJECTIVE: In Spain, stroke is the leading cause of death in
women as well as the leading cause of disability in adults. This translates into
a huge human and economic cost. In recent years there have been significant
advances both in the treatment of acute stroke and in the neuro-rehabilitation
process; however, it is still unclear when the best time is to initiate
neurorehabilitation and what the consequences of delaying treatment are. To test
the effect of a single day delay in the onset of neurorehabilitation on
functional improvement achieved, and the influence of that delay in the rate of
institutionalisation at discharge. METHODS: A retrospective study of patients
admitted to Parkwood Hospital's Stroke Neurorehabilitation Unit (UNRHI)
(University of Western Ontario, Canada) between April 2005 and September 2008 was
performed. We recorded age, Functional Independence Measurement (FIM) score at
admission and discharge, the number of days between the onset of stroke and
admission to the Neurorehabilitation Unit and discharge destination. RESULTS:
After adjustment for age and admission FIM, we found a significant association
between patient functional improvement (FIM gain) and delay in starting
rehabilitation. We also observed a significant correlation between delay in
initiating therapy and the level of institutionalisation at discharge.
CONCLUSIONS: A single day delay in starting neurorehabilitation affects the
functional prognosis of patients at discharge. This delay is also associated with
increased rates of institutionalisation at discharge.
PMID- 21890242
TI - Schizencephaly: a study of 16 patients.
AB - OBJECTIVE: To present 16 patients with schizencephaly and neurological
involvement, and analyse their characteristics and neuroimages. MATERIAL AND
METHODS: The study included 16 patients, 8 males and 8 females, all of whom were
diagnosed with schizencephaly at less than 3 years of age; 2 patients were
diagnosed prenatally. Schizencephaly was identified by computerized tomography
(CT) in 1 patient and by MR or three-dimensional MR (3DMR) with a 1.5tesla
apparatus in the others. Most patients were referred for evaluation because of
psychomotor delay, motor disabilities and/or seizures. RESULTS: Five patients had
bilateral schizencephaly with open lips (2 of them had suffered intrauterine
cytomegalovirus infections); 2 showed unilateral schizencephaly with separated
lips, 8 presented unilateral schizencephaly with fused lips, and 1 had
schizencephaly with open lips on one side and fused lips on the other. Prenatal
cytomegalovirus infection was diagnosed in 2 patients. A cerebral malformation
that affected the midline was diagnosed by routine ultrasound studies in 2
patients. Eight patients (50%) presented with seizures that were focal, except
for one patient who showed secondary generalisation. The latter was the only
patient whose disease was refractory to complete seizure control with
antiepileptic medication. All patients had some degree of motor deficit, which
was either unilateral (hemiparesis) or bilateral (tetraparesis). CONCLUSION: 3D
MR imaging was very important in diagnosing of schizencephaly in our patients
because it showed the polymicrogyria that covered the area of the cleft and
permitted us to rule out porencephaly. Neuronal migration disorders such as
heterotopias and, more frequently, cortical dysplasias, were observed in several
patients. Half of the patients had epilepsy which was controlled with
antiepileptic medication, except in 1 patient.
PMID- 21890243
TI - Interhemispheric lipoma associated with agenesis of the corpus callosum.
PMID- 21890244
TI - [Trafficking of women for sexual exploitation: the role of the health sector].
PMID- 21890241
TI - Guidelines for the preventive treatment of ischaemic stroke and TIA (I). Update
on risk factors and life style.
AB - OBJECTIVE: To update the ad hoc Committee of the Cerebrovascular Diseases Study
Group of The Spanish Neurological Society guidelines on prevention of ischaemic
stroke (IS) and transient ischaemic attack (TIA). METHODS: We reviewed available
evidence on risk factors and means of modifying them to prevent ischaemic stroke
and TIA. Levels of evidence and recommendation grades are based on the
classification of the Centre for Evidence-Based Medicine. RESULTS: This first
section summarises the recommendations for action on the following factors: blood
pressure, diabetes, lipids, tobacco and alcohol consumption, diet and physical
activity, cardio-embolic diseases, asymptomatic carotid stenosis, hormone
replacement therapy and contraceptives, hyperhomocysteinemia, prothrombotic
states and sleep apnea syndrome. CONCLUSIONS: Changes in lifestyle and
pharmacological treatment for hypertension, diabetes mellitus and dyslipidemia,
according to criteria of primary and secondary prevention, are recommended for
preventing ischemic stroke.
PMID- 21890245
TI - Synthesis and anti-microbial activity of some 1- substituted amino-4,6-dimethyl-2
oxo-pyridine-3-carbonitrile derivatives.
AB - A new series of 1- substituted amino-4,6-dimethyl-2-oxo-pyridine-3-carbonitrile
such as hydrazide hydrazones 3a-h; ethane-1,2-diaminopyridine 6;
phthalimidopyridines 8a,b; hydrazides 10a,b; urea 11a and thiourea 11b were
synthesized in a good to excellent yield in step efficient process, using 1-amino
4,6-dimethyl-2-oxo-1,2-dihydropyridine-3-carbonitrile (1) as a key intermediate.
The antibacterial and antifungal activities of the synthesized compounds were
evaluated. The obtained data indicated that the majority of the tested compounds
exhibited both antibacterial and antifungal activities, particularly compounds 8a
and 8b showed a comparable effect to a well known antibacterial and antifungal
agents.
PMID- 21890247
TI - Design, synthesis and evaluation of PEGylated lipoic acid derivatives with
functionality as potent anti-melanogenic agents.
AB - The novel PEGylated lipoic acid (LA) derivatives with functionality were
synthesized in satisfactory yield by simple procedures and evaluated about its
anti-melanogenic activity on the B16F10 melanoma cells. Grafting a PEG moiety
onto the carboxyl group of LA has reduced the cell cytotoxicity and provided the
water solubility and functionality to incorporate the other bioactive moieties.
We have found that derivatives showed inhibition of melanin formation by up to
36.5% at 0.1 mM, whereas LA decreased the melanin formation by 8.6%. In addition,
it also inhibits at least 86.4% UV-induced MMP-1 expression at 0.1 mM which is
higher than LA. These data suggest that the novel PEGylated LA derivatives with
functionality may thus serve as a potentially effective anti-melanogenic and anti
aging agent.
PMID- 21890246
TI - Polymeric systems containing dual biologically active ions.
AB - This paper reports the synthesis and characterization of dual functional
polymerizable salts containing quaternary ammonium cations ionically linked to
non steroidal anti-inflammatory drugs (NSAIDs), and their polymers and
copolymeric systems obtained with acrylic monomers of different hydrophilicity,
e.g. methyl methacrylate and 2-hydroxyethyl methacrylate. NSAIDs used were
meclofenamic acid, ketoprofen and ibuprofen. Sustained release of the NSAID from
polymeric and copolymeric samples was observed over a period of 10 days and the
hydrophobic/hydrophilic character of both the polymeric system and the drug
played a role in the release behaviour. The antimicrobial activity of dual
functional monomeric and polymeric derivatives was confirmed against Gram
positive and Gram-negative bacteria and polymeric compounds presented higher
bactericidal action than the precursory monomers. The extracts of copolymeric
samples had anti-inflammatory activity in a nitric oxide inhibitory assay on RAW
264.7 cells and they produced a NO inhibition around 80% within the first seven
days.
PMID- 21890248
TI - Anticancer and radio-sensitizing evaluation of some new thiazolopyrane and
thiazolopyranopyrimidine derivatives bearing a sulfonamide moiety.
AB - Recently, it has been reported that compounds bearing a sulfonamide moiety posses
many types of biological activities, including anticancer activity. There are a
variety of mechanisms for their anticancer activity, and the most prominent
mechanism is the inhibition of carbonic anhydrase (CA) isozymes. The present work
reports the synthesis of some new thiazolo[4,5-b]pyrane, thiazolo[4,5
b]pyrano[2,3-d]pyrimidine derivatives bearing a sulfonamide moiety. The design of
the structures of these compounds complies with the general pharmacophoric
requirements for CA inhibiting anticancer drugs. The newly synthesized compounds
were evaluated for their in vitro anticancer activity against human breast cancer
cell line (MCF7). Most of the screened compounds showed interesting cytotoxic
activities compared to doxorubicin as a reference drug. Compounds 5, 6, 10 and 12
(IC(50) values 39.4 MUM, 41.6 MUM, 35.72 MUM and 34.64 MUM, respectively)
exhibited higher cytotoxic activities than the reference drug doxorubicin (IC(50)
= 71.8 MUM). Additionally, the previously mentioned compounds were evaluated
again for their ability to enhance the cell killing effect of gamma-radiation.
PMID- 21890249
TI - [Practical role of neuro-immunology in the diagnosis and management of peripheral
neuropathies].
AB - Anti-glycolipid antibodies have emerged since a decade as a useful tool in the
diagnosis of inflammatory neuropathies. These autoantibodies target various
Schwann cells antigens, and are characterized by modest specificity and
sensitivity, complex nomenclature and cross-reactions. For all these reasons, the
use of anti-glycolipid antibodies measurement may be confusing. In this article,
we describe the clinical manifestations associated with anti-glycolipid
antibodies and propose guidelines for indication and interpretation of anti
glycolipids measurement.
PMID- 21890250
TI - A nutritional program to improve outcome of very low birth weight infants.
AB - BACKGROUND & AIMS: The growth of very low birth weight infants does not match
intrauterine trajectories, likely due to inappropriate caloric intake. We
therefore investigated whether modification of the standard nutritional schedule
can impact postnatal growth. METHODS: We introduced a set of evidence-based
strategies in a study group of infants (n = 123): 1) higher maximum intake of
intravenous amino acids and lipids; 2) prioritisation of earlier enteral feeding;
3) faster attainment of full enteral feeds; 4) daily adjustment of enteral feeds
according to growth trajectory; and 5) utilisation of an electronic pre
structured prescription ordering system that tracks individual growth and energy
intake. These infants were compared with a control group (n = 115) in a pre/post
retrospective cohort study. RESULTS: The study group achieved a higher caloric
intake, attained full enteral feeds 5 days earlier, and returned to their birth
weight more rapidly than the control group. At 36 weeks postmenstrual age,
infants who had been born at <30 weeks were heavier (Delta260 g) but had a
similar percentage fat mass. Those born at <28 weeks had a larger head
circumference (Delta1.4 cm) and lower sepsis rate (7.8%). CONCLUSIONS:
Optimization of early postnatal nutrition and daily adjustment of milk intake
according to weight gain improved growth, without any unfavourable outcomes for
body composition and neurodevelopmental follow-up.
PMID- 21890251
TI - Effects of high atmospheric CO2 concentration on root hydraulic conductivity of
conifers depend on species identity and inorganic nitrogen source.
AB - We examined root hydraulic conductivity (L(p)) responses of one-year-old
seedlings of four conifers to the combined effects of elevated CO2 and inorganic
nitrogen (N) sources. We found marked interspecific differences in L(p) responses
to high CO2 ranging from a 37% increase in P. abies to a 27% decrease in P.
menziesii, but these effects depended on N source. The results indicate that CO2
effects on root water transport may be coupled to leaf area responses under
nitrate (NO(3)(-)), but not ammonium (NH(4)(+)) dominated soils. To our
knowledge, this is the first study that highlights the role of inorganic N source
and species identity as critical factors that determine plant hydraulic responses
to rising atmospheric CO2 levels. The results have important implications for
understanding root biology in a changing climate and for models designed to
predict feedbacks between rising atmospheric CO2, N deposition, and ecohydrology.
PMID- 21890252
TI - Engineered nanomaterials in rivers--exposure scenarios for Switzerland at high
spatial and temporal resolution.
AB - Probabilistic material flow analysis and graph theory were combined to calculate
predicted environmental concentrations (PECs) of engineered nanomaterials (ENMs)
in Swiss rivers: 543 river sections were used to assess the geographical
variability of nano-TiO(2), nano-ZnO and nano-Ag, and flow measurements over a 20
year period at 21 locations served to evaluate temporal variation. A conservative
scenario assuming no ENM removal and an optimistic scenario covering complete ENM
transformation/deposition were considered. ENM concentrations varied by a factor
5 due to uncertain ENM emissions (15%-85% quantiles of ENM emissions) and up to a
factor of 10 due to temporal river flow variations (15%-85% quantiles of flow).
The results indicate highly variable local PECs and a location- and time
dependent risk evaluation. Nano-TiO(2) median PECs ranged from 11 to 1'623 ng L(
1) (conservative scenario) and from 2 to 1'618 ng L(-1) (optimistic scenario).
The equivalent values for nano-ZnO and nano-Ag were by factors of 14 and 240
smaller.
PMID- 21890254
TI - What should a guideline panel do when evidence is inconclusive? The case of
treatments for CKD-mineral and bone disorder (CKD-MBD).
PMID- 21890253
TI - XAFS study of starch-stabilized magnetite nanoparticles and surface speciation of
arsenate.
AB - It has been shown that starch can effectively stabilize nanoscale magnetite
particles, and starch-stabilized magnetite nanoparticles (SMNP) are promising for
in situ remediation of arsenic-contaminated soils. However, a molecular level
understanding has been lacking. Here, we carried out XAFS studies to bridge this
knowledge gap. Fe K-edge XAFS spectra indicated that the Fe-O and Fe-Fe
coordination numbers of SMNP were lower than those for bare magnetite particles,
and these coordination numbers decreased with increasing starch concentration.
The decrease in the average coordination number at elevated stabilizer
concentration was attributed to the increase in the surface-to-volume ratio.
Arsenic K-edge XAFS spectra indicated that adsorbed arsenate on SMNP consisted
primarily of binuclear bidentate (BB) complexes and monodentate mononuclear (MM)
complexes. More BB complexes (energetically more favorable) were observed at
higher starch concentrations, indicating that SMNP not only offered greater
adsorption surface area, but also stronger adsorption affinity toward arsenate.
PMID- 21890255
TI - Erythropoiesis-stimulating agent responsiveness and mortality in hemodialysis
patients: results from a cohort study from the dialysis registry in Japan.
AB - BACKGROUND: Patient responsiveness to erythropoiesis-stimulating agents (ESAs),
notoriously difficult to measure, has attracted attention for its association
with mortality. We defined categories of ESA responsiveness and attempted to
clarify their association with mortality. STUDY DESIGN: Cohort study. SETTING &
PARTICIPANTS: Data from Japan's dialysis registry (2005-2006), including 95,460
adult hemodialysis patients who received ESAs. PREDICTOR: We defined 6 categories
of ESA responsiveness based on a combination of ESA dosage (low [<6,000 U/wk] or
high [>=6,000 U/wk]) and hemoglobin level (low [<10 g/dL], medium [10-11.9 g/dL],
or high [>=12 g/dL]), with medium hemoglobin level and low-dose ESA therapy as
the reference category. OUTCOMES: All-cause and cardiovascular mortality during 1
year follow-up. MEASUREMENTS: HRs were estimated using a Cox model for the
association between responsiveness categories and mortality, adjusting for
potential confounders such as age, sex, postdialysis weight, dialysis duration,
comorbid conditions, serum albumin level, and transferrin saturation. RESULTS:
Median ESA dosage (4,500-5,999 U/wk) was used as a cutoff point, and mean
hemoglobin level was 10.1 g/dL in our cohort. Of 95,460 patients during follow
up, 7,205 (7.5%) died of all causes, including 5,586 (5.9%) cardiovascular
deaths. Low hemoglobin levels and high-dose ESA therapy were both associated with
all-cause mortality (adjusted HRs, 1.18 [95% CI, 1.09-1.27] for low hemoglobin
level with low-dose ESA and 1.44 [95% CI, 1.34-1.55] for medium hemoglobin level
with high-dose ESA). Adjusted HRs for high-dose ESA with low hemoglobin level
(hyporesponsiveness) were 1.94 (95% CI, 1.82-2.07) for all-cause and 2.02 (95%
CI, 1.88-2.17) for cardiovascular mortality. We also noted the interaction
between ESA dosage and hemoglobin level on all-cause mortality (likelihood ratio
test, P = 0.002). LIMITATIONS: Potential residual confounding from unmeasured
factors and single measurement of predictors. CONCLUSIONS: Mortality can be
affected by ESA responsiveness, which may include independent and interactive
effects of ESA dose and hemoglobin level. Responsiveness category has prognostic
importance and clinical relevance in anemia management.
PMID- 21890256
TI - Lifetime income patterns and alcohol consumption: investigating the association
between long- and short-term income trajectories and drinking.
AB - Lifetime patterns of income may be an important driver of alcohol use. In this
study, we evaluated the relationship between long-term and short-term measures of
income and the relative odds of abstaining, drinking lightly-moderately and
drinking heavily. We used data from the US Panel Study on Income Dynamics (PSID),
a national population-based cohort that has been followed annually or biannually
since 1968. We examined 3111 adult respondents aged 30-44 in 1997. Latent class
growth mixture models with a censored normal distribution were used to estimate
income trajectories followed by the respondent families from 1968 to 1997, while
repeated measures multinomial generalized logit models estimated the odds of
abstinence (no drinks per day) or heavy drinking (at least 3 drinks a day),
relative to light/moderate drinking (<1-2 drinks a day), in 1999-2003. Lower
income was associated with higher odds of abstinence and of heavy drinking,
relative to light/moderate drinking. For example, belonging to a household with
stable low income ($11-20,000) over 30 years was associated with 1.57 odds of
abstinence, and 2.14 odds of heavy drinking in adulthood. The association between
lifetime income patterns and alcohol use decreased in magnitude and became non
significant once we controlled for past-year income, education and occupation.
Lifetime income patterns may have an indirect association with alcohol use,
mediated through current socioeconomic conditions.
PMID- 21890257
TI - Estimates of peer effects in adolescent smoking across twenty six European
countries.
AB - Although it is widely believed that one of the key factors influencing whether an
adolescent smokes or not is the smoking behaviour of his or her peers, empirical
evidence on the magnitude of such peer effects, and even on their existence, is
mixed. This existing evidence comes from a range of studies using a variety of
country-specific data sources and a variety of identification strategies. This
paper exploits a rich source of individual level, school-based, survey data on
adolescent substance use across countries--the 2007 European Schools Survey
Project on Alcohol and Other Drugs--to provide estimates of peer effects between
classmates in adolescent smoking for 75,000 individuals across 26 European
countries, using the same methods in each case. The results suggest statistically
significant peer effects in almost all cases. These peer effects estimates are
large: on average across countries, the probability that a 'typical' adolescent
smokes increases by between .31 and .38 percentage points for a one percentage
point increase in the proportion of classmates that smoke. Further, estimated
peer effects in adolescent smoking are stronger intra-gender than inter-gender.
They also vary across countries: in Belgium, for example, a one percentage point
increase in reference group smoking is associated with a .16 to .27 percentage
point increase in own smoking probability; in The Netherlands the corresponding
increase is between .42 and .59 percentage points.
PMID- 21890259
TI - Prevalence of maternal anaemia and its predictors: a multi-centre study.
AB - OBJECTIVE: To investigate the prevalence, predictors, and management of anaemia
in pregnancy. STUDY DESIGN: A multi centre study across 11 maternity units in the
UK. Data were collected over a two week study period in 2008 on maternal history,
haemoglobin (Hb) and ferritin concentrations, iron therapy during pregnancy and
in the postpartum period. Logistic regression models were used to explore factors
associated with anaemia during pregnancy. Main outcomes included anaemia, defined
as Hb<11 g/dl at booking, Hb<10.5 g/dl in subsequent antenatal visits, and Hb<10
g/dl postnatally. RESULTS: Completed data were received on 2103 of 2155 women
(97% completion rate). Of these, 24.4% (502) (95% CI 22.5-26.2%) were anaemic at
some stage during the antenatal period. Predictors for having anaemia by 32 weeks
gestation included young maternal age (odds ratio 1.96, 95% CI 1.38-2.79), non
white ethnic origin (odds ratios varied 1.37-2.89 depending on ethnic origin) and
increasing parity (odds ratio 1.24, 95% CI 1.08-1.41). Of women who had postnatal
Hb levels checked, 30% (309/1031) were anaemic and, depending on centre, 16% to
86% of these received iron therapy. CONCLUSION: Anaemia was reported in nearly
one in four women in the antenatal period, and nearly one in three of the women
who had a postpartum Hb checked. Despite national guidelines, there was
considerable variation in administration of iron including low utilisation of
parenteral iron therapy. Future research needs to focus on the consequences of
iron deficiency anaemia for maternal and infant health outcomes and effectiveness
of implementation strategies to reduce anaemia.
PMID- 21890258
TI - Daily effects of air pollutants and pollen types on asthma and COPD hospital
emergency visits in the industrial and Mediterranean Spanish city of Cartagena.
AB - BACKGROUND: Associations found in time-series studies on hospital emergency room
(ER) visits due to asthma and chronic obstructive pulmonary disease (COPD) with
single air pollutants show some lack of consistency. The respiratory effects of
aeroallergens in the air pollution mix are not well established. Non-linear
relationships of different airborne pollen types with certain respiratory
diseases have also been described. We aim to study the short-term effects of
major air pollutants and aeroallergen pollen on asthma and COPD hospital ER
visits in the industrial and Mediterranean Spanish city of Cartagena during 1995
1998. METHODS: The association of asthma and COPD to ER visits with mean levels
of sulphur and nitrogen dioxides (SO(2) and NO(2)), total suspended particles
(TSP), ozone (O(3)), and the main allergenic airborne pollen types were analysed
using Poisson regression with Generalised Additive Models, taking into account
delayed effects and adjusting for long-term trends, seasonality, weather
conditions, holidays and flu notifications. RESULTS: Multipollutant models showed
a similar relative risk (RR) increase (in %), of around 5% in asthma and COPD ER
visits per 10 MUg/m(3) SO(2) increments. The risk of an ER visit for the same
NO(2) increment was 2.6% for asthma and 3.3% for COPD. Visits to the ER due to
asthma showed a positive increase with both Urticaceae and Poaceae levels, but
did not substantially modify the previous percentages. CONCLUSIONS: Air levels of
SO(2) and NO(2) were associated with a substantial increased risk in ER visits
due to asthma and COPD. The inclusion of Poaceae and Urticaceae pollen did not
alter that association.
PMID- 21890260
TI - Scenario realism and welfare estimates in choice experiments--a non-market
valuation study on the European water framework directive.
AB - Using choice experiment data for economic valuation we analyse how disbelief in
survey information could affect the retrieved welfare estimates. We distinguish
between two types of survey information to the respondents. The first type of
information concerns the current environmental status of a water body. This
information is provided prior to the valuation questions and the corresponding
beliefs in the provided information are also elicited before valuation. The
second type of information concerns the proposed improvements in the
environmental status of the water body. We find that average welfare measures
differ considerably according to whether respondents who disagree with the status
quo levels and find proposed scenarios unlikely are included or not.
PMID- 21890261
TI - Status dystonicus in tardive dystonia successfully treated by bilateral deep
brain stimulation.
PMID- 21890262
TI - What are the differences between younger and older patients with symptomatic
small vessel disease?
AB - OBJECTIVE: Although typically linked to aging, small vessel disease (SVD) is also
observed in younger adult patients, with common vascular risk factors (RF). We
aimed to investigate features of SVD occurrence at an early adult age. PATIENTS
AND METHODS: Vascular RF, functional and cognitive status and severity of lesions
on MRI expressed as total score on Age-Related White Matter Changes (ARWMC) scale
were analyzed in 200 consecutive patients with cerebral SVD admitted to a
tertiary neurological hospital. Variables were compared between younger (35-55
years) and older (>56 years) patients. RESULTS: In this study, 63 (31.5%) of
patients were 55 years or younger. Both age groups had comparable RF profiles,
but smoking emerged as an independent predictor for SVD at a younger age (OR 2.9;
95% CI 1.5-5.5; p=0.002). Younger patients had better functional (OR 1.8; 95% CI
1.3-2.5; p=0.0001) and cognitive (chi(2) 13.94; p=0.0009) status compared to
older patients. However, two thirds of younger patients had some degree of
cognitive deficit. Total score on ARWMC scale was lower in younger patients (mean
12.3 in younger versus 15.2 in older, OR 1.11; 95% CI 1.0-1.18; p=0.001). There
was a strong correlation in both groups between functional score, cognitive
status and ARWMC score (p<0.0001). CONCLUSION: In our dataset, younger patients
with SVD shared common vascular RF with older patients. In the group aged <=55,
better functional and cognitive status and less severe MRI changes were noted.
However, a substantial number of younger SVD patients presenting with TIA or
ischemic stroke had various deficits.
PMID- 21890263
TI - Ulnar nerve compression in the cubital tunnel by a nodular fasciitis.
PMID- 21890264
TI - Rapid automated detection of ABL kinase domain mutations in imatinib-resistant
patients.
AB - ABL tyrosine kinase inhibitor (TKI), imatinib is used for BCR-ABL(+) leukemias.
We developed an automatic method utilizing guanine-quenching probes (QP) to
detect 17 kinds of mutations frequently observed in imatinib-resistance. Results
were obtained from 100MUL of whole blood within 90min by this method. Detected
mutations were almost identical between QP method and direct sequencing.
Furthermore, the mutation-biased PCR (MBP) was added to the QP method to increase
sensitivity, resulting earlier detection of T315I mutation which was insensitive
to any ABL TKIs. Thus, the QP and MBP-QP may become useful methods for the
management of ABL TKI-treated patients.
PMID- 21890265
TI - Pro-inflammatory and tumour proliferative properties of excess visceral adipose
tissue.
AB - Obesity has been associated with increased incidence and mortality of oesophageal
and colorectal adenocarcinoma. Excess central adiposity may drive this
association through an altered inflammatory milieu. Utilising a unique adipose
tissue bioresource we aimed to determine the pro-tumour properties of visceral
adipose tissue. Comparing subcutaneous and visceral adipose tissue depots, we
observed significantly higher levels of VEGF and IL-6, along with significantly
higher proportions of CD8(+) T cells and NKT cells in visceral adipose tissue.
Significantly higher levels of VEGF were observed in the conditioned media from
visceral adipose tissue of centrally obese compared to non-obese patients. We
also report a significant increase in oesophageal and colorectal tumour cell
proliferation following culture with conditioned media from visceral adipose
tissue of centrally obese patients. Neutralising VEGF in the conditioned media
significantly decreased tumour cell proliferation. This novel report highlights a
potential mechanism whereby visceral adipose tissue from centrally obese cancer
patients may drive tumour progression.
PMID- 21890266
TI - Feasibility study of a photocatalytic reactor for in situ groundwater remediation
of organic compounds.
AB - Remediation of groundwater contaminated by gasoline leakage from underground
structures is usually complicated and costly. This work describes the use of an
underground reactor, in a sand tank, placed downgradient from a simulated leakage
of MTBE and other gasoline components. The reactor, Honeycomb I, is full scale in
the horizontal plane. It tested the remediation of MTBE plumes at various
velocities and in the presence of other gasoline compounds (toluene, ethylbenzene
and o-xylene - TEo-X). The overall performance of Honeycomb I was evaluated and
the efficiencies of two different experimental scales were compared. The MTBE
plume was longer but narrower with increasing groundwater to MTBE velocity ratio.
MTBE appeared to have a minor co-solvent effect on the TEo-X migration as TEo-X
migrated at the MTBE migration rate but at significantly low concentrations. The
MTBE removal efficiency decreased by about 8% in the presence of TEo-X. The
scaled up Honeycomb I successfully treated 212L of groundwater in 24 days and
demonstrated its reliability over a 10-month period, achieving an overall 76%
MTBE removal. In essence, this study demonstrated the potential of the
immobilised photocatalytic reactor for in situ groundwater remediation, at the
velocities tested in this study.
PMID- 21890267
TI - Potential of modified iron-rich foundry waste for environmental applications:
Fenton reaction and Cr(VI) reduction.
AB - A magnetic fraction (15%) from a waste of foundry sand (WFS), composed of sand,
carbon, bentonite clay and iron (10%) was modified by thermal treatment at 400,
600 and 800 degrees C under inert atmosphere. Mossbauer analyses showed that the
thermal treatment increased the amount of Fe(3)O(4) from 25 to 55% by reduction
of Fe(2)O(3) and highly dispersed Fe(3+) by the carbon present in the waste. The
Fe(3)O(4) caused a significant increase on the activity of two important
reactions with application in environmental remediation: the Fenton oxidation of
indigo carmine dye with H(2)O(2) and the reduction of Cr(VI) to Cr(III). The
magnetic fraction of WFS was also mixed with hematite (Fe(2)O(3)) and thermally
treated at 400, 600 and 800 degrees C. This treatment produced large amounts of
surface Fe(3)O(4) and increased substantially the rate of Fenton reaction as well
as Cr(VI) reduction. This reactivity combined with the presence of carbon (an
adsorbent for organic contaminants), bentonite clay (an adsorbent for metallic
contaminants) and the granulometry/packing/hydrodynamic features make WFS a
promising material for use in reactive permeable barriers.
PMID- 21890268
TI - Performance and mechanisms of a microbial-earthworm ecofilter for removing
organic matter and nitrogen from synthetic domestic wastewater.
AB - The performance of a microbial-earthworm ecofilter for the treatment of synthetic
domestic wastewater is evaluated, and the mechanisms of organic matter and
nitrogen transformation investigated. Vermifiltration efficiently reduced
chemical oxygen demand (COD) and ammonia nitrogen (NH(3)-N) from the influent. A
combination of soil with sawdust possessed higher porosity and specific surface
area than other media, and this microporous structure together with wormcast
surface greatly facilitated COD reduction at depths from 5 to 35 cm. Nitrogen
variations in wastewater were influenced by soil properties, earthworm
activities, and wormcast characteristics. Their interaction with added nitrogen
determined soil nitrogen distribution. In addition, denaturing gradient gel
electrophoresis (DGGE) profiles revealed a highly diverse community of ammonia
oxidizing bacteria (AOB) and Nitrospira in soil layers. There was a positive
correlation between the Shannon biodiversity index for AOB and decreasing NH(3)-N
concentration, indicating that dominant soil microbes played a major role in
removing NH(3)-N and nitrogen conversion. In contrast to previous reports,
identification of retrieved sequences of AOB species showed that most belonged to
an uncertain AOB genus. This biofiltration system is a low cost, efficient
alternative for decontaminating local domestic wastewater.
PMID- 21890269
TI - Removal of Chemazol Reactive Red 195 from aqueous solution by dehydrated beet
pulp carbon.
AB - An agricultural low-cost by-product, dehydrated beet pulp carbon (DBPC) was used
as an adsorbent for removal of Chemazol Reactive Red 195 (CRR 195) from aqueous
solution. The surface area of DBPC was measured as 9.5m(2)g(-1) by using BET
method. The results indicated that adsorption was strongly pH-dependent and
optimum pH was determined as 1.0. The maximum dye adsorption capacity was
obtained as 58.0 mg g(-1)at the temperature of 50 degrees C at this pH value. The
Freundlich and Langmuir adsorption models were used for the mathematical
description of the adsorption equilibrium and it was reported that, experimental
data fitted very well to Freundlich model. Mass transfer and kinetic models were
applied to the experimental data to examine the mechanisms of adsorption and
potential rate-controlling steps. It was found that both external mass transfer
and intra-particle diffusion played an important role in the adsorption
mechanisms of dye and adsorption kinetics followed the pseudo-first-order type
kinetic model. The thermodynamic parameters such as, Gibbs free energy changes
(DeltaG degrees ), standard enthalpy change (DeltaH degrees ) and standard
entropy change (DeltaS degrees ) had been determined. The results show that
adsorption of CRR 195 on DBPC is endothermic and spontaneous in nature.
PMID- 21890270
TI - Critical assessment of suitable methods used for determination of antibacterial
properties at photocatalytic surfaces.
AB - This work describes the development of methods necessary for antibacterial effect
evaluation on irradiated TiO(2) layers. Two methods using bacteria suspensions
and the glass adhesion method (based on ISO 27447:2009(E)) were critically
assessed and compared. As test bacteria gram negative Escherichia coli and gram
positive Enterococcus faecalis were employed. The method using 50 cm(3) of
bacteria suspension is convenient for testing layers with strong antibacterial
effect (prepared from powder photocatalysts). For the evaluation of the
antibacterial effect of sol gel layers, the glass adhesion method based on the
ISO is more appropriate than the method with 3 cm(3) of bacteria suspension. The
reason is that the later does not allow a distinction between the inhibition
effect of TiO(2) and UV light itself. Some improvements of the ISO method were
suggested, namely the use of gelatinous pills (CCM) of bacteria, using saline
solution instead of nutrient broth for bacteria suspension preparation and the
application of selective media for bacteria cultivation. Decreasing the light
intensity from 0.6 mW cm(-2) to 0.2 mW cm(-2) (fulfilling the requirements of the
ISO) results in almost negligible effect of UV light itself, thus enabling proper
testing of the antibacterial properties of TiO(2) thin films.
PMID- 21890271
TI - Assessment of heavy metal contamination in sediments of the Tigris River (Turkey)
using pollution indices and multivariate statistical techniques.
AB - Heavy metal concentrations in sediment samples from the Tigris River were
determined to evaluate the level of contamination. The highest concentrations of
metals were found at the first site due to metallic wastewater discharges from
copper mine plant. Sediment pollution assessment was carried out using
contamination factor (CF), pollution load index (PLI), geoaccumulation index
(Igeo) and enrichment factor (EF). The CF values for Co, Cu and Zn were >6 in
sediments of the first site, which denotes a very high contamination by these
metals. The PLIs indicated that all sites except the first site were moderately
polluted. Cu, Co, Zn and Pb had the highest Igeo values, respectively. The mean
EF values for all metals studied except Cr and Mn were >1.5 in the sediments of
the Tigris River, suggesting anthropogenic impact on the metal levels in the
river. The concentrations of Cr, Cu, Ni and Pb are likely to result in harmful
effects on sediment-dwelling organisms which are expected to occur frequently
based on the comparison with sediment quality guidelines. PCA/FA and cluster
analysis suggest that As, Cd, Co, Cr, Cu, Mn, Ni and Zn are derived from the
anthropogenic sources, particularly metallic discharges of the copper mine plant.
PMID- 21890272
TI - Applying the Triad method in a risk assessment of a former surface treatment and
metal industry site.
AB - With a greater focus on soil protection in the E.U., the need for ecological risk
assessment tools for cost-effective characterization of site contamination is
increasing. One of the challenges in assessing the risk of soil contaminants is
to accurately account for changes in mobility of contaminants over time, as a
result of ageing. Improved tools for measuring the bioavailable and mobile
fraction of contaminants is therefore highly desirable. In this study the Triad
method was used to perform a risk characterization of a former surface treatment
and metal industry in Eskilstuna, Sweden. The risk assessment confirmed the
environmental risk of the most heavily contaminated sample and showed that the
toxic effect was most likely caused by high metal concentrations. The assessment
of the two soil samples with low to moderate metal contamination levels was more
complex, as there was a higher deviation between the results from the three lines
of evidence; chemistry, (eco)toxicology and ecology. For the slightly less
contaminated sample of the two, a weighting of the results from the
ecotoxicological LoE would be recommended in order to accurately determine the
risk of the metal contamination at the sampling site as the toxic effect detected
in the Microtox(r) test and OstracodtoxkitTM test was more likely to be due to
oil contamination. The soil sample with higher total metal concentrations
requires further ecotoxicological testing, as the integrated risk value indicated
an environmental risk from metal contamination. The applied methodology, the
Triad method, is considered appropriate for conducting improved environmental
risk assessments in order to achieve sustainable remediation processes.
PMID- 21890273
TI - Implication of allopregnanolone in the antinociceptive effect of N
palmitoylethanolamide in acute or persistent pain.
AB - We investigated the involvement of de novo neurosteroid synthesis in the
mechanisms underlying the analgesic and antihyperalgesic effects of N
palmitoylethanolamine (PEA) in two models of acute and persistent pain, the
formalin test and carrageenan-induced paw edema. The pivotal role of peroxisome
proliferator-activated receptor (PPAR)-alpha in the antinocifensive effect of PEA
was confirmed by the lack of this effect in PPAR-alpha-null mice. PEA
antinociceptive activity was partially reduced when the animals were treated with
aminoglutethimide or finasteride, implying that de novo neurosteroid synthesis is
involved in the effect of PEA. Accordingly, in the spinal cord, the
allopregnanolone (ALLO) levels were increased by PEA treatment both in formalin-
and carrageenan-exposed mice, as revealed by gas chromatography-mass
spectrometry. In agreement with those data, in both pain models, PEA
administration in challenged mice specifically restored the expression of two
proteins involved in neurosteroidogenensis, the steroidogenic acute regulatory
protein (StAR) and cytochrome P450 side-chain cleavage (P450scc) in the
ipsilateral horns of spinal cord, without affecting their expression in the
contralateral side. These results provide new information about the involvement
of de novo neurosteroid synthesis in the modulation of pain behavior by PEA.
PMID- 21890274
TI - Anthelmintic activity of Jatropha curcas L. seeds on Haemonchus contortus.
AB - The aim of this study was to evaluate the anthelmintic activity of hexane (HE),
ethyl acetate (EA) and ethanol (EE) extracts obtained from the seeds of Jatropha
curcas using the egg hatch inhibition assay (EHA) and the artificial larval
exsheathment inhibition assay (LEIA). For the egg hatch assay, HE, EA and EE were
used in concentrations of 3.12, 6.25, 12.5, 25 and 50 mg ml(-1), accompanied by a
negative control (5% Tween 80) and a positive control (0.025 g ml(-1)
thiabendazole). In LEIA, the extracts were tested at a concentration of 1000 MUg
ml(-1), accompanied by a negative control (PBS). To evaluate the effect of
tannins, the extract with the greatest effect was incubated with polyvinyl
polypyrrolidone (PVPP). The EE (50 mg ml(-1)) inhibited 99.8% of egg hatching.
After the addition of PVPP, the ovicidal effectiveness of EE was reduced to
91.9%. Using the HE and EA, inhibition of egg hatching was 15.3% and 32.2%,
respectively. In the LEIA, 18.9% of L3 incubated with EE were exsheathed
(p<0.01). The addition of PVPP to EE reversed the inhibitory effect on larval
exsheathment. The percentage of exsheathment of L3 incubated with HE (99.6%) and
EA (97.8%) did not differ from the control group (p>0.05). The results show that
the effects of EE on eggs are not solely due to the tannins. However, these
secondary metabolites are implicated in blocking the larval exsheathment.
PMID- 21890275
TI - Prevalence of Giardia and Cryptosporidium species in dog park attending dogs
compared to non-dog park attending dogs in one region of Colorado.
AB - Dog parks are very popular in urban areas, but there are no current studies
attempting to correlate visits to dog parks and risk of colonization by enteric
parasites. The purpose of this study was to determine whether dog park visitation
is associated with an increased prevalence of enteric parasites or an increase in
prevalence of gastrointestinal signs in dogs in northern Colorado. Feces from
dogs owned by veterinary students or Veterinary Teaching Hospital staff members
were submitted with a completed survey form detailing dog park attendance rates,
fecal character scores, and other clinical information. Feces were examined
microscopically for parasites after sugar centrifugation, for Giardia spp. cysts
and Cryptosporidium spp. oocysts by a commercially available immunofluorescence
assay (FA) and the FA positive samples were genotyped after PCR amplification.
The Giardia assemblages were determined using the glutamate dehydrogenase (GDH)
beta-giardin and triose phosphate isomerase (TPI) genes and the Cryptosporidium
species were determined using the heat shock protein-70 gene. A total of 129
fecal samples were assayed; 66 were from dog park attending dogs and 63 were from
non-dog park-attending dogs. The overall parasite prevalence rate was 7.0% (9 of
129 samples). Dog park attending dogs were more likely to be positive for Giardia
or Cryptosporidium than non-dog park-attending dogs (p=0.0279), but there was no
association of gastrointestinal signs with dog park attendance or with fecal
flotation or FA results. The five Giardia isolates were assemblage C and/or D and
the one Cryptosporidium isolate was Ctenocephalides canis.
PMID- 21890276
TI - Vaccination of rabbits against coccidiosis using precocious lines of Eimeria
magna and Eimeria media in Benin.
AB - Three groups of twelve 35-day-old rabbits were used for the experiment. Two
groups were vaccinated with a mixture of precocious lines of Eimeria magna and
Eimeria media originating from corresponding wild strains isolated in Benin. One
group benefited of a booster whereas the second one was kept without booster. A
third non-vaccinated group was used as control. All groups were challenged per os
with an equal mixture of the wild strains of E. magna and E. media at a dose of
104 oocysts per animal. Three weeks after the challenge inoculation, no case of
diarrhoea was recorded in the two groups of vaccinated rabbits, as compared to
the non-vaccinated rabbits that developed diarrhoea. No mortality was recorded in
the three groups. During the patent period, oocyst output of vaccinated rabbits
was significantly lower than that of control animals (P<0.01), confirming a good
immunogenic characteristic of the precocious lines. No booster effect was noticed
for the boost vaccinated group. The daily weigh gain of the two groups of
vaccinated rabbits was significantly higher than that of the non-vaccinated
rabbits (P<0.05). Consequently the precocious lines of Benin origin turned out to
be immunogenic and therefore constitute good potential candidates for vaccine
production for this country.
PMID- 21890278
TI - Degenerative pathological irritations to cervical PLL may play a role in
presenting sympathetic symptoms.
AB - The mechanism of cervical vertigo remains unknown. Stimulation of arterial
vertebralis caused by osteophyte of the Luschka joint or segmental instability of
the cervical spine was considered to be a potential factor contributing to it.
Years of studies found that the ischemia of the vertebral artery is not directly
correlated with the clinical symptoms of vertigo, and can not be used to explain
cervical vertigo as a sole reason. As proven by clinical practical experience,
the routine anterior cervical decompression and fusion (ACDF) procedure, in which
the degenerative disc and posterior longitudinal ligament (PLL) were often
removed, shows positive results for elimination of the sympathetic symptoms. In
this article, we hypothesize that: (1) there are sympathetic nerve postganglionic
fibers distributed in the PLL or discs; (2) pathological changes secondary to
degeneration of the intervertebral disc may cause irritation of sympathetic nerve
fibers in PLL or discs, leading to sympathetic symptoms via certain pathways; (3)
removal of the PLL or stabilization of the segment which decreases the irritation
to PLL will help to eliminate the sympathetic symptoms.
PMID- 21890280
TI - Altered metabolism of maternal micronutrients and omega 3 fatty acids
epigenetically regulate matrix metalloproteinases in preterm pregnancy: a novel
hypothesis.
AB - Preterm birth is an important perinatal health problem. Several possible
mechanisms have been proposed but it may be important to have a testable
mechanistic hypothesis that can explain the possible common mechanism for preterm
births around the globe. Altered metabolism of micronutrients, like folic acid,
vitamin B(12), zinc and copper are known to be associated with adverse pregnancy
outcomes such as preterm birth. We have recently reported that increased
oxidative stress and reduced docosahexaenoic acid levels are associated with
preterm delivery. Matrix metalloproteinases and their tissue inhibitors play
vital roles in extracellular matrix remodelling/degradation during pregnancy.
Expression and the activity of matrix metalloproteinases have been shown to be
regulated by oxidative stress and hyperhomocysteinemia. We have recently reported
gestation dependant changes in placental global methylation levels. Here, we
propose a novel hypothesis that altered maternal micronutrients (folic acid,
vitamin B(12)), omega 3 fatty acids, and consequent oxidative stress lead to
altered epigenetic mechanisms resulting in altered expression of matrix
metalloproteinases and their tissue inhibitors during pregnancy. This may have
important implications in the epigenetic programming of adult diseases since
preterm infants are known to be at increased risk for neurodevelopmental,
metabolic and cardiovascular dysfunctions in later life.
PMID- 21890277
TI - A history of depression in women is associated with an altered GABAergic
neuroactive steroid profile.
AB - The 3alpha,5alpha- and 3alpha,5beta-reduced metabolites of progesterone,
deoxycorticosterone, and dehydroepiandrosterone (DHEA) have potent effects on
neurotransmission mediated by GABA(A) receptors, and dysregulation of these
receptors has been implicated in depression. Using gas chromatography-mass
spectrometry, we compared neuroactive steroid concentrations in women with a
history of depressive disorders, but who were in full remission at the time of
testing (n=11) to never depressed women (n=17) both before and after a challenge
with oral micronized progesterone (300 mg). Serum concentrations of the following
were obtained: four progesterone-derived GABAergic neuroactive steroids, the
precursor pregnenolone, androstenedione-derived neuroactive steroids, and the
precursor DHEA. As an index of conversion of progesterone to neuroactive
steroids, we also examined ratios of neuroactive steroids to progesterone
following the oral progesterone challenge. Results indicated that both before and
after oral progesterone, women with histories of depression showed lower
concentrations of all GABAergic neuroactive steroids than never depressed women.
Those with a history of depression also had lower cortisol concentrations.
Because serum neuroactive steroids are mainly synthesized in the adrenals, we
hypothesize that histories of depression may be associated with persistent
adrenal suppression. Following the progesterone challenge, ratios of the
progesterone-derived neuroactive steroids to plasma progesterone concentrations
were elevated in women with depression histories, suggesting there may be an
adaptive shift in the metabolism of progesterone that compensates for lower
circulating neuroactive steroid concentrations.
PMID- 21890279
TI - Correlation of endothelin-1 mRNA expression and bone structure in advanced
osteoarthritis.
AB - Recent understandings of the vascular contribution of pathophysiology of
osteoarthritis (OA) mount new evidence of cross-talking between subchondral bone
tissue and articular cartilage that might have a decisive role in a
pathophysiology of Osteoarthritis (OA). These understandings include blood flow
(or interstitial fluid) impairment in subchondral bone. With regard to the
mentioned role of the vasculature, the absence of custom nourishing to articular
cartilage, and established, vasoconstrictive role of endothelin-1 (ET-1) it was
reasonable to assume that ET-1 has an inconvertible role in pathophysiology of
OA. Another moment in pathophysiology of OA is apoptosis of subchondral
osteocytes, what induces osteoclastic resorption and at least temporarily reduces
the bony support for the overlying cartilage. Since regional dependence of this
protein's expression was presumed, we suggest a regional division of subchondral
bone by histomorphometrical analysis and quantification of identified protein by
Real Time Polymerase Chain Reaction Analysis (RT-PCR). Obtained results should be
compared to serum levels of soluble ET-1, what would enforce this methods
validity. Herewith, a new screening marker of patients with osteoarthritis would
be established. This would enable detection and follow-up of groups threatened by
this, growing, cause of disability and decreased quality of life.
PMID- 21890281
TI - Effects of different storage conditions on quality related porphyrin fluorescence
signatures of pork slices.
AB - This study evaluated the potential of fluorescence as an indicator of pork
quality by determining the effects of various conditions on fluorescence
signatures (excitation at 420 nm, emission at 550-750 nm). Storage of porcine
musculus longissimus dorsi in PE bags led to a clear increase in porphyrin
fluorescence intensity after approx. 10 d post mortem. Modified gas atmosphere
(70% O(2), 30% CO(2)) inhibited the fluorescence emission of zinc protoporphyrin
and protoporphyrin IX due to quenching by oxygen. Bleaching processes caused
similar effects by halogen light exposure during meat storage. However, already
formed signals could not be manipulated by oxygen or halogen light. Storage under
vacuum reduced the quenching effects and resulted in increased fluorescence
intensities. Freezing and thawing of meat samples delayed and reduced the
increase in fluorescence intensity. Only minor effects could be detected at long
term frozen storage for two months. Consequently porphyrin fluorescence analysis
is a potential means to indicate changes of pork quality and remaining shelf
life.
PMID- 21890282
TI - The Obsessive Compulsive Cocaine Use Scale: development and initial validation of
a self-rated instrument for the quantification of thoughts about cocaine use.
AB - BACKGROUND: Craving is a hallmark of addiction and characterized by obsessive
thoughts about, and compulsive urges to use, a substance. While craving is
frequently thought of as primarily being a feature of acute withdrawal, there is
evidence to suggest that it increases in strength over extended periods of
abstinence. While several measures are available to assess acute craving states,
there remains a lack of clinical measures appropriate for capturing the enduring
cognitive aspects of urges to use drugs. The present study was designed to
develop and validate a measure of obsessive-compulsive thoughts in cocaine
dependent individuals. METHODS: The proposed 14-item Obsessive Compulsive Cocaine
Use Scale (OCCUS) was administered to 107 individuals: 55 participants meeting
diagnostic criteria for cocaine dependence and 52 recreational users of cocaine.
In addition to the OCCUS, participants also completed the Drug Abuse Screening
Test, Cocaine Craving Questionnaire-Now, and Social Desirability Scale of the
California Personality Inventory. RESULTS: Results of confirmatory factor
analysis indicated that the OCCUS fit the two-factor structure of the Obsessive
Compulsive Drinking Scale on which it was based, independently assessing the
"obsessive" and "compulsive" aspects of cocaine dependence. The OCCUS
demonstrated good internal consistency reliability and convergent, discriminant,
and criterion validity. CONCLUSION: The proposed measure is a promising step
towards the successful capture of the long-term cognitive features of craving for
cocaine via self-report, and should represent a useful tool for clinical and
research use.
PMID- 21890283
TI - Characterization of bovine ruminal epithelial bacterial communities using 16S
rRNA sequencing, PCR-DGGE, and qRT-PCR analysis.
AB - Currently, knowledge regarding the ecology and function of bacteria attached to
the epithelial tissue of the rumen wall is limited. In this study, the diversity
of the bacterial community attached to the rumen epithelial tissue was compared
to the rumen content bacterial community using 16S rRNA gene sequencing, PCR
DGGE, and qRT-PCR analysis. Sequence analysis of 2785 randomly selected clones
from six 16S rDNA (~1.4kb) libraries showed that the community structures of
three rumen content libraries clustered together and were separated from the
rumen tissue libraries. The diversity index of each library revealed that ruminal
content bacterial communities (4.12/4.42/4.88) were higher than ruminal tissue
communities (2.90/2.73/3.23), based on 97% similarity. The phylum Firmicutes was
predominant in the ruminal tissue communities, while the phylum Bacteroidetes was
predominant in the ruminal content communities. The phyla Fibrobacteres,
Planctomycetes, and Verrucomicrobia were only detected in the ruminal content
communities. PCR-DGGE analysis of the bacterial profiles of the rumen content and
ruminal epithelial tissue samples from 22 steers further confirmed that there is
a distinct bacterial community that inhibits the rumen epithelium. The
distinctive epimural bacterial communities suggest that Firmicutes, together with
other epithelial-specific species, may have additional functions other than food
digestion.
PMID- 21890284
TI - Evaluation of the sensitivity and specificity of bovine tuberculosis diagnostic
tests in naturally infected cattle herds using a Bayesian approach.
AB - Test-and-slaughter strategies have been the basis of bovine tuberculosis (BT)
eradication programs worldwide; however, eradication efforts have not succeeded
in certain regions, and imperfect sensitivity and specificity of applied
diagnostic techniques have been deemed as one of the possible causes for such
failure. Evaluation of tuberculosis diagnostic tools has been impaired by the
lack of an adequate gold standard to define positive and negative individuals.
Here, a Bayesian approach was formulated to estimate for the first time
sensitivity (Se) and specificity (Sp) of the tests [single intradermal tuberculin
(SIT) test, and interferon-gamma (IFN-gamma) assay] currently used in Spain.
Field data from the first implementation of IFN-gamma assay (used in parallel
with SIT test 2-6months after a first disclosure SIT test) in infected beef,
dairy and bullfighting cattle herds from the region of Castilla and Leon were
used for the analysis. Model results suggested that in the described situation:
(i) Se of SIT test was highly variable (40.1-92.2% for severe interpretation,
median=66-69%), and its Sp was high (>99%) regardless interpretation criteria;
(ii) IFN-gamma assay showed a high Se (median=89-90% and 83.5% for 0.05 and 0.1
cut-off points respectively) and an acceptable Sp (85.7% and 90.3% for 0.05 and
0.1 thresholds) and (iii) parallel application of both tests maximized the
combined Se (95.6% using severe SIT and 0.05 cut-off point in the IFN-gamma
assay). These results support the potential use of the IFN-gamma assay as an
ancillary technique for routine BT diagnosis.
PMID- 21890285
TI - Multiplex real-time PCR SYBR Green for detection and typing of group III
Clostridium botulinum.
AB - Clostridium botulinum type C and type D belonging to the group III organisms, are
mainly responsible for animal botulism outbreaks. Clinical signs alone are often
insufficient to make a diagnosis of botulism and a laboratory confirmation is
required. Laboratory confirmation can be performed by demonstrating the presence
of botulinum neurotoxins in serum, gastrointestinal contents, liver, wound of
sick or dead animals, or by demonstrating the presence of C. botulinum in
gastrointestinal contents, liver, and wound. Demonstration of spores in
gastrointestinal contents or tissue of animals with clinical signs indicative of
botulism reinforces the clinical diagnosis. With the aim of detecting and typing
C. botulinum group III organisms, a multiplex real-time PCR SYBR Green was
developed and in-house validated. Selectivity, limit of detection, relative
accuracy, relative specificity, relative sensitivity, and repeatability of the
method were investigated. The multiplex real-time PCR SYBR green used showed a
100% selectivity, 100% relative accuracy, 100% relative specificity, 100%
relative sensitivity and a limit of detection of 277 and 580 DNA copies for C.
botulinum type C and C. botulinum type D, respectively. The method reported here
represents a suitable tool for laboratory diagnosis of type C and D botulism and
for testing a large number of samples collected during the animal botulism
surveillance and prevention activities.
PMID- 21890286
TI - Evaluation of salt concentrations, chromogenic media and anatomical sampling
sites for detection of methicillin-resistant Staphylococcus aureus in pigs.
AB - The performance of chromogenic media for the detection of methicillin-resistant
Staphylococcus aureus (MRSA) in humans, has been evaluated in numerous studies.
However, few comparative studies have been performed for the detection of MRSA in
pigs. In this study two different salt concentrations (2.5% or 7.5% NaCl) were
evaluated in the enrichment nutrient broth and three selective chromogenic media
(chromID MRSA, BrillianceMRSA and MRSASelect) for their ability to detect MRSA in
swabs from 29 pigs obtained from three different anatomical sampling sites
(anterior nares, skin behind both ears and perineum). ChromID MRSA showed the
highest relative sensitivity and specificity after enrichment in 7.5% NaCl,
followed by MRSASelect and BrilianceMRSA. For all chromogenic media more MRSA
positive results were obtained for specimens collected from skin behind the ears
than for specimens taken from both nares and perineum. The results with regard to
the anatomical sampling sites were confirmed in a larger study on three different
pig farms involving 60 pigs per farm. Skin behind the ears was the anatomical
site with the highest relative sensitivity (91.4%) for MRSA detection compared to
perineum and anterior nares, with a relative sensitivity of 76.5% and 75.3%,
respectively. An increased relative sensitivity could be achieved when combining
two anatomical sites. Sampling of anterior nares and skin behind the ears
appeared to be the most sensitive combination with a relative sensitivity of
98.2%. These results show that sampling of only the anterior nares underestimates
the real pig MRSA prevalence.
PMID- 21890288
TI - Gestational diabetes and preeclampsia--similar risk factor profiles?
AB - BACKGROUND: Gestational diabetes and preeclampsia are leading causes of
complications during pregnancy. AIMS: The aims of this study were to quantify the
probability that both diseases occur together, to evaluate commonality of risk
factor profiles, and to clarify the connection between gestational diabetes and
preeclampsia in combination with the maternal body mass index. STUDY DESIGN: We
analysed data of the German Perinatal Quality Registry 2006, an annual full
inventory of all hospital births in Germany. SUBJECTS: The Registry contains the
complete national birth cohort of 668,085 newborn infants and 647,392 mothers
from 896 German obstetric clinics. OUTCOME MEASURES: Each case of gestational
diabetes or preeclampsia that was identified during pregnancy by a gynaecologist
or in the hospital was fully registered. RESULTS: The prevalence of GDM was 2.32%
and that of PE was 2.31%, resulting in 0.09% of all pregnant women being
diagnosed with both diseases. GDM was found to be an independent risk factor for
PE. Increased maternal age, nulliparity, and multiple gestation pregnancies could
be identified as common risk factors for both diseases, while increased pre
pregnancy body mass index was found to be the most important predictor for both
diseases. CONCLUSIONS: As PE and GDM share similar risk factors, identification
of high-risk groups by simultaneous screening methods seems to be reasonable for
prevention of complications. Further studies will be needed to investigate
possible pathophysiological pathways increased body mass index has on the
induction of both diseases.
PMID- 21890287
TI - Production and characterization of recombinant transmembrane proteins from
Mycoplasma hyopneumoniae.
AB - Mycoplasma hyopneumoniae is the etiological agent of swine enzootic pneumonia
(EP), a chronic respiratory disease which causes significant economic losses to
the swine industry worldwide. More efficient strategies for controlling this
disease are necessary. In this study, we cloned17 genes coding for transmembrane
proteins from M. hyopneumoniae, among which six were successfully expressed in
Escherichia coli and had their immunogenic and antigenic properties evaluated.
All proteins were immunogenic in mice and sera from naturally infected pigs
reacted with the recombinant proteins, suggesting that they are expressed during
infection. These antigens may contribute for the development of new recombinant
vaccines and diagnostic tests against EP.
PMID- 21890289
TI - Maternal use of selective serotonin reuptake inhibitors during pregnancy and
neonatal bone density.
AB - BACKGROUND: Selective serotonin reuptake inhibitors (SSRI) are commonly used to
treat depression in pregnant women. Several adverse effects of prenatal SSRI
exposure on the offspring have been described, including decreased growth. SSRI
use by adults decreases bone mineral density, but this effect had not been
examined in infants. AIM: To examine growth parameters and bone mineral density
of infants born to mothers using SSRIs during pregnancy. STUDY DESIGN:
Anthropometric variables and bone density were compared between 40 newborns
exposed to SSRIs in utero, and 40 gestational-age matched control infants. Tibial
bone speed of sound, a marker of bone density and strength, was measured using
quantitative ultrasound. The difference in bone speed of sound between the two
groups was compared using linear models, adjusting for relevant confounders.
RESULTS: Infants in the SSRI-exposed group were shorter, with a marginal
statistical significance (49.3+/-2.1 vs. 50.1+/-1.3cm, p=0.07), while mean birth
weight did not differ substantially between study groups. Head circumference was
significantly smaller in the SSRI group (33.8+/-1.2 vs 34.4+/-1.1cm, p=0.005),
remaining so even after adjustment for several confounders. No considerable
difference was found in the bone speed of sound between SSRI-exposed infants and
controls (3011+/-116 vs. 3029+/-129m/s). CONCLUSIONS: We found no evidence that
prenatal SSRI exposure hindered neonatal bone quality, yet a marginally shorter
length and a smaller head circumference raise the possibility of an effect on
bone growth. We conclude that the effect of SSRIs on fetal bone density seems
minimal or absent.
PMID- 21890290
TI - Cryopreservation and fertility of ejaculated and epididymal stallion sperm.
AB - The cryopreservation of epididymal sperm is important to preserve genetic
material from valuable deceased males. This study evaluated the viability of
sperm samples from eight stallions under three conditions: (1) collected using an
artificial vagina (EJ-0h), (2) recovered from the epididymal cauda immediately
after orchiectomy (EP-0h), and (3) recovered from the epididymal cauda after 24h
of storage at 5 degrees C (EP-24h). To obtain EJ-0h sperm, two ejaculates were
collected from each stallion. After 1 week, the stallions were submitted to
bilateral orchiectomy, and one of the removed epididymides was flushed to obtain
EP-0h sperm. The contralateral epididymis was stored at 5 degrees C for 24h
before being flushed to obtain EP-24h sperm. The sperm samples were analyzed at
three different times: immediately after sperm recovery, after dilution in the
freezing extender, and post-thawing. A fertility trial was performed using 39
estrous cycles. After ovulation induction with 1mg of deslorelin acetate (i.m.),
mares were inseminated with 800*10(6) sperm. The total number of sperm recovered
was 7.8+/-4.7*10(9) for EJ-0h sperm, 12.9+/-9.2*10(9) for EP-0h sperm and 12.0+/
8.0*10(9) for EP-24h sperm. The sperm motility, evaluated by total motility,
progressive motility and the percentage of rapid cells, was similar among the
samples before and after freezing (P>0.05). However, the plasma membrane
integrity was different between EJ-0h and EP-0h pre-freezing and between EJ-0h
and EP-24h post-thawing (P<0.05). The conception rates were similar between
groups inseminated with sperm recovered from the epididymal cauda immediately
after orchiectomy (EP-0h), after 24h of storage at 5 degrees C of the epididymal
cauda (EP-24h) and with ejaculated sperm (EJ-0h) (P>0.05). In conclusion, the
viability and fertility of cauda epididymal sperm are similar to those of
ejaculated sperm.
PMID- 21890291
TI - Parthenogenic blastocysts cultured under in vivo conditions exhibit proliferation
and differentiation expression genes similar to those of normal embryos.
AB - Parthenote embryos offer multiple possibilities in biotechnological
investigation, such as stem cell research. However, there is still a dearth of
knowledge of this kind of embryo. In this study, development and ploidy were
analysed in parthenotes under in vitro and in vivo culture conditions.
Subsequently, using real-time PCR, the expressions of factor OCT-4, Vascular
Endothelial Growth Factor, Epidermal Growth Factor Receptor 3 and Transforming
Growth Factor beta2 genes were analysed to compare the embryo types at the
blastocyst stage. Development and implantation of parthenote embryos were
described after transfer at day 10 of pregnancy. Parthenotes showed similar
blastocyst development for both culture conditions and most of the parthenotes
produced were diploid. However, parthenotes developed under in vivo conditions
showed similar mRNA expression of OCT-4, VEGF and TGF-beta2 to 5 and 6 day old
blastocysts. In contrast, parthenotes developed under in vitro conditions had
altered the expression pattern of these genes, except for erbB3 mRNA. Finally,
transferred parthenotes had the ability to implant but showed severe growth
retardation and lesser size. This is the first demonstration of the influence of
culture conditions on parthenote mRNA expression. Our study highlights the
importance of culture conditions in subsequent uses of parthenotes, such as the
production of stem cell lines.
PMID- 21890292
TI - Management of type 2 diabetes mellitus in the elderly.
AB - AIM: To provide evidence based recommendations for optimal care diabetes care in
the elderly. BACKGROUND: Diabetes affects approximately 25% of the population
>=65 years, and that percentage is increasing rapidly, particularly in minorities
who represent an important fraction of the uninsured/underinsured. Diabetes is an
important cause of hospital admissions and a co-morbidity in as high as 50% of
hospital inpatients. It impacts mortality and quality of life. While tools have
become available to improve glycemic control, enthusiasm for their application
must be tempered with the sober realization of the risks involved in
intensification of glycemic control, chiefly hypoglycemia. METHODS: Weighted
review from PubMed and other literature search tools in descending order of
randomized control trials, observational studies, pilot studies, published
guidelines, the authors' clinical experience, and expert opinion.
RESULTS/CONCLUSIONS: * HbA1c targets should be stratified according to the
frailty of the elderly diabetic patient: <7.0% in the generally well elderly and
< 8.0% in the frail elderly. * Therapies are available that achieve glycemic
goals, while minimizing the risk of hypoglycemia, taking into consideration such
factors as cognitive function, renal and hepatic function, bone density, fall
risk, and hypoglycemia unawareness. * When insulin is used determir or glargine
are safer choices than NPH. * Ultra-short acting prandial insulins are safer than
regular insulin. * Pen devices for insulin delivery significantly reduce dosing
errors and the risk of hypoglycemia. * Sudden managed care formulary changes that
disrupt patients' diabetes treatment should be prevented through national policy
initiatives. * Up to date home medication lists help prevent dangerous medication
errors. * Widespread adoption of telehealth approaches can significantly improve
glycemic control and render it safer.
PMID- 21890293
TI - Forged seal detection based on the seal overlay metric.
AB - This paper describes a method for verifying the authenticity of a seal impression
imprinted on a document based on the seal overlay metric, which refers to the
ratio of an effective seal impression pattern and the noise in the neighborhood
of the reference impression region. A reference seal pattern is obtained by
taking the average of a number of high-quality impressions of a genuine seal. A
target seal impression to be examined, often on paper with some background texts
and lines, is segmented out from the background by an adaptive threshold applied
to the histogram of color components. The segmented target seal impression is
then spatially aligned with the reference by maximizing the count of matching
pixels. Then the seal overlay metric is computed for the reference and the
target. If the overlay metric of a target seal is below a predetermined limit for
the similarity to the genuine, then the target is classified as a forged seal. To
further reduce the misclassification rate, the seal overlay metric is adjusted by
the filling rate, which reflects the quality of inked pattern of the target seal.
Experiment results demonstrate that the proposed method can detect elaborate seal
impressions created by advanced forgery techniques such as lithography and
computer-aided manufacturing.
PMID- 21890294
TI - Blow flies (Diptera: Calliphoridae) survive burial: Evidence of ascending
vertical dispersal.
AB - This study was undertaken to determine if immature blow flies could complete
development following burial and emerge from the soil as adults. Two species of
blow flies, Cochliomyia macellaria and Protophormia terraenovae, were placed at
three depths and at three different life stages, in a simulated burial to
evaluate the impact of soil on ascending vertical dispersal and fly survival. In
soil columns, immature stages of each species were covered with 5, 25 and 50cm of
soil. Emerging adult flies of both species reached the surface from all depths at
all three immature stages (2nd instar, 3rd instar and pupae). At the 50-cm depth,
flies were least successful in reaching the surface when buried as pupae and most
successful as late 3rd instar larvae (prepupae). Collectively, more adult flies
emerged from the soil if buried as 3rd instars (79.6%) than either 2nd instars or
pupae (59.6% and 59.3%, respectively (F(2,159)=14.76, P<0.0001)). Similarly, at
shallow burial depths of 5 and 25cm, 75.6% and 70.4% of the adults successfully
reached the surface, compared with 52.6% at the 50-cm depth (F(2,159)=15.95,
P<0.0001). Second instars demonstrated ascending vertical dispersal behaviours in
the soil column by pupating closer to the surface. Nearly half (46.6%) of the C.
macellaria 2nd instars buried in 25cm of soil pupated nearer to the surface.
Similarly, 45.4% of the P. terraenovae 2nd instars pupated nearer to the surface.
When buried at 50cm, approximately 25% of 2nd instars of both species pupated
nearer to the surface. When 3rd instars of C. macellaria and P. terraenovae were
buried at 120cm, 40% and 4.3% of the adults, respectively, successfully reached
the soil surface.
PMID- 21890295
TI - Cerebral neoplastic enhancing lesions: multicenter, randomized, crossover
intraindividual comparison between gadobutrol (1.0M) and gadoterate meglumine
(0.5M) at 0.1 mmol Gd/kg body weight in a clinical setting.
AB - OBJECTIVE: Two macrocyclic extracellular contrast agents, one-molar neutral
gadobutrol and ionic gadoterate meglumine, were compared to determine the overall
preference for one or the other in a clinical setting. MATERIALS AND METHODS:
Multicenter, randomized, single-blind, intra-individually controlled, comparison
study with a corresponding blinded read. Efficacy analysis was based on 136
patients who underwent identical MRI examinations: group A first received 1.0M
gadobutrol followed by 0.5M gadoterate meglumine 48 h to 7 days later; group B
had a reversed administration order. Three independent blinded readers assessed
off-site their overall diagnostic preference (primary efficacy parameter) based
on a matched pairs approach. RESULTS: Superiority of gadobutrol over gadoterate
meglumine was demonstrated for the qualitative assessment of overall preference
across all readers by a statistically significant difference between both
contrast agents for this primary endpoint. Preferences in lesion enhancement
(secondary endpoint) were also found significantly in favor of gadobutrol. For
preference in lesion delineation from surrounding tissue/edema and for internal
structure only a trend towards a higher proportion for gadobutrol was found
(except for internal structure reported by one reader, which showed a result of
statistical significance). Lesion contrast and relative lesion enhancement
(quantitative parameters) were statistically significantly higher for gadobutrol
compared to gadoterate meglumine. CONCLUSION: Contrast-enhanced MRI of neoplastic
brain lesions at a dose of 0.1 mmol Gd/kg body weight, assessed in a standardized
off-site blinded reading, results in a significantly higher qualitative and
quantitative preference for gadobutrol compared to gadoterate meglumine.
PMID- 21890296
TI - Development and validation of a dried blood spot LC-MS/MS assay to quantify
ranitidine in paediatric samples.
AB - A novel approach has been developed to determine ranitidine in paediatric samples
using dried blood spots (DBS) on Guthrie cards (Whatman 903). A selective and
sensitive HPLC-MS/MS assay has been developed and validated using small volumes
of blood (30 MUl). A 6 mm disc was punched from each DBS and extracted with
methanolic solution of the internal standard (IS) nizatidine. This was further
subjected to solid phase extraction (SPE), followed by reversed phase HPLC
separation, using a XBridgeTM C18 column and mobile phase 10 mM ammonium
acetate/methanol (98:2 v/v) with a flow rate of 0.3 mL/min. This was combined
with multiple reaction monitoring (MRM) mass detection using electrospray
ionisation (ESI). The calibration curve for ranitidine was found linear over the
range 10-500 ng/mL (r=0.996). The limit of quantification (LOQ) of the method was
validated at 10 ng/mL. Accuracy and precision values for within and between days
were <20% at the LOQ and <15% at all other concentrations. The validated DBS
method was successfully applied to a clinical study employing 81 samples from 36
paediatric patients.
PMID- 21890297
TI - Determination of berberine, palmatine and jatrorrhizine in rabbit plasma by
liquid chromatography-electrospray ionization-mass spectrometry.
AB - Incurred rabbit plasmas samples were utilized for method quality assessment in
this study, where an optimized protein precipitation method for the preparation
of rabbit plasma samples and a rapid and sensitive liquid chromatography
electrospray ionization-mass spectrometry for the simultaneous determination of
berberine, palmatine and jatrorrhizine was described. Plasma samples (100 MUl)
were pretreated by protein precipitation with the mixture of 3% formic acid and
50 ng/ml clozapine (internal standard) in acetonitrile followed by LC analysis
using a C(18) column and a mobile phase composed of 0.4% formic acid solution and
0.2% formic acid solution of methanol (60:40, v/v) operated at a flow rate of 0.4
ml/min. The analysis was performed in the multiple reaction monitoring mode via
electrospray ionization source operating in the positive ionization mode. The
method was linear over the concentration range of 0.1-400 ng/ml for all target
components. The lower limits of quantification were 0.1 ng/ml for all analytes,
all intra- and inter-day precision values were less than 7.10%, and accuracy
(bias, %) was within +/-7.11%. The mean absolute recovery was more than 72% for
all analytes. The validated method has been successfully applied to the
pharmacokinetic study of berberine, palmatine and jatrorrhizine in rabbit plasma
after oral administration of San-Huang decoction to rabbits.
PMID- 21890298
TI - Determination and characterization of degradation products of anastrozole by LC
MS/MS and NMR spectroscopy.
AB - Two new degradation products for Anastrozole active pharmaceutical ingredient
(ANZ) have been identified and reported in this paper. The ANZ was subjected to
thermal, photolytic, oxidative and base stress conditions prescribed by ICH
guidelines. Separation of ANZ from its existing impurities and the two new
impurities was achieved by using on Oyster ODS-3 (100 mm*4.6 mm*3.0 MUm) column
with an isocratic mixture of 10 mM ammonium formate and acetonitrile in the ratio
60:40 (v/v). The flow rate was 0.5 ml min(-1). The elution was monitored at 215
nm. An isocratic stability indicating reverse phase liquid chromatographic (RP
LC) and LC-MS/MS method was developed for the determination of purity and assay
of ANZ through forced degradation studies. The two new impurities detected were
further subjected to spectroscopic studies. Based on the results obtained from
the different spectroscopic studies, these impurities have been characterized as
2,2'-(5-((1H-1,2,4-triazol-1-yl)methyl)-1,3-phenylene)bis(2-methylpropanoicacid)
(Diacid) and 2-(3-((1H-1,2,4-triazol-1-yl)methyl)-5-(2-cyanopropan-2-yl)phenyl)-2
methylpropanoicacid (Monoacid). ANZ was found to degrade in base, slightly in
oxidative degradation conditions. The degradation products were well resolved
from main peak and its impurities thus proved the stability, indicating power of
the method. The developed method was validated as per International Conference on
Harmonization (ICH) guidelines with respect to specificity, limit of detection,
limit of quantitation, precision, linearity, accuracy, robustness and system
suitability.
PMID- 21890299
TI - Disparities in patient reports of communications to inform decision making in the
DECISIONS survey.
AB - OBJECTIVE: To identify patient- and decision-type predictors of two key aspects
of informed decision making: discussing the cons (not just the pros) of medical
interventions and asking patients what they want to do. METHODS: Using data from
2473 members of the DECISIONS survey, a nationally representative sample of U.S.
adults age 40+, we used logistic regression analysis to identify which patient
characteristics predicted patient reports of healthcare providers discussing cons
or eliciting preferences about one of 9 common medical decisions. RESULTS:
Multiple demographic characteristics predicted both discussions of cons and
elicitations of preferences, although the specific characteristics varied between
decision contexts. In particular, African-American respondents reported being
more likely to receive a discussion of the cons of cancer screening (OR=1.69,
p<0.05) yet less likely to have been asked their opinion about either getting a
cancer screening test (OR=0.56, p<0.05) or initiating medications (OR=0.53,
p<0.05). Significant cross-decision variations remained even after controlling
for patient characteristics. CONCLUSIONS: Important disparities in patient
communication and involvement appear to exist both between different types of
medical decisions and between different types of patients. PRACTICE IMPLICATIONS:
Providers must make sure to consistently discuss the cons of treatment and to
solicit input from all patients, especially African-Americans.
PMID- 21890300
TI - Does motivational interviewing counseling time influence HIV-positive persons'
self-efficacy to practice safer sex?
AB - OBJECTIVE: This study examined the impact of motivational interviewing (MI)
counseling time on self-efficacy to practice safer sex for people living with
HIV/AIDS (PLWHA). METHODS: In 4 month intervals we followed a cohort of 490 PLWHA
for 12 months. We conducted hierarchical linear regression models to examine
changes in safer sex self-efficacy when participants received zero, low to
moderate (5-131 min) and high (132-320 min) doses of MI time. We conducted a
similar analysis using number of counseling sessions as the predictor variable.
RESULTS: Participants with low to moderate doses of MI counseling had 0.26 higher
self-efficacy scores than participants with zero MI time (p=0.01). Also, they had
0.26 lower self-efficacy scores than participants with high amounts of MI time
(p=0.04). Participants with high doses of MI had a 0.5 higher self-efficacy score
than participants with zero amount of MI time (p<0.0001). Participants who
received 3-4 counseling sessions had 0.41 greater self-efficacy scores than
participants who did not receive any sessions (p<0.0001) but did not differ from
participants receiving 1-2 sessions. CONCLUSION: MI time is a key to enhancing
safer sex self-efficacy among PLWHA. PRACTICE IMPLICATIONS: Safer sex self
efficacy improves the more MI counseling time and sessions PLWHA receive.
PMID- 21890301
TI - The doctor and the patient--how is a clinical encounter perceived?
AB - OBJECTIVE: To examine the population distribution of different types of
relationships between people with chronic conditions and their doctors that
influence decisions being made from a shared-decision making perspective.
METHODS: A survey questionnaire based on recurring themes about the
doctor/patient relationship identified from qualitative in-depth interviews with
people with chronic conditions and doctors was administered to a national
population sample (n=999) of people with chronic conditions. RESULTS: Three
factors explained the doctor/patient relationship. Factor 1 identified a positive
partnership characteristic of involvement and shared decision-making; Factor 2
doctor-controlled relationship; Factor 3 relationship with negative dimensions.
Cluster analysis identified four population groups. Cluster 1 doctor is in
control (9.7% of the population); Cluster 2 ambivalent (27.6%); Cluster 3
positive long-term relationship (58.6%); Cluster 4 unhappy relationship (4.4%).
The proportion of 18-34 year olds is significantly higher than expected in
Cluster 4. The proportion of 65+ year olds is significantly higher than expected
in Cluster 1, and significantly lower than expected in Cluster 4. CONCLUSION:
This study adds to shared decision-making literature in that it shows in a
representative sample of people with chronic illnesses how their perceptions of
their experiences of the doctor-patient relationship are distributed across the
population. PRACTICE IMPLICATIONS: Consideration needs to be given as to whether
it is better to help doctors to alter their styles of interactions to suit the
preferences of different patients or if it is feasible to match patients with
doctors by style of decision-making and patient preference.
PMID- 21890302
TI - Soluble p-selectin, D-dimer, and high-sensitivity C-reactive protein after acute
deep vein thrombosis of the lower limb.
AB - BACKGROUND: Soluble p-selectin (sP-selectin), D-dimer, and C-reactive protein
(CRP) are elevated in deep vein thrombosis (DVT), and may play a role as risk
predictors of recurrent venous thromboembolism. However, these parameters have
only been assessed at manifestation or at single time points after DVT so far. We
therefore investigated the course of sP-selectin, D-dimer, and high-sensitivity
(hs)-CRP after acute unprovoked DVT of the lower limb. METHODS: In this
prospective, longitudinal study, sP-selectin, D-dimer, and hs-CRP were determined
by enzyme-linked immunosorbent assay, quantitative latex assay, and particle
enhanced immunonephelometry, respectively, in 44 patients with sonographically
confirmed acute DVT at the time of diagnosis, and 1, 3, 6, and 12 months later.
sP-selectin and hs-CRP were also measured in 88 age- and gender-matched healthy
controls. Further, color duplex sonography was performed in all patients at each
time point. RESULTS: At DVT diagnosis, sP-selectin and hs-CRP were significantly
higher in patients compared with healthy controls. From baseline to 1 month, both
parameters decreased significantly. In patients with oral anticoagulation (OAC)
for 6 months (n = 35), levels of sP-selectin increased significantly after
cessation of anticoagulant therapy (P = .002), while sP-selectin was similar to
healthy controls in patients with ongoing OAC (n = 9) at 12 months (P = .49). In
contrast, hs-CRP in both subgroups remained constantly low at levels seen in
healthy controls. The course of D-dimer was similar to sP-selectin. Color duplex
sonography showed no ongoing thrombus formation in any patient. Thirty-four
(77.3%), 29 (65.9%), 26 (59.1%), and 25 (56.8%) patients had residual thrombosis
1, 3, 6, and 12 months after the acute event, respectively. D-dimer was
significantly higher in patients with residual thrombosis compared with patients
without residual thrombosis 1 month after DVT (0.58 MUg/mL [range, 0.2-9.67
MUg/mL] vs 0.25 MUg/mL [range, 0.2-0.62 MUg/mL]; P = .02). At all other time
points, the levels of D-dimer and sP-selectin did not differ significantly
between patients without and with residual thrombosis (all P > .05). CONCLUSIONS:
Concentrations of sP-selectin and D-dimer after acute DVT seem to be strongly
influenced by treatment with vitamin K antagonists. After withdrawal of oral
anticoagulation, they rise again and could therefore reflect a prothrombotic
state, which is susceptible to pharmacologic therapy.
PMID- 21890303
TI - New method for absolute spinal cord ischemia protection in rabbits.
AB - OBJECTIVE: This study aims to establish a superior procedure to prevent spinal
cord damage after severe spinal cord ischemia during aortic surgery. We examined
the synergistic effect of topical hypothermia of the spinal cord combined with
radical scavenger infusion into the clamped segment of the aorta to prevent
spinal cord damage in an animal model. METHODS: Spinal cord ischemia was induced
in rabbits by clamping the aorta between the renal artery and aortic bifurcation
for 30 minutes. Rabbits were divided into four groups of 16 each: group I, sham
operated; group II, edaravone (6 mL, 4 degrees C, 1 mg/kg); group III, saline (6
mL, 4 degrees C) with transvertebral cooling pads; group IV, edaravone (6 mL, 4
degrees C, 1 mg/kg) and transvertebral cooling pads. Solutions were injected into
the clamped segment of the aorta. Postoperative assessments included the Tarlov
score, spinal cord histopathology, and measurement of malondialdehyde levels in
the spinal cord tissue. RESULTS: At 48 hours after reperfusion, the mean Tarlov
scores in groups I, II, III, and IV were 4.0, 1.5, 1.9, and 4.0, respectively.
The mean number of normal motor neurons was significantly higher in groups I
(54.1) and IV (53.7) than in groups II (32.8) and III (36.3; P < .001). The mean
malondialdehyde level in groups I (19.8 nmol/mL) and IV (22.6 nmol/mL) was
significantly lower than in groups II (64.8 nmol/mL) and III (60.9 nmol/mL; P <
.001). At 168 hours after reperfusion, the mean Tarlov scores in groups I, II,
III, and IV were 4.0, 1.1, 1.3, and 4.0, respectively. The mean number of normal
motor neurons was significantly higher in groups I (52.9) and IV (50.8) than in
groups II (22.4) and III (25.9; P < .001). The mean malondialdehyde level in
groups I (20.7 nmol/mL) and IV (23.4 nmol/mL) was significantly lower than in
groups II (68.9 nmol/mL) and III (61.6 nmol/mL; P < .001). CONCLUSION: In a
rabbit model with aortic clamping up to 30 minutes, which consistently produces
complete paraplegia in rabbits, spinal cord damage was partially reduced by
topical cooling with transvertebral cooling pads or the injection of edaravone
into the clamped segment of aorta, but was more effectively protected by a
combined use of these two strategies.
PMID- 21890304
TI - Pioglitazone prevents intimal hyperplasia in experimental rabbit vein grafts.
AB - BACKGROUND: Intimal hyperplasia is a major obstacle to patency after vein
grafting. Several clinical trials revealed that pioglitazone, a peroxisome
proliferator-activated receptor-gamma ligand, exerts beneficial actions on
cardiovascular complications. We investigated whether pioglitazone modulates
intimal hyperplasia in experimental rabbit autologous vein grafts. METHODS: Male
Japanese White rabbits were randomly divided into two groups: one group received
pioglitazone as food admixture at a concentration of 0.01%, and the other did not
(control). One week later, each group underwent reversed autologous vein bypass
grafting of the right common carotid artery using ipsilateral external jugular
vein. Pioglitazone therapy was continued after surgery and until harvest. Intimal
hyperplasia of the grafted vein was assessed at 28 days. Two weeks after
implantation, proliferative cells in the neointima were identified by
immunohistochemical staining with Ki-67 monoclonal antibody. To determine
apoptotic cells, we performed terminal deoxynucleotidyl transferase-mediated
deoxyuride-5'-triphosphate nick-end labeling (TUNEL) staining. Blood samples were
collected at 28 days after implantation for measuring metabolic parameters such
as plasma glucose and total cholesterol. Adiponectin levels were determined by
Western blot analysis. Finally, we assessed adiponectin-related signaling
pathway, 5' adenosine monophosphate-activated protein kinase (AMPK), and
extracellular signal-regulated kinase (ERK) in the grafted vein by Western blot
analysis. RESULTS: Treatment with pioglitazone markedly inhibited intimal
hyperplasia of carotid interposition-reversed jugular vein grafts in the
pioglitazone group (0.54 +/- 0.04 mm(2)) vs control (0.93 +/- 0.04 mm(2); n = 7;
P < .01). Pioglitazone treatment reduced the number of Ki-67-positive
proliferating cells in the neointima of the vein grafts at 14 days after
implantation in the pioglitazone group (4.1% +/- 1.1%) vs the controls (16.8% +/-
1.7%; P < .05). The frequency of TUNEL-positive apoptotic cells was enhanced by
pioglitazone (3.5% +/- 0.5%) vs the controls (1.2% +/- 0.1%; P < .05).
Pioglitazone treatment also increased plasma levels of adiponectin, a vascular
protective hormone, and led to an increase in phosphorylation of AMPK and a
decrease in phosphorylation of ERK in the grafted vein. CONCLUSIONS: Pioglitazone
attenuates intimal hyperplasia of the vein graft after autologous bypass grafting
by its ability to suppress cell proliferation and enhance apoptosis. Pioglitazone
could represent a therapeutic target for the prevention of graft failure after
bypass grafting.
PMID- 21890305
TI - Novel use of endoluminal repair as prophylaxis of aortic rupture secondary to
radiotherapy for lung cancer.
AB - Non-small-cell lung cancer (NSCLC) invading the aorta is staged as T(4). Only 9%
of T(4) tumors are resected; the alternative is chemoradiotherapy, but for peri
aortic NSCLC, radiation damage to the aortic wall can induce fatal rupture. We
report the case of a 76 year-old man with a 3-cm left lower lobe NSCLC clearly
invading the aortic wall. A thoracic stent graft was inserted prophylactically to
prevent aortic rupture. He then received 64 Gy radiotherapy in 32 fractions,
resulting in tumor shrinkage. Prophylactic aortic endografting, a less invasive
treatment than open surgery, may enable high dose irradiation of the aortic wall.
PMID- 21890306
TI - Sugarcane biopolymer patch in femoral vein angioplasty on dogs.
AB - PURPOSE: To evaluate the use of the sugarcane biopolymer membrane in femoral vein
patch angioplasty on dogs. METHODS: Eight dogs were submitted to bilateral
femoral vein patch angioplasty with a sugarcane biopolymer membrane patch on one
side and an expanded polytetrafluoroethylene (e-PTFE) patch on the contralateral
side. This research was performed at Experimental Surgical Research Laboratory of
the Centro de Ciencias da Saude at Universidade Federal de Pernambuco. The dogs
underwent new surgery at 180 days after the patch angioplasty in order to harvest
the femoral vein. All the animals were evaluated by clinical examination, measure
of femoral vein diameter, venogram, and Doppler fluxometry. The material
harvested was sent for histologic study. Each animal served as its own control.
RESULTS: In all veins of both groups, there were no cases of infection, rupture,
or pseudoaneurysm formation and thrombosis. In both groups, a chronic
inflammatory reaction was observed, with lymphocytes, neutrophils, and fibrosis
in the outer surface of the patches. Fibrosis was seen in the inner surfaces of
all the patches. In e-PTFE patches, invasion by fibroblasts occurred.
CONCLUSIONS: The sugarcane biopolymer membrane can be used as a patch in femoral
vein angioplasty on dogs. CLINICAL RELEVANCE: The sugarcane biopolymer membrane
is easily synthesized with a low cost of production. This membrane has been used
in many areas of experimental surgery as in the healing of skin wounds, in
urinary reconstruction, in reconstruction of tympanic membrane, and as an
arterial substitute, but there is no report of its use as a vein substitute. In
order to evaluate the possibility of using the sugarcane biopolymer membrane in
venous reconstructive surgery, this study analyzed its utilization in femoral
vein patch angioplasty.
PMID- 21890307
TI - The results of a simplified technique for safe carotid stenting in the elderly.
AB - PURPOSE: The purpose of this study was to report the preliminary experience of a
modified transcervical carotid angioplasty and stenting (CAS) technique with
filter protection and flow reversal only during filter placement in patients
unsuitable for transfemoral CAS and at high risk for carotid endarterectomy
(CEA). PATIENTS AND METHODS: Twenty-five of 132 patients, aged 75 to 86 years
old, with severe carotid stenosis had been selected. Eighteen patients had
transient ischemic attacks (TIAs) in the last month and seven patients were
asymptomatic. Patients with limited life expectancy were not included. The common
carotid artery (CCA) was mobilized and cannulated. The flow in the internal
carotid artery (ICA) was reversed by occluding the proximal CCA and connecting
the introducing sheath to a blood transfusion bag positioned close to the floor,
instead of returning it directly to the venous system. This produced retrograde
flow in the ICA in all patients as a result of greater pressure gradient. The
carotid filter was inserted to the distal ICA under retrograde flow and then
antegrade flow was resumed and CAS was performed. All patients were
autotransfused except for four patients who had severe renal insufficiency to
avoid readministration of contrast media. RESULTS: All procedures were successful
except in one patient converted to open endarterectomy because of CCA dissection
(technical success rate 97.5%) and one patient who had a TIA involving the right
hand 10 hours after CAS and recovered completely after 3 hours (event rate 2.5%).
Reversed flow was visualized with intraoperative angiography in the ICA in all
patients. Twenty-two patients were discharged the next morning and three (12%) on
the following day because of hypotension. The duration of reversed flow was 1 to
4 minutes (mean, 1.5 minutes), the amount of blood collected was 100 to 400 mL
(mean, 250 mL), and none of these patients had any hemodynamic disturbance during
the procedure. Creatinine levels showed no increase postoperatively in either
patient. The patients were followed-up clinically and with color Duplex scan for
3 to 24 months, so far, and they are free of symptoms or significant restenosis.
CONCLUSION: The results of this preliminary study indicate that the transcervical
approach with flow reversal during the insertion of the protecting filter allows
CAS with minimal interruption of cerebral circulation and is simple and safe in
patients unsuitable for CEA and transfemoral CAS for anatomic reasons. Further
research with randomization and with pre-procedure and post-procedure diffusion
weighted magnetic resonance imaging (DW-MRI) is required in order to expand the
indications of this method.
PMID- 21890308
TI - Oxygen uptake before and after the onset of claudication during a 6-minute walk
test.
AB - OBJECTIVE: This study compared oxygen uptake before and after the onset of
claudication in individuals with peripheral artery disease (PAD) during a 6
minute walk test, and identified predictors of the change in oxygen uptake after
the onset of claudication pain. METHODS: The study included 50 individuals with
PAD. During a 6-minute walk test, 33 experienced claudication (pain group), and
17 were pain-free (pain-free group). Oxygen uptake and ambulatory cadence were
the primary outcomes evaluated during the 6-minute walk test. RESULTS: The pain
group experienced onset of claudication pain at a mean (standard deviation) of
179 (45) meters and continued to walk to achieve a 6-minute walk distance of 393
(74) meters, which was similar to the 401 (76) meters walked in the pain-free
group (P = .74). Oxygen uptake increased (P < .0001) after the onset of pain in
the pain group, and this change was greater (P = .025) than the increase in
oxygen uptake from the second to fifth minutes of walking in the pain-free group.
Ambulatory cadence decreased after the onset of pain in the pain group (P =
.0003). The change in oxygen uptake was associated with metabolic syndrome (P =
.0023), 6-minute walk distance (P = .0037), age (P = .0041), and oxygen uptake
during the second minute of the test (P = .012). CONCLUSION: Claudication
increases oxygen uptake of self-paced, over-the-ground ambulation, despite a
decrease in cadence. The pain-mediated increase in oxygen uptake was blunted in
individuals with metabolic syndrome, suggesting that the ability to increase
oxygen uptake during ambulation is impaired. The clinical significance is that
claudication increases the metabolic cost of ambulation, thereby increasing the
relative intensity of exercise and reducing the tolerance to sustain ambulation.
PMID- 21890309
TI - Surveillance of a coiled renal artery aneurysm by contrast-enhanced ultrasound
with Definity.
AB - Imaging surveillance is necessary to assess for long-term procedural outcomes
after endovascular treatment. This is generally performed by computed tomography
(CT) or magnetic resonance imaging (MRI). Contrast-enhanced ultrasound (CEUS) has
recognized utility for cardiovascular and abdominal applications and is an
alternative option in patients with renal impairment or CT/MR contrast-related
reactions. We believe that we present the first reported case of CEUS in the
surveillance of a treated renal artery aneurysm. The 57-year-old patient had a
severe CT contrast allergy. CEUS performed with Definity microbubble ultrasound
contrast (Lantheus Medical Imaging, Billerica, Mass) was well tolerated and
showed no residual filling of the aneurysm.
PMID- 21890310
TI - Common femoral endovenectomy with iliocaval endoluminal recanalization improves
symptoms and quality of life in patients with postthrombotic iliofemoral
obstruction.
AB - BACKGROUND: The postthrombotic syndrome is a debilitating condition occurring in
30% to 50% of patients with lower extremity deep vein thrombosis (DVT). Following
iliofemoral DVT, however, postthrombotic morbidity is especially severe, due to
occlusion of the common femoral vein (CFV) and iliac veins. While endoluminal
recanalization appears effective in restoring patency to the iliac venous system,
infrainguinal obstruction of the CFV remains a problem. The purpose of this study
is to report preliminary observations of common femoral endovenectomy and
intraoperative endoluminal recanalization of the iliac veins in patients with
incapacitating postthrombotic iliofemoral obstruction. METHODS: Ten patients
underwent common femoral endovenectomy with endoluminal iliocaval recanalization.
The Venous Clinical Severity Score (VCSS), a validated tool to assess chronic
venous disease, the Villalta scale, a validated tool to quantify postthrombotic
syndrome, the clinical classification of CEAP, and the Venous Insufficiency
Epidemiological and Economic Study-Quality of Life (VEINES-QOL)/Sym
questionnaires were completed preoperatively and readministered postoperatively
at 8.8 months (mean). RESULTS: Five patients were followed for more than 6 months
and form the basis of the long-term analysis. All demonstrated significant
improvement in their venous scores postoperatively. The VCSS preoperatively was
17 and fell to 9.8 postoperatively (P = .02). The Villalta scale dropped from
13.6 preoperatively to 6.0 postoperatively (P = .002). The VEINES-QOL/Sym
questionnaire, a sensitive marker of patient quality of life and symptom status,
was improved (P = .01 and .02, respectively). CONCLUSION: Chronic postthrombotic
iliofemoral venous obstruction treated with common femoral endovenectomy and
endoluminal recanalization improves objective outcome measures of patients with
chronic postthrombotic obstruction. By restoring unobstructed venous drainage
through the CFV to the vena cava, patients' postthrombotic morbidity is reduced
and quality of life is improved.
PMID- 21890311
TI - Poly-L/D-lactic acid anchors are associated with reoperation and failure of SLAP
repairs.
AB - PURPOSE: This study investigates factors associated with failure and reoperation
after glenoid labrum repair. METHODS: We studied a nonconcurrent cohort of
consecutive patients undergoing arthroscopic superior labrum repair at a single
institution by 2 fellowship-trained surgeons over a 10-year period. RESULTS:
There were 348 patients included in this study with a mean age of 33.4 years (95%
confidence interval [CI], 32.1 to 35.9) and a mean clinical follow-up of 12.3
months (95% CI, 10.9 to 13.8). The overall reoperation rate was 6.3%, with a
revision labrum repair rate of 4.3%. Subsequent surgery and failure after
arthroscopic labrum repair were significantly correlated with Workers'
Compensation claims (odds ratio [OR], 4.6; P < .001; 95% CI, 1.8 to 11.7), the
use of tobacco (OR, 12.0; P = .03; 95% CI, 1.2 to 114.9), and the use of
absorbable poly-L/D-lactic acid (PLDLA) anchors (100% correlation, P < .001). The
OR for having repeat surgery was 12.7 (95% CI, 4.9 to 32.9; P < .001) with poly
96L/4D-lactic acid (Mini-Revo; Linvatec, Largo, FL) and also increased with the
use of poly-70L/30D-lactic acid (Bio-Fastak and Bio-Suturetak; Arthrex, Naples,
FL) anchor material (P = .04) after removal of the patients exposed to poly
96L/4D-lactic acid anchors. The rates of repeat surgery with PLDLA anchors from
Linvatec and PLDLA anchors from Arthrex were 24% and 4%, respectively. None of
the patients treated with nonabsorbable suture anchors (polyether ether ketone or
metallic) returned to the operating room (P < .001). After we controlled for
associated factors in a multivariate analysis, the use of absorbable anchors, in
particular poly-96L/4D-lactic acid anchors (OR, 14.7; P < .001), and having a
work-related injury (OR, 8.1; P < .001) remained independent factors associated
with both repeat surgery and revision superior labrum repair. CONCLUSIONS:
Bioabsorbable PLDLA anchor material led to significantly more SLAP repair
failures and reoperations compared with nonabsorbable suture anchors. Our
recommendation is that glenoid labrum repairs be performed with nondegradable
material and, specifically, that the use of anchors composed of PLDLA material
should be avoided.
PMID- 21890312
TI - [Innovative therapeutics for idiopathic pulmonary fibrosis].
AB - Idiopathic pulmonary fibrosis (IPF), the most common and severe interstitial lung
disease, remains a great challenge for clinicians. The natural history of the
disease is incompletely understood and its prognosis is as devastating as that of
many cancers. The most recent international consensus conference on IPF does not
recommend any specific medical treatment and underlines the role of symptomatic
care and management of co-morbidities, as well as lung transplantation, which
should be openly discussed with the patient, if applicable, without delay. The
lack of efficacy observed with steroids and immunosuppressive agents argues the
current pathogenic hypothesis consistent with an abnormal alveolar epithelium
wound healing after repeated injury. Thus, a need for anti-fibrosing and
epithelial protective drugs emerged. Considerable progress has been made
regarding the validation of relevant endpoints for clinical trials on IPF and
pathogenesis, these two aspects of research being a framework for the exploration
of new therapeutic approaches. Pirfenidone is the first drug that has been
approved by health-care system for IPF treatment in Japan and in Europe. Many
novel and promising drugs based on recent targets are under investigation.
Combined therapies targeting different pathogenic pathways may represent the
future for the treatment of IPF.
PMID- 21890313
TI - [Thromboembolic events and air travel].
AB - Relation between air travel and thromboembolic events is clearly demonstrated.
The risk increases for travel of more than 5,000 km. Women are suspected to have
an increased risk. However, the role of other potential thromboembolic risk
factors remains unknown. The role of hypoxia and hypobaria, of the class traveled
and of usual thromboembolic risk factors are unclear. Then, prophylactic strategy
has to be decided regarding risk related to both travel and patient.
Compartmental prophylactic therapy is largely indicated. Elastic stocking is
widely recommended. Pharmacologic prophylactic therapy should be rarely indicated
and discussed case by case.
PMID- 21890314
TI - Quantifying cardiovascular risks in patients with metabolic syndrome undergoing
total joint arthroplasty.
AB - The coexistence of diabetes, hypertension, obesity, and dyslipidemia is defined
as metabolic syndrome. Studies show substantial cardiovascular risks among these
patients. The risk of patients with metabolic syndrome undergoing total joint
arthroplasty (TJA) is unknown. Patients with and without metabolic syndrome
undergoing TJA during a 3-year period were analyzed for postoperative
complications. Metabolic syndrome was defined by having 3 of the following 4
criteria: obesity (body mass index >=30 kg/m(2)), dyslipidemia, hypertension, and
diabetes. Patients with metabolic syndrome had a significantly higher risk of
cardiovascular complications compared with controls (P = .017). The risk of an
adverse event increased by 29% and 32%, respectively, when there were 3 or 4
syndrome components. Patients with metabolic syndrome undergoing TJA have
increased risk for cardiovascular complications. Our results show that metabolic
syndrome may have a clustering effect and pose increased risk when individual
risks factors are combined.
PMID- 21890315
TI - Clinical comparison of valgus and varus deformities in primary total knee
arthroplasty following midvastus approach.
AB - The purpose of this retrospective study was to compare clinical parameters in
preoperative, perioperative, and postoperative categories between valgus and
varus deformities in primary total knee arthroplasty (TKA) following midvastus
approach. We compared 83 patients (83 TKAs in valgus) with 949 patients (1084
TKAs in varus), with a mean follow-up of 72 months. In valgus deformity, mean age
and body mass index at TKA were younger and smaller with more percentage of
patellar subluxation. Cutting thickness from distal femur, tibial plateau, and
lateral aspect of posterior femur were significantly different. The incidence of
lateral release was 10.8% in valgus and 2.1% in varus. Although several
significant differences were observed in preoperative and perioperative
categories, the postoperative functional results were similarly evaluated by Knee
Society knee and function scores.
PMID- 21890316
TI - Health anxiety and attentional bias: the time course of vigilance and avoidance
in light of pictorial illness information.
AB - Cognitive-behavioral models of health anxiety stress the importance of selective
attention not only towards internal but also towards external health threat
related stimuli. Yet, little is known about the time course of this attentional
bias. The current study investigates threat related attentional bias in
participants with varying degrees of health anxiety. Attentional bias was
assessed using a visual dot-probe task with health-threat and neutral pictures at
two exposure durations, 175ms and 500ms. A baseline condition was added to the
dot-probe task to dissociate indices of vigilance towards threat and difficulties
to disengage from threat. Substantial positive correlations of health anxiety,
anxiety sensitivity, and absorption with difficulties to disengage from threat
were detected at 500ms exposure time. At an early stage (i.e., at 175ms exposure
time), we found significant positive correlations of health anxiety and
absorption with orientation towards threat. Results suggest a vigilance avoidance
pattern of selective attention associated with pictorial illness related stimuli
in health anxiety.
PMID- 21890317
TI - Comorbidity of physical and motor problems in children with autism.
AB - Autism and the related pervasive developmental disorders are a heavily researched
group of neurodevelopmental conditions. In addition to core symptoms, there are a
number of other physical and motor conditions that co-occur at high rates. This
paper provides a review of factors and behaviors that correlate highly with
disorders on the autism spectrum. Among these conditions are premature birth,
birth defects, gross and fine motor skills, and obesity. Each of these topics is
addressed, and what researchers have found are presented. These data have
important implications for the types of collateral behaviors that should be
assessed and treated, along with the core symptoms of autism.
PMID- 21890318
TI - Reduction of restraint of people with intellectual disabilities: an
organizational behavior management (OBM) approach.
AB - We used an organizational behavior management (OBM) approach to increase behavior
intervention plans and decrease the use of mechanical restraint. First,
recipients were tracked as a member of the priority group if they engaged in
frequent self-injurious behavior or physical aggression toward others and/or if
they had been placed in mechanical restraint as a result of the problem
behaviors. Second, a behavior data monitoring and feedback system was put in
place. Third, organizational contingencies for the use of mechanical restraint or
the occurrence of frequent self-injurious behavior or physical aggression toward
others were initiated. Over the course of 17 months, behavior intervention plans
were more than doubled to 124 and mechanical restraints decreased by almost 80%.
This study represents the first to use an organizational behavior management
(OBM) to reduce restraint with people who have intellectual disabilities.
PMID- 21890319
TI - A coordinate descent margin based-twin support vector machine for classification.
AB - Twin support vector machines (TWSVMs) obtain faster learning speed by solving a
pair of smaller SVM-type problems. In order to increase its efficiency further,
this paper presents a coordinate descent margin based twin vector machine
(CDMTSVM) compared with the original TWSVM. The major advantages of CDMTSVM lie
in two aspects: (1) The primal and dual problems are reformulated and improved by
adding a regularization term in the primal problems which implies maximizing the
"margin" between the proximal hyperplane and bounding hyperplane, yielding the
dual problems to be stable positive definite quadratic programming problems. (2)
A novel coordinate descent method is proposed for our dual problems which leads
to very fast training. As our coordinate descent method handles one data point at
a time, it can process very large datasets that need not reside in memory. Our
experiments on publicly available datasets indicate that our CDMTSVM is not only
fast, but also shows good generalization performance.
PMID- 21890320
TI - Joining distributed pattern processing and homeostatic plasticity in recurrent on
center off-surround shunting networks: noise, saturation, short-term memory,
synaptic scaling, and BDNF.
AB - The activities of neurons vary within small intervals that are bounded both above
and below, yet the inputs to these neurons may vary many-fold. How do networks of
neurons process distributed input patterns effectively under these conditions? If
a large number of input sources intermittently converge on a cell through time,
then a serious design problem arises: if cell activities are sensitive to large
inputs, then why do not small inputs get lost in internal system noise? If cell
activities are sensitive to small inputs, then why do they not all saturate at
their maximum values in response to large inputs and thereby become incapable of
processing analog differences in inputs across an entire network? Grossberg
(1973) solved this noise-saturation dilemma using neurons that obey the membrane,
or shunting, equations of neurophysiology interacting in recurrent and non
recurrent on-center off-surround networks, and showed how different signal
functions can influence the activity patterns that the network stores in short
term memory. These results demonstrated that maintaining a balance between
excitation and inhibition in a neural network is essential to process distributed
patterns of inputs and signals without experiencing the catastrophies of noise or
saturation. However, shunting on-center off-surround networks only guarantee that
cell activities remain sensitive to the relative sizes of inputs and recurrent
signals, but not that they will use the full dynamic range that each cell can
support. Additional homeostatic plasticity mechanisms are needed to anchor the
activities of networks to exploit their full dynamic range. This article shows
how mechanisms of synaptic scaling can be incorporated within recurrent on-center
off-surround networks in such a way that their pattern processing capabilities,
including the ability to make winner-take-all decisions, is preserved. This model
generalizes the synaptic scaling model of van Rossum, Bi, & Turrigiano (2000) for
a single cell to a pattern-processing network of shunting cells that is capable
of short-term memory storage, including a representation of how BDNF may
homeostatically scale the strengths of excitatory and inhibitory synapses in
opposite directions.
PMID- 21890321
TI - Motion correction for cellular-resolution multi-photon fluorescence microscopy
imaging of awake head-restrained mice using speed embedded HMM.
AB - Multi-photon fluorescence microscopy (MFM) captures high-resolution fluorescence
image sequences and can be used for the intact brain imaging of small animals.
Recently, it has been extended from anesthetized and head-stabilized mice to
awake and head-restrained ones for in vivo neurological study. In these
applications, motion correction is an important pre-processing step since brain
pulsation and body movement can cause motion artifact and prevent stable serial
image acquisition at such high spatial resolution. This paper proposes a speed
embedded Hidden Markov model (SEHMM) for motion correction in MFM imaging of
awake head-restrained mice. The algorithm extends the traditional Hidden Markov
model (HMM) method by embedding a motion prediction model to better estimate the
state transition probability. The novelty of the method lies in using adaptive
probability to estimate the linear motion, while the state-of-the-art method
assumes that the highest probability is assigned to the case with no motion. In
experiments we demonstrated that SEHMM is more accurate than the traditional HMM
using both simulated and real MFM image sequences.
PMID- 21890322
TI - Agreement between dietary and lifestyle guidelines for cancer prevention in
population samples of Europeans and Mesoamericans.
AB - OBJECTIVE: To assess concordance with selected individual guideline components of
the 1997 World Cancer Research Fund/American Institute for Cancer Research
(WCRF/AICR) diet and lifestyle recommendations to decrease cancer risk across
four population samples. METHODS: The study was a prospectively designed survey
examining concordance with individual-level guidelines of the WCRF/AICR
recommendations using target criteria across sites. The status of concordance
with eight dietary and eight lifestyle components subject to evaluation was
described and compared across samples and with the target criteria. Population
samples were from the Netherlands, Scotland, Mexico, and Guatemala. In total 3564
male and female adults 18 to 70 y old were recruited in equal proportions by
site. RESULTS: Overall concordance with the WCRF/AICR was low in all samples,
with 28%, 63%, 77%, and 81% of subjects concordant with at least half of the
selected recommendation components in the Netherlands, Scotland, Mexico, and
Guatemala, respectively. Concordance was especially low for the recommendations
to prefer fish or poultry, limit refined sugar consumption, and avoid eating
charred food and especially high for the recommendations that dietary supplements
are probably unnecessary, to avoid being underweight, and to consume
predominately plant-based diets. CONCLUSION: A prospectively designed research
instrument with exhaustive prior examination of operative criteria allows for the
assessment of individual-level concordance or compliance with cancer-prevention
guidelines. We postulate that efforts to maintain currently positive practices
are the strategic priority in Central America, whereas efforts at behavioral
reorientation are needed in Europe to bring the populations into concordance.
PMID- 21890323
TI - Oral preoperative antioxidants in pancreatic surgery: a double-blind, randomized,
clinical trial.
AB - OBJECTIVE: Oxidative stress due to ischemia/reperfusion injury increases systemic
inflammation and impairs immune defenses. Much interest has developed for the
administration of antioxidant substrates in surgical patients. The purpose of
this study was to perform a pilot evaluation of the impact of a carbohydrate-
containing preconditioning oral nutritional supplement (pONS) enriched with
glutamine, antioxidants, and green tea extract on postoperative oxidative stress.
METHODS: We performed a double-blind placebo-controlled randomized clinical
trial, involving 36 cancer patients undergoing pancreaticoduodenectomy. Patients
were randomized to receive either pONS or placebo twice the day before surgery
and once 3 hours before surgery. Total endogenous antioxidant capacity (TEAC),
plasma levels of vitamin C, vitamin E, selenium, zinc, F2-isoprostanes, and C
reactive protein were measured at baseline and on postoperative day (POD) 1, 3,
and 7. RESULTS: At surgery, the mean gastric residual volume (mL) was 54.2 in the
pONS group versus 51.3 in the placebo group (P = NS). On POD 1 plasma levels of
vitamin C (P = 0.001), selenium (P = 0.07), and zinc (P = 0.06) were higher in
the pONS group compared to placebo. TEAC was improved on POD 1, 3, and 7 in the
pONS group compared to placebo (P = 0.01). No difference was found in plasma C
reactive protein levels after surgery in both groups. CONCLUSIONS: Perioperative
pONS administration positively affected plasma vitamin C levels and improved TEAC
shortly after surgery, but did not reduce oxidative stress and systemic
inflammation markers.
PMID- 21890324
TI - Nutritional status and dietary habits of the population of the Calchaqui Valleys
of Tucuman, Argentina.
AB - OBJECTIVE: To assess the nutritional status and dietary habits of the adult
population of the Calchaqui Valleys of Tucuman. METHODS: A cross-sectional
nutritional survey that included one 24-h recall, a semiquantitative food
frequency questionnaire, and anthropometric measurements was conducted in a
representative sample of 113 adult participants. Pregnant and lactating women
were excluded. Overweight and obesity were assessed according to body mass index,
and the percentage of individuals with cardiovascular risk according to waist
circumference and waist-to-hip circumference ratio was determined. Dietary habits
were described according to the mean nutrient and food intakes of men and women.
RESULTS: Means +/- standard deviations for total energy intake of men and women
were 1856 +/- 859 and 1589 +/- 799 kcal/d, respectively. The average body mass
indices of men and women were 26.8 and 26.7 kg/m(2), respectively. Thirty-seven
percent of the population was overweight and 22.8% was obese, whereas 1.8% of the
population was undernourished. Central adiposity was high (mean waist
circumferences 99.8 and 87.3 cm in men and women, respectively). CONCLUSIONS:
Despite the low average energy intake, the population under study showed a high
prevalence of overweight and obesity and a high risk of cardiovascular disease
according to the central adiposity values. These findings could be explained by
the introduction of new high-energy foods and a sedentary lifestyle or the
possibility that the biological characteristics of these individuals make them
more predisposed to a rapid increase in adiposity.
PMID- 21890325
TI - Plasma metalloproteinase levels and left ventricular remodeling in hypertrophic
cardiomyopathy in patients with an identical mutation.
AB - BACKGROUND AND PURPOSE: Although it has been reported that matrix
metalloproteinases (MMPs) are associated with left ventricular (LV) remodeling in
patients with hypertrophic cardiomyopathy (HCM), the impact of plasma MMP levels
in patients with HCM is somewhat vague. METHODS AND SUBJECTS: Plasma levels of
MMP-2, MMP-9, and clinical/echocardiographic findings were evaluated in 16 HCM
patients with preserved LV ejection fraction (defined as LV ejection fraction
more than 50%) caused by an identical frameshift mutation (S593fs: a one-base
deletion of a thymidine at nucleotide 11,645) in the cardiac myosin-binding
protein C gene. RESULTS: MMP-2 levels were inversely related to LV ejection
fraction (r(2)=-37, p=0.01). MMP-9 levels were inversely related to LV end
diastolic dimension (r(2)=-0.24, p=0.06) and positively related to the maximum LV
wall thickness (r(2)=0.25, p=0.04). During follow-up period of 4.1 +/- 1.2 years,
LV ejection fraction decreased from 68.5 +/- 7.4% to 64.9 +/- 9% (p=0.03). Among
clinical, echocardiographic findings at baseline and levels of biomarkers, high
MMP-9 levels were only related to the decrease of LV ejection fraction from
baseline to follow-up (r(2)=0.39, p=0.009). CONCLUSIONS: MMP-2 levels are related
to reduced LV systolic function in HCM patients with preserved LV ejection
fraction caused by an identical cardiac myosin-binding protein C gene
abnormality. On the other hand, MMP-9 levels are associated with small LV size
and the degree of LV hypertrophy and related to the deterioration in LV systolic
function during follow-up. These results suggest that MMPs are important in the
process of LV remodeling in HCM.
PMID- 21890326
TI - Visualization of coronary plaque in type 2 diabetes mellitus patients using a new
40 MHz intravascular ultrasound imaging system.
AB - BACKGROUND: Previous epidemiological studies demonstrated plaque vulnerability to
be high in diabetic patients. iMap-intravascular ultrasound (IVUS) is a recently
developed radiofrequency 40 MHz IVUS imaging system for tissue characterization.
This study aimed to characterize coronary plaque in target lesions of diabetic
patients using iMap-IVUS. METHODS: We studied 175 treated vessels in 146 patients
with stable angina pectoris and analyzed plaque components of culprit lesions by
iMAP-IVUS. Patients were divided into 2 groups: non-diabetic (non-DM: 112
vessels, 93 patients) and diabetic (DM: 63 vessels, 53 patients). RESULTS: In
gray-scale IVUS 2D analysis, there were no differences in IVUS parameters. In 3D
analysis, the DM group tended to have a larger plaque volume (p=0.07) and plaque
burden (p=0.10). At minimum lumen sites, the absolute lipidic and necrotic areas
(0.84 +/- 0.44 mm(2) vs. 0.58 +/- 0.41 mm(2), p<0.001, and 2.42 +/- 1.65 mm(2)
vs. 1.46 +/- 1.76 mm(2), p<0.001, respectively) and percent lipidic and necrotic
areas were significantly greater in the DM than in the non-DM group (8.39 +/-
3.38% vs. 5.25 +/- 2.30%, p<0.0001, and 23.65 +/- 11.54% vs. 12.99 +/- 10.71%,
p<0.0001, respectively). In addition, the absolute lipidic and necrotic volumes
(11.75 +/- 10.59 mm(3) vs. 8.18 +/- 6.24 mm(3), p<0.01, and 29.99 +/- 28.90 mm(3)
vs. 19.44 +/- 19.35 mm(3), p<0.01, respectively) and percent lipidic and necrotic
volumes were significantly greater in the DM than in the non-DM group (6.27 +/-
1.92% vs. 5.13 +/- 1.82%, p<0.0001, and 16.54 +/- 7.56% vs. 12.08 +/- 6.05%,
p<0.0001, respectively). CONCLUSION: Characterization of coronary plaque by iMAP
IVUS in diabetic patients showed increased lipidic amount and necrotic plaque
volume relative to subjects without DM.
PMID- 21890327
TI - Scoring of late gadolinium enhancement in cardiac magnetic resonance imaging can
predict cardiac events in patients with hypertrophic cardiomyopathy.
AB - BACKGROUND: Late gadolinium enhancement (LGE) of cardiac magnetic resonance
imaging (MRI) represents myocardial fibrosis and may be related to the clinical
outcome of various heart diseases. This study evaluated the relationship between
LGE and cardiac events in hypertrophic cardiomyopathy (HCM) using a new scoring
method. METHODS AND RESULTS: This study retrospectively followed 46 HCM patients
without heart failure symptoms for 3.8 +/- 1.8 years. Gadolinium-enhanced cardiac
MRI was performed in all patients. Cardiac events including newly developed heart
failure or ventricular tachyarrhythmia were evaluated during the follow-up
period. We evaluated the predictive factors to identify the patients with cardiac
events. None of the risk factors reported to be related to poor outcome or the
existence of LGE alone could predict cardiac events, which might be due to the
small number of subjects investigated in this study. A new scoring method for LGE
positive areas (LGE score) was applied and higher LGE score can predict cardiac
events in this study population. CONCLUSIONS: The proposed LGE score for cardiac
MRI is considered to be a potentially valid method for assessing cardiac events
in HCM patients.
PMID- 21890328
TI - The putative functional rs1045881 marker of neurexin-1 in schizophrenia and
clozapine response.
AB - Neurexin-1 (NRXN1) modulates recruitment of NMDA receptors. Furthermore,
clozapine reduces hyperactivity of NMDA receptors. Thus, regulation of the NRXN1
gene may mediate the efficacy of clozapine at reducing cortical hyperactivity. We
examined the putative functional SNP, rs1045881, for association with
schizophrenia, and the potential role of this SNP in clozapine response. The
rs1045881 variant was not significantly associated with schizophrenia (N=302 case
control pairs), but with clozapine response (N=163; p=0.030). Baseline and BPRS
scores after six months revealed a trend for rs1045881 genotype by treatment
interaction (p=0.079). In the post hoc analysis, a significant association
between BPRS negative symptoms score and genotype was observed (p=0.033). These
results suggest that the rs1045881 NRXN1 polymorphism may influence clozapine
response.
PMID- 21890329
TI - Toxoplasma gondii antibody titers and history of suicide attempts in patients
with schizophrenia.
AB - Toxoplasma gondii (T. gondii) a widespread neurotropic parasite, has been
previously associated with schizophrenia and more recently with suicidal
behavior. However, no previous study has examined the association of T. gondii
with suicidal behavior in schizophrenia patients. 950 individuals diagnosed with
schizophrenia by SCID were recruited from the Munich area of Germany. Solid
enzyme immunoassay methods were used to measure IgG plasma antibodies to T.
gondii, other neurotropic pathogens and gliadin. Logistic regression models were
developed to analyze the association of T. gondii seropositivity or serointensity
with history of suicidal behavior. In those younger than the median age of the
sample, 38, T. gondii serointensity was associated with history of suicidal
behavior (p = 0.02), while in the older patients the relationship was not
significant (p = 0.21). Seropositivity was also associated with history of
suicide attempt in younger patients, odds ratio 1.59 (95% CI 1.06 to 2.40), p =
0.03. Seropositivity for CMV (p = 0.22), HSV-1 (p = 0.36) and gliadin (p = 0.92)
was not related to history of suicide attempt in the entire sample or any age
subgroup. T. gondii serology might become, with interaction with vulnerability
genes, a candidate biomarker for a subgroup of schizophrenia patients prone to
attempting suicide.
PMID- 21890330
TI - Silver resistance: an alarming public health concern?
PMID- 21890331
TI - Incorporation and release behavior of amitriptylene in core-shell-corona type
triblock copolymer micelles.
AB - A cationic antidepressant drug, amitriptylene (AMT), was successfully
incorporated into core-shell-corona micelles of poly[styrene-b-sodium 2
(acrylamido)-2-methyl-1-propanesulfonate-b-ethylene oxide] (PS-b-PAMPS-b-PEO).
Zeta-potential measurements revealed that both electrostatic and hydrophobic
interactions contributed to the binding of the drug to the polymer. The AMT/PS-b
PAMPS-b-PEO nanocomplexes were characterized by dynamic light scattering,
scanning electron microscopy, and transmission electron microscopy. The
hydrodynamic diameter of the AMT loaded nanocomplexes decreased from 80 to 40nm
depending on the amount of the drug loaded on the polymer. This is attributed to
the cancellation of the negative charge of the PAMPS group by the cationic drug.
The AMT/PS-b-PAMPS-b-PEO nanocomplexes were stable in aqueous solution exhibiting
no aggregation or no precipitation for several months. Release of the AMT from
the nanocomplexes was investigated in vitro in salt-free and 0.1M NaCl solutions.
The drug was released faster in the 0.1M NaCl solution than in the salt-free
solution. This is due to the shielding effect of the salt on the electrostatic
interaction. However, in both cases, the drug release mainly occurs by the
Fickian diffusion mechanism.
PMID- 21890332
TI - Preparation of highly dispersible and tumor-accumulative, iron oxide
nanoparticles Multi-point anchoring of PEG-b-poly(4-vinylbenzylphosphonate)
improves performance significantly.
AB - This paper describes the preparation of iron oxide nanoparticles, surface of
which was coated with extremely high immobilization stability and relatively
higher density of poly(ethylene glycol) (PEG), which are referred to as PEG
protected iron oxide nanoparticles (PEG-PIONs). The PEG-PIONs were obtained
through alkali coprecipitation of iron salts in the presence of the PEG-poly(4
vinylbenzylphosphonate) block copolymer (PEG-b-PVBP). In this system, PEG-b-PVBP
served as a surface coating that was bound to the iron oxide surface via
multipoint anchoring of the phosphonate groups in the PVBP segment of PEG-b-PVBP.
The binding of PEG-b-PVBP onto the iron oxide nanoparticle surface and the
subsequent formation of a PEG brush layer were proved by FT-IR, zeta potential,
and thermogravimetric measurements. The surface PEG-chain density of the PEG
PIONs varied depending on the [PEG-b-PVBP]/[iron salts] feed-weight ratio in the
coprecipitation reaction. PEG-PIONs prepared at an optimal feed-weight ratio in
this study showed a high surface PEG-chain surface density (~0.8 chainsnm(-2))
and small hydrodynamic diameter (<50 nm). Furthermore, these PEG-PIONs could be
dispersed in phosphate-buffered saline (PBS) that contains 10% serum without any
change in their hydrodynamic diameters over a period of one week, indicating that
PEG-PIONs would provide high dispersion stability under in vivo physiological
conditions as well as excellent anti-biofouling properties. In fact we have
confirmed the prolong blood circulation time and facilitate tumor accumulation
(more than 15% IDg(-1) tumor) of PEG-PIONs without the aid of any target ligand
in mouse tumor models. The majority of the PEG-PIONs accumulated in the tumor by
96 h after administration, whereas those in normal tissues were smoothly
eliminated by 96 h, proving the enhancement of tumor selectivity in the PEG-PION
localization. The results obtained here strongly suggest that originally
synthesized PEG-b-PVBP, having multipoint anchoring character by the phosphonate
groups, is rational design for improvement in nanoparticle as in vivo
application. Two major points, viz., extremely stable anchoring character and
dense PEG chains tethered on the nanoparticle surface, worked simultaneously to
become PEG-PIONs as an ideal biomedical devices intact for prolonged periods in
harsh biological environments.
PMID- 21890333
TI - Structural and ultrastructural differentiation of the thyroid gland during
embryogenesis in the grass snake Natrix natrix L. (Lepidosauria, Serpentes).
AB - The differentiation of the thyroid primordium of reptilian species is poorly
understood. The present study reports on structural and ultrastructural studies
of the developing thyroid gland in embryos of the grass snake Natrix natrix L. At
the time of oviposition, the thyroid primordium occupied its final position in
the embryos. Throughout developmental stages I-IV, the undifferentiated thyroid
primordium contained cellular cords, and the plasma membranes of adjacent cells
formed junctional complexes. Subsequently, the first follicular lumens started to
form. The follicular lumens were of intracellular origin, as in other vertebrate
species, but the mechanism of their formation is as yet unclear. At developmental
stages V-VI, the thyroid anlage was composed of small follicles with lumens and
cellular cords. Cells of the thyroid primordium divided, and follicles were
filled with a granular substance. At developmental stage VI, the cells
surrounding the follicular lumen were polarized, the apical cytoplasm contained
dark granules and the Golgi complex and the rough endoplasmic reticulum (RER)
developed gradually. Resorption of the colloid began at developmental stage VIII.
At the end of this stage, the embryonic thyroid gland was surrounded by a
definitive capsule. During developmental stages IX-X, the follicular cells
contained granules and vesicles of different sizes and electron densities and a
well-developed Golgi apparatus and RER. At developmental stage XI, most follicles
were outlined by squamous epithelial cells and presented wide lumens filled with
a light colloid. The Golgi complex and RER showed changes in their morphology
indicating a decrease in the activity of the thyroid gland. At developmental
stage XII, the activity of the embryonic thyroid gradually increased, and at the
time of hatching, it exhibited the features of a fully active gland.
PMID- 21890334
TI - Determination of bioactive markers in Cleome droserifolia using cell-based
bioassays for antidiabetic activity and isolation of two novel active compounds.
AB - The antidiabetic activities of the aqueous (AqEx) and ethanolic (AlEx) extracts
of Cleome droserifolia (Forssk.) Del., were tested in cultured C2C12 skeletal
muscle cells and 3T3-L1 adipocytes. An 18-h treatment with the AqEx increased
basal glucose uptake by 33% [insulin equivalent (IE)=1.3+/-0.04] in muscle cells
comparable to a 25.5% increase caused by 100 nM insulin (IE=1+/-0.03).
Fractionation of the tested AqEx yielded hexane (HxFr), chloroform (ClFr) and
ethyl acetate (EtFr) fractions which exerted 38, 52 and 35% increase in the
glucose uptake corresponding to an IE of 1.5+/-0.06, 2.0+/-0.04 and 1.4+/-0.04,
respectively. Only the ClFr and EtFr accelerated the triglyceride accumulation
[rosiglitazone equivalent (RE) was 0.9+/-0.13 and 0.63+/-0.12, respectively] in
pre-adipocytes undergoing differentiation comparably with 10 MUM rosiglitazone.
Six terpenoids (C1-C6) and three flavonol glycosides (F1-F3) were isolated from
the active ClFr and EtFr, respectively, and identified. C5, C2 and C4 had an IE
of 0.86+/-0.05, 1.01+/-0.04 and 0.9+/-0.08, while F1, F2 and F3 gave an IE of
1.3+/-0.05, 2.3+/-0.05 and 2.0+/-0.04, respectively. We could conclude that the
reported antihyperglycemic activity of Cleome droserifolia is attributed to
significant insulin-like effects in peripheral tissues, and that compounds F2 and
F3, being highly active, could be used as bioactive markers to standardize the C.
droserifolia herbal extract.
PMID- 21890335
TI - Efficacy of a fixed combination of ciclesonide and formoterol: the EXCITED-study.
AB - Recommended treatment for moderate to severe asthma is the combination of an
inhaled corticosteroid and a long-acting beta2-agonist. The present study was
designed to evaluate the efficacy of a newly developed fixed combination of
ciclesonide and formoterol in comparison to the marketed fixed combination of
fluticasone and salmeterol in patients with moderate asthma. This was a phase II,
multi-centre, randomized, parallel-group, double-blind, double-dummy study. After
a 2-week run-in period, 160 patients with moderate asthma were randomized to a 6
week treatment with ciclesonide/formoterol 320/9 MUg bid (CIC/F) or fluticasone
propionate/salmeterol 250/50 MUg bid (FP/S), both delivered as powder
formulations. The primary outcome FEV1 increased during treatment by 0.356 L in
the CIC/F group and by 0.288 L in the FP/S group (p < 0.0001). The increases were
statistically significant and clinically relevant. The between-treatment analysis
demonstrated non-inferiority of CIC/F to FP/S treatment (p < 0.0001). A
significant improvement from baseline in lung function, symptom score and rescue
medication use was observed in both groups at all time points. No differences
were observed between treatments in the frequency of adverse events and overnight
urinary cortisol/creatinine ratio. The studied fixed combination of
ciclesonide/formoterol is not inferior to the marketed fixed combination of
fluticasone/salmeterol in terms of efficacy and tolerability.
PMID- 21890337
TI - Mechanotransduction at cadherin-mediated adhesions.
AB - Cell-to-cell junctions are crucial mechanical and signaling hubs that connect
cells within tissues and probe the mechanics of the surrounding environment.
Although the capacity of cell-to-extracellular-matrix (ECM) adhesions to sense
matrix mechanics and proportionally modify cell functions is well established,
cell-cell adhesions only recently emerged as a new class of force sensors. This
finding exposes new pathways through which force can instruct cell functions.
This review highlights recent findings, which demonstrate that protein complexes
associated with classical cadherins, the principal architectural proteins at cell
cell junctions in all soft tissues, are mechanosensors. We further discuss the
current understanding of the rudiments of a cadherin-based mechanosensing and
transduction pathway, which is distinct from the force sensing machinery of cell
ECM adhesions.
PMID- 21890336
TI - Clinical characteristics and possible phenotypes of an adult severe asthma
population.
AB - BACKGROUND: Currently, there are no studies of well-characterized severe
asthmatics in Brazil. We aimed to study a population of severe treated asthmatics
still uncontrolled to characterize them and define possible phenotypes. METHODS:
Descriptive cross-sectional outpatient study of severe asthmatics, evaluating
functional and inflammatory markers, health-related quality of life, anxiety and
depression symptoms, clinical control status, and characteristics related to
atopy, age of asthma onset, induced sputum eosinophil levels, and airflow
limitation. We also grouped the subgroups characteristics to identify phenotypes.
The study is registered on ClinicalTrial.gov NCT 01089322. RESULTS: From 128
eligible patients with severe/uncontrolled asthma, 74 fulfilled the inclusion
criteria. The cohort was comprised of 85% women, frequently with a body mass
index higher than 31 kg m(-2), atopy (60%), early-onset disease (50%), sputum
eosinophilia (80%), comorbidities, and reduced quality of life. Nonatopics had
significant higher asthma onset (19 y.a.) and twice level of induced sputum
eosinophil. Late-onset patients had significantly less atopy (57%) and higher
levels of induced sputum eosinophils. Non-eosinophilics had lower levels of
inflammatory markers. Patients with airflow limitation had more intensive care
unit admissions (56%) and 1.5 times more airway resistance. Subgroups
characteristics identified a priori four well-characterized phenotypes, with 55%
presenting sputum eosinophilia. CONCLUSION: Our data emphasize the high burden of
disease, the persistence of inflammation and the existence of clinical possible
phenotypes population sharing common features with published cohorts. Despite the
necessity of further investigation into pathogenic mechanisms, this study with
clinically difficult patient group may help to improve future asthma care.
PMID- 21890338
TI - Partnerships and communities in English drug policy: the challenge of
deprivation.
AB - BACKGROUND: From the mid-1990s, UK governments developed partnerships to tackle
drugs nationally and locally. Over time, increased resources focused on
communities and localities in greatest need. This reflected growing awareness of
the concentration of problems in deprived areas, with social and spatial
segregation being a feature of post-industrial urban areas. METHODS: A review of
English drug policy since the 1990s, drawing on:- analysis of documents; a review
of sociological studies; an illustrative case-study of one northern town;
interviews with local policy players; statistical analysis of key indicators with
some of these data presented using Geographical Information System (GIS) mapping.
RESULTS: In-depth sociological studies demonstrate interconnections between
historical patterns, socio-economic change, cultural complexity, deprivation,
limited opportunities and illicit drugs. At local level, there are links between
concentrated multiple deprivation, poor health, acquisitive crime and problematic
drug use. Partnership policies, encouraged by the provision of ring-fenced funds,
have been effective in containing problems. Underlying issues of inequality are
however neglected in political debates. The article argues that post-industrial
towns and cities are characterised by an increase in problems related to poverty
and drugs. Both the real shape and perceptions of what is the problem change over
time. In England, the profile of the problem drug user was described in a number
of sociological studies conducted from the 1980s onwards. Key features were the
concentration of problems in certain social groups (such as the poorly educated
or unemployed) and in certain areas (inner cities or outer estates). Responding
to rising public concern, national drug strategies developed and the New Labour
Government after 1997 prioritised the issue of drugs, directing increased
resources to drug treatment with tight control over the use of these new monies
through target setting and measurement of performance. The emphasis was on the
most dangerous drugs and most disadvantaged areas. CONCLUSION: There is local
variation in the pattern of problems and in implementation of national policies.
In UK after 1997, New Labour policy aimed to promote a fair and cost-effective
distribution of resources and improved availability and quality of treatment
services and local policing. Tensions appeared between the drive to meet national
targets and local perceptions of need. Ideas of localism, promoting market
solutions and flexibility in provision, are now gaining ground in English social
policy with the arrival of a Coalition (Conservative/Liberal Democrat)
Government. These, together with an emphasis on abstinence and recovery, raise
questions about the future adequacy of (a) attention to marginalised problems and
stigmatised groups and (b) the distribution of resources in a context of severe
fiscal restraint.
PMID- 21890339
TI - Toxin detection by Si photosensitive biosensors with a new measurement scheme.
AB - We propose a new type of photosensitive biosensor with a CMOS compatible Si
photodiode integrated circuit, for the high-sensitive detection of small
mycotoxin molecules requiring competitive assay approach. In this work, a
photodiode is connected to the gate of a field effect transistor (FET) so that
the open circuit voltage (V(OC)) of the illuminated photodiode is transferred
into the drain/source current (I(DS)) of the FET. The sensing scheme employs
competitive binding of toxin molecules (within the sample solution) and toxin-BSA
conjugates (immobilized on the photodiode surface) with Au-nanoparticle-labeled
antibodies, followed by silver enhancement to generate opaque structures on the
photodiode surface. By utilizing the non-linear dependence of the V(OC) on the
light intensity, we can maintain a sufficiently high signal resolution at low
toxin concentrations (with most of the incident light blocked) for the
competitive assay. By monitoring the I(DS) of the FET whose gate is driven by the
V(OC), quantitative detection of Aflatoxin B1 has been achieved in the range of 0
15ppb.
PMID- 21890340
TI - Integrating photobiological hydrogen production with dye-metal bioremoval from
simulated textile wastewater.
AB - The study reports production of hydrogen in photobioreactors with free (PBR(Fr))
and immobilized (PBR(Imm)) Nostoc biomass at enhanced and sustained rates. Before
running the photobioreactors, effects of different immobilization matrices and
cyanobacterial dose on hydrogen production were studied in batch mode. As
hydrogen production in the PBRs declined spent biomass from the photobioreactors
were collected and utilized further for column biosorption of highly toxic dyes
(Reactive Red 198+Crystal Violet) and metals (hexavalent chromium and bivalent
cobalt) from simulated textile wastewater. Breakthrough time, adsorption capacity
and exhaustion time of the biosorption column were studied. The photobioreactors
with free and immobilized cyanobacterium produced hydrogen at average rates of
101 and 151 MUmol/h/mg Chl a, respectively over 15 days, while the adsorption
capacity of the spent biomass was up to 1.4 and 0.23 mg/g for metals and 15 and
1.75 mg/g for the dyes, respectively in continuous column mode.
PMID- 21890341
TI - Enzymatic synthesis of sialic acid derivative by immobilized lipase from Candida
antarctica.
AB - The effects of important reaction parameters on the enhancement of sialic acid
derivative lipophilic properties through the lipase-catalyzed esterification of N
acetyl neuraminic acid methyl ester are investigated in this study. It is found
that the lipase Novozym 435 from Candida antarctica is particularly useful in the
preparation of sialic acid methyl ester monononanoate (SAMEMN). The optimum
temperature for the SAMEMN synthesis reaction using Novozym 435 is 60 degrees C,
and nonanoic anhydride is found to be the best substrate among all acyl donors.
The Novozym 435-catalyzed esterification of N-acetyl neuraminic acid methyl ester
gave a maximum yield of 87.7% after 6h in acetonitrile at 60 degrees C. Because
the novel method developed is simple, yet effective, it could potentially be used
industrially for the production of sialic acid derivatives.
PMID- 21890342
TI - Ethanol production from selected lignocellulosic hydrolysates by genome shuffled
strains of Scheffersomyces stipitis.
AB - Two genome-shuffled Scheffersomyces stipitis strains, GS301 and GS302, exhibiting
improved tolerance to hardwood spent sulphite liquor, were tested for growth and
fermentation performance on three wood hydrolysates: (a) steam-pretreated
enzymatically hydrolyzed poplar hydrolysate from Mascoma Canada, (b) steam
pretreated poplar hydrolysate from University of British Columbia Forest Products
Biotechnology Laboratory, and (c) mixed hardwoods pre-hydrolysate from
FPInnovations (FPI). In the FPI hydrolysate, the wild type (WT) died off within
25 h, while GS301 and GS302 survived beyond 100 h. In fermentation tests, GS301
and GS302 completely utilized glucose and xylose in each hydrolysate and produced
0.39-1.4% (w/v) ethanol. In contrast, the WT did not utilize or poorly utilized
glucose and xylose and produced non-detectable to trace amounts of ethanol. The
results demonstrated cross tolerance of the mutants to inhibitors in three
different wood hydrolysates and reinforced the utility of mating-based genome
shuffling approach in industrial yeast strain improvement.
PMID- 21890343
TI - Anaerobic digestion of thin stillage for energy recovery and water reuse in corn
ethanol plants.
AB - Recycling of anaerobically-digested thin stillage within a corn-ethanol plant may
result in the accumulation of nutrients of environmental concern in animal feed
coproducts and inhibitory organic materials in the fermentation tank. Our focus
is on anaerobic digestion of treated (centrifugation and lime addition) thin
stillage. Suitability of digestate from anaerobic treatment for reuse as process
water was also investigated. Experiments conducted at various inoculum-to
substrate ratios (ISRs) revealed that alkalinity is a critical parameter limiting
digestibility of thin stillage. An ISR level of 2 appeared optimal based on high
biogas production level (763 mL biogas/g volatile solids added) and organic
matter removal (80.6% COD removal). The digester supernatant at this ISR level
was found to contain both organic and inorganic constituents at levels that would
cause no inhibition to ethanol fermentation. Anaerobic digestion of treated-thin
stillage can be expected to improve the water and energy efficiencies of dry
grind corn-ethanol plants.
PMID- 21890344
TI - Biogas production from supernatant of hydrothermally treated municipal sludge by
upflow anaerobic sludge blanket reactor.
AB - The supernatant of hydrothermally treated sludge was treated by an upflow
anaerobic sludge blanket (UASB) reactor for a 550-days running test. The
hydrothermal parameter was 170 degrees C for 60 min. An mesophilic 8.6L UASB
reactor was seeded with floc sludge. The final organic loading rate (OLR) could
reach 18 kg COD/m(3)d. At the initial stage running for 189 days, the feed
supernatant was diluted, and the OLR reached 11 kg COD/m(3)d. After 218 days, the
reactor achieved a high OLR, and the supernatant was pumped into the reactor
without dilution. The influent COD fluctuated from 20,000 to 30,000 mg/L and the
COD removal rate remained at approximately 70%. After 150 days, granular sludge
was observed. The energy balance calculation show that heating 1.0 kg sludge
needs 0.34 MJ of energy, whereas biogas energy from the supernatant of the heated
sludge is 0.43MJ.
PMID- 21890346
TI - A simple and rapid harvesting method for microalgae by in situ magnetic
separation.
AB - A simple and rapid harvesting method by in situ magnetic separation with naked
Fe(3)O(4) nanoparticles has been developed for the microalgal recovery of
Botryococcus braunii and Chlorella ellipsoidea. After adding the magnetic
particles to the microalgal culture broth, the microalgal cells were adsorbed and
then separated by an external magnetic field. The maximal recovery efficiency
reached more than 98% for both microalgae at a stirring speed of 120 r/min within
1 min, and the maximal adsorption capacity of these Fe(3)O(4) nanoparticles
reached 55.9 mg-dry biomass/mg-particles for B. braunii and 5.83 mg-dry
biomass/mg-particles for C. ellipsoidea. Appropriate pH value and high
nanoparticle dose were favorable to the microalgae recovery, and the adsorption
mechanism between the naked Fe(3)O(4) nanoparticles and the microalgal cells was
mainly due to the electrostatic attraction. The developed in situ magnetic
separation technology provides a great potential for saving time and energy
associated with improving microalgal harvesting.
PMID- 21890345
TI - Long-term starvation and subsequent reactivation of a high-rate partial
nitrification activated sludge pilot plant.
AB - The starvation process of a high-rate partial nitrification system during 30 days
and its controlled recovery were studied in an activated sludge pilot plant. Four
ammonium-starved reactors under anoxic, aerobic and two different alternating
aerobic/anoxic conditions were evaluated. The highest and the lowest decay rates
of ammonia oxidizing bacteria (AOB) were obtained under full aerobic (0.24 d(-1))
and full anoxic (0.11 d(-1)) conditions, respectively. The evolution of biomass
activity correlated well with the AOB quantification using FISH technique. AOB
fractions lower than 1% were measured in the four reactors after 23 days of
starvation. The recovery of the system was achieved in only 5 days using a
nitrogen loading rate (NLR) control loop, obtaining the same conditions than
before the long-term starvation period with a NLR of 1.2 g N L(-1)d(-1) and 98%
of nitrite accumulation in the effluent.
PMID- 21890347
TI - Inactivation of Amphidinium sp. in ballast waters using UV/Ag-TiO2+O3 advanced
oxidation treatment.
AB - Ballast water poses a biological threat to the world's waterways by transferring
aquatic species from one body of water to another. This study investigates the
use of combined ultraviolet (UV)/Ag-TiO(2)+ozone (O(3)) processes for treating
ballast water using Amphidinium sp. as an indicator microorganism. Sufficient
Amphidinium sp. cells in ballast waters can be inactivated using O(3) alone, UV
irradiation alone (with or without an Ag-TiO(2) coating), and combined
treatments. For the low inactivation ratio (<40%) regime, the effects of
ozonation and photocatalysis were observed to be cumulative. The combined UV/Ag
TiO(2)+O(3) treatment produced excess hydroxyl radicals and total residual
oxidants (TROs), and readily damaged cell membranes to release intracellular
substances. The comparison tests revealed that the combined treatments
synergistically inactivate Escherichia coli in ballast waters. However, the
combined process did not synergistically inactivate Amphidinium sp. cells.
Inactivating different aqua species in ballast waters needs distinct treatment
methods and dosages.
PMID- 21890348
TI - Synergistic action of azoreductase and laccase leads to maximal decolourization
and detoxification of model dye-containing wastewaters.
AB - The azoreductase PpAzoR from Pseudomonas putida shows a broader specificity for
decolourization of azo dyes than CotA-laccase from Bacillus subtilis. However,
the final products of PpAzoR activity exhibited in most cases a 2 to 3-fold
higher toxicity than intact dyes themselves. We show that addition of CotA
laccase to PpAzoR reaction mixtures lead to a significant drop in the final
toxicity. A sequential enzymatic process was validated through the use of 18
representative azo dyes and three model wastewaters that mimic real dye
containing effluents. A heterologous Escherichia coli strain was successfully
constructed co-expressing the genes coding for both PpAzoR and CotA. Whole-cell
assays of recombinant strain for the treatment of model dye wastewater resulted
in decolourization levels above 80% and detoxification levels up to 50%. The high
attributes of this strain, make it a promising candidate for the biological
treatment of industrial dye containing effluents.
PMID- 21890349
TI - Ultrasound-assisted extraction of flaxseed oil using immobilized enzymes.
AB - An aqueous enzymatic process assisted by ultrasound extraction (AEP-UE) was
applied to the extraction of oil from flaxseed (Linum usitatissimum L.). The
highest oil recovery of 68.1% was obtained when ground flaxseed was incubated
with 130 U/g of cellulase, pectinase, and hemicellulase for 12h, at 45 degrees C
and pH 5.0. The IC(50) values of oil obtained by AEP-UE and organic solvent
extraction (OSE), as measured by DPPH scavenging activity essay, were 2.27 mg/mL
and 3.31 mg/mL. The AEP-UE-derived oil had a 1.5% higher content of unsaturated
fatty acids than the OSE-derived oil. AEP-UE is therefore a promising
environmentally friendly method for large-scale preparation of flaxseed oil.
PMID- 21890350
TI - Preparatory production of quercetin-3-beta-D-glucopyranoside using alkali
tolerant thermostable alpha-L-rhamnosidase from Aspergillus terreus.
AB - Extensive screening for a robust producer of alpha-L-rhamnosidase activity from
well-defined strains of filamentous fungi, including multifactorial optimization
(inducers, cultivation conditions) was accomplished. Enzyme production of the
optimal producer Aspergillus terreus (non-toxigenic) was scaled up to 50L. alpha
L-Rhamnosidase, which was fully characterized, proved to be thermo- and alkali
tolerant, thus enabling effective operation at 70 degrees C and pH 8.0. These
conditions allow for a very high substrate (rutin) load up to 100-300 g/L, thus
enabling very high volumetric productivity of the reaction product quercetin-3
beta-D-glucopyranoside (isoquercitrin). Here, a novel concept of "immobilised
substrate" is used. Isoquercitrin is a highly effective and biocompatible
antioxidant with strong anti-inflammatory activities. Rutin biotransformation was
optimized and scaled up to ca 10 kg production and thus the robustness of the
large-scale production was demonstrated. Isoquercitrin can be produced to a very
high purity (98%) in multikilogram amounts, without any quercetin and directly
applicable in nutraceuticals.
PMID- 21890351
TI - Evaluation of the potential role of the macroalga Laminaria japonica for
alleviating coastal eutrophication.
AB - The rapid development of human activities has caused serious eutrophication of
coastal waters in China in the recent decades. The study of the biofiltration
capacity of Laminaria japonica under laboratory conditions showed a significant
nutrient uptake. After 36 h of incubation, around 42%, 46%, 44% of N and 45%,
42%, 35% of P were removed from three gradients of medium concentrations,
respectively. In the conditions of different ratios of N/P and NO(3)-N/NH(4)-N,
the optimum N/P ratio for nutrient uptake was 7.4 and L. japonica preferred NO(3)
N rather than NH(4)-N as nitrogen source. Temperature and irradiance affected
uptake rates significantly. The maximal N uptake rate appeared at 10 degrees C
and 18 MUmol photons m(-2)s(-1) and the maximal P uptake rate was found at 15
degrees C and 144 MUmol photons m(-2)s(-1). Moreover, further studies were needed
to investigate the bioremediation potential of L. japonica in the open sea.
PMID- 21890352
TI - Characterization of the steam-exploded spent Shiitake mushroom medium and its
efficient conversion to ethanol.
AB - Spent Shiitake mushroom medium was subjected to steam explosion followed by
simultaneous saccharification and fermentation (SSF) using Meicelase and
Saccahromyces cerevisiae AM12. Water extraction of the medium exposed to steam at
20 atm for 5 min enhanced the saccharification rate by about 20% compared to
steam-exploded medium before water extraction and resulted in the production of
23.8 g/l ethanol from a substrate concentration of 100g/l. This corresponded to
87.6% of the theoretical ethanol yield, i.e., 15.9 g ethanol was obtained from
100g of spent Shiitake mushroom medium. Spent Shiitake mushroom medium subjected
to steam explosion and then water extraction appears to be a candidate for
efficient bioconversion to ethanol.
PMID- 21890353
TI - Nitrogen removal in moving bed sequencing batch reactor using polyurethane foam
cubes of various sizes as carrier materials.
AB - The performance of moving bed sequencing batch reactors (MBSBRs) added with 8 %
(v/v) of polyurethane (PU) foam cubes as carrier media in nitrogen removal was
investigated in treating low COD/N wastewater. The results indicate that MBSBR
with 8-mL cubes achieved the highest total nitrogen (TN) removal efficiency of
37% during the aeration period, followed by 31%, 24% and 19 % for MBSBRs with 27
, 64- and 125-mL cubes, respectively. The increased TN removal in MBSBRs was
mainly due to simultaneous nitrification and denitrification (SND) process which
was verified by batch studies. The relatively lower TN removal in MBSBR with
larger PU foam cubes was attributed to the observation that larger PU foam cubes
were not fully attached by biomass. Higher concentrations of 8-mL PU foam cubes
in batch reactors yielded higher TN removal.
PMID- 21890354
TI - Carbon mineralization and distribution of nutrients within different particle
size fractions of commercially produced olive mill pomace.
AB - Composting is a realistic option for disposal of olive mill pomace (OMP) by
making it suitable as a soil amendment for organic farming. The chemical and
physical characteristics and contribution of particle-size fractions to total
nutrients and carbon mineralization of seven commercial composts of OMP (COMP)
were investigated. Higher proportions of manure, co-composted with OMP, reduced
the organic matter (OM), total carbon and C:N ratio of the product, but increased
the content of nutrients and fine particles. The fine particles had higher
nutrient contents, but less OM and carbon and, unlike larger particles, did not
exhibit any phytotoxicity. Less than 1.5% of added carbon was mineralized in
whole compost, but a lower rate was found with larger particles. Separation of
COMP by particle size fractionation and application as a soil conditioner is
recommended for better optimization of COMP with the <1mm fraction providing the
higher quality compost.
PMID- 21890355
TI - Localization of GroEL determined by in vivo incorporation of a fluorescent amino
acid.
AB - The molecular chaperone GroEL is required for bacterial growth under all
conditions, mediating folding assistance, via its central cavity, to a diverse
set of cytosolic proteins; yet the subcellular localization of GroEL remains
unresolved. An earlier study, using antibody probing of fixed Escherichia coli
cells, indicated colocalization with the cell division protein FtsZ at the
cleavage furrow, while a second E. coli study of fixed cells indicated more even
distribution throughout the cytoplasm. Here, for the first time, we have examined
the spatial distribution of GroEL in living cells using incorporation of a
fluorescent unnatural amino acid into the chaperone. Fluorescence microscopy
indicated that GroEL is diffusely distributed, both under normal and stress
conditions. Importantly, the present procedure uses a small, fluorescent
unnatural amino acid to visualize GroEL in vivo, avoiding the steric demands of a
fluorescent protein fusion, which compromises proper GroEL assembly. Further,
this unnatural amino acid incorporation avoids artifacts that can occur with
fixation and antibody staining.
PMID- 21890356
TI - Conformationally constrained farnesoid X receptor (FXR) agonists: alternative
replacements of the stilbene.
AB - To further explore the optimum placement of the acid moiety in conformationally
constrained analogs of GW 4064 1a, a series of stilbene replacements were
prepared. The benzothiophene 1f and the indole 1g display the optimal orientation
of the carboxylate for enhanced FXR agonist potency.
PMID- 21890357
TI - Substitution of the GalNAc-alpha-O-Thr11 residue in drosocin with O-linked glyco
peptoid residue: effect on antibacterial activity and conformational change.
AB - One of the obvious disadvantages of natural peptides is their liability to
proteases. Among the several solutions for this issue, peptoids or oligomers of N
substituted glycine have emerged as a promising tool that may enhance the
stability of proteolysis-susceptible natural peptides. We have synthesized the
drosocin and its glyco-peptoid analogues linked O-GalNAc at the Thr(11) residue.
One of our glyco-peptoid analogues showed an increased antibacterial activity by
the modification of the Thr(11) residue with glyco-peptoid. Structure-activity
relationship studies revealed that the antibacterial activity by glyco-peptoid
drosocin requires three key elements: free hydroxyl group on the carbohydrate
moiety, gamma-methyl group of the Thr(11) residue derivative and (S)
configuration over (R)-configuration.
PMID- 21890358
TI - Isomeric acetoxy analogs of celecoxib and their evaluation as cyclooxygenase
inhibitors.
AB - A group of celecoxib analogs having a SO(2)NH(2) (9a-f), or SO(2)Me (12a-f), COX
2 pharmacophore at the para-position of the N-1 phenyl ring in conjunction with a
C-5 phenyl ring having a variety of substituents (4-, 3-, 2-OAc; 4-Me,2-OAc, 4
Me,3-OAc, 4-F,2-OAc) was synthesized for evaluation as cyclooxygenase (COX)
inhibitors of the COX-1/COX-2 isozymes. Within this group of compounds, 1-(4
aminosulfonylphenyl)-3-trifluoromethyl-5-(2-acetoxy-4-fluorophenyl)pyrazole (9f)
emerged as the most potent (COX-1 IC(50)=0.7 MUM; COX-2 IC(50)=0.015 MUM) and
selective (COX-2 selectivity index=47) inhibitor agent that exhibited good anti
inflammatory activity (ED(50)=42.3mg/kg) which was lower than the reference drug
celecoxib (ED(50)=10.8 mg/kg), but greater than ibuprofen (ED(50)=67.4 mg/kg) and
aspirin (ED(50)=128.7 mg/kg). Molecular modeling studies for 9f showed that the
SO(2)NH(2) group assumes a position within the secondary pocket of the COX-2
active site wherein the SO(2)NH(2) oxygen atom is hydrogen bonded to the H90
residue (2.90A), the SO(2)NH(2) nitrogen atom forms a hydrogen bond with L352
(N?O=2.80A), and the acetyl group is positioned in the vicinity of the S530
residue where the acetyl oxygen atom undergoes hydrogen bonding to L531 (2.99A).
PMID- 21890359
TI - Microwave assisted synthesis and antimicrobial evaluation of new fused pyran
derivatives bearing 2-morpholinoquinoline nucleus.
AB - A new series of fused pyran derivatives 5a-x bearing 2-morpholinoquinoline
nucleus has been synthesized under microwave irradiation by a reaction of 2
morpholinoquinoline-3-carbaldehyde 2a-c, malononitrile 3 and compounds 4a-h in
presence of NaOH as basic catalyst. All the compounds were screened against three
Gram positive bacteria (Streptococcus pneumoniae, Clostridium tetani, Bacillus
subtilis), three Gram negative bacteria (Salmonella typhi, Vibrio cholerae,
Escherichia coli) and two fungi (Aspergillus fumigatus, Candida albicans) using
broth microdilution MIC (Minimum Inhibitory Concentration) method. Of the
compounds studied, compounds 5b, 5f, 5k, 5m, 5q, 5s and 5v have found to be most
efficient members of the series.
PMID- 21890360
TI - Breast reconstruction: a quality measure for breast cancer care?
AB - Parallel to its life-threatening nature, breast cancer can affect physical
integrity, having a psychosocial impact on patients. Determining the optimal
proportion of patients who should undergo breast reconstruction after cancer
surgery represents a complex task. What seems to be unquestionable is that the
ability to offer reconstruction and a wide range of surgical options plays an
important role in current breast cancer management. The multidisciplinary
approach is a paramount aspect not only for a successful oncologic treatment, but
also for improving patient quality of life.
PMID- 21890361
TI - Kinematic strategies for walking across a destabilizing rock surface.
AB - It is important to understand how people adapt their gait when walking in real
world conditions with variable surface characteristics. This study quantified
lower-extremity joint kinematics, estimated whole body center of mass height
(COM(VT)), and minimum toe clearance (MTC) while 15 healthy, young subjects
walked on level ground (LG) and a destabilizing loose rock surface (RS) at four
controlled speeds. There were no significant differences in average step
parameters (length, time, or width) between the walking surfaces. However, the
variability of these parameters increased twofold on the RS compared to LG. When
walking on the RS, subjects contacted the surface with a flatter foot and
increased knee and hip flexion, which enabled them to lower COM(VT). Subjects
exhibited increased hip and knee flexion and ankle dorsiflexion during swing on
the RS. These changes contributed to a 3.8 times greater MTC on the RS compared
to LG. Peak hip and knee flexion during early stance and swing increased with
walking speed, contributing to decreased COM(VT) and increased MTC. Overall,
subjects systematically adapted their movement kinematics to overcome the
challenge imposed by the destabilizing loose rock surface.
PMID- 21890362
TI - The effects of symmetric and asymmetric foot placements on sit-to-stand joint
moments.
AB - The purpose of this study was to determine the effects of symmetric and
asymmetric foot placements on joint moments during sit-to-stand movements. Three
symmetric (foot-neutral, foot-back, and foot-intermediate) and three asymmetric
foot placements (preferred stagger, nonpreferred stagger, and intermediate
stagger) were tested. Standard (46 cm) and low (41 cm) seat heights were chosen
to represent an average public seat height and a 10% lower seat height. Using
inverse dynamics, maximum ankle plantarflexion, knee extension, hip extension,
and hip abduction moments were calculated. Hip extension moments were
significantly increased when using foot-neutral as compared to foot-back. Ankle
plantarflexion and knee extension moments were significantly increased when a
foot was placed in the posterior position as compared to the anterior position
for preferred and nonpreferred stagger. Knee extension moments were significantly
increased at the low seat height as compared to the standard seat height. When
shifting the feet anterior or posterior for symmetric placements during sit-to
stand, the most dramatic effect was an increase in hip extension moments when the
feet are shifted anteriorly. Utilizing asymmetric foot placements during sit-to
stand produced increases in ankle plantarflexion and knee extension moments for
the posteriorly placed limb, with reductions in the anteriorly placed limb.
PMID- 21890363
TI - Responsiveness of the single-limb stance test.
PMID- 21890364
TI - Biochemical and anisotropical properties of tendons.
AB - Tendons are formed by dense connective tissue composed of an abundant
extracellular matrix (ECM) that is constituted mainly of collagen molecules,
which are organized into fibrils, fibers, fiber bundles and fascicles
helicoidally arranged along the largest axis of the tendon. The biomechanical
properties of tendons are directly related to the organization of the collagen
molecules that aggregate to become a super-twisted cord. In addition to collagen,
the ECM of tendons is composed of non-fibrillar components, such as proteoglycans
and non-collagenous glycoproteins. The capacity of tendons to resist mechanical
stress is directly related to the structural organization of the ECM. Collagen is
a biopolymer and presents optical anisotropies, such as birefringence and linear
dichroism, that are important optical properties in the characterization of the
supramolecular organization of the fibers. The objective of this study was to
present a review of the composition and organization of the ECM of tendons and to
highlight the importance of the anisotropic optical properties in the study of
alterations in the ECM.
PMID- 21890365
TI - Measurement of nanomechanical properties of biomolecules using atomic force
microscopy.
AB - The capabilities of atomic force microscopy (AFM) have been rapidly expanding
beyond topographical imaging to now allow for the analysis of a wide range of
properties of diverse materials. The technique of nanoindentation, traditionally
performed via dedicated indenters can now be reliably achieved using AFM
instrumentation, enabling mechanical property determination at the nanoscale
using the high spatial and force resolutions of the AFM. In the study of
biological systems, from biomolecules to complexes, this technique provides
insight into how mesoscale properties and functions may arise from a myriad of
single biomolecules. In vivo and in situ analyses of native structures under
physiological conditions as well as the rapid analysis of molecular species under
a variety of experimental treatments are made possible with this technique. As a
result, AFM nanoindentation has emerged as a critical tool for the study of
biological systems in their natural state, further contributing to both
biomaterial design and pharmacological research. In this review, we detail the
theory and progression of AFM-based nanoindentation, and present several
applications of this technique as it has been used to probe biomolecules and
biological nanostructures from single proteins to complex assemblies. We further
detail the many challenges associated with mechanical models and required
assumptions for model validity. AFM nanoindentation capabilities have provided an
excellent improvement over conventional nanomechanical tools and by integration
of topographical data from imaging, enabled the rapid extraction and presentation
of mechanical data for biological samples.
PMID- 21890366
TI - An international round-robin calibration protocol for nanoindentation
measurements.
AB - Nanoindentation has become a common technique for measuring the hardness and
elastic-plastic properties of materials, including coatings and thin films. In
recent years, different nanoindenter instruments have been commercialised and
used for this purpose. Each instrument is equipped with its own analysis software
for the derivation of the hardness and reduced Young's modulus from the raw data.
These data are mostly analysed through the Oliver and Pharr method. In all cases,
the calibration of compliance and area function is mandatory. The present work
illustrates and describes a calibration procedure and an approach to raw data
analysis carried out for six different nanoindentation instruments through
several round-robin experiments. Three different indenters were used, Berkovich,
cube corner, spherical, and three standardised reference samples were chosen,
hard fused quartz, soft polycarbonate, and sapphire. It was clearly shown that
the use of these common procedures consistently limited the hardness and reduced
the Young's modulus data spread compared to the same measurements performed using
instrument-specific procedures. The following recommendations for nanoindentation
calibration must be followed: (a) use only sharp indenters, (b) set an upper cut
off value for the penetration depth below which measurements must be considered
unreliable, (c) perform nanoindentation measurements with limited thermal drift,
(d) ensure that the load-displacement curves are as smooth as possible, (e)
perform stiffness measurements specific to each instrument/indenter couple, (f)
use Fq and Sa as calibration reference samples for stiffness and area function
determination, (g) use a function, rather than a single value, for the stiffness
and (h) adopt a unique protocol and software for raw data analysis in order to
limit the data spread related to the instruments (i.e. the level of drift or
noise, defects of a given probe) and to make the H and E(r) data intercomparable.
PMID- 21890367
TI - Insights into the structure and composition of the peritubular dentin organic
matrix and the lamina limitans.
AB - Dentin is a mineralized dental tissue underlying the outer enamel that has a
peculiar micro morphology. It is composed of micrometer sized tubules that are
surrounded by a highly mineralized structure, called peritubular dentin (PTD),
and embedded in a collagen-rich matrix, named intertubular dentin. The PTD has
been thought to be composed of a highly mineralized collagen-free organic matrix
with unknown composition. Here we tested the hypothesis that proteoglycans and
glycosaminoglycans, two important organic structural features found in dentin,
are key participants in the microstructure and composition of the PTD. To test
this hypothesis dentin blocks were demineralized with 10 vol% citric acid for 2
min and either digested with 1mg/ml TPCK-treated trypsin with 0.2 ammonium
bicarbonate at pH 7.9 (TRY) or 0.1 U/mL C-ABC with 50mM Tris, 60mM sodium acetate
and 0.02% bovine serum albumin at pH 8.0 (C-ABC). TRY is known to cleave the
protein core of dentin proteoglycans, whereas C-ABC is expected to selectively
remove glycosaminoglycans. All specimens were digested for 48 h in 37 degrees C,
dehydrated in ascending grades of acetone, immersed in HMDS, platinum coated and
imaged using an FE-SEM. Images of demineralized dentin revealed a meshwork of
noncollagenous fibrils protruding towards the tubule lumen following removal of
the peritubular mineral and confirmed the lack of collagen in the peritubular
matrix. Further, images revealed that the peritubular organic network originates
from a sheet-like membrane covering the entire visible length of tubule, called
lamina limitans. Confirming our initial hypothesis, after the digestion with C
ABC the organic network appeared to vanish, while the lamina limitans was
preserved. This suggests that glycosaminoglycans are the main component of the
PTD organic network. Following digestion with TRY, both the organic network and
the lamina limitans disappeared, thus suggesting that the lamina limitans may be
primarily composed of proteoglycan protein cores. In summary, our results provide
novel evidence that (1) PTD lacks collagen fibrils, (2) PTD contains an organic
scaffold embedded with mineral and (3) the PTD organic matrix is manly composed
of glycosaminoglycans, whereas the lamina limitans is primarily made of
proteoglycans protein cores.
PMID- 21890368
TI - Measurement of 56Fe activity produced in inelastic scattering of neutrons created
by cosmic muons in an iron shield.
AB - We report on the study of the intensities of several gamma lines emitted after
the inelastic scattering of neutrons in (56)Fe. Neutrons were produced via
nuclear processes induced by cosmic muons in the 20tons massive iron cube placed
at the Earth's surface and used as a passive shield for the HPGe detector.
Relative intensities of detected gamma lines are compared with the results
collected in the same iron shield by the use of the (252)Cf neutrons. Assessment
against the published data from neutron scattering experiments at energies up to
14MeV is also provided. It allowed us to infer the qualitative information about
the average energy of muon-created neutrons in the iron shield.
PMID- 21890369
TI - Proton beam simulation with MCNPX/CINDER'90: Germanium metal activation estimates
below 30MeV relevant to the bulk production of arsenic radioisotopes.
AB - Germanium metal targets encapsulated in Nb shells were irradiated in a proton
beam. Proton and secondary neutron beam fluences as well as radionuclide activity
formation were modeled using MCNPX in combination with CINDER90. Targets were
chemically processed using distillation and anion exchange. Good agreement
between the measured radiochemical yields and MCNPX/CINDER90 estimates was
observed. A target of pentavalent (73,74)As radioarsenic for neutron activation
studies was prepared.
PMID- 21890370
TI - Au Lalpha x-rays induced by photons from 241Am: Comparison of experimental
results and the predictions of PENELOPE.
AB - The results of experiments performed, measuring the Lalpha x-rays emitted by Au
due to excitation by photons of various energies from an (241)Am sample at
forward-scattered angles in the range 0 degrees to 65 degrees , are compared to
the predictions of the Monte Carlo code, PENELOPE. The experimental data are in
good agreement with the predictions of the program. A comparison of the angular
distributions of the probability densities (as predicted by the program) related
to the Au Lalpha and Lbeta x-rays suggests that PENELOPE does not simulate the
phenomena described by Flugge et al. (1972).
PMID- 21890371
TI - The radiolabeling of proteins by the [18F]AlF method.
AB - A new ([(18)F]AlF)(2+)-binding ligand that contains 1,4,7-triazacyclononane-1,4
diacetate (NODA) attached to a methyl phenylacetic acid group (MPA) was
conjugated to N-(2-aminoethyl)maleimide (EM) to form NODA-MPAEM. The NODA-MPAEM
was labeled with ([(18)F]AlF)(2+) at 105 degrees C in 49-82% yield and conjugated
at room temperature to an antibody Fab' fragment in 69-80% yield (total time
~50min) and with retention of immunoreactivity. These data indicate that the
rapid and simple [(18)F]AlF-labeling method can be easily adapted for preparing
heat-sensitive compounds with (18)F quickly and in high yields.
PMID- 21890372
TI - Jaw cysts - filling or no filling after enucleation? A review.
AB - INTRODUCTION: Jaw cysts are common lesions in the oral and maxillofacial region.
Enucleation of the lesions and primary closure of the defects, the so-called
"cystectomy", has evolved as the treatment of choice. In order to reduce
infections and to accelerate bone regeneration, different types of bone grafts
are increasingly investigated for defect filling. MATERIAL AND METHODS: The
present review reflects the most recent studies using autogenous, allogenic,
xenogenic and alloplastic bone grafts and compares the results to current
investigations about conservative cyst enucleation without using any filling
materials. Relevant studies with significant patient sample sizes were
electronically searched in PubMed and Medline. RESULTS: Simple cyst enucleation
and blood clot healing show low complication rates and sufficient bone
regeneration even in large defects. Prospective randomized trials comparing the
additional use of filling materials to the "cystectomy" are rare. Currently
available data do not indicate the superiority of additional bone grafts.
CONCLUSION: Enucleation of jaw cysts and primary closure without bone substitutes
remains "state of the art" in most cases.
PMID- 21890373
TI - Histopathological evaluation of the effect of intranasal phototherapy on nasal
mucosa in rabbits.
AB - Allergic rhinitis is a high-incidence allergic inflammation of the nasal airways
that impacts quality of life. Of the numerous therapies used to treat allergic
rhinitis, intranasal phototherapy has emerged as a promising new treatment
modality for inflammatory airway disease. Phototherapy is widely used for the
treatment of immune-mediated skin diseases because its profound immunosuppressive
effect inhibits hypersensitivity reactions in the skin. Intranasal phototherapy
using a combination of Ultraviolet-A (UVA) and Ultraviolet-B (UVB) plus Visible
light (VIS) has been shown to suppress the clinical symptoms of allergic
rhinitis, but limited data regarding its adverse effects on the nasal mucosa
currently exists. In this study, we demonstrate that UV displays no harmful
effects on the nasal mucosa cells of rabbits following 2 weeks of intranasal
phototherapy.
PMID- 21890374
TI - Interferon regulatory factor-4 activates IL-2 and IL-4 promoters in cooperation
with c-Rel.
AB - Interferon regulatory factor (IRF)-4 is a member of the IRF transcription factor
family, whose expression is primarily restricted to lymphoid and myeloid cells.
In T-cells, IRF-4 expression is induced by T-cell receptor (TCR) cross-linking or
treatment with phorbol-12-myristate-13-acetate (PMA)/Ionomycin, and IRF-4 is
thought to be a critical factor for various functions of T-cells. To elucidate
the IRF-4 functions in human adult T-cell leukemia virus type 1 (HTLV-1)-infected
T-cells, which constitutively express IRF-4, we isolated IRF-4-binding proteins
from T-cells, using a tandem affinity purification (TAP)-mass spectrometry
strategy. Fourteen proteins were identified in the IRF-4-binding complex,
including endogenous IRF-4 and the nuclear factor-kappaB (NF-kappaB) family
member, c-Rel. The specific association of IRF-4 with c-Rel was confirmed by
immunoprecipitation experiments, and IRF-4 was shown to enhance the c-Rel
dependent binding and activation of the interleukin-4 (IL-4) promoter region. We
also demonstrated that IL-2 production was also enhanced by exogenously-expressed
IRF-4 and c-Rel in the presence of P/I, in T-cells, and that the optimal IL-2 and
IL-4 productions in vivo was IRF-4-dependent using IRF-4-/- mice. These data
provide molecular evidence to support the clinical observation that elevated
expression of c-Rel and IRF-4 is associated with the prognosis in adult T-cell
leukemia/lymphoma (ATLL) patients, and present possible targets for future gene
therapy.
PMID- 21890375
TI - Adiponectin stimulates release of CCL2, -3, -4 and -5 while the surface abundance
of CCR2 and -5 is simultaneously reduced in primary human monocytes.
AB - The adipokine adiponectin is well known to affect the function of immune cells
and upregulation of CCL2 by adiponectin in monocytes/macrophages has already been
reported. In the current study the effect of adiponectin on CCL2, -3, -4, and -5
and their corresponding receptors CCR1, CCR2, and CCR5 has been analyzed.
Adiponectin elevates mRNA and protein of the CC chemokines in primary human
monocytes. Simultaneously the surface abundance of CCR2 and CCR5 is reduced while
CCR1 is not affected. Downregulation of CCR2 by adiponectin is blocked by a CCR2
antagonist although expression of the CCL2 regulated genes CCR2 and TGF-beta 1 is
not altered in the adiponectin-incubated monocytes. CCL2, -3, and -5
concentrations measured in supernatants of monocytes of normal-weight (NW),
overweight (OW), and type 2 diabetic (T2D) patients positively correlate with BMI
and are increased in obesity and T2D. In contrast CCL4 is similarly abundant in
the supernatants of all of these monocytes. The degree of adiponectin-mediated
induction of the chemokines CCL3, -4, and -5 negatively correlates with their
basal levels and upregulation of CCL3 and CCL5 is significantly impaired in OW
and T2D cells. Serum concentrations of these chemokines are almost equal in the
three groups and do not correlate with the levels in monocyte supernatants. In
conclusion these data demonstrate that adiponectin stimulates release of CCL2 to
CCL5 in primary human monocytes, and induction in cells of overweight probands is
partly impaired. Adiponectin also lowers surface abundance of CCR2 and CCR5 and
downregulation of CCR2 seems to depend on autocrine/paracrine effects of CCL2.
PMID- 21890377
TI - Quality, safety and efficacy of follow-on biologics in Japan.
AB - Recently, WHO, EU, Japan and Canada have published guidelines on
biosimilar/follow-on biologics. While there seems to be no significant difference
in the general concept in these guidelines, the data to be submitted for product
approval are partially different. Differences have been noted in the requirements
for comparability studies on stability, prerequisites for reference product, or
for the need of comparability exercise for determination of process-related
impurities. In Japan, there have been many discussions about the amount and
extent of data for approval of follow-on biologics. We try to clarify the
scientific background and rational for regulatory pathway of biosimilar/follow-on
biologics in Japan in comparison with the guidelines available from WHO, EU and
Canada. In this article, we address and discuss the scientific background
underlying these differences to facilitate the harmonization of follow-on
biologic principles in the guidelines in future.
PMID- 21890376
TI - Blockade of hypoxia-inducible factor-1alpha by YC-1 attenuates interferon-gamma
and tumor necrosis factor-alpha-induced intestinal epithelial barrier
dysfunction.
AB - Proinflammatory cytokines play vital roles in intestinal barrier function
disruption. YC-1 has been reported to have potent anti-inflammatory properties,
and to be a potential agent for sepsis treatment. Here, we investigated the
protective effect of YC-1 against intestinal barrier dysfunction caused by
interferon-gamma (IFN-gamma) and tumor necrosis factor-alpha (TNF-alpha). To
assess the protective effect of YC-1 on intestinal barrier function, Caco-2
monolayers treated with simultaneous IFN-gamma and TNF-alpha were used to measure
transepithelial electrical resistance (TER) and paracellular permeability. To
determine the mechanisms involved in the protective action of YC-1, expression
and distribution of tight junction proteins ZO-1 and occludin in Caco-2
monolayers challenged with simultaneous IFN-gamma and TNF-alpha were analyzed by
Western blot and immunofluorescence, respectively. Expressions of phosphorylated
myosin light chain (MLC), MLC kinase (MLCK) and hypoxia-inducible factor-1alpha
(HIF-1alpha) were analyzed by Western blot in IFN-gamma and TNF-alpha-treated
Caco-2 monolayers. It was found that YC-1 attenuated barrier dysfunction caused
by IFN-gamma and TNF-alpha, and also prevented IFN-gamma and TNF-alpha-induced
morphological redistribution of tight junction proteins ZO-1 and occludin in Caco
2 monolayers. In addition, YC-1 suppressed IFN-gamma and TNF-alpha-induced
upregulation of MLC phosphorylation and MLCK protein expression. Furthermore,
enhanced expression of HIF-1alpha in Caco-2 monolayers treated with IFN-gamma and
TNF-alpha was also suppressed by YC-1. It is suggested that YC-1, by
downregulating MLCK expression, attenuates intestinal barrier dysfunction induced
by IFN-gamma and TNF-alpha, in which HIF-1alpha inhibition, at least in part,
might by involved. YC-1 may be a potential agent for treatment of intestinal
barrier disruption in inflammation.
PMID- 21890378
TI - Women in HIV conference research: trends and content analysis of abstracts
presented at 17 HIV/AIDS conferences from 2003 to 2010.
AB - OBJECTIVE: HIV/AIDS conferences provide an opportunity to review current research
from around the world. Conferences are a good gauge of the amount of research
conducted on HIV/AIDS and women because papers are disseminated widely and
publicly, and can represent published or unpublished material. The objective of
this study was to conduct content analysis and data coding to quantify trends in
women-specific research in HIV/AIDS abstracts at the International AIDS
Conferences (AIDS), the Canadian Association for HIV Research (CAHR) Conferences,
and the Conferences on Retroviruses and Opportunistic Infections (CROI) over a 7
year time period. METHODS: Abstracts titles and text containing female keywords
were retrieved from the AIDS, CAHR, and CROI conferences between 2003 and 2009
and coded according to research category using content analysis. RESULTS: Over
34,000 abstracts were searched. A total of 5,221 abstracts related to women
(13.7%) were found over 7 years. Women-specific abstracts represented 16.2% (n =
4,245/26,175) at AIDS, 13.7% (n = 257/1,876) at CAHR, and 11.1% (n = 719/6,370)
at CROI. The AIDS and CAHR conferences demonstrated a slightly increasing trend
in women-specific abstracts over 7 years. In categorical coding, the most
prevalent research category was reproductive health, and the most infrequent was
policy and program evaluation. CONCLUSION: The AIDS conferences showed an
increase in women-specific abstracts over time, probably owing to a gender policy
implemented in 2008 and a women's research award. The CAHR conference instituted
a gender policy in 2011, and the CROI conference should follow suit. Conference
abstracts should include breakdown and analysis by gender.
PMID- 21890379
TI - Motor commands for fast point-to-point arm movements are customized for small
changes in inertial load.
AB - For repeated point-to-point arm movements it is often assumed that motor commands
are customized in a trial-to-trial manner, based on previous endpoint error. To
test this assumption, we perturbed movement execution without affecting the
endpoint error by using a modest manipulation of inertia. Participants made point
to-point elbow flexion and extension movements in the horizontal plane, under the
instruction to move as fast as possible from one target area to another. In
selected trials the moment of inertia of the lower arm was increased or decreased
by 25%. First, we found that an unexpected increase or decrease of inertia did
not affect the open loop controlled part of the movement path (and thus endpoint
error was not affected). Second, we found that when the increased or decreased
inertia was presented repeatedly, after 5-11 trials motor commands were
customized: the first 100ms of agonistic muscle activity in the smoothed and
rectified electromyographic signal of agonistic muscles was higher for the high
inertia compared to the low inertia. We conclude that endpoint error is not the
only parameter that is used to evaluate if motor commands lead to movements as
planned.
PMID- 21890380
TI - Quality improvement guidelines for the performance of inferior vena cava filter
placement for the prevention of pulmonary embolism.
PMID- 21890381
TI - Personal consequences of malpractice lawsuits on American surgeons.
AB - BACKGROUND: Our objective was to identify the prevalence of recent malpractice
litigation against American surgeons and evaluate associations with personal well
being. Although malpractice lawsuits are often filed against American surgeons,
the personal consequences with respect to burnout, depression, and career
satisfaction are poorly understood. STUDY DESIGN: Members of the American College
of Surgeons were sent an anonymous, cross-sectional survey in October 2010.
Surgeons were asked if they had been involved in a malpractice suit during 2
previous years. The survey also evaluated demographic variables, practice
characteristics, career satisfaction, burnout, and quality of life. RESULTS: Of
the approximately 25,073 surgeons sampled, 7,164 (29%) returned surveys.
Involvement in a recent malpractice suit was reported by 1,764 of 7,164 (24.6%)
responding surgeons. Surgeons involved in a recent malpractice suit were younger,
worked longer hours, had more night call, and were more likely to be in private
practice (all p <0.0001). Recent malpractice suits were strongly related to
burnout (p < 0.0001), depression (p < 0.0001), and recent thoughts of suicide (p
< 0.0001) among surgeons. In multivariable modeling, both depression (odds ratio
= 1.273; p = 0.0003) and burnout (odds ratio = 1.168; p = 0.0306) were
independently associated with a recent malpractice suit after controlling for all
other personal and professional characteristics. Hours worked, nights on call,
subspecialty, and practice setting were also independently associated with recent
malpractice suits. Surgeons who had experienced a recent malpractice suit
reported less career satisfaction and were less likely to recommend a surgical or
medical career to their children (p < 0.0001). CONCLUSIONS: Malpractice lawsuits
are common and have potentially profound personal consequences for US surgeons.
Additional research is needed to identify individual, organizational, and
societal interventions to support surgeons subjected to malpractice litigation.
PMID- 21890382
TI - Proposed competencies in geriatric patient care for use in assessment for initial
and continued board certification of surgical specialists.
PMID- 21890383
TI - A phase II study of mitomycin, fluorouracil, folinic acid, and irinotecan (MFI)
for the treatment of transitional cell carcinoma of the bladder.
AB - BACKGROUND AND OBJECTIVES: Cisplatin-based chemotherapy is standard care for
metastatic transitional cell carcinoma (TCC) of the urinary tract. However it is
not appropriate for all patients, particularly those with poor renal function.
There is no clear consensus on the optimal regimen for these individuals or for
those after cisplatin failure. Here we present data using mitomycin, 5
fluorouracil, and irinotecan (MFI) in these patients. MATERIALS AND METHODS:
Patients with TCC, who had either received cisplatin-based chemotherapy
previously or who were not deemed fit for cisplatin therapy (creatinine clearance
was less than 60 ml/min) were eligible for treatment with the experimental
combination chemotherapy regimen MFI. RESULTS: Thirty-six patients were treated
with MFI between 2001 and 2004. Overall response rate was 19% and median overall
survival (OS) was 5.4 months (95% CI 3.3-8.4 months). The response rate and
overall survival in both groups was 19% and 5.4 months, respectively, (95% CI 2.9
7.1 months) in the pretreated and 2.5- 9.3 months in the untreated. The most
common toxicity was malaise (grade 3 or 4 = 28%). CONCLUSIONS: MFI appear to be a
combination which requires further investigation in patients where cisplatin and
gemcitabine are not applicable.
PMID- 21890384
TI - Type two "endoleak": medical jargon that causes significant anxiety in patients.
PMID- 21890386
TI - Carotid endarterectomy within seven days after the neurological index event is
safe and effective in stroke prevention.
AB - BACKGROUND: Timing of surgery remains a controversial subject with some concerns
persisting that the benefit of early carotid endarterectomy (CEA) offsets the
perioperative risks. We investigated the neurological outcome of patients with
symptomatic internal carotid artery (ICA) stenosis after surgery in relation to
the timing of treatment. METHODS: From January 2005 to June 2010, 468 patients (n
= 349 male, 74.6%, median age 71 years) underwent CEA for symptomatic stenosis.
Perioperative morbidity and mortality rates were assessed in the 30 days' follow
up. RESULTS: The median time interval between index event and CEA was 7 days; the
overall stroke and death rate reached 3.4%. There was no difference in the 30
days' rate of stroke /death rate, depending on the timing of surgery (n = 5/241,
2.1% in patients treated within 1 week vs. n = 10/215, 4.7% in patients treated
thereafter, p = 0.12). Patients with a postoperative neurological deterioration
had more often an ischaemic infarction on preoperative cerebral computed
tomography (CCT) compared with those without deterioration (n = 6/15, 40.0% vs. n
= 39/441, 9.0%, p = 0.003). Logistic regression analysis showed that patients
with preoperative infarction on CCT had the highest risk for postoperative
neurological deterioration. CONCLUSION: An infarction on the preoperative CCT
leads to an increased risk for a postoperative deterioration after CEA. Patients
should be treated at an early point in time with bland CCTs.
PMID- 21890387
TI - Comments regarding 'Vascular access for haemodialysis in patients with central
vein thrombosis'.
PMID- 21890389
TI - Introduction to: A k-space analysis of small-tip-angle excitation.
AB - The article "A k-space analysis of small-tip-angle excitation" introduced a
spatial frequency interpretation of the effect of RF excitation pulses. This
introduction describes where the initial ideas for this paper came from, and
traces out some of the applications that have been developed using this
perspective.
PMID- 21890390
TI - Addendum to the paper "Dead-time free measurement of dipole-dipole interactions
between electron spins" by M. Pannier, S. Veit, A. Godt, G. Jeschke, and H.W.
Spiess [J. Magn. Reson. 142 (2000) 331-340].
AB - The development of four-pulse DEER as described, which has been published in the
Journal of Magnetic Resonance more than 10 years ago. The corresponding paper is
an example where a slight advance, such as adding a refocusing pulse, which in
retrospect looks so simple, can have a remarkable impact on an entire field of
science. In our case it offered a simple way to exact measurements of distances
between defined species in the nanometer range. The current applications are
mainly in determining structures of proteins and nucleic acids.
PMID- 21890391
TI - "Development of REDOR rotational-echo double-resonance NMR" by Terry Gullion and
Jacob Schaefer [J. Magn. Reson. 81 (1989) 196-200].
AB - The popularity of rotational-echo double-resonance (REDOR) NMR arises from its
ability to measure weak dipolar couplings and long-range heteronuclear distances
accurately. This ability was not anticipated in the first REDOR experiments and
resulted from the effectiveness of a simple radiofrequency phase alternation
scheme to suppress amplitude and phase distortions in echo trains even after
hundreds of pi pulses.
PMID- 21890392
TI - The spectrum of phenylketonuria genotypes in the Armenian population:
identification of three novel mutant PAH alleles.
AB - We present the spectrum of phenylalanine hydroxylase (PAH) gene mutations upon
investigating 35 index patients identified with hyperphenylalaninemia in Armenia.
One patient was diagnosed with dihydropteridine reductase (DHPR) deficiency,
whereas all other 34 and their 6 affected siblings presented with mild or
classical phenylketonuria (PKU). By analyzing all 13 exons plus exon-intron
boundaries of the PAH gene, we identified two mutant alleles in 23 PKU patients,
three mutations in 1, only one mutation in 5, and no mutation in 5 PKU patients.
The most prevalent mutation was the well defined splicing error in intron 10,
c.1066-11G>A (17/68 alleles). The three alterations, c.836C>T (p.Pro279Leu) in
exon 7, c.1129T>G (p.Tyr377Asp) in exon 11, and c.1244A>T (p.Asp415Val) in exon
12, have not been reported in the PAH locus database (http://www.pahdb.mcgill.ca)
and, thus, might be specific for the culturally homogenous Armenian population.
PMID- 21890393
TI - [Rectal cancer: The radiation basis of radiotherapy, target volume].
AB - Since the implementation of preoperative chemoradiotherapy and mesorectal
excision, the 5-year rates of locoregional failures in T3-T4 N0-N1 M0 rectal
cancer fell from 25-30% thirty years ago to 5-8% nowadays. A critical analysis of
the locoregional failures sites and mechanisms, as well as the identification of
nodal extension, helps the radiation oncologist to optimize the radiotherapy
target definition. The upper limit of the clinical target volume is usually set
at the top of the third sacral vertebra. The lateral pelvic nodes should be
included when the tumor is located in the distal part of the rectum. The anal
sphincter and the levator muscles should be spared when a conservative surgery is
planned. In case of abdominoperineal excision, the ischiorectal fossa and the
sphincters should be included in the clinical target volume. A confrontation with
radiologist and surgeon is mandatory to improve the definition of the target
volumes to be treated.
PMID- 21890394
TI - Determining the maximum diameter for holes in the shoe without compromising shoe
integrity when using a multi-segment foot model.
AB - Measuring individual foot joint motions requires a multi-segment foot model, even
when the subject is wearing a shoe. Each foot segment must be tracked with at
least three skin-mounted markers, but for these markers to be visible to an
optical motion capture system holes or 'windows' must be cut into the structure
of the shoe. The holes must be sufficiently large avoiding interfering with the
markers, but small enough that they do not compromise the shoe's structural
integrity. The objective of this study was to determine the maximum size of hole
that could be cut into a running shoe upper without significantly compromising
its structural integrity or changing the kinematics of the foot within the shoe.
Three shoe designs were tested: (1) neutral cushioning, (2) motion control and
(3) stability shoes. Holes were cut progressively larger, with four sizes tested
in all. Foot joint motions were measured: (1) hindfoot with respect to midfoot in
the frontal plane, (2) forefoot twist with respect to midfoot in the frontal
plane, (3) the height-to-length ratio of the medial longitudinal arch and (4) the
hallux angle with respect to first metatarsal in the sagittal plane. A single
subject performed level walking at her preferred pace in each of the three shoes
with ten repetitions for each hole size. The largest hole that did not disrupt
shoe integrity was an oval of 1.7cm*2.5cm. The smallest shoe deformations were
seen with the motion control shoe. The least change in foot joint motion was
forefoot twist in both the neutral shoe and stability shoe for any size hole.
This study demonstrates that for a hole smaller than this size, optical motion
capture with a cluster-based multi-segment foot model is feasible for measure
foot in shoe kinematics in vivo.
PMID- 21890395
TI - An analysis of contact stiffness between a finger and an object when wearing an
air-cushioned glove: the effects of the air pressure.
AB - Air-cushioned gloves have the advantages of lighter weight, lower cost, and
unique mechanical performance, compared to gloves made of conventional
engineering materials. The goal of this study is to analyze the contact
interaction between fingers and object when wearing an air-cushioned glove. The
contact interactions between the the fingertip and air bubbles, which is
considered as a cell of a typical air-cushioned glove, has been analyzed
theoretically. Two-dimensional finite element models were developed for the
analysis. The fingertip model was assumed to be composed of skin layers,
subcutaneous tissue, bone, and nail. The air bubbles were modeled as air sealed
in the container of nonelastic membrane. We simulated two common scenarios: a
fingertip in contact with one single air bubble and with two air cushion bubbles
simultaneously. Our simulation results indicated that the internal air pressure
can modulate the fingertip-object contact characteristics. The contact stiffness
reaches a minimum when the initial air pressure is equal to 1.3 and 1.05 times of
the atmosphere pressure for the single air bubble and the double air bubble
contact, respectively. Furthermore, the simulation results indicate that the
double air bubble contact will result in smaller volumetric tissue strain than
the single air bubble contact for the same force.
PMID- 21890396
TI - Apomorphine infusion in advanced Parkinson's patients with subthalamic
stimulation contraindications.
AB - BACKGROUND: The efficacy of continuous subcutaneous apomorphine infusion (APO)
has been evaluated in advanced Parkinson's disease in several open-label studies
but never in a population of patients for whom subthalamic nucleus deep brain
stimulation (STN-DBS) was contraindicated. METHODS: The aim of this study was to
evaluate the efficacy and cognitive safety of APO at 12-month follow-up in 23
advanced parkinsonian patients (mean age: 62.3 years; mean disease duration: 13.9
years) whose dopa-resistant axial motor symptoms and/or cognitive decline
constituted contraindications for STN-DBS. Their motor and cognitive status were
evaluated before APO and 12 months afterwards. RESULTS: After one year, patients
expressed high levels of satisfaction, with a mean rating on the Visual Analog
Scale of 52.8% under APO. Daily OFF time, recorded in a 24-h diary, was reduced
by 36% and ON time improved by 48%. There was a significant reduction (-26%) in
mean oral levodopa equivalent dose. Dopa-resistant axial symptoms and
neuropsychological performance remained stable. No adverse event was noted and
none of the patients needed to take clozapine at any time. CONCLUSIONS: APO is
both safe and effective in advanced parkinsonian patients with untreatable motor
fluctuations, for whom STN-DBS is contraindicated due to dopa-resistant axial
motor symptoms and/or cognitive decline. As such, it should be regarded as a
viable alternative for these patients.
PMID- 21890397
TI - Does the region of pain influence the presence of sensorimotor disturbances in
neck pain disorders?
AB - Impairments in sensorimotor control have been demonstrated in neck pain
disorders. However, there are more anatomical and neurophysiological connections
between the sensorimotor control system and the upper cervical region and thus
potential for greater disturbances in those with upper region pain. This study
investigated whether sensorimotor impairment was greater in those suffering pain
from the upper rather than lower cervical spine region, taking the onset of pain
into account. Sixty-four subjects with persistent neck pain were divided into 4
groups -upper and lower region non-traumatic and upper and lower region
traumatic. Cervical Joint Position Error (JPE), smooth pursuit neck torsion
(SPNT) and standing balance tests were compared between groups. The lower non
traumatic group demonstrated significantly less (p < 0.03) deficit in SPNT
compared to all other groups as well as less total energy of sway on the eyes
open balance tests (p < 0.05) compared to both traumatic neck pain groups. The
upper traumatic group demonstrated significantly greater JPE following rotation
to the right (p < 0.04) when compared to both lower groups. Less sensorimotor
dysfunction appears to occur in those with lower compared to upper cervical
region pain, although this depends on whether trauma is involved in the onset of
pain.
PMID- 21890398
TI - Clinical presentation and management of mTOR inhibitor-associated stomatitis.
AB - Anti-cancer agents that inhibit the mTOR pathway are associated with a number of
unique toxicities, with one of the most significant and potentially dose-limiting
being stomatitis. The objective of this study was to report the clinical features
and management outcomes of a series of cancer patients who developed painful mTOR
inhibitor-associated stomatitis (mIAS). Seventeen cancer patients developed mIAS
while being treated with everolimus- or ridaforolimus-containing protocols at the
Dana-Farber Cancer Institute and were referred to the oral medicine clinic for
evaluation and management. Clinical characteristics, toxicity management, and
outcomes were summarized. In addition, the frequency and rationale for dose
reductions and therapy discontinuation were assessed. The median duration of mTOR
inhibitor therapy was 80 days (range 9-187 days). The median time to development
of mouth ulcers was 10 days (range 4-25 days). Five patients required protocol
directed dose reductions due to grades 2 and 3 stomatitis and one patient
discontinued cancer treatment due to mouth ulcers. Clinical improvement and pain
relief was reported in 86.6% of patients following topical, intralesional, or
systemic corticosteroid therapy, with side effects limited to secondary
candidiasis (n=2). Mouth ulcers are a common and potentially dose limiting
toxicity associated with the use of mTOR inhibitors in cancer treatment. This
case series demonstrates that local and systemic corticosteroid therapy is an
effective approach to managing patients with symptomatic mIAS. Prospective
studies are necessary to evaluate the effectiveness of treatment and prevention
strategies with the ultimate goal of improving overall cancer treatment outcomes.
PMID- 21890399
TI - Fluorescence "Turn-On" chemosensor for the selective detection of beryllium.
AB - A new fluorogenic method for selective and sensitive determination of beryllium
using 2,6-diphenyl-4-benzo-9-crown-3-pyrane (DBCP) was developed. The proposed
fluorescent probe undergoes fluorescent emission intensity enhancement upon
binding to beryllium ions in MeOH/H(2)O (70:30, v/v) solution. The fluorescence
enhancement of DBCP is attributed to a 1:1 complex formation between DBCP and
Be(2+) ion, which has been utilized as the basis for selective detection of
Be(2+) ion. With the optimum condition described, the fluorescence enhancement at
531 nm was linear to the concentration of beryllium in the range of 1.6*10(-8)
1.6*10(-7) M and a detection limit of 1.5*10(-9) M. The fluorescent probe
exhibits high selectivity for Be(2+) ion over the other common mono, di- and
trivalent cations.
PMID- 21890400
TI - A novel fluorescent sensor for Cr3+ based on rhodamine-cored poly (amidoamine)
dendrimer.
AB - A novel poly (amidoamine) (PAMAM) dendrimer, comprising rhodamine B unit in the
core and 1-phenyl-3-methyl-5-pyrazolone unit at the periphery, has been
synthesized and characterized. The dendrimer shows dramatic increase in its
fluorescence intensity in the presence of proton and metal cations, especially in
the presence of Cr(3+). The complex formed by dendrimer and Cr(3+) in ethanol
solution has also been studied, considering the potential application for Cr(3+)
fluorescent sensor. The influence of the unique chemical structure and resulted
photoinduced electron transfer, as well as spirolactam ring-opening on the
photophysical properties of the product has been investigated.
PMID- 21890401
TI - Interaction of sodium benzoate with trypsin by spectroscopic techniques.
AB - The toxicity of sodium benzoate to trypsin was investigated by fluorescence
spectroscopy, synchronous fluorescence spectroscopy, UV-visible absorption
spectroscopy and circular dichroism (CD) spectroscopy under mimic physiological
conditions. Sodium benzoate could unfold trypsin by decreasing the beta-sheet
structure, which leads to more exposure of internal amino acid groups and the
obvious intrinsic fluorescence quenching with the rising concentration of sodium
benzoate. The results of spectroscopic measurements indicated that sodium
benzoate changed the internal microenvironment of trypsin and induced the
alteration of the whole molecule, which were performed toxic effects on the
organism. Trypsin and sodium benzoate interacted with each other to produce a
substance by van der Waals forces and hydrogen bond, the model of which was shown
by AutoDock software.
PMID- 21890402
TI - Effect of Bi2O3 on spectroscopic and structural properties of Er3+ doped cadmium
bismuth borate glasses.
AB - Glasses with composition 20CdO.xBi(2)O(3).(79.5-x)B(2)O(3) (15<=x<=35, x in mol%)
containing 0.5 mol% of Er(3+) ions were prepared by melt-quench technique (1150
degrees C in air). The amorphous nature of the glasses was confirmed by X-ray
diffraction. The spectroscopic properties of the glasses were investigated using
optical absorption spectra and fluorescence spectra. The phenomenological Judd
Ofelt intensity parameters Omega(lambda) (lambda=2, 4, 6) were determined from
the spectral intensities of absorption bands in order to calculate the radiative
transition probability (A(R)), radiative life time (tau(R)), branching ratios
(beta(R)) for various excited luminescent states. Using the near infrared
emission spectra, full width at half maxima (FWHM), stimulated emission cross
section (sigma(e)) and figure of merit (FOM) were evaluated and compared with
other hosts. Especially, the numerical values of these parameters indicate that
the emission transition (4)I(13/2)->(4)I(15/2) at 1.506 MUm in Er(3+)-doped
cadmium bismuth borate glasses may be useful in optical communication.
PMID- 21890403
TI - Bridging two translation gaps: a new informatics research agenda for
telemonitoring of chronic disease.
AB - OBJECTIVE: To propose a research agenda that addresses technological and other
knowledge gaps in developing telemonitoring solutions for patients with chronic
diseases, with particular focus on detecting deterioration early enough to
intervene effectively. DESIGN: A mixed methods approach incorporating literature
review, key informant, and focus group interviews to gain an in-depth,
multidisciplinary understanding of current approaches, and a roadmapping process
to synthesise a research agenda. RESULTS: Counter to intuition, the research
agenda for early detection of deterioration in patients with chronic diseases is
not only primarily about advances in sensor technology but also much more about
the problems of clinical specification, translation, and interfacing. The
ultimate aim of telemonitoring is not fully agreed between the actors (patients,
clinicians, technologists, and service providers). This leads to unresolved
issues such as: (1) How are sensors used by patients as part of daily routines?
(2) What are the indicators of early deterioration and how might they be used to
trigger alerts? (3) How should alerts lead to appropriate levels of responses
across different agencies and sectors? CONCLUSION: Attempts to use telemonitoring
to improve the care of patients with chronic diseases over the last two decades
have so far failed to lead to systems that are embedded in routine clinical
practice. Attempts at implementation have paid insufficient attention to
understanding patient and clinical needs and the complex dynamics and
accountabilities that arise at the level of service models. A suggested way ahead
is to co-design technology and services collaboratively with all stakeholders.
PMID- 21890405
TI - Serendipity in nerve excitability research.
PMID- 21890404
TI - Amplitude- and velocity-dependency of rigidity measured at the wrist in
Parkinson's disease.
AB - OBJECTIVE: Quantify the effects of increased amplitude and rate of muscle stretch
on parkinsonian rigidity. METHODS: Eighteen subjects with Parkinson's disease
participated in this study. Subjects' tested hand was passively displaced through
60 degrees and 90 degrees ranges of wrist flexion and extension at velocities
of 50 degrees /s and 280 degrees /s in both treated and untreated conditions.
Joint angular position, resistance torque, and surface electromyography (EMG) of
the wrist flexors and extensors were recorded. Rigidity was quantified by
normalized work scores and normalized angular impulses for flexion and extension,
separately. Reflex responses of stretched and shortened muscles were quantified
by mean EMG and EMG ratio. A series of ANOVAs was performed to determine the
effect of amplitude, velocity and medication on selected variables. RESULTS: Both
work scores and angular impulses revealed that the larger displacement amplitude
and the higher velocity were associated with significantly greater rigidity,
increased EMG ratio and mean EMG of stretched muscles. Dopaminergic medication
was not associated with a reduction in rigidity. CONCLUSIONS: Parkinsonian
rigidity is modulated by the amplitude and rate of muscle stretch. SIGNIFICANCE:
These findings shed light on the biomechanical underpinnings and physiological
characteristics of rigidity and may inform clinical rigidity assessment in
Parkinson's disease.
PMID- 21890406
TI - Synthesis of (-)-beta-caryophyllene oxide via regio- and stereoselective
endocyclic epoxidation of beta-caryophyllene with Nemania aenea SF 10099-1 in a
liquid-liquid interface bioreactor (L-L IBR).
AB - Nemania aenea SF 10099-1, a basidiomycete isolated from a forest soil sample,
regio- and stereoselectively epoxidized beta-caryophyllene (Car) to (-)-beta
caryophyllene oxide (Car-Ox) in a liquid-liquid interface bioreactor (L-L IBR)
consisted of a liquid medium (a bottom phase), a fungus-ballooned microsphere
(MS) mat (a middle phase), and an organic phase containing Car (a top phase). The
cultivation conditions, such as carbon and nitrogen sources, kind of MS, initial
medium pH and Car concentration, were optimized in the L-L IBR system. The best
carbon and nitrogen sources were xylose and tryptone, respectively. The most
suitable polyacrylonitrile MS was MMF-DE-1 (former MFL-80SDE; non-coated type).
Although the strain could not grow below pH 5.5, the endocyclic epoxidation of
Car efficiently proceeded at a wide range of initial medium pH (6.0 to 9.0). The
bioconversion system exhibited an excellent alleviation effect toward substrate
and product inhibitions. While Car could be added into an organic phase (KF-96L
1CS, dimethyl silicone oil) at 50% (w/v), the accumulation of Car-Ox reached over
30g/l in spite of these strong microbial toxicities. Moreover, the epoxidation
reaction smoothly proceeded in a novel L-L IBR system, a multistory L-L IBR
systems, consisted of 5 stacked reactor units. The optical rotation of Car-Ox
produced was (-) and the enantiomeric excesses of (-)-beta-Car-Ox purified by 1st
and 2nd recrystallization from methanol reached 97.51 and 99.33%, respectively.
PMID- 21890407
TI - Effects of BmKIT3R gene transfer on the development and survival of silkworm
Bombyx mori.
AB - To verify the effects of gain-of-function mutation of the BmKIT3(R) gene (from
the Chinese scorpion Buthus martensii Karsch) on the development and survival
rate of insects and to explore a novel strategy for pest control, the effects of
BmKIT3(R) gene transfer on the development and survival rate of silkworms were
investigated. A novel transgenic vector derived from the piggyBac transposon with
the BmKIT3(R) gene controlled by the Bmhsp20.4 promoter was transferred into
silkworm eggs. Transgenic silkworms were obtained after screening with GFP and
G418 antibiotics and verification by PCR and dot hybridization. The results
showed that the oviposition number decreased by 18.9%, and the hatching and final
survival rates were approximately 63% and 47.5%, respectively. Some 18.9% of
surviving pupae died before developing into moths in the G3 generation. A
specific band corresponding to BmKIT3(R) was detected for transgenic silkworms by
Western blotting. This indicates that the Bmhsp 20.4 promoter has constitutive
expression activity. The significant decrease in the survival rate suggests that
pest population numbers could be effectively controlled by using BmKIT3(R) gene
transfer. Furthermore, it can be speculated that pupal development to moths could
be blocked if BmKIT3(R) were specially expressed in the pupal stage and reeling
with fresh cocoons was performed.
PMID- 21890408
TI - Right atrial mass after open heart surgery: tumour or thrombus?
AB - Detection of a rapidly growing mass in the right atrium during routine inter
echocardiogram follow-up period in two patients after corrective open-heart
surgery raises concerns about nature of the mass and the probable cause. One
turned out to be an atrial myxoma that grew rapidly over a eight month period and
the other a well encapsulate thrombus in a fully anticoagulated patient.
Preoperative transthoracic echocardiogram had reported both the cases to be a
myxoma. This article highlights the importance of considering rare causes in the
face of a seemingly obvious diagnosis and possible use of imaging modalities in
the management of these cases.
PMID- 21890409
TI - 'Necrosome'-induced inflammation: must cells die for it?
AB - Necrosis, a form of death characterized by rupture of the cell membrane, is
closely interlinked with inflammation. Cellular components released during
necrotic death can trigger inflammation. Conversely, inflammation often yields
tissue damage and, as a consequence, cell death. Which occurs first--necrosis or
inflammation--in specific in vivo situations is currently difficult to tell. A
way out of this 'chicken-and-egg' conundrum may be found via the recent finding
that both necrotic cell death and inflammation can be initiated by a distinct set
of signaling proteins, the 'necrosome', that includes receptor-interacting
protein (RIP)1, RIP3 and caspase-8. Further clarifying the function of these
signaling proteins should make it possible to establish when they induce
inflammation directly and when inflammation is caused by necrotic cell death.
PMID- 21890410
TI - mTOR: A pathogenic signaling pathway in developmental brain malformations.
AB - The mTOR signaling network functions as a pivotal regulatory cascade during the
development of the cerebral cortex. Aberrant hyperactivation of mTOR as a
consequence of loss-of-function gene mutations encoding mTOR inhibitor proteins
such as TSC1, TSC2, PTEN and STRADalpha has been recently linked to developmental
cortical malformations associated with epilepsy and neurobehavioral disabilities.
Investigation of mTOR signaling in these disorders provides for the first time
exciting future avenues for assessment of biomarkers, patient stratification and
prognostic measures as well as the opportunity for targeted therapy to regulate
mTOR activity across all age groups. As we learn more about mTOR and its activity
in the developing brain, many challenges will arise that must be overcome before
widespread clinical therapeutics can be implemented.
PMID- 21890411
TI - Metchnikoff's policemen: macrophages in development, homeostasis and
regeneration.
AB - Over the past decade, modern genetic tools have permitted scientists to study the
function of myeloid lineage cells, including macrophages, as never before.
Macrophages were first detected more than a century ago as cells that ingested
bacteria and other microbes, but it is now known that their functional roles are
far more numerous. In this review, we focus on the prevailing functions of
macrophages beyond their role in innate immunity. We highlight examples of
macrophages acting as regulators of development, tissue homoeostasis, remodeling
(the reorganization or renovation of existing tissues) and repair. We also detail
how modern genetic tools have facilitated new insights into these mysterious
cells.
PMID- 21890413
TI - Identification and validation of candidate biomarkers involved in human ovarian
autoimmunity.
AB - Antibodies to multiple ovarian antigens have been proposed as markers of ovarian
autoimmunity. The role of ovarian autoantibodies has been widely discussed in the
pathophysiology of premature ovarian failure and unexplained infertility, but the
autoantigens are yet to be identified. Three immunodominant ovarian autoantigens,
alpha-actinin 4 (alphaACTN4), heat shock 70 protein 5 (HSPA5) and beta-actin
(ACTB), have been identified using anti-ovarian antibody-positive sera from women
with idiopathic premature ovarian failure (n=50) and women undergoing IVF
(n=695), using mass spectrometry. These autoantigens were subsequently validated
using Western blot, immunohistochemistry and enzyme-linked immunosorbent assay.
These autoantigens are localized to different components of the ovary such as the
ooplasm of the oocyte, theca, granulosa, corpus luteum and zona pellucida. All
the above antigens were found to be expressed in the ooplasm throughout
follicular development. All the autoantigens are expressed specifically in the
oocyte except alphaACTN4. The three autoantigens could contribute to the array of
biomarkers to be used for developing specific and sensitive tests for diagnosis
of women at risk of premature ovarian failure and IVF failure due to ovarian
autoimmunity and could give an insight into the molecular mechanisms involved in
the pathophysiology of these conditions.
PMID- 21890412
TI - Balancing acts: the role of TGF-beta in the mucosal immune system.
AB - The gastrointestinal mucosal immune system faces unique challenges in dealing not
only with fed antigens but also both commensal and pathogenic bacteria. It is
tasked with digesting, transporting and using nutritional antigens while
protecting the host from pathogenic organisms. As such, mechanisms that mediate
effective immunity and immune tolerance are active within the gut environment. To
accomplish this, the mucosal immune system has evolved sophisticated mechanisms
that safeguard the integrity of the mucosal barrier. Transforming growth factor
beta (TGF-beta) emerges as a key mediator, balancing the tolerogenic and
immunogenic forces at play in the gut. In this review, we discuss the role of TGF
beta in the generation and functioning of gut lymphocyte populations. We
highlight recent findings, summarize controversies, outline remaining questions
and provide our personal perspectives.
PMID- 21890414
TI - PPE_MPTR genes are differentially expressed by Mycobacterium tuberculosis in
vivo.
AB - The PPE_MPTR protein sub-family is unique to mycobacteria and comprises proteins
found only in MTB complex and in few other pathogenic mycobacteria. Very little
is known about the precise function of PPE_MPTR, as well as on the expression
pattern and the transcriptional regulation of their structural genes. In the
present work, real time RT-PCR techniques were used to determine the expression
profile of PPE_MPTR genes of Mycobacterium tuberculosis during infection in vivo
and in different culture conditions. The PPE_MPTR genes showed a similar
expression profile in axenic cultures, with a significant increase of gene
expression following exposure to environmental signals such as SDS, isoniazid and
ethambutol. The PPE_MPTR genes were expressed in lung and spleen tissues infected
by M. tuberculosis, and levels of expression were similar to those of genes
encoding M. tuberculosis virulence factors such as hbhA and mpt64. Levels and
pattern of gene expression in host tissues were different for each PPE_MPTR gene
under study. The results of this study indicate that PPE_MPTR genes are
differentially regulated in the lung and spleen tissues during M. tuberculosis
infection, suggesting that each gene responds independently to the different and
complex environmental signals encountered in host tissues.
PMID- 21890416
TI - Commentary to 'persistent renin-angiotensin system activation after anti-reflux
surgery and its management'.
PMID- 21890415
TI - Long-term extracorporeal photochemotherapy in a pediatric patient with refractory
sclerodermatous chronic graft-versus-host disease.
AB - Sclerodermatous chronic graft-versus-host disease (cGVHD) following allogeneic
hematopoietic stem cell transplantation (HSCT) in children is difficult to treat
and life-threatening. Extracorporeal photochemotherapy (ECP; photopheresis), an
immunomodulatory therapy that involves the infusion of autologous peripheral
blood leukocytes after ex vivo exposure to the photoactive agent 8
methoxypsoralen and ultraviolet A radiation, is an effective treatment for
steroid-refractory cGVHD. After undergoing allogeneic HSCT for pre-B-cell acute
lymphoblastic leukemia, a 14-year-old boy developed extensive sclerodermatous
cGVHD that was refractory to prednisone, tacrolimus, and sirolimus. ECP was
administered over the course of 53 months, during which the skin softened
substantially and immunosuppressive therapy was discontinued. This case suggests
that long-term ECP is a viable option in children with sclerodermatous cGVHD.
PMID- 21890417
TI - Impact of insurance status on access to care and out-of-pocket costs for U.S.
individuals with epilepsy.
AB - We analyzed data from the 2002-2007 Medical Expenditure Panel Survey (MEPS) to
assess whether individuals with epilepsy who are uninsured and those who have
Medicaid coverage experience differences in medical resource utilization or out
of-pocket costs compared with those having other types of insurance. With
sociodemographic characteristics controlled for, uninsured individuals had
significantly fewer outpatient visits, fewer visits with neurologists, and
greater antiepileptic drug costs than did those with private insurance.
Individuals with Medicaid coverage had similar medical resource utilization rates
but lower out-of-pocket costs compared with privately insured individuals. These
findings indicate substantial barriers to receipt of appropriate medical care for
uninsured individuals with epilepsy, but not for those with Medicaid coverage.
Future studies should evaluate whether ongoing changes to the US health care
system are able to address the differences in care we found among uninsured
individuals with epilepsy and should incorporate measures of disease severity and
unmet need.
PMID- 21890418
TI - Ictal fear auras after selective amygdalohippocampectomy: the use of ictal SPECT
and scalp EEG in the presurgical reevaluation.
AB - The perception of fear aura in complex partial seizures is linked to epileptic
discharges within mesial temporal lobe structures. Although selective
amygdalohippocampectomy often leads to favorable seizure control, persistence of
fear auras after surgery can hamper quality of life significantly. We describe
two patients with persistent fear auras after selective amygdalohippocampectomy
who had to be reevaluated for a second operative procedure. In one patient, ictal
SPECT revealed focal hyperperfusion within the left temporal pole. In the other
patient, localization of the focus was possible with ictal scalp EEG, which
revealed closely time-related focal theta activity in the right frontotemporal
electrodes. Both patients underwent a second surgery leading to complete
remission. The persistence of fear auras after selective amygdalohippocampectomy
provides an example of involvement of a complex neuronal network in the
generation of this emotional state during mesiotemporal lobe seizures. Ictal
SPECT or ictal scalp EEG may be valuable in identifying the involved areas and in
guiding the surgeon to render these patients seizure free.
PMID- 21890419
TI - Heredity in epilepsy: neurodevelopment, comorbidity, and the neurological trait.
AB - The genetic bases of common, nonmendelian epilepsy have been difficult to
elucidate. In this article, we argue for a new approach to genetic inquiry in
epilepsy. In the latter part of the 19th century, epilepsy was universally
acknowledged to be part of a wider "neurological trait" that included other
neuropsychiatric conditions. In recent years, studies of comorbidity have shown
clear links between epilepsy and various neuropsychiatric disorders including
psychosis and depression, and genetic studies of copy number variants (CNVs) have
shown that in some cases, the same CNV underpins neuropsychiatric illness and
epilepsy. Functional annotation analysis of the sets of genes impacted by
epilepsy CNVs shows enrichment for genes involved with neural development, with
gene ontological (GO) categories including "neurological system process"
(P=0.006), "synaptic transmission" (P=0.009), and "learning or memory" (P=0.01).
These data support the view that epilepsy and some neuropsychiatric conditions
share pathogenic neurodevelopmental pathways, and that epilepsy should be
included in the spectrum of neurodevelopmental disorders. Yet, most current
genetic research in epilepsy has restricted samples to specific types of epilepsy
categorized according to the clinical classification schemes on the basis of
seizure type, anatomical location, or epilepsy syndrome. These schemes are, to an
extent, arbitrary and do not necessarily align with biological reality. We
propose an alternative approach that makes no phenotypic assumptions beyond
including epilepsy in the neurodevelopmental spectrum. A "'value-free" strategy
of reverse phenotyping may be worth exploring, starting with genetic association
and looking backward at the phenotype. Finally, it should be noted that there are
societal implications to associating epilepsy with other neuropsychiatric
disorders, and it is vital to ensure research in this area does not result in
increased stigma for patients with epilepsy.
PMID- 21890420
TI - Predisposition to epilepsy in fragile X syndrome: does the Val66Met polymorphism
in the BDNF gene play a role?
AB - Epilepsy is detected in about 23% of patients with fragile X syndrome (FXS).
Absence or reduced levels of the fragile X mental retardation protein (FMRP), a
global regulator of translation in neurons and an important factor in synaptic
plasticity, produce the observed epileptic patterns. The brain-derived
neurotrophic factor (BDNF) gene is a specific regulator of synaptic plasticity,
and disturbances in its function cause dendrite abnormalities similar to those
observed in FXS. A putative reciprocal regulation of FMRP and BDNF has been
hypothesized. The Val66Met polymorphism in the BDNF gene may be involved in the
alteration of normal secretion of the mature peptide and may modulate the
epileptic phenotype observed in some patients with FXS. We investigated the
relationship of this Met66 allele to the prevalence of epilepsy in 77 patients
with FXS. No association was observed between this polymorphism and epilepsy in
our group of patients. Therefore, it should not be considered a biomarker for
developing epilepsy in patients with FXS.
PMID- 21890421
TI - Adjunctive lacosamide in clinical practice: sodium blockade with a difference?
AB - Lacosamide (LCM) was licensed in the United Kingdom in 2008 for the adjunctive
treatment of partial-onset seizures. It exerts its effect by enhancing sodium
channel slow inactivation. This article reports preliminary outcomes with
adjunctive LCM in the everyday clinical setting. To date, 113 patients (57 males,
56 females; aged range=18-74 years, median=39 years) with uncontrolled partial
onset seizures (monthly frequency range=1-300, median=4) have been included in
the audit. Patients were taking 1-4 (median=1) antiepileptic drugs (AEDs), having
previously tried 1-12 (median 3) drug schedules. After 12 weeks on stable AED
dosing, LCM was added, aiming at an initial target range of 200-400mg/day. Review
took place every 6-8 weeks until one of four endpoints was reached: seizure
freedom for >=6 months on a given LCM dose; >=50% (responder) or <50% (marginal
benefit) seizure reduction over 6 months compared with baseline on the highest
tolerated LCM dose; withdrawal of LCM because of lack of efficacy, side effects,
or both. An endpoint has been reached by 65 (57.5%) patients so far. Seventeen
(26.2%) have remained seizure free on a median daily LCM dose of 100mg (range=50
300 mg). Patients were more likely to become seizure free when LCM was used as a
first add-on (15/36, 41.7%), compared with a later treatment schedule (1/27,
3.7%, P=0.001). With appropriate dose manipulation, patients taking traditional
sodium blockers (5/26, 19.2%) were as likely to become seizure free as those
taking AEDs with other mechanisms of action only (11/37, 29.7%). Fifty percent or
greater seizure reduction was achieved in an additional 16 (24.6%) patients (1
monotherapy); 18 (27.7%) reported marginal benefit. Two patients were established
on LCM monotherapy (one seizure free, one responder). Patients remaining on LCM
were as likely to also be taking sodium blockers only (23/27, 85.1%) as AEDs with
other mechanisms (26/36, 72.2%). LCM was withdrawn in 14 patients (12.3% of
ongoing patients, 21.5% of those at an endpoint; 10 for side effects, 4 for lack
of efficacy). The most common side effects leading to withdrawal were sedation,
ataxia, and dizziness. Of the 10 with side effects, only 2 patients took
concomitant sodium blockers. Patients on sodium valproate were more likely to
discontinue LCM (8/21, P=0.018) than those also taking other AEDs; 5 of the 8 did
so because of side effects and 3 because of lack of efficacy. In patients with
partial-onset seizures, LCM is an effective and well-tolerated adjunctive AED
when combined with appropriate doses of traditional sodium blockers, as well as
agents with other mechanisms of action. Seizure freedom was more likely when LCM
was used as a first add-on compared with a later treatment schedule. Patients
also taking sodium valproate were significantly more likely to discontinue LCM
compared with those taking other AEDs. These data suggest that the
pharmacological effect of LCM differs importantly from that of AEDs that
influence fast inactivation of the sodium channel.
PMID- 21890422
TI - Retrospective analysis of third-line and fourth-line chemotherapy for advanced
non-small-cell lung cancer.
AB - BACKGROUND: The efficacy of third-line and further-line chemotherapy for advanced
non-small-cell lung cancer (NSCLC) remains unknown. PATIENTS AND METHODS: We
evaluated the clinical outcome of third- and fourth-line chemotherapy for the
treatment of advanced NSCLC in consecutive patients who received first-line
chemotherapy at our institute between July 2002 and June 2006. From a hospital
based registry, the following data were extracted: (a) patient characteristics,
(b) type of chemotherapeutic agents, and (c) objective response and survival
data. RESULTS: A total of 599 patients were included in this analysis. Overall,
69.3%, 38.4%, 17.7%, and 6.0% of the patients received second-, third-, fourth-,
and fifth-line chemotherapy, respectively. Significant differences in age (P <
.0001), performance status at the start of first-line chemotherapy (P < .0001),
and histology (P = .0175) were observed between patients who received third-line
chemotherapy and those who did not. Docetaxel, gefitinib, and S-1 were the most
frequently used regimens for third- or fourth-line chemotherapy. Five percent of
the patients had participated in phase I trials of investigational new drugs. The
objective response rates and disease control rates of third- and fourth-line
chemotherapy were 17.0% and 34.4% and 11.3% and 24.5%, respectively. The median
survival times (95% confidence interval [CI]) from the start of first-, second-,
third-, and fourth-line chemotherapy until death were 15.3 months (95% CI, 13.8
16.5 months), 12.8 months (95% CI, 10.7-14.5 months), 12.0 months (95% CI, 9.3
14.2 months), and 9.9 months (95% CI, 8.6-12.0 months), respectively. CONCLUSION:
As many as 38% of patients with advanced NSCLC who received first-line
chemotherapy could receive third-line chemotherapy. This result emphasizes the
need for randomized controlled trials of third-line treatment in patients with
advanced NSCLC.
PMID- 21890423
TI - "Spring-back" closure associated with open-door cervical laminoplasty.
AB - BACKGROUND CONTEXT: Spring-back complication after open-door laminoplasty as
described by Hirabayashi is a well-known risk, but its definition, incidence, and
associated neurologic outcome remain unclear. OBJECTIVE: To investigate the
incidence and the neurologic consequence of spring-back closure after open-door
laminoplasty. STUDY DESIGN: A retrospective radiographic and clinical review.
OUTCOME MEASURES: Lateral cervical spine X-rays were evaluated. Anteroposterior
diameters (APD) of the vertebral canal of C3-C7 were measured. Spring-back was
defined as loss of APD on follow-up in comparison to immediate postoperative
canal expansion. The loss of the end-on lamina silhouette with consequent
reappearance of the lateral profile of the spinous processes was also assessed to
verify the presence of spring-back. Spring-back closure was classified based on
whether the collapse was total or partial, and whether all the operated levels or
only a subset had collapsed (ie, complete vs. partial closure, segmental closure
vs. total-construct closure). Neurologic status was documented using the Japanese
Orthopaedic Association (JOA) score. METHODS: Thirty consecutive patients who
underwent open-door laminoplasty from 1995 to 2005 at a single institution with a
minimum follow-up of 2 years were assessed. They were all operated on using the
classic Hirabayashi technique. Radiographic outcomes were assessed independently
by two individuals. RESULTS: Sixteen men and 14 women with an average follow-up
of 5 years (range, 2-12 years) were included. Of these patients, 24 had cervical
spondylotic myelopathy and six had ossification of the posterior longitudinal
ligament. Spring-back closure was found in three patients (10%) and 7 of 117
laminae (6%) within 6 months of the operation, which was further confirmed by
computed tomography and magnetic resonance imaging. All spring-back closures were
partial segmental closures. Gender and age were not significant factors related
to spring back (p>.05). The mean JOA score on follow-up was 12.5, with a recovery
rate of 40%. All patients with spring back and available JOA data exhibited
postoperative neurologic deterioration. Of the three patients with spring back,
two patients underwent revision surgery, whereas one declined. CONCLUSIONS:
Spring-back closure occurred in 10% of our patients at or before 6 months after
surgery. The incidence of spring-back by level (ie, 117 laminae) was 6%, mainly
occurring at the lower cervical spine. All spring-back closures were partial
segmental closures, most commonly involving C5 and C6. Postoperative neurologic
deficit was associated with spring-back closure; therefore, surgeons should adopt
preemptive surgical measures to prevent the occurrence of such a complication.
PMID- 21890424
TI - Medication reconciliation: identifying medication discrepancies in acutely ill
hospitalized older adults.
AB - BACKGROUND: Medication discrepancies may occur during transitions from community
to acute care hospitals. The elderly are at risk for such discrepancies due to
multiple comorbidities and complex medication regimens. Medication reconciliation
involves verifying medication use and identifying and rectifying discrepancies.
OBJECTIVE: The aim of this study was to describe the prevalences and types of
medication discrepancies in acutely ill older patients. METHODS: Patients who
were >= 70 years and were admitted to any of 3 acute care for elders (ACE) units
over a period of 2 nonconsecutive months in 2008 were prospectively enrolled.
Medication discrepancies were classified as intentional, undocumented
intentional, and unintentional. Unintentional medication discrepancies were
classified by a blinded rater for potential to harm. This study was primarily
qualitative, and descriptive (univariate) statistics are presented. RESULTS:
Sixty-seven patients (42 women; mean [SD] age, 84.0 [6.5] years) were enrolled.
There were 37 unintentional prescription-medication discrepancies in 27 patients
(40.3%) and 43 unintentional over-the-counter (OTC) medication discrepancies in
19 patients (28.4%), which translates to Medication Reconciliation Success Index
(MRSI) of 89% for prescription medications and 59% for OTC medications. The
overall MRSI was 83%. More than half of the prescription-medication discrepancies
(56.8%) were classified as potentially causing moderate/severe discomfort or
clinical deterioration. CONCLUSION: Despite a fairly high overall MRSI in these
patients admitted to ACE units, a substantial proportion of the prescription
medication discrepancies were associated with potential harm.
PMID- 21890425
TI - Roux-en-Y gastric bypass improves hepatic mitochondrial function in obese rats.
AB - BACKGROUND: Obesity-related fatty liver disease is linked to mitochondrial
dysfunction and oxidative stress. Nuclear factor (erythroid-derived 2)-like 2
(Nrf2) regulates mitochondrial function and is a transcriptor of multiple genes
that produce antioxidants. Because Roux-en-Y gastric bypass (RYGB) improves fatty
liver and decreases the oxidative stress in the liver, we hypothesized that RYGB
activates Nrf2 and increases cytochrome C oxidase subunit II (COX-II) in the
liver of obese rats. METHODS: Sprague-Dawley rats were fed a high-fat diet for 16
weeks. The obese rats underwent either RYGB (n = 20) or a sham operation (n =
20). The tissues were harvested 13 weeks postoperatively. The nuclear fraction
and mitochondrial extracts were used for protein analysis with immunoblotting.
Immunostaining was done on liver sections for COX-II, Nrf2, and the macrophage
marker ED2 and F4/80. The gels were quantified using densitometry; P <= .05 was
considered significant. RESULTS: RYGB increased COX-II expression in the liver
sections (3330 +/- 56 versus 2056 +/- 37 for RYGB versus sham, P < .001). The
total (nuclear and cytoplasmic) Nrf2 expression was high in the obese sham
operated control (2456 +/- 45 versus 4352 +/- 76, RYGB versus sham, P < .001).
However, the nuclear fraction of Nrf2 was significantly increased in the RYGB
liver (2341 +/- 46 versus 1352 +/- 35, RYGB versus sham, P < .001). Furthermore,
Nrf2 protein co-localized with the molecular markers of Kupffer cells.
CONCLUSIONS: Diet-induced fatty liver is associated with mitochondrial
dysfunction. RYGB increases COX-II, which is involved in mitochondrial
respiration, and increases the nuclear translocation of the Nrf2 transcriptional
factor, which is involved in mitochondrial biogenesis and function. Taken
together, these data suggest that surgically induced weight loss is associated
with improved mitochondrial function in obese rats.
PMID- 21890426
TI - Comment on: Long-term follow-up in patients undergoing open gastric bypass as a
revisional operation for previous failed restrictive procedures.
PMID- 21890427
TI - Carbon dioxide elimination pattern in morbidly obese patients undergoing
laparoscopic surgery.
AB - BACKGROUND: Hypercapnia can result from carbon dioxide pneumoperitoneum and
adversely affect the postoperative period, particularly in morbidly obese
patients. The purpose of the present study was to examine carbon dioxide
homeostasis using a metabolic monitor in morbidly obese and normal weight
patients during laparoscopic surgical procedures. The setting was a university
hospital in Italy. METHODS: The data from 25 patients with a body mass index of
47.7 +/- 5.5 kg/m2 undergoing laparoscopic gastric mini-bypass were compared with
the data from 25 normal weight patients undergoing laparoscopic cholecystectomy.
The minute ventilation was adjusted to maintain a normal arterial partial
pressure of carbon dioxide and normal end-tidal partial pressure of carbon
dioxide throughout surgical procedures. The arterial partial pressure of carbon
dioxide, end-tidal partial pressure of carbon dioxide, total exhaled carbon
dioxide per minute, and arterial blood gas analysis were obtained at 10-minute
intervals, along with other cardiorespiratory parameters. RESULTS: The total
exhaled carbon dioxide per minute increased by the same percentage in both groups
(around 20%). In the laparoscopic cholecystectomy patients, a definite plateau in
the total exhaled carbon dioxide per minute was observed within 20 minutes from
the start of pneumoperitoneum but not in the morbidly obese patients. After
desufflation, the total exhaled carbon dioxide per minute returned more rapidly
to the baseline values in the laparoscopic cholecystectomy group than in the
morbidly obese group (17.4 +/- 6.2 and 24.1 +/- 8.3 min, respectively).
CONCLUSION: The results of our study have shown that the load of carbon dioxide
insufflated is well tolerated in morbidly obese patients, as well as in normal
patients, with proper intraoperative ventilation adjustments. However, after
pneumoperitoneum, the return to a normal total exhaled carbon dioxide per minute
required a longer period in the morbidly obese group. Prolonged mechanical
ventilation is therefore advisable in morbidly obese patients.
PMID- 21890428
TI - Laparoscopic conversion of laparoscopic sleeve gastrectomy to gastric bypass for
intractable gastroesophageal reflux disease.
PMID- 21890429
TI - Single incision laparoscopic adjustable gastric banding: 111 cases.
AB - BACKGROUND: As single incision surgery increases in popularity, the feasibility
of offering this approach in bariatric surgery is further progression of this
surgical technique. With the technical challenges that both operating on the
morbidly obese patient and single incision surgery present, we describe our
initial experience undertaking 111 single-incision gastric band insertions.
METHODS: From June 2009 to October 2010, 111 obese patients underwent single
incision laparoscopic adjustable gastric banding through a single transverse
incision using a multichannel single port and a pars flaccida technique.
Prospective data collection was undertaken, including visual analog scores.
RESULTS: In this initial series, the median operative time was 65 minutes (range
34-165). There was 1 conversion to a 5-port laparoscopic technique (.9%), and an
additional port was placed in 7 patients (6%). Male patients were more likely to
require an additional port (P < .05). The single-incision size ranged from 26 to
45 mm (median 35). At 23 hours postoperatively, the median pain score was 2.5 on
a 0-10 visual analog score. On multivariate analysis, pain was found to increase
with operation time (P < .001). The median length of stay was 24 hours (range 5.5
48). There was no mortality and minimal morbidity, with 1 wound infection
necessitating band removal. CONCLUSIONS: Single-incision laparoscopic adjustable
gastric banding can be performed safely with minimal morbidity and mortality in
the morbidly obese patient, and our technique has a high rate of success. The
benefits compared with the traditional laparoscopic approach and long-term
outcomes are yet to be established. However, if the intra-abdominal operative
time is >60 minutes, one should consider the placement of an additional port,
especially in male patients.
PMID- 21890430
TI - Long-term follow-up after laparoscopic sleeve gastrectomy: 8-9-year results.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (LSG) has rapidly gained popularity
as a definitive bariatric procedure despite the sparse long-term follow-up data.
On the basis of extensive experience with the open Magenstrasse and Mill
operation, we began practice of LSG in 2000. The objective of the present study
was to analyze 8-9 years of our follow-up data for LSG at a university hospital
in the United Kingdom. METHODS: From January 2000 to December 2001, 20 patients
underwent LSG. A 32F bougie was used for calibration in all cases. RESULTS: The
preoperative median body mass index was 45.8 kg/m(2) (range 35.8-63.7), and 9
patients (45%) were superobese (body mass index >= 50 kg/m(2)). For LSG as a
definitive bariatric procedure, 8-9-year follow-up data were available for 13
patients. Of the remainder, 4 patients underwent revision surgery and 3 were lost
to follow-up after 2 years. For the entire cohort, the median excess weight loss
(EWL) was 73% (range 13-105%) at 1 year, 78% (range 22-98%) at 2 years, 73%
(range 28-90%) at 3 years, and 68% (range 18-85%) at 8 or 9 years (P = .074). Of
the 13 LSG-only patients with 8-9 years of follow-up, 11 (55% of the starting
cohort) had >50% EWL at 8 or 9 years. No significant difference was found in the
initial body mass index between the LSG-only patients with >50% EWL and others
(45.9 kg/m(2), range 35.8-59.4 versus 45.7 kg/m(2), range 38.9-63.7,
respectively; P = .70). The LSG-only patients with >50% EWL had a marginally
significantly greater EWL at 1 year compared with the others (76%, range 48-103%
versus 45%, range 13-99%, respectively; P = .058). CONCLUSION: At 8-9 years of
follow-up, 55% of patients had >50% EWL from LSG as a definitive bariatric
procedure.
PMID- 21890431
TI - Comparative study of laparoscopic sleeve gastrectomy with and without partial
enterectomy and omentectomy.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (LSG) is a novel bariatric surgical
procedure that constitutes the first-stage procedure of laparoscopic Roux-en-Y
gastric bypass in high-risk patients, the long-term results of which are unknown.
Our objective was to establish whether partial enterectomy and omentectomy are
necessary in addition to LSG to achieve weight loss in obese patients. The
setting was a case series in a provincial hospital. METHODS: A total of 40 obese
patients (29 women and 11 men) were separated into 2 equal groups according to
patient choice. Group 1 underwent LSG alone, and group 2 underwent LSG plus
partial enterectomy and omentectomy. The partial enterectomy left the first 100
cm of the jejunum and the last 200 cm of the ileum. The data were collected
during the follow-up examinations, performed at 1, 3, 6, and 12 months
postoperatively. RESULTS: The body mass index loss (BMIL) was 3.9 +/- .5 kg/m(2)
and 9.4 +/- 1.3 kg/m(2) at 1 and 12 months in group 1, respectively. The BMIL was
4.5 +/- .9 kg/m(2) and 10.4 +/- 1.9 kg/m(2) at 1 and 12 months in group 2,
respectively. At 1 and 12 months postoperatively, the percentage of excess body
weight loss was 32.2% +/- 12.6% and 81.5% +/- 20.4% in group 1 and 35.5% +/-
10.5% and 83.8% +/- 24.5% in group 2, respectively. Except for the BMIL at 1
month after surgery, no significant differences were found in the BMIL or
percentage of excess body weight loss. CONCLUSION: LSG with and without partial
enterectomy and omentectomy in our study was an effective method of bariatric
surgery, but they did not differ in their effect on weight loss. However, the
long-term effect of weight loss with LSG alone or combined with partial
enterectomy and omentectomy needs additional study.
PMID- 21890432
TI - Lysophosphatidic acid induced red blood cell aggregation in vitro.
AB - Under physiological conditions healthy RBCs do not adhere to each other. There
are indications that RBCs display an intercellular adhesion under certain
(pathophysiological) conditions. Therefore we investigated signaling steps
starting with transmembrane calcium transport by means of calcium imaging. We
found a lysophosphatidic acid (LPA) concentration dependent calcium influx with
an EC(50) of 5 MUM LPA. Downstream signaling was investigated by flow cytometry
as well as by video-imaging comparing LPA induced with "pure" calcium mediated
phosphatidylserine exposure and concluded the coexistence of two branches of the
signaling pathway. Finally we performed force measurements with holographic
optical tweezers (HOT): The intercellular adhesion of RBCs (aggregation) exceeds
a force of 25 pN. These results support (i) earlier data of a RBC associated
component in thrombotic events under certain pathophysiological conditions and
(ii) the concept to use RBCs in studies of cellular adhesion behavior, especially
in combination with HOT. The latter paves the way to use RBCs as model cells to
investigate molecular regulation of cellular adhesion processes.
PMID- 21890433
TI - Exercise improves lung function and habitual activity in children with cystic
fibrosis.
AB - BACKGROUND: Cystic fibrosis (CF) lung disease leads to progressive deterioration
in exercise capacity. Because physical activity has been shown to improve lung
function and quality of life (QoL), developing routine exercise programs can
benefit this patient population. METHODS: Lung function, nutritional status, and
exercise capacity and assessments of habitual activity and QoL were measured
before and after a two-month, subject-designed exercise regimen based on self
reported activity assessment. Statistical analysis included Wilcoxon signed-rank,
Wilcoxon rank sum, and Fisher's exact tests. RESULTS: Subjects completing the
study demonstrated significant improvement in exercise capacity and body image
perception, a CF-specific QoL measure (p<0.001). In secondary analyses, subjects
improving exercise capacity showed significant increases in lung function and
self-reported habitual activity. CONCLUSIONS: Increases in exercise capacity over
a two-month period resulted in significantly improved lung function and self
reported habitual activity. Longer, controlled trials are needed to develop
individualized exercise recommendations.
PMID- 21890434
TI - Metabolic profiling of urine in young obese men using ultra performance liquid
chromatography and Q-TOF mass spectrometry (UPLC/Q-TOF MS).
AB - Obesity is currently epidemic in many countries worldwide. In the young adult,
obesity often accompanies hyperlipemia, which is strongly related to the
occurrence and development of obesity-related chronic diseases such as diabetes
mellitus, hypertension and cardiovascular disease. This study investigated the
differences in metabolomic profiling between obese (with hyperlipemia, n=30) and
normal-weight (n=30) young men. Anthropometric parameters and conventional
metabolites were measured. There were no significant differences between obese
and normal-weight young men in age, height and fasting plasma glucose level.
Obese young men showed increased weight, body mass index, fat mass, systolic
blood pressure, and triglyeride, total cholesterol and insulin levels, and lower
levels of testosterone. The endogenous metabolite profile of urine was
investigated by UPLC/Q-TOF MS (ultra performance liquid chromatography and Q-TOF
mass spectrometry) with electrospray ionization (ESI). Partial least squares
(PLS) enabled clusters to be visualized. Eight urine principal metabolites
contributing to the clusters were identified; these included increased L-prolyl-L
proline, leucyl-phenylalanine, and decanoylcarnitine in positive ESI mode (m/z
213.1267, 279.1715 and 316.2459, respectively) and N-acetylornithine, 17
hydroxypregnenolone sulfate, 11beta-hydroxyprogesterone, 5a-dihydrotestosterone
sulfate and glucosylgalactosyl hydroxylysine in negative ESI mode (m/z 173.0931,
411.1883, 331.185, 369.1751 and 485.1875, respectively). These metabolite changes
in obese men suggested early changes of metabolism in young-male obesity with
hyperlipemia. The study may further aid the clinical prevention and treatment of
obesity and related chronic disease.
PMID- 21890435
TI - Liquid chromatography-mass spectrometry method for the quantification of
tamoxifen and its metabolite 4-hydroxytamoxifen in rat plasma: application to
interaction study with biochanin A (an isoflavone).
AB - Tamoxifen is the agent of choice for the treatment of estrogen receptor-positive
breast cancer. Tamoxifen is a substrate of P-glycoprotein (P-gp) and microsomal
cytochrome P450 (CYP) 3A, and biochanin A (BCA) is an inhibitor of P-gp and
CYP3A. Hence, it could be expected that BCA would affect the pharmacokinetics of
tamoxifen. In the present study we have developed and validated a simple,
sensitive and specific LC-ESI-MS/MS method for the simultaneous quantification of
tamoxifen and its metabolite 4-hydroxytamoxifen with 100 MUL rat plasma using
centchroman as an internal standard (IS). Tamoxifen, 4-hydroxytamoxifen and IS
were separated on a Supelco Discovery C18 (4.6 mm * 50 mm, 5.0 MUm) column under
isocratic condition using 0.0 1M ammonium acetate (pH 4.5):acetonitrile (10:90,
v/v) as a mobile phase. The mobile phase was delivered at a flow rate of 0.8
mL/min. The method was proved to be accurate and precise at linearity range of
0.78-200 ng/mL with a correlation coefficient (r) of >= 0.996. The intra- and
inter-day assay precision ranged from 1.89 to 8.54% and 3.97 to 10.26%,
respectively; and intra- and inter-day assay accuracy was between 87.63 and
109.06% and 96 and 103.89%, respectively for both the analytes. The method was
successfully applied to study the effect of oral co-administration of BCA (an
isoflavone) on the pharmacokinetics of tamoxifen and 4-hydroxytamoxifen in female
rats. The coadministration of BCA caused no significant changes in the
pharmacokinetics of tamoxifen and 4-hydroxytamoxifen. However, the peak plasma
concentration (C(max)) of 4-hydroxytamoxifen in BCA pretreated rats was
significantly (P<0.05) lower than those from control group.
PMID- 21890436
TI - Comparative studies of HPLC-fluorometry and LC/MS method for the determination of
N-acetylneuraminic acid as a marker of deteriorated ophthalmic solutions.
AB - Methods for determining the deterioration of ophthalmic solutions using both high
performance liquid chromatography (HPLC) with fluorescence detection and liquid
chromatography coupled with selected ion monitoring mass spectrometry (LC/MS) are
described. The methods are based on the determination of N-acetylneuraminic acid
(NeuAc) released by the hydrolysis of foreign bodies that contaminate eye drops
during use. The released NeuAc was either labeled with 1,2-diamino-4,5
methylenedioxybenzene (DMB) for fluorometric detection or detected without
derivatization by mass spectrometry. The calibration curves for NeuAc showed good
linearity between 1.2 ng/mL and 39 ng/mL for fluorometric HPLC and 5.0 ng/mL and
100 ng/mL for LC/MS, respectively. Detection limits for fluorometric HPLC and
LC/MS were 0.20 ng/mL and 0.88 ng/mL, respectively. The NeuAc content of some
model glycoproteins determined by LC/MS method were 62-78% of those determined by
fluorometry. The differences are attributed to matrix effects but the LC/MS
method afforded sufficiently high sensitivity that NeuAc in the foreign bodies
could be determined in eight of nine test samples.
PMID- 21890437
TI - Dairy products and physical stature: a systematic review and meta-analysis of
controlled trials.
AB - The positive relationship between per capita availability of dairy products and
average height found in historical studies (for instance in nineteenth century
Bavaria, Prussia and France; Baten, 2009) does not necessarily indicate a causal
relationship. Historical studies usually apply non-experimental methods that may
produce substantial bias. Modern experimental controlled studies may provide high
quality evidence supporting a causal relationship between consumption of dairy
products and physical growth. This paper provides a systematic review and meta
analysis of controlled trials investigating the effect of supplementing usual
diet with dairy products on physical growth. Twelve studies provided sufficient,
independent data for meta-analysis. Seven studies were conducted since the 1990s.
The other studies were conducted between 1926 and 1980. Studies were conducted in
Europe, USA, China, Northern Vietnam, Kenya, Indonesia and India. Many studies
had some internal validity problems such as lack of randomisation or
dissimilarity of groups at baseline regarding height and age, which affects the
quality of evidence. Meta-analysis and sensitivity analysis showed that the most
likely effect of dairy products supplementation is 0.4 cm per annum additional
growth per ca 245 ml of milk daily. Meta-regression analysis indicated that milk
might have more effect on growth than other dairy products while lower height-for
age and being a teenager increased the effect of supplementation. In conclusion,
there is moderate quality evidence that dairy products supplementation stimulate
linear growth supporting hypotheses that changing levels of consumption of dairy
products in the 19th and 20th centuries contributed to trends in height.
PMID- 21890438
TI - Serum lipids in primary sclerosing cholangitis.
AB - BACKGROUND: Limited data are available regarding the serum lipids in primary
sclerosing cholangitis. AIMS: To determine the lipid levels in patients with
primary sclerosing cholangitis. METHODS: We monitored the serum lipid levels
annually for up to 6 years in 157 patients included in three previous trials of
ursodeoxycholic acid. RESULTS: The baseline lipid values were: total
cholesterol=207 mg/dL (127-433); high-density lipoprotein=56 mg/dL (26-132); low
density lipoprotein=129 mg/dL (48-334); triglycerides=102 mg/dL (41-698).
Cirrhotic stage was associated with lower levels of total cholesterol (186 mg/dL
vs. 217 mg/dL, p=.02). A significant correlation between the liver biochemistries
and total and low-density lipoprotein cholesterol levels was observed.
Ursodeoxycholic acid, as compared to placebo, significantly decreased total (-27
mg/dL vs. 22 mg/dL, p=.0004) and low-density lipoprotein cholesterol (-24 mg/dL
vs. 17 mg/dL, p=.0001). After extended follow-up, small changes in the lipid
levels were noticed. The incidence of coronary artery disease was 4%.
CONCLUSIONS: Our findings suggest that the lipid levels in primary sclerosing
cholangitis are often above levels where treatment with lipid-lowering agents is
recommended. However, primary sclerosing cholangitis patients seem to have no
elevated risk for cardiovascular events. The correlation of total and low-density
lipoprotein cholesterol with liver biochemistries implies that mechanisms linked
to cholestasis may regulate cholesterol metabolism.
PMID- 21890439
TI - Adding banding ligation is effective as rescue therapy to prevent variceal
rebleeding in haemodynamic non-responders to pharmacological therapy.
AB - BACKGROUND: It is unknown which is the best therapy to treat haemodynamic non
responders to pharmacological therapy after variceal bleeding. AIM: To evaluate
the efficacy of adding banding ligation to drugs to prevent variceal rebleeding
in haemodynamic non-responders to drugs. METHODS: Fifty-three cirrhotic patients
with variceal bleeding underwent a hepatic venous pressure gradient (HVPG)
measurement 5 days after the episode. Nadolol and nitrates were then titrated to
maximum tolerated doses. A second HVPG was taken 14 days later. Responders (HVPG
<=12 mm Hg or >=20% decrease from baseline) were maintained on drugs and non
responders had banding ligation added to drugs. RESULTS: Mean follow-up was 28
months. In 5 patients the second HVPG could not be performed because of early
rebleeding. The remaining 48 patients were classified as responders (n=24) and
non-responders (n=24), who had banding added. No baseline differences were
observed between groups. Variceal rebleeding occurred in 12% of the 48 patients
whose haemodynamic response was assessed. Responders on drug therapy presented a
16% rebleeding rate, whilst non-responders rescued with banding showed an 8%
rebleeding rate. Rebleeding-related mortality was not different between groups.
CONCLUSION: In a HVPG-guided strategy, adding banding ligation to drugs is an
effective rescue strategy to prevent rebleeding in haemodynamic non-responders to
drug therapy.
PMID- 21890440
TI - Gene expression profiling of a fish parasite Ichthyophthirius multifiliis:
Insights into development and senescence-associated avirulence.
AB - The ciliate parasite Ichthyophthirius multifiliis (Ich) infects many freshwater
fish, causing white spot disease that leads to heavy economic losses to
aquaculture and ornamental industries. Despite its economic importance, molecular
studies examining fundamental processes such as life stage regulation and
infectivity have been scarce. In this study, we developed an oligo microarray
platform using all available I. multifiliis expressed sequence tag (EST)
information as well as probes designed through comparative genomics to other
protozoa. Gene expression profiling for developmental and virulence factors was
conducted using this platform. For the developmental study, the microarray was
used to examine gene expression profiles between the three major life stages of
Ich: infective theront, parasitic trophont, and reproductive tomont. A total of
135 putative I. multifiliis genes were found to be differentially expressed among
all three life-stages. Examples of differentially expressed transcripts among
life stages include immobilization antigens and epiplasmin, as well as various
other transcripts involved in developmental regulation and host-parasite
interactions. I. multifiliis has been shown to lose infectivity at later cell
divisions potentially due to cellular senescence. Therefore, the microarray was
also used to explore expression of senescence-associated genes as related to the
passage number of the parasite. In this regard, comparison between tomont early
and late passages yielded 493 differently expressed genes; 1478 differentially
expressed genes were identified between trophont early and late passages. The EST
derived oligo microarray represents a first generation array of this ciliate and
provided reproducible expression data as validated by quantitative RT-PCR.
PMID- 21890441
TI - An internal distraction device for Le Fort distraction osteogenesis: the NAVID
system.
AB - Le Fort distraction osteogenesis is sometimes applied to improve the facial
appearance in craniofacial dysostosis or cleft lip and palate. Distraction
devices are generally classified into external and internal types. The movement
of external distractors can be controlled easily but their large size and the
need for a facial mask cause much psychological stress to the patient. Internal
distractors are smaller and better tolerated, but they are not easily
controllable and removal is difficult. We designed an internal distraction device
to eliminate the problems of the currently available distractors -Nakajima's
angle-variable internal distraction (NAVID) system - and aimed to assess its
clinical applicability. Between 2000 and 2010, we treated 16 patients with the
NAVID system: Le Fort I, III, III + I and IV distractions were performed in
three, five, four and four patients, respectively. Distraction was started after
a 1-week latency period. Then, the exposed rod was cut, and the distractors were
left in place for 3 months or more as retention devices, and thereafter removed.
All patients showed satisfactory occlusion and facial aesthetics. Open bite
during the consolidation period was the main complication. In conclusion, the
NAVID system is safe, effective and reliable for all types of Le Fort distraction
osteogenesis.
PMID- 21890442
TI - Analysis of anatomic positioning in computer-assisted and conventional anterior
cruciate ligament reconstruction.
AB - INTRODUCTION: Anterior cruciate ligament (ACL) reconstruction should be anatomic
while achieving favorable anisometric behavior to avoid impingement with the
femoral notch. Computerization enables these biomechanical conditions to be
optimally fulfilled; but what of anatomic positioning? The present study compared
the positioning of tibial and femoral tunnels, drilled using either a
conventional ACL guide or a navigation system, using the anatomic foot-print
areas of the native ACL. MATERIAL AND METHODS: This cadaver study used
computerized recording to compare tibial and femoral ACL attachment areas to the
positioning of tunnels created either conventionally or under computer-guided
navigation. RESULTS: Computer guidance enabled the tibial and femoral tunnels to
be systematically positioned within the anatomic area and, as regards the tibial
area, within the anterior third near to the medial tibial spine, without femoral
notch impingement. Anisometry was in all cases favorable, at a mean 3.3 +/- 0.7
mm; using a conventional guide, anisometry was favorable in only 50% of cases, at
a mean 5.4 +/- 1.2 mm. CONCLUSION: Computer-guided navigation ensured implant
positioning within the so-called anatomometric area of the native ACL attachment,
avoiding impingement with the femoral notch. LEVEL OF EVIDENCE: Level 2.
PMID- 21890443
TI - Metabolic syndrome and incidence of liver and breast cancers in Japan.
AB - AIM OF THE STUDY: To clarify the relationship between the presence of metabolic
syndrome and the incidence of cancer in a general Japanese population. METHODS: A
retrospective cohort study was conducted among 8329 male and 15,386 female
subjects between 1992 and 2000. The analysis used five definitions of metabolic
syndrome. The information on the site-specific cancer was obtained from the
population-based cancer registry. A Cox proportional hazard model was adapted for
the statistical analyses. The average follow-up period was 9.1 years. RESULTS:
The National Cholesterol Education Program Adult Treatment Panel III 2001
criteria of metabolic syndrome revealed that the hazard ratio of metabolic
syndrome for liver cancer was 1.89 (95% confidence interval (CI) 1.11-3.22) for
males, and 3.67 (CI 1.78-7.57) for females. The hazard ratio for female breast
cancer was 2.87 (CI 1.67-4.94). When the analysis was limited to postmenopausal
women (55 years of age or older), the ratio increased to 6.73 (CI 2.93-15.43).
The NCEP-ATPIII 2001 criteria were superior to the other four proposed criteria
for predicting the incidence of cancer. In the statistical model, which included
all components of the metabolic syndrome and the metabolic syndrome (present or
absent), high blood glucose was a significant associated factor for all sites and
liver cancers, whereas the metabolic syndrome was found to be a significant
associated factor for breast cancer. CONCLUSION: Metabolic syndrome may play an
important role in the incidence of breast cancer. High fasting plasma glucose
level is considered to be useful as an associated factor for the incidence of all
sites and liver cancer.
PMID- 21890444
TI - Expressions of p53, c-MYC, BCL-2 and apoptotic index in human osteosarcoma and
their correlations with prognosis of patients.
AB - BACKGROUND: Nowadays it remains a controversial issue whether a correlation
exists between the apoptosis rate of tumor tissue and the prognosis of the
patients. The aim of the study is to investigate the relationships of apoptotic
genes and apoptotic index of osteosarcoma tissue to prognosis of the patients,
meanwhile to explore the valid prognostic biomarkers of osteosarcoma that will
enhance efficacy of clinical treatments for osteosarcoma. METHODS: In our
studies, the immunohistochemical ABC and terminal DNA breakpoints in situ 3
hydroxy end labeling (TUNEL) techniques were used to detect the expressions of
p53, c-MYC, BCL-2 and apoptotic index in 56 osteosarcoma specimens. The
relationships between apoptotic genes expression and apoptotic index in
osteosarcoma tissue and their correlations with pathologic classification and
prognosis of osteosarcoma cases were analyzed. RESULTS: We found that the
expressions of p53, c-MYC, and BCL-2 were negatively correlated with apoptotic
index of osteosarcoma tissue, were not correlated with pathological types of
osteosarcoma, and were closely related to prognosis of the patients. Moreover,
apoptotic index of osteosarcoma tissue was positively correlated with the long
term survival of the patients. CONCLUSION: We concluded that the expressions of
p53, c-MYC, BCL-2 protein and apoptotic index could be used as potential biomarks
for predicting the progression and prognosis of osteosarcoma, and for optimizing
clinical treatments.
PMID- 21890445
TI - Socio-economic inequalities in testicular cancer survival within two clinical
studies.
AB - BACKGROUND: Testicular cancer is the most common cancer in men under 35 years of
age, and has the highest survival for adult male malignancies. Despite the fact
that survival is very high, there is evidence that survival differs between socio
economic groups. METHODS: We analysed survival patterns for 1606 testicular
cancer patients diagnosed during 1984-2001 and recruited to one of two clinical
studies. The first was a surveillance study to determine relapse-free survival
after orchidectomy in 865 patients with stage I nonseminomatous germ-cell
testicular cancer diagnosed during 1984-1991 (TE04). The second study was a trial
in which 1174 men with stage I seminomatous germ-cell tumours were randomised to
receive radiotherapy or one injection of carboplatin between 1996 and 2001
(TE19). The number of men available for analysis from these two studies was 578
and 1028, respectively. We followed these patients up for their vital status, and
assigned them an ecological measure of deprivation. Crude and relative survival
were estimated at 5 and 10 years by socio-economic deprivation. RESULTS: No
significant socio-economic gradient was seen: 1.3% (95% CI -0.3% to 3.1%) at 5
years and 2.1% (95% CI -0.5% to 4.7%) at 10 years. CONCLUSION: We conclude that,
given equal treatment at a given stage of disease, survival from testicular
cancer does not depend on socio-economic status. This suggests that the socio
economic gradient in testicular cancer survival in the general population is more
likely to be attributable to health care system factors than to personal or socio
economic factors in the men themselves.
PMID- 21890446
TI - Is salt intake an independent risk factor of stroke mortality? Demographic
analysis by regions in Japan.
AB - We reported a remarkable regional difference within Japan in the incidence of end
stage renal disease. Regional differences were also well-known for salt intake,
blood pressure (BP), and mortality from stroke, which remains one of the leading
causes of death. Noting these regional differences, we examined mutual
relationships among salt intake, BP, and stroke mortality in 12 regions of Japan.
Data of salt intake, BP, and stroke mortality in 12 regions were collected from
National Nutrition Survey (NNS-J), reanalysis of NNS-J, and Vital Statistics of
National Population Dynamic Survey (Ministry of Health, Labor and Welfare),
respectively. Significant regional differences were found in salt intake (P <
.0001), mean arterial BP (P = .0001), and stroke mortality (P < .0001). Although
annual changes in these parameters were also significant, their regional
differences persisted. Salt intake had positive relationships with both mean
arterial BP (r = 0.26, P = .0009) and stroke mortality (r = 0.26, P < .0001)
across 12 regions, whereas mean arterial BP was not correlated with stroke
mortality. Multiple regression analysis further identified salt intake as an
independent factor to increase stroke mortality, but mean arterial BP was not a
determinant. Compared with the four regions with lowest salt intake, odds ratios
of stroke mortality adjusted by mean arterial BP were 1.04 (95% CI, 1.03-1.06)
for the intermediate four regions and 1.25 (95% CI, 1.23-1.27) for the four
regions with highest salt intake. These findings suggest that salt intake may
have an adverse effect on stroke mortality independently of BP.
PMID- 21890447
TI - Intravenous hydralazine for blood pressure management in the hospitalized
patient: its use is often unjustified.
AB - Due to observations of increased off-label use of intravenous hydralazine in area
hospitals, we studied its use in a university teaching hospital. Patients were
prospectively identified between April and October 2010 with a pharmacy order for
intravenous hydralazine. Demographic and clinical information, including
pretreatment blood pressure (BP), change in BP and heart rate within 2 hours
after administration of hydralazine, and adverse events were obtained. Ninety
four patients (mean age, 69 +/- 18 years, 48% women, 89% with known hypertension)
received 201 intravenous hydralazine doses (mean dose of 11.4 +/- 4.3 mg). Only 4
(2%) patients had evidence of an urgent hypertensive condition. Following
hydralazine, BP was reduced by 24/9 +/- 29/15 mmHg and heart rate increased by 4
+/- 13 beats per minute. Changes from baseline in BP were related to baseline BP.
Seventeen patients experienced an adverse event, the most common being
hypotension (n = 11). Intravenous hydralazine is commonly prescribed for non
urgent cases of hypertension in the hospitalized patient. While changes in
systolic BP are related to baseline BP values, they are highly variable, and
associated with hypotension. Thus, this agent may not be useful for treating
hypertension in many hospitalized patients and may cause harm if used
inappropriately.
PMID- 21890449
TI - Noncanonical intracrine action.
AB - Over the past 3 decades it has become clear that a large number of extracellular
signaling proteins/peptides also act in the intracellular space. These factors
are termed intracrines and, although diverse in structure, they share a variety
of functional features. In recent years, attention has increasingly turned to
identifying the intracellular mechanisms of intracrine action and their
implications for human disorders, such as cancer and cardiovascular disease.
Perhaps not surprisingly, some intracrines have been shown to bind to and
activate their cognate receptors located on intracellular membranes, such as the
nuclear envelope. Here we discuss known intracrine actions and argue that
mechanisms distinct from membrane receptor activation (that is, "noncanonical"
actions) are often operative and physiologically relevant. These actions, we
argue, expand our understanding of peptide signaling in important ways. Moreover,
an appreciation of noncanonical intracrine functionality informs our
understanding of the major effector protein of the renin-angiotensin system,
angiotensin II, as well as other hormones operative in cardiovascular biology.
PMID- 21890448
TI - Association of self-reported race/ethnicity and genetic ancestry with arterial
elasticity: the Multi-Ethnic Study of Atherosclerosis (MESA).
AB - African Americans have a disproportionate burden of hypertension compared with
white, whereas data on Hispanics is less well-defined. Mechanisms underlying
these differences are unclear, but could be in part because of ancestral
background and vascular function. We studied 660 African Americans and 635
Hispanics from the Multi-Ethnic Study of Atherosclerosis (MESA) with complete
data on genetic ancestry, pulse pressure (PP), and large and small arterial
elasticity (LAE, SAE). LAE and SAE were obtained using the HDI PulseWave CR-2000
Research CardioVascular Profiling Instrument. Among African Americans, higher
European ancestry was marginally associated with higher LAE (P = .05) and lower
PP (P = .05); results for LAE were attenuated after adjustment for potential
mediators (P = .30). Among Hispanics, higher Native American ancestry was
associated with higher SAE (P = .0006); higher African ancestry was marginally
associated with lower SAE (P = .07). Ancestry was not significantly associated
with LAE or PP in Hispanics. Among African Americans, higher European ancestry
may be associated with less large artery damage, as measured by LAE and PP,
although these associations warrant further study. Among Hispanics, ancestry is
strongly associated with SAE. Future studies should consider genetic ancestry
when studying hypertension in race/ethnic minorities, particularly among
Hispanics.
PMID- 21890450
TI - Conducting research with tribal communities: sovereignty, ethics, and data
sharing issues.
AB - BACKGROUND: When conducting research with American Indian tribes, informed
consent beyond conventional institutional review board (IRB) review is needed
because of the potential for adverse consequences at a community or governmental
level that are unrecognized by academic researchers. OBJECTIVES: In this article,
we review sovereignty, research ethics, and data-sharing considerations when
doing community-based participatory health-related or natural-resource-related
research with American Indian nations and present a model material and data
sharing agreement that meets tribal and university requirements. DISCUSSION: Only
tribal nations themselves can identify potential adverse outcomes, and they can
do this only if they understand the assumptions and methods of the proposed
research. Tribes must be truly equal partners in study design, data collection,
interpretation, and publication. Advances in protection of intellectual property
rights (IPR) are also applicable to IRB reviews, as are principles of sovereignty
and indigenous rights, all of which affect data ownership and control.
CONCLUSIONS: Academic researchers engaged in tribal projects should become
familiar with all three areas: sovereignty, ethics and informed consent, and IPR.
We recommend developing an agreement with tribal partners that reflects both
health-related IRB and natural-resource-related IPR considerations.
PMID- 21890451
TI - A 5-microRNA signature for lung squamous cell carcinoma diagnosis and hsa-miR-31
for prognosis.
AB - PURPOSE: Recent studies have suggested that microRNA biomarkers could be useful
for stratifying lung cancer subtypes, but microRNA signatures varied between
different populations. Squamous cell carcinoma (SCC) is one major subtype of lung
cancer that urgently needs biomarkers to aid patient management. Here, we
undertook the first comprehensive investigation on microRNA in Chinese SCC
patients. EXPERIMENTAL DESIGN: MicroRNA expression was measured in cancerous and
noncancerous tissue pairs strictly collected from Chinese SCC patients (stages I
III), who had not been treated with chemotherapy or radiotherapy prior to
surgery. The molecular targets of proposed microRNA were further examined.
RESULTS: We identified a 5-microRNA classifier (hsa-miR-210, hsa-miR-182, hsa-miR
486-5p, hsa-miR-30a, and hsa-miR-140-3p) that could distinguish SCC from normal
lung tissues. The classifier had an accuracy of 94.1% in a training cohort (34
patients) and 96.2% in a test cohort (26 patients). We also showed that high
expression of hsa-miR-31 was associated with poor survival in these 60 SCC
patients by Kaplan-Meier analysis (P = 0.007), by univariate Cox analysis (P =
0.011), and by multivariate Cox analysis (P = 0.011). This association was
independently validated in a separate cohort of 88 SCC patients (P = 0.008,
0.011, and 0.003 in Kaplan-Meier analysis, univariate Cox analysis, and
multivariate Cox analysis, respectively). We then determined that the tumor
suppressor DICER1 is a target of hsa-miR-31. Expression of hsa-miR-31 in a human
lung cancer cell line repressed DICER1 activity but not PPP2R2A or LATS2.
CONCLUSIONS: Our results identified a new diagnostic microRNA classifier for SCC
among Chinese patients and a new prognostic biomarker, hsa-miR-31.
PMID- 21890452
TI - A phase I trial of liposomal doxorubicin, bevacizumab, and temsirolimus in
patients with advanced gynecologic and breast malignancies.
AB - PURPOSE: Liposomal doxorubicin (D) and bevacizumab (A) are active single agents
in gynecologic and breast malignancies which share a resistance mechanism:
upregulation of hypoxia inducible factor (HIF-1alpha). We, therefore, added
temsirolimus (T), which inhibits HIF-1alpha, to D and A (DAT). Trial objectives
were assessment of safety, preliminary efficacy, and identification of biological
response correlates. PATIENTS AND METHODS: Cycle length was 21 days, with IV D,
A, and T on day 1; T on days 8 and 15 (3+3 dose-escalation design with expansion
cohorts). Mutational assays for PIK3CA, BRAF, KRAS, and immunhistochemistry for
PTEN loss were conducted. RESULTS: This article details 74 patients with
gynecologic and breast malignancies who received at least one dose of drug on
study. Median patient age: 52 (27-79); prior regimens: 4 (1-11). Responses: 1
(1.4%) complete response (CR), 14 (18.9%) partial responses (PR), and 13 (17.6%)
with stable disease (SD) >= 6 months (total = 37.9%). The most common grade 1
toxicities were fatigue (27%) and anemia (20.2%). Notable grade 3/4 toxicities:
thrombocytopenia (9.5%), mucositis (6.7%), and bowel perforation (2.7%). PIK3CA
mutations or PTEN loss were identified in 25 of 59 (42.3%) of tested patients.
Among these, nine (36%) achieved CR/PR and four (16%) had SD >= 6 months
(CR+PR+SD >= 6 months = 52%). CONCLUSIONS: DAT is well tolerated with manageable
side effects. Responses observed warrant further evaluation. Mutational analyses
were notable for a high percentage of responders with phosphoinositide-3-kinase
pathway aberrations.
PMID- 21890453
TI - The reconstruction of transcriptional networks reveals critical genes with
implications for clinical outcome of multiple myeloma.
AB - PURPOSE: The combined use of microarray technologies and bioinformatics analysis
has improved our understanding of biological complexity of multiple myeloma (MM).
In contrast, the application of the same technology in the attempt to predict
clinical outcome has been less successful with the identification of
heterogeneous molecular signatures. Herein, we have reconstructed gene regulatory
networks in a panel of 1,883 samples from MM patients derived from publicly
available gene expression sets, to allow the identification of robust and
reproducible signatures associated with poor prognosis across independent data
sets. EXPERIMENTAL DESIGN: Gene regulatory networks were reconstructed by using
Algorithm for the Reconstruction of Accurate Cellular Networks (ARACNe) and
microarray data from seven MM data sets. Critical analysis of network components
was applied to identify genes playing an essential role in transcriptional
networks, which are conserved between data sets. RESULTS: Network critical
analysis revealed that (i) CCND1 and CCND2 were the most critical genes; (ii)
CCND2, AIF1, and BLNK had the largest number of connections shared among the data
sets; (iii) robust gene signatures with prognostic power were derived from the
most critical transcripts and from shared primary neighbors of the most connected
nodes. Specifically, a critical-gene model, comprising FAM53B, KIF21B, WHSC1, and
TMPO, and a neighbor-gene model, comprising BLNK shared neighbors CSGALNACT1 and
SLC7A7, predicted survival in all data sets with follow-up information.
CONCLUSIONS: The reconstruction of gene regulatory networks in a large panel of
MM tumors defined robust and reproducible signatures with prognostic importance,
and may lead to identify novel molecular mechanisms central to MM biology.
PMID- 21890454
TI - Species D adenoviruses as oncolytics against B-cell cancers.
AB - PURPOSE: Oncolytic viruses are self-amplifying anticancer agents that make use of
the natural ability of viruses to kill cells. Adenovirus serotype 5 (Ad5) has
been extensively tested against solid cancers, but less so against B-cell cancers
because these cells do not generally express the coxsackie and adenoviral
receptor (CAR). To determine whether other adenoviruses might have better
potency, we "mined" the adenovirus virome of 55 serotypes for viruses that could
kill B-cell cancers. EXPERIMENTAL DESIGN: Fifteen adenoviruses selected to
represent Ad species B, C, D, E, and F were tested in vitro against cell lines
and primary patient B-cell cancers for their ability to infect, replicate in, and
kill these cells. Select viruses were also tested against B-cell cancer
xenografts in immunodeficient mice. RESULTS: Species D adenoviruses mediated most
robust killing against a range of B-cell cancer cell lines, against primary
patient marginal zone lymphoma cells, and against primary patient CD138+ myeloma
cells in vitro. When injected into xenografts in vivo, single treatment with
select species D viruses Ad26 and Ad45 delayed lymphoma growth. CONCLUSIONS:
Relatively unstudied species D adenoviruses have a unique ability to infect and
replicate in B-cell cancers as compared with other adenovirus species. These data
suggest these viruses have unique biology in B cells and support translation of
novel species D adenoviruses as oncolytics against B-cell cancers.
PMID- 21890455
TI - Toll-like receptor 9 agonist IMO cooperates with cetuximab in K-ras mutant
colorectal and pancreatic cancers.
AB - PURPOSE: K-Ras somatic mutations are a strong predictive biomarker for resistance
to epidermal growth factor receptor (EGFR) inhibitors in patients with colorectal
and pancreatic cancer. We previously showed that the novel Toll-like receptor 9
(TLR9) agonist immunomodulatory oligonucleotide (IMO) has a strong in vivo
activity in colorectal cancer models by interfering with EGFR-related signaling
and synergizing with the anti-EGFR monoclonal antibody cetuximab. EXPERIMENTAL
DESIGN: In the present study, we investigated, both in vitro and in vivo, the
antitumor effect of IMO alone or in combination with cetuximab in subcutaneous
colon and orthotopic pancreatic cancer models harboring K-Ras mutations and
resistance to EGFR inhibitors. RESULTS: We showed that IMO was able to
significantly restore the sensitivity of K-Ras mutant cancer cells to cetuximab,
producing a marked inhibition of cell survival and a complete suppression of
mitogen-activated protein kinase phosphorylation, when used in combination with
cetuximab. IMO interfered with EGFR-dependent signaling, modulating the
functional interaction between TLR9 and EGFR. In vivo, IMO plus cetuximab
combination caused a potent and long-lasting cooperative antitumor activity in
LS174T colorectal cancer and in orthotopic AsPC1 pancreatic cancer. The
capability of IMO to restore cetuximab sensitivity was further confirmed by using
K-Ras mutant colorectal cancer cell models obtained through homologous
recombination technology. CONCLUSIONS: We showed that IMO markedly inhibits
growth of K-Ras mutant colon and pancreatic cancers in vitro and in nude mice and
cooperates with cetuximab via multiple mechanisms of action. Therefore, we
propose IMO plus cetuximab as a therapeutic strategy for K-Ras wild-type as well
for K-Ras mutant, cetuximab-resistant colorectal and pancreatic cancers.
PMID- 21890457
TI - Bile acid-induced expression of activation-induced cytidine deaminase during the
development of Barrett's oesophageal adenocarcinoma.
AB - Activation-induced cytidine deaminase (AID) induces somatic mutations in various
host genes of non-lymphoid tissues, thereby contributing to carcinogenesis. We
recently demonstrated that Helicobacter pylori infection and/or proinflammatory
cytokine stimulation triggers aberrant AID expression in gastric epithelial
cells, causing mutations in the tumour-suppressor TP53 gene. The findings of the
present study provide evidence of ectopic AID expression in Barrett's oesophagus
and Barrett's oesophageal adenocarcinoma, a cancer that develops under chronic
inflammatory conditions. Immunoreactivity for endogenous AID was observed in 24
of 28 (85.7%) specimens of the columnar cell-lined Barrett's oesophagus and in 20
of 22 (90.9%) of Barrett's adenocarcinoma, whereas weak or no AID protein
expression was detectable in normal squamous epithelial cells of the oesophagus.
We validated these results by analysing tissue specimens from another cohort
comprising 16 cases with Barrett's oesophagus and four cases with Barrett's
adenocarcinoma. In vitro treatment of human non-neoplastic oesophageal squamous
derived cells with sodium salt deoxycholic acid induced ectopic AID expression
via the nuclear factor-kappaB activation pathway. These findings suggest that
aberrant AID expression occurs in a substantial proportion of Barrett's
epithelium, at least in part due to bile acid stimulation. Considering the
genotoxic activity of AID, our current findings suggest that aberrant AID
expression might enhance the susceptibility to genetic alterations in Barrett's
columnar-lined epithelial cells, leading to cancer development.
PMID- 21890456
TI - The prognostic significance of various 13q14 deletions in chronic lymphocytic
leukemia.
AB - PURPOSE: To further our understanding of the biology and prognostic significance
of various chromosomal 13q14 deletions in chronic lymphocytic leukemia (CLL).
EXPERIMENTAL DESIGN: We analyzed data from SNP 6.0 arrays to define the anatomy
of various 13q14 deletions in a cohort of 255 CLL patients and have correlated
two subsets of 13q14 deletions (type I exclusive of RB1 and type II inclusive of
RB1) with patient survival. Furthermore, we measured the expression of the 13q14
resident microRNAs by quantitative PCR (Q-PCR) in 242 CLL patients and
subsequently assessed their prognostic significance. We sequenced all coding
exons of RB1 in patients with monoallelic RB1 deletion and have sequenced the
13q14-resident miR locus in all patients. RESULTS: Large 13q14 (type II)
deletions were detected in approximately 20% of all CLL patients and were
associated with shortened survival. A strong association between 13q14 type II
deletions and elevated genomic complexity, as measured through CLL-FISH or SNP
6.0 array profiling, was identified, suggesting that these lesions may contribute
to CLL disease evolution through genomic destabilization. Sequence and copy
number analysis of the RB1 gene identified a small CLL subset that is RB1 null.
Finally, neither the expression levels of the 13q14-resident microRNAs nor the
degree of 13q14 deletion, as measured through SNP 6.0 array-based copy number
analysis, had significant prognostic importance. CONCLUSIONS: Our data suggest
that the clinical course of CLL is accelerated in patients with large (type II)
13q14 deletions that span the RB1 gene, therefore justifying routine
identification of 13q14 subtypes in CLL management.
PMID- 21890458
TI - Small GTPase RAB45-mediated p38 activation in apoptosis of chronic myeloid
leukemia progenitor cells.
AB - Chronic myelogenous leukemia (CML) is characterized by a reciprocal chromosomal
translocation (9;22) that generates the Bcr-Abl fusion gene. BCR-ABL transforming
activity is mediated by critical downstream signaling pathways that are
aberrantly activated by tyrosine kinases. However, the mechanisms of BCR-ABL anti
apoptotic effects and the signaling pathways by which BCR-ABL influences
apoptosis in BCR-ABL-expressing cells are poorly defined. In this study, we found
that treatment with ABL kinase inhibitors or depletion of BCR-ABL induced the
expression of RAB45 messenger RNA and protein and induced apoptosis via reduction
of mitochondrial membrane potential and p38 activation in CML cell lines and BCR
ABL(+) progenitor cells from CML patients. Overexpressed RAB45 induced the
activation of caspases-3 and -9 and reduced the expression of Survivin, XIAP, c
IAP1 and c-IAP2 in CML cells. Moreover, in colony-forming cells derived from CML
aldehyde dehydrogenase(hi)/CD34(+) cells, treatment with ABL kinase inhibitors
induced RAB45 expression and reduced mitochondrial membrane potential, resulting
in inhibited colony formation of Bcr-Abl(+) progenitor cells. The overexpression
of RAB45 significantly decreased colony numbers and induced apoptosis through the
activation of caspases-3 and -9. Furthermore, the overexpression of RAB45
increased the phosphorylation levels of p38, resulting in the induction of
apoptosis and inhibition of proliferation of CML progenitor cells. Our results
identify a new signaling molecule involved in BCR-ABL modulation of apoptosis and
suggest that RAB45 induction strategies may have therapeutic utility in patients
with CML.
PMID- 21890459
TI - The inhibition of RANKL/RANK signaling by osteoprotegerin suppresses bone
invasion by oral squamous cell carcinoma cells.
AB - Oral squamous cell carcinomas (OSCCs) are malignant tumors that frequently invade
the maxilla and mandibular bone. However, the molecular mechanisms underlying
bone invasion by OSCC are unclear. Recent studies showed that receptor activator
of nuclear factor kappaB (RANK) was expressed not only in osteoclast precursors
but also in tumor cells. Therefore, we examined whether RANK ligand (RANKL)/RANK
signaling regulates bone invasion by OSCC cells in vivo and in vitro. We first
injected human OSCC B88 cells into the masseter region of nude mice. Mice were
treated for 3 weeks with osteoprotegerin (OPG), the decoy receptor for RANKL.
Treatment with OPG decreased bone invasion by B88 cells, reduced the number of
osteoclasts and increased B88 cell apoptosis. However, OPG did not affect
apoptosis and proliferation in B88 cells in vitro, suggesting that the effects of
OPG on apoptosis in B88 cells are restricted in a bone environment. RANK was
expressed in the B88 cells and in OSCC cells from patients. RANKL induced NF
kappaB activation and extracellular signal-regulated kinase phosphorylation in
B88 cells and enhanced B88 cell migration in a modified chemotaxis chamber
equipped with a gelatin-coated filter. OPG inhibited RANKL-induced NF-kappaB
activation, extracellular signal-regulated kinase phosphorylation and cell
migration. Our data clearly indicate that RANKL/RANK inhibition suppresses bone
invasion by inhibiting osteoclastogenesis and cancer cell migration and by
inducing apoptosis of cancer cells via indirect anticancer action in vivo.
PMID- 21890460
TI - MicroRNA-423 promotes cell growth and regulates G(1)/S transition by targeting
p21Cip1/Waf1 in hepatocellular carcinoma.
AB - MicroRNAs (miRNAs) are small non-coding RNA molecules that are often located in
genomic breakpoint regions and can act as oncogenes or tumor suppressor genes in
human cancer. Our previous study showed that microRNA-423 (miR-423), which
localized to the frequently amplified region of chromosome 17q11, was upregulated
in hepatocellular carcinoma (HCC). However, the potential functions and exact
mechanistic roles of miR-423 in hepatic carcinogenesis remain unknown. Here, we
demonstrated that miR-423 significantly promotes cell growth and cell cycle
progression at the G(1)/S transition in HCC cells. In particular, we found that
miR-423-3p contributes to these effects, whereas miR-423-5p does not. Further
studies revealed that p21Cip1/Waf1 is a downstream target of miR-423 in HCC
cells, as miR-423 bound directly to its 3' untranslated region and reduced both
the messenger RNA and protein levels of p21Cip1/Waf1. Moreover, enforced
expression of p21Cip1/Waf1 abrogated miR-423-induced effects on HCC cell
proliferation and cell cycle progression. These findings indicate that miR-423
exerts growth-promoting effects in hepatic carcinogenesis through the suppression
of tumor suppressor p21Cip1/Waf1 expression. The results of this study define miR
423 as a new oncogenic miRNA in HCC.
PMID- 21890469
TI - Takotsubo cardiomyopathy in a patient with pulmonary embolism.
AB - Takotsubo cardiomyopathy is an acute cardiac syndrome characterized by transient
regional wall motion abnormalities of the left ventricular apex or midventricle.
Patients often present with chest pain or dyspnoea, ST-segment elevation, and
minor elevations of cardiac enzyme levels. Takotsubo cardiomyopathy has been
associated with severe emotional or physical stress such as severe burns, spinal
cord injury, subarachnoid haemorrhage, and multiple traumas. We report a case of
takotsubo cardiomyopathy in a 79-year-old woman with pulmonary embolism. Although
pulmonary embolism has been listed as a potential cause of takotsubo
cardiomyopathy, this is the first case reported with this association.
PMID- 21890461
TI - Activation of the mTOR pathway by low levels of xenoestrogens in breast
epithelial cells from high-risk women.
AB - Breast cancer is an estrogen-driven disease. Consequently, hormone replacement
therapy correlates with disease incidence. However, increasing male breast cancer
rates over the past three decades implicate additional sources of estrogenic
exposure including wide spread estrogen-mimicking chemicals or xenoestrogens
(XEs), such as bisphenol-A (BPA). By exposing renewable, human, high-risk donor
breast epithelial cells (HRBECs) to BPA at concentrations that are detectable in
human blood, placenta and milk, we previously identified gene expression profile
changes associated with activation of mammalian target of rapamycin (mTOR)
pathway genesets likely to trigger prosurvival changes in human breast cells. We
now provide functional validation of mTOR activation using pairwise comparisons
of 16 independent HRBEC samples with and without BPA exposure. We demonstrate
induction of key genes and proteins in the PI3K-mTOR pathway--AKT1, RPS6 and
4EBP1 and a concurrent reduction in the tumor suppressor, phosphatase and tensin
homolog gene protein. Altered regulation of mTOR pathway proteins in BPA-treated
HRBECs led to marked resistance to rapamycin, the defining mTOR inhibitor.
Moreover, HRBECs pretreated with BPA, or the XE, methylparaben (MP), surmounted
antiestrogenic effects of tamoxifen showing dose-dependent apoptosis evasion and
induction of cell cycling. Overall, XEs, when tested in benign breast cells from
multiple human subjects, consistently initiated specific functional changes of
the kind that are attributed to malignant onset in breast tissue. Our
observations demonstrate the feasibility of studying renewable human samples as
surrogates and reinforce the concern that BPA and MP, at low concentrations
detected in humans, can have adverse health consequences.
PMID- 21890470
TI - Assessment of the American Society of Echocardiography-European Association of
Echocardiography guidelines for diastolic function in patients with depressed
ejection fraction: an echocardiographic and invasive haemodynamic study.
AB - AIMS: There is controversy surrounding the accuracy of echo-Doppler variables,
including early mitral inflow/mitral annular velocity (E/e'), for estimating left
ventricular filling pressure (LVFP) in patients with depressed ejection fraction
(EF < 50%). METHODS AND RESULTS: The American Society of Echocardiography
European Association of Echocardiography (ASE-EAE) algorithm for diastolic
function in depressed LVEF was retrospectively applied to a database of patients
who underwent echocardiography <=20 min of cardiac catheterization. LV pre-atrial
contraction pressure (pre-A) >=15 mmHg was elevated. Of 62 patients studied, the
mean age was 53.6 +/- 10.6 years and the mean LVEF was 27.2 +/- 11.8%. The
correlations of E/e' (R = 0.43, P = 0.0005) and E (R = 0.39, P = 0.002) with LV
pre-A were modest, compared with pulmonary artery pressure (PAP, R = 0.69, P =
0.0006), E/late mitral (A) velocity (R = 0.52, P < 0.0001), and mitral
deceleration time (DT, R = -0.51, P < 0.0001). Using the ASE-ESE algorithm
starting with E/A, E, and DT, 54 of 62 patients were accurately classified to
predict LV pre-A >15 or <15 mmHg (sensitivity = 84%, specificity = 80%, area
under the curve = 0.86, P < 0.001). The 6 of 6 patients with E/A < 1 and E < 50
and the 14 of 15 (93%) patients with E/A> 2 and DT < 150 were correctly
classified as having normal and elevated LVFP, respectively, while 34 of 41 (83%)
patients with E/A = 1-2 or E/A<1 and E>50 cm/s were correctly classified using
the addition of E/e' and PAP. CONCLUSION: This retrospective study shows that in
this population with depressed LVEF, no single echo-Doppler variable had high
accuracy for predicting LV pre-A >=15 mmHg. However, the ASE-EAE algorithm using
multiple variables predicted LVFP with good accuracy, superior to any single echo
Doppler variable alone.
PMID- 21890471
TI - Impact of aortic stiffness on left ventricular function and B-type natriuretic
peptide release in severe aortic stenosis.
AB - AIMS: In aortic stenosis (AS), both reduced systemic arterial compliance and
increased valvular load have been shown to contribute to impaired left
ventricular (LV) function. However, the relationship between LV function and
aortic stiffness has not yet been investigated. We aimed to assess the
relationship between aortic stiffness and LV global longitudinal strain (GLS), LV
filling pressures (E/E') and B-type natriuretic peptide (BNP) in AS. METHODS AND
RESULTS: A comprehensive echocardiogram was performed in 48 consecutive patients
with severe AS (<0.6 cm(2)/m(2)) and preserved LV ejection fraction (>=50%).
Aortic stiffness index (beta) was calculated based on aortic diameters measured
by echocardiography and blood pressure. Systemic arterial compliance (SAC) and
valvulo-arterial impedance (Z(va)) were also determined. Aortic beta index was
significantly correlated with Z(va) (r = 0.30, P= 0.03) and SAC (r = -0.29, P =
0.04). GLS (r = 0.45, P = 0.001), E/E' (r = 0.48, P = 0.001) and BNP levels (r =
0.45, P = 0.001) were significantly related to aortic beta index. No significant
correlation was found between GLS or E/E' and SAC or Z(va). In multivariate
regression analysis, aortic beta index remained correlated with GLS, E/E', and
BNP levels. CONCLUSIONS: In patients with severe AS and preserved LV ejection
fraction, independently of the valvular load, an increase in aortic rigidity, as
assessed by aortic beta index, is independently correlated with reduced LV
longitudinal function, increased LV filling pressures, and BNP levels.
PMID- 21890472
TI - Proteomic analysis of Brassica stigmatic proteins following the self
incompatibility reaction reveals a role for microtubule dynamics during pollen
responses.
AB - Mate selection and maintenance of genetic diversity is crucial to successful
reproduction and species survival. Plants utilize self-incompatibility system as
a genetic barrier to prevent self pollen from developing on the pistil, leading
to hybrid vigor and diversity. In Brassica (canola, kale, and broccoli), an
allele-specific interaction between the pollen SCR/SP11 (S-locus cysteine rich
protein/S locus protein 11) and the pistil S Receptor Kinase, results in the
activation of SRK which recruits the Arm Repeat Containing 1 (ARC1) E3 ligase to
the proteasome. The targets of Arm Repeat Containing 1 are proposed to be
compatibility factors, which when targeted for degradation by Arm Repeat
Containing 1 results in pollen rejection. Despite the fact that protein
degradation is predicted to be important for successful self-pollen rejection,
the identity of the various proteins whose abundance is altered by the SI pathway
has remained unknown. To identify potential candidate proteins regulated by the
SI response, we have used the two-dimensional difference gel electrophoresis
analysis, coupled with matrix-assisted laser desorption ionization/time of
flight/MS. We identified 56 differential protein spots with 19 unique candidate
proteins whose abundance is down-regulated following self-incompatible
pollinations. The identified differentials are predicted to function in various
pathways including biosynthetic pathways, signaling, cytoskeletal organization,
and exocytosis. From the 19 unique proteins identified, we investigated the role
of tubulin and the microtubule network during both self-incompatible and
compatible pollen responses. Moderate changes in the microtubule network were
observed with self-incompatible pollinations; however, a more distinct localized
break-down of the microtubule network was observed during compatible
pollinations, that is likely mediated by EXO70A1, leading to successful
pollination.
PMID- 21890473
TI - A proteome-wide, quantitative survey of in vivo ubiquitylation sites reveals
widespread regulatory roles.
AB - Post-translational modification of proteins by ubiquitin is a fundamentally
important regulatory mechanism. However, proteome-wide analysis of endogenous
ubiquitylation remains a challenging task, and almost always has relied on cells
expressing affinity tagged ubiquitin. Here we combine single-step
immunoenrichment of ubiquitylated peptides with peptide fractionation and high
resolution mass spectrometry to investigate endogenous ubiquitylation sites. We
precisely map 11,054 endogenous putative ubiquitylation sites (diglycine-modified
lysines) on 4,273 human proteins. The presented data set covers 67% of the known
ubiquitylation sites and contains 10,254 novel sites on proteins with diverse
cellular functions including cell signaling, receptor endocytosis, DNA
replication, DNA damage repair, and cell cycle progression. Our method enables
site-specific quantification of ubiquitylation in response to cellular
perturbations and is applicable to any cell type or tissue. Global quantification
of ubiquitylation in cells treated with the proteasome inhibitor MG-132 discovers
sites that are involved in proteasomal degradation, and suggests a nonproteasomal
function for almost half of all sites. Surprisingly, ubiquitylation of about 15%
of sites decreased more than twofold within four hours of MG-132 treatment,
showing that inhibition of proteasomal function can dramatically reduce
ubiquitylation on many sites with non-proteasomal functions. Comparison of
ubiquitylation sites with acetylation sites reveals an extensive overlap between
the lysine residues targeted by these two modifications. However, the crosstalk
between these two post-translational modifications is significantly less frequent
on sites that show increased ubiquitylation upon proteasome inhibition. Taken
together, we report the largest site-specific ubiquitylation dataset in human
cells, and for the first time demonstrate proteome-wide, site-specific
quantification of endogenous putative ubiquitylation sites.
PMID- 21890474
TI - Testing for "snowballing" hybrid incompatibilities in Solanum: impact of
ancestral polymorphism and divergence estimates.
AB - Two recent high-profile studies offered empirical evidence for a "snowballing"
accumulation of postzygotic incompatibilities in Drosophila and Solanum
(tomatoes). Here we present a reanalysis of the Solanum data that is motivated by
population genetic principles. Specifically, the high levels of intraspecific
nucleotide polymorphism in wild tomato species and presumably large effective
population size throughout the divergence history of this clade imply that
ancestral polymorphism should be taken into account when evaluating sequence
divergence between species. Based on our reanalyses of synonymous-site divergence
between the four focal Solanum species and a wide range of ancestral
polymorphism, we assessed under which conditions the reported accumulation of
seed sterility factors supports the snowball effect. Our results highlight the
pivotal impact of levels of ancestral polymorphism and alternate divergence
values, and they illustrate that robust tests of the snowball effect in Solanum
require genome-wide estimates of divergence.
PMID- 21890475
TI - Recombination gives a new insight in the effective population size and the
history of the old world human populations.
AB - The information left by recombination in our genomes can be used to make
inferences on our recent evolutionary history. Specifically, the number of past
recombination events in a population sample is a function of its effective
population size (Ne). We have applied a method, Identifying Recombination in
Sequences (IRiS), to detect specific past recombination events in 30 Old World
populations to infer their Ne. We have found that sub-Saharan African populations
have an Ne that is approximately four times greater than those of non-African
populations and that outside of Africa, South Asian populations had the largest
Ne. We also observe that the patterns of recombinational diversity of these
populations correlate with distance out of Africa if that distance is measured
along a path crossing South Arabia. No such correlation is found through a Sinai
route, suggesting that anatomically modern humans first left Africa through the
Bab-el-Mandeb strait rather than through present Egypt.
PMID- 21890476
TI - Parallel duplication and partial subfunctionalization of beta-catenin/armadillo
during insect evolution.
AB - beta-Catenin is a multifunctional scaffolding protein with roles in Wnt
signaling, cell adhesion, and centrosome separation. Here, we report on
independent duplications of the insect beta-Catenin ortholog armadillo (arm) in
the red flour beetle Tribolium castaneum and the pea aphid Acyrthosiphon pisum.
Detailed sequence analysis shows that in both species, one paralog lost critical
residues of the alpha-Catenin binding domain, which is essential for cell
adhesion, and accumulated a dramatically higher number of amino acid
substitutions in the central Arm repeat domain. Residues associated with aspects
of Wnt signaling, however, are conserved in both paralogs. Consistent with these
molecular signatures, the effects of specific and combinatorial knockdown
experiments in the Tribolium embryo indicate that the duplication resulted in
redundant involvement in Wnt signaling of both beta-Catenin paralogs but
differential inheritance of the ancestral cell adhesion and centrosome separation
functions. We conclude that the duplicated pea aphid and flour beetle beta
catenin genes experienced partial subfunctionalization, which appears to be
evolutionarily favored. Providing first evidence of genetic separability of the
cell adhesion and centrosome separation functions, the duplicated Tribolium and
Acyrthosiphon arm paralogs offer new inroads for context-specific analyses of
beta-Catenin. Our data also revealed the conservation of a C-terminally truncated
Arm isoform in both singleton and duplicated homologs, suggesting an as yet
unexplored role in Wnt signaling.
PMID- 21890477
TI - An early divergence of KhoeSan ancestors from those of other modern humans is
supported by an ABC-based analysis of autosomal resequencing data.
AB - Sub-Saharan Africa has consistently been shown to be the most genetically diverse
region in the world. Despite the fact that a substantial portion of this
variation is partitioned between groups practicing a variety of subsistence
strategies and speaking diverse languages, there is currently no consensus on the
genetic relationships of sub-Saharan African populations. San (a subgroup of
KhoeSan) and many Pygmy groups maintain hunter-gatherer lifestyles and cluster
together in autosomal-based analysis, whereas non-Pygmy Niger-Kordofanian
speakers (non-Pygmy NKs) predominantly practice agriculture and show substantial
genetic homogeneity despite their wide geographic range throughout sub-Saharan
Africa. However, KhoeSan, who speak a set of relatively unique click-based
languages, have long been thought to be an early branch of anatomically modern
humans based on phylogenetic analysis. To formally test models of divergence
among the ancestors of modern African populations, we resequenced a sample of
San, Eastern, and Western Pygmies and non-Pygmy NKs individuals at 40 nongenic
(~2 kb) regions and then analyzed these data within an Approximate Bayesian
Computation (ABC) framework. We find substantial support for a model of an early
divergence of KhoeSan ancestors from a proto-Pygmy-non-Pygmy NKs group ~110
thousand years ago over a model incorporating a proto-KhoeSan-Pygmy hunter
gatherer divergence from the ancestors of non-Pygmy NKs. The results of our
analyses are consistent with previously identified signals of a strong bottleneck
in Mbuti Pygmies and a relatively recent expansion of non-Pygmy NKs. We also
develop a number of methodologies that utilize "pseudo-observed" data sets to
optimize our ABC-based inference. This approach is likely to prove to be an
invaluable tool for demographic inference using genome-wide resequencing data.
PMID- 21890478
TI - Mitochondrial-nuclear interactions and accelerated compensatory evolution:
evidence from the primate cytochrome C oxidase complex.
AB - Accelerated rates of mitochondrial protein evolution have been proposed to
reflect Darwinian coadaptation for efficient energy production for mammalian
flight and brain activity. However, several features of mammalian mtDNA (absence
of recombination, small effective population size, and high mutation rate)
promote genome degradation through the accumulation of weakly deleterious
mutations. Here, we present evidence for "compensatory" adaptive substitutions in
nuclear DNA- (nDNA) encoded mitochondrial proteins to prevent fitness decline in
primate mitochondrial protein complexes. We show that high mutation rate and
small effective population size, key features of primate mitochondrial genomes,
can accelerate compensatory adaptive evolution in nDNA-encoded genes. We combine
phylogenetic information and the 3D structure of the cytochrome c oxidase (COX)
complex to test for accelerated compensatory changes among interacting sites.
Physical interactions among mtDNA- and nDNA-encoded components are critical in
COX evolution; amino acids in close physical proximity in the 3D structure show a
strong tendency for correlated evolution among lineages. Only nuclear-encoded
components of COX show evidence for positive selection and adaptive nDNA-encoded
changes tend to follow mtDNA-encoded amino acid changes at nearby sites in the 3D
structure. This bias in the temporal order of substitutions supports compensatory
weak selection as a major factor in accelerated primate COX evolution.
PMID- 21890479
TI - Tail paradox, partial identifiability, and influential priors in Bayesian branch
length inference.
AB - Recent studies have observed that Bayesian analyses of sequence data sets using
the program MrBayes sometimes generate extremely large branch lengths, with
posterior credibility intervals for the tree length (sum of branch lengths)
excluding the maximum likelihood estimates. Suggested explanations for this
phenomenon include the existence of multiple local peaks in the posterior, lack
of convergence of the chain in the tail of the posterior, mixing problems, and
misspecified priors on branch lengths. Here, we analyze the behavior of Bayesian
Markov chain Monte Carlo algorithms when the chain is in the tail of the
posterior distribution and note that all these phenomena can occur. In Bayesian
phylogenetics, the likelihood function approaches a constant instead of zero when
the branch lengths increase to infinity. The flat tail of the likelihood can
cause poor mixing and undue influence of the prior. We suggest that the main
cause of the extreme branch length estimates produced in many Bayesian analyses
is the poor choice of a default prior on branch lengths in current Bayesian
phylogenetic programs. The default prior in MrBayes assigns independent and
identical distributions to branch lengths, imposing strong (and unreasonable)
assumptions about the tree length. The problem is exacerbated by the strong
correlation between the branch lengths and parameters in models of variable rates
among sites or among site partitions. To resolve the problem, we suggest two
multivariate priors for the branch lengths (called compound Dirichlet priors)
that are fairly diffuse and demonstrate their utility in the special case of
branch length estimation on a star phylogeny. Our analysis highlights the need
for careful thought in the specification of high-dimensional priors in Bayesian
analyses.
PMID- 21890480
TI - Estimating the basic reproductive number from viral sequence data.
AB - Epidemiological processes leave a fingerprint in the pattern of genetic structure
of virus populations. Here, we provide a new method to infer epidemiological
parameters directly from viral sequence data. The method is based on phylogenetic
analysis using a birth-death model (BDM) rather than the commonly used coalescent
as the model for the epidemiological transmission of the pathogen. Using the BDM
has the advantage that transmission and death rates are estimated independently
and therefore enables for the first time the estimation of the basic reproductive
number of the pathogen using only sequence data, without further assumptions like
the average duration of infection. We apply the method to genetic data of the HIV
1 epidemic in Switzerland.
PMID- 21890481
TI - Cognitive decline in the elderly: an analysis of population heterogeneity.
AB - BACKGROUND: studies of cognitive ageing at the group level suggest that age is
associated with cognitive decline; however, there may be individual differences
such that not all older adults will experience cognitive decline. OBJECTIVE: to
evaluate patterns of cognitive decline in a cohort of older adults initially free
of dementia. DESIGN, SETTING AND SUBJECTS: elderly Catholic clergy members
participating in the Religious Orders Study were followed for up to 15 years.
Cognitive performance was assessed annually. METHODS: performance on a composite
global measure of cognition was analysed using random effects models for baseline
performance and change over time. A profile mixture component was used to
identify subgroups with different cognitive trajectories over the study period.
RESULTS: from a sample of 1,049 participants (mean age 75 years), three subgroups
were identified based on the distribution of baseline performance and change over
time. The majority (65%) of participants belonged to a slow decline class that
did not experience substantial cognitive decline over the observation period [
0.04 baseline total sample standard deviation (SD) units/year]. About 27%
experienced moderate decline (-0.19 SD/year), and 8% belonged to a class
experiencing rapid decline (-0.57 SD/year). A subsample analysis revealed that
when substantial cognitive decline does occur, the magnitude and rate of decline
is correlated with neuropathological processes. CONCLUSIONS: in this sample, the
most common pattern of cognitive decline is extremely slow, perceptible on a time
scale measured by decades, not years. While in need of cross validation, these
findings suggest that cognitive changes associated with ageing may be minimal and
emphasise the importance of understanding the full range of age-related
pathologies that may diminish brain function.
PMID- 21890482
TI - 'There's a hell of a noise': living with a hearing loss in residential care.
AB - BACKGROUND: Hearing loss is common in older age. Research with older people in
residential care settings has identified high prevalence of hearing loss and low
uptake of hearing aids. Hearing loss in these settings is associated with reduced
social engagement. Although hearing aids remain the default treatment for
presbyacusic hearing loss, these are not well used. We do not know what other
modifiable factors contribute to communication problems for older people with
hearing loss living in residential care. OBJECTIVES: To explore the factors
affecting communicating with a hearing loss in residential care. METHODS: An
ethnographic study in two residential care homes comprised 19 sessions of
observation, and in-depth interviews with 18 residents. Observations explored
communication behaviour in everyday interactions, including mealtimes, structured
groups and informal group activities. Interviews were informed by the
observations and identified reasons for these behaviours and communication
preferences. Observational data were recorded in field notes and interviews were
audio-recorded and transcribed. Analysis was conducted using constant comparison
methods. RESULTS: Hearing loss affected whether residents were able to access
social opportunities. Two key themes influenced this (i) contextual issues
compounded communication difficulties and (ii) environmental noise restricted the
residents' communication choices. Problems were observed at every mealtime and
during formal and informal group activities. The use of hearing aids and access
to hearing services did not improve social engagement. CONCLUSIONS: Environmental
and social factors are key to maximising communication opportunities.
Improvements to communication in residential care settings could be based on
changes in these with input from residents and staff. Further work is needed to
develop effective communication strategies in residential care.
PMID- 21890483
TI - The prevalence of mental health problems among older adults admitted as an
emergency to a general hospital.
AB - BACKGROUND: A high prevalence of co-morbid mental health problems is reported
among older adults admitted to general hospitals. SETTING: An 1,800 bed teaching
hospital. DESIGN: Consecutive general medical and trauma orthopaedic admissions
aged 70 or older were screened for mental health problems. Those screening
positive were invited to undergo further assessment, and were interviewed to
complete a battery of health status measurements. RESULTS: Of 1,004 patients
screened, 36% had no mental health problems or had anxiety alone. Of those
screening positive 250 took part in the full study. Adjusting for the two-stage
sampling design, 50% of admitted patients over 70 were cognitively impaired, 27%
had delirium and 8-32% were depressed. Six percent had hallucinations, 8%
delusions, 21% apathy and 9% agitation/aggression (of at least moderate
severity). Of those with mental health problems, 47% were incontinent, 49% needed
help with feeding and 44% needed major help to transfer. INTERPRETATION: We
confirm the high prevalence of mental health problems among older adults admitted
to general hospitals. These patients have high levels of functional dependency,
psychological and behavioural problems which have implications for how they are
cared for. Services that identify these problems and offer therapeutic
intervention should be evaluated.
PMID- 21890484
TI - Why drivers start drinking and driving--a prospective study over a 6-year period
in the GAZEL cohort.
AB - AIMS: To estimate the frequency with which a group of formerly safe drivers adopt
driving while alcohol-intoxicated (DWI), and to determine the factors associated
with DWI adoption. METHODS: Participants were current employees or recent
retirees of the French national electricity and gas company. An annual cohort
questionnaire that includes two questions about overall alcohol consumption is
sent each year to participants. A Driving Behaviour and Road Safety (DBRS)
questionnaire was administered in 2001, 2004 and 2007. Only drivers who
participated in the 2001 survey received the 2004 and 2007 questionnaires.
RESULTS: More than 462 participants ceased DWI between 2001 and 2007, while 511
adopted this behaviour for the first time. Multivariate analysis showed that the
risk of adopting DWI was associated with male gender and with several changes
over the preceding years: increased alcohol consumption, increased number of
close friends, decreased number of close relatives and decreased attitudes in
favour of strict enforcement/regulations. CONCLUSION: A large number of offenders
stopped DWI between 2001 and 2007, concomitantly with an increased crackdown on
road violations in France. But this success was compromised by the occurrence of
new drunk drivers. Preventive strategies should target factors that facilitate
DWI adoption-in particular, increased alcohol consumption and low acceptance of
law enforcement initiatives.
PMID- 21890485
TI - Clinical experience with baclofen in the management of alcohol-dependent patients
with psychiatric comorbidity: a selected case series.
AB - AIMS: To illustrate the potential indications for, and adverse effects of,
baclofen pharmacotherapy for alcohol dependence in patients with co-existing
psychiatric illness. METHODS: Audit of the files of alcohol-dependent patients
treated for comorbid non-psychotic psychiatric illness in a specialist
detoxification unit with integrated outpatient treatment. Files were selected of
patients who had been offered treatment with baclofen because other alcohol
pharmacotherapies had previously been unsuccessful in preventing relapse or were
contraindicated. RESULTS: Of the 21 selected patients, 13 attended for outpatient
treatment, with follow-up periods ranging from 4 days to 27 months, and the
outcomes could be rated. Prescribed baclofen doses ranged from 30 to 275 mg
daily. Common side effects at lower doses included tiredness and sedation; one
patient on 120 mg/day developed reversible severe back pain, and a patient taking
up to 275 mg/day developed somnolence, dizziness and incontinence. Seven patients
maintained significant periods of abstinence, and one patient reduced daily
consumption to non-problematic levels. Two patients consumed an overdose of other
central nervous system (CNS) depressants, while taking baclofen in the first week
of treatment, were briefly unwell, were given emergency monitoring, but made a
full recovery. CONCLUSION: While more than half the patients reported significant
reduction in alcohol use, it is not possible to draw definite conclusions about
the effectiveness of baclofen, given that it was combined with other psychiatric
and alcohol treatments, and because there was no control or comparison group. We
recommend caution when offering baclofen to patients with a history of recurrent
overdosing or a history of other substance misuse. When prescribing in
conjunction with other medications with CNS depressant action, close monitoring
is recommended at initiation and during dose escalation.
PMID- 21890486
TI - Letter from Russia: child abuse and alcohol misuse in a victim.
PMID- 21890487
TI - Evidence for progenitor-derivative speciation in sexually deceptive orchids.
AB - BACKGROUND AND AIMS: Sexually deceptive orchids of the genus Ophrys use mimicry
of pollinator females to attract specific pollinators. Pollinator shifts may
drive speciation in Ophrys, since novel pollinators may in principle act as
isolating factors immediately. It is thus possible that evolution of novel
species occurs rapidly and with a progenitor-derivative pattern. The aims of this
study are to compare genetic structure and diversity among widespread and
geographically restricted Ophrys taxa, to test whether genetic structure is
associated with specific pollinators, and to investigate whether any widespread
species may have acted as a progenitor for the evolution of more restricted taxa.
METHODS: Genetic differentiation and diversity were investigated in O. leucadica
and O. cinereophila, the two taxa of the Ophrys fusca sensu lato complex
widespread in the Aegean, and three geographically restricted taxa from Rhodes,
O. attaviria, O. parvula and O. persephonae, all differing in their specific
pollinators. This was done using amplified fragment length polymorphism (AFLP)
DNA fingerprinting, and sequencing of the low-copy nuclear gene LEAFY (LFY). KEY
RESULTS: All taxa were found to be separate genetic entities, with O. leucadica
forming two geographic groups from the west and east of the Aegean. Genetic
structure was significantly shaped by pollinators and geography, and comparison
of sequence and AFLP data revealed ancestral polymorphisms shared among several
taxa. Among the sampled taxa, O. leucadica harbours the greatest genetic
differentiation and geographic structure, and the highest genetic diversity. Part
of the genome of O. parvula, endemic to Rhodes, may be derived from O. leucadica.
CONCLUSIONS: Pollinators probably influence the genetic structure of the
investigated Ophrys species. The genetic pattern identified is consistent with O.
leucadica being the oldest of the sampled taxa, making O. leucadica a candidate
progenitor species from which more restricted taxa such as O. parvula may have
evolved.
PMID- 21890488
TI - Primary angioplasty in acute myocardial infarction with right bundle branch
block: should new onset right bundle branch block be added to future guidelines
as an indication for reperfusion therapy?
AB - AIMS: The current guidelines recommend reperfusion therapy in acute myocardial
infarction (AMI) with ST-segment elevation or left bundle branch block (LBBB).
Surprisingly, the right bundle branch block (RBBB) is not listed as an indication
for reperfusion therapy. This study analysed patients with AMI presenting with
RBBB [with or without left anterior hemiblock (LAH) or left posterior hemiblock
(LPH)] and compared them with those presenting with LBBB or with other
electrocardiographic (ECG) patterns. The aim was to describe angiographic
patterns and primary angioplasty use in AMI patients with RBBB. METHODS AND
RESULTS: A cohort of 6742 patients with AMI admitted to eight participating
hospitals was analysed. Baseline clinical characteristics, ECG patterns, coronary
angiographic, and echocardiographic data were correlated with the reperfusion
therapies used and with in-hospital outcomes. Right bundle branch block was
present in 6.3% of AMI patients: 2.8% had RBBB alone, 3.2% had RBBB + LAH, and
0.3% had RBBB + LPH. TIMI flow 0 in the infarct-related artery was present in
51.7% of RBBB patients vs. 39.4% of LBBB patients (P = 0.023). Primary
percutaneous coronary intervention (PCI) was performed in 80.1% of RBBB patients
vs. 68.3% of LBBB patients (P< 0.001). In-hospital mortality of RBBB patients was
similar to LBBB (14.3 vs. 13.1%, P = 0.661). Patients with new or presumably new
blocks had the highest (LBBB 15.8% and RBBB 15.4%) incidence of cardiogenic shock
from all ECG subgroups. Percutaneous coronary intervention was done more
frequently (84.8%) in patients with new or presumably new RBBB when compared with
other patients with blocks (old RBBB 66.0%, old LBBB 62.3%, new or presumably new
LBBB 73.0%). In-hospital mortality was highest (18.8%) among patients presenting
with new or presumably new RBBB, followed by new or presumably new LBBB (13.2%),
old LBBB (10.1%), and old RBBB (6.4%). Among 35 patients with acute left main
coronary artery occlusion, 26% presented with RBBB (mostly with LAH) on the
admission ECG. CONCLUSION: Acute myocardial infarction with RBBB is frequently
caused by the complete occlusion of the infarct-related artery and is more
frequently treated with primary PCI when compared with AMI + LBBB. In-hospital
mortality of patients with AMI and RBBB is highest from all ECG presentations of
AMI. Restoration of coronary flow by primary PCI may lead to resolution of the
conduction delay on the discharge ECG. Right bundle branch block should strongly
be considered for listing in future guidelines as a standard indication for
reperfusion therapy, in the same way as LBBB.
PMID- 21890490
TI - KDM6B/JMJD3 histone demethylase is induced by vitamin D and modulates its effects
in colon cancer cells.
AB - KDM6B/JMJD3 is a histone H3 lysine demethylase with an important gene regulatory
role in development and physiology. Here, we show that human JMJD3 expression is
induced by the active vitamin D metabolite 1alpha,25-dihydroxyvitamin D(3)
(1,25(OH)(2)D(3)) and that JMJD3 modulates the gene regulatory action of this
hormone. 1,25(OH)(2)D(3) activates the JMJD3 gene promoter and increases the
level of JMJD3 RNA in human cancer cells. JMJD3 upregulation was strictly
dependent on vitamin D receptor (VDR) expression and was abolished by
cycloheximide. In SW480-ADH colon cancer cells, JMJD3 knockdown or expression of
an inactive mutant JMJD3 fragment decreased the induction by 1,25(OH)(2)D(3) of
several target genes and of an epithelial adhesive phenotype. Moreover, JMJD3
knockdown upregulated the epithelial-to-mesenchymal transition inducers SNAIL1
and ZEB1 and the mesenchymal markers fibronectin and LEF1, while it downregulated
the epithelial proteins E-cadherin, Claudin-1 and Claudin-7. Additionally, JMJD3
knockdown abolished the nuclear export of beta-catenin and the inhibition of beta
catenin transcriptional activity caused by 1,25(OH)(2)D(3). Importantly, the
expression of JMJD3 correlated directly with that of VDR and inversely with that
of SNAI1 in a series of 96 human colon tumours. Our results indicate for the
first time that an epigenetic gene coding for a histone demethylase such as JMJD3
is a VDR co-target that partially mediates the effects of 1,25(OH)(2)D(3) on
human colon.
PMID- 21890491
TI - Keratin disorders: from gene to therapy.
AB - The term 'keratin' is generally accepted to refer to the epithelial keratins of
soft and hard epithelial tissues such as: skin, cornea, hair and nail. Since
their initial characterization, the total number of mammalian keratins has
increased to 54, including 28 type I and 26 type II keratins. Inherited defects
that weaken the keratin load-bearing cytoskeleton produce phenotypes
characterized by fragility of specific subsets of epithelial tissues. The vast
majority of mutations are either missense or small in-frame in-del mutations and
disease severity often relates to the position of the mutation in relation to the
rod domain. The most complex epithelial structure in humans, the hair follicle,
contains trichocyte ('hard') keratin filaments and approximately half of the 54
functional human keratin genes are trichocyte keratins. So far, only four of
these have been linked to human genetic disorders: monilethrix, hair-nail
ectodermal dysplasia, pseudofolliculitis barbae and woolly hair, while the
majority of the hair keratins remain unlinked to human phenotypes. Keratin
disorders are a classical group of dominant-negative genetic disorders,
representing a large healthcare burden, especially within dermatology. Recent
advances in RNA interference therapeutics, particularly in the form of small
interfering RNAs, represent a potential therapy route for keratin disorders
through selectively silencing the mutant allele. To date, mutant-specific siRNAs
for epidermolysis bullosa simplex, pachyonychia congenita and Messmann epithelial
corneal dystrophy-causing missense mutations have been developed and proven to
have unprecedented specificity and potency. This could herald the dawn of a new
era in translational medical research applied to genetics.
PMID- 21890489
TI - Nitric oxide synthases: regulation and function.
AB - Nitric oxide (NO), the smallest signalling molecule known, is produced by three
isoforms of NO synthase (NOS; EC 1.14.13.39). They all utilize l-arginine and
molecular oxygen as substrates and require the cofactors reduced nicotinamide
adenine-dinucleotide phosphate (NADPH), flavin adenine dinucleotide (FAD), flavin
mononucleotide (FMN), and (6R-)5,6,7,8-tetrahydrobiopterin (BH(4)). All NOS bind
calmodulin and contain haem. Neuronal NOS (nNOS, NOS I) is constitutively
expressed in central and peripheral neurons and some other cell types. Its
functions include synaptic plasticity in the central nervous system (CNS),
central regulation of blood pressure, smooth muscle relaxation, and
vasodilatation via peripheral nitrergic nerves. Nitrergic nerves are of
particular importance in the relaxation of corpus cavernosum and penile erection.
Phosphodiesterase 5 inhibitors (sildenafil, vardenafil, and tadalafil) require at
least a residual nNOS activity for their action. Inducible NOS (NOS II) can be
expressed in many cell types in response to lipopolysaccharide, cytokines, or
other agents. Inducible NOS generates large amounts of NO that have cytostatic
effects on parasitic target cells. Inducible NOS contributes to the
pathophysiology of inflammatory diseases and septic shock. Endothelial NOS (eNOS,
NOS III) is mostly expressed in endothelial cells. It keeps blood vessels
dilated, controls blood pressure, and has numerous other vasoprotective and anti
atherosclerotic effects. Many cardiovascular risk factors lead to oxidative
stress, eNOS uncoupling, and endothelial dysfunction in the vasculature.
Pharmacologically, vascular oxidative stress can be reduced and eNOS
functionality restored with renin- and angiotensin-converting enzyme-inhibitors,
with angiotensin receptor blockers, and with statins.
PMID- 21890492
TI - Mitochondrial respiratory complex I dysfunction promotes tumorigenesis through
ROS alteration and AKT activation.
AB - Previously, we have shown that a heteroplasmic mutation in mitochondrial DNA
encoded complex I ND5 subunit gene resulted in an enhanced tumorigenesis through
increased resistance to apoptosis. Here we report that the tumorigenic phenotype
associated with complex I dysfunction could be reversed by introducing a yeast
NADH quinone oxidoreductase (NDI1) gene. The NDI1 mediated electron transfer from
NADH to Co-Q, bypassed the defective complex I and restored oxidative
phosphorylation in the host cells. Alternatively, suppression of complex I
activity by a specific inhibitor, rotenone or induction of oxidative stress by
paraquat led to an increase in the phosphorylation of v-AKT murine thymoma viral
oncogene (AKT) and enhanced the tumorigenesis. On the other hand, antioxidant
treatment can ameliorate the reactive oxygen species-mediated AKT activation and
reverse the tumorigenicity of complex I-deficient cells. Our results suggest that
complex I defects could promote tumorigenesis through induction of oxidative
stress and activation of AKT pathway.
PMID- 21890494
TI - Combined deficiency of alpha and epsilon sarcoglycan disrupts the cardiac
dystrophin complex.
AB - Cardiomyopathy is a puzzling complication in addition to skeletal muscle
pathology for patients with mutations in beta-, gamma- or delta-sarcoglycan (SG)
genes. Patients with mutations in alpha-SG rarely have associated cardiomyopathy,
or their cardiac pathology is very mild. We hypothesize that a fifth SG, epsilon
SG, may compensate for alpha-SG deficiency in the heart. To investigate the
function of epsilon-SG in striated muscle, we generated an Sgce-null mouse and a
Sgca-;Sgce-null mouse, which lacks both alpha- and epsilon-SGs. While Sgce-null
mice showed a wild-type phenotype, with no signs of muscular dystrophy or heart
disease, the Sgca-;Sgce-null mouse developed a progressive muscular dystrophy and
a more anticipated and severe cardiomyopathy. It shows a complete loss of
residual SGs and a strong reduction in both dystrophin and dystroglycan. Our data
indicate that epsilon-SG is important in preventing cardiomyopathy in alpha-SG
deficiency.
PMID- 21890495
TI - Multi-ethnic studies in complex traits.
AB - The successes of genome-wide association (GWA) studies have mainly come from
studies performed in populations of European descent. Since complex traits are
characterized by marked genetic heterogeneity, the findings so far may provide an
incomplete picture of the genetic architecture of complex traits. However, the
recent GWA studies performed on East Asian populations now allow us to globally
assess the heterogeneity of association signals between populations of European
ancestry and East Asians, and the possible obstacles for multi-ethnic GWA
studies. We focused on four different traits that represent a broad range of
complex phenotypes, which have been studied in both Europeans and East Asians:
type 2 diabetes, systemic lupus erythematosus, ulcerative colitis and height. For
each trait, we observed that most of the risk loci identified in East Asians were
shared with Europeans. However, we also observed that a significant part of the
association signals at these shared loci seems to be independent between
populations. This suggests that disease aetiology is common between populations,
but that risk variants are often population specific. These variants could be
truly population specific and result from natural selection, genetic drift and
recent mutations, or they could be spurious, caused by the limitations of the
method of analysis employed in the GWA studies. We therefore propose a three
stage framework for multi-ethnic GWA analyses, starting with the commonly used
single-nucleotide polymorphism-based analysis, and followed by a gene-based
approach and a pathway-based analysis, which will take into account the
heterogeneity of association between populations at different levels.
PMID- 21890496
TI - Therapeutic value of prenatal rapamycin treatment in a mouse brain model of
tuberous sclerosis complex.
AB - Epileptic seizures, particularly infantile spasms, are often seen in infants with
tuberous sclerosis complex (TSC) soon after birth. It is feared that there are
long-term developmental and cognitive consequences from ongoing, frequent
epilepsy. In addition, the hallmark brain pathology of TSC, cortical tubers and
giant cells are fully developed at late gestational ages. These observations have
led us to examine the benefit of prenatal rapamycin in a new fetal brain model of
TSC. In this Tsc1(cc) Nes-cre(+) mouse model, recombination and loss of Tsc1 in
neural progenitor cells leads to brain enlargement, hyperactivation of mTOR, and
neonatal death on P0 due to reduced pup-maternal interaction. A single dose of
prenatal rapamycin given to pregnant dams (1 mg/kg, subcutaneous) rescued the
lethality of mutant mice. This one dose of prenatal rapamycin treatment reduced
hyperactivation of the mTOR pathway in the mutant brain without causing apparent
pregnancy loss. Continued postnatal rapamycin beginning at day 8 extended the
survival of these mice to a median of 12 days with complete suppression of
hyperactive mTOR. However, the rapamycin-treated mutants developed enlarged
brains with an increased number of brain cells, displaying marked runting and
developmental delay. These observations demonstrate the therapeutic benefit and
limitations of prenatal rapamycin in a prenatal-onset brain model of TSC. Our
data also suggest the possibility and limitations of this approach for TSC
infants and mothers.
PMID- 21890497
TI - The 2-thiouridylase function of the human MTU1 (TRMU) enzyme is dispensable for
mitochondrial translation.
AB - MTU1 (TRMU) is a mitochondrial enzyme responsible for the 2-thiolation of the
wobble U in tRNA(Lys), tRNA(Glu) and tRNA(Gln), a post-transcriptional
modification believed to be important for accurate and efficient synthesis of the
13 respiratory chain subunits encoded by mtDNA. Mutations in MTU1 are associated
with acute infantile liver failure, and this has been ascribed to a transient
lack of cysteine, the sulfur donor for the thiouridylation reaction, resulting in
a mitochondrial translation defect during early development. A mutation in
tRNA(Lys) that causes myoclonic epilepsy with ragged-red fibers (MERRF) is also
reported to prevent modification of the wobble U. Here we show that mitochondrial
translation is unaffected in fibroblasts from an MTU1 patient, in which MTU1 is
undetectable by immunoblotting, despite the severe reduction in the 2-thiolation
of mitochondrial tRNA(Lys), tRNA(Glu) and tRNA(Gln). The only respiratory chain
abnormality that we could observe in these cells was an accumulation of a Complex
II assembly intermediate, which, however, did not affect the level of the fully
assembled enzyme. The identical phenotype was observed by siRNA-mediated
knockdown of MTU1 in HEK 293 cells. Further, the mitochondrial translation
deficiencies present in myoblasts from mitochondrial encephalomyopathy, lactic
acidosis and stroke-like episode and MERRF patients, which are associated with
defects in post-transcriptional modification of mitochondrial tRNAs, did not
worsen following knockdown of MTU1 in these cells. This study demonstrates that
MTU1 is not required for mitochondrial translation at normal steady-state levels
of tRNAs, and that it may possess an as yet uncharacterized function in another
sulfur-trafficking pathway.
PMID- 21890499
TI - Effects of limited and excess protein intakes of pregnant gilts on carcass
quality and cellular properties of skeletal muscle and subcutaneous adipose
tissue in fattening pigs.
AB - The aim of this study was to investigate whether dietary protein intake of gilts
during gestation below (50%) or above (250%) recommendations affects body
composition, carcass and meat quality, and properties of skeletal muscle and
subcutaneous adipose tissue (SCAT) in offspring at d 83 and 188 of age. German
Landrace gilts were fed isoenergetic gestation diets (~13.7 MJ of ME/kg)
containing a low (LP, 6.5%; n = 18), an adequate (AP, 12.1%; n = 20), or a high
(HP, 30%; n = 16) protein content from mating until farrowing. Within 48 h of
birth, offspring were cross-fostered to sows fed a standard diet. On d 83 of age,
no effects of the LP diet on BW and body composition were detected, whereas HP
pigs showed a slight growth delay (P = 0.06) associated with increased relative
weights of small intestine (P < 0.01) and brain (P = 0.08), and reduced relative
thymus weight (P < 0.01). On d 188 of age, BW was not different among the dietary
groups. However, the carcass of LP pigs contained less (P = 0.01) lean and more
(P = 0.07) fat compared with AP and HP pigs, which was only pronounced in pigs
originating from large litters (P < 0.05). Like skeletal muscles (P = 0.06), the
heart muscle weighed less (P = 0.02) in LP than AP pigs. Compared with AP pigs,
LP pigs exhibited a fewer (P = 0.09) total number of myofibers in semitendinosus
muscle plus LM both at d 83 and 188 of age, whereas total muscular DNA was less
(P = 0.02) at d 188 only. The mRNA abundance of IGF2 measured on d 188 was
reduced in SCAT (P = 0.03) and LM (P = 0.07) of LP compared with AP pigs. No
changes in muscular fiber type frequency, capillary density, or creatine kinase
activity, as well as SCAT adipocyte size and number, were observed at either
stages of age. Meat quality characteristics remained unchanged at d 83, whereas
Warner-Bratzler shear force value in LM was decreased (P = 0.03) in LP compared
with AP pigs on d 188 of age. The results suggest that the maternal LP diet
impairs prenatal myofiber formation, reduces the potential of postnatal lean
growth related to reduced IGF2 mRNA expression and myonuclear accumulation, and
consequently changes carcass quality toward reduced lean proportion and improved
tenderness at market weight. In contrast, except for a slight transient growth
delay, excess dietary protein during gestation seems to have little effect on the
fetal programming of postnatal muscle and adipose tissue phenotype of the
progeny.
PMID- 21890498
TI - A valid mouse model of AGRIN-associated congenital myasthenic syndrome.
AB - Congenital myasthenic syndromes (CMS) are inherited diseases affecting the
neuromuscular junction (NMJ). Mutations in AGRIN (AGRN) and other genes in the
AGRIN signaling pathway cause CMS, and gene targeting studies in mice confirm the
importance of this pathway for NMJ formation. However, these mouse mutations are
complete loss-of-function alleles that result in an embryonic failure of NMJ
formation, and homozygous mice do not survive postpartum. Therefore, mouse models
of AGRIN-related CMS that would allow preclinical testing or studies of postnatal
disease progression are lacking. Using chemical mutagenesis in mice, we
identified a point mutation in Agrn that results in a partial loss-of-function
allele, creating a valid model of CMS. The mutation changes phenylalanine 1061 to
serine in the SEA domain of AGRIN, a poorly characterized motif shared by other
extracellular proteoglycans. NMJs in homozygous mice progressively degrade
postnataly. Severity differs with genetic background, in different muscles, and
in different regions within a muscle in a pattern matching mouse models of motor
neuron disease. Mutant NMJs have decreased acetylcholine receptor density and an
increased subsynaptic reticulum, evident by electron microscopy. Synapses
eventually denervate and the muscles atrophy. Molecularly, several factors
contribute to the partial loss of AGRIN's function. The mutant protein is found
at NMJs, but is processed differently than wild-type, with decreased
glycosylation, changes in sensitivity to the protease neurotrypsin and other
proteolysis, and less efficient externalization and secretion. Therefore, the
Agrn point mutation is a model for CMS caused by Agrn mutations and potentially
other related neuromuscular diseases.
PMID- 21890493
TI - Common variants of the BRCA1 wild-type allele modify the risk of breast cancer in
BRCA1 mutation carriers.
AB - Mutations in the BRCA1 gene substantially increase a woman's lifetime risk of
breast cancer. However, there is great variation in this increase in risk with
several genetic and non-genetic modifiers identified. The BRCA1 protein plays a
central role in DNA repair, a mechanism that is particularly instrumental in
safeguarding cells against tumorigenesis. We hypothesized that polymorphisms that
alter the expression and/or function of BRCA1 carried on the wild-type (non
mutated) copy of the BRCA1 gene would modify the risk of breast cancer in
carriers of BRCA1 mutations. A total of 9874 BRCA1 mutation carriers were
available in the Consortium of Investigators of Modifiers of BRCA1/2 (CIMBA) for
haplotype analyses of BRCA1. Women carrying the rare allele of single nucleotide
polymorphism rs16942 on the wild-type copy of BRCA1 were at decreased risk of
breast cancer (hazard ratio 0.86, 95% confidence interval 0.77-0.95, P = 0.003).
Promoter in vitro assays of the major BRCA1 haplotypes showed that common
polymorphisms in the regulatory region alter its activity and that this effect
may be attributed to the differential binding affinity of nuclear proteins. In
conclusion, variants on the wild-type copy of BRCA1 modify risk of breast cancer
among carriers of BRCA1 mutations, possibly by altering the efficiency of BRCA1
transcription.
PMID- 21890500
TI - Effect of dietary organic and inorganic selenium on antioxidant status, embryo
development, and reproductive performance in hyperovulatory first-parity gilts.
AB - This project aimed to determine the effect of Se as inorganic Na-selenite (MSe)
or organic Se-yeast (OSe) on antioxidant status, hormonal profile, reproductive
performance, and embryo development in first-parity gilts. Forty-nine gilts were
allocated to 1 of the 3 dietary treatments starting at first pubertal estrus and
lasting up to 30 d after AI: control [CONT: basal diet (Se = 0.2 mg/kg) without
added Se; n = 16], MSe (CONT + 0.3 mg/kg of MSe; n = 16), and OSe (CONT + 0.3
mg/kg of OSe; n = 17). Blood was collected from all gilts on the day after each
onset of estrus and on d 30 after AI. Blood was also collected daily from d -4 to
d +4 of the third onset of estrus (d 0) in 8 CONT, 9 MSe, and 8 OSe cannulated
gilts. Gilts had received, after d 14 and 15 of their third estrus, a hormonal
challenge to induce super-ovulation. At slaughter, embryos and corpora lutea (CL)
were weighed and measured. Blood Se was less (P < 0.01) in CONT than in Se gilts
and greater in OSe than in MSe (P < 0.01) from the first estrus until d 30 of
gestation. At the same time, blood Se-dependent glutathione peroxidase (GSH-Px)
decreased for CONT gilts, whereas it increased for both Se groups. The increase
was greater in MSe than in OSe gilts (treatment * time, P = 0.02). Plasma 3,3',5
triiodothyronine and thyroxine concentrations for MSe tended to be less than for
OSe gilts (P < 0.06). In cannulated gilts, plasma FSH tended to change among
treatments (treatment * time, P = 0.06), and plasma estradiol-17beta (E(2)) was
less (P = 0.01) for MSe than for OSe. There was no treatment effect on mean
litter size or embryonic antioxidant status. The Se content of individual embryos
was greater for Se-treated than for CONT gilts (P = 0.03), and Se content of
individual embryos and total litter was greater for OSe than for MSe gilts (P <
0.01). The length, weight, and protein content of embryos were greater in OSe
than in MSe gilts (P < 0.05). There was no treatment effect on weight, length, Se
content, and ferric reducing antioxidant power of CL, but GSH-Px in CL was
greater for Se than for CONT gilts (P = 0.02). In summary, the Se status response
of gilts to dietary Se was affected by both the quantity and the source of Se
dietary supplements. Moreover, the uterine transfer of Se to embryos was improved
with OSe as compared with MSe, and this was concomitant with an enhanced
development of embryos.
PMID- 21890501
TI - Leptin gene haplotypes are associated with change in immunological and
hematological variables in dairy cow during the peripartum period.
AB - In this study, the effect of polymorphisms in the leptin gene on the
hematological variables in periparturient dairy cows was investigated. The
hematological profile of 67 Holstein cows was assessed for 6 wk around calving.
The DNA of the cows was genotyped at 6 polymorphic loci within the leptin gene,
and 7 haplotypes were reconstructed. Significant haplotype substitution effects
were found, for haplotype 1, on total white blood cell count for 2 wk around
calving (+0.70 10(3)/MUL, P = 0.05; +1.38 10(3)/MUL, P = 0.0001); on neutrophil
cell count in the first week after calving (+0.94 10(3)/MUL, P = 0.001); on
lymphocyte count during the 3 wk before and the first week after calving (+0.32
10(3)/MUL, P = 0.05; +0.27 10(3)/MUL, P = 0.03; +0.26 10(3)/MUL, P = 0.04; +0.34
10(3)/MUL, P = 0.01); on red blood cell count during the last week before calving
and wk 1 and 2 after calving (+0.21 10(6)/MUL, P = 0.02; +0.23 10(6)/MUL, P =
0.01; +0.20 10(6)/MUL, P = 0.03); on mean corpuscular volume (-1.35 fL, P = 0.01;
-1.29 fL, P = 0.002; -1.18 fL, P = 0.004; -1.09 fL, P = 0.008; -1.23 fL, P =
0.003; -1.31 fL, P = 0.003); and on mean corpuscular hemoglobin (-0.37 pg, P =
0.05; -0.38 pg, P = 0.02; -0.39 pg, P = 0.01; -0.34 pg, P = 0.03; -0.40 pg, P =
0.01; -0.40 pg, P = 0.01) during all 6 wk analyzed. Significant haplotype
substitution effects, but opposite those of haplotype-1, were found for haplotype
2 on white blood cell count (-1.10 10(3)/MUL, P = 0.01; -1.30 10(3)/MUL, P =
0.002; -1.09 10(3)/MUL, P = 0.01) and neutrophil count (-0.82 10(3)/MUL, P =
0.02; -0.95 10(3)/MUL, P = 0.005; -0.92 10(3)/MUL, P = 0.01). Haplotype-3
influenced red blood cell count (-0.23 10(6)/MUL, P = 0.03; -0.28 10(6)/MUL, P =
0.01; -0.34 10(6)/MUL, P = 0.002) during the last 2 wk before and the first week
after calving, and also, with effects evident only in wk 3 and 2 before calving,
mean corpuscular volume (+1.38 fL, P = 0.03; +0.97 fL, P = 0.05; +1.08 fL, P =
0.05), mean corpuscular hemoglobin (+0.58 pg, P = 0.02; +0.38 pg, P = 0.04; 0.51
pg, P = 0.01), and red blood cell distribution width (-0.56% P = 0.02; -0.47%, P
= 0.05). The current study provided evidence that several polymorphisms in the
leptin gene play a role in the variability of hematological variables during the
peripartum period, and might be used as genetic markers for improving the
immunological conditions of dairy cows in critical productive periods.
PMID- 21890502
TI - Factors affecting storage stability of various commercial phytase sources.
AB - A 360-d study was performed to evaluate the effects of different environmental
conditions on storage stability of exogenous phytases. Coated and uncoated
products from 3 phytase sources [Ronozyme P (DSM Nutritional Products, Basel,
Switzerland), OptiPhos (Phytex LLC, Sheridan, IN), and Phyzyme (Danisco Animal
Nutrition, Marlborough, UK)] were stored as pure forms, in a vitamin premix, or
in a vitamin and trace mineral (VTM) premix. Pure products were stored at -18, 5,
23, and 37 degrees C (75% humidity). Premixes were stored at 23 and 37 degrees C.
Sampling was performed on d 0, 30, 60, 90, 120, 180, 270, and 360. Sampling of
the pure products stored at -18 (lack of sample) and 5 degrees C (because of mold
growth) was discontinued after d 120. Stability was reported as the residual
phytase activity (% of initial) at each sampling point. For the stability of the
pure forms, all interactive and main effects of the phytase product, coating,
time, and storage temperature were significant (P < 0.01), except for the time *
coating interaction. When stored at 23 degrees C or less, pure phytases retained
at least 91, 85, 78, and 71% of their initial phytase activity at 30, 60, 90, and
120 d of storage, respectively. However, storing pure products at 37 degrees C
reduced (P < 0.01) phytase stability, with OptiPhos retaining the most (P < 0.01)
activity. Coating mitigated (P < 0.01) the negative effects of high storage
temperature for Ronozyme and OptiPhos (from d 90 onward), but not for Phyzyme.
For the stability of phytase in different forms of storage, all interactive and
main effects of phytase product, form, coating, time, and temperature of storage
were significant (P < 0.01). When stored at room temperature (23 degrees C),
retained phytase activities for most the phytase sources were more than 85, 73,
and 60% of the initial activity up to 180 d when stored as pure products, vitamin
premixes, or VTM premixes, respectively. When stored at 37 degrees C, pure
phytase products had greater (P < 0.01) retention of initial phytase activity
than when phytases were mixed with the vitamin or VTM premixes. Coated phytases
stored in any form had greater (P < 0.01) activity retention than the uncoated
phytases at all sampling periods. Results indicate that storage stability of
commercially available phytases is affected by duration of storage, temperature,
product form, coating, and phytase source. Pure products held at 23 degrees C or
less were the most stable. In premixes, longer storage times and higher
temperatures reduced phytase activity, but coating mitigated some of these
negative effects.
PMID- 21890503
TI - Meat Science and Muscle Biology Symposium: extracellular matrix regulation of
skeletal muscle formation.
AB - Skeletal muscle development and growth is a complex process that involves the
interaction of muscle cells with their extracellular environment. Because muscle
development involves the interaction of the cell surface and extracellular matrix
molecules, research focus has been placed on the proteoglycans. Proteoglycans are
macromolecules containing a central core protein with attached carbohydrates,
called glycosaminoglycans, that are located at both the cell surface and the
extracellular matrix. Research focus has been placed on understanding the
mechanisms of the membrane-associated heparan sulfate proteoglycans, syndecan-4
and glypican-1, which are both capable of regulating cellular responsiveness to
fibroblast growth factor 2 (FGF2). Fibroblast growth factor 2 is a potent
stimulator of muscle cell proliferation and a strong inhibitor of
differentiation. Studies on syndecan-4 and glypican-1 show that these
proteoglycans differentially regulate muscle cell proliferation, differentiation,
and cellular responsiveness to FGF2 with syndecan-4 predominantly modulating
muscle cell proliferation and glypican-1 modulating differentiation. Site
directed mutagenesis approaches were used to define the effect of the syndecan-4
and glypican-1 covalently attached side chains on their activity. In general, a
functional association was found between the glycosaminoglycan and N-glycosylated
chains attached to the central core proteins of syndecan-4 and glypican-1
affecting their regulation of muscle cell proliferation, differentiation, and
FGF2 responsiveness. Current research efforts are directed at identifying the
cellular signaling pathways modulated by syndecan-4 and glypican-1.
PMID- 21890504
TI - Residual intake and body weight gain: a new measure of efficiency in growing
cattle.
AB - Interest in improving feed efficiency in cattle is intensifying. Residual feed
intake (RFI), which is the difference between expected intake and that predicted
based on energy demands, is now the most commonly used measure of feed efficiency
over a given time period. However, RFI, as commonly defined, is independent of
growth rate, which may affect its acceptance by industry. Residual BW gain (RG)
has also been proposed as a measure of feed efficiency and is represented as the
residuals from a multiple regression model regressing ADG on both DMI and BW. In
this study, we propose a new trait, residual intake and BW gain (RIG), which
retains the favorable characteristic of both RFI and RG being independent of BW,
but animals superior for RIG have, on average, both greater ADG and reduced DMI.
Phenotypic and genetic analyses were undertaken on up to 2,605 purebred
performance-tested bulls. Clear phenotypic differences in DMI and ADG existed
between animals divergent for RIG. The heritability of RIG was 0.36 +/- 0.06,
which is consistent with the heritability estimates of RFI and other feed
efficiency traits measured in the study. The RIG trait was both phenotypically
and genetically negatively correlated with DMI and positively correlated with
ADG; no correlation existed between RIG and BW. The advantages of both reduced
daily DMI and greater ADG in animals superior for RIG are demonstrated compared
with animals superior for either RFI or RG.
PMID- 21890505
TI - Meat Science and Muscle Biology Symposium: manipulating meat tenderness by
increasing the turnover of intramuscular connective tissue.
AB - Controlled reduction of the connective tissue contribution to cooked meat
toughness is an objective that would have considerable financial impact in terms
of added product value. The amount of intramuscular connective tissue in a muscle
appears connected to its in vivo function, so reduction of the overall connective
tissue content is not thought to be a viable target. However, manipulation of the
state of maturity of the collagenous component is a biologically viable target;
by increasing connective tissue turnover, less mature structures can be produced
that are functional in vivo but more easily broken down on cooking at
temperatures above 60 degrees C, thus improving cooked meat tenderness. Recent
work using cell culture models of fibroblasts derived from muscle and myoblasts
has identified a range of factors that alter the activity of the principal
enzymes responsible for connective tissue turnover, the matrix metalloproteinases
(MMP). Fibroblasts cultured from 3 different skeletal muscles from the same
animal show different cell proliferation and MMP activity, which may relate to
the different connective tissue content and architecture in functionally
different muscles. Expression of MMP by fibroblasts is increased by vitamins that
can counter the negative effects of oxidative stress on new collagen synthesis.
Preliminary work using in situ zymography of myotubes in culture also indicates
increased MMP activity in the presence of epinephrine and reactive oxidative
species. Comparison of the relative changes in MMP expression from muscle cells
vs. fibroblasts shows that myoblasts are more responsive to a range of stimuli.
Muscle cells are likely to produce more of the total MMP in muscle tissue as a
whole, and the expression of latent forms of the enzymes (i.e., pro-MMP) may vary
between oxidative and glycolytic muscle fibers within the same muscle. The
implication is that the different muscle fiber composition of different muscles
eaten as meat may influence the potential for manipulation of their connective
tissue turnover.
PMID- 21890506
TI - Effects of slaughter time post-second injection on carcass cutting yields and
bacon characteristics of immunologically castrated male pigs.
AB - Body weights of finishing pigs can be variable within a finishing barn near the
time of slaughter; therefore, it is common to market pigs over a period of time.
This allows lighter pigs more time to gain BW and approach a desired end point.
Use of immunological castration late in life to control boar taint, as an
alternative to physical castration early in life, increases cutting yields of
finishing male pigs compared with physical castrates. Because of common marketing
strategies, it is important for advantages in cutting yields to span a broad
spectrum of slaughter ages and BW. The primary objectives in this study were to
evaluate carcass cutting yields, pork quality, belly quality, and bacon
processing characteristics of immunologically castrated (IC) male pigs fed a
moderate level of distillers dried grains with solubles and slaughtered at either
4 wk (early slaughter group) or 6 wk (late slaughter group) post-second
injection. A total of 156 male pigs (physical castrates or IC males) were
selected from a population of 1,200 finishing pigs. Data were analyzed with the
MIXED procedure of SAS as a split-split plot design. Body weights of IC males
were 3.60 kg heavier (P = 0.03) than physical castrates when slaughtered at 4 wk
post-second injection and 7.52 kg heavier (P < 0.0001) than physical castrates
when slaughtered at 6 wk post-second injection. Because of a lack of interaction
(P > 0.05) between sex and time of slaughter post-second injection, some response
variables were pooled. Hot carcass weights were not different (P = 0.57) between
physical castrates (91.98 kg) and IC males (92.52 kg). There was a 2.77
percentage unit decrease (P < 0.001) in dressing percentage of IC males (71.78%)
compared with physical castrates (74.55%). Lean cutting yields of IC males were
2.62 percentage units greater (P < 0.0001) than physical castrates and carcass
cutting yields were 2.27 percentage units greater (P < 0.0001) for IC males when
compared with physical castrates. There were no differences between IC males and
physical castrates for shear force (P = 0.09), ultimate pH (P = 0.57), objective
color (P >= 0.31), subjective color score (P = 0.64), or drip loss (P = 0.30).
Bellies from IC males were thinner (P = 0.01) and had narrower belly flops (P <
0.0001) than bellies from physical castrates. There were no differences (P =
0.74) in cured belly cooked yield between IC males and physical castrates.
Overall, immunological castration improved cutting yields, did not affect pork
quality, made fresh bellies thinner, and did not affect cured belly
characteristics when pigs were fed a moderate level of distillers dried grains
with solubles during the finishing phase of production.
PMID- 21890507
TI - Weaned pig responses to Escherichia coli K88 oral challenge when receiving a
lysozyme supplement.
AB - Lysozyme is a low-molecular-weight protein with antimicrobial properties. An
experiment was conducted to investigate the response of piglets receiving a water
soluble lysozyme supplement [Entegard (EG), Neova Technologies Inc., Abbotsford,
British Columbia, Canada; 4,000 lysozyme units/mg] after oral challenge with
enterotoxigenic Escherichia coli (ETEC). A total of 36 individually housed
weanling pigs were randomly allotted to 1 of the 4 treatments, with 9 replicates
per treatment. Treatments were a control (CONT, no additive), antibiotic (AB; 2.5
g/kg of feed of antibiotic with chlortetracycline, sulfamethazine, and
penicillin), and EG delivered in the drinking water at concentrations of 0.1%
(EG1) and 0.2% (EG2). All pigs received a basal diet similar in composition and
nutrients, except for pigs receiving the AB diet, which had an added antibiotic.
Pigs were acclimated to treatments for a 7-d period to monitor growth
performance. On d 8, blood samples were collected from each pig to obtain serum,
and each pig was gavaged with 6 mL (2 * 10(9) cfu/mL) of ETEC solution. Pigs were
monitored for another 7 d to assess incidences of diarrhea and growth
performance, and then all pigs were killed to obtain intestinal tissue and
digesta samples. Treatments did not influence growth performance throughout the
study. Greater ETEC counts were observed in the ileal mucosal scrapings (P =
0.001) and colonic digesta (P = 0.025) of pigs in the CONT group compared with
pigs in the AB and EG1 groups. Pigs receiving AB and EG1 had greater (P < 0.05)
small intestinal weights and ileal villus heights than pigs receiving CONT;
however, the ileal villus height-to-crypt depth ratio was greater in pigs fed the
AB diet (1.69) compared with those fed the CONT diet (1.34), whereas pigs
receiving EG1 were intermediate. Pigs in the EG1 group showed greater (P < 0.001)
serum tumor necrosis factor alpha and IL-6 concentrations before ETEC challenge;
however, at 7 d postchallenge, pigs receiving EG2 showed the least (P < 0.05)
circulating tumor necrosis factor alpha and IL-6 concentrations. Overall, better
intestinal growth and development, as well as decreased ETEC counts on the
intestinal mucosa and serum proinflammatory cytokines, suggest that EG can
maintain gut health and function in piglets commensurate with antibiotics.
However, it is noteworthy that at the largest dose tested, EG seemed to have a
dramatic effect on proinflammatory cytokines but had a minimal or no effect on
the other response criteria.
PMID- 21890508
TI - Therapeutic potential of pegylated hemin for reactive oxygen species-related
diseases via induction of heme oxygenase-1: results from a rat hepatic
ischemia/reperfusion injury model.
AB - Many diseases and pathological conditions, including ischemia/reperfusion (I/R)
injury, are the consequence of the actions of reactive oxygen species (ROS).
Controlling ROS generation or its level may thus hold promise as a standard
therapeutic modality for ROS-related diseases. Here, we assessed heme oxygenase-1
(HO-1), which is a crucial antioxidative, antiapoptotic molecule against
intracellular stresses, for its therapeutic potential via its inducer, hemin. To
improve the solubility and in vivo pharmacokinetics of hemin for clinical
applications, we developed a micellar hemin by conjugating it with poly(ethylene
glycol) (PEG) (PEG-hemin). PEG-hemin showed higher solubility in water and
significantly prolonged plasma half-life than free hemin, which resulted from its
micellar nature with molecular mass of 126 kDa in aqueous media. In a rat I/R
model, administration of PEG-hemin significantly elevated HO-1 expression and
enzymatic activity. This induction of HO-1 led to significantly improved liver
function, reduced apoptosis and thiobarbituric acid reactive substances of the
liver, and decreased inflammatory cytokine production. PEG-hemin administration
also markedly improved hepatic blood flow. These results suggest that PEG-hemin
exerted a significant cytoprotective effect against I/R injury in rat liver by
inducing HO-1 and thus seems to be a potential therapeutic for ROS-related
diseases, including I/R injury.
PMID- 21890509
TI - Transient lower esophageal sphincter relaxation pharmacokinetic-pharmacodynamic
modeling: count model and repeated time-to-event model.
AB - Transient lower esophageal sphincter relaxation (TLESR) is the major mechanism
for gastroesophageal reflux. Characterizations of candidate compounds for
reduction of TLESRs are traditionally done through summary exposure and response
measures and would benefit from model-based analyses of exposure-TLESR events
relationships. Pharmacokinetic (PK)-pharmacodynamic (PD) modeling approaches
treating TLESRs either as count data or repeated time-to-event (RTTE) data were
developed and compared in terms of their ability to characterize system and drug
characteristics. Vehicle data comprising 294 TLESR events were collected from
nine dogs. Compound [(R)-(+)-[2,3-dihydro-5-methyl-3-(4
morpholinylmethyl)pyrrolo[1,2,3-de]-1,4-benzoxazin-6-yl]-1-naphthalenylmethanone
mesylate (WIN55212-2)] data containing 66 TLESR events, as well as plasma
concentrations, were obtained from four dogs. Each experiment lasted for 45 min
and was initiated with a meal. Counts in equispaced 5- and 1-min intervals were
modeled based on a Poisson probability distribution model. TLESR events were
analyzed with the RTTE model. The PK was connected to the PD with a one
compartment model. Vehicle data were described by a baseline and a surge
function; the surge peak was determined to be approximately 9.69 min by all
approaches, and its width in time at half-maximal intensity was 5 min (1-min
count and RTTE) or 10 min (5-min count). TLESR inhibition by WIN55212-2 was
described by an I(max) model, with an IC(50) of on average 2.39 nmol . l(-1).
Modeling approaches using count or RTTE data linked to a dynamic PK-PD
representation of exposure are superior to using summary PK and PD measures and
are associated with a higher power for detecting a statistically significant drug
effect.
PMID- 21890510
TI - Chronic hypoxia-induced acid-sensitive ion channel expression in chemoafferent
neurons contributes to chemoreceptor hypersensitivity.
AB - Previously we demonstrated that chronic hypoxia (CH) induces an inflammatory
condition characterized by immune cell invasion and increased expression of
inflammatory cytokines in rat carotid body. It is well established that chronic
inflammatory pain induces the expression of acid-sensitive ion channels (ASIC) in
primary sensory neurons, where they contribute to hyperalgesia and allodynia. The
present study examines the effect of CH on ASIC expression in petrosal ganglion
(PG), which contains chemoafferent neurons that innervate oxygen-sensitive type I
cells in the carotid body. Five isoforms of ASIC transcript were increased ~1.5
2.5-fold in PG following exposure of rats to 1, 3, or 7 days of hypobaric hypoxia
(380 Torr). ASIC transcript was not increased in the sympathetic superior
cervical ganglion (SCG). In the PG, CH also increased the expression of channel
interacting PDZ domain protein, a scaffolding protein known to enhance the
surface expression and the low pH-induced current density mediated by ASIC3.
Western immunoblot analysis showed that CH elevated ASIC3 protein in PG, but not
in SCG or the (sensory) nodose ganglion. ASIC3 transcript was likewise elevated
in PG neurons cultured in the presence of inflammatory cytokines. Increased ASIC
expression was blocked in CH rats concurrently treated with the nonsteroidal anti
inflammatory drug ibuprofen (4 mg.kg(-1).day(-1)). Electrophysiological recording
of carotid sinus nerve (CSN) activity in vitro showed that the specific ASIC
antagonist A-317567 (100 MUM) did not significantly alter hypoxia-evoked activity
in normal preparations but blocked ~50% of the hypoxic response following CH.
Likewise, a high concentration of ibuprofen, which is known to block ASIC1a,
reduced hypoxia-evoked CSN activity by ~50% in CH preparations. Our findings
indicate that CH induces inflammation-dependent phenotypic adjustments in
chemoafferent neurons. Following CH, ASIC are important participants in
chemotransmission between type I cells and chemoafferent nerve terminals, and
these proton-gated channels appear to enhance chemoreceptor sensitivity.
PMID- 21890511
TI - Injury and repair in the very immature lung following brief mechanical
ventilation.
AB - Mechanical ventilation (MV) of very premature infants contributes to lung injury
and bronchopulmonary dysplasia (BPD), the effects of which can be long-lasting.
Little is currently known about the ability of the very immature lung to recover
from ventilator-induced lung injury. Our objective was to determine the ability
of the injured very immature lung to repair in the absence of continued
ventilation and to identify potential mechanisms. At 125 days gestational age
(days GA, 0.85 of term), fetal sheep were partially exposed by hysterotomy under
anesthesia and aseptic conditions; they were intubated and ventilated for 2 h
with an injurious MV protocol and then returned to the uterus to continue
development. Necropsy was performed at either 1 day (short-term group, 126 days
GA, n = 6) or 15 days (long-term group, 140 days GA, n = 5) after MV; controls
were unventilated (n = 7-8). At 1 day after MV, lungs displayed signs of injury,
including hemorrhage, disorganized elastin and collagen deposition in the distal
airspaces, altered morphology, significantly reduced secondary septal crest
density, and decreased airspace. Bronchioles had thickened epithelium with
evidence of injury and sloughing. Relative mRNA levels of early response genes
(connective tissue growth factor, cysteine-rich 61, and early growth response-1)
and proinflammatory cytokines [interleukins (IL)-1beta, IL-6, IL-8, tumor
necrosis factor-alpha, and transforming growth factor-beta] were not different
between groups 1 day after MV. At 15 days after MV, lung structure was normal
with no evidence of injury. We conclude that 2 h of MV induces severe injury in
the very immature lung and that these lungs have the capacity to repair
spontaneously in the absence of further ventilation.
PMID- 21890512
TI - Mitochondrial DNA integrity may be a determinant of endothelial barrier
properties in oxidant-challenged rat lungs.
AB - In cultured pulmonary artery endothelial cells and other cell types,
overexpression of mt-targeted DNA repair enzymes protects against oxidant-induced
mitochondrial DNA (mtDNA) damage and cell death. Whether mtDNA integrity governs
functional properties of the endothelium in the intact pulmonary circulation is
unknown. Accordingly, the present study used isolated, buffer-perfused rat lungs
to determine whether fusion proteins targeting 8-oxoguanine DNA glycosylase 1
(Ogg1) or endonuclease III (Endo III) to mitochondria attenuated mtDNA damage and
vascular barrier dysfunction evoked by glucose oxidase (GOX)-generated hydrogen
peroxide. We found that both Endo III and Ogg1 fusion proteins accumulated in
lung cell mitochondria within 30 min of addition to the perfusion medium. Both
constructs prevented GOX-induced increases in the vascular filtration
coefficient. Although GOX-induced nuclear DNA damage could not be detected,
quantitative Southern blot analysis revealed substantial GOX-induced oxidative
mtDNA damage that was prevented by pretreatment with both fusion proteins. The
Ogg1 construct also reversed preexisting GOX-induced vascular barrier dysfunction
and oxidative mtDNA damage. Collectively, these findings support the ideas that
mtDNA is a sentinel molecule governing lung vascular barrier responses to oxidant
stress in the intact lung and that the mtDNA repair pathway could be a target for
pharmacological intervention in oxidant lung injury.
PMID- 21890513
TI - S-carboxymethylcysteine inhibits adherence of Streptococcus pneumoniae to human
alveolar epithelial cells.
AB - Streptococcus pneumoniae is a major pathogen of respiratory infections that
utilizes platelet-activating factor receptor (PAFR) for firm adherence to host
cells. The mucolytic agent S-carboxymethylcysteine (S-CMC) has been shown to
exert inhibitory effects against infection by several respiratory pathogens
including S. pneumoniae in vitro and in vivo. Moreover, clinical studies have
implicated the benefits of S-CMC in preventing exacerbation of chronic
obstructive pulmonary disease, which is considered to be related to respiratory
infections. In this study, to assess whether the potency of S-CMC is attributable
to inhibition of pneumococcal adherence to host cells, an alveolar epithelial
cell line stimulated with interleukin-1alpha was used as a model of inflamed
epithelial cells. Despite upregulation of PAFR by inflammatory activation,
treatment with S-CMC efficiently inhibited pneumococcal adherence to host
epithelial cells. In order to gain insight into the inhibitory mechanism, the
effects of S-CMC on PAFR expression were also investigated. Following treatment
with S-CMC, PAFR expression was reduced at both mRNA and post-transcriptional
levels. Interestingly, S-CMC was also effective in inhibiting pneumococcal
adherence to cells transfected with PAFR small interfering RNAs. These results
indicate S-CMC as a probable inhibitor targeting numerous epithelial receptors
that interact with S. pneumoniae.
PMID- 21890514
TI - Environmental pH changes, but not the LuxS signalling pathway, regulate SpeB
expression in M1 group A streptococci.
AB - The autoinducer-2/LuxS signalling pathway participates in quorum sensing in
diverse bacterial species. In group A streptococci (GAS), LuxS has been shown to
be involved in regulating the expression of several important virulence factors.
Streptococcal pyrogenic exotoxin B (SpeB), a cysteine protease that has important
roles in GAS pathogenesis, is positively regulated by LuxS in M3 and M5 strains.
In the present study, it was found that the supernatant harvested from an
overnight culture stimulated M1 strains to express speB. However, mutation of the
luxS gene in M1 strains or treating M1 strains with luxS mutant culture
supernatant did not affect speB expression, indicating that the LuxS pathway is
not involved in regulation of speB expression in M1 strains. In addition, the
acid property of culture broth was found to be able to stimulate M1 strains to
express speB in the same LuxS-independent manner. These results indicate that
speB expression in M1 strains is induced by environmental pH changes but is not
regulated by the LuxS signalling pathway.
PMID- 21890515
TI - Tellurite-reduction-based assay for screening potential antibiotics.
PMID- 21890516
TI - Nuclear factor kappaB is a key transcription factor in the duodenal contractility
alterations induced by lipopolysaccharide.
AB - Alterations in intestinal motility are one of the features of sepsis induced by
lipopolysaccharide (LPS). This study investigated the role of the nuclear
transcription factor kappaB (NF-kappaB) in the LPS-induced duodenal contractility
alterations, generation of reactive oxygen species (ROS) and production of
cytokines in rabbit duodenum. Rabbits were treated with saline, LPS,
sulfasalazine + LPS, pyrrolidinedithiocarbamate (PDTC) + LPS or RO 106-9920 +
LPS. Contractility studies were performed in an organ bath. The formation of
products of oxidative damage to proteins (carbonyls) and lipids (malondialdehyde
and 4-hydroxyalkenals) was quantified in intestinal tissue and plasma. The
protein expression of NF-kappaB was measured by Western blot. The DNA binding
activity of NF-kappaB was evaluated by transcription factor activity assay. The
expression of interleukin-1beta, tumour necrosis factor alpha (TNF-alpha),
interleukin-6, interleukin-10 and interleukin-8 mRNA was determined by RT-PCR.
Sulfasalazine, PDTC and RO 106-9920 blocked the inhibitory effect of LPS on
contractions induced by ACh in the longitudinal smooth muscle of rabbit duodenum.
Sulfasalazine, PDTC and RO 106-9920 reduced the increased levels of
malondialdehyde and 4-hydroxyalkenals and the carbonyls induced by LPS in plasma.
Lipopolysaccharide induced the activation, translocation to the nucleus and DNA
binding of NF-kappaB. Lipopolysaccharide increased the mRNA expression of
interleukin-6 and TNF-alpha in duodenal tissue, and this effect was partly
reversed by PDTC, sulfasalazine and RO 106-9920. In conclusion, NF-kappaB
mediates duodenal contractility disturbances, the generation of ROS and the
increase in the expression of interleukin-6 and TNF-alpha induced by LPS.
Sulfasalazine, PDTC and RO 106-9920 may be therapeutic drugs to reduce these
effects.
PMID- 21890517
TI - Effects of chronic caffeine intake and low-intensity exercise on skeletal muscle
of Wistar rats.
AB - Caffeine can affect muscle cell physiology and the inflammatory response during
exercise. The purpose of this study was to analyse muscle damage markers and
inflammatory cell infiltration into the soleus muscle of sedentary and exercised
animals submitted to chronic caffeine intake. Thirty-two male Wistar rats were
divided into the following four groups (n = 8 per group): sedentary control
(SCO); sedentary + caffeine (SCAF); trained control (TCO); and trained + caffeine
(TCAF). The animals were housed in individual cages and received tap water or
caffeine (1 mg ml(-1)); they were maintained at rest or submitted to swimming for
up to 40 min day(-1) with a 4% load, five times per week for 30 days. Blood
samples were collected for analysis of serum lactate, creatine kinase and
calcium. The right soleus muscle and the epididymal fat depot were weighed, and
the muscle was submitted to histological analysis. Training and caffeine did not
change body or muscle weight, food and liquid intake or serum calcium levels
among groups. Decreased fat tissue (P < 0.05) was observed in the SCAF (4.05 +/-
1.03 g), TCO (4.14 +/- 0.78 g) and TCAF groups (4.02 +/- 1.02 g) compared with
the SCO group (5.31 +/- 1.06 g). Serum creatine kinase activity was significantly
reduced in the SCAF (787.3 +/- 230.3 U l(-1)), TCO (775.3 +/- 232.3 U l(-1)) and
TCAF groups (379.5 +/- 110.5 U l(-1)) compared with the SCO group (1610.2 +/-
276.5 U l(-1)). Few damaged muscle fibres (P < 0.05) were found in SCAF (16.7 +/-
12.8%) and TCAF groups (17.3 +/- 11.7%) compared with the SCO group (53.6 +/-
13.9%). The SCAF group presented fewer fields with inflammatory cells (7.6 +/-
8.7 fields) compared with the SCO group (123 +/- 146 fields). The results suggest
that the chronic intake of caffeine, as well as chronic low-intensity exercise,
decreased muscle damage and inflammatory infiltration into skeletal muscle.
PMID- 21890518
TI - Modulation of nitric oxide affects myocardial perfusion-contraction matching in
anaesthetized dogs with recurrent no-flow ischaemia.
AB - Myocardial perfusion and contraction are closely coupled; however, the effect of
recurrent no-flow ischaemia on perfusion-contraction matching remains to be
established. In the present studies, we examined the influence of modulating
nitric oxide availability on perfusion-contraction matching after recurrent no
flow ischaemia in acute open-chest, anaesthetized dogs. The following three
groups were studied: (1) saline; (2) L-NAME (10 mg kg(-1) I.V.); and (3)
enalaprilat (1.5 mg kg(-1) I.V.). Regional myocardial blood flow was measured
with microspheres and contractile function with piezoelectric crystals to
determine systolic wall thickening. Dogs underwent four cycles of 5 min acute
ischaemia and 5 min coronary reperfusion; area at risk was similar for all
groups. In all dogs, ischaemic zone contractile function was depressed after
recurrent no-flow ischaemia despite increased myocardial blood flow during
reperfusion; contractile function was further depressed during L-NAME and was
partly restored with enalaprilat. Within the ischaemic region, blood flow in
subendocardial and subepicardial layers increased significantly compared with
baseline during each reperfusion period independently of treatment. Our findings
suggest that reduced NO availability can significantly impair myocardial
perfusion-contraction matching, which is partly restored by administration of an
NO donor.
PMID- 21890519
TI - Sensory and sympathetic nerve contributions to the cutaneous vasodilator response
from a noxious heat stimulus.
AB - We investigated the roles of sensory and noradrenergic sympathetic nerves on the
cutaneous vasodilator response to a localized noxious heating stimulus. In two
separate studies, four forearm skin sites were instrumented with microdialysis
fibres, local heaters and laser-Doppler probes. Skin sites were locally heated
from 33 to 42 degrees C or rapidly to 44 degrees C (noxious). In the first
study, we tested sensory nerve involvement using EMLA cream. Treatments were as
follows: (1) control 42 degrees C; (2) EMLA 42 degrees C; (3) control 44
degrees C; and (4) EMLA 44 degrees C. At the EMLA-treated sites, the axon reflex
was reduced compared with the control sites during heating to 42 degrees C (P <
0.05). There were no differences during the plateau phase (P > 0.05). At both the
sites heated to 44 degrees C, the initial peak and nadir became
indistinguishable, and the EMLA-treated sites were lower compared with the
control sites during the plateau phase (P < 0.05). In the second study, we tested
the involvement of noradrenergic sympathetic nerves in response to the noxious
heating using bretylium tosylate (BT). Treatments were as follows: (1) control 42
degrees C; (2) BT 42 degrees C; (3) control 44 degrees C; and (4) BT 44
degrees C. Treatment with BT at the 42 degrees C sites resulted in a marked
reduction in both the axon reflex and the secondary plateau (P < 0.05). At the 44
degrees C sites, there was no apparent initial peak or nadir, but the plateau
phase was reduced at the BT-treated sites (P < 0.05). These data suggest that
both sympathetic nerves and sensory nerves are involved during the vasodilator
response to a noxious heat stimulus.
PMID- 21890521
TI - Neural control of the circulation during exercise: insights from the 1970-1971
Oxford studies.
AB - During exercise the magnitude of the cardiovascular response is closely matched
to the intensity of the exercise. In achieving this appropriate matching, an
important role is played by the autonomic nervous system. Two mechanisms have
been postulated to regulate this response. In one mechanism the changes in
autonomic nerve activity to the heart and blood vessels are caused by signals
arising in a central area of the brain and in the other mechanism the changes are
caused by signals arising in the contracting skeletal muscle. In 1970-71 two
studies were performed in Oxford which furthered our understanding of these two
mechanisms. In one of these studies it was shown in cats that a reflex arising in
the contracting skeletal muscle reflexly increased blood pressure and heart rate
and that the thinly myelinated (Group III or A ) and the unmyelinated (Group IV
or C) afferent nerve fibers were responsible. In the second of these studies it
was shown in humans that a central mechanism could also increase the blood
pressure and heart rate during static contraction at a fixed force. Tendon
vibration of a skeletal muscle induces an involuntary reflex contraction.
Utilizing this effect the central command needed to produce the same tension
development was reduced or increased. When the same force was achieved with less
central command the cardiovascular response was reduced and with more central
command was increased. This demonstrated that descending motor commands from
higher brain centers have an effect on the cardiovascular response to exercise.
PMID- 21890520
TI - Low-frequency power of heart rate variability is not a measure of cardiac
sympathetic tone but may be a measure of modulation of cardiac autonomic outflows
by baroreflexes.
AB - Power spectral analysis of heart rate variability has often been used to assess
cardiac autonomic function; however, the relationship of low-frequency (LF) power
of heart rate variability to cardiac sympathetic tone has been unclear. With or
without adjustment for high-frequency (HF) power, total power or respiration, LF
power seems to provide an index not of cardiac sympathetic tone but of baroreflex
function. Manipulations and drugs that change LF power or LF:HF may do so not by
affecting cardiac autonomic outflows directly but by affecting modulation of
those outflows by baroreflexes.
PMID- 21890522
TI - Endogenous hydrogen peroxide in paraventricular nucleus mediates sympathetic
activation and enhanced cardiac sympathetic afferent reflex in renovascular
hypertensive rats.
AB - An enhancement of the cardiac sympathetic afferent reflex (CSAR) contributes to
sympathetic activation in renovascular hypertension. Angiotensin II in the
paraventricular nucleus (PVN) augments the CSAR and increases sympathetic outflow
and blood pressure. The present study aimed to determine whether endogenous
hydrogen peroxide in the PVN mediated the enhanced CSAR, sympathetic activity and
the effects of angiotensin II in the PVN in renovascular hypertension induced by
the two-kidney, one-clip method (2K1C) in rats. At the end of the fourth week,
the rats underwent sino-aortic and vagal denervation under general anaesthesia
with urethane and alpha-chloralose. Renal sympathetic nerve activity (RSNA) and
mean arterial pressure (MAP) were recorded. The CSAR was evaluated by the RSNA
response to epicardial application of bradykinin. Microinjection of polyethylene
glycol-catalase (PEG-CAT), an analogue of endogenous catalase, into the PVN
decreased the RSNA and MAP and abolished the CSAR in both sham-operated and 2K1C
rats. Microinjection into the PVN of the catalase inhibitor, aminotriazole,
increased the RSNA and MAP and enhanced the CSAR. The effects of PEG-CAT or
aminotriazole were greater in 2K1C rats than in sham-operated animals. The
effects of angiotensin II in the PVN were abolished by pretreatment with PEG-CAT
in both sham-operated and 2K1C rats; however, aminotriazole failed to potentiate
the effects of angiotensin II. The catalase activity was decreased but the
H(2)O(2) levels were increased in the PVN of 2K1C rats. These results indicate
that endogenous H(2)O(2) in the PVN not only mediates the enhanced sympathetic
activity and CSAR, but also the effects of angiotensin II in the PVN in
renovascular hypertensive rats.
PMID- 21890523
TI - Anoctamin 2/TMEM16B: a calcium-activated chloride channel in olfactory
transduction.
AB - In vertebrate olfactory transduction, a Ca(2+)-dependent Cl(-) efflux greatly
amplifies the odorant response. The binding of odorants to receptors in the cilia
of olfactory sensory neurons activates a transduction cascade that involves the
opening of cyclic nucleotide-gated channels and the entry of Ca(2+) into the
cilia. The Ca(2+) activates a Cl(-) current that, in the presence of a maintained
elevated intracellular Cl(-) concentration, produces an efflux of Cl(-) ions and
amplifies the depolarization. In this review, we summarize evidence supporting
the hypothesis that anoctamin 2/TMEM16B is the main, or perhaps the only,
constituent of the Ca(2+)-activated Cl(-) channels involved in olfactory
transduction. Indeed, studies from several laboratories have shown that anoctamin
2/TMEM16B is expressed in the ciliary layer of the olfactory epithelium, that
there are remarkable functional similarities between currents in olfactory
sensory neurons and in HEK 293 cells transfected with anoctamin 2/TMEM16B, and
that knockout mice for anoctamin 2/TMEM16B did not show any detectable Ca(2+)
activated Cl(-) current. Finally, we discuss the involvement of Ca(2+)-activated
Cl(-) channels in the transduction process of vomeronasal sensory neurons and the
physiological role of these channels in olfaction.
PMID- 21890524
TI - Effect of physical restraint on the limits of thermoregulation in telemetered
rats.
AB - Physical restraint of rodents is needed for nose-only exposure to airborne
toxicants and is also used as a means of psychological stress. Hyperthermia is
often observed in restrained rats, presumably as a result of impairments in heat
dissipation. However, such a hyperthermic response should be dependent on the
prevailing ambient conditions. To understand how ambient temperature (T(a))
affects the thermoregulatory response to restraint, core temperature (T(c)) and
heart rate (HR) were monitored by telemetry in rats subjected to 1 h of physical
restraint while T(a) was maintained at 14-30 degrees C in 2 degrees C
increments. The T(c) of unrestrained rats was unaffected by T(a). During
restraint, T(c) was elevated at ambient temperatures with the exception of 14
degrees C, at which the rats became mildly hypothermic. There was an inverse
relationship between T(a) and HR in both unrestrained and restrained rats;
however, HR was significantly elevated in restrained rats at all ambient
temperatures except 22 and 24 degrees C. Heat loss from the tail, estimated from
T(c) and tail skin temperature, was markedly reduced at all but the highest
ambient temperatures in restrained rats. The data suggest that the T(a) limits of
normothermia are narrowed in the restrained rat. That is, between 16 and 20
degrees C, the rat maintains a relatively stable T(c) that is slightly elevated
above that of the unrestrained rat. At ambient temperatures above or below this
range, the rat shows signs of hyperthermia and hypothermia, respectively. In
contrast, the limits of normothermia for unrestrained rats range from 14 (or
lower) to 30 degrees C. Overall, the ideal T(a) for restrained rats appears to
be 20 degrees C and no higher than 22 degrees C for the thermoregulatory system
to maintain a regulated T(c) in rats well adapted to physical restraint.
PMID- 21890525
TI - Relevance of the volume-axis intercept, V0, compared with the slope of end
systolic pressure-volume relationship in response to large variations in inotropy
and afterload in rats.
AB - The end-systolic pressure-volume relationship (ESPVR) is proposed and used as a
reliable index of left ventricular (LV) contractility despite the fact that its
afterload independence has been challenged. Furthermore, the physiological
relevance of its volume-axis intercept, V(0), remains unclear. Systemic
haemodynamics and pressure-volume loops obtained by inferior vena cava occlusion
were recorded in 21 rats anaesthetized by isoflurane inhalation and instrumented
with a conductance pressure-volume catheter in response to incremental I.V. doses
of adrenaline, dobutamine, phenylephrine, metoprolol, papaverine and isoflurane
inhalation. In conditions with large variations (+/- 100%) of both inotropy and
afterload, infusion of negative inotropic drugs was associated with a dose
dependent rightward shift of ESPVR accompanied by a decrease in its slope (end
systolic elastance, E(es)), whereas positive inotropic agents produced an
isolated decrease in V(0). With the predominant vasoactive drugs, there was a
dose-dependent change in E(es) without major horizontal shifts, demonstrating
that this slope mainly represents LV afterload rather than inotropy. When
contractility was altered, V(0) was negatively correlated to the preload-adjusted
contractility index, PAdP/dt(max), demonstrating that a reduced V(0) provides a
good reflection of increased LV contractility. From these results, we computed a
logarithmically adjusted E(es)/V(0) ratio, which resulted in reasonably strong
concordance with PAdP/dt(max), including all the investigated drugs and dosages
[n = 288; bias, 0.8 +/- 16.2% (SD)]. Concordance with E(es) (bias, 7.2 +/- 58.7%)
or V(0) (bias, -0.6 +/- 33.4%), used alone or with other commonly used
contractility indices, was far less significant. In contrast to E(es), V(0)
provides a relatively good LV contractility index because it is much less
sensitive to afterload.
PMID- 21890526
TI - Exercise as migraine prophylaxis: a randomized study using relaxation and
topiramate as controls.
AB - AIM: Scientific evidence regarding exercise in migraine prophylaxis is required.
Therefore this study aimed to evaluate the effects of exercise in migraine
prevention. METHODS: In a randomized, controlled trial of adults with migraine,
exercising for 40 minutes three times a week was compared to relaxation according
to a recorded programme or daily topiramate use, which was slowly increased to
the individual's highest tolerable dose (maximum 200 mg/day). The treatment
period lasted for 3 months, and migraine status, quality of life, level of
physical activity, and oxygen uptake were evaluated. The primary efficacy
variable was the mean reduction of the frequency of migraine attacks during the
final month of treatment compared with the baseline. RESULTS: Ninety-one patients
were randomized and included in the intention-to-treat analysis. The primary
efficacy variable showed a mean reduction of 0.93 (95% confidence interval (CI)
0.31-1.54) attacks in the exercise group, 0.83 (95% CI 0.22-1.45) attacks in the
relaxation group, and 0.97 (95% CI 0.36-1.58) attacks in the topiramate group. No
significant difference was observed between the groups (p = 0.95). CONCLUSION:
Exercise may be an option for the prophylactic treatment of migraine in patients
who do not benefit from or do not want to take daily medication.
PMID- 21890527
TI - Individual monitoring for external radiation at accelerator facilities.
AB - Individual monitoring at accelerator facilities is discussed, within the
framework set out by the International Commission on Radiological Protection and
with reference to the implementation of the recommendations of that body within
the European Basic Safety Standards. Legislation in other parts of the world may
differ, but a worldwide perspective on this subject would be too exhaustive. The
fields at accelerator facilities are contrasted in terms of particle type and
energy with those encountered at more conventional sites within the nuclear fuel
cycle, medical applications and general industry. The implications for individual
monitoring are discussed in relation to the dose quantities for these accelerator
fields and also with respect to the personal dosemeters options.
PMID- 21890528
TI - Review of bubble detector response characteristics and results from space.
AB - A passive neutron-bubble dosemeter (BD), developed by Bubble Technology
Industries, has been used for space applications. Both the bubble detector
personal neutron dosemeter and bubble detector spectrometer have been studied at
ground-based facilities in order to characterise their response due to neutrons,
heavy ion particles and protons. This technology was first used during the
Canadian-Russian collaboration aboard the Russian satellite BION-9, and
subsequently on other space missions, including later BION satellites, the space
transportation system, Russian MIR space station and International Space Station.
This paper provides an overview of the experiments that have been performed for
both ground-based and space studies in an effort to characterise the response of
these detectors to various particle types in low earth orbit and presents results
from the various space investigations.
PMID- 21890530
TI - Intimate partner violence against women in the capital province of Sri Lanka:
prevalence, risk factors, and help seeking.
AB - This article presents findings from a cross-sectional community survey exploring
intimate partner violence (IPV) against women in the Western province of Sri
Lanka. Findings show that lifetime prevalence of physical violence (34%),
controlling behavior (30%), and emotional abuse (19%) was high and the prevalence
of sexual violence was low (5%). Young women and those with partners who abused
alcohol/drugs and had extra-marital affairs are at increased risk of violence.
Although living in a patriarchal society, low prevalence of child marriages and
lack of dowry-related violence could be to Sri Lankan women's advantage relative
to their Asian counterparts in preventing IPV.
PMID- 21890529
TI - Radioactivity of 210Pb in Japanese cigarettes and radiation dose from smoking
inhalation.
AB - It is well known that cigarette tobaccos contain naturally occurring radioactive
nuclides such as (210)Pb and (210)Po. In many countries, the radioactivity of
tobaccos has been measured to estimate the effective dose from smoking
inhalation. The present study covered 24 cigarette brands including the top 20 of
sales in Japan between April 2008 and March 2009. The activity concentrations of
(210)Pb were measured by gamma-ray spectrometry, and then those of its progeny
((210)Po) were evaluated assuming the radioactive equilibrium between the two
nuclides. Their concentrations were in the range of 2-14 mBq cigarette(-1) with
an arithmetic mean of 8+/-3 mBq cigarette(-1). The annual committed effective
doses were also calculated, based on the scenario that a smoker consumes 20
cigarettes a day. The average doses from (210)Pb and (210)Po inhalations were
22+/-9 and 68+/-27 MUSv y(-1), respectively.
PMID- 21890531
TI - A Canadian model for building university and community partnerships: centre for
research & education on violence against women and children.
AB - The importance of Canadian research on violence against women became a national
focus after the 1989 murder of 14 women at Ecole Polytechnique in Montreal. This
tragedy led to several federal government studies that identified a need to
develop centers for applied research and community-university alliances on
violence against women. One such center is the Centre for Research & Education on
Violence against Women and Children. The Centre was founded in London, Canada in
1992 out of a partnership of a university, a community college, and community
services. The centre's history and current activities are summarized as a model
for the development and sustainability of similar centers.
PMID- 21890532
TI - Upregulation of aldolase B and overproduction of methylglyoxal in vascular
tissues from rats with metabolic syndrome.
AB - AIMS: Methylglyoxal (MG) overproduction has been reported in metabolic syndrome
with hyperglycaemia (diabetes) or without hyperglycaemia (hypertension), and the
underlying mechanism was investigated. METHODS AND RESULTS: Contributions of
different pathways or enzymes to MG formation were evaluated in aorta or cultured
vascular smooth muscle cells (VSMCs). In all four animal models of metabolic
syndrome, i.e. chronically fructose-fed hypertensive Sprague-Dawley rats,
spontaneously hypertensive rats, obese non-diabetic Zucker rats, and diabetic
Zucker rats, serum and aortic MG and fructose levels were increased, and the
expression of GLUT5 (transporting fructose) and aldolase B (converting fructose
to MG) in aorta were up-regulated. Aortic expressions of aldolase A,
semicarbazide-sensitive amine oxidase (SSAO), and cytochrome P450 2E1 (CYP 2E1),
accounting for MG formation during glycolysis, protein, and lipid metabolism,
respectively, was unchanged/reduced. Fructose (25 mM) treatment of VSMCs up
regulated the expression of GLUT5 and aldolase B and accelerated MG formation.
Insulin (100 nM) increased GLUT5 expression and augmented fructose-increased
cellular fructose accumulation and MG formation. Glucose (25 mM) treatment
activated the polyol pathway and enhanced fructose formation, leading to aldolase
B upregulation and MG overproduction. Inhibition of the polyol pathway reduced
the glucose-increased aldolase B expression and MG generation. The excess
formation of MG in under these conditions was eliminated by knock-down of
aldolase B, but not by knock-down of aldolase A or inhibition of SSAO or CYP 2E1.
CONCLUSION: Upregulation of aldolase B by accumulated fructose is a common
mechanism for MG overproduction in VSMCs and aorta in different models of
metabolic syndrome.
PMID- 21890533
TI - Effectiveness of a college-level self-management course on successful behavior
change.
AB - Studies have shown that college-level self-management (SM) courses, which
typically require students to complete an individual project as part of the
course, can be an effective method for promoting successful self-change (i.e.,
targeted behavioral change). However, only a handful of studies have focused on
and investigated the intensity of the SM component required for successfully
changing a target behavior. The purpose of this study was to (a) examine the
effectiveness of a SM course in improving a target behavior within a college
setting, (b) determine the level of SM course intensity necessary for successful
behavioral change, and (c) identify the characteristics of successful self
managers in terms of strategy use. A total of 84 college students were enrolled
in a high-intensity SM course, low-intensity SM course, or non-SM course (i.e.,
control group). Self-report questionnaires were administered at the beginning and
end of the courses. Results showed that only the high-intensity SM course was
effective for successful behavioral change and helped increase certain
psychosocial characteristics (e.g., internal locus of control, expectancy of
success). Overall, successful self-managers used significantly more SM strategies
than participants who were unable to meet their behavioral goals. Implications
and limitations are also discussed.
PMID- 21890534
TI - Gender differences in the prevalence, causes and treatment of high cardiovascular
risk: findings from the FINRISK Survey.
AB - BACKGROUND: Concerns have been raised that high cardiovascular (CVD) risk is not
always recognized in women and treated effectively enough. We aimed to analyze,
whether there are differences between men and women in the prevalence, underlying
causes and treatment of high CVD risk. DESIGN: A cross-sectional analysis of data
from population-based health examination surveys was conducted. METHODS: Pooled
data from the population-based National FINRISK Surveys from the years 1992,
1997, 2002 and 2007 were used. Subjects with any of the following were considered
as having high-risk: history of a major CVD event, prevalent diabetes or 10-year
risk of CVD >= 20% calculated according to the Framingham equation. RESULTS: We
identified 835 (46.0% women) high-risk subjects in the age group 25-54 years and
3587 (27.3% women) in the age group 55-74 years. In the younger age group men had
more often prevalent CVD (29.3% vs 7.8%, p < 0.001) and were more likely to be
smokers (46.3% vs 21.4%, p < 0.001), whereas women had more diabetes (94.0 % vs
60.5%, p < 0.001). Younger women were less likely to achieve the recommended
total cholesterol level of <4.5 mmol/l (14.3 % vs 17.3 %, p = 0.03) and had a
lower rate of hypolipidaemic therapy (9.6% vs 21.2%, p < 0.001) and
acetylsalicylic acid therapy (8.3% vs 27.7%, p < 0.001). CONCLUSION: Among young
individuals, high CVD risk was almost as common in women as in men. It appears
that the high-risk situation is not always recognized and treated adequately in
young women.
PMID- 21890535
TI - Plasma calprotectin levels reflect disease severity in patients with chronic
heart failure.
AB - BACKGROUND: Low-grade inflammation has been associated with cardiovascular
disease (CVD) and chronic heart failure (CHF). The aim of the present study was
to investigate the potential usefulness of the inflammatory protein calprotectin
as a biomarker in CHF. METHODS: Plasma calprotectin was measured in 193 CHF
patients with left ventricular function <45% and in 100 healthy controls at
baseline. Patients with CHF were followed for a median period of 2.6 years
according to mortality. RESULTS: The levels of plasma calprotectin were
significantly increased in the CHF patients compared to the control group (P <
0.01), primarily due to elevated levels in the patients with New York Heart
Association (NYHA) class III and IV. Furthermore, plasma calprotectin was a
superior biomarker of high NYHA classes than other parameters reflecting CHF
severity, OR 2.2 (1.1-4.3) (P = 0.019). After the follow-up period, 46 patients
had died. Plasma calprotectin levels did not predict mortality in CHF patients.
CONCLUSIONS: Plasma calprotectin is increased in CHF patients, indicating that
inflammatory activity is upregulated in CHF and may be associated with the
severity of CHF.
PMID- 21890536
TI - Neomacrolides in the treatment of patients with severe asthma and/or
bronchiectasis: a retrospective observational study.
AB - RATIONALE: Previous studies have demonstrated that long-term low-dose macrolides
are efficacious in cystic fibrosis (CF) and diffuse panbronchiolitis, two chronic
neutrophilic airway diseases. AIMS: The aims of this study were to evaluate the
efficacy and safety of low-dose neomacrolides as add-on therapy in patients with
severe asthma and/or bronchiectasis and to identify predictors for therapeutic
response. METHODS: In a retrospective observational cohort study, we examined 131
adult, non-CF patients with severe asthma and/or bronchiectasis, receiving low
dose neomacrolides as add-on treatment. Pulmonary function tests and symptom
scores were assessed at baseline and after 3 to 8 weeks of therapy. RESULTS:
After 3-8 weeks of treatment with low-dose neomacrolides, 108 patients were
available for evaluation. In asthma patients (n = 47), pulmonary function tests
and symptom scores improved significantly. Responders (>=7% forced expiratory
volume in one second predicted [FEV(1)%] improvement) were older (55 vs. 47
years; p = 0.042) and had a longer duration of asthma (29 vs. 9 years; p =
0.052). In patients with bronchiectasis only (n = 61), symptom scores improved
significantly. Responders (>=60% symptom score improvement) were older (61 vs. 53
years; p = 0.004), more frequently male (53% vs. 27%; p = 0.043), and there was a
nonsignificant trend towards higher high-resolution CT (HRCT) score for
bronchiectasis in responders (6.4 vs. 4.6; p = 0.053). In multivariate logistic
regression analysis, age and male gender were independent predictors for
improvement in this group. CONCLUSION: The results of this retrospective study
suggest that neomacrolides may be useful as an add-on therapy in patients with
severe asthma and/or bronchiectasis. Older age may predict good response in
patients with severe asthma, whereas older age, male gender and a higher HRCT
score for bronchiectasis may predict therapeutic response in patients with
bronchiectasis only. Prospective controlled trials of neomacrolides in patients
with severe asthma are needed to confirm these observations.
PMID- 21890537
TI - 'Sentinel' mutations in standard population sequencing can predict the presence
of HIV-1 reverse transcriptase major mutations detectable only by ultra-deep
pyrosequencing.
AB - OBJECTIVES: This proof-of-concept study aimed to identify whether mutations
considered not yet relevant for drug resistance (but located at key drug
resistance positions) can act as 'sentinels' of minority resistant variants in
HIV-1 drug-naive patients. METHODS: We focused our attention on three reverse
transcriptase (RT) mutations (T69S, L210M and K103R) easily detected by standard
population sequencing [i.e. the genotypic resistance test (GRT)]. Ultra-deep
pyrosequencing (UDPS) of HIV-1 RT was performed using GS-FLX Roche, on plasma RNA
from 40 drug-naive patients infected with HIV-1 subtype B without primary
resistance detected by GRT. Only RT drug resistance mutations detected at >0.1%
in both forward and reverse directions were considered. Associations between GRT
sentinel mutations and UDPS drug resistance were assessed using Fisher's exact
test. RESULTS: UDPS detected drug resistance mutations in 18/40 drug-naive
patients. Patients carrying HIV-1 strains with T69S and L210M by GRT showed a
trend to greater infection by minority drug-resistant variants than control
patients infected by HIV-1 without these mutations (5/10 and 7/10 versus 3/10; P
= not significant). No association was found for K103R by GRT. Notably, T69S and
L210M (but not K103R or control viruses) were associated with GRT minority drug
resistant variants with a prevalence >1% (3/10 and 4/10 versus 0/20 in K103R and
controls; P = 0.03 and P = 0.008, respectively). Moreover, the presence of L210M
or T69S viruses by GRT significantly correlated with that of minority thymidine
analogue mutations by UDPS (6/20 patients carrying HIV-1 strains with T69S/L210M
versus 0/20 patients carrying HIV-1 having K103R or none of these mutations; P =
0.03). CONCLUSIONS: This proof-of-concept study suggests the existence of genetic
markers, detectable by routine testing, potentially acting as sentinel mutations
of minority drug resistance. Their identification may help in the selection of
patients at high risk of resistance in reservoirs without the necessity of using
UDPS.
PMID- 21890538
TI - Plasma concentrations of efavirenz are associated with body weight in HIV
positive individuals.
AB - BACKGROUND: Efavirenz is among the most widely used antiretroviral drugs.
Increased efavirenz exposure has been associated with CNS side effects and also
with the chance of emergence of resistance upon treatment interruptions. The
objective of this study was to evaluate factors associated with efavirenz plasma
concentrations in a cohort of HIV-infected individuals. METHODS: From July 2009
to March 2010, HIV-infected patients with efavirenz as part of antiretroviral
therapy (600 mg at night), undetectable viral load for at least 1 year and CD4
cell count >200 cells/mm(3) were consecutively enrolled at the HIV/AIDS
ambulatory care unit in southern Brazil. Plasma samples were taken 18-23 h after
efavirenz last dose and analysed by validated ultra-performance liquid
chromatography. RESULTS: Forty-one subjects were included (21 females). Mean age
and weight were 45.4 years and 70.9 kg, respectively. Mean efavirenz plasma
concentration was 2.20 +/- 2.17 mg/L. Most plasma concentrations (73%) were
within the therapeutic window (1-4 mg/L); 17% were below and 10% above the
limits. There were no significant associations between efavirenz concentration
and age, CD4 cell count, time on antiretroviral treatment and gender. There was
significant and inverse correlation between efavirenz concentrations and body
weight (P = 0.013) and body mass index (P = 0.001). CONCLUSIONS: In this cohort
of well-controlled HIV-positive individuals, patients with lower weight or body
mass index had a higher chance of presenting elevated plasma concentrations of
efavirenz. Therapeutic drug monitoring to adjust dose might be a helpful tool to
decrease efavirenz dose in order to minimize costs and adverse effects.
PMID- 21890540
TI - Dropout from computer-based interventions for children and adolescents with
chronic health conditions.
AB - Dropout is a frequent problem in face-to-face psychological interventions.
However, little is known regarding dropout in computer-based interventions
(CBIs). It is important to understand the extent to which children and
adolescents drop out of CBIs, so we can ensure that more people complete the
programmes to gain maximum benefit. A systematic review of current research on
dropout from CBIs identified 15 studies. Dropout rate ranged from 0 per cent to
54 per cent with a median of 15 per cent. There is a need for more rigorous
investigation of the extent of, and reasons for, dropout from CBIs with children
and adolescents with chronic health conditions.
PMID- 21890541
TI - Infectious syphilis in young heterosexuals: responding to an evolving epidemic.
PMID- 21890539
TI - Bevacizumab impairs oxidative energy metabolism and shows antitumoral effects in
recurrent glioblastomas: a 31P/1H MRSI and quantitative magnetic resonance
imaging study.
AB - Bevacizumab shows unprecedented rates of response in recurrent glioblastomas
(GBM), but the detailed mechanisms are still unclear. We employed in vivo
magnetic resonance spectroscopic imaging (MRSI) and quantitative magnetic
resonance imaging to investigate whether bevacizumab alters oxygen and energy
metabolism and whether this effect has antitumoral activity in recurrent GBM.
(31)P and (1)H MRSI, apparent diffusion coefficient (ADC), and high-resolution T2
and T2' mapping (indirect marker of oxygen extraction) were investigated in 16
patients with recurrent GBM at 3 Tesla before and 1.5-2 months after initiation
of therapy with bevacizumab. Changes of metabolite concentrations and of the
quantitative values in the tumor and normal appearing brain tissue were
calculated. The Wilcoxon signed-ranks test was used to evaluate differences for
tumor/edema versus control as well as changes before versus after commencement of
therapy. Survival analyses were performed for significant parameters. Tumor T2',
pH, ADC, and T2 decreased significantly in patients responding to bevacizumab
therapy (n = 10). Patients with at least 25% T2' decrease during treatment showed
longer progression-free and overall survival durations. Levels of high-energy
metabolites were lower at baseline; these persisted under therapy.
Glycerophosphoethanolamine as catabolic phospholipid metabolite increased in
responders. The MRSI data support the hypothesis that bevacizumab induces
relative tumor hypoxia (T2' decrease) and affects energy homeostasis in recurrent
GBM, suggesting that bevacizumab impairs vascular function. The antiangiogenic
effect of bevacizumab is predictive of better outcome and seems to induce
antitumoral activity in the responding GBMs.
PMID- 21890542
TI - Dysaesthetic penoscrotodynia: nomenclature, classification, diagnosis and
treatment.
AB - Male patients can present with a genital skin burning sensation that bears
similarities to vulvodynia. The classification of vulvodynia by International
Society for the Study of Vulvovaginal Disease of vulvodynia provides a blueprint
for nomenclature and classification of Dysaesthetic penoscrotodynia (DPSD).
Recognizing DPSD as generalized, localized, provoked, unprovoked and mixed will
enable precise and objective communication between practitioners. Learning from
research on the aetiology and management of vulvodynia can improve the care of
patients suffering with DPSD. Scope remains for better acknowledgement of DPSD
within the medical profession and improvement in its public profile in order to
enhance patient care.
PMID- 21890543
TI - The public health response to the re-emergence of syphilis in Wales, UK.
AB - During the 1990s, cases of infectious syphilis were uncommon in Wales. In 2002,
an outbreak occurred in a sexual network of men who have sex with men (MSM)
attending a sauna. A multidisciplinary outbreak control team was convened to
raise awareness of the outbreak among MSM and health professionals, assess the
extent of outbreak, and initiate surveillance measures. It is likely that early
intensive control efforts dampened the epidemic curve. However, since 2006 the
number of cases has increased steadily to a peak of four cases per 100,000
population in 2008. The majority of cases continue to occur in MSM (81% in 2009)
and in those attending genitourinary (GU) medicine clinics in south east Wales
(76%). Traditional sexual networks such as saunas, bars/clubs and cruising
grounds remain frequently reported, but Internet-based networks are assuming
increasing importance. Public health interventions have been sustained, using
traditional partner notification, health promotion initiatives, and more
innovative Internet network tracing methods.
PMID- 21890544
TI - Syphilis resurgence in Dublin, Ireland.
AB - A large outbreak of syphilis was reported in Dublin, Ireland, in 2001. The mean
age of patients in 2001 was 35 years and 22.5% of patients were HIV-positive. The
number of new cases decreased from 2003 on, however, new diagnoses have again
increased. All positive syphilis serology results from 2007-09 were identified.
Patients were included if they had a newly positive syphilis serology or, in the
case of patients with previously treated syphilis, had a four-fold rise in rapid
plasma reagin titre. Four hundred and thirty-nine new diagnoses of syphilis were
made. The mean age of patients at diagnosis was 35.7 years (range 17-73 years).
Four hundred and twelve (93.8%) cases occurred in men. Three hundred and eighty
one (86.8%) cases occurred in men who have sex with men (MSM). The estimated
crude incidence rate among MSM is 378.16 per 100,000 population. Where known,
126/421 (28.7%) occurred in HIV-positive patients. Sixty-eight (15.5%) episodes
of syphilis infection were diagnosed in patients who had had previously been
diagnosed and treated for syphilis; 43/68 (63.2%) cases of re-infection occurred
in HIV-positive patients. The rising number of syphilis diagnoses and high
associated HIV co-infection rate is concerning and prevention efforts must
continue to decrease the number of new syphilis cases.
PMID- 21890545
TI - Survival of HIV-infected patients admitted to the intensive care unit in the era
of highly active antiretroviral therapy.
AB - We retrospectively studied outcomes for HIV-infected patients admitted to the
intensive care unit (ICU) between January 1999 and June 2009. Patient
demographics, receipt of highly active antiretroviral therapy (HAART), reason for
ICU admission and survival to ICU and hospital discharge were recorded.
Comparison was made against outcomes for general medical patients
contemporaneously admitted to the same ICU. One hundred and ninety-two HIV
infected patients had 222 ICU admissions; 116 patients required mechanical
ventilation (MV) and 43 required renal replacement therapy. ICU admission was due
to an HIV-associated diagnosis in 113 patients; 37 had Pneumocystis pneumonia.
Survival to ICU discharge and hospital discharge for HIV-infected patients was
78% and 70%, respectively, and was 75% and 68% among 2065 general medical
patients with 2274 ICU admissions; P = 0.452 and P = 0.458, respectively. HIV
infection was newly diagnosed in 42 patients; their ICU and hospital survival was
69% and 57%, respectively. From multivariable analysis, factors associated with
ICU survival were patient's age (odds ratio [OR] = 0.74 [95% confidence interval
(CI) = 0.53-1.02] per 10-year increase), albumin (OR = 1.05 [1.00-1.09] per 1
g/dL increase), Acute Physiology and Chronic Health Evaluation (APACHE) II score
(OR = 0.55 [0.35-0.87] per 10 unit increase), receipt of HAART (OR = 2.44 [1.01
4.94]) and need for MV (OR = 0.14 [0.06-0.36]). In the era of HAART, HIV-infected
patients should be offered ICU admission if it is likely to be of benefit.
PMID- 21890547
TI - A cluster of infectious syphilis among young heterosexuals in south-east
Hampshire.
AB - A small cluster of infectious syphilis among young heterosexuals aged under 25
years in south-east Hampshire has highlighted the limitations of effective
partner notification, the challenges of providing effective awareness raising and
the need for increased accessibility to genitourinary (GU) medicine services.
Evaluation of awareness raising to both the target age group and health care
professionals indicated that the strategies employed did not increase testing and
had limited impact on young people in terms of seeking further information.
PMID- 21890546
TI - Voluntary counselling and testing sites as a source of sentinel information on
HIV prevalence in a concentrated epidemic: a pilot project from Indonesia.
AB - A new system for monitoring HIV voluntary counselling and testing (VCT) outcomes
was established in 2007 at seven VCT clinics in Jakarta and Bali, Indonesia.
Counsellors collected demographic and risk information from VCT clients. Logistic
regression was used to determine factors associated with HIV infection. In 15
months, HIV prevalence in 5569 new clients without HIV symptoms was 63.3% in
injecting drug users (IDUs) (n = 783), 7.7% in female sex workers (n = 1437),
31.6% among transgender people (n = 395), 9.3% in men who have sex with men (n =
268), 13.5% in clients of sex workers (n = 643), 21.1% in people with high-risk
partners (n = 569) and 3.2% in other VCT clients (n = 822). Among IDUs, being
older, tested though outreach, tested due to being 'at risk' and having injected
for one or more years were independently associated with HIV infection. This
network confirmed high HIV prevalence among IDUs and transgender people. HIV
prevalence estimates were consistent with serosurveys.
PMID- 21890548
TI - An ongoing outbreak of heterosexually-acquired syphilis across Teesside, UK.
AB - Prior to 2006, diagnoses of heterosexually acquired syphilis were rare in
Teesside (an area in the north east of England, UK). Since 2006, there has been
an increase in such cases, with 24 cases diagnosed in 2006 and 22 in 2007. There
was a marked reduction in cases in 2008 with six cases reported, but a large
increase in diagnoses in 2009 (34 cases). There have been 14 cases to date in
2010. Of concern is the increase noted in women and younger age groups.
Geographical mapping of cases shows a wide dispersion across Teesside although
some clusters were identified, mostly in areas of high deprivation. Little
detailed information is available to help identify social and sexual networks
widely and target intervention. A multiagency outbreak control team is addressing
this problem, based on the principles of partner notification, increased
awareness, increased screening and health promotion activities. A range of
measures, including a detailed communications plan, have been implemented.
PMID- 21890549
TI - Heterosexual transmission of infectious syphilis in central Scotland, 2009.
AB - A local outbreak of infectious syphilis among heterosexual men and women was
noted among residents of a National Health Services board in central Scotland in
2009. This is the first, and remains the only, such outbreak in Scotland
reporting transmission in the heterosexual population. It was characterized by
the young age of those infected. This highlights the need for increasing
knowledge and awareness of syphilis infection among this group.
PMID- 21890550
TI - An outbreak of infectious syphilis among young heterosexuals in an English town.
AB - We describe a recent outbreak of syphilis in young heterosexuals in the north
west of England. A cluster of 12 cases of syphilis (7 primary and 5 early latent)
was identified in Rochdale in heterosexuals aged 20 or under. Nine were women.
Five were asymptomatic at presentation. This outbreak occurred in a group not
usually associated with syphilis transmission in the north west. Not all the
identified cases could be linked, and so potentially this outbreak is a sentinel
of a larger problem.
PMID- 21890551
TI - Investigation of a cluster of syphilis among heterosexuals in an English town.
AB - A cluster of five (3 primary and 2 early latent) cases of syphilis were
identified in young heterosexuals in the east of England. Three were symptomatic
at presentation. No further cases linked to this cluster have been diagnosed
since June 2010. Effective partner notification is key to the identification and
treatment of infected contacts.
PMID- 21890552
TI - Sexual health in general practice: do practitioners comply with the sexually
transmitted infections guidelines for management of suspected chlamydial
infections?
AB - Escalating notifications of chlamydia in Western Australia (WA) prompted an audit
of the compliance of general practitioners (GPs) with the current guidelines for
management. The audit consisted of a telephone survey of GPs known to have
treated a case of chlamydia. GPs generally performed opportunistic screening well
and complied with the guidelines for testing and treatment. Only 8% of GPs took a
comprehensive sexual history from symptomatic cases, 53% routinely tested for
blood-borne sexually transmitted infections and 29% recorded a discussion of
partner notification in the medical records. The results of the audit form part
of the review of the current edition of the guidelines, and will be noted in the
plans for promotion and dissemination of the next edition.
PMID- 21890553
TI - Use of Telephonetics RESULTS computer-facilitated telephone system with automatic
results upload.
AB - Our genitourinary medicine service implemented an automated telephone results
system in 2010. This system is used in other services but few are able to upload
negative results automatically from laboratory software. The use of this system
reduced unanswered calls to a telephone results line and also the number of calls
that had to be connected to clinical staff. Patients have better access to their
results in a timely manner, as per the requirements of the recent Standards for
the Management of Sexually Transmitted Infections document.
PMID- 21890554
TI - Primary syphilis of the urethral meatus complicated by urethral stricture.
AB - A 27-year-old man who has sex with men presented with a painful lesion at the
urethral meatus. A diagnosis of genital herpes was suspected, and initial tests
for sexually transmitted infections (STIs), including syphilis serology, were
negative. However, a polymerase chain reaction (PCR) swab for Treponema pallidum
from the lesion was positive, and a diagnosis of chancre of primary syphilis at
the meatus was made. Subsequently, the patient required urological surgery due to
the formation of a urethral stricture. Chancre at the meatus and development of
stricture are unusual complications of syphilis that may become more common in
the future with increasing case numbers. We also discuss the development of PCR
as a useful test for early primary syphilis.
PMID- 21890555
TI - Early syphilis in a man with a negative Treponema pallidum enzyme immunoassay
IgM.
AB - Most serological tests for syphilis rely on an individual's ability to produce
antibodies. A single screening test may be unreliable for screening in those with
primary immunodeficiency. We present the first reported case of primary and
secondary syphilis with negative Treponema pallidum enzyme immunoassay-IgM and
Venereal Disease Research Laboratory tests in a man with common variable
immunodeficiency.
PMID- 21890556
TI - The gumma and the gonad: syphilitic orchitis, a rare presentation of testicular
swelling.
AB - A 47-year-old man presented to his general practitioner (GP) with painful
swelling of his right testis. He was diagnosed with epididymo-orchitis and a two
week course of erythromycin 500 mg four times daily was prescribed by his GP.
Despite initial improvement, his symptoms persisted and he was referred to the
local urology department. His sexual history revealed one lifetime sexual
contact. A midstream urine sample grew non-lactose fermenting coliforms sensitive
to ciprofloxacin and a two-week course of ciprofloxacin 500 mg two times daily
was prescribed. Despite clinical improvement, a persistent suspicious abnormality
on the ultrasound scan of his right testis prompted a right radical inguinal
orchidectomy to exclude malignancy. Further diagnostic tests including
histopathology excluded malignancy but confirmed tertiary syphilis. A
comprehensive literature search revealed only 11 confirmed cases in the past 59
years.
PMID- 21890557
TI - Condyloma acuminatum: atypical presentation during pregnancy.
AB - Genital warts (condylomata acuminata) are caused by human papillomavirus (HPV)
and are usually transmitted sexually. We present an atypical case of a vaginal
wart presenting as a single pedunculated mass protruding outside the vagina in a
pregnant woman in labour. On examination of the vulva, a soft, pink papilliferous
growth of 6 * 4 cm size was seen hanging outside the vaginal introitus. Speculum
examination revealed that the growth was attached to the posterior and lateral
vaginal wall near the fornix. Genital warts can proliferate during pregnancy due
to altered immunity and increased blood supply, as seen in this case. Vaginal
warts can also cause problems during delivery, since they prevent the vagina from
stretching appropriately.
PMID- 21890558
TI - Immune restoration Klebsiella lymphadenitis.
AB - A subset of HIV-infected patients who receive antiretroviral therapy (ART)
develop immune restoration syndrome. A case of immune restoration Klebsiella
lymphadenitis is described. Fine needle aspiration cytology remains a useful
investigative tool in the post-ART era, particularly in the diagnosis of
persistent generalized lymphadenopathy, infection and malignancy of the lymph
nodes.
PMID- 21890559
TI - Is unprotected insertive anal sex a predisposing factor in causing sexually
transmitted urinary tract infection in men?
PMID- 21890560
TI - Posterior nutcracker syndrome.
AB - Renal vein compression syndromes are rare causes of hematuria and can be divided
into anterior and posterior nutcracker syndrome. When the left renal vein is
compressed between the aorta and the superior mesenteric artery it causes
anterior nutcracker syndrome. The posterior nutcracker syndrome is very rare and
is considered when the left renal vein is compressed between the aorta and
vertebral column. Symptoms of nutcracker syndromes may include intermittent left
flank pain associated with hematuria, proteinuria, and sometimes with symptoms of
pelvic congestion. Diagnosis is often difficult and plan for treatment is always
challenging and requires careful evaluation of the patient's history and workup
findings. We present a rare case report of a posterior nutcracker syndrome
diagnosed in a young lady with long-standing symptoms that required surgical
intervention.
PMID- 21890561
TI - Thrombin injection for the treatment of mycotic gluteal aneurysm.
AB - Gluteal aneurysms are rare entity, whose surgical or endovascular management is
traditionally challenging. Infectious source being increasingly more common as
the underlying etiology. We herein describe successful implementation of direct
thrombin injection as another therapeutic option for these patients.
PMID- 21890562
TI - Toxicity of cyanuric acid to broilers on hepatic and renal health with and
without melamine.
AB - The purpose of this study was to evaluate the toxicity potential of cyanuric acid
(CYA) and a combination of melamine (MEL) and CYA in broilers. A total of 1200
male COBB 500 broilers were randomly allocated into 1 of 10 treatment groups by a
5 * 2 factorial design in a 42-d experiment. The dietary treatments were as
follows: T(1) to T(5): basal diets with 0, 10, 20, 33.3, and 50 mg CYA per kg
diet; T(6) to T(10): basal diet with CYA regimens similar to T(1) to T(5) but
with 100 mg MEL per kg diet. There were 6 replication pens with 20 chicks per
pen. No differences were observed in alanine transaminase (ALT) and aspartate
aminotransferase (AST) activities. But on d 22, uric acid (UA) and creatinine
(Crea) concentrations were significantly greater when birds were fed CYA at 33.3
mg/kg with MEL 100 mg/kg, and Crea concentration was also higher when birds were
fed CYA at 50 mg/kg. No crystals were found in kidneys but dilated renal tubules
and small blood vessel expansion were found in kidneys of birds fed CYA at 50
mg/kg and CYA at 33.3 mg/kg with MEL 100 mg/kg. The apoptosis rate (AR) of
kidneys of all birds fed CYA and MEL contaminated diets were higher than the
control group. These results indicated that the dietary addition of CYA and MEL
could induce kidney damage, and the effects were harmful when the ratio of
CYA/MEL was 1:3.
PMID- 21890564
TI - What is the best way to deliver subcutaneous insulin to infants, children, and
young people with type 1 diabetes mellitus?
PMID- 21890565
TI - There IT goes again.
PMID- 21890566
TI - UK access to primary angioplasty services is still highly variable.
PMID- 21890567
TI - US commission recommends increased protection for people in research after
reviewing 1940s syphilis study.
PMID- 21890568
TI - UK peers call for extra investment in HIV and AIDS services.
PMID- 21890569
TI - "The end of good luck''--long-term survival without anticoagulation: a case
report and review of the literature.
AB - Long-term anticoagulation in patients with metallic prosthetic valve disease is
required according to current guidelines. We describe a patient with a
functioning mitral mechanical valve without anticoagulation for 27 years. A 46
year-old man admitted to the emergency department with complains of palpitation.
The patient had a mitral valve replacement because of severe mitral stenosis. He
discontinued warfarin treatment 1 month after surgery because of the
unavailability of this drug in Turkey. Transthoracic echocardiography revealed
functioning metalic mitral valve with a mean gradient of 9 mm Hg. Fluoroscopy
showed normal excursions of the mechanical mitral valve. Transesophageal
echocardiography was performed and revealed fresh thrombus formation in the left
atrial appendix. Admission international normalized ration (INR) level was 1.79.
Due to the higher INR level and long-term survival, genetic analysis of warfarin
polymorphism was performed. There was a homozygous mutation in the vitamin K
epoxide reductase complex 1 (VKORC1) 1173C>T and 1639G>A genotypes. The possible
explanations of long-term survival and baseline higher INR level were linked to
the mutation in warfarin metabolism. We also briefly review the literature.
PMID- 21890570
TI - Probable warfarin and dapsone interaction.
AB - We describe a case of a 41-year-old woman who was stable for over a year on 22.5
mg/week of warfarin. At a follow-up visit, her international normalized ratio
(INR) was found to be supratherapeutic at 3.9. Her only significant change was
acyclovir initiation for shingles, and clindamycin and dapsone for infection on
her right foot. An interaction report was run using Micromedex with no
interactions reported. Sixteen percent of the weekly dose was held and
maintenance dose was continued. Two weeks later, the INR remained
supratherapeutic at 4.3, with discontinuation of clindamycin and dapsone, 5 days
earlier, as the only change. This time an interaction report was run using Lexi
Comp, which identified an interaction between warfarin and dapsone. The INR has
been therapeutic and stable since discontinuation of transient factors. It is
hypothesized that warfarin and dapsone compete for binding on the CYP2C9 and
CYP3A4 isoenzymes and therefore serum concentration of warfarin was elevated.
PMID- 21890571
TI - Hemostasis research in India: past, present, and future.
AB - Hemostasis research in India has a long history considering the fact that it is
one of the youngest specialities in the world. If we take creation of prothrombin
time (PT) test as one of the beginning of modern hemostsis research, then the
specialty is no older than 60 years. School of Tropical Medicine Kolkata, Banaras
Hindu University, All India Institute of Medical Sciences at Delhi, Christian
Medical College at Vellore, Post Graduate Institute of Medical Education and
Research at Chandigarh, and KEM Hospital at Mumbai contributed substantially in
defining various bleeding disorders in our country. Unfortunately, some of these
institutes are no longer as active in the field as they used to be. Currently,
the Institute of Immunohaematology at Mumbai, Chrstian medical College at
Vellore, and All India Institute of Medical Sciences at Delhi are actively
engaged in hemostsis research in India. Developing prenatal diagnostic
technologies, mutation detection of various hemostatic disorders, developing low
cost management technologies for hemophilia, and other bleeding disorders are
becoming important present day research activity in the area of hemostasis in
addition to age old areas of prevalence and unusual case description studies.
Entry of many new corporate hospitals, development of structured postgraduate
training program in hematology, and easy availability of instruments and reagents
are likely to foster further growth in this area of medical research in India in
future.
PMID- 21890572
TI - Labour government's increased NHS spend produced better health outcomes, study
finds.
PMID- 21890573
TI - Long-term exposure to air pollution and asthma hospitalisations in older adults:
a cohort study.
AB - BACKGROUND: Exposure to air pollution in early life contributes to the burden of
childhood asthma, but it is not clear whether long-term exposure to air pollution
can lead to asthma onset or progression in adulthood. OBJECTIVES: The authors
studied the effect of exposure to traffic-related air pollution over 35 years on
the risk for asthma hospitalisation in older people. METHODS: 57 053 participants
in the Danish Diet, Cancer and Health cohort, aged 50-65 years at baseline (1993
1997), were followed up for first hospital admission for asthma until 2006, and
the annual nitrogen dioxide (NO(2)) levels were estimated as a proxy of the
exposure to traffic-related air pollution at the residential addresses of the
participants since 1971. The association between NO(2) and hospitalisation for
asthma was modelled using Cox regression, for the full cohort and in people with
and without previous hospitalisations for asthma, and the effect modification by
comorbid conditions was assessed. RESULTS: During 10.2 years' median follow-up,
977 (1.9%) of 53 695 eligible people were admitted to hospital for asthma: 821
were first-ever admissions and 176 were readmissions. NO(2) levels were
associated with risk for asthma hospitalisation in the full cohort (HR and 95% CI
per IQR, 5.8 MUg/m(3): 1.12; 1.04-1.22), and for first-ever admissions (1.10;
1.01-1.20), with the highest risk in people with a history of asthma (1.41; 1.15
2.07) or chronic obstructive pulmonary disease (COPD) (1.30; 1.07-1.52)
hospitalisation. CONCLUSIONS: Long-term exposure to traffic-related air pollution
increases the risk for asthma hospitalisation in older people. People with
previous asthma or COPD hospitalisations are most susceptible.
PMID- 21890574
TI - Grey matter atrophy in cognitively impaired Parkinson's disease.
AB - OBJECTIVE: Mild cognitive impairment and dementia are common non-motor features
of Parkinson's disease (PD). The aim of this study was to characterise grey
matter changes associated with clearly defined stages of cognitive impairment in
PD using structural MRI. METHODS: 96 PD subjects were classified using detailed
cognitive testing as PD with normal cognition (PD-N, n=57), PD with mild
cognitive impairment (PD-MCI, n=23) or PD with dementia (PD-D, n=16); 34 controls
matched for mean age and sex ratio also participated. Grey matter volume
differences were evaluated using voxel based morphometry of grey matter segments
derived from T1 weighted 3 T MRI, and multiple linear regression assessed the
relationship between cognitive and motor impairments and grey matter
concentration. RESULTS: Compared with controls, no grey matter differences were
found in PD-N. PD-MCI showed limited grey matter atrophy in the temporal,
parietal and frontal cortex as well as the bilateral caudal hippocampus, amygdala
and right putamen. PD-D subjects exhibited far more extensive atrophy in regions
involved in PD-MCI but also had reduced grey matter volume in other large areas
of the temporal lobe (including the parahippocampi), the intracalcarine and
lingual gyri, posterior cingulate gyrus, frontal regions and bilateral caudate.
Grey matter loss in PD correlated with global cognitive score but not motor
impairment in most of these regions. INTERPRETATION: Marked grey matter atrophy
occurs in PD with dementia but far less extensive changes are evident in PD-MCI.
Some grey matter atrophy precedes the development of dementia but may be
accelerated once frank dementia begins.
PMID- 21890575
TI - Deep brain stimulation in early stage Parkinson's disease: operative experience
from a prospective randomised clinical trial.
AB - BACKGROUND: Recent evidence suggests that deep brain stimulation of the
subthalamic nucleus (STN-DBS) may have a disease modifying effect in early
Parkinson's disease (PD). A randomised, prospective study is underway to
determine whether STN-DBS in early PD is safe and tolerable. OBJECTIVES/METHODS:
15 of 30 early PD patients were randomised to receive STN-DBS implants in an
institutional review board approved protocol. Operative technique, location of
DBS leads and perioperative adverse events are reported. Active contact used for
stimulation in these patients was compared with 47 advanced PD patients
undergoing an identical procedure by the same surgeon. RESULTS: 14 of the 15
patients did not sustain any long term (>3 months) complications from the
surgery. One subject suffered a stroke resulting in mild cognitive changes and
slight right arm and face weakness. The average optimal contact used in
symptomatic treatment of early PD patients was: anterior -1.1+/-1.7 mm, lateral
10.7+/-1.7 mm and superior -3.3+/-2.5 mm (anterior and posterior commissure
coordinates). This location is statistically no different (0.77 mm, p>0.05) than
the optimal contact used in the treatment of 47 advanced PD patients.
CONCLUSIONS: The perioperative adverse events in this trial of subjects with
early stage PD are comparable with those reported for STN-DBS in advanced PD. The
active contact position used in early PD is not significantly different from that
used in late stage disease. This is the first report of the operative experience
from a randomised, surgical versus best medical therapy trial for the early
treatment of PD.
PMID- 21890576
TI - Survey of non-invasive ventilation use in ALS in Britain.
PMID- 21890577
TI - Cortical pathology in multiple sclerosis patients with epilepsy: a 3 year
longitudinal study.
AB - INTRODUCTION: The cause of epilepsy in multiple sclerosis (MS) has not yet been
elucidated. The relevance of cortical pathology (cortical lesions and thickness)
in MS patients with and without epilepsy was evaluated in a longitudinal study.
METHODS: 32 relapsing-remitting MS patients with epilepsy (RRMS/E) and 60 matched
RRMS patients without epilepsy were included in a 3 year longitudinal study. The
following clinical and MR parameters were analysed: Expanded Disability Status
Scale (EDSS), cognitive score (CS), cortical lesion (CL) number and volume, grey
matter fraction (GMf), global cortical thickness (CTh), T2 white matter lesion
volume (T2WMLV), new CLs and new WM lesions. RESULTS: At baseline (T0), CLs were
observed in 27/32 (84.4%) RRMS/E and in 26/60 (43.3%) RRMS (p<0.001) patients,
and the RRMS/E group had a higher number (10.2 +/- 8.9 vs 4.5 +/- 2.4; p<0.001)
and total volume (2.0 +/- 1.3 vs 0.7 +/- 0.8 cm(3); p<0.001) of CLs compared with
the RRMS group. No significant difference in T2WMLV was observed. Global CTh was
lower in RRMS/E (2.12 +/- 0.19 vs 2.35 +/- 0.14 mm; p<0.001), and this group also
showed a decline in cognition (CS 10.9 +/- 6.3 vs 6.2 +/- 3.5; p<0.001). After 3
years (T1), the RRMS/E group had a higher accumulation of new CLs (3.4 +/- 3.2 vs
1.2 +/- 1.1; p<0.001) and faster reduction of GMf (p=0.022) while the two groups
did not differ in the number of new WM and new Gad+ lesions. DISCUSSION: RRMS/E
had a more severe and rapidly evolving cortical pathology (CLs and atrophy)
compared with RRMS without epilepsy. The RRMS/E group was also characterised by
more pronounced cognitive decline, higher EDSS and higher prevalence of men.
PMID- 21890578
TI - Bicyclist deaths and striking vehicles in the USA.
AB - OBJECTIVES: Bicycling is a popular means of transportation that is sometimes
associated with injury from collisions. The authors analysed national data for
the USA to evaluate bicyclist deaths associated with motor vehicle impacts.
METHODS: The authors conducted a population-based case-control analysis of road
deaths reported by the National Highway Traffic Safety Administration. The
authors included bicyclist deaths from 1 January 2008 to 31 December 2008
(cases), along with the non-bicyclist road deaths immediately before and after
the bicyclist death in the same state (controls). Analyses also included linkages
to auto appraisal websites to estimate type, size and cost of the motor vehicle
involved in each death. RESULTS: A total of 711 bicyclist deaths were included,
equivalent to a rate of 2 deaths per million population annually. No state had a
rate statistically significantly below the national average whereas Florida was a
high outlier with three times the national rate (p<0.001). The typical bicyclist
who died was a man travelling in the afternoon or evening. The average estimated
resale value of the involved motor vehicle was about one-third higher for
bicyclist deaths than control deaths (US$10 603 vs US$8118, p<0.001). Analyses
based on median estimated resale value and luxury resale value yielded similar
findings. Stratified analyses based on demographics, time and posted speed limits
yielded similar discrepancies. Larger motor vehicles were particularly common in
bicyclist deaths compared to control deaths, especially freight trucks (11% vs
8%, p=0.008) and large automobiles (43% vs 37%, p=0.004). Conversely, motorcycles
were distinctly infrequent in bicyclist deaths compared to control deaths (1% vs
14%, p<0.001). CONCLUSIONS: Large expensive motor vehicles account for a
disproportionate share of bicyclist deaths. Bicyclists, motorists, policy-makers
and vehicle manufacturers need to consider more imaginative solutions to help
prevent future deaths.
PMID- 21890579
TI - Preventing unintentional injuries to children under 15 years in the outdoors: a
systematic review of the effectiveness of educational programs.
AB - INTRODUCTION: Unintentional injuries to children in the outdoors have a
significant impact on child mortality, development and healthcare costs. This
paper presents the findings of a systematic review about the effectiveness of
programs that provided information, advice or education about the prevention of
unintentional injuries to children under 15 years during outdoor play and
leisure. METHODS: A structured search strategy was conducted in a range of
databases. All report titles and abstracts were screened using pre-defined
criteria. Included reports were quality appraised using a modified Graphical
Appraisal Tool for Epidemiological studies (GATE) tool. All quality appraisals
and data extraction were checked by a second reviewer. If not provided in the
original reports, ORs and mean differences were calculated, where sufficient data
were available. RESULTS: Twenty-three studies met the inclusion criteria. There
was a paucity of robust study designs. The majority of studies only reported a
short-term follow-up of intermediate outcome measures. Only two studies measured
injury rates; both reported a reduction, but both studies also had considerable
methodological weaknesses. The five studies that measured the use of protective
equipment reported mixed results, although there is some evidence that suggests
that more extensive educational programs (such as health fairs and media
campaigns) increase their use. The 20 studies that measured behaviour, attitude
or knowledge outcomes reported highly mixed results. DISCUSSION: Methodological
weaknesses of the included studies limit support for a particular course of
action. To better inform policy and practice, future research should (1) use
robust study designs and (2) not rely on short-term proxy outcome measures.
PMID- 21890580
TI - Pilot cluster randomised controlled trial of flooring to reduce injuries from
falls in elderly care units: study protocol.
AB - Falls are an issue disproportionately affecting older people who are at increased
risk of falls and injury. This protocol describes a pilot study investigating
shock-absorbing flooring for fall-related injuries in wards for older people.
OBJECTIVES: To inform future research by evaluating fall-related injuries on the
intervention and existing flooring, assessing the sustainability of the flooring
in ward environments, estimating the cost-effectiveness of the floor and
assessing how the floor affects patients and other users. DESIGN: This study uses
mixed methods a pilot cluster randomised controlled trial, observation via
mechanical testing and interviews. Eight participating wards (clusters) are
randomised using a computer-generated list. No blinding is incorporated into the
study. Each site has a baseline period of approximately 6 months. Then, four
sites receive the intervention floor, while four continue using standard floors.
Sites are then followed up for approximately 1 year. PARTICIPANTS: Any person
admitted to a bed in the 'study area' of a participating ward can be entered into
the trial. Orientated patients, visitors and any hospital staff who use the floor
in a study area are eligible for inclusion in an interview. INTERVENTION: An 8.3
mm thick vinyl floor covering with polyvinyl chloride foam backing (Tarkett
Omnisports EXCEL). OUTCOMES: The primary outcome is fall-related injuries.
Severity of injuries, falls, cost-effectiveness, user views and mechanical
performance (shock absorbency and slip resistance) are also being assessed.
PMID- 21890581
TI - Reducing regional inequality in mortality from road traffic injuries through
enforcement of the mandatory motorcycle helmet law in Taiwan.
AB - BACKGROUND: This study was conducted to examine whether passage of the mandatory
motorcycle helmet law in 1997 reduced the regional inequality in mortality from
road traffic injuries (RTIs) across 22 cities/counties in Taiwan. METHODS: We
calculated the absolute (between-group variance, BGV) and relative (rate ratio
between the city/county with the highest and lowest rate, RR) terms of inequality
for the overall and motorcycle-related RTI mortality rates, the rate of helmet
use and three other explanatory factors associated with RTI mortality at the
city/county level from 1997 through 2008. RESULTS: The BGV of the overall and
motorcycle-related RTI mortality rates across the 22 cities/counties showed
persistently decreasing trends from 1997 to 2008; however, the RR of RTI
mortality first increased and then levelled off from 2002. The decreasing trend
in inequality was most prominent in males aged 0-24 years. The BGV and RR of the
rate of motorcycle helmet use decreased after passage of the law but increased
from 2002 onwards. CONCLUSION: In Taiwan, passage of the mandatory motorcycle
helmet law reduced the regional inequality in RTI mortality; however, a
resurgence in regional inequality in the helmet use rate years after passage of
the helmet law was noted. It is therefore necessary to monitor the helmet use
rate after passage of such a law to ensure the effect of a reduction in regional
inequality in RTI mortality.
PMID- 21890582
TI - Modulation of anxiety behavior in the elevated plus maze using peptidic oxytocin
and vasopressin receptor ligands in the rat.
AB - Oxytocin (OT) and arginine vasopressin (AVP), in their capacities as
neuromodulators, are believed to play an important role in mood control,
including regulation of the anxiety response. In the present study, the
contributions of oxytocin and vasopressin receptor modulation to anxiety-like
behaviors were examined in male Sprague-Dawley rats. The behavioral effects of
the OT receptor agonist, carbetocin (intracerebroventricular, intravenous and
intraperitoneal routes), the AVP receptor agonist desmopressin (intravenous
route), and the OT/AVP(1A) receptor antagonist atosiban (intravenous route) were
evaluated in the elevated plus maze. The benzodiazepine diazepam was included as
a positive control. Central but not systemic administration of carbetocin
produced pronounced anxiolytic-like behavioral changes comparable to those
measured following systemic diazepam treatment. The anxiolytic efficacy of
carbetocin was maintained following 10 days of once-daily treatment, contrasting
with the effects of diazepam which were no longer distinguishable from saline
treatment. Systemic administration of desmopressin produced anxiogenic-like
effects whereas systemic atosiban produced anxiolytic-like effects. Co
administration of desmopressin with atosiban resulted in saline-like behavioral
responses, implicating an AVP(1A) receptor mechanism in the anxiolytic and
anxiogenic effects of these neuropeptides following systemic administration. A
peripherally-mediated antidiuretic effect of desmopressin on water consumption
was also demonstrated. These results highlight the potential therapeutic utility
of AVP(1A) receptor blockade in the modulation of anxiety-related behaviors;
AVP(1A) receptor blockade appears to be a more promising pharmacological target
than does OT receptor activation following systemic drug administration.
PMID- 21890583
TI - Alcohol selectively impairs negative self-relevant associations in young
drinkers.
AB - The stress-dampening effects of alcohol have been attributed to 'appraisal
disruption'- decreased ability of stimuli to evoke threatening associations in
memory. Appraisal disruption could apply to oneself as well as situational
stimuli. This question was investigated in undergraduate drinkers (n=90/Gender)
with low or high anxiety sensitivity (AS; n=90/AS Group), a trait linked with
hyper-vigilance to threat. Subjects received alcohol (0.7 g/kg males; 0.63 g/kg
females), placebo or soft drink and performed a speech about their appearance.
Sequence of drink administration and speech advisory (threat) was manipulated
between subjects: Threat before Drink, Threat after Drink, No-Threat Control. The
Implicit Association Test measured self-relevant associations based upon time to
classify positive and negative attribute words (e.g. Cute, Ugly) paired with self
relevant or non-self-relevant object words (e.g. Me, Them). Alcohol selectively
slowed negative self-relevant decisions, regardless of other factors. Relative
fluency of negative versus positive decisions (D) correlated inversely with state
anxiety and systolic blood pressure immediately before speech performance, and
correlated directly with severity of alcohol problems. These findings are
consistent with the Appraisal Disruption hypothesis. Preferential impairment of
negative self-relevant associations may decrease perceived vulnerability under
alcohol and increase risk for alcohol problems in young drinkers.
PMID- 21890585
TI - The effects of TPA023, a GABAAalpha2,3 subtype-selective partial agonist, on
essential tremor in comparison to alcohol.
AB - Essential tremor (ET) is a relatively frequent neurological disorder that
responds in some patients to gamma-aminobutyric acid A (GABA(A)) agonists such as
the benzodiazepines. Partial subtype-selective GABA(A) agonists may have an
improved side effect profile compared to non-selective GABA(A) agonists. However,
it is unknown which GABA(A) subtypes are involved in the therapeutic effects of
benzodiazepines in ET. The effects of 2 mg TPA023, a GABA(A) alpha2,3 subtype
selective partial agonist, on ET were compared to the effects of a stable alcohol
level (0.6 g/L) and placebo in nine patients with ET. Tremor evaluation included
laboratory accelerometry and a performance-based scale. Additional measurements
were performed to evaluate other effects on the central nervous system (CNS).
Alcohol significantly diminished tremor symptoms in the postural and kinetic
condition, as assessed by laboratory accelerometry, but the performance-based
rating scale was unaffected. Tremor was also reduced after TPA023 treatment in
the kinetic condition, albeit not significantly. Additionally, TPA023 decreased
saccadic peak velocity, while alcohol decreased subjective feelings of alertness.
This study showed that alcohol reduced maximum tremor power, as assessed by
laboratory accelerometry, unlike TPA023, which decreased tremor symptoms to some
extent but not significantly. This study showed that treatment with an alpha2,3
subunit-selective GABA(A) partial agonist was less effective than a stable level
of alcohol in reducing ET symptoms. These results provide no support for a
therapeutic role of TPA023 in the suppression of ET symptoms.
PMID- 21890586
TI - Effects of positive modulators of alpha-amino-3-hydroxy-5-methyl-4
isoxazolepropionic acid (AMPA)-type glutamate receptors in a benzodiazepine
induced deficit of spatial discrimination in mice.
AB - Imbalance between GABAergic and glutamatergic neurotransmission has been recently
hypothesized to trigger memory decline related either to ageing or to Alzheimer's
disease (AD). Thereby, benzodiazepine-induced anterograde amnesia has been
construed as a model of hippocampal-related cognitive dysfunctions. Since spatial
memory is altered both by ageing and by benzodiazepines such as alprazolam, we
investigated the pharmacological sensitivity of alprazolam-induced deficit in a
delayed spatial discrimination (SD) task, notably with positive allosteric
modulators of alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid (AMPA)
type glutamate receptors. We showed that alprazolam (0.1 mg/kg intraperitoneally)
induced memory impairments as compared with vehicle-treated mice. The oral
administration of modulators of AMPA receptors (IDRA-21: 10 mg/kg; S18986: 3 and
10 mg/kg) reversed the alprazolam-induced deficits. This study is first to show
evidence that reference treatments of AD, such as memantine (a NMDA receptor
antagonist) at 3 mg/kg per os (po) and donepezil (an acetylcholinesterase
inhibitor) at 1 mg/kg po, also reversed the alprazolam-induced amnesia. Given
such results, the SD task emerges as a valuable novel task to screen pro
cognitive compounds. Thus, we highlight the efficacy of modulators of AMPA-type
glutamate receptors to counteract alprazolam-induced spatial deficits. These
results could be viewed alongside the imbalance between excitation and inhibition
observed during normal and pathological ageing.
PMID- 21890584
TI - Task demands dissociate the effects of muscarinic M1 receptor blockade and
protein kinase C inhibition on attentional performance in rats.
AB - The cholinergic system is known to be necessary for normal attentional
processing. However, the receptors and mechanisms mediating the effects of
acetylcholine on attention remain unclear. Previous work in our laboratory
suggested that cholinergic muscarinic receptors are critical for maintaining
performance in an attention-demanding task in rats. We examined the role of the
muscarinic M(1) receptor and protein kinase C (PKC), which is activated by the
M(1) receptor, in attention task performance. Rats were trained in an attention
demanding task requiring discrimination of brief (500, 100, 25 ms) visual signals
from trials with no signal presentation. The effects of muscarinic M(1) receptor
blockade were assessed by administering dicyclomine (0-5.0 mg/kg). The effects of
PKC inhibition were assessed by administering chelerythrine chloride (0-2.0
mg/kg). Dicyclomine decreased the accuracy of detecting longer signals in this
attention task, including when attentional demands were increased by flashing a
houselight throughout the session. Chelerythrine chloride decreased the accuracy
of signal detection in the standard version of the task but not when the
houselight was flashed throughout the session. The present findings indicate that
muscarinic M(1) receptors are critical for maintaining performance when
attentional demands are increased, and that PKC activity may contribute to some
aspects of attentional performance.
PMID- 21890587
TI - Long-term ovariectomy modulates the antidepressant-like action of estrogens, but
not of antidepressants.
AB - Controversial results related to effectiveness of estrogen replacement therapy
(ERT) to alleviate depression are frequently reported. The discrepancies could be
related to (a) time when ERT is initiated after the beginning of menopause and/or
(b) type of estrogen used. Furthermore, estrogens modulate the antidepressant
effect of different compounds; therefore, the effectiveness of antidepressant
drugs could also depend on the menopausal status. The aim of the present study
was to analyze whether the time after estrogen decline can influence
antidepressant-like effects of two estrogens and/or two antidepressants. Thus,
the antidepressant-like actions of 17beta-estradiol (E(2)), 17alpha-ethynyl
estradiol (EE(2)), fluoxetine (FLX) and desipramine (DMI) were studied at
different periods (1, 3 and 12 weeks) after ovariectomy (OVX), using the forced
swimming test (FST). Results showed that OVX increased depressive-like behavior
only 1 week after OVX. The antidepressant-like actions of E(2), but not those of
EE(2), were cancelled 12 weeks after OVX. Conversely, antidepressant-like actions
of FLX and DMI were observed at 1, 3 and 12 weeks after OVX. In conclusion, while
the antidepressant-like effects of estrogens depended on the time at which
treatment is initiated after OVX as well as on the estrogenic compound used,
antidepressant-like effects of FLX and DMI were not blocked by OVX.
PMID- 21890588
TI - The effects of 7.5% carbon dioxide inhalation on task performance in healthy
volunteers.
AB - Studies have shown that anxiety can positively or negatively affect performance
with respect to focusing of attention or distractibility, subjective workload and
effort (Humphreys and Revelle, 1984). The inhalation of carbon dioxide (CO(2)) is
associated with physiological and psychological effects of anxiety (Bailey et
al., 2005) but its effects on performance have rarely been reported. The studies
reported here looked at the effects of CO(2) inhalation on physiological and
subjective measures and performance on two tasks. Eight healthy male participants
completed a tracking task with a reaction time component, and 12 healthy
participants (six male) completed a complex target identification task. Tasks
were performed during 20-min inhalations of 7.5% CO(2)/21% O(2)/71.5% N(2)
mixture or medical air. Continuous heart rate and blood pressure measures were
taken, in addition to subjective measures of mood and workload. In comparison
with air, CO(2) increased heart rate and blood pressure, increased subjective
scores of panic, anxiety, fear, and tension, and reduced subjective scores of
relaxation and happiness. Attention was focussed when inhaling CO(2) during the
simple task, and central demand was greater when inhaling CO(2) during the
complex task. Therefore, inhalation of 7.5% CO(2) produces effects on task
performance which are consistent with anxiety.
PMID- 21890589
TI - A clozapine-like effect of cyproheptadine on progressive ratio schedule
performance.
AB - The atypical antipsychotic drug clozapine has multiple pharmacological actions,
some of which, including 5-hydroxytryptamine (5-HT2) and histamine (H1) receptor
antagonist effects, are shared by the non-selective 5-HT receptor antagonist
cyproheptadine. Atypical antipsychotics have a characteristic profile of action
on operant behaviour maintained by progressive ratio schedules, as revealed by
Killeen's (1994) mathematical model of schedule controlled behaviour. These drugs
increase the values of a parameter that expresses the 'incentive value' of the
reinforcer (a) and a parameter that is inversely related to the 'motor capacity'
of the organism (delta). This experiment examined the effects of acute treatment
with cyproheptadine and clozapine on performance on a progressive ratio schedule
of food reinforcement in rats; the effects of a conventional antipsychotic,
haloperidol, and two drugs with food intake-enhancing effects, chlordiazepoxide
and Delta9-tetrahydrocannabinol (THC), were also examined. Cyproheptadine (1, 5
mg kg-1) and clozapine (3.75, 7.5 mg kg-1) increased a and delta. Haloperidol
(0.05, 0.1 mg kg-1) reduced a and increased delta. Chlordiazepoxide (3, 10 mg kg
1) increased a but reduced delta. THC (1, 3 mg kg-1) had no effect.
Interpretation based on Killeen's (1994) model suggests that cyproheptadine and
clozapine enhanced the incentive value of the reinforcer and impaired motor
performance. Motor impairment may be due to sedation (possibly reflecting H1
receptor blockade). Enhancement of incentive value may reflect simultaneous
blockade of H1 and 5-HT2 receptors, which has been proposed as the mechanism
underlying the food intake-enhancing effect of cyproheptadine. In agreement with
previous findings, haloperidol impaired motor performance and reduced the
incentive value of the reinforcer. Chlordiazepoxide's effect on a is consistent
with its food intake-enhancing effect.
PMID- 21890590
TI - The effects of sertindole on sensory gating, sensorimotor gating, and cognition
in healthy volunteers.
AB - Sensory gating, indexed by P50 suppression, and sensorimotor gating, indexed by
prepulse inhibition (PPI), are impaired in schizophrenia spectrum disorders.
There is considerable evidence that schizophrenia patients treated with atypical
antipsychotics exhibit relatively less gating deficits than do other patients
with schizophrenia. Some recent studies have investigated the effects of
antipsychotic medications on gating in healthy volunteers exhibiting low levels
of gating, rather than in patients. Therefore, the current study investigated the
influence of sertindole versus placebo in two separate experimental sessions, on
PPI, P50 suppression, and cognition in 30 male volunteers stratified for low and
high baseline gating levels. Sertindole increased PPI and P50 suppression in
healthy subjects exhibiting low baseline PPI and low baseline P50 suppression,
respectively, while sertindole attenuated gating in subjects exhibiting high
baseline gating. Furthermore, subjects exhibiting low PPI chose worse strategies
in a spatial working memory task. These findings suggest that mixed D(2)/5-HT(2)
receptor antagonists enhance both PPI and P50 suppression in a way that enhances
it in healthy subjects exhibiting low baseline gating. Furthermore, the results
militate in favor of the concomitant assessment of PPI, P50 suppression and
cognitive measures while investigating the effect of antipsychotic medication in
healthy subjects.
PMID- 21890591
TI - Pharmacokinetics and central nervous system effects of the novel dopamine D2
receptor antagonist JNJ-37822681.
AB - Using the rate of dissociation from the D(2) receptor as a means to screen novel
compounds for antipsychotic drug candidates, the centrally acting and fast
dissociating selective dopamine D(2) receptor antagonist JNJ-37822681 was
developed. In a blinded, placebo-controlled, randomized first-in-human study, JNJ
37822681 was administered orally to 27 healthy male volunteers at doses of 0.5,
2, 5, 10, 15 and 20 mg. Safety, pharmacokinetics and central nervous system
effects were evaluated by measuring prolactin levels, eye movements, adaptive
tracking, visual analogue scales, body sway, finger tapping and
electroencephalography. JNJ-37822681 was well tolerated and somnolence was the
most frequently reported adverse effect. Peak plasma concentrations increased
more than proportional to dose, but increases in the area under curve (AUC) were
dose-proportional. Prolactin elevations started at doses of 5 mg, whereas small
decreases in adaptive tracking were demonstrated at 10 mg doses. At higher doses,
JNJ-37822681 caused a small decrease in saccadic peak velocity, smooth pursuit,
alertness, finger tapping and electroencephalography activity, and an increase in
body sway. This effect profile is likely to be the result of the selectivity of
JNJ-37822681 for the D(2) receptor, leading to strong D(2) receptor-mediated
elevations in serum prolactin, but fewer effects on more complex central nervous
system functions, which are likely to involve multiple neurotransmitters.
PMID- 21890592
TI - Clinical and pathogenic aspects of candidate genes for lithium prophylactic
efficacy.
AB - A number of candidate genes for lithium prophylactic efficacy have been proposed,
some of them being also associated with a predisposition to bipolar illness. The
aim of the present study was to investigate a possible association between
polymorphisms of 14 common genes with the quality of prophylactic lithium
response in patients with bipolar mood disorder, in relation to the putative role
of these genes in the pathogenesis of this disorder. Some association with
lithium prophylactic efficacy was found for the polymorphisms of 5HTT, DRD1,
COMT, BDNF and FYN genes, but not for 5HT2A, 5HT2C, DRD2, DRD3, DRD4, GSK-3,
NTRK2, GRIN2B and MMP-9. Possible aspects of these genes with regard to the
mechanism of lithium activity and pathogenesis of bipolar mood disorder are
discussed.
PMID- 21890593
TI - Serum levels of BDNF are associated with craving in opiate-dependent patients.
AB - Preclinical study results suggest that brain-derived neurotrophic factor (BDNF)
and glial cell line-derived neurotrophic factor (GDNF) are involved in the
modulation of addictive behaviour. We investigated alterations in serum levels of
BDNF and GDNF in opiate-dependent patients (28 males) who received
diacetylmorphine treatment within a structured opiate maintenance programme. BDNF
(T = 2.735, p = 0.009) serum levels were significantly increased in the opiate
dependent patients as compared with healthy controls (21 males), whereas GDNF
serum levels (T = 1.425, p = 0.162) did not differ significantly from GDNF serum
levels of the healthy controls. BDNF serum levels were significantly associated
with craving for heroin (measured by the Heroin Craving Questionnaire (r = 0.420,
p = 0.029) and by the General Craving Scale (r = 0.457, p = 0.016), whereas GDNF
serum levels were not associated with psychometric dimensions of heroin craving.
In conclusion, our results show a positive association between BDNF serum levels
and opiate craving in opiate-dependent patients.
PMID- 21890594
TI - History of cannabis use is not associated with alterations in striatal dopamine
D2/D3 receptor availability.
AB - Cannabis use in adolescence is emerging as a risk factor for the development of
psychosis. In animal studies, Delta9-tetrahydrocannabinol (THC), the psychoactive
component of cannabis, modulates striatal dopaminergic neurotransmission.
Alterations in human striatal dopaminergic function have also been reported both
in psychosis and in stimulant use. We sought to examine whether striatal dopamine
D(2)/D(3) receptor availability was altered in volunteers with a history of
cannabis use using a database of previously acquired [(11)C]-raclopride positron
emission tomography (PET) scans. Ten [(11)C]-raclopride scans from volunteers
with a history of cannabis use were compared to ten control scans using a
functional striatal subdivision region of interest (ROI) analysis. No significant
differences in either overall striatal BP(ND) values or BP(ND) values in any
functional striatal subdivision were found between the two groups. There was also
no correlation between lifetime frequency of cannabis use and BP(ND) values.
Limbic striatal BP(ND) values were ten percent lower in current nicotine
cigarette smokers. These findings suggest that, unlike other drugs of abuse, a
history of cannabis use is not associated with alterations in striatal dopamine
D(2)/D(3) receptor availability.
PMID- 21890595
TI - Acute restraint stress enhances hippocampal endocannabinoid function via
glucocorticoid receptor activation.
AB - Exposure to behavioural stress normally triggers a complex, multilevel response
of the hypothalamic-pituitary-adrenal (HPA) axis that helps maintain homeostatic
balance. Although the endocannabinoid (eCB) system (ECS) is sensitive to chronic
stress, few studies have directly addressed its response to acute stress. Here we
show that acute restraint stress enhances eCB-dependent modulation of GABA
release measured by whole-cell voltage clamp of inhibitory postsynaptic currents
(IPSCs) in rat hippocampal CA1 pyramidal cells in vitro. Both Ca(2+)-dependent,
eCB-mediated depolarization-induced suppression of inhibition (DSI), and
muscarinic cholinergic receptor (mAChR)-mediated eCB mobilization are enhanced
following acute stress exposure. DSI enhancement is dependent on the activation
of glucocorticoid receptors (GRs) and is mimicked by both in vivo and in vitro
corticosterone treatment. This effect does not appear to involve cyclooxygenase-2
(COX-2), an enzyme that can degrade eCBs; however, treatment of hippocampal
slices with the L-type calcium (Ca(2+)) channel inhibitor, nifedipine, reverses
while an agonist of these channels mimics the effect of in vivo stress. Finally,
we find that acute stress produces a delayed (by 30 min) increase in the
hippocampal content of 2-arachidonoylglycerol, the eCB responsible for DSI. These
results support the hypothesis that the ECS is a biochemical effector of
glucocorticoids in the brain, linking stress with changes in synaptic strength.
PMID- 21890596
TI - ADHD matures: time for practitioners to do the same?
AB - Attention deficit and hyperactivity disorder (ADHD) is not restricted to
children. Abundant evidence from follow-up studies accumulated since the 1970s
supports the concept of ADHD in adulthood. Genetic research points to a
heritability of 76%, and neuroimaging studies have reported structural and
functional brain abnormalities in patients with ADHD. Contrary to popular belief,
ADHD is not a culturally bound disorder and has been described worldwide. ADHD
has a cost for society, as adults with this disorder suffer from increased rates
of unemployment and psychiatric comorbidity, including substance use disorders.
Studies undertaken in forensic populations describe high rates of ADHD in these
groups, particularly amongst young offenders. One of the main issues in the
diagnosis of ADHD in the adult is the fact that most clinicians have not been
educated to diagnose and treat ADHD. Effective pharmacological treatments for
ADHD are available and should be prescribed for these patients. The National
Institute for Health and Clinical Excellence (NICE) and the British Association
for Psychopharmacology (BAP) guidelines established a benchmark for service
development required to treat ADHD adequately in the adult population. However,
the implementation of new services has been slow. More resources are needed to
effectively assess and treat ADHD in the adult.
PMID- 21890598
TI - Appeal for funds to support emergency response in Kenya.
PMID- 21890600
TI - Possible role for MHC I in bleeding calf syndrome.
PMID- 21890597
TI - Lysophosphatidic acid-induced p21Waf1 expression mediates the cytostatic response
of breast and ovarian cancer cells to TGFbeta.
AB - Lysophosphatidic acid (LPA) is a multifunctional intercellular phospholipid
mediator present in blood and other biological fluids. In cancer cells, LPA
stimulates expression or activity of inflammatory cytokines, angiogenic factors,
matrix metalloproteinases, and other oncogenic proteins. In this study, we showed
that LPA upregulated expression of the cyclin-dependent kinase inhibitor
p21(Waf1) in TGFbeta-sensitive breast and ovarian cancer cells, but not in
TGFbeta-resistant ones. We examined the possibility that LPA-induced p21 might
contribute to the cytostatic response to TGFbeta. In serum-free conditions,
TGFbeta alone induced p21 expression weakly in TGFbeta-sensitive cells. Serum or
serum-borne LPA cooperated with TGFbeta to elicit the maximal p21 induction. LPA
stimulated p21 via LPA(1) and LPA(2) receptors and Erk-dependent activation of
the CCAAT/enhancer binding protein beta transcription factor independent of p53.
Loss or gain of p21 expression led to a shift between TGFbeta-sensitive and
resistant phenotypes in breast and ovarian cancer cells, indicating that p21 is a
key determinant of the growth inhibitory activity of TGFbeta. Our results reveal
a novel cross-talk between LPA and TGFbeta that underlies TGFbeta-sensitive and
resistant phenotypes of breast and ovarian cancer cells.
PMID- 21890601
TI - New pig health and welfare strategy launched by BPEX.
PMID- 21890604
TI - Finding medicines for horses.
PMID- 21890605
TI - Investigating the suitability of electronic identification in livestock.
PMID- 21890606
TI - Where next for veterinary education?
PMID- 21890608
TI - Idiopathic arterial aneurysm/rupture causing sudden death in dairy cattle.
PMID- 21890609
TI - Celebrating 150 years of Glasgow vet school.
PMID- 21890610
TI - Renal function of dairy cows with subclinical ketosis.
PMID- 21890615
TI - A personalized medicine approach to biologic treatment of rheumatoid arthritis: a
preliminary treatment algorithm.
AB - RA is a syndrome consisting of different pathogenetic subsets in which distinct
molecular mechanisms may drive common final pathways. Recent work has provided
proof of principle that biomarkers may be identified predictive of the response
to targeted therapy. Based on new insights, an initial treatment algorithm is
presented that may be used to guide treatment decisions in patients who have
failed one TNF inhibitor. Key questions in this algorithm relate to the question
whether the patient is a primary vs a secondary non-responder to TNF blockade and
whether the patient is RF and/or anti-citrullinated peptide antibody positive.
This preliminary algorithm may contribute to more cost-effective treatment of RA,
and provides the basis for more extensive algorithms when additional data become
available.
PMID- 21890616
TI - Calcinosis cutis associated with primary Sjogren's syndrome: strong expression of
osteonectin and matrix Gla protein.
PMID- 21890618
TI - Peripheral neuropathy in ANCA-associated vasculitis: outcomes from the European
Vasculitis Study Group trials.
AB - OBJECTIVES: To describe the incidence and prevalence of peripheral neuropathy in
ANCA-associated vasculitis (AAV); to evaluate the correlation of neuropathy with
other clinical manifestations; and to review the long-term outcome of treated
neuropathy. METHODS: Presence of neuropathy was determined using items from the
BVAS and vasculitis damage index (VDI) during 5 years from enrollment into
clinical trials conducted by the European Vasculitis Study Group (EUVAS).
RESULTS: Forty (8%) of 506 patients had vasculitic neuropathy at baseline.
Incidence of vasculitic motor-involving neuropathy was identical between
microscopic polyangiitis (MPA) [16 (7%) out of 237] and granulomatosis with
polyangiitis (Wegener's) [19 (7%) out of 269], P = 0.94. Pure sensory neuropathy
was reported in 5 (2%) out of 269 patients with granulomatosis with polyangiitis,
but not in patients with MPA, P = 0.065. Vasculitic neuropathy at baseline was
associated with systemic [odds ratio (OR) = 1.81], cutaneous (OR = 1.29), mucous
membranes (OR = 1.21) and ENT (OR = 1.14) manifestations of vasculitis (P < 0.05
for all). There was no association between neuropathy and renal, chest,
cardiovascular or abdominal vasculitis or with overall mortality. Of the 40
patients with vasculitic neuropathy at baseline, 35% had complete resolution
within 6 months. The cumulative prevalence of chronic neuropathy at any time up
to 5 years was 15% (75 of 506). Chronic neuropathy was associated with older age
[hazard ratio (HR) = 1.03], higher BVAS (HR = 1.07) and lower baseline creatinine
(HR = 0.82) (P < 0.01 for all). CONCLUSION: Peripheral neuropathy is an
occasional accompaniment of AAV that typically remits in concert with non
neuropathic manifestations, usually involves motor nerves, often produces long
lasting symptoms and is not associated with life-threatening organ involvement.
PMID- 21890619
TI - Safety and efficacy of exercise training in patients with an idiopathic
inflammatory myopathy--a systematic review.
AB - OBJECTIVE: Idiopathic inflammatory myopathies (IIMs) are a group of rare
heterogeneous autoimmune skeletal muscle disorders characterized by muscle
weakness, excessive muscle fatigue and diminished aerobic fitness. Exercise
training could be one way to prevent or delay the negative effects of the disease
and the impairments seen in patients with an IIM. The objective was to examine
whether exercise training is safe and effective in patients with an IIM. METHODS:
All experimental studies that assessed the safety and/or efficacy of an exercise
training programme in patients with an IIM except for case studies were reviewed.
Pre-MEDLINE, MEDLINE and EMBASE database searching was done up to November 2010.
Information was extracted on the number of participants, characteristics of
participants, type of intervention, type of outcome measure, type of study
design, report characteristics, geographical origin and risk of bias within
studies. The change (percentage and significance) in group mean or median for
each outcome measure in each study was determined as well. RESULTS: Two
randomized controlled trials, one non-randomized controlled trial and nine
uncontrolled trials were included. No studies in children were found. Safety
measures did not worsen and efficacy measures improved or did not change. Most of
the included studies had a high selection and/or allocation bias. CONCLUSIONS: In
conclusion, it appears that exercise training is safe and effective in adult
patients with active as well as inactive stable IIMs. However, more studies with
a well-controlled design are needed. In addition, studies in children with an IIM
are indicated.
PMID- 21890620
TI - Clinical significance of serum levels of sCD36 in patients with systemic
sclerosis: preliminary data.
AB - OBJECTIVE: To evaluate the clinical significance of anti-angiogenic receptor
cluster of differentiation 36 (CD36) in serum of patients with SSc. METHODS: We
studied 47 SSc patients (28 with lcSSC and 19 with dcSSC) and 38 age- and gender
matched healthy controls. Demographic, clinical, autoantibodies and serological
data were prospectively assessed. Peripheral vascular affection was classified
into mild, moderate, severe or end-stage based on a vascular severity scale.
Soluble CD36 (sCD36) serum levels were measured using ELISA. RESULTS: Serum sCD36
levels were significantly higher in patients with SSc compared with healthy
controls (P = 0.045). When the patients were divided into clinical subsets, sCD36
was higher in lcSSc than in healthy controls (P = 0.03). Levels of sCD36 were
found to be positively correlated with pulmonary artery systolic pressure (PASP)
and negatively correlated with percentage diffusing lung capacity for carbon
monoxide (DL(CO)). In the multivariate analysis, 50% of the variation of sCD36
levels could be explained by elevated PASP (0.000), telangiectasias (0.026) and
increasing vascular severity (P = 0.003). CONCLUSION: Serum sCD36 levels were
higher in SSc patients (particularly the limited subset) than in healthy controls
and were found to be correlated with PASP and vascular severity. We conclude that
sCD36 may be a marker for elevated PASP and vascular involvement in SSc. To
confirm our results we propose that larger scale, multicentre studies with longer
evaluation periods are needed.
PMID- 21890617
TI - Rheumatoid arthritis and pregnancy: evolution of disease activity and
pathophysiological considerations for drug use.
AB - It has long been known that pregnancy and childbirth have a profound effect on
the disease activity of rheumatic diseases. For clinicians, the management of
patients with RA wishing to become pregnant involves the challenge of keeping
disease activity under control and adequately adapting drug therapy during
pregnancy and post-partum. This article aims to summarize the current evidence on
the evolution of RA disease activity during and after pregnancy and the use of
anti-rheumatic drugs around this period. Of recent interest is the potential use
of anti-TNF compounds in the preconception period and during pregnancy.
Accumulating experience with anti-TNF therapy in other immune-mediated
inflammatory diseases, such as Crohn's disease, provides useful insights for the
use of TNF blockade in pregnant women with RA, or RA patients wishing to become
pregnant.
PMID- 21890621
TI - Associations between body mass, radiographic joint damage, adipokines and risk
factors for bone loss in rheumatoid arthritis.
AB - OBJECTIVE: To evaluate the association between BMI and radiographic joint damage
(RJD) in RA. METHODS: van der Heijde-Sharp (vdHS) erosion scores were determined
in 499 participants with RA, ages 18-85 years, while enrolled in a clinical trial
of golimumab (GO-BEFORE trial). Subjects were MTX and biologic therapy naive.
Multivariable logistic regressions determined the odds of prevalent RJD (defined
as vdHS score >10) according to BMI category. Longitudinal analyses evaluated the
association between BMI category and progression of vdHS score over 52 weeks.
Analyses in a subset of 100 participants examined the association between
adipokines and vdHS scores. RESULTS: At enrolment and 52 weeks, 37.6 and 43.6% of
participants had RJD. Compared with normal weight, obese subjects had lower odds
of RJD [0.40 (95% CI 0.22, 0.74); P = 0.003], and underweight subjects had
greater odds [3.86 (95% CI 1.66, 9.00); P = 0.002] at baseline, adjusted for
demographic and disease characteristics. The baseline associations between BMI
category and RJD were greater among participants with multiple risk factors for
bone loss (female >50 years, smoking, glucocorticoid exposure and vitamin D
deficiency); test for interaction P = 0.05. Adjustment for adiponectin levels did
not attenuate the association between BMI and vdHS scores. Baseline BMI and
change in weight did not independently predict radiographic progression (P >
0.1). CONCLUSIONS: Higher BMI was independently associated with less RJD and was
greatest in participants with risk factors for bone loss. Future studies are
needed to examine the associations between RJD, obesity, weight loss and
osteoporosis.
PMID- 21890622
TI - An observational study of tocilizumab and TNF-alpha inhibitor use in a Japanese
community hospital: different remission rates, similar drug survival and safety.
AB - OBJECTIVE: To assess the effectiveness, drug survival and safety of tocilizumab
compared with TNF-alpha inhibitors in clinical practice. METHODS: Patients in the
Cohort of Arthritis Biologic Users at Kameda Institute (CABUKI) registry who were
on biologics during July 2003 to October 2010 were included. Remission rates at 6
months, Kaplan-Meier drug survival estimates and serious adverse event (SAE)
rates were compared. RESULTS: A total of 247 RA patients were analysed. For first
line biologic users, the 6-month 28-joint DAS (DAS-28)-ESR remission rates were
66.7% for tocilizumab vs 25.8% for TNF inhibitors (P < 0.001, Fisher's exact
test). This advantage disappeared with the application of the newly suggested
Boolean remission criterion for clinical trials: 0% for tocilizumab vs 8.2% for
TNF inhibitors (P = 0.367, Fisher's exact test). Tocilizumab users in DAS-28-ESR
remission had lower mean ESR (3.9 mm/h for tocilizumab vs 7.9 mm/h for TNF
inhibitors, P = 0.026, t-test) and higher mean swollen joint count (2.6 for
tocilizumab vs 1.3 for TNF inhibitors, P = 0.036, t-test), thus failing to meet
the more stringent Boolean criteria. First- and second-line tocilizumab users
showed similar drug survival and SAE rates compared with TNF inhibitor users.
CONCLUSION: Tocilizumab had drug survival and safety profiles similar to those of
TNF inhibitors in this Japanese single-centre registry. Tocilizumab was superior
to TNF inhibitors when compared at 6 months by DAS-28-ESR remission. However, the
newly suggested Boolean criteria are more appropriate measures of effectiveness
as DAS-28-ESR remission by tocilizumab was mainly due to very low ESR in our
study population.
PMID- 21890623
TI - Ubiquitin-mediated modulation of the cytoplasmic viral RNA sensor RIG-I.
AB - RIG-I-like receptors, including RIG-I, MDA5 and LGP2, recognize cytoplasmic viral
RNA. The RIG-I protein consists of N-terminal CARDs, central RNA helicase and C
terminal domains. RIG-I activation is regulated by ubiquitination. Three
ubiquitin ligases target the RIG-I protein. TRIM25 and Riplet ubiquitin ligases
are positive regulators of RIG-I and deliver the K63-linked polyubiquitin moiety
to RIG-I CARDs and the C-terminal domain. RNF125, another ubiquitin ligase, is a
negative regulator of RIG-I and mediates K48-linked polyubiquitination of RIG-I,
leading to the degradation of the RIG-I protein by proteasomes. The K63-linked
polyubiquitin chains of RIG-I are removed by a deubiquitin enzyme, CYLD. Thus,
CYLD is a negative regulator of RIG-I. Furthermore, TRIM25 itself is regulated by
ubiquitination. HOIP and HOIL proteins are ubiquitin ligases and are also known
as linear ubiquitin assembly complexes (LUBACs). The TRIM25 protein is
ubiquitinated by LUBAC and then degraded by proteasomes. The splice variant of
RIG-I encodes a protein that lacks the first CARD of RIG-I, and the variant RIG-I
protein is not ubiquitinated by TRIM25. Therefore, ubiquitin is the key regulator
of the cytoplasmic viral RNA sensor RIG-I.
PMID- 21890624
TI - Polo-like kinase 1 facilitates loss of Pten tumor suppressor-induced prostate
cancer formation.
AB - Loss of the tumor suppressor Pten (phosphatase and tensin homolog deleted on
chromosome 10) is thought to mediate the majority of prostate cancers, but the
molecular mechanism remains elusive. In this study, we demonstrate that Pten
depleted cells suffer from mitotic stress and that nuclear function of Pten, but
not its phosphatase activity, is required to reverse this stress phenotype.
Further, depletion of Pten results in elevated expression of Polo-like kinase 1
(Plk1), a critical regulator of the cell cycle. We show that overexpression of
Plk1 correlates with genetic inactivation of Pten during prostate neoplasia
formation. Significantly, we find that elevated Plk1 is critical for Pten
depleted cells to adapt to mitotic stress for survival and that reintroduction of
wild-type Pten into Pten-null prostate cancer cells reduces the survival
dependence on Plk1. We further show that Plk1 confers the tumorigenic competence
of Pten-deleted prostate cancer cells in a mouse xenograft model. These findings
identify a role of Plk1 in facilitating loss of Pten-induced prostate cancer
formation, which suggests that Plk1 might be a promising target for prostate
cancer patients with inactivating Pten mutations.
PMID- 21890625
TI - Tbx20 transcription factor is a downstream mediator for bone morphogenetic
protein-10 in regulating cardiac ventricular wall development and function.
AB - Bone morphogenetic protein 10 (BMP10) belongs to the TGFbeta-superfamily.
Previously, we had demonstrated that BMP10 is a key regulator for ventricular
chamber formation, growth, and maturation. Ablation of BMP10 leads to hypoplastic
ventricular wall formation, and elevated levels of BMP10 are associated with
abnormal ventricular trabeculation/compaction and wall maturation. However, the
molecular mechanism(s) by which BMP10 regulates ventricle wall growth and
maturation is still largely unknown. In this study, we sought to identify the
specific transcriptional network that is potentially mediated by BMP10. We
analyzed and compared the gene expression profiles between alpha-myosin heavy
chain (alphaMHC)-BMP10 transgenic hearts and nontransgenic littermate controls
using Affymetrix mouse exon arrays. T-box 20 (Tbx20), a cardiac transcription
factor, was significantly up-regulated in alphaMHC-BMP10 transgenic hearts, which
was validated by quantitative RT-PCR and in situ hybridization. Ablation of BMP10
reduced Tbx20 expression specifically in the BMP10-expressing region of the
developing ventricle. In vitro promoter analysis demonstrated that BMP10 was able
to induce Tbx20 promoter activity through a conserved Smad binding site in the
Tbx20 promoter proximal region. Furthermore, overexpression of Tbx20 in
myocardium led to dilated cardiomyopathy that exhibited ventricular
hypertrabeculation and an abnormal muscular septum, which phenocopied genetically
modified mice with elevated BMP10 levels. Taken together, our findings
demonstrate that the BMP10-Tbx20 signaling cascade is important for ventricular
wall development and maturation.
PMID- 21890626
TI - Charcot-Marie-Tooth-related gene GDAP1 complements cell cycle delay at G2/M phase
in Saccharomyces cerevisiae fis1 gene-defective cells.
AB - Mutations in the GDAP1 gene are responsible of the Charcot-Marie-Tooth CMT4A,
ARCMT2K, and CMT2K variants. GDAP1 is a mitochondrial outer membrane protein that
has been related to the fission pathway of the mitochondrial network dynamics. As
mitochondrial dynamics is a conserved process, we reasoned that expressing GDAP1
in Saccharomyces cerevisiae strains defective for genes involved in mitochondrial
fission or fusion could increase our knowledge of GDAP1 function. We discovered a
consistent relation between Fis1p and the cell cycle because fis1Delta cells
showed G(2)/M delay during cell cycle progression. The fis1Delta phenotype, which
includes cell cycle delay, was fully rescued by GDAP1. By contrast, clinical
missense mutations rescued the fis1Delta phenotype except for the cell cycle
delay. In addition, both Fis1p and human GDAP1 interacted with beta-tubulins
Tub2p and TUBB, respectively. A defect in the fis1 gene may induce abnormal
location of mitochondria during budding mitosis, causing the cell cycle delay at
G(2)/M due to its anomalous interaction with microtubules from the mitotic
spindle. In the case of neurons harboring defects in GDAP1, the interaction
between mitochondria and the microtubule cytoskeleton would be altered, which
might affect mitochondrial axonal transport and movement within the cell and may
explain the pathophysiology of the GDAP1-related Charcot-Marie-Tooth disease.
PMID- 21890627
TI - MYPT1 protein isoforms are differentially phosphorylated by protein kinase G.
AB - Smooth muscle relaxation in response to NO signaling is due, in part, to a Ca(2+)
independent activation of myosin light chain (MLC) phosphatase by protein kinase
G Ialpha (PKGIalpha). MLC phosphatase is a trimeric complex of a 20-kDa subunit,
a 38-kDa catalytic subunit, and a 110-133-kDa myosin-targeting subunit (MYPT1).
Alternative mRNA splicing produces four MYPT1 isoforms, differing by the presence
or absence of a central insert and leucine zipper (LZ). The LZ domain of MYPT1
has been shown to be important for PKGIalpha-mediated activation of MLC
phosphatase activity, and changes in LZ+ MYPT1 isoform expression result in
changes in the sensitivity of smooth muscle to NO-mediated relaxation.
Furthermore, PKGIalpha has been demonstrated to phosphorylate Ser-694 of MYPT1,
but phosphorylation at this site does not always accompany cGMP-mediated smooth
muscle relaxation. This study was designed to determine whether MYPT1 isoforms
are differentially phosphorylated by PKGIalpha. The results demonstrate that
purified LZ+ MYPT1 fragments are rapidly phosphorylated by PKGIalpha at Ser-667
and Ser-694, whereas fragments lacking the LZ domain are poor PKGIalpha
substrates. Mutation of Ser-667 and Ser-694 to Ala and/or Asp showed that Ser-667
phosphorylation is more rapid than Ser-694 phosphorylation, suggesting that Ser
667 may play an important role in the activation of MLC phosphatase. These
results demonstrate that MYPT1 isoform expression is important for determining
the heterogeneous response of vascular beds to NO and NO-based vasodilators,
thereby playing a central role in the regulation of vascular tone in health and
disease.
PMID- 21890628
TI - The regulator of calcineurin 1 (RCAN1/DSCR1) activates the cAMP response element
binding protein (CREB) pathway.
AB - cAMP response element-binding protein (CREB) is one of the best known
transcription factors in the development and function of the nervous system. In
this report, we found that the regulator of calcineurin 1 (RCAN1), which is
overexpressed in the brain of patients with Down syndrome, increased the
phosphorylation of CREB and cAMP response element-mediated gene transcription in
response to the activation of the intracellular cAMP pathway. Furthermore, we
found that the increased activation of CREB signaling by RCAN1 depended on the
ability of RCAN1 to inhibit calcineurin activity. Our data provide the first
evidence that RCAN1 acts as an important regulatory component in the control of
CREB signaling.
PMID- 21890629
TI - Extra-long Galphas variant XLalphas protein escapes activation-induced
subcellular redistribution and is able to provide sustained signaling.
AB - Murine models indicate that Galphas and its extra-long variant XLalphas, both of
which are derived from GNAS, markedly differ regarding their cellular actions,
but these differences are unknown. Here we investigated activation-induced
trafficking of Galphas and XLalphas, using immunofluorescence microscopy, cell
fractionation, and total internal reflection fluorescence microscopy. In
transfected cells, XLalphas remained localized to the plasma membrane, whereas
Galphas redistributed to the cytosol after activation by GTPase-inhibiting
mutations, cholera toxin treatment, or G protein-coupled receptor agonists
(isoproterenol or parathyroid hormone (PTH)(1-34)). Cholera toxin treatment or
agonist (isoproterenol or pituitary adenylate cyclase activating peptide-27)
stimulation of PC12 cells expressing Galphas and XLalphas endogenously led to an
increased abundance of Galphas, but not XLalphas, in the soluble fraction.
Mutational analyses revealed two conserved cysteines and the highly charged
domain as being critically involved in the plasma membrane anchoring of XLalphas.
The cAMP response induced by M-PTH(1-14), a parathyroid hormone analog,
terminated quickly in HEK293 cells stably expressing the type 1 PTH/PTH-related
peptide receptor, whereas the response remained maximal for at least 6 min in
cells that co-expressed the PTH receptor and XLalphas. Although isoproterenol
induced cAMP response was not prolonged by XLalphas expression, a GTPase
deficient XLalphas mutant found in certain tumors and patients with fibrous
dysplasia of bone and McCune-Albright syndrome generated more basal cAMP
accumulation in HEK293 cells and caused more severe impairment of osteoblastic
differentiation of MC3T3-E1 cells than the cognate Galphas mutant (gsp oncogene).
Thus, activated XLalphas and Galphas traffic differently, and this may form the
basis for the differences in their cellular actions.
PMID- 21890630
TI - Allosteric communication in cysteinyl tRNA synthetase: a network of direct and
indirect readout.
AB - Protein structure networks are constructed for the identification of long-range
signaling pathways in cysteinyl tRNA synthetase (CysRS). Molecular dynamics
simulation trajectory of CysRS-ligand complexes were used to determine
conformational ensembles in order to gain insight into the allosteric signaling
paths. Communication paths between the anticodon binding region and the
aminoacylation region have been identified. Extensive interaction between the
helix bundle domain and the anticodon binding domain, resulting in structural
rigidity in the presence of tRNA, has been detected. Based on the predicted
model, six residues along the communication paths have been examined by mutations
(single and double) and shown to mediate a coordinated coupling between anticodon
recognition and activation of amino acid at the active site. This study on CysRS
clearly shows that specific key residues, which are involved in communication
between distal sites in allosteric proteins but may be elusive in direct
structure analysis, can be identified from dynamics of protein structure
networks.
PMID- 21890631
TI - AKAP220 protein organizes signaling elements that impact cell migration.
AB - Cell movement requires the coordinated reception, integration, and processing of
intracellular signals. We have discovered that the protein kinase A anchoring
protein AKAP220 interacts with the cytoskeletal scaffolding protein IQGAP1 to
influence cell motility. AKAP220/IQGAP1 networks receive and integrate calcium
and cAMP second messenger signals and position signaling enzymes near their
intended substrates at leading edges of migrating cells. IQGAP1 supports
calcium/calmodulin-dependent association of factors that modulate microtubule
dynamics. AKAP220 suppresses GSK-3beta and positions this kinase to allow
recruitment of the plus-end microtubule tracking protein CLASP2. Gene silencing
of AKAP220 alters the rate of microtubule polymerization and the lateral tracking
of growing microtubules and retards cell migration in metastatic human cancer
cells. This reveals an unappreciated role for this anchored kinase/microtubule
effector protein network in the propagation of cell motility.
PMID- 21890632
TI - Consensus substrate sequence for protein-tyrosine phosphatase receptor type Z.
AB - Protein-tyrosine phosphatase receptor type Z (Ptprz) has multiple substrate
proteins, including G protein-coupled receptor kinase-interactor 1 (Git1),
membrane-associated guanylate kinase, WW and PDZ domain-containing 1 (Magi1), and
GTPase-activating protein for Rho GTPase (p190RhoGAP). We have identified a
dephosphorylation site at Tyr-1105 of p190RhoGAP; however, the structural
determinants employed for substrate recognition of Ptprz have not been fully
defined. In the present study, we revealed that Ptprz selectively
dephosphorylates Git1 at Tyr-554, and Magi1 at Tyr-373 and Tyr-858 by in vitro
and cell-based assays. Of note, the dephosphorylation of the Magi1 Tyr-858 site
required PDZ domain-mediated interaction between Magi1 and Ptprz in the cellular
context. Alignment of the primary sequences surrounding the target
phosphotyrosine residue in these three substrates showed considerable similarity,
suggesting a consensus motif for recognition by Ptprz. We then estimated the
contribution of surrounding individual amino acid side chains to the catalytic
efficiency by using fluorescent peptides based on the Git1 Tyr-554 sequence in
vitro. The typical substrate motif for the catalytic domain of Ptprz was deduced
to be Glu/Asp-Glu/Asp-Glu/Asp-Xaa-Ile/Val-Tyr(P)-Xaa (Xaa is not an acidic
residue). Intriguingly, a G854D substitution of the Magi1 Tyr-858 site matching
better to the motif sequence turned this site to be susceptible to
dephosphorylation by Ptprz independent of the PDZ domain-mediated interaction in
cells. Furthermore, we found by database screening that the substrate motif is
present in several proteins, including paxillin at Tyr-118, its major
phosphorylation site. Expectedly, we verified that Ptprz efficiently
dephosphorylates paxillin at this site in cells. Our study thus provides key
insights into the molecular basis for the substrate recognition of Ptprz.
PMID- 21890633
TI - New mode of action for a knottin protein bioinsecticide: pea albumin 1 subunit b
(PA1b) is the first peptidic inhibitor of V-ATPase.
AB - PA1b (for pea albumin 1 subunit b) is a plant bioinsecticide lethal to several
pests that are important in agriculture or human health. PA1b belongs to the
inhibitory cystine knot family or knottin family. Originating from a plant (the
garden pea) commonly eaten by humans without any known toxic or allergic effects,
PA1b is a candidate for transgenic applications and is one of the most promising
biopesticides for pest control. Using whole-cell patch-clamp techniques on Sf9
PA1b-sensitive lepidopteran insect cells, we discovered that PA1b reversibly
blocked ramp membrane currents in a dose-dependent manner (EC(50) = 0.52 MUM).
PA1b had the same effect as bafilomycin, a specific inhibitor of the vacuolar
proton pump (V-type H(+)-ATPase), and the PA1b-sensitive current depended on the
internal proton concentration. Biochemical assays on purified V-ATPase from the
lepidopteran model Manduca sexta showed that PA1b inhibited the V(1)V(0)-type
H(+)-ATPase holoenzyme activity (IC(50) ~ 70 nM) by interacting with the membrane
bound V(0) part of the V-ATPase. V-ATPase is a complex protein that has been
studied increasingly because of its numerous physiological roles. In the midgut
of insects, V-ATPase activity is essential for energizing nutrient absorption,
and the results reported in this work explain the entomotoxic properties of PA1b.
Targeting V-ATPase is a promising means of combating insect pests, and PA1b
represents the first peptidic V-ATPase inhibitor. The search for V-ATPase
inhibitors is currently of great importance because it has been demonstrated that
V-ATPase plays a role in so many physiological processes.
PMID- 21890634
TI - Genomic analyses of the RNA-binding protein Hu antigen R (HuR) identify a complex
network of target genes and novel characteristics of its binding sites.
AB - The ubiquitously expressed RNA-binding protein Hu antigen R (HuR) or ELAVL1 is
implicated in a variety of biological processes as well as being linked with a
number of diseases, including cancer. Despite a great deal of prior investigation
into HuR, there is still much to learn about its function. We take an important
step in this direction by conducting cross-linking and immunoprecipitation and
RNA sequencing experiments followed by an extensive computational analysis to
determine the characteristics of the HuR binding site and impact on the
transcriptome. We reveal that HuR targets predominantly uracil-rich single
stranded stretches of varying size, with a strong conservation of structure and
sequence composition. Despite the fact that HuR sites are observed in intronic
regions, our data do not support a role for HuR in regulating splicing. HuR sites
in 3'-UTRs overlap extensively with predicted microRNA target sites, suggesting
interplay between the functions of HuR and microRNAs. Network analysis showed
that identified targets containing HuR binding sites in the 3' UTR are highly
interconnected.
PMID- 21890635
TI - Role of MbtH-like proteins in the adenylation of tyrosine during aminocoumarin
and vancomycin biosynthesis.
AB - MbtH-like proteins consist of ~70 amino acids and are encoded in the biosynthetic
gene clusters of non-ribosomally formed peptides and other secondary metabolites
derived from amino acids. Recently, several MbtH-like proteins have been shown to
be required for the adenylation of amino acid in non-ribosomal peptide synthesis.
We now investigated the role of MbtH-like proteins in the biosynthesis of the
aminocoumarin antibiotics novobiocin, clorobiocin, and simocyclinone D8 and of
the glycopeptide antibiotic vancomycin. The tyrosine-adenylating enzymes CloH,
SimH, and Pcza361.18, involved in the biosynthesis of clorobiocin, simocyclinone
D8, and vancomycin, respectively, required the presence of MbtH-like proteins in
a 1:1 molar ratio, forming heterotetrameric complexes. In contrast, NovH,
involved in novobiocin biosynthesis, showed activity in the absence of MbtH-like
proteins. Comparison of the active centers of CloH and NovH showed only one amino
acid to be different, i.e. Leu-383 versus Met-383. Mutation of this amino acid in
CloH (L383M) indeed led to MbtH-independent adenylating activity. All
investigated tyrosine-adenylating enzymes exhibited remarkable promiscuity for
MbtH-like proteins from different pathways and organisms. YbdZ, the MbtH-like
protein from the expression host Escherichia coli, was found to bind to
adenylating enzymes during expression and to influence their biochemical
properties markedly. Therefore, the use of ybdZ-deficient expression hosts is
important in biochemical studies of adenylating enzymes.
PMID- 21890637
TI - Ubiquitin-specific cysteine protease 2a (USP2a) regulates the stability of Aurora
A.
AB - The ubiquitin/proteasome pathway plays critical roles in virtually all aspects of
cell biology. Enzymes of the ubiquitin pathway add (ligases) or remove
(deubiquitinases) ubiquitin tags to or from their target proteins in a selective
fashion. USP2a is a member of a subfamily of deubiquitinases, called ubiquitin
specific cysteine proteases (USPs). Although USP2a has been reported to be a bona
fide oncogene that regulates the stability of MDM2, MDMX, and FAS, it is likely
that there are other unidentified substrates for USP2a. In this study, we show
that USP2a mediates mitotic progression by regulating the stability of Aurora-A.
Through cell-based screening of a USP siRNA library, we discovered that knockdown
of USP2a reduced the protein levels of Aurora-A. USP2a interacts with Aurora-A
directly in vitro and in vivo. In addition, Aurora-A is a substrate for USP2a in
vitro and in vivo. Our study provides a novel mechanism for the role of USP2a in
mediating the stability of Aurora-A.
PMID- 21890636
TI - Alternative splicing modulates inactivation of type 1 voltage-gated sodium
channels by toggling an amino acid in the first S3-S4 linker.
AB - Voltage-gated sodium channels underlie the upstroke of action potentials and are
fundamental to neuronal excitability. Small changes in the behavior of these
channels are sufficient to change neuronal firing and trigger seizures. These
channels are subject to highly conserved alternative splicing, affecting the
short linker between the third transmembrane segment (S3) and the voltage sensor
(S4) in their first domain. The biophysical consequences of this alternative
splicing are incompletely understood. Here we focus on type 1 sodium channels
(Nav1.1) that are implicated in human epilepsy. We show that the functional
consequences of alternative splicing are highly sensitive to recording
conditions, including the identity of the major intracellular anion and the
recording temperature. In particular, the inactivation kinetics of channels
containing the alternate exon 5N are more sensitive to intracellular fluoride
ions and to changing temperature than channels containing exon 5A. Moreover,
Nav1.1 channels containing exon 5N recover from inactivation more rapidly at
physiological temperatures. Three amino acids differ between exons 5A and 5N.
However, the changes in sensitivity and stability of inactivation were reproduced
by a single conserved change from aspartate to asparagine in channels containing
exon 5A, which was sufficient to make them behave like channels containing the
complete exon 5N sequence. These data suggest that splicing at this site can
modify the inactivation of sodium channels and reveal a possible interaction
between splicing and anti-epileptic drugs that stabilize sodium channel
inactivation.
PMID- 21890638
TI - Expression patterns and function of chromatin protein HMGB2 during mesenchymal
stem cell differentiation.
AB - The superficial zone (SZ) of articular cartilage is critical in maintaining
tissue function and homeostasis and represents the site of the earliest changes
in osteoarthritis (OA). The expression of chromatin protein HMGB2 is restricted
to the SZ, which contains cells expressing mesenchymal stem cell (MSC) markers.
Age-related loss of HMGB2 and gene deletion are associated with reduced SZ
cellularity and early onset OA. This study addressed HMGB2 expression patterns in
MSC and its role during differentiation. HMGB2 was detected at higher levels in
human MSC as compared with human articular chondrocytes, and its expression
declined during chondrogenic differentiation of MSC. Lentiviral HMGB2
transduction of MSC suppressed chondrogenesis as reflected by an inhibition of
Col2a1 and Col10a1 expression. Conversely, in bone marrow MSC from Hmgb2(-/-)
mice, Col10a1 was more strongly expressed than in wild-type MSC. This is
consistent with in vivo results from mouse growth plates showing that Hmgb2 is
expressed in proliferating and prehypertrophic zones but not in hypertrophic
cartilage where Col10a1 is strongly expressed. Osteogenesis was also accelerated
in Hmgb2(-/-) MSC. The expression of Runx2, which plays a major role in late
stage chondrocyte differentiation, was enhanced in Hmgb2(-/-) MSC, and HMGB2
negatively regulated the stimulatory effect of Wnt/beta-catenin signaling on the
Runx2 proximal promoter. These results demonstrate that HMGB2 expression is
inversely correlated with the differentiation status of MSC and that HMGB2
suppresses chondrogenic differentiation. The age-related loss of HMGB2 in
articular cartilage may represent a mechanism responsible for the decline in
adult cartilage stem cell populations.
PMID- 21890640
TI - Gamete formation resets the aging clock in yeast.
AB - Gametogenesis is a process whereby a germ cell differentiates into haploid
gametes. We found that, in budding yeast, replicatively aged cells remove age
induced cellular damage during gametogenesis. Importantly, gametes of aged cells
have the same replicative potential as those derived from young cells, indicating
that life span resets during gametogenesis. Here, we explore the potential
mechanisms responsible for gametogenesis-induced rejuvenation and discuss
putative analogous mechanisms in higher eukaryotes.
PMID- 21890642
TI - Regulated histone methyltransferase and demethylase complexes in the control of
genes by nuclear receptors.
AB - Liganded nuclear receptors (NRs) are DNA-binding transcription factors that
control the transcription of target genes. Such NRs exert their transcriptional
functions via ligand binding-induced interactions with a number of coregulator
complexes to reorganize chromatin state. Intensive investigation of NR
coregulator complexes has revealed that, besides histone acetylation, histone
methylation is critical for ligand-dependent transcriptional controls by NRs. Our
recent biochemical screening for NR coregulator complexes showed that the
enzymatic activities of these histone methylation/demethylation complexes are
under the control of posttranslational modifications (PTMs) of their catalytic
subunit. Characterization of such regulated complexes has established the concept
that transcriptional coregulator complexes sense and decode cellular signals at
the molecular level. In this symposium review, we will illustrate our recent
findings regarding PTM-based regulation of NR transcriptional control and discuss
how these findings are applicable to the diverse roles of NR coregulators in
interpreting regulatory signals into proper gene regulation.
PMID- 21890641
TI - Circadian clocks in fuel harvesting and energy homeostasis.
AB - Circadian systems have evolved in plants, eubacteria, neurospora, and the metazoa
as a mechanism to optimize energy acquisition and storage in synchrony with the
rotation of the Earth on its axis. In plants, circadian clocks drive the
expression of genes involved in oxygenic photosynthesis during the light and
nitrogen fixation during the dark, repeating this cycle each day. In mammals, the
core clock in the suprachiasmatic nucleus (SCN) functions to entrain extra-SCN
and peripheral clocks to the light cycle, including regions central to energy
homeostasis and sleep, as well as peripheral tissues involved in glucose and
lipid metabolism. Tissue-specific gene targeting has shown a primary role of
clock genes in endocrine pancreas insulin secretion, indicating that local clocks
play a cell-autonomous role in organismal homeostasis. A present focus is to
dissect the consequences of clock disruption on modulation of nuclear hormone
receptor signaling and on posttranscriptional regulation of intermediary
metabolism. Experimental genetic studies have pointed toward extensive interplay
between circadian and metabolic systems and offer a means to dissect the impact
of local tissue molecular clocks on fuel utilization across the sleep-wake cycle.
PMID- 21890643
TI - The CXCR4 antagonist plerixafor corrects panleukopenia in patients with WHIM
syndrome.
AB - WHIM syndrome is a rare congenital immunodeficiency disorder characterized by
warts, hypogammaglobulinemia, infections, and myelokathexis (neutropenia because
of impaired egress from the BM); most patients also have severe panleukopenia.
Because WHIM syndrome is caused by mutations in the chemokine receptor CXCR4 that
result in increased agonist-dependent signaling, we hypothesized that the CXCR4
antagonist plerixafor (Mozobil [Genyzme Corporation], AMD3100), might be an
effective treatment. To test this, we enrolled 3 unrelated adult patients with
the most common WHIM mutation, CXCR4(R334X), in a phase 1 dose-escalation study.
Plerixafor increased absolute lymphocyte, monocyte, and neutrophil counts in
blood to normal without significant side effects in all 3 patients. Peak
responses occurred at 3-12 hours after injection and waned by 24 hours after
injection which tracked the drug's pharmacokinetics. All 3 cell types increased
in a dose-dependent manner with the rank order of responsiveness absolute
lymphocyte > monocyte > neutrophil. These data provide the first pharmacologic
evidence that panleukopenia in WHIM syndrome is caused by CXCL12-CXCR4 signaling
dependent leukocyte sequestration, and support continued study of plerixafor as
mechanism-based therapy in this disease. This study is registered at
http://www.clinicaltrials.gov as NCT00967785.
PMID- 21890644
TI - Heparin and warfarin anticoagulation intensity as predictors of recurrence after
deep vein thrombosis or pulmonary embolism: a population-based cohort study.
AB - To test recommended anticoagulation measures as predictors of 180-day venous
thromboembolism (VTE) recurrence, we identified all Olmsted County, MN residents
with incident VTE over the 14-year period of 1984-1997, and followed each case (N
= 1166) forward in time for VTE recurrence. We tested the activated partial
thromboplastin time (APTT), international normalized ratio (INR), and other
measures of heparin and warfarin anticoagulation as predictors of VTE recurrence
while controlling for baseline and time-dependent characteristics using Cox
proportional hazards modeling. Overall, 1026 (88%) and 989 (85%) patients
received heparin and warfarin, respectively, and 85 (8%) developed VTE
recurrence. In multivariable analyses, increasing proportions of time on heparin
with an APTT >= 0.2 anti-X(a) U/mL and on warfarin with an INR >= 2.0 were
associated with significant reductions in VTE recurrence, while the hazard with
active cancer was significantly increased. Time from VTE onset to heparin start,
duration of overlapping heparin and warfarin, and inferior vena cava (IVC) filter
placement were not independent predictors of recurrence. At a heparin dose >= 30
000 U/d, the median proportion of time with an APTT >= 0.2 anti-X(a) U/mL was
92%, suggesting that routine APTT monitoring and heparin dose adjustment may be
unnecessary. In summary, lower-intensity heparin and standard-intensity warfarin
anticoagulation are effective in preventing VTE recurrence.
PMID- 21890645
TI - Arabidopsis TERMINAL FLOWER1 is involved in the regulation of flowering time and
inflorescence development through transcriptional repression.
AB - TERMINAL FLOWER1 (TFL1) is a key regulator of flowering time and the development
of the inflorescence meristem in Arabidopsis thaliana. TFL1 and FLOWERING LOCUS T
(FT) have highly conserved amino acid sequences but opposite functions. For
example, FT promotes flowering and TFL1 represses it; FT-overexpressing plants
and TFL1 loss-of-function mutants have a similar phenotype production of terminal
flowers in the shoot apex. FT is believed to function in a transcriptional
activator complex by interacting with FD. Here, we demonstrate that TFL1 is
involved in the transcriptional repression of genes that are activated by FT. We
analyzed transgenic plants overexpressing TFL1 fused to a transcriptional
repressor domain (TFL1-SRDX) or an activator domain (TFL1-VP16). Plants carrying
35S:TFL1-SRDX showed delayed flowering similar to 35S:TFL1 plants, and plants
carrying 35S:TFL1-VP16 showed an early flowering phenotype and produced terminal
flowers. Furthermore, the tfl1 and 35S:TFL1-VP16 plant phenotypes were strongly
suppressed by the fd mutation, and TFL1 interacted with FD in the cell nucleus,
as shown by bimolecular fluorescence complementation experiments. We conclude
that TFL1 negatively modulates the FD-dependent transcription of target genes to
fine-tune flowering time and the development of the inflorescence meristem.
PMID- 21890646
TI - TERMINAL FLOWER1 acts in transcriptional repression.
PMID- 21890647
TI - Integrative annotation of human large intergenic noncoding RNAs reveals global
properties and specific subclasses.
AB - Large intergenic noncoding RNAs (lincRNAs) are emerging as key regulators of
diverse cellular processes. Determining the function of individual lincRNAs
remains a challenge. Recent advances in RNA sequencing (RNA-seq) and
computational methods allow for an unprecedented analysis of such transcripts.
Here, we present an integrative approach to define a reference catalog of >8000
human lincRNAs. Our catalog unifies previously existing annotation sources with
transcripts we assembled from RNA-seq data collected from ~4 billion RNA-seq
reads across 24 tissues and cell types. We characterize each lincRNA by a
panorama of >30 properties, including sequence, structural, transcriptional, and
orthology features. We found that lincRNA expression is strikingly tissue
specific compared with coding genes, and that lincRNAs are typically coexpressed
with their neighboring genes, albeit to an extent similar to that of pairs of
neighboring protein-coding genes. We distinguish an additional subset of
transcripts that have high evolutionary conservation but may include short ORFs
and may serve as either lincRNAs or small peptides. Our integrated,
comprehensive, yet conservative reference catalog of human lincRNAs reveals the
global properties of lincRNAs and will facilitate experimental studies and
further functional classification of these genes.
PMID- 21890648
TI - Cooperation between both Wnt/{beta}-catenin and PTEN/PI3K/Akt signaling promotes
primitive hematopoietic stem cell self-renewal and expansion.
AB - Although self-renewal is the central property of stem cells, the underlying
mechanism remains inadequately defined. Using a hematopoietic stem and progenitor
cell (HSPC)-specific conditional induction line, we generated a compound genetic
model bearing both Pten deletion and beta-catenin activation. These double mutant
mice exhibit a novel phenotype, including expansion of phenotypic long-term
hematopoietic stem cells (LT-HSCs) without extensive differentiation.
Unexpectedly, constitutive activation of beta-catenin alone results in apoptosis
of HSCs. However, together, the Wnt/beta-catenin and PTEN/PI3k/Akt pathways
interact to drive phenotypic LT-HSC expansion by inducing proliferation while
simultaneously inhibiting apoptosis and blocking differentiation, demonstrating
the necessity of complementary cooperation between the two pathways in promoting
self-renewal. Mechanistically, beta-catenin activation reduces multiple
differentiation-inducing transcription factors, blocking differentiation
partially through up-regulation of Inhibitor of differentiation 2 (Id2). In
double mutants, loss of Pten enhances the HSC anti-apoptotic factor Mcl-1. All of
these contribute in a complementary way to HSC self-renewal and expansion. While
permanent, genetic alteration of both pathways in double mutant mice leads to
expansion of phenotypic HSCs, these HSCs cannot function due to blocked
differentiation. We developed a pharmacological approach to expand normal,
functional HSCs in culture using factors that reversibly activate both Wnt/beta
catenin and PI3K/Akt signaling simultaneously. We show for the first time that
activation of either single pathway is insufficient to expand primitive HSCs, but
in combination, both pathways drive self-renewal and expansion of HSCs with long
term functional capacity.
PMID- 21890650
TI - Pheochromocytoma and pregnancy: a deceptive connection.
AB - A pheochromocytoma in a pregnant patient is one of the most threatening medical
conditions for mother, fetus, and physician. Although extraordinarily rare with a
frequency of 0.002% of all pregnancies, this tumor is notorious for its
devastating consequences. As in non-pregnant patients, the signs and symptoms are
quite variable but not specific, with hypertension being one of the most
prominent signs. Confusion with the much more prevalent forms of pregnancy
related hypertension is the main cause of overlooking the diagnosis. If
undiagnosed, maternal and fetal mortality is around 50%. Conversely, early
detection and proper treatment during pregnancy decrease the maternal and fetal
mortality to <5 and 15% respectively. For the biochemical diagnosis, plasma or
urinary metanephrines are the tests of first choice since they have a nearly
maximal negative predictive value. For reliable localization, only magnetic
resonance imaging is suitable, with a sensitivity of more than 90%. When the
tumor is diagnosed in the first 24 weeks of gestation, it should be removed by
laparoscopic adrenalectomy after 10-14 days of medical preparation with the same
drugs as in non-pregnant patients. If the tumor is diagnosed in the third
trimester, the patient should be managed until the fetus is viable using the same
drug regimen as for regular surgical preparation. Cesarean section with tumor
removal in the same session or at a later stage is then preferred since vaginal
delivery is possibly associated with higher mortality. Despite all technical
diagnostic and therapeutic progress over the last decades, the key factor for
further reduction of maternal and fetal mortality is early awareness and
recognition of the potential presence of a pheochromocytoma in a pregnant patient
with hypertension.
PMID- 21890649
TI - Identification of enzyme activity quantitative trait loci in a Solanum
lycopersicum x Solanum pennellii introgression line population.
AB - Activities of 28 enzymes from central carbon metabolism were measured in pericarp
tissue of ripe tomato fruits from field trials with an introgression line (IL)
population generated by introgressing segments of the genome of the wild relative
Solanum pennellii (LA0716) into the modern tomato cultivar Solanum lycopersicum
M82. Enzyme activities were determined using a robotized platform in optimized
conditions, where the activities largely reflect the level of the corresponding
proteins. Two experiments were analyzed from years with markedly different
climate conditions. A total of 27 quantitative trait loci were shared in both
experiments. Most resulted in increased enzyme activity when a portion of the S.
lycopersicum genome was substituted with the corresponding portion of the genome
of S. pennellii. This reflects the change in activity between the two parental
genotypes. The mode of inheritance was studied in a heterozygote IL population. A
similar proportion of quantitative trait loci (approximately 30%) showed
additive, recessive, and dominant modes of inheritance, with only 5% showing
overdominance. Comparison with the location of putative genes for the
corresponding proteins indicates a large role of trans-regulatory mechanisms.
These results point to the genetic control of individual enzyme activities being
under the control of a complex program that is dominated by a network of trans
acting genes.
PMID- 21890651
TI - Approach to the patient with advanced differentiated thyroid cancer.
AB - Patients with advanced thyroid cancer may benefit from l-thyroxine treatment at
doses that suppress serum TSH level, local treatment interventions, and
radioiodine therapy. In those patients who are refractory to radioiodine therapy
and in whom progressive disease has been documented, the efficacy of cytotoxic
chemotherapy is poor. Encouraging results have been obtained with the use of
kinase inhibitors that should be offered as first-line treatment, preferably in
the context of a prospective trial.
PMID- 21890652
TI - Family history of diabetes and distribution of class II HLA genotypes in children
with newly diagnosed type 1 diabetes: effect on diabetic ketoacidosis.
AB - OBJECTIVE: Our purpose was to assess whether family history of diabetes or the
HLA-DR-DQ genotype of the index case was associated with the frequency of
diabetic ketoacidosis (DKA) at diagnosis of childhood type 1 diabetes. PATIENTS
AND METHODS: The study cohort comprised 1518 children aged <15 years and
diagnosed with type 1 diabetes in Finland in 2002-2005. Family history of type 1
and type 2 diabetes among first-degree relatives (FDRs) and grandparents was
assessed at diagnosis. HLA-DR-DQ genotypes were analysed using time-resolved
fluorometry. RESULTS: In total, 12.6 and 1.7% of children had at least one FDR
affected with type 1 or type 2 diabetes, respectively, and 6.6 and 34.8% had at
least one grandparent with type 1 or type 2 diabetes. DKA (pH <7.30) occurred
less frequently in children having a type 1 diabetes affected FDR (7.4 vs 20.5%,
P<0.001). Type 2 diabetes among the parents or grandparents had no such effect.
Lower risk HLA genotypes were observed to predispose to DKA (P<0.024). In a
logistic regression analysis, the risk of DKA was independently associated with
the absence of a family member affected by type 1 diabetes, the presence of a low
risk HLA genotype and older age at diagnosis (odds ratio 3.23, 1.45 and 1.07
respectively). CONCLUSION: The presence of type 1 diabetes in an FDR is
associated with an decreased risk of DKA at diagnosis. The rate of DKA seems to
be higher in children with lower HLA-conferred risk for type 1 diabetes.
PMID- 21890653
TI - A search filter for increasing the retrieval of animal studies in Embase.
AB - Collecting and analysing all available literature before starting a new animal
experiment is important and it is indispensable when writing systematic reviews
of animal research. In practice, finding all animal studies relevant to a
specific research question turns out to be anything but simple. In order to
facilitate this search process, we previously developed a search filter for
retrieving animal studies in the most often used biomedical database, PubMed. It
is a general requirement for systematic reviews, however, that at least two
databases are searched. In this report, we therefore present a similar search
filter for a second important database, namely Embase. We show that our filter
retrieves more animal studies than (a combination of) the options currently
available in Embase. Our search filters for PubMed and Embase therefore represent
valuable tools for improving the quality of (systematic) reviews and thereby of
new animal experiments.
PMID- 21890654
TI - Successful treatment with bortezomib and thalidomide for POEMS syndrome
associated with multicentric mixed-type Castleman's disease.
AB - Polyneuropathy, organomegaly, endocrinopathy, M-protein and skin changes syndrome
is a rare multi-systematic disorder of uncertain etiology, if associated with
multicentric Castleman's disease, it can lead to a more serious condition. We
here presented a case of polyneuropathy, organomegaly, endocrinopathy, M-protein
and skin changes syndrome in a 37-year-old male patient who initially presented
with progressive lower limb weakness accompanied by pain, low skin temperature,
and hyperpigmentation. He was admitted with increasingly serious dyspnea and
lower leg edema. Fluid of serous cavities in the patient were also indicated in
ultrasonic inspection and X-ray. Furthermore, biopsy of a left axillary lymph
node showed mixed hyaline-vascular and plasma cell type of multicentric
Castleman's disease. Administration of bortezomib (Velcade) (1.3 mg/m(2) on days
1, 4, 8 and 11 of a 21-day cycle) combined with thalidomide (100 mg/day and 21
day cycle) dramatically improved the condition of this disease. Of note, in our
study, combination therapy of bortezomib and thalidomide successfully improved
the condition of the patient with polyneuropathy, organomegaly, endocrinopathy, M
protein and skin changes syndrome associated with multicentric Castleman's
disease, suggesting that the combination therapy may be an effective therapeutic
strategy for the intractable polyneuropathy, organomegaly, endocrinopathy, M
protein and skin changes syndrome associated with multicentric Castleman's
disease.
PMID- 21890655
TI - The history of the Gynecologic Cancer Study Group (GCSG) of the Japan Clinical
Oncology Group (JCOG).
AB - The Gynecologic Cancer Study Group (GCSG) of the Japan Clinical Oncology Group
(JCOG) was organized in 1994. The GCSG has developed under the leadership of
three successive group representatives, five principal study investigators, the
cooperation of group members and the support of several public research funds. At
present, 38 institutions are participating as active members of the GCSG of the
JCOG. In addition to gynecologic oncologists, medical oncologists, pathologists
and radiotherapists are participating in our group. Our group manages female
genital malignancies including uterine cervical, endometrial, ovarian, tubal and
vulvar cancers. Because the incidences of uterine cervical (in younger women),
endometrial and ovarian cancer have increased in Japan in recent years, we are
developing new standard treatments especially for these malignancies. As of 31
May 2011, our group has conducted six JCOG clinical trials (three completed and
three ongoing) and completed one JCOG accompanying study, which is now in
preparation for publication. Our group has also conducted several retrospective
studies, and Phase I and II trials independent of the JCOG Data Center. Our aim
is to conduct unique and high-quality clinical trials which we can appeal to the
world. In this review, we present the organization and achievements of our group,
along with a list of participating institutions, as the history of the GCSG of
the JCOG.
PMID- 21890656
TI - Experimental arthritis triggers periodontal disease in mice: involvement of TNF
alpha and the oral Microbiota.
AB - Rheumatoid arthritis (RA) and periodontal disease (PD) are prevalent chronic
inflammatory disorders that affect bone structures. Individuals with RA are more
likely to experience PD, but how disease in joints could induce PD remains
unknown. This study aimed to experimentally mimic clinical parameters of RA
induced PD and to provide mechanistic findings to explain this association.
Chronic Ag-induced arthritis (AIA) was triggered by injection of methylated BSA
in the knee joint of immunized mice. Anti-TNF-alpha was used to assess the role
of this cytokine. Intra-articular challenge induced infiltration of cells,
synovial hyperplasia, bone resorption, proteoglycan loss, and increased
expression of cytokines exclusively in challenged joints. Simultaneously, AIA
resulted in severe alveolar bone loss, migration of osteoclasts, and release of
proinflammatory cytokines in maxillae. Anti-TNF-alpha therapy prevented the
development of both AIA and PD. AIA did not modify bacterial counts in the oral
cavity. PD, but not AIA, induced by injection of Ag in immunized mice was
decreased by local treatment with antiseptic, which decreased the oral
microbiota. AIA was associated with an increase in serum C-reactive protein
levels and the expression of the transcription factors RORgamma and Foxp3 in
cervical lymph nodes. There were higher titers of anti-collagen I IgG, and
splenocytes were more responsive to collagen I in AIA mice. In conclusion, AIA
induced PD was dependent on TNF-alpha and the oral microbiota. Moreover, PD was
associated with changes in expression of lymphocyte transcription factors,
presence of anti-collagen Abs, and increased reactivity to autoantigens.
PMID- 21890657
TI - A TLR5 agonist inhibits acute renal ischemic failure.
AB - Reperfusion of ischemic organs induces a potent inflammatory response initiated
by the generation of reactive oxygen species that directly damage tissue and
promote leukocyte infiltration and activation that also mediate tissue injury. We
recently found that radiation-induced tissue injury, which is caused by radiation
induced reactive oxygen species, is attenuated by administration of CBLB502, a
pharmacologically optimized derivative of the TLR5 agonist flagellin. Therefore,
we tested the ability of CBLB502 to attenuate injury in a murine model of acute
ischemic renal failure. CBLB502 given 30 min before imposition of bilateral renal
pedicle occlusion provided marked protection against the renal dysfunction and
inflammation that follows reperfusion of ischemic kidneys, including marked
decreases in leukocyte infiltration, proinflammatory cytokine production, and
tubular injury. Importantly, CBLB502 given within 30 min after ischemic kidney
reperfusion reproduced the protective effects of pretreatment with the TLR5
agonist, indicating a window following reperfusion in which CBLB502
administration abrogates acute renal ischemic failure. Bone marrow-reconstituted
chimeras were used to show that the protective effects of CBLB502 could be
delivered by intact MyD88 signaling on renal parenchymal cells. Consistent with
this, Ab staining of kidney sections indicated that cells lining the renal
vasculature expressed TLR5. Overall, these results indicate the use of TLR5
agonists as mitigators and protectants of acute renal ischemic failure.
PMID- 21890658
TI - Functional CD8 T cell memory responding to persistent latent infection is
maintained for life.
AB - Aging is associated with depressed naive T cell responses, but it is less clear
whether T cell memory established early in life also becomes impaired with age.
This is particularly important for T cells responding to latent persistent
infection, which need to remain functional and capable of controlling the
infection over the lifetime; however, repeated stimulation over the lifetime may
dysregulate their maintenance or function, potentially contributing to impaired
immunity in the elderly. Systemic infection with HSV-1, a persistent latent
virus, is associated with memory inflation of virus-specific CD8 T cells. We
tested how these inflated memory cells are maintained from adulthood into old
age. We found no significant differences in the numbers (i.e., blood, spleen), ex
vivo Ag-specific IFN-gamma production, and in vivo recall response to HSV-1
(i.e., proliferation, IFN-gamma production, cytolysis) between adult and old
memory T cells. There was a discrete shift from dominantly effector memory
phenotype in the adults to a central memory-like phenotype in the old mice, with
fewer old cells expressing the killer cell lectin-like receptor G1 (KLRG1). Adult
and old KLRG1(+) memory CD8 T cells were functionally identical: both produced
IFN-gamma but could minimally proliferate in response to viral challenge.
Interestingly, regardless of age, KLRG1(+) cells retained the ability to
proliferate and survive in response to homeostatic signals, both in vitro
(culture with IL-7 and IL-15) and in vivo (expansion following transfer into
lymphopenic recipients). This finding demonstrates that functional effector
memory T cells, including those expressing KLRG-1, are maintained and are
functional for life, despite the presence of persistent viral infection.
PMID- 21890659
TI - Selenite induces posttranscriptional blockade of HLA-E expression and sensitizes
tumor cells to CD94/NKG2A-positive NK cells.
AB - CD94/NKG2A is an inhibitory receptor that controls the activity of a large
proportion of human NK cells following interactions with the nonclassical HLA
class Ib molecule HLA-E expressed on target cells. In this study, we show that
selenite (SeO(3)(2-)), an inorganic selenium compound, induces an almost complete
loss of cell surface expression of HLA-E on tumor cells of various origins.
Selenite abrogated the HLA-E expression at a posttranscriptional level, since
selenite exposure led to a dose-dependent decrease in cellular HLA-E protein
expression whereas the mRNA levels remained intact. The loss of HLA-E expression
following selenite treatment was associated with decreased levels of
intracellular free thiols in the tumor cells, suggesting that the reduced HLA-E
protein synthesis was caused by oxidative stress. Indeed, HLA-E expression and
the level of free thiols remained intact following treatment with
selenomethionine, a selenium compound that does not generate oxidative stress.
Loss of HLA-E expression, but not of total HLA class I expression, on tumor cells
resulted in increased susceptibility to CD94/NK group 2A-positive NK cells. Our
results suggest that selenite may be used to potentiate the anti-tumor
cytotoxicity in settings of NK cell-based immunotherapies.
PMID- 21890660
TI - Macrophages at the fetal-maternal interface express markers of alternative
activation and are induced by M-CSF and IL-10.
AB - During pregnancy, the maternal immune system is challenged by the presence of the
fetus, which must be tolerated despite being semiallogeneic. Uterine mucosal (or
decidual) macrophages (M), one of the major leukocyte populations at the fetal
maternal interface, have been implicated in fetal tolerance, but information
regarding their regulation is scarce. In this study, we investigated the role of
several factors potentially involved in the differentiation and polarization of
decidual M with an in vitro M differentiation model. By using flow cytometry, we
showed that M-CSF and IL-10 were potent inducers of M2 (immunoregulatory) M
markers expressed on human decidual M (CD14, CD163, CD206, CD209). In contrast,
proinflammatory stimuli, and unexpectedly also the Th2-associated IL-4 and IL-13,
induced different patterns of expression, indicating that a Th2-dominated
environment is not required for decidual M polarization. M-CSF/IL-10-stimulated
and decidual M also showed similar cytokine secretion patterns, with production
of IL-10 as well as IL-6, TNF, and CCL4. Conversely, the proinflammatory, LPS/IFN
gamma-stimulated M produced significantly higher levels of TNF and no IL-10. We
also used a gene array with 420 M-related genes, of which 100 were previously
reported to be regulated in a global gene expression profiling of decidual M,
confirming that M-CSF/IL-10-induced M are closely related to decidual M. Taken
together, our results consistently point to a central role for M-CSF and in
particular IL-10 in the shaping of decidual M with regulatory properties. These
cytokines may therefore play an important role in supporting the homeostatic and
tolerant immune milieu required for a successful pregnancy.
PMID- 21890662
TI - Mechanosensitivity before and after hysterectomy: a prospective study on the
prediction of acute and chronic postoperative pain.
AB - BACKGROUND: The incidence of chronic pain after hysterectomy is reported to be up
to 30%, but the relative role of different pathogenic factors has not been
defined. This study aimed to assess the predictive value of preoperative
abdominal and vaginal mechanosensitivity for the subsequent development of acute
and chronic pain after hysterectomy. METHODS: Ninety women undergoing
hysterectomy for benign conditions were studied. Experimental testing was carried
out on the day before hysterectomy, on the first postoperative day, and after 4
months. Abdominal testing included brush-evoked allodynia, pinprick hyperalgesia,
wind-up-like pain, and pressure pain thresholds. Vaginal testing included
pressure pain thresholds. The intensity of pelvic pain was recorded on a
numerical rating scale before hysterectomy, daily in the first postoperative
week, and after 4 months. RESULTS: The incidence of pelvic pain was 51% before
hysterectomy and 17% after 4 months. Before hysterectomy, brush-evoked allodynia
and pinprick hyperalgesia were more frequent in women with pelvic pain (P=0.04
and 0.02, respectively), with abdominal and vaginal pressure pain thresholds
being lower in those with preoperative pelvic pain (P=0.04 and <0.01,
respectively). Preoperative brush-evoked allodynia, pinprick hyperalgesia, and
vaginal pressure pain threshold were associated with the intensity of acute
postoperative pain (P=0.04, <0.01, and <0.01, respectively). Preoperative brush
evoked allodynia was also associated with pelvic pain after 4 months (P<0.01).
CONCLUSIONS: Preoperative pain sensitization as reflected by cutaneous and
vaginal hypersensitivity is associated with acute pain after hysterectomy, but
less so with persistent pain.
PMID- 21890661
TI - Incidence and predictors of major perioperative adverse cardiac and
cerebrovascular events in non-cardiac surgery.
AB - BACKGROUND: Major adverse cardiac and cerebrovascular events (MACCE) represent
the most common cause of serious perioperative morbidity and mortality. Our aim
was to identify risk factors for MACCE in a broad surgical population with
intermediate-to-high surgery-specific risk and to build and validate a model to
predict the risk of MACCE. METHODS: A prospective, multicentre study of patients
undergoing surgical procedures under general or regional anaesthesia in 23
hospitals. The main outcome was the occurrence of at least one perioperative
MACCE, defined as any of the following complications from admittance to
discharge: cardiac death, cerebrovascular death, non-fatal cardiac arrest, acute
myocardial infarction, congestive heart failure, new cardiac arrhythmia, angina,
or stroke. The MACCE predictive index was based on beta-coefficients and
validated in an external data set. RESULTS: Of 3387 patients recruited, 146
(4.3%) developed at least one MACCE. The regression model identified seven
independent risk factors for MACCE: history of coronary artery disease, history
of chronic congestive heart failure, chronic kidney disease, history of
cerebrovascular disease, preoperative abnormal ECG, intraoperative hypotension,
and blood transfusion. The area under the receiver-operating characteristic curve
was 75.9% (95% confidence interval, 71.2-80.6%). CONCLUSIONS: The risk score
based on seven objective and easily assessed factors can accurately predict MACCE
occurrence after non-cardiac surgery in a population at intermediate-to-high
surgery-specific risk.
PMID- 21890663
TI - Shedding of the coronary endothelial glycocalyx: effects of hypoxia/reoxygenation
vs ischaemia/reperfusion.
AB - BACKGROUND: Vascular endothelium is covered by a glycocalyx. Damage to the
glycocalyx after systemic inflammation or ischaemia/reperfusion contributes to
increased vascular permeability and leucocyte adhesion. The underlying mechanisms
leading to ischaemia/reperfusion-induced glycocalyx shedding are incompletely
understood, in terms of lack of oxygen, absence of flow, or return of oxygen.
METHODS: Isolated guinea pig hearts perfused with Krebs-Henseleit buffer at 37
degrees C underwent 20 min of either stopped-flow ischaemia or hypoxic perfusion
with subsequent reperfusion/reoxygenation (n = 6 each). Hearts perfused with
normoxic buffer served as time controls. Epicardial transudate was collected to
assess coronary net fluid filtration, colloid extravasation, and histamine
release by mast cells. Syndecan-1 and heparan sulphate were measured in coronary
effluent, together with lactate, purines, and the release of mast-cell tryptase
beta. Additional hearts were perfusion-fixed to visualize the glycocalyx.
RESULTS: Both ischaemia and hypoxia with reperfusion/reoxygenation resulted in
significant increases in net fluid filtration (P < 0.05) and release of syndecan
1 and heparan sulphate in coronary effluent. These effects were already seen with
the onset of hypoxic perfusion. Histamine was released during hypoxia and
reoxygenation and also reperfusion, as was tryptase beta, and high concentrations
of adenosine (>1 umol litre-1, hypoxia group) and inosine (> 7 umol litre-1,
ischaemia group) were measured in effluent (P < 0.05). Damage to the coronary
glycocalyx was evident upon electron microscopy. CONCLUSIONS: Both ischaemic and
hypoxic hypoxia initiate glycocalyx degradation, promoting an increase in
permeability. A contributing mechanism could be purine-mediated degranulation of
resident mast cells, with liberated tryptase beta acting as potential 'sheddase'.
PMID- 21890664
TI - Functional analyses of multiple lichenin-degrading enzymes from the rumen
bacterium Ruminococcus albus 8.
AB - Ruminococcus albus 8 is a fibrolytic ruminal bacterium capable of utilization of
various plant cell wall polysaccharides. A bioinformatic analysis of a partial
genome sequence of R. albus revealed several putative enzymes likely to hydrolyze
glucans, including lichenin, a mixed-linkage polysaccharide of glucose linked
together in beta-1,3 and beta-1,4 glycosidic bonds. In the present study, we
demonstrate the capacity of four glycoside hydrolases (GHs), derived from R.
albus, to hydrolyze lichenin. Two of the genes encoded GH family 5 enzymes
(Ra0453 and Ra2830), one gene encoded a GH family 16 enzyme (Ra0505), and the
last gene encoded a GH family 3 enzyme (Ra1595). Each gene was expressed in
Escherichia coli, and the recombinant protein was purified to near homogeneity.
Upon screening on a wide range of substrates, Ra0453, Ra2830, and Ra0505
displayed different hydrolytic properties, as they released unique product
profiles. The Ra1595 protein, predicted to function as a beta-glucosidase,
preferred cleavage of a nonreducing end glucose when linked by a beta-1,3
glycosidic bond to the next glucose residue. The major product of Ra0505
hydrolysis of lichenin was predicted to be a glucotriose that was degraded only
by Ra0453 to glucose and cellobiose. Most importantly, the four enzymes
functioned synergistically to hydrolyze lichenin to glucose, cellobiose, and
cellotriose. This lichenin-degrading enzyme mix should be of utility as an
additive to feeds administered to monogastric animals, especially those high in
fiber.
PMID- 21890665
TI - Rapid, transient, and proportional activation of sigma(B) in response to osmotic
stress in Listeria monocytogenes.
AB - The osmotic activation of sigma B (sigma(B)) in Listeria monocytogenes was
studied by monitoring expression of four known sigma(B)-dependent genes, opuCA,
lmo2230, lmo2085, and sigB. Activation was found to be rapid, transient, and
proportional to the magnitude of the osmotic stress applied, features that
underpin the adaptability of this pathogen.
PMID- 21890666
TI - Microcolony formation by single-cell Synechococcus strains as a fast response to
UV radiation.
AB - UV radiation (UVR) has different effects on prokaryotic cells, such as, for
instance, filamentation and aggregation in bacteria. Here we studied the effect
of UVR on microcolony formation in two freshwater Synechococcus strains of
different ribotypes (group B and group I) and phycobiliprotein compositions
(phycoerythrin [PE] and phycocyanin [PC]). Each strain was photoacclimated at two
light intensities, low light (LL) (10 MUmol m-2 s-1) and moderate light (ML) (100
MUmol m-2 s-1). The cultures were exposed for 6 days to treatments with UVR or
without UVR. PE-rich Synechococcus acclimated to LL had a low
carotenoid/chlorophyll a (car/chl) ratio but responded faster to UVR treatment,
producing the highest percentages of microcolonies and of cells in microcolonies.
Conversely, the same strain acclimated to ML, with a higher car/chl ratio, did
not aggregate significantly. These results suggest that microcolony formation by
PE-rich Synechococcus is induced by UVR if carotenoid levels are low. PC-rich
Synechococcus formed a very low percentage of microcolonies in both acclimations
even with low car/chl ratio. The different responses of the two Synechococcus
strains to UVR depend on their pigment compositions. On the other hand, this
study does not exclude that UVR-induced microcolony formation could also be
related to specific ribotypes.
PMID- 21890667
TI - Phage specificity of the freshwater fish pathogen Flavobacterium columnare.
AB - Flavobacteria and their phages were isolated from Finnish freshwaters and fish
farms. Emphasis was placed on finding phages infecting the fish pathogen
Flavobacterium columnare for use as phage therapy agents. The host ranges of the
flavobacterial phages varied, phages infecting F. columnare being more host
specific than the other phages.
PMID- 21890668
TI - The Lcn972 bacteriocin-encoding plasmid pBL1 impairs cellobiose metabolism in
Lactococcus lactis.
AB - pBL1 is a Lactococcus lactis theta-replicating 10.9-kbp plasmid that encodes the
synthetic machinery of the bacteriocin Lcn972. In this work, the transcriptomes
of exponentially growing L. lactis strains with and without pBL1 were compared. A
discrete response was observed, with a total of 10 genes showing significantly
changed expression. Upregulation of the lactococcal oligopeptide uptake (opp)
system was observed, which was likely linked to a higher nitrogen demand required
for Lcn972 biosynthesis. Strikingly, celB, coding for the membrane porter IIC of
the cellobiose phosphoenolpyruvate-dependent phosphotransferase system (PTS), and
the upstream gene llmg0186 were downregulated. Growth profiles for L. lactis
strains MG1363, MG1363/pBL1, and MG1363 DeltacelB grown in chemically defined
medium (CDM) containing cellobiose confirmed slower growth of MG1363/pBL1 and
MG1363 DeltacelB, while no differences were observed with growth on glucose. The
presence of pBL1 shifted the fermentation products toward a mixed acid profile
and promoted substantial changes in intracellular pool sizes for glycolytic
intermediates in cells growing on cellobiose as determined by high-pressure
liquid chromatography (HPLC) and nuclear magnetic resonance (NMR). Overall, these
data support the genetic evidence of a constriction in cellobiose uptake.
Notably, several cell wall precursors accumulated, while other UDP-activated
sugar pools were lower, which could reflect rerouting of precursors toward the
production of structural or storage polysaccharides. Moreover, cells growing
slowly on cellobiose and those lacking celB were more tolerant to Lcn972 than
cellobiose-adapted cells. Thus, downregulation of celB could help to build up a
response against the antimicrobial activity of Lcn972, enhancing self-immunity of
the producer cells.
PMID- 21890669
TI - Barcoded primers used in multiplex amplicon pyrosequencing bias amplification.
AB - "Barcode-tagged" PCR primers used for multiplex amplicon sequencing generate a
thus-far-overlooked amplification bias that produces variable terminal
restriction fragment length polymorphism (T-RFLP) and pyrosequencing data from
the same environmental DNA template. We propose a simple two-step PCR approach
that increases reproducibility and consistently recovers higher genetic diversity
in pyrosequencing libraries.
PMID- 21890670
TI - Contribution of a sodium ion gradient to energy conservation during fermentation
in the cyanobacterium Arthrospira (Spirulina) maxima CS-328.
AB - Sodium gradients in cyanobacteria play an important role in energy storage under
photoautotrophic conditions but have not been well studied during
autofermentative metabolism under the dark, anoxic conditions widely used to
produce precursors to fuels. Here we demonstrate significant stress-induced
acceleration of autofermentation of photosynthetically generated carbohydrates
(glycogen and sugars) to form excreted organic acids, alcohols, and hydrogen gas
by the halophilic, alkalophilic cyanobacterium Arthrospira (Spirulina) maxima CS
328. When suspended in potassium versus sodium phosphate buffers at the start of
autofermentation to remove the sodium ion gradient, photoautotrophically grown
cells catabolized more intracellular carbohydrates while producing 67% higher
yields of hydrogen, acetate, and ethanol (and significant amounts of lactate) as
fermentative products. A comparable acceleration of fermentative carbohydrate
catabolism occurred upon dissipating the sodium gradient via addition of the
sodium-channel blocker quinidine or the sodium-ionophore monensin but not upon
dissipating the proton gradient with the proton-ionophore dinitrophenol (DNP).
The data demonstrate that intracellular energy is stored via a sodium gradient
during autofermentative metabolism and that, when this gradient is blocked, the
blockage is compensated by increased energy conversion via carbohydrate
catabolism.
PMID- 21890671
TI - Detection of enterotoxigenic Clostridium perfringens in meat samples by using
molecular methods.
AB - To prevent food-borne bacterial diseases and to trace bacterial contamination
events to foods, microbial source tracking (MST) methods provide important
epidemiological information. To apply molecular methods to MST, it is necessary
not only to amplify bacterial cells to detection limit levels but also to prepare
DNA with reduced inhibitory compounds and contamination. Isolates carrying the
Clostridium perfringens enterotoxin gene (cpe) on the chromosome or a plasmid
rank among the most important food-borne pathogens. Previous surveys indicated
that cpe-positive C. perfringens isolates are present in only ~5% of nonoutbreak
food samples and then only at low numbers, usually less than 3 cells/g. In this
study, four molecular assays for the detection of cpe-positive C. perfringens
isolates, i.e., ordinary PCR, nested PCR, real-time PCR, and loop-mediated
isothermal amplification (LAMP), were developed and evaluated for their
reliability using purified DNA. For use in the artificial contamination of meat
samples, DNA templates were prepared by three different commercial DNA
preparation kits. The four molecular assays always detected cpe when >103 cells/g
of cpe-positive C. perfringens were present, using any kit. Of three tested
commercial DNA preparation kits, the InstaGene matrix kit appeared to be most
suitable for the testing of a large number of samples. By using the InstaGene
matrix kit, the four molecular assays efficiently detected cpe using DNA prepared
from enrichment culture specimens of meat samples contaminated with low numbers
of cpe-positive C. perfringens vegetative cells or spores. Overall, the current
study developed molecular assay protocols for MST to detect the contamination of
foods with low numbers of cells, and at a low frequency, of cpe-positive C.
perfringens isolates.
PMID- 21890672
TI - Spatial variations in archaeal lipids of surface water and core-top sediments in
the South china sea and their implications for paleoclimate studies.
AB - The South China Sea (SCS) is the largest marginal sea of the western Pacific
Ocean, yet little is known about archaeal distributions and TEX86-based
temperatures in this unique oceanic setting. Here we report findings of
abundances in both core lipids (CL) and intact polar lipids (IPL) of Archaea from
surface water (CL only) and core-top sediments from different regions of the SCS.
TEX86-derived temperatures were also calculated for these samples. The surface
water had extremely low abundances of CL (average of 0.05 +/- 0.13 ng/liter; n =
75), with higher values present in regions where upwelling is known to occur. The
core-top sediments had CL values of 0.1 to 0.9 MUg/g, which are on the low end of
CL concentrations reported for other marine sediments and may reflect the
oligotrophic nature of the open SCS. The IPL of Archaea accounted for 6 to 36.4%
of total lipids (CL plus IPL), indicating that the majority of archaeal lipids in
core-top sediments were derived from nonliving cells. The TEX86-based
temperatures of surface water were overall lower than satellite-based sea surface
temperatures or CTD-measured in situ temperatures. The core-top sediment samples,
however, had TEX86 temperatures very close to the mean annual sea surface
temperatures, except for samples with water depths of less than 100 m. Our
results demonstrated low and heterogeneous distributions of archaeal lipids in
surface water and core-top sediments of the SCS, which may reflect local or
regional differences in productivity of Archaea. While TEX86-based temperatures
for core-top marine sediments at deep water depths (>100 m) generally reflected
mean annual sea surface temperatures, TEX86 temperatures in surface water varied
basin wide and underestimated sea surface temperatures in most locations for the
season when surface water samples were collected.
PMID- 21890673
TI - Selective utilization of exogenous amino acids by Dehalococcoides ethenogenes
strain 195 and its effects on growth and dechlorination activity.
AB - Bacteria of the genus Dehalococcoides are important members of bioremediation
communities because of their ability to detoxify chloroethenes to the benign end
product ethene. Genome-enabled studies conducted with Dehalococcoides ethenogenes
195 have revealed that two ATP-binding cassette (ABC)-type amino acid
transporters are expressed during its exponential growth stages. In light of
previous findings that Casamino Acids enhanced its dechlorination activity, we
hypothesized that strain 195 is capable of importing amino acids from its
environment to facilitate dechlorination and growth. To test this hypothesis, we
applied isotopomer-based dilution analysis with (13)C-labeled acetate to
differentiate the amino acids that were taken up by strain 195 from those
synthesized de novo and to determine the physiological changes caused by the
significantly incorporated amino acids. Our results showed that
glutamate/glutamine and aspartate/asparagine were almost exclusively synthesized
by strain 195, even when provided in excess in the medium. In contrast,
phenylalanine, isoleucine, leucine, and methionine were identified as the four
most highly incorporated amino acids, at levels >30% of respective proteinogenic
amino acids. When either phenylalanine or all four highly incorporated amino
acids were added to the defined mineral medium, the growth rates, dechlorination
activities, and yields of strain 195 were enhanced to levels similar to those
observed with supplementation with 20 amino acids. However, genes for the
putative ABC-type amino acids transporters and phenylalanine biosynthesis
exhibited insignificant regulation in response to the imported amino acids. This
study also demonstrates that using isotopomer-based metabolite analysis can be an
efficient strategy for optimizing nutritional conditions for slow-growing
microorganisms.
PMID- 21890674
TI - Active autotrophic ammonia-oxidizing bacteria in biofilm enrichments from
simulated creek ecosystems at two ammonium concentrations respond to temperature
manipulation.
AB - The first step of nitrification, the oxidation of ammonia to nitrite, is
important for reducing eutrophication in freshwater environments when coupled
with anammox (anaerobic ammonium oxidation) or denitrification. We analyzed
active formerly biofilm-associated aerobic ammonia-oxidizing communities
originating from Ammerbach (AS) and Leutra South (LS) stream water (683 +/- 550
[mean +/- standard deviation] and 16 +/- 7 MUM NH(4)(+), respectively) that were
developed in a flow-channel experiment and incubated under three temperature
regimens. By stable-isotope probing using (13)CO(2), we found that members of the
Bacteria and not Archaea were the functionally dominant autotrophic ammonia
oxidizers at all temperatures under relatively high ammonium loads. The copy
numbers of bacterial amoA genes in (13)C-labeled DNA were lower at 30 degrees C
than at 13 degrees C in both stream enrichment cultures. However, the community
composition of the ammonia-oxidizing bacteria (AOB) in the (13)C-labeled DNA
responded differently to temperature manipulation at two ammonium concentrations.
In LS enrichments incubated at the in situ temperature (13 degrees C),
Nitrosomonas oligotropha-like sequences were retrieved with sequences from
Nitrosospira AmoA cluster 4, while the proportion of Nitrosospira sequences
increased at higher temperatures. In AS enrichments incubated at 13 degrees C and
20 degrees C, AmoA cluster 4 sequences were dominant; Nitrosomonas nitrosa-like
sequences dominated at 30 degrees C. Biofilm-associated AOB communities were
affected differentially by temperature at two relatively high ammonium
concentrations, implicating them in a potential role in governing contaminated
freshwater AOB distributions.
PMID- 21890676
TI - Influence of a bacteriophage on the population dynamics of toxic dinoflagellates
by lysis of algicidal bacteria.
AB - A lytic phage (oZCW1) was isolated from an algicidal bacterium Pseudoalteromonas
sp. strain SP48 that specifically kills the toxic dinoflagellate Alexandrium
tamarense. We demonstrated that oZCW1 could trigger the growth of A. tamarense by
inhibiting the growth of algicidal bacterium SP48. In contrast, the growth of A.
tamarense was suppressed when cocultured with either SP48 or the oZCW1-resistant
mutant of SP48. This study provides the first evidence of the indirect impact of
bacteriophage on bloom-forming microalgae via phage lysis of alga-killing
bacteria.
PMID- 21890675
TI - Fine-scale distribution patterns of Synechococcus ecological diversity in
microbial mats of Mushroom Spring, Yellowstone National Park.
AB - Past analyses of sequence diversity in high-resolution protein-encoding genes
have identified putative ecological species of unicellular cyanobacteria in the
genus Synechococcus, which are specialized to 60 degrees C but not 65 degrees C
in Mushroom Spring microbial mats. Because these studies were limited to only two
habitats, we studied the distribution of Synechococcus sequence variants at 1
degrees C intervals along the effluent flow channel and at 80-MUm vertical-depth
intervals throughout the upper photic layer of the microbial mat. Diversity at
the psaA locus, which encodes a photosynthetic reaction center protein (PsaA),
was sampled by PCR amplification, cloning, and sequencing methods at 60, 63, and
65 degrees C sites. The evolutionary simulation programs Ecotype Simulation and
AdaptML were used to identify putative ecologically distinct populations
(ecotypes). Ecotype Simulation predicted a higher number of putative ecotypes in
cases where habitat variation was limited, while AdaptML predicted a higher
number of ecologically distinct phylogenetic clades in cases where habitat
variation was high. Denaturing gradient gel electrophoresis was used to track the
distribution of dominant sequence variants of ecotype populations relative to
temperature variation and to O2, pH, and spectral irradiance variation, as
measured using microsensors. Different distributions along effluent channel flow
and vertical gradients, where temperature, light, and O2 concentrations are known
to vary, confirmed the ecological distinctness of putative ecotypes.
PMID- 21890677
TI - Identification and characterization of Sclerotinia sclerotiorum NADPH oxidases.
AB - Numerous studies have shown both the detrimental and beneficial effects of
reactive oxygen species (ROS) in animals, plants, and fungi. These organisms
utilize controlled generation of ROS for signaling, pathogenicity, and
development. Here, we show that ROS are essential for the pathogenic development
of Sclerotinia sclerotiorum, an economically important fungal pathogen with a
broad host range. Based on the organism's completed genome sequence, we
identified two S. sclerotiorum NADPH oxidases (SsNox1 and SsNox2), which
presumably are involved in ROS generation. RNA interference (RNAi) was used to
examine the function of SsNox1 and SsNox2. Silencing of SsNox1 expression
indicated a central role for this enzyme in both virulence and pathogenic
(sclerotial) development, while inactivation of the SsNox2 gene resulted in
limited sclerotial development, but the organism remained fully pathogenic.
DeltaSsnox1 strains had reduced ROS levels, were unable to develop sclerotia, and
unexpectedly correlated with significantly reduced oxalate production. These
results are in accordance with previous observations indicating that fungal NADPH
oxidases are required for pathogenic development and are consistent with the
importance of ROS regulation in the successful pathogenesis of S. sclerotiorum.
PMID- 21890678
TI - Effect of genetically modified poplars on soil microbial communities during the
phytoremediation of waste mine tailings.
AB - The application of transgenic plants to clean up environmental pollution caused
by the wastes of heavy metal mining is a promising method for removing metal
pollutants from soils. However, the effect of using genetically modified
organisms for phytoremediation is a poorly researched topic in terms of microbial
community structures, despite the important role of microorganisms in the health
of soil. In this study, a comparative analysis of the bacterial and archaeal
communities found in the rhizosphere of genetically modified (GM) versus wild
type (WT) poplar was conducted on trees at different growth stages (i.e., the
rhizospheres of 1.5-, 2.5-, and 3-year-old poplars) that were cultivated on
contaminated soils together with nonplanted control soil. Based on the results of
DNA pyrosequencing, poplar type and growth stages were associated with
directional changes in the structure of the microbial community. The rate of
change was faster in GM poplars than in WT poplars, but the microbial communities
were identical in the 3-year-old poplars. This phenomenon may arise because of a
higher rate and greater extent of metal accumulation in GM poplars than in
naturally occurring plants, which resulted in greater changes in soil
environments and hence the microbial habitat.
PMID- 21890679
TI - An exometabolomics approach to monitoring microbial contamination in microalgal
fermentation processes by using metabolic footprint analysis.
AB - The early detection of microbial contamination is crucial to avoid process
failure and costly delays in fermentation industries. However, traditional
detection methods such as plate counting and microscopy are labor-intensive,
insensitive, and time-consuming. Modern techniques that can detect microbial
contamination rapidly and cost-effectively are therefore sought. In the present
study, we propose gas chromatography-mass spectrometry (GC-MS)-based metabolic
footprint analysis as a rapid and reliable method for the detection of microbial
contamination in fermentation processes. Our metabolic footprint analysis
detected statistically significant differences in metabolite profiles of axenic
and contaminated batch cultures of microalgae as early as 3 h after contamination
was introduced, while classical detection methods could detect contamination only
after 24 h. The data were analyzed by discriminant function analysis and were
validated by leave-one-out cross-validation. We obtained a 97% success rate in
correctly classifying samples coming from contaminated or axenic cultures.
Therefore, metabolic footprint analysis combined with discriminant function
analysis presents a rapid and cost-effective approach to monitor microbial
contamination in industrial fermentation processes.
PMID- 21890680
TI - Characterization of complex chromosomal rearrangements by targeted capture and
next-generation sequencing.
AB - Translocations are a common class of chromosomal aberrations and can cause
disease by physically disrupting genes or altering their regulatory environment.
Some translocations, apparently balanced at the microscopic level, include
deletions, duplications, insertions, or inversions at the molecular level.
Traditionally, chromosomal rearrangements have been investigated with a
conventional banded karyotype followed by arduous positional cloning projects.
More recently, molecular cytogenetic approaches using fluorescence in situ
hybridization (FISH), array comparative genomic hybridization (aCGH), or whole
genome SNP genotyping together with molecular methods such as inverse PCR and
quantitative PCR have allowed more precise evaluation of the breakpoints. These
methods suffer, however, from being experimentally intensive and time-consuming
and of less than single base pair resolution. Here we describe targeted
breakpoint capture followed by next-generation sequencing (TBCS) as a new
approach to the general problem of determining the precise structural
characterization of translocation breakpoints and related chromosomal
aberrations. We tested this approach in three patients with complex chromosomal
translocations: The first had craniofacial abnormalities and an apparently
balanced t(2;3)(p15;q12) translocation; the second has cleidocranial dysplasia
(OMIM 119600) associated with a t(2;6)(q22;p12.3) translocation and a breakpoint
in RUNX2 on chromosome 6p; and the third has acampomelic campomelic dysplasia
(OMIM 114290) associated with a t(5;17)(q23.2;q24) translocation, with a
breakpoint upstream of SOX9 on chromosome 17q. Preliminary studies indicated
complex rearrangements in patients 1 and 3 with a total of 10 predicted
breakpoints in the three patients. By using TBCS, we quickly and precisely
defined eight of the 10 breakpoints.
PMID- 21890682
TI - Making competition work in the English NHS: the case for maintaining regulated
prices.
PMID- 21890681
TI - Genome-wide analysis distinguishes hyperglycemia regulated epigenetic signatures
of primary vascular cells.
AB - Emerging evidence suggests that poor glycemic control mediates post-translational
modifications to the H3 histone tail. We are only beginning to understand the
dynamic role of some of the diverse epigenetic changes mediated by hyperglycemia
at single loci, yet elevated glucose levels are thought to regulate genome-wide
changes, and this still remains poorly understood. In this article we describe
genome-wide histone H3K9/K14 hyperacetylation and DNA methylation maps conferred
by hyperglycemia in primary human vascular cells. Chromatin immunoprecipitation
(ChIP) as well as CpG methylation (CpG) assays, followed by massive parallel
sequencing (ChIP-seq and CpG-seq) identified unique hyperacetylation and CpG
methylation signatures with proximal and distal patterns of regionalization
associative with gene expression. Ingenuity knowledge-based pathway and gene
ontology analyses indicate that hyperglycemia significantly affects human
vascular chromatin with the transcriptional up-regulation of genes involved in
metabolic and cardiovascular disease. We have generated the first installment of
a reference collection of hyperglycemia-induced chromatin modifications using
robust and reproducible platforms that allow parallel sequencing-by-synthesis of
immunopurified content. We uncover that hyperglycemia-mediated induction of genes
and pathways associated with endothelial dysfunction occur through modulation of
acetylated H3K9/K14 inversely correlated with methyl-CpG content.
PMID- 21890683
TI - Provider diversity in the English NHS: a study of recent developments in four
local health economies.
AB - OBJECTIVES: To assess the impact of provider diversity on quality and innovation
in the English NHS by mapping the extent of diverse provider activity and
identifying the differences in performance between Third Sector Organisations
(TSOs), for-profit private enterprises, and incumbent organizations within the
NHS, and the factors that affect the entry and growth of new providers. METHODS:
Case studies of four local health economies. Data included: semi-structured
interviews with 48 managerial and clinical staff from NHS organizations and
providers from the private and third sector; some documentary evidence; a focus
group with service users; and routine data from the Care Quality Commission and
Companies House. Data collection was mainly between November 2008 and November
2009. RESULTS: Involvement of diverse providers in the NHS is limited.
Commissioners' local strategies influence degrees of diversity. Barriers to entry
for TSOs include lack of economies of scale in the bidding process. Private
providers have greater concern to improve patient pathways and patient
experience, whereas TSOs deliver quality improvements by using a more holistic
approach and a greater degree of community involvement. Entry of new providers
drives NHS trusts to respond by making improvements. Information sharing
diminishes as competition intensifies. CONCLUSIONS: There is scope to increase
the participation of diverse providers in the NHS but care must be taken not to
damage public accountability, overall productivity, equity and NHS providers
(especially acute hospitals, which are likely to remain in the NHS) in the
process.
PMID- 21890684
TI - Prospects for knowledge exchange in health policy and management: institutional
and epistemic boundaries.
AB - OBJECTIVES: There have been calls for greater exchange between research and
practice in health care policy and management, but little empirical research on
what commissioners of research and researchers themselves consider appropriate,
good quality research knowledge. This paper addresses this gap, considering the
views of commissioners and producers of policy and management research in health
care and other fields. METHODS: Qualitative semi-structured interviews with 18
commissioners and producers of research, in central government, the NHS and other
commissioning organizations, and in universities and independent sector
providers. RESULTS: Commissioners and producers agreed that research often fails
to fulfil policy-makers' and managers' needs, and that greater interaction is
required to improve this relationship. However, they offered differing accounts
of the nature of research knowledge (as a 'product' or a 'mindset'), and of what
constitutes value, validity and originality in research, reflecting the differing
priorities and pressures faced by the two groups. CONCLUSIONS: Efforts to promote
greater interaction between research, policy and practice, and more critical,
reflexive engagement between policy-makers, managers and researchers, are likely
to face significant obstacles given these competing constructions of research
knowledge and their reinforcement by divergent priorities.
PMID- 21890685
TI - Dehydroepiandrosterone inhibits the Src/STAT3 constitutive activation in
pulmonary arterial hypertension.
AB - Pulmonary arterial hypertension (PAH) is an obstructive vasculopathy
characterized by enhanced pulmonary artery smooth muscle cell (PASMC)
proliferation and suppressed apoptosis. This phenotype is sustained by the
activation of the Src/signal transducer and activator of transcription 3 (STAT3)
axis, maintained by a positive feedback loop involving miR-204 and followed by an
aberrant expression/activation of its downstream targets such as Pim1 and nuclear
factor of activated T-cells (NFATc2). Dehydroepiandrosterone (DHEA) is a steroid
hormone shown to reverse vascular remodeling in systemic vessels. Since STAT3 has
been described as modulated by DHEA, we hypothesized that DHEA reverses human
pulmonary hypertension by inhibiting Src/STAT3 constitutive activation. Using
PASMCs isolated from patients with PAH (n = 3), we demonstrated that DHEA
decreases both Src and STAT3 activation (Western blot and nuclear translocation
assay), resulting in a significant reduction of Pim1, NFATc2
expression/activation (quantitative RT-PCR and Western blot), as well as Survivin
and upregulation of bone morphogenetic protein receptor 2 (BMPR2) and miR-204.
Src/STAT3 axis inhibition by DHEA is associated with 1) mitochondrial membrane
potential (tetramethylrhodamine methyl-ester perchlorate; n = 150; P < 0.05)
depolarization increasing apoptosis by 25% (terminal deoxynucleotidyl transferase
dUTP-mediated nick-end labeling; n = 150; P < 0.05); and 2) decreased
intracellular Ca(2+) concentration (fluo-3 AM; n = 150; P < 0.05) and
proliferation by 30% (PCNA). Finally, in vivo similarly to STAT3 inhibition DHEA
improves experimental PAH (monocrotaline rats) by decreasing mean PA pressure and
right ventricle hypertrophy. These effects were associated with the inhibition of
Src, STAT3, Pim1, NFATc2, and Survivin and the upregulation of BMPR2 and miR-204.
We demonstrated that DHEA reverses pulmonary hypertension in part by inhibiting
the Src/STAT3.
PMID- 21890686
TI - Metabolic stress in isolated mouse ventricular myocytes leads to remodeling of t
tubules.
AB - Cardiac ventricular myocytes possess an extensive t-tubular system that
facilitates the propagation of membrane potential across the cell body. It is
well established that ionic currents at the restricted t-tubular space may lead
to significant changes in ion concentrations, which, in turn, may affect t
tubular membrane potential. In this study, we used the whole cell patch-clamp
technique to study accumulation and depletion of t-tubular potassium by measuring
inward rectifier potassium tail currents (I(K1,tail)), and inward rectifier
potassium current (I(K1)) "inactivation". At room temperatures and in the absence
of Mg(2+) ions in pipette solution, the amplitude of I(K1,tail) measured ~10 min
after the establishment of whole cell configuration was reduced by ~18%, but
declined nearly twofold in the presence of 1 mM cyanide. At ~35 degrees C
I(K1,tail) was essentially preserved in intact cells, but its amplitude declined
by ~85% within 5 min of cell dialysis, even in the absence of cyanide.
Intracellular Mg(2+) ions played protective role at all temperatures. Decline of
I(K1,tail) was accompanied by characteristic changes in its kinetics, as well as
by changes in the kinetics of I(K1) inactivation, a marker of depletion of t
tubular K(+). The data point to remodeling of t tubules as the primary reason for
the observed effects. Consistent with this, detubulation of myocytes using
formamide-induced osmotic stress significantly reduced I(K1,tail), as well as the
inactivation of inward I(K1). Overall, the data provide strong evidence that
changes in t tubule volume/structure may occur on a short time scale in response
to various types of stress.
PMID- 21890687
TI - Sepiapterin enhances angiogenesis and functional recovery in mice after
myocardial infarction.
AB - Uncoupling of nitric oxide synthase (NOS) has been implicated in left ventricular
(LV) remodeling and dysfunction after myocardial infarction (MI). We hypothesized
that inducible NOS (iNOS) plays a crucial role in LV remodeling after MI,
depending on its coupling status. MI was created in wild-type, iNOS-knockout
(iNOS(-/-)), endothelial NOS-knockout (eNOS(-/-)), and neuronal NOS-knockout
(nNOS(-/-)) mice. iNOS and nNOS expressions were increased after MI associated
with an increase in nitrotyrosine formation. The area of myocardial fibrosis and
LV end-diastolic volume and ejection fraction were more deteriorated in eNOS(-/-)
mice compared with other genotypes of mice 4 wk after MI. The expression of GTP
cyclohydrolase was reduced, and tetrahydrobiopterin (BH(4)) was depleted in the
heart after MI. Oral administration of sepiapterin after MI increased
dihydrobiopterin (BH(2)), BH(4), and BH(4)-to-BH(2) ratio in the infarcted but
not sham-operated heart. The increase in BH(4)-to-BH(2) ratio was associated with
inhibition of nitrotyrosine formation and an increase in nitrite plus nitrate.
However, this inhibition of NOS uncoupling was blunted in iNOS(-/-) mice.
Sepiapterin increased capillary density and prevented LV remodeling and
dysfunction after MI in wild-type, eNOS(-/-), and nNOS(-/-) but not iNOS(-/-)
mice. N(omega)-nitro-L-arginine methyl ester abrogated sepiapterin-induced
increase in nitrite plus nitrate and angiogenesis and blocked the beneficial
effects of sepiapterin on LV remodeling and function. These results suggest that
sepiapterin enhances angiogenesis and functional recovery after MI by activating
the salvage pathway for BH(4) synthesis and increasing bioavailable nitric oxide
predominantly derived from iNOS.
PMID- 21890688
TI - Nitric oxide formation by lymphatic bulb and valves is a major regulatory
component of lymphatic pumping.
AB - Microscopic lymphatics produce nitric oxide (NO) during contraction as flow shear
activates the endothelial cells. The valve leaflets and bulbous valve housing
contain a large amount of endothelial nitric oxide synthase (eNOS) due both to
many endothelial cells and increased expression of eNOS. Direct NO measurements
indicate the valve area has a 30-50% higher NO concentration ([NO]) than tubular
regions although both regions generate equivalent relative increases in [NO] with
each contraction. We hypothesize that 1) the greater eNOS and [NO] of the bulb
region would have greater effects to lower pumping activity of the overall
lymphatic than occurs in tubular regions and 2), the elevated [NO] in the bulb
region may be because of high NO production in the valve leaflets that diffuses
to the wall of the bulb. Measurement of [NO] with a micropipette inside the
lymphatic bulb revealed the valve leaflets generate ~50% larger [NO] than the
bulb wall in the in vivo rat mesenteric lymphatics. The valves add NO to the
lymph that quickly diffuses to the bulb wall. Bradykinin locally released
iontophoretically from a micropipette on both bulbs and tubes increased the [NO]
in a dose-dependent manner up to ~50%, demonstrating agonist activation of the NO
pathway. However, pumping output determined by contraction frequency and stroke
volume decreased much more for the bulb than tubular areas in response to the
bradykinin. In effect, NO generation by the bulb area and its valves limits the
pumped flow of the total lymphatic by lowering frequency and stroke volume of
individual contractions.
PMID- 21890689
TI - Myocardial injury after ischemia-reperfusion in mice deficient in Akt2 is
associated with increased cardiac macrophage density.
AB - Akt2 protein kinase has been shown to promote cell migration and actin
polymerization in several cell types, including macrophages. Because migrating
macrophages constitute an important inflammatory response after myocardial
ischemia, we determined cardiac macrophage expression after ischemia-reperfusion
(I/R) injury and cryo-injury in mice lacking Akt2 (Akt2-KO). At 7 days post-I/R,
Akt2-KO cardiac tissues showed an increase in immunohistochemical staining for
macrophage markers (Galectin 3 and F4/80) compared with wild-type (WT) mice,
indicating macrophage density was increased in the injured Akt2-KO myocardium.
This change was time dependent because macrophage density was similar between WT
and Akt2-KO myocardium at 3 days post-I/R, but by 7 and 14 days post-I/R,
macrophage density was significantly increased in Akt2-KO myocardium.
Concomitantly, infarct size was larger and cardiac function was reduced in Akt2
KO mice subjected to I/R. However, when cryo-infarction produced similar infarct
sizes in the anterior wall in both WT and Akt2-KO mice, macrophage density
remained higher in Akt2-KO mouse myocardium, suggesting Akt2 regulates myocardial
macrophage density independent of infarct size. Consistently, bone marrow from
Akt2-KO mice enhanced myocardial macrophage density in both C57/B6 WT and Akt2-KO
recipient mice. Finally, reciprocal ex-vivo coculturing of macrophages and
cardiac myocytes showed that activated Akt2-KO peritoneal macrophages had reduced
mobility and adhesion when compared with WT littermate controls. Thus, although
Akt-2 KO mice did not affect the initial inflammation response after injury and
Akt2 deficiency has been shown to impair cell migration or motility in
macrophages, our data suggested a novel mechanism in which increasing retention
of Akt2-KO macrophages resulted in increasing cardiac Akt2-KO macrophage density
in the myocardial space.
PMID- 21890690
TI - Mitochondrial autophagy by Bnip3 involves Drp1-mediated mitochondrial fission and
recruitment of Parkin in cardiac myocytes.
AB - The Bcl2/adenovirus E1B 19-kDa interacting protein 3 (Bnip3) is an atypical BH3
only protein that is associated with mitochondrial dysfunction and cell death.
Bnip3 is also a potent inducer of mitochondrial autophagy, and in this study we
have investigated the mechanisms by which Bnip3 induces autophagy in cardiac
myocytes. We found that Bnip3 induced mitochondrial translocation of dynamin
related protein 1 (Drp1), a protein involved in mitochondrial fission in adult
myocytes. Drp1-mediated mitochondrial fission correlated with increased
autophagy, and inhibition of Drp1 reduced Bnip3-mediated autophagy.
Overexpression of Drp1K38E, a dominant negative of Drp1, or mitofusin 1 prevented
mitochondrial fission and autophagy by Bnip3. Also, inhibition of mitochondrial
fission or autophagy resulted in increased death of myocytes overexpressing
Bnip3. Moreover, Bnip3 promoted translocation of the E3 ubiquitin ligase Parkin
to mitochondria, which was prevented in the presence of a Drp1 inhibitor.
Interestingly, induction of autophagy by Bnip3 was reduced in Parkin-deficient
myocytes. Thus our data suggest that induction of autophagy in response to Bnip3
is a protective response activated by the cell that involves Drp1-mediated
mitochondrial fission and recruitment of Parkin.
PMID- 21890691
TI - Endothelial growth factor therapy improves preeclampsia-like manifestations in a
murine model induced by overexpression of sVEGFR-1.
AB - This study examines the effects of VEGF-121 therapy in an animal model of
preeclampsia induced by overexpression of soluble VEGF receptor 1 (sVEGFR-1). At
day 8 of gestation, CD-1 mice were implanted with subcutaneous osmotic pumps
containing either VEGF-121 or vehicle and fitted with telemetric blood pressure
(BP) catheters for continuous BP monitoring (days 8-18 of gestation). On day 9,
the animals in the VEGF-121 group were randomly allocated for injection with
adenovirus carrying sVEGFR-1 or the murine immunoglobulin G2alpha Fc fragment
(mFc) as virus control (Adv-sVEGFR-1; Adv-mFc). Animals in the vehicle group were
injected with Adv-sVEGFR-1. On day 18, mice were euthanized, placentas and pups
weighted, carotid arteries isolated, and their responses studied in vitro using a
wire myograph for isometric tension recording. In mice overexpressing sVEGFR-1,
treatment with VEGF-121 significantly reduced BP from days 10 to 18 of gestation
compared with that of vehicle. VEGF-sVEGFR-1 animals had significantly higher
vasorelaxant response to sodium nitroprusside and significantly lower contractile
response to the thromboxane agonist (U-46619) compared with that of the vehicle
sVEGFR-1 mice. Phenylephrine and acetylcholine responses did not significantly
vary between the VEGF-sVEGFR-1 and the vehicle-sVEGFR-1 mice. Average pup weight
was significantly lower in the vehicle-sVEGFR-1 group compared with the VEGF
sVEGFR-1 and VEGF-mFc groups. In conclusion, VEGF-121 therapy attenuates vascular
dysfunction and diminishes intrauterine growth abnormality in an animal model of
preeclampsia induced by overexpression of sVEGFR-1. Modulation of VEGF pathway
turns into a promising therapeutic approach of preeclampsia.
PMID- 21890692
TI - Nuclear GPCRs in cardiomyocytes: an insider's view of beta-adrenergic receptor
signaling.
AB - In recent years, we have come to appreciate the complexity of G protein-coupled
receptor signaling in general and beta-adrenergic receptor (beta-AR) signaling in
particular. Starting originally from three beta-AR subtypes expressed in
cardiomyocytes with relatively simple, linear signaling cascades, it is now clear
that there are large receptor-based networks which provide a rich and diverse set
of responses depending on their complement of signaling partners and the
physiological state. More recently, it has become clear that subcellular
localization of these signaling complexes also enriches the diversity of
phenotypic outcomes. Here, we review our understanding of the signaling
repertoire controlled by nuclear beta-AR subtypes as well our understanding of
the novel roles for G proteins themselves in the nucleus, with a special focus,
where possible, on their effects in cardiomyocytes. Finally, we discuss the
potential pathological implications of alterations in nuclear beta-AR signaling.
PMID- 21890693
TI - Splanchnic sympathetic nerves in the development of mild DOCA-salt hypertension.
AB - We previously reported that mild deoxycorticosterone acetate (DOCA)-salt
hypertension develops in the absence of generalized sympathoexcitation. However,
sympathetic nervous system activity (SNA) is regionally heterogeneous, so we
began to investigate the role of sympathetic nerves to specific regions. Our
first study on that possibility revealed no contribution of renal nerves to
hypertension development. The splanchnic sympathetic nerves are implicated in
blood pressure (BP) regulation because splanchnic denervation effectively lowers
BP in human hypertension. Here we tested the hypothesis that splanchnic SNA
contributes to the development of mild DOCA-salt hypertension. Splanchnic
denervation was achieved by celiac ganglionectomy (CGX) in one group of rats
while another group underwent sham surgery (SHAM-GX). After DOCA treatment (50
mg/kg) in rats with both kidneys intact, CGX rats exhibited a significantly
attenuated increase in BP compared with SHAM-GX rats (15.6 +/- 2.2 vs. 25.6 +/-
2.2 mmHg, day 28 after DOCA treatment). In other rats, whole body norepinephrine
(NE) spillover, measured to determine if CGX attenuated hypertension development
by reducing global SNA, was not found to be different between SHAM-GX and CGX
rats. In a third group, nonhepatic splanchnic NE spillover was measured as an
index of splanchnic SNA, but this was not different between SHAM (non-DOCA
treated) and DOCA rats during hypertension development. In a final group, CGX
effectively abolished nonhepatic splanchnic NE spillover. These data suggest that
an intact splanchnic innervation is necessary for mild DOCA-salt hypertension
development but not increased splanchnic SNA or NE release. Increased splanchnic
vascular reactivity to NE during DOCA-salt treatment is one possible explanation.
PMID- 21890695
TI - The Rho kinase inhibitor Y-27632 increases erythrocyte deformability and low
oxygen tension-induced ATP release.
AB - Low oxygen (O(2)) tension and mechanical deformation are stimuli for ATP release
from erythrocytes. It has been shown previously that rabbit erythrocytes made
less deformable with diamide, a thiol cross-linking agent, release less ATP in
response to low O(2) tension, suggesting a link between these two stimuli. In
nonerythroid cells, activation of the Rho/Rho kinase signaling pathway has been
reported to decrease cell deformability by altering Rho kinase-dependent
cytoskeleton-protein interactions. We investigated the hypothesis that the Rho
kinase inhibitor Y-27632 would increase erythrocyte deformability and thereby
increase low O(2) tension-induced ATP release from erythrocytes. Here we show
that Y-27632 (1 MUM) increases erythrocyte deformability (5%) and increases low
O(2) tension-induced ATP release (203%) from healthy human erythrocytes. In
addition, we found that, when erythrocytes were made less deformable by
incubation with diamide (100 MUM), Y-27632 restored both deformability and low
O(2) tension-induced ATP release to levels similar to those measured in the
absence of diamide. These findings suggest that the Rho kinase inhibitor Y-27632
is able to reverse the diamide-induced decrease in erythrocyte deformability and
rescue low O(2) tension-induced ATP release. These results further support a link
between erythrocyte deformability and ATP release in response to low O(2)
tension.
PMID- 21890696
TI - The impact of a school garden and cooking program on boys' and girls' fruit and
vegetable preferences, taste rating, and intake.
AB - The aim of this study was to examine gender differences in the impact of a school
garden and nutrition curriculum on fruit and vegetable intake, willingness to
taste, and taste ratings in 127 children (11 to 12 years, 54% boys) in regional
New South Wales, Australia. Classes were assigned to wait-list control, nutrition
education only (NE), or nutrition education plus garden (NE + G) groups. Carrot
taste rating was the only vegetable for which there was a significant gender
difference, with girls rating it more highly (p = .04). There were no significant
gender differences in fruit and vegetable consumption or willingness to taste
scores for any other vegetables. There was a group effect (p < .001) for overall
willingness to taste, overall taste rating, and the taste rating of pea and
broccoli (p < .001), tomato (p = .03), and lettuce (p = .02). In the post hoc
analysis by gender, both boys and girls in NE + G and NE groups were more willing
to taste vegetables compared with control boys and girls postintervention (p <
.001, p = .02). Boys in the NE + G group were more willing to taste all
vegetables overall compared with NE boys at posttest (p = .05) and this
approached significance for girls (p = .07). For overall tasting scores, a group
effect was seen in girls only (p = .05). No significant treatment-time effect was
found for vegetable intake in either gender. Further research is needed to
examine whether a school garden, with or without school curriculum components,
can be used to optimize fruit and vegetable intakes, particularly in boys.
PMID- 21890694
TI - High purity human-induced pluripotent stem cell-derived cardiomyocytes:
electrophysiological properties of action potentials and ionic currents.
AB - Human-induced pluripotent stem cells (hiPSCs) can differentiate into functional
cardiomyocytes; however, the electrophysiological properties of hiPSC-derived
cardiomyocytes have yet to be fully characterized. We performed detailed
electrophysiological characterization of highly pure hiPSC-derived
cardiomyocytes. Action potentials (APs) were recorded from spontaneously beating
cardiomyocytes using a perforated patch method and had atrial-, nodal-, and
ventricular-like properties. Ventricular-like APs were more common and had
maximum diastolic potentials close to those of human cardiac myocytes, AP
durations were within the range of the normal human electrocardiographic QT
interval, and APs showed expected sensitivity to multiple drugs (tetrodotoxin,
nifedipine, and E4031). Early afterdepolarizations (EADs) were induced with E4031
and were bradycardia dependent, and EAD peak voltage varied inversely with the
EAD take-off potential. Gating properties of seven ionic currents were studied
including sodium (I(Na)), L-type calcium (I(Ca)), hyperpolarization-activated
pacemaker (I(f)), transient outward potassium (I(to)), inward rectifier potassium
(I(K1)), and the rapidly and slowly activating components of delayed rectifier
potassium (I(Kr) and I(Ks), respectively) current. The high purity and large cell
numbers also enabled automated patch-clamp analysis. We conclude that these hiPSC
derived cardiomyocytes have ionic currents and channel gating properties
underlying their APs and EADs that are quantitatively similar to those reported
for human cardiac myocytes. These hiPSC-derived cardiomyocytes have the added
advantage that they can be used in high-throughput assays, and they have the
potential to impact multiple areas of cardiovascular research and therapeutic
applications.
PMID- 21890697
TI - Role of ArgP (IciA) in lysine-mediated repression in Escherichia coli.
AB - Initially identified as an inhibitor of oriC-initiated DNA replication in vitro,
the ArgP or IciA protein of Escherichia coli has subsequently been described as a
nucleoid-associated protein and also as a transcriptional regulator of genes
involved in DNA replication (dnaA and nrdA) and amino acid metabolism (argO,
dapB, and gdhA [the last in Klebsiella pneumoniae]). ArgP mediates lysine (Lys)
repression of argO, dapB, and gdhA in vivo, for which two alternative mechanisms
have been identified: at the dapB and gdhA regulatory regions, ArgP binding is
reduced upon the addition of Lys, whereas at argO, RNA polymerase is trapped at
the step of promoter clearance by Lys-bound ArgP. In this study, we have examined
promoter-lac fusions in strains that were argP(+) or DeltaargP or that were
carrying dominant argP mutations in order to identify several new genes that are
ArgP-regulated in vivo, including lysP, lysC, lysA, dapD, and asd (in addition to
argO, dapB, and gdhA). All were repressed upon Lys supplementation, and in vitro
studies demonstrated that ArgP binds to the corresponding regulatory regions in a
Lys-sensitive manner (with the exception of argO, whose binding to ArgP was Lys
insensitive). Neither dnaA nor nrdA was ArgP regulated in vivo, although their
regulatory regions exhibited low-affinity binding to ArgP. Our results suggest
that ArgP is a transcriptional regulator for Lys repression of genes in E. coli
but that it is noncanonical in that it also exhibits low-affinity binding,
without apparent direct regulatory effect, to a number of additional sites in the
genome.
PMID- 21890698
TI - Modulation of substrate efflux in bacterial small multidrug resistance proteins
by mutations at the dimer interface.
AB - Bacteria evade the effects of cytotoxic compounds through the efflux activity of
membrane-bound transporters such as the small multidrug resistance (SMR)
proteins. Consisting typically of ca. 110 residues with four transmembrane (TM)
alpha-helices, crystallographic studies have shown that TM helix 1 (TM1) through
TM helix 3 (TM3) of each monomer create a substrate binding "pocket" within the
membrane bilayer, while a TM4-TM4 interaction accounts for the primary dimer
formation. Previous work from our lab has characterized a highly conserved small
residue heptad motif in the Halobacterium salinarum transporter Hsmr as
(90)GLXLIXXGV(98) that lies along the TM4-TM4 dimer interface of SMR proteins as
required for function. Focusing on conserved positions 91, 93, 94, and 98, we
substituted the naturally occurring Hsmr residue for Ala, Phe, Ile, Leu, Met, and
Val at each position in the Hsmr TM4-TM4 interface. Large-residue replacements
were studied for their ability to dimerize on SDS-polyacrylamide gels, to bind
the cytotoxic compound ethidium bromide, and to confer resistance by efflux.
Although the relative activity of mutants did not correlate with dimer strength
for all mutants, all functional mutants lay within 10% of dimerization relative
to the wild type (WT), suggesting that the optimal dimer strength at TM4 is
required for proper efflux. Furthermore, nonfunctional substitutions at the
center of the dimerization interface that do not alter dimer strength suggest a
dynamic TM4-TM4 "pivot point" that responds to the efflux requirements of
different substrates. This functionally critical region represents a potential
target for inhibiting the ability of bacteria to evade the effects of cytotoxic
compounds.
PMID- 21890699
TI - Phage-borne factors and host LexA regulate the lytic switch in phage GIL01.
AB - The Bacillus thuringiensis temperate phage GIL01 does not integrate into the host
chromosome but exists stably as an independent linear replicon within the cell.
Similar to that of the lambdoid prophages, the lytic cycle of GIL01 is induced as
part of the cellular SOS response to DNA damage. However, no CI-like maintenance
repressor has been detected in the phage genome, suggesting that GIL01 uses a
novel mechanism to maintain lysogeny. To gain insights into the GIL01 regulatory
circuit, we isolated and characterized a set of 17 clear plaque (cp) mutants that
are unable to lysogenize. Two phage-encoded proteins, gp1 and gp7, are required
for stable lysogen formation. Analysis of cp mutants also identified a 14-bp
palindromic dinBox1 sequence within the P1-P2 promoter region that resembles the
known LexA-binding site of Gram-positive bacteria. Mutations at conserved
positions in dinBox1 result in a cp phenotype. Genomic analysis identified a
total of three dinBox sites within GIL01 promoter regions. To investigate the
possibility that the host LexA regulates GIL01, phage induction was measured in a
host carrying a noncleavable lexA (Ind(-)) mutation. GIL01 formed stable lysogens
in this host, but lytic growth could not be induced by treatment with mitomycin
C. Also, mitomycin C induced beta-galactosidase expression from GIL01-lacZ
promoter fusions, and induction was similarly blocked in the lexA (Ind(-)) mutant
host. These data support a model in which host LexA binds to dinBox sequences in
GIL01, repressing phage gene expression during lysogeny and providing the switch
necessary to enter lytic development.
PMID- 21890700
TI - The surfactant of Legionella pneumophila Is secreted in a TolC-dependent manner
and is antagonistic toward other Legionella species.
AB - When Legionella pneumophila grows on agar plates, it secretes a surfactant that
promotes flagellum- and pilus-independent "sliding" motility. We isolated three
mutants that were defective for surfactant. The first two had mutations in genes
predicted to encode cytoplasmic enzymes involved in lipid metabolism. These genes
mapped to two adjacent operons that we designated bbcABCDEF and bbcGHIJK.
Backcrossing and complementation confirmed the importance of the bbc genes and
suggested that the Legionella surfactant is lipid containing. The third mutant
had an insertion in tolC. TolC is the outer membrane part of various trimolecular
complexes involved in multidrug efflux and type I protein secretion.
Complementation of the tolC mutant restored sliding motility. Mutants defective
for an inner membrane partner of TolC also lacked a surfactant, confirming that
TolC promotes surfactant secretion. L. pneumophila (lspF) mutants lacking type II
protein secretion (T2S) are also impaired for a surfactant. When the tolC and
lspF mutants were grown next to each other, the lsp mutant secreted surfactant,
suggesting that TolC and T2S conjoin to mediate surfactant secretion, with one
being the conduit for surfactant export and the other the exporter of a molecule
that is required for induction or maturation of surfactant synthesis/secretion.
Although the surfactant was not required for the extracellular growth,
intracellular infection, and intrapulmonary survival of L. pneumophila, it
exhibited antimicrobial activity toward seven other species of Legionella but not
toward various non-Legionella species. These data suggest that the surfactant
provides L. pneumophila with a selective advantage over other legionellae in the
natural environment.
PMID- 21890701
TI - Adjusting the spokes of the flagellar motor with the DNA-binding protein H-NS.
AB - The H-NS protein of bacteria is a global regulator that stimulates transcription
of flagellar genes and that also acts directly to modulate flagellar motor
function. H-NS is known to bind FliG, a protein of the rotor that interacts with
the stator and is directly involved in rotation of the motor. Here, we find that
H-NS, well known for its ability to organize DNA, acts in the flagellar motor to
organize protein subunits in the rotor. It binds to a middle domain of FliG that
bridges the core parts of the rotor and parts nearer the edge that interact with
the stator. In the absence of H-NS the organization of FliG subunits is
disrupted, whereas overexpression of H-NS enhances FliG organization as monitored
by targeted disulfide cross-linking, alters the disposition of a helix joining
the middle and C-terminal domains of FliG, and enhances motor performance under
conditions requiring a strengthened rotor-stator interface. The H-NS homolog StpA
was also shown to bind FliG and to act similarly, though less effectively, in
organizing FliG. The motility-enhancing effects of H-NS contrast with those of
the recently characterized motility inhibitor YcgR. The present findings provide
an integrated, structurally grounded framework for understanding the roughly
opposing effects of these motility regulators.
PMID- 21890702
TI - Genetic analysis of SCO2997, encoding a TagF homologue, indicates a role for wall
teichoic acids in sporulation of Streptomyces coelicolor A3(2).
AB - Streptomyces coelicolor contains two gene clusters putatively involved in wall
teichoic acid biosynthesis. Inactivation of the tagF homologue SCO2997 or
SCO2584, a component of the Streptomyces spore wall synthesizing complex,
affected sporulation. The mutant phenotypes resembled those of mre mutants,
suggesting a function of wall teichoic acids in the differentiation of
Streptomyces.
PMID- 21890703
TI - An ATP-grasp ligase involved in the last biosynthetic step of the
iminomycosporine shinorine in Nostoc punctiforme ATCC 29133.
AB - We investigated the genetic basis for mycosporine sunscreen biosynthesis by the
cyanobacterium Nostoc punctiforme ATCC 29133. Heterologous expression in
Escherichia coli of three contiguous N. punctiforme genes (NpR5600, NpR5599, and
NpR5598, here named mysA, mysB, and mysC, respectively) led to the production of
mycosporine-glycine, an oxomycosporine. Additional expression of gene NpF5597
(mysD) led to the conversion of mycosporine-glycine into iminomycosporines
(preferentially shinorine but also others like mycosporine-2-glycine and porphyra
334). This represents a new mode of enzymatic synthesis for iminomycosporines,
one that differs in genetic origin, mechanism, and apparent substrate specificity
from that known in Anabaena variabilis ATCC 29413. These results add to the
emerging profile of the protein family of ATP-dependent ligases, to which the
mysC product belongs, as important condensation enzymes in microbial secondary
metabolism.
PMID- 21890704
TI - Mutations in genes patA and patL of Anabaena sp. strain PCC 7120 result in
similar phenotypes, and the proteins encoded by those genes may interact.
AB - PatA resembles a response regulator protein with a defective DNA-binding domain,
and PatL (All3305) is a pentapeptide repeat protein. A yeast two-hybrid library
identified PatL as a protein with which PatA may interact. Heterocysts of patA
and patL Anabaena sp. form nearly exclusively terminally in long filaments,
further linking the genes.
PMID- 21890705
TI - The opportunistic pathogen Serratia marcescens utilizes type VI secretion to
target bacterial competitors.
AB - The type VI secretion system (T6SS) is the most recently described and least
understood of the protein secretion systems of Gram-negative bacteria. It is
widely distributed and has been implicated in the virulence of various pathogens,
but its mechanism and exact mode of action remain to be defined. Additionally
there have been several very recent reports that some T6SSs can target bacteria
rather than eukaryotic cells. Serratia marcescens is an opportunistic enteric
pathogen, a class of bacteria responsible for a significant proportion of
hospital-acquired infections. We describe the identification of a functional T6SS
in S. marcescens strain Db10, the first report of type VI secretion by an
opportunist enteric bacterium. The T6SS of S. marcescens Db10 is active, with
secretion of Hcp to the culture medium readily detected, and is expressed
constitutively under normal growth conditions from a large transcriptional unit.
Expression of the T6SS genes did not appear to be dependent on the integrity of
the T6SS. The S. marcescens Db10 T6SS is not required for virulence in three
nonmammalian virulence models. It does, however, exhibit dramatic antibacterial
killing activity against several other bacterial species and is required for S.
marcescens to persist in a mixed culture with another opportunist pathogen,
Enterobacter cloacae. Importantly, this antibacterial killing activity is highly
strain specific, with the S. marcescens Db10 T6SS being highly effective against
another strain of S. marcescens with a very similar and active T6SS. We conclude
that type VI secretion plays a crucial role in the competitiveness, and thus
indirectly the virulence, of S. marcescens and other opportunistic bacterial
pathogens.
PMID- 21890706
TI - Are United States and Canadian cancer screening rates consistent with guideline
information regarding the age of screening initiation?
AB - OBJECTIVE: To understand whether US and Canadian breast, colorectal and prostate
cancer screening test utilization is consistent with US and Canadian cancer
screening guideline information with respect to the age of screening initiation.
DESIGN: Cross-sectional, regression discontinuity. SETTING: Canada and the US.
PARTICIPANTS: Canadian and American women of ages 30-60 and men of ages 40-60.
INTERVENTIONS: None. Main Outcomes Measures Mammography, prostate-specific
antigen (PSA) and colorectal cancer test use within the past 2 years. METHODS: We
identify US and Canadian compliance with age screening information in a novel
manner, by comparing test utilization rates of individuals who are immediately on
either side of the guideline recommended initiation ages. RESULTS: US mammography
utilization within the last 2 years increased from 33% at age 39 to 48% at age 40
and 60% at age 41. US colorectal cancer test utilization, within the last 2
years, increased from 15% at age 49 to 18% at age 50 and 28% at age 51. US PSA
utilization within the last 2 years increased from 37% at age 49 to 44% at age 50
and 54% at age 51. In Canada, mammography utilization within the last 2 years
increased from 47% at age 49 to 57% at age 50 and 66% at age 51. CONCLUSION:
American and Canadian cancer screening utilization is generally consistent with
each country's guideline recommendations regarding age. US and Canadian
differences in screening due to guidelines can potentially explain cross-country
differences in breast cancer mortality and affect interpretation of international
comparisons of cancer statistics.
PMID- 21890707
TI - Nitric oxide, nitrate reductase and UV-B tolerance.
PMID- 21890708
TI - Profile of microRNAs differentially produced in hearts from patients with
hypertrophic cardiomyopathy and sarcomeric mutations.
PMID- 21890709
TI - Registered nurses integrate traditional Chinese medicine into the triage process.
AB - People in the United States often consult registered nurses (nurses) for advice
when they want to explore alternatives to Western medicine, such as traditional
Chinese medicine (TCM). Nurses find themselves confronting dilemmas when they are
caught between these radically different worlds of medical cultures and thinking.
Twenty Minnesota nurses were interviewed to learn how they integrate TCM into
their triage process. Symbolic interactionism was the research framework used,
and mixed coding methods facilitated data analysis. Several sociological theories
explain the findings. The major finding is that nurses use a four-step triage
process that begins from the Western medical perspective and includes
consideration of TCM use. Nurses' recommendations are influenced by their
situational roles and relationships, and by the cues they read from the person
who is asking their advice. The results point to nurses being natural
disseminators of TCM information and education in their resource role for others
making health care decisions.
PMID- 21890710
TI - Living positively: the experiences of Thai women living with HIV/AIDS in central
Thailand.
AB - Living with an incurable illness such as HIV/AIDS is a stressful experience.
However, many HIV-positive individuals are able to maintain their emotional well
being. This begs the question of what strategies these individuals employ to
allow them to do so. In this article, we examine how Thai women living with
HIV/AIDS learned about their health status, what feelings they had, and how they
dealt with the illness. In-depth interviews were conducted with 26 women in
central Thailand. The women adopted several strategies to deal with their HIV
status, including taking care of themselves, accepting their own faith,
disclosing their HIV status to family, and joining AIDS support groups. These
strategies can be situated within the "living positively" discourse, which helped
to create a sense of optimism to combat the HIV epidemic among the women.
Additionally, the acceptance of their HIV status played an essential role in the
meaning-making process because it assisted the women in sustaining the
equilibrium of their emotional well-being.
PMID- 21890711
TI - Parental cancer: catalyst for positive growth and change.
AB - Cancer is a disease that affects the entire family, with each member having
unique psychological needs. To date, there has been limited research into the
effect of parental cancer on adult children. Furthermore, existing research has
largely overlooked the possibility of positive psychological growth in the adult
offspring of cancer patients. To investigate the perceived benefits arising from
parental cancer, 11 interviews were undertaken with adults whose parents had been
diagnosed with cancer, to discuss their experiences of their parent's illness,
and their evaluation of both the positive and negative changes that had arisen.
All participants were able to identify positive outcomes in direct response to
their parent's cancer. Frequently suggested changes included improved
relationships with their sick parent, an increased emphasis on family, revised
life priorities, and personal development. The implications of these findings,
their link to posttraumatic growth theory, and avenues for future research are
discussed.
PMID- 21890712
TI - "This is the best fatal illness that you can have": contrasting and comparing the
experiences of parenting youth with cystic fibrosis and congenital heart disease.
AB - Despite the important instrumental and emotional role that parents play in the
lives of children with cystic fibrosis (CF) and congenital heart disease (CHD),
qualitative researchers have not examined the similarities and differences
between caregivers' experiences. Informed by thematic analysis, in this
qualitative study I explored what it is like to care for a child with a chronic
illness from the perspective of CF and CHD parents at a children's hospital in
Canada. Pediatric caregiver stress was qualitatively different between CF and CHD
parents, whereas temporal dilemmas were unique sources of stress for CF parents
only. To alleviate stress, all parents drew on a three-way, interrelated process
to comprehend their child's illness and acquire perspective. By opening up the
social worlds of parents, I illuminate important similarities and differences in
the caregiving experience of parents of youth with CF and CHD, and offer novel
contributions to the literature.
PMID- 21890713
TI - Discourses of influence and autonomy in physicians' accounts of treatment
decision making for depression.
AB - Models of patient-physician decision making are typically framed on a continuum
of discourses and practices ranging from patient autonomy to physician
paternalism, with the middle ground being occupied by terms such as shared
decision making. Critiques of these models center on the gulf between these
idealized models and actual practice and on how context influences decision
making practices. In this article I focus on how 11 Canadian family physicians
talked about patient-physician decision making in interviews about their
diagnostic and treatment practices for depression. I adopt a discursive approach
to analyzing extracts from these interviews, and show how these physicians
constructed themselves as engaging in acts of professional judgment and
persuasion, and patients as having the final say in decision making about
treatment for depression. I argue that whether the intertwining of discourses of
physician influence and patient autonomy is understood as a balance of power
between physicians and patients is an open question.
PMID- 21890714
TI - Living with arthritis: using self-management of valued activities to promote
health.
AB - In this article, we explore how adults with arthritis use self-care strategies in
their valued leisure activities, and variations in use based on their access to
environmental resources. We conducted six focus groups (N = 34) with adults aged
55 and older with a diagnosis of arthritis. Adults living in residential
communities were recruited, with 31% of the sample residing in subsidized
housing. Focus group transcripts were analyzed using content analysis based on
themes of selective optimization with compensation. We found some differences in
strategy use between the resource-rich and resource-poor participants. Adults
highlighted the value of their leisure activities and the importance of leisure
in maintaining their health and well-being. Our findings point to the need to
incorporate leisure education into interventions and programs targeting adults
with arthritis.
PMID- 21890715
TI - A working relationship model that reduces homelessness among people with mental
illness.
AB - We applied grounded theory methodology to generate a working relationship model
that influences motivation for stable housing among homeless people with serious
mental illness, to understand the role of a working relationship in critical
service transitions. We focused on practitioners' perspectives and practices in
Critical Time Intervention (CTI), a community intervention aimed to reduce
homelessness through providing support during the transition from institutional
to community living. We found a working relationship that highlighted
"nonauthoritative" and "humanistic" features. Workers respected client autonomy
and maintained flexibility in the format of client contact and service
activities. They used practice strategies including following client leads and
informal relating approaches to facilitate the development of client trust. The
trusting relationship enhanced client interest in obtaining housing and the
commitment to the transformation crucial for retaining housing. We discuss the
significance of the relationship and ethical considerations of relationship
building activities in community mental health practices.
PMID- 21890716
TI - Parents' emotional and social experiences of caring for a child through cleft
treatment.
AB - Little is known about the experiences of parents caring for a child through long
term treatment for cleft lip and/or cleft palate. We conducted in-depth
interviews with 35 parents with children between the ages of 20 weeks and 21
years to explore experiences across the treatment program. We analyzed the data
using a constructivist grounded theory approach and present in detail in this
article one subcategory from the analysis: managing emotions. Throughout
childhood and adolescence, parents experienced conflicting emotions about their
child's impairment, uncertainty about cleft treatment, and stigmatizing
attitudes. Although parents attempted to manage emotional tensions by pursuing
cleft treatments, the interventions could themselves be a source of conflict for
them. We suggest that routine assessment of parents' emotional and social well
being should be included in cleft treatment programs, and access to psychosocial
support made available.
PMID- 21890717
TI - Perceived competency and resolution of homelessness among women with substance
abuse problems.
AB - Using a metasynthesis approach, our aim was to articulate new insights relating
to the most efficient and effective means of helping homeless women with
substance abuse problems to enhance their well-being and become more stably
housed. Distorted perceptions of competency, which are shaped by dysfunctional
relationships and mental health problems, make it challenging for women with
substance abuse problems to resolve homelessness. Women with particularly low or
high levels of perceived competency tend to grapple with challenges related to
structure and control, trust, and hopelessness. Therapeutic strategies for
approaching these women include careful assessment, caring, personalized
structure and control, development of interpersonal trust, instillation of hope,
and the targeted use of psychotherapeutic agents and counseling. Framing care for
homeless women within the context of perceived competency offers a new way of
understanding their plight and shaping interventions to more expeditiously move
them toward healthy and stable lives.
PMID- 21890718
TI - Establishing therapeutic relationships with vulnerable and potentially
stigmatized clients.
AB - Grounded theory was employed to elucidate how public health nurses (PHNs) develop
therapeutic relationships with vulnerable and potentially stigmatized clients,
specifically, single mothers living in low-income situations. We named the
emerging theoretical model Targeting Essence: Pragmatic Variation of the
Therapeutic Relationship, after discovering that although PHNs strove to achieve
relational goals, their attention was primarily focused on the goal of
ascertaining concerns foremost on the hearts and minds of mothers, and that PHNs
had to accomplish these goals within short practice timeframes. The study's
focused context elicited a nuanced explanation of the dynamic relationship
building process derived from subjective relationship experiences of PHNs and
single mothers living in low-income situations. We believe Targeting Essence will
serve as an effectual relationship-building model, enabling PHNs to know
essentially what mothers want and need, and enabling mothers to know essentially
that their PHN can be trusted not to render judgment.
PMID- 21890719
TI - Social networks of substance users with HIV infection: application of the Norbeck
Social Support Scale.
AB - The role of social support networks in medication adherence among HIV-infected
substance users remains understudied. In this secondary data analysis, the
authors sought to determine the relationship between social support networks and
antiretroviral adherence among HIV-infected substance abusers receiving
methadone. They analyzed data collected in a 24-week study of 76 methadone
maintained, HIV-infected substance abusers randomized to directly observed
antiretroviral therapy or treatment as usual. The authors used logistic
regression to examine the relationship between social support networks and self
reported antiretroviral adherence. Their results showed that study participants
had an average of 1.36 social network members (SD = 1.4); 34% of participants had
at least one drug user and 25% had at least one HIV-infected person in their
network. The presence of network drug users and HIV-infected network members was
associated with less antiretroviral medication adherence (p < .05). The authors
conclude that both social network density and characteristics of network members
have implications for medication adherence.
PMID- 21890720
TI - Arenimonas daejeonensis sp. nov., isolated from compost.
AB - A Gram-negative, aerobic, motile and rod-shaped bacterium, designated strain T7
07(T), was isolated from compost in Daejeon, Korea. Phylogenetic analysis based
on 16S rRNA gene sequencing showed that strain T7-07(T) had 99.0% gene sequence
similarity with Arenimonas malthae KACC 14618(T) and 94.7-95.9% with other
recognized species of the genus Arenimonas. Cells formed creamy white to
yellowish colonies on R2A agar and contained Q-8 as the predominant ubiquinone,
C(15:0) iso, C(16:0) iso, C(17:1) iso omega9c and C(11:0) iso 3-OH as the major
fatty acids, and diphosphatidylglycerol, phosphatidylethanolamine,
phosphatidylglycerol, phosphatidylmethylethanolamine and an unknown aminolipid as
the major polar lipids. The DNA G+C content of strain T7-07(T) was 68.3 mol%. DNA
DNA reassociation experiments between T7-07(T) and Arenimonas malthae KACC
14618(T) resulted in a mean relatedness value of 22.2%. Combined genotypic and
phenotypic data supported the conclusion that the strain T7-07(T) represents a
novel species, for which the name Arenimonas daejeonensis sp. nov. is proposed.
The type strain is T7-07(T) (=KCTC 12667(T)=DSM 18060(T)).
PMID- 21890721
TI - Promicromonospora endophytica sp. nov., an endophytic actinobacterium isolated
from the root of an Australian native Grey Box tree.
AB - A novel aerobic actinobacterium, strain EUM 273(T), was isolated from the root of
a Grey Box tree (Eucalyptus microcarpa Maiden). Cells were Gram-staining-positive
with well-developed substrate mycelia which were non-motile and rod-like, with
coccoid elements. Phylogenetic evaluation based on 16S rRNA gene sequence
analysis placed the isolate as a member of the family Promicromonosporaceae that
was most closely related to Promicromonospora xylanilytica YIM 61515(T) (98.2%)
and Promicromonospora vindobonensis V45(T) (98%). Chemotaxonomic data including
cell wall components, major menaquinone and major fatty acids confirmed the
affiliation of strain EUM 273(T) to the genus Promicromonospora. The results of
the phylogenetic analysis, including physiological and biochemical studies in
combination with DNA-DNA hybridization, allowed the genotypic and phenotypic
differentiation of strain EUM 273(T) from the closest related species with
validly published names. The name proposed for the novel species is
Promicromonospora endophytica sp. nov. The type strain is EUM 273(T) (=DSM
23716(T)=NRRL B-24816(T)).
PMID- 21890722
TI - Emended description of the genus Methylophaga Janvier et al. 1985.
AB - The genus Methylophaga Janvier et al. 1985 comprises eight species with validly
published names at the time of writing. The original description of the genus was
published over 26 years ago and was based on only two species, namely
Methylophaga marina and Methylophaga thalassica - as such, the description of the
genus requires updating to take into account the other six known species. Based
on literature concerning the eight species of Methylophaga published over the
last 26 years, an emended description of the genus is presented, taking into
account properties of all members of the species with validly published names.
PMID- 21890724
TI - Shewanella dokdonensis sp. nov., isolated from seawater.
AB - A novel bacterial strain, designated UDC329(T), was isolated from a sample of
seawater collected at Dong-do, on the coast of Dokdo Island, in the East Sea of
the Republic of Korea. The Gram-staining-negative, motile, facultatively
anaerobic, non-spore-forming rods of the strain developed into dark orange-yellow
colonies. The strain grew optimally between 25 and 30 degrees C, with 1% (w/v)
NaCl and at pH 7. It grew in the absence of NaCl, but not with NaCl at >7% (w/v).
The predominant menaquinone was MK-7, the predominant ubiquinones were Q-7 and Q
8, and the major fatty acids were iso-C(15:0) (33.52%) and C(17:1)omega8c
(11.73%). The genomic DNA G+C content of strain UDC329(T) was 50.2 mol%. In
phylogenetic analyses based on 16S rRNA and gyrB gene sequences, strain UDC329(T)
was grouped with members of the genus Shewanella and appeared most closely
related to Shewanella fodinae JC15(T) (97.9% 16S rRNA gene sequence similarity),
Shewanella indica KJW27(T) (95.0%), Shewanella algae ATCC 51192(T) (94.8%),
Shewanella haliotis DW01(T) (94.5%) and Shewanella chilikensis JC5(T) (93.9%).
The level of DNA-DNA relatedness between strain UDC329(T) and S. fodinae JC15(T)
was, however, only 27.4%. On the basis of phenotypic, genotypic and DNA-DNA
relatedness data, strain UDC329(T) represents a novel species in the genus
Shewanella, for which the name Shewanella dokdonensis sp. nov. is proposed. The
type strain is UDC329(T) (=KCTC 22898(T)=DSM 23626(T)).
PMID- 21890723
TI - Planktotalea frisia gen. nov., sp. nov., isolated from the southern North Sea.
AB - A heterotrophic, aerobic bacterium, designated strain SH6-1(T), was obtained from
a seawater sample collected from the open North Sea during a phytoplankton bloom.
Strain SH6-1(T) was isolated from a 10(-6) dilution culture, which indicated a
high abundance of this organism in the environmental sample. 16S rRNA gene
sequence comparison revealed that strain SH6-1(T) belonged to the marine
Roseobacter clade (order Rhodobacterales) within the class Alphaproteobacteria.
Pelagicola litoralis CL-ES2(T) was the closest phylogenetic neighbour (96.4% 16S
rRNA gene sequence similarity). Cells of strain SH6-1(T) were small or elongated
irregular rods. Optimal growth occurred between 20 and 25 degrees C and between
pH 7.5 and 9.0 with peptone and yeast extract. On marine agar, the isolate formed
non-pigmented, small, circular, convex colonies. For growth, cells required
sodium ions and the vitamins pantothenic acid and nicotinic acid amide. The DNA
G+C content was 53.8 mol%. The fatty acids (>1%) were C(10:0) 3-OH, C(16:0),
C(12:1), C(12:1) 3-OH, C(18:0), C(18:1)omega7c, C(18:2) and 11-methyl
C(18:1)omega7c. The polar lipid pattern indicated the presence of
phosphatidylcholine, phosphatidylglycerol, an unidentified aminolipid and one
unidentified phospholipid. The major respiratory lipoquinone was ubiquinone Q-10.
Strain SH6-1(T) contained the genes pufLM, which code for the bacterial
photosynthesis reaction centre; however, no bacteriochlorophyll a could be
detected. Physiological, genotypic and phenotypic differences from P. litoralis
support the description of a novel genus and species, for which we suggest the
name Planktotalea frisia gen. nov., sp. nov; the type strain of the type species
is SH6-1(T) (=DSM 23709(T)=LMG 25294(T)).
PMID- 21890725
TI - Nocardiopsis coralliicola sp. nov., isolated from the gorgonian coral, Menella
praelonga.
AB - An actinobacterial strain, SCSIO 10427(T), was isolated from a gorgonian coral
sample collected from Weizhou Island, Guangxi province, China, and its taxonomic
position was investigated using a polyphasic approach. The organism was found to
have a range of chemical and morphological properties consistent with its
classification in the genus Nocardiopsis. Phylogenetic analysis indicated that
16S rRNA gene sequence similarity between strain SCSIO 10427(T) and type strains
of other recognized members of the genus Nocardiopsis was lower than 98.4%.
Furthermore, phenotypic characteristics revealed that the strain differed from
the currently recognized species of the genus Nocardiopsis. Therefore, strain
SCSIO 10427(T) represents a novel species of the genus Nocardiopsis, for which
the name Nocardiopsis coralliicola sp. nov. is proposed. The type strain is SCSIO
10427(T) (=CCTCC AA 2011010(T)=DSM 45611(T)).
PMID- 21890726
TI - Mucilaginibacter soli sp. nov., isolated from Arctic tundra soil.
AB - A novel pale-pink-coloured strain, designated R9-65(T), was isolated from a
tundra soil near Ny-Alesund, Svalbard Archipelago, Norway (78 degrees N). The
cells were facultatively anaerobic, Gram-staining-negative, non-motile and rod
shaped. Growth occurred at 4-32 degrees C (optimum, 25-28 degrees C), at pH 5.0
9.0 (optimum, pH 6.0-7.0) and with 0-1.0% (w/v) NaCl (optimum, no NaCl).
Flexirubin-type pigments were absent. Phylogenetic analysis based on 16S rRNA
gene sequences indicated that strain R9-65(T) belonged to the genus
Mucilaginibacter in the family Sphingobacteriaceae. The 16S rRNA gene sequence
similarity between strain R9-65(T) and type strains of related species ranged
from 93.4 to 96.6%. Strain R9-65(T) contained summed feature 3 (C(16:1)omega7c
and/or C(16:1)omega6c, 34.3%) and iso-C(15:0) (20.3%) as major cellular fatty
acids, MK-7 as the major respiratory quinone, and phosphatidylethanolamine as the
main polar lipid. The DNA G+C content of strain R9-65(T) was 47.2 mol%. On the
basis of phylogenetic, physiological and chemotaxonomic data, strain R9-65(T) is
considered to represent a novel species of the genus Mucilaginibacter, for which
the name Mucilaginibacter soli sp. nov. is proposed. The type strain is R9-65(T)
(=CCTCC AB 2010331(T)=NRRL B-59458(T)).
PMID- 21890727
TI - Lysobacter arseniciresistens sp. nov., an arsenite-resistant bacterium isolated
from iron-mined soil.
AB - A Gram-negative, aerobic, motile, rod-shaped, arsenite [As(III)]-resistant
bacterium, designated strain ZS79(T), was isolated from subsurface soil of an
iron mine in China. Phylogenetic analyses based on 16S rRNA gene sequences
revealed that strain ZS79(T) clustered closely with strains of five Lysobacter
species, with 96.9, 96.1, 96.0, 95.8 and 95.3% sequence similarities to
Lysobacter concretionis Ko07(T), L. daejeonensis GH1-9(T), L. defluvii IMMIB APB
9(T), L. spongiicola KMM 329(T) and L. ruishenii CTN-1(T), respectively. The
major cellular fatty acids were iso-C(15:0) (28.6%), iso-C(17:1)omega9c (19.9%),
iso-C(16:0) (13.6%), iso-C(11:0) (12.6%) and iso-C(11:0) 3-OH (12.4%). The
genomic DNA G+C content was 70.7 mol% and the major respiratory quinone was Q-8.
The major polar lipids were diphosphatidylglycerol, phosphatidylethanolamine,
phosphatidylglycerol and an unknown phospholipid. On the basis of morphological
and physiological/biochemical characteristics, phylogenetic position and
chemotaxonomic data, this strain is considered to represent a novel species of
the genus Lysobacter, for which the name Lysobacter arseniciresistens sp. nov. is
proposed; the type strain is ZS79(T) (=CGMCC 1.10752(T)=KCTC 23365(T)).
PMID- 21890728
TI - Hydrotalea sandarakina sp. nov., isolated from a hot spring runoff, and emended
descriptions of the genus Hydrotalea and the species Hydrotalea flava.
AB - Two bacterial isolates, designated AF-51(T) and AF-50, with an optimum growth
temperature of about 45 degrees C and an optimum pH for growth between 6.0 and
6.5, were recovered from a hot spring in the Furnas, Area da Fonte 1825, on the
Island of Sao Miguel in the Azores. Based on 16S rRNA gene sequence analysis,
these strains were related most closely to the type strain of Hydrotalea flava at
a pairwise similarity of 95.7%. The two strains were orange-pigmented and formed
non-motile, rod-shaped cells that stained Gram-negative and were aerobic and
oxidase- and catalase-positive. The major fatty acids were iso-C(15:0), iso
C(17:0) 3-OH and iso-C(16:0). The major respiratory quinone was menaquinone 7.
Based on phylogenetic, physiological and biochemical characteristics, these
strains from the Azores are considered to represent a single novel species of the
genus Hydrotalea, for which the name Hydrotalea sandarakina sp. nov. is proposed.
The type strain is AF-51(T) (=DSM 23241(T)=LMG 25526(T)). We provide emended
descriptions of the genus Hydrotalea and of H. flava to reflect new results
obtained in this study.
PMID- 21890729
TI - Albidovulum xiamenense sp. nov., a moderately thermophilic bacterium from a
terrestrial hot spring.
AB - An aerobic, motile, moderately thermophilic, rod-shaped bacterium, strain YBY
7(T), was isolated from a terrestrial hot spring of a garden exhibition located
in Xiamen City, Fujian Province, People's Republic of China. Cells of strain YBY
7(T) were Gram-negative, irregular rods, 2-6 um long and 0.4-0.6 um wide, with
polar flagella, and the organism formed beige colonies. The temperature and pH
ranges for growth of strain YBY-7(T) were 28-65 degrees C (optimum 50-58
degrees C) and pH 6.5-9.5 (optimum pH 7.5-8.5). Growth occurred in the presence
of 5.5% NaCl (optimum 3.0%). Phylogenetic analysis based on the 16S rRNA gene
sequence revealed that Albidovulum inexpectatum FRR-10(T) was its closest
neighbour (95.9% similarity). Ubiquinone (Q-10) was the sole respiratory quinone
and the DNA G+C content of strain YBY-7(T) was 70.6 mol%. The predominant polar
lipids were phosphatidylcholine, phosphatidylglycerol and
phosphatidylethanolamine. The major fatty acids were C(18:1)omega7c (29.3%),
C(19:0) cyclo omega8c (25.6%), C(18:0) (23.6%) and C(16:0) (9.6%). Based on its
physiological characteristics and our phylogenetic analysis, we propose that
strain YBY-7(T) represents a novel species of the genus Albidovulum, for which
the name Albidovulum xiamenense sp. nov. is proposed, with the type strain YBY
7(T) (=MCCC 1A06317(T) =CGMCC 1.10789(T) =LMG 26247(T)).
PMID- 21890730
TI - Methanobacterium lacus sp. nov., isolated from the profundal sediment of a
freshwater meromictic lake.
AB - An autotrophic, hydrogenotrophic methanogen, designated strain 17A1(T), was
isolated from the profundal sediment of the meromictic Lake Pavin, France. The
cells of the novel strain, which were non-motile, Gram-staining-negative rods
that measured 2-15 um in length and 0.2-0.4 um in width, grew as filaments.
Strain 17A1(T) grew in a mineral medium and its growth was stimulated by the
addition of yeast extract, vitamins, acetate or rumen fluid. Penicillin,
vancomycin and kanamycin reduced growth but did not completely inhibit it. Growth
occurred at 14-41 degrees C (optimum 30 degrees C), at pH 5.0-8.5 (optimum pH
6.5) and with 0-0.4 M NaCl (optimum 0.1 M). The novel strain utilized H(2)/CO(2)
and methanol/H(2) as substrates but not formate, acetate, methylamine/H(2),
isobutanol or 2-propanol. Its genomic DNA G+C content was 37.0 mol%. In
phylogenetic analyses based on 16S rRNA gene sequences, strain 17A1(T) appeared
to be a member of the genus Methanobacterium, with Methanobacterium beijingense 8
2(T) (96.3% sequence similarity) identified as the most closely related
established species. Based on phenotypic and phylogenetic data, strain 17A1(T)
represents a novel species of methanogen within the genus Methanobacterium, for
which the name Methanobacterium lacus sp. nov. is proposed. The type strain is
17A1(T) (=DSM 24406(T)=JCM 17760(T)).
PMID- 21890731
TI - Methylophaga lonarensis sp. nov., a moderately haloalkaliphilic methylotroph
isolated from the soda lake sediments of a meteorite impact crater.
AB - A moderately haloalkaliphilic methylotrophic bacterium possessing the ribulose
monophosphate pathway for carbon assimilation, designated MPL(T), was isolated
from Lonar Lake sediment microcosms that were oxidizing methane for two weeks.
The isolate utilized methanol and was an aerobic, Gram-negative, asporogenous,
motile, short rod that multiplied by binary fission. The isolate required
NaHCO(3) or NaCl for growth and, although not auxotrophic for vitamin B(12), had
enhanced growth with vitamin B(12). Optimal growth occurred with 0.5-2% (w/v)
NaCl, at 28-30 degrees C and at pH 9.0-10.0. The cellular fatty acid profile
consisted primarily of straight-chain saturated C(16:0) and unsaturated
C(16:1)omega7c and C(18:1)omega7c. The major ubiquinone was Q-8. The dominant
phospholipids were phosphatidylethanolamine, phosphatidylglycerol and
diphosphatidylglycerol. Cells accumulated ectoine as the main compatible solute.
The DNA G+C content was 50.0 mol%. The isolate exhibited 94.0-95.4% 16S rRNA gene
sequence similarity with the type strains of methylotrophs belonging to the genus
Methylophaga and 31% DNA-DNA relatedness with the reference strain, Methylophaga
alcalica VKM B-2251(T). It is proposed that strain MPL(T) represents a novel
species, Methylophaga lonarensis sp. nov. (type strain MPL(T)=VKM B-2684(T)=MCC
1002(T)).
PMID- 21890732
TI - Nonomuraea soli sp. nov., an actinomycete isolated from soil.
AB - A straight-chain, spore-forming actinobacterium, strain YIM 120770(T), was
isolated from soil. Phylogenetic analysis on the basis of 16S rRNA gene sequence
comparisons revealed that the isolate represents a distinct cluster within the
clade comprising the genus Nonomuraea and is related most closely to Nonomuraea
rhizophila YIM 67092(T) (96.5% similarity). Cells of strain YIM 120770(T) grew in
the presence of 0-3% (w/v) NaCl, at 15-37 degrees C and at pH 7.0-8.0. The
diagnostic amino acid was meso-diaminopimelic acid, cell hydrolysates contained
madurose, glucose, mannose, ribose and galactose, the predominant cellular fatty
acids were 10-methyl C(17:0) and iso-C(16:0), and the DNA G+C content was 66.4
mol%, data consistent with affiliation of strain YIM 120770(T) to the genus
Nonomuraea. Strain YIM 120770(T) shared low levels of 16S rRNA gene sequence
similarity (<97%) with the type strains of recognized species of the genus
Nonomuraea and could be differentiated from its closest phylogenetic relative
based on phenotypic characteristics. These results suggested that strain YIM
120770(T) represents a novel species of the genus Nonomuraea, for which the name
Nonomuraea soli sp. nov. is proposed. The type strain is YIM 120770(T) (=DSM
45533(T)=JCM 17347(T)).
PMID- 21890734
TI - Role of residue 87 in the activity and regioselectivity of clozapine metabolism
by drug-metabolizing CYP102A1 M11H: application for structural characterization
of clozapine GSH conjugates.
AB - In the present study, a site-saturation mutagenesis library of drug-metabolizing
CYP102A1 M11H with all 20 amino acids at position 87 was applied as a biocatalyst
for the production of stable and reactive metabolites of clozapine. Clozapine is
an atypical antipsychotic drug in which formation of reactive metabolites is
considered to be responsible for several adverse drug reactions. Reactive
intermediates of clozapine can be inactivated by GSH to multiple GSH conjugates
by nonenzymatic and glutathione transferase (GST)-mediated conjugation reactions.
The structures of several GST-dependent metabolites have not yet been elucidated
unequivocally. The present study shows that the nature of the amino acid at
position 87 of CYP102A1 M11H strongly determines the activity and
regioselectivity of clozapine metabolism. Some mutants showed preference for N
demethylation and N-oxidation, whereas others showed high selectivity for
bioactivation to reactive intermediates. The mutant containing Phe87 showed high
activity and high selectivity for the bioactivation pathway and was used for the
large-scale production of GST-dependent GSH conjugates by incubation in the
presence of recombinant human GST P1-1. Five human-relevant GSH adducts were
produced at high levels, enabling structural characterization by (1)H NMR. This
work shows that drug-metabolizing CYP102A1 mutants, in combination with GSTs, are
very useful tools for the generation of GSH conjugates of reactive metabolites of
drugs to enable their isolation and structural elucidation.
PMID- 21890733
TI - Proposal to reclassify Brenneria quercina (Hildebrand and Schroth 1967) Hauben et
al. 1999 into a new genus, Lonsdalea gen. nov., as Lonsdalea quercina comb. nov.,
descriptions of Lonsdalea quercina subsp. quercina comb. nov., Lonsdalea quercina
subsp. iberica subsp. nov. and Lonsdalea quercina subsp. britannica subsp. nov.,
emendation of the description of the genus Brenneria, reclassification of Dickeya
dieffenbachiae as Dickeya dadantii subsp. dieffenbachiae comb. nov., and
emendation of the description of Dickeya dadantii.
AB - Bacterial isolates from oak trees in Spain and Britain, showing symptoms of bark
canker and Acute Oak Decline (AOD), respectively, were examined by a polyphasic
approach. Both 16S rRNA gene sequencing and multilocus sequence analysis (MLSA),
based on partial sequences of gyrB, rpoB, infB and atpD genes, revealed that the
isolates were separated into two genetic groups according to their origin. Their
closest phylogenetic relative was Brenneria quercina, the causal agent of drippy
nut disease of oak, which clustered distant to the other species of the genus
Brenneria. MLSA data for species of the genera Brenneria, Pectobacterium,
Dickeya, Erwinia, Pantoea and Samsonia confirmed the polyphyletic nature of the
genus Brenneria and indicated synonymy of Dickeya dadantii and Dickeya
dieffenbachiae. DNA-DNA hybridization experiments confirmed this synonymy and
also revealed DNA-DNA relatedness values of 58-73% between the new oak isolates
and B. quercina. Phenotypic and/or chemotaxonomic methods allowed B. quercina and
the two genetic groups of new oak isolates to be discriminated from other
recognized species of the genus Brenneria and from members of the closely related
genera Dickeya, Pectobacterium and Samsonia. Based on the data obtained, the
following taxonomic proposals are made: (1) reclassification of B. quercina as
the type species of a novel genus, Lonsdalea gen. nov., as Lonsdalea quercina
comb. nov. (type strain LMG 2724(T)=ATCC 29281(T)=CCUG 48867(T)=CFBP 3617(T)=CIP
105201(T)=DSM 4561(T)=ICMP 1845(T)), (2) classification of the oak isolates as
Lonsdalea quercina subsp. iberica subsp. nov. (type strain LMG26264(T)=NCPPB
4490(T)) and Lonsdalea quercina subsp. britannica subsp. nov. (type strain LMG
26267(T)=NCPPB 4481(T)) and leading to the automatic creation of Lonsdalea
quercina subsp. quercina subsp. nov. (type strain LMG 2724(T)=ATCC 29281(T)), (3)
emendation of the description of the genus Brenneria, and (4) reclassification of
Dickeya dieffenbachiae as Dickeya dadantii subsp. dieffenbachiae comb. nov. (type
strain LMG 25992(T)=CFBP 2051(T)), with the automatic creation of Dickeya
dadantii subsp. dadantii subsp. nov. (type strain LMG 25991(T)=CFBP 1269(T)).
PMID- 21890735
TI - Proluciferin acetals as bioluminogenic substrates for cytochrome P450 activity
and probes for CYP3A inhibition.
AB - Cytochrome P450 (P450) assays use probe substrates to interrogate the influence
of new chemical entities toward P450 enzymes. We report the synthesis and study
of a family of bioluminogenic luciferin acetal substrates that are oxidized by
P450 enzymes to form luciferase substrates. The luciferin acetals were screened
against a panel of purified P450 enzymes. In particular, one proluciferin acetal
has demonstrated sensitive and selective CYP3A4-catalyzed oxidation to a
luciferin ester-K(m) and k(cat) are 2.88 MUM and 5.87 pmol metabolite . min(-1) .
pmol enzyme(-1), respectively. The proluciferin acetal was used as a probe
substrate to measure IC(50) values of known inhibitors against recombinant CYP3A4
or human liver microsomes. IC(50) values for the known inhibitors correlate
strongly with IC(50) values calculated from the traditional high-performance
liquid chromatography-based probe substrate testosterone. Luciferin acetals are
rapidly oxidized to unstable hemi-orthoesters by CYP3A resulting in luciferin
esters and, therefore, are conducive to simple rapid CYP3A bioluminescent assays.
PMID- 21890736
TI - Aryl hydrocarbon receptor-mediated induction of Stearoyl-CoA desaturase 1 alters
hepatic fatty acid composition in TCDD-elicited steatosis.
AB - 2,3,7,8-tetrachlorodibenzo-rho-dioxin (TCDD) induces hepatic dyslipidemia
mediated by the aryl hydrocarbon receptor (AhR). Stearoyl-CoA desaturase 1 (Scd1)
performs the rate-limiting step in monounsaturated fatty acid (MUFA) synthesis,
desaturating 16:0 and 18:0 into 16:1n7 and 18:1n9, respectively. To further
examine the role of Scd1 in TCDD-induced hepatotoxicity, comparative studies were
performed in Scd1(+/+) and Scd1(-/-) mice treated with 30 MUg/kg TCDD. TCDD
induced Scd1 activity, protein, and messenger RNA (mRNA) levels approximately
twofold. In Scd1(+/+) mice, hepatic effects were marked by increased
vacuolization and inflammation and a 3.5-fold increase in serum alanine
aminotransferase (ALT) levels. Hepatic triglycerides (TRGs) were induced 3.9-fold
and lipid profiling by gas chromatography-mass spectroscopy measured a 1.9-fold
increase in fatty acid (FA) levels, consistent with the induction of lipid
transport genes. Induction of Scd1 altered FA composition by decreasing saturated
fatty acid (SFA) molar ratios 8% and increasing MUFA molar ratios 9%.
Furthermore, ChIP-chip analysis revealed AhR enrichment (up to 5.7-fold), and
computational analysis identified 16 putative functional dioxin response elements
(DREs) within Scd1 genomic loci. Band shift assays confirmed AhR binding with
select DREs. In Scd1(-/-) mice, TCDD induced minimal hepatic vacuolization and
inflammation, while serum ALT levels remained unchanged. Although Scd1 deficiency
attenuated TCDD-induced TRG accumulation, overall FA levels remained unchanged
compared with Scd1(+/+) mice. In Scd1(-/-) mice, TCDD induced SFA ratios 8%,
reduced MUFA ratios 13%, and induced polyunsaturated fatty acid ratios 5%
relative to treated Scd1(+/+) mice. Collectively, these results suggest that AhR
regulation of Scd1 not only alters lipid composition but also contributes to the
hepatotoxicity of TCDD.
PMID- 21890737
TI - Cardiomyopathy is associated with ribosomal protein gene haplo-insufficiency in
Drosophila melanogaster.
AB - The Minute syndrome in Drosophila melanogaster is characterized by delayed
development, poor fertility, and short slender bristles. Many Minute loci
correspond to disruptions of genes for cytoplasmic ribosomal proteins, and
therefore the phenotype has been attributed to alterations in translational
processes. Although protein translation is crucial for all cells in an organism,
it is unclear why Minute mutations cause effects in specific tissues. To
determine whether the heart is sensitive to haplo-insufficiency of genes encoding
ribosomal proteins, we measured heart function of Minute mutants using optical
coherence tomography. We found that cardiomyopathy is associated with the Minute
syndrome caused by haplo-insufficiency of genes encoding cytoplasmic ribosomal
proteins. While mutations of genes encoding non-Minute cytoplasmic ribosomal
proteins are homozygous lethal, heterozygous deficiencies spanning these non
Minute genes did not cause a change in cardiac function. Deficiencies of genes
for non-Minute mitochondrial ribosomal proteins also did not show abnormal
cardiac function, with the exception of a heterozygous disruption of mRpS33. We
demonstrate that cardiomyopathy is a common trait of the Minute syndrome caused
by haplo-insufficiency of genes encoding cytoplasmic ribosomal proteins. In
contrast, most cases of heterozygous deficiencies of genes encoding non-Minute
ribosomal proteins have normal heart function in adult Drosophila.
PMID- 21890739
TI - Disentangling prenatal and postnatal maternal genetic effects reveals persistent
prenatal effects on offspring growth in mice.
AB - Mothers are often the most important determinant of traits expressed by their
offspring. These "maternal effects" (MEs) are especially crucial in early
development, but can also persist into adulthood. They have been shown to play a
role in a diversity of evolutionary and ecological processes, especially when
genetically based. Although the importance of MEs is becoming widely appreciated,
we know little about their underlying genetic basis. We address the dearth of
genetic data by providing a simple approach, using combined genotype information
from parents and offspring, to identify "maternal genetic effects" (MGEs)
contributing to natural variation in complex traits. Combined with experimental
cross-fostering, our approach also allows for the separation of pre- and
postnatal MGEs, providing rare insights into prenatal effects. Applying this
approach to an experimental mouse population, we identified 13 ME loci affecting
body weight, most of which (12/13) exhibited prenatal effects, and nearly half
(6/13) exhibiting postnatal effects. MGEs contributed more to variation in body
weight than the direct effects of the offsprings' own genotypes until mice
reached adulthood, but continued to represent a major component of variation
through adulthood. Prenatal effects always contributed more variation than
postnatal effects, especially for those effects that persisted into adulthood.
These results suggest that MGEs may be an important component of genetic
architecture that is generally overlooked in studies focused on direct mapping
from genotype to phenotype. Our approach can be used in both experimental and
natural populations, providing a widely practicable means of expanding our
understanding of MGEs.
PMID- 21890740
TI - Role of testis-specific gene expression in sex-chromosome evolution of Anopheles
gambiae.
AB - Gene expression in Anopheles gambiae shows a deficiency of testis-expressed genes
on the X chromosome associated with an excessive movement of retrogene
duplication. We suggest that the degeneration of sex chromosomes in this
monandrous species is likely the result of pressures from X inactivation, dosage
compensation, and sexual antagonism.
PMID- 21890738
TI - MicroRNA sequence variation potentially contributes to within-species functional
divergence in the nematode Caenorhabditis briggsae.
AB - Mounting evidence points to differences in gene regulation as a major source of
phenotypic variation. MicroRNA-mediated post-transcriptional regulation has
emerged recently as a key factor controlling gene activity during development.
MicroRNA genes are abundant in genomes, acting as managers of gene expression by
directing translational repression. Thus, understanding the role of microRNA
sequence variation within populations is essential for fully dissecting the
origin and maintenance of phenotypic diversity in nature. In this study, we
investigate allelic variation at microRNA loci in the nematode Caenorhabditis
briggsae, a close relative of C. elegans. Phylogeographic structure in C.
briggsae partitions most strains from around the globe into a "temperate" or a
"tropical" clade, with a few strains having divergent, geographically restricted
genotypes. Remarkably, strains that follow this latitudinal dichotomy also differ
in temperature-associated fitness. With this phylogeographic pattern in mind, we
examined polymorphisms in 18 miRNAs in a global sample of C. briggsae isolates
and tested whether newly isolated strains conform to this phylogeography.
Surprisingly, nucleotide diversity is relatively high in this class of gene that
generally experiences strong purifying selection. In particular, we find that
miRNAs in C. briggsae are substantially more polymorphic than in Arabidopsis
thaliana, despite similar background levels of neutral site diversity between the
two species. We find that some mutations suggest functional divergence on the
basis of requirements for target site recognition and computational prediction of
the effects of the polymorphisms on RNA folding. These findings demonstrate the
potential for miRNA polymorphisms to contribute to phenotypic variation within a
species. Sequences were deposited in GenBank under accession nos. JN251323
JN251744.
PMID- 21890741
TI - Rapid GAL gene switch of Saccharomyces cerevisiae depends on nuclear Gal3, not
nucleocytoplasmic trafficking of Gal3 and Gal80.
AB - The yeast transcriptional activator Gal4 localizes to UAS(GAL) sites even in the
absence of galactose but cannot activate transcription due to an association with
the Gal80 protein. By 4 min after galactose addition, Gal4-activated gene
transcription ensues. It is well established that this rapid induction arises
through a galactose-triggered association between the Gal80 and Gal3 proteins
that decreases the association of Gal80 and Gal4. How this happens
mechanistically remains unclear. Strikingly different hypotheses prevail
concerning the possible roles of nucleocytoplasmic distribution and trafficking
of Gal3 and Gal80 and where in the cell the initial Gal3-Gal80 association
occurs. Here we tested two conflicting hypotheses by evaluating the subcellular
distribution and dynamics of Gal3 and Gal80 with reference to induction kinetics.
We determined that the rates of nucleocytoplasmic trafficking for both Gal80 and
Gal3 are slow relative to the rate of induction. We find that depletion of the
nuclear pool of Gal3 slows the induction kinetics. Thus, nuclear Gal3 is critical
for rapid induction. Fluorescence-recovery-after-photobleaching experiments
provided data suggesting that the Gal80-Gal4 complex exhibits kinetic stability
in the absence of galactose. Finally, we detect Gal3 at the UAS(GAL) only if
Gal80 is covalently linked to the DNA-binding domain. Taken altogether, these new
findings lead us to propose that a transient interaction of Gal3 with Gal4
associated Gal80 could explain the rapid response of this system. This notion
could also explain earlier observations.
PMID- 21890742
TI - Epigenetic QTL mapping in Brassica napus.
AB - There is increasing evidence that epigenetic marks such as DNA methylation
contribute to phenotypic variation by regulating gene transcription,
developmental plasticity, and interactions with the environment. However,
relatively little is known about the relationship between the stability and
distribution of DNA methylation within chromosomes and the ability to detect
trait loci. Plant genomes have a distinct range of target sites and more
extensive DNA methylation than animals. We analyzed the stability and
distribution of epialleles within the complex genome of the oilseed crop plant
Brassica napus. For methylation sensitive AFLP (MSAP) and retrotransposon (RT)
epimarkers, we found a high degree of stability, with 90% of mapped markers
retaining their allelic pattern in contrasting environments and developmental
stages. Moreover, for two distinct parental lines 97% of epialleles were
transmitted through five meioses and segregated in a mapping population. For the
first time we have established the genetic position for 17 of the 19 centromeres
within this amphidiploid species. Epiloci and genetic loci were distributed
within distinct clusters, indicating differential detection of recombination
events. This enabled us to identify additional significant QTL associated with
seven important agronomic traits in the centromeric regions of five linkage
groups.
PMID- 21890744
TI - Fitness landscapes: an alternative theory for the dominance of mutation.
AB - Deleterious mutations tend to be recessive. Several theories, notably those of
Fisher (based on selection) and Wright (based on metabolism), have been put
forward to explain this pattern. Despite a long-lasting debate, the matter
remains unresolved. This debate has focused on the average dominance of
mutations. However, we also know very little about the distribution of dominance
coefficients among mutations, and about its variation across environments. In
this article we present a new approach to predicting this distribution. Our
approach is based on a phenotypic fitness landscape model. First, we show that
under a very broad range of conditions (and environments), the average dominance
of mutation of small effects should be approximately one-quarter as long as
adaptation of organisms to their environment can be well described by stabilizing
selection on an arbitrary set of phenotypic traits. Second, the theory allows
predicting the whole distribution of dominance coefficients among mutants.
Because it provides quantitative rather than qualitative predictions, this theory
can be directly compared to data. We found that its prediction on mean dominance
(average dominance close to 0.25) agreed well with the data, based on a meta
analysis of dominance data for mildly deleterious mutations. However, a simple
landscape model does not account for the dominance of mutations of large effects
and we provide possible extension of the theory for this class of mutations.
Because dominance is a central parameter for evolutionary theory, and because
these predictions are quantitative, they set the stage for a wide range of
applications and further empirical tests.
PMID- 21890743
TI - Pervasive recombination and sympatric genome diversification driven by frequency
dependent selection in Borrelia burgdorferi, the Lyme disease bacterium.
AB - How genomic diversity within bacterial populations originates and is maintained
in the presence of frequent recombination is a central problem in understanding
bacterial evolution. Natural populations of Borrelia burgdorferi, the bacterial
agent of Lyme disease, consist of diverse genomic groups co-infecting single
individual vertebrate hosts and tick vectors. To understand mechanisms of
sympatric genome differentiation in B. burgdorferi, we sequenced and compared 23
genomes representing major genomic groups in North America and Europe. Linkage
analysis of >13,500 single-nucleotide polymorphisms revealed pervasive horizontal
DNA exchanges. Although three times more frequent than point mutation,
recombination is localized and weakly affects genome-wide linkage disequilibrium.
We show by computer simulations that, while enhancing population fitness,
recombination constrains neutral and adaptive divergence among sympatric genomes
through periodic selective sweeps. In contrast, simulations of frequency
dependent selection with recombination produced the observed pattern of a large
number of sympatric genomic groups associated with major sequence variations at
the selected locus. We conclude that negative frequency-dependent selection
targeting a small number of surface-antigen loci (ospC in particular)
sufficiently explains the maintenance of sympatric genome diversity in B.
burgdorferi without adaptive divergence. We suggest that pervasive recombination
makes it less likely for local B. burgdorferi genomic groups to achieve host
specialization. B. burgdorferi genomic groups in the northeastern United States
are thus best viewed as constituting a single bacterial species, whose generalist
nature is a key to its rapid spread and human virulence.
PMID- 21890745
TI - Perceptual measurement in schizophrenia: promising electrophysiology and
neuroimaging paradigms from CNTRICS.
AB - The sixth meeting of the Cognitive Neuroscience Treatment Research to Improve
Cognition in Schizophrenia (CNTRICS) focused on selecting promising imaging
paradigms for each of the cognitive constructs selected in the first CNTRICS
meeting. In the domain of perception, the 2 constructs of interest were "gain
control" and "visual integration." CNTRICS received 6 task nominations for
imaging paradigms for gain control and 3 task nominations for integration. The
breakout group for perception evaluated the degree to which each of these tasks
met prespecified criteria. For gain control, the breakout group believed that one
task (mismatch negativity) was already mature and was being incorporated into
multisite clinical trials. The breakout group recommended that 1 visual task
(steady-state visual evoked potentials to magnocellular- vs parvocellular-biased
stimuli) and 2 auditory measures (an event-related potential (ERP) measure of
corollary discharge and a functional magnetic resonance imaging (fMRI) version of
prepulse inhibition of startle) be adapted for use in clinical trials in
schizophrenia research. For visual integration, the breakout group recommended
that fMRI and ERP versions of a contour integration test and an fMRI version of a
coherent motion test be adapted for use in clinical trials. This manuscript
describes the ways in which each of these tasks met the criteria used in the
breakout group to evaluate and recommend tasks for further development.
PMID- 21890746
TI - Effects of ERCC2 Lys751Gln (A35931C) and CCND1 (G870A) polymorphism on outcome of
advanced-stage squamous cell carcinoma of the head and neck are treatment
dependent.
AB - BACKGROUND: Germline variation in DNA damage response may explain variable
treatment outcomes in squamous cell carcinoma of the head and neck (SCCHN). By
grouping patients according to stage and radiation treatment, we compared SCCHN
survival with regard to ERCC2 A35931C (Lys751Gln, rs13181) and CCND1 G870A
(Pro241Pro, rs9344) genotypes. METHODS: In a hospital-based SCCHN case series
(all white, 24.7% female, mean age 58.4 years), this treatment-outcome cohort
study genotyped 275 stage III-IV cases that were initially treated with radiation
(with or without chemotherapy) and 80 stage III-IV and 130 stage I-II cases that
were initially treated without radiation or chemotherapy and used Kaplan-Meier
and Cox regression analyses to compare genotype groups on the basis of overall,
disease-specific, progression-free, and recurrence-free survival rates. RESULTS:
ERCC2 35931 AA predicted worse survival in stage III-IV cases treated with
radiation [multiply-adjusted HR = 1.66, 95% confidence interval (CI), 1.15-2.40;
HR over the first 3 follow-up years = 1.92; 95% CI, 1.28-2.88] and better
survival in stage III-IV cases not treated with radiation (HR = 0.26; 95% CI,
0.11-0.62). Although not associated with survival in stage III-IV cancers treated
with radiation (HR = 1.00; 95% CI, 0.67-1.51), CCND1-870 GG predicted better
survival in stage III-IV cancers not treated with radiation (HR = 0.14; 95% CI,
0.04-0.50). Survival in stage I-II did not depend on ERCC2 A35931C or CCND1 G870A
genotype. CONCLUSIONS: Although promoting tumor progression in untreated
patients, germline differences in DNA-repair or cell-cycle control may improve
treatment outcome in patients treated with DNA-damaging agents. IMPACT: ERCC2
A35931C may help distinguish advanced stage SCCHN with better outcomes from
radiation treatment.
PMID- 21890747
TI - 3,5-bis(2,4-difluorobenzylidene)-4-piperidone, a novel compound that affects
pancreatic cancer growth and angiogenesis.
AB - Dysregulated Notch signaling plays an important role in the progression of
cancer. Notch signaling affects tumor growth and angiogenesis through the actions
of its ligand Jagged-1. In this study, we developed a novel compound 3,5-bis(2,4
difluorobenzylidene)-4-piperidone (DiFiD) and determined that it inhibits cancer
cell growth and its effects on Notch signaling. Intraperitoneal administration of
DiFiD significantly suppressed growth of pancreatic cancer tumor xenografts.
There was a reduction in CD31-positive blood vessels, suggesting that there was
an effect on angiogenesis. In vitro, DiFiD inhibited the proliferation of various
human and mouse pancreatic cancer cells while increasing activated caspase-3.
Cell-cycle analyses showed that DiFiD induced G(2)-M arrest and decreased the
expression of cell-cycle-related proteins cyclin A1 and D1 while upregulating
cyclin-dependent kinase inhibitor p21WAF1. We next determined the mechanism of
action. DiFiD reduced Notch-1 activation, resulting in reduced expression of its
downstream target protein Hes-1. We further determined that the reduced Notch-1
activation was due to reduction in the ligand Jagged-1 and two critical
components of the gamma-secretase enzyme complex presenilin-1 and nicastrin.
Ectopic expression of the Notch intracellular domain rescued the cells from DiFiD
mediated growth suppression. DiFiD-treated tumor xenografts also showed reduced
levels of Jagged-1 and the gamma-secretase complex proteins presenilin-1 and
nicastrin. Taken together, these data suggest that DiFiD is a novel potent
therapeutic agent that can target different aspects of the Notch signaling
pathway to inhibit both tumor growth and angiogenesis.
PMID- 21890748
TI - Subunit stabilization and polyethylene glycolation of cocaine esterase improves
in vivo residence time.
AB - No small-molecule therapeutic is available to treat cocaine addiction, but enzyme
based therapy to accelerate cocaine hydrolysis in serum has gained momentum.
Bacterial cocaine esterase (CocE) is the fastest known native enzyme that
hydrolyzes cocaine. However, its lability at 37 degrees C has limited its
therapeutic potential. Cross-linking subunits through disulfide bridging is
commonly used to stabilize multimeric enzymes. Herein we use structural methods
to guide the introduction of two cysteine residues within dimer interface of CocE
to facilitate intermolecular disulfide bond formation. The disulfide-crosslinked
enzyme displays improved thermostability, particularly when combined with
previously described mutations that enhance stability (T172R-G173Q). The newly
modified enzyme yielded an extremely stable form of CocE (CCRQ-CocE) that
retained greater than 90% of its activity after 41 days at 37 degrees C,
representing an improvement of more than 4700-fold over the wild-type enzyme.
CCRQ-CocE could also be modified by polyethylene glycol (PEG) polymers, which
improved its in vivo residence time from 24 to 72 h, as measured by a cocaine
lethality assay, by self-administration in rodents, and by measurement of
inhibition of cocaine-induced cardiovascular effects in rhesus monkeys. PEG-CCRQ
elicited negligible immune response in rodents. Subunit stabilization and
PEGylation has thus produced a potential protein therapeutic with markedly higher
stability both in vitro and in vivo.
PMID- 21890750
TI - Effectiveness of antibiotic-lock therapy for long-term catheter-related
bacteremia due to Gram-negative bacilli: a prospective observational study.
AB - A prospective observational study evaluated the effectiveness of combining
antibiotic-lock therapy and systemic antibiotics for Gram-negative bacilli long
term catheter-related bacteremia. In 46 uncomplicated episodes, the most
frequently isolated microorganisms were Pseudomonas aeruginosa (15), Enterobacter
cloacae (12), Escherichia coli (10), and Klebsiella spp. (8). Cure was achieved
in 95% of cases.
PMID- 21890752
TI - Plasmodium knowlesi: An Overlooked Italian Discovery?
PMID- 21890751
TI - Viremia copy-years predicts mortality among treatment-naive HIV-infected patients
initiating antiretroviral therapy.
AB - BACKGROUND: Cross-sectional plasma human immunodeficiency virus (HIV) viral load
(VL) measures have proven invaluable for clinical and research purposes. However,
cross-sectional VL measures fail to capture cumulative plasma HIV burden
longitudinally. We evaluated the cumulative effect of exposure to HIV replication
on mortality following initiation of combination antiretroviral therapy (ART).
METHODS: We included treatment-naive HIV-infected patients starting ART from 2000
to 2008 at 8 Center for AIDS Research Network of Integrated Clinical Systems
sites. Viremia copy-years, a time-varying measure of cumulative plasma HIV
exposure, were determined for each patient using the area under the VL curve.
Multivariable Cox models were used to evaluate the independent association of
viremia copy-years for all-cause mortality. RESULTS: Among 2027 patients
contributing 6579 person-years of follow-up, the median viremia copy-years was
5.3 log10 copy * y/mL (interquartile range: 4.9-6.3 log10 copy * y/mL), and 85
patients (4.2%) died. When evaluated separately, viremia copy-years (hazard ratio
[HR] = 1.81 per log10 copy * y/mL; 95% confidence interval [CI], 1.51-2.18 per
log(10) copy * y/mL), 24-week VL (1.74 per log10 copies/mL; 95% CI, 1.48-2.04 per
log10 copies/mL), and most recent VL (HR = 1.89 per log10 copies/mL; 95% CI: 1.63
2.20 per log10 copies/mL) were associated with increased mortality. When
simultaneously evaluating VL measures and controlling for other covariates,
viremia copy-years increased mortality risk (HR = 1.44 per log10 copy * y/mL; 95%
CI, 1.07-1.94 per log10 copy * y/mL), whereas no cross-sectional VL measure was
independently associated with mortality. CONCLUSIONS: Viremia copy-years
predicted all-cause mortality independent of traditional, cross-sectional VL
measures and time-updated CD4+ T-lymphocyte count in ART-treated patients,
suggesting cumulative HIV replication causes harm independent of its effect on
the degree of immunodeficiency.
PMID- 21890753
TI - Lack of sustained improvement in adherence or viral load following a directly
observed antiretroviral therapy intervention.
AB - BACKGROUND: Methadone clinic-based directly observed antiretroviral therapy (DOT)
has been shown to be more efficacious for improving adherence and suppressing
human immunodeficiency virus (HIV) load than antiretroviral self-administration.
We sought to determine whether the beneficial effects of DOT remain after DOT is
discontinued. METHODS: We conducted a post-trial cohort study of 65 HIV-infected
opioid-dependent adults who had completed a 24-week randomized controlled trial
of methadone clinic-based DOT versus treatment as usual (TAU). For 12 months
after DOT discontinuation, we assessed antiretroviral adherence using monthly
pill counts and electronic monitors. We also assessed viral load at 3, 6, and 12
months after DOT ended. We examined differences between DOT and TAU in (1)
adherence, (2) viral load, and (3) proportion of participants with viral load of
<75 copies/mL. RESULTS: At trial end, adherence was higher among DOT participants
than among TAU participants (86% and 54%, respectively; P < .001), and more DOT
participants than TAU participants had viral loads of <75 copies/mL (71% and 44%,
respectively; P = .03). However, after DOT ended, differences in adherence
diminished by 1 month (55% for DOT vs 48% for TAU; P = .33) and extinguished
completely by 3 months (49% for DOT vs 50% for TAU; P = .94). Differences in
viral load between DOT and TAU disappeared by 3 months after the intervention,
and the proportion of DOT participants with undetectable viral load decreased
steadily after DOT was stopped until there was no difference (36% for DOT and 34%
for TAU; P = .92). CONCLUSIONS: Because the benefits of DOT for adherence and
viral load among HIV-infected methadone patients cease after DOT is stopped,
methadone-based DOT should be considered a long-term intervention.
PMID- 21890754
TI - Infections in patients with hematologic neoplasms and hematopoietic stem cell
transplantation: neutropenia, humoral, and splenic defects.
AB - Infections are common in patients with hematologic neoplasms and following
allogeneic hematopoietic transplantation. Neutropenia and defects in adaptive B
cell-mediated immunity and/or lack of splenic function predispose patients to a
host of diverse and often serious infections. It is important to recognize that
patients who undergo treatment for hematologic neoplasms may have mixed immune
defects, and their vulnerability to infection may continue to change, in part as
a reflection of the dynamic developments in the practice of oncology. The main
obstacle in providing targeted, evidence-based antimicrobial treatment is the
unpredictable results of even the new generation of diagnostic assays. A definite
diagnosis for most end-organ opportunistic diseases requires tissue samples that
are seldom available. Because immune defects may coexist, empirical therapy is
directed toward a wide spectrum of pathogens. Real-time information about innate
and adaptive immune functions and the role of acute and chronic phase molecules
may improve target-specific therapy.
PMID- 21890755
TI - How do centres begin the process to prevent contrast-induced acute kidney injury:
a report from a new regional collaborative.
AB - OBJECTIVES: This study evaluates the variation in practice patterns associated
with contrast-induced acute kidney injury (CI-AKI) and identifies clinical
practices that have been associated with a reduction in CI-AKI. Background CI-AKI
is recognised as a complication of invasive cardiovascular procedures and is
associated with cardiovascular events, prolonged hospitalisation, end-stage renal
disease, and all-cause mortality. Reducing the risk of CI-AKI is a patient safety
objective set by the National Quality Forum. METHODS: This study prospectively
collected quantitative and qualitative data from 10 centres, which participate in
the Northern New England Cardiovascular Disease Study Group PCI Registry.
Quantitative data were collected from the PCI Registry. Qualitative data were
obtained through clinical team meetings to map care processes related to CI-AKI
and focus groups to understand attitudes towards CI-AKI prophylaxis. Fixed and
random effects modelling were conducted to test the differences across centres.
RESULTS: Significant variation in rates of CI-AKI were found across 10 medical
centres. Both fixed effects and mixed effects logistic regression demonstrated
significant variability across centres, even after adjustment for baseline
covariates (p<0.001 for both modelling approaches). Patterns were found in
reported processes and clinical leadership that were attributable to centres with
lower rates of CI-AKI. These included reducing nil by mouth (NPO) time to 4 h
prior to case, and standardising volume administration protocols in combination
with administering three to four high doses of N-acetylcysteine (1200 mg) for
each patient. CONCLUSIONS: These data suggest that clinical leadership and
institution-focused efforts to standardise preventive practices can help reduce
the incidence of CI-AKI.
PMID- 21890757
TI - Exploring situational awareness in diagnostic errors in primary care.
AB - OBJECTIVE: Diagnostic errors in primary care are harmful but poorly studied. To
facilitate the understanding of diagnostic errors in real-world primary care
settings that use electronic health records (EHRs), this study explored the use
of the situational awareness (SA) framework from aviation human factors research.
METHODS: A mixed-methods study was conducted involving reviews of EHR data
followed by semi-structured interviews of selected providers from two
institutions in the USA. The study population included 380 consecutive patients
with colorectal and lung cancers diagnosed between February 2008 and January
2009. Using a pre-tested data collection instrument, trained physicians
identified diagnostic errors, defined as lack of timely action on one or more
established indications for diagnostic work-up for lung and colorectal cancers.
Twenty-six providers involved in cases with and without errors were interviewed.
Interviews probed for providers' lack of SA and how this may have influenced the
diagnostic process. RESULTS: Of 254 cases meeting inclusion criteria, errors were
found in 30 of 92 (32.6%) lung cancer cases and 56 of 167 (33.5%) colorectal
cancer cases. Analysis of interviews related to error cases revealed evidence of
lack of one of four levels of SA applicable to primary care practice: information
perception, information comprehension, forecasting future events, and choosing
appropriate action based on the first three levels. In cases without error,
application of the SA framework provided insight into processes involved in
attention management. CONCLUSIONS: A framework of SA can help analyse and
understand diagnostic errors in primary care settings that use EHRs.
PMID- 21890762
TI - Diagnostic accuracy of real-time polymerase chain reaction in detection of
Clostridium difficile in the stool samples of patients with suspected Clostridium
difficile Infection: a meta-analysis.
AB - BACKGROUND: Current detection methods for Clostridium difficile infection (CDI)
can be time-consuming and have variable sensitivities. Real-time polymerase chain
reaction (PCR) may allow earlier and more accurate diagnosis of CDI than other
currently available diagnostic tests. A meta-analysis was performed to determine
the diagnostic accuracy of real-time PCR. METHODS: We searched MEDLINE
(Pubmed/Ovid) and 4 other online electronic databases (1995-2010) to identify
diagnostic accuracy studies that compared PCR with cell culture cytotoxicity
neutralization assay (CCCNA) or anaerobic toxigenic culture (TC) of C. difficile.
Screening for inclusion, data extraction, and quality assessment were carried out
independently by 2 investigators and disagreements resolved. Data were combined
by means of a random-effects model, and summary receiver operating characteristic
curves and diagnostic odds ratios were calculated. RESULTS: Nineteen studies
(7392 samples) met our inclusion criteria. The overall mean sensitivity of PCR
was 90% (95% confidence interval [CI]: 88%-91%), specificity 96% (CI: 96%-97%),
positive likelihood ratio 26.89 (CI: 20.81-34.74), negative likelihood ratio 0.11
(CI: .08-.15), diagnostic odds ratio 278.23 (CI: 213.56-362.50), and area under
the curve 0.98 (CI: .98-.99). Test accuracy depended on the prevalence of C.
difficile but not on the reference test used. At C. difficile prevalence of <10%,
10%-20% and >20% the positive predictive value and the negative predictive value
were 71%, 79%, 93% and 99%, 98% and 96%, respectively. CONCLUSIONS: Real-time PCR
has a high sensitivity and specificity to confirm CDI. Overall diagnostic
accuracy is variable and depends on CDI prevalence.
PMID- 21890763
TI - Liposomal amphotericin B for visceral leishmaniasis in human immunodeficiency
virus-coinfected patients: 2-year treatment outcomes in Bihar, India.
AB - BACKGROUND: Reports on treatment outcomes of visceral leishmaniasis (VL)-human
immunodeficiency virus (HIV) coinfection in India are lacking. To our knowledge,
none have studied the efficacy of liposomal amphotericin B in VL-HIV coinfection.
We report the 2-year treatment outcomes of VL-HIV-coinfected patients treated
with liposomal amphotericin B followed by combination antiretroviral treatment
(cART) in Bihar, India. METHODS: The study included all patients with newly
diagnosed VL-HIV coinfection and initiating treatment with liposomal amphotericin
B (20-25 mg/kg in 4-15 days) between July 2007 and September 2010. Kaplan-Meier
estimates of the cumulative incidence of death/treatment failure were calculated.
RESULTS: Fifty-five patients were included (83.6% male; median age, 35 years; 62%
migrant laborers; median follow-up, 1 year). The median CD4 cell count at VL
diagnosis was 66 cells/MUL (interquartile range, 38-112). Twenty-seven patients
(49.1%) presented with VL relapse of VL. The overall tolerance of liposomal
amphotericin B was excellent, with no interrupted treatment. Survival by 1 and 2
years after VL treatment was estimated at 85.5%. No patients had initial
treatment failure. The probabilities of VL relapse were 0%, 8.1%, and 26.5% at
0.5, 1, and 2 years after VL treatment, respectively; relapse rates were similar
for primary VL and VL relapse. CD4 counts <200 cells/MUL at 6 months after cART
initiation were predictive of subsequent relapse. The mean CD4 cell counts at 6
and 24 months after cART initiation were 187 and 261 cells/MUL, respectively. The
rate for retention in HIV care was 83.6%. CONCLUSIONS: Good long-term survival
and retention rates were obtained for VL-HIV-coinfected patients treated with
liposomal amphotericin B and cART. Although the initial VL treatment response was
excellent, VL relapse within 2 years remained frequent.
PMID- 21890766
TI - Executive summary: the management of community-acquired pneumonia in infants and
children older than 3 months of age: clinical practice guidelines by the
Pediatric Infectious Diseases Society and the Infectious Diseases Society of
America.
AB - Evidenced-based guidelines for management of infants and children with community
acquired pneumonia (CAP) were prepared by an expert panel comprising clinicians
and investigators representing community pediatrics, public health, and the
pediatric specialties of critical care, emergency medicine, hospital medicine,
infectious diseases, pulmonology, and surgery. These guidelines are intended for
use by primary care and subspecialty providers responsible for the management of
otherwise healthy infants and children with CAP in both outpatient and inpatient
settings. Site-of-care management, diagnosis, antimicrobial and adjunctive
surgical therapy, and prevention are discussed. Areas that warrant future
investigations are also highlighted.
PMID- 21890768
TI - Outpatient antibiotic use in the United States: time to "get smarter".
PMID- 21890767
TI - Outpatient antibiotic prescribing and nonsusceptible Streptococcus pneumoniae in
the United States, 1996-2003.
AB - BACKGROUND: Streptococcus pneumoniae infections have become increasingly
complicated and costly to treat with the spread of antibiotic resistance. We
evaluated the relationship between antibiotic prescribing and nonsusceptibility
among invasive pneumococcal disease (IPD) isolates. METHODS: Outpatient
antibiotic prescription data for penicillins, cephalosporins, macrolides, and
trimethoprim-sulfamethoxazole were abstracted from the IMS Health Xponent
database to calculate the annual number of prescriptions per capita. We analyzed
IPD data from 7 of the Centers for Disease Control and Prevention's Active
Bacterial Core surveillance sites (population, 18.6 million) for which data were
available for the entire time period under study (1996-2003). Logistic regression
models were used to assess whether sites with high antibiotic prescribing rates
had a high proportion of nonsusceptible and serotype 19A IPD. RESULTS: Yearly
prescribing rates during the period 1996-2003 for children <5 years of age
decreased by 37%, from 4.23 to 2.68 prescriptions per capita per year (P < .001),
and those for persons >=5 years of age decreased by 42%, from 0.98 to 0.57
prescriptions per capita per year (P < .001); increases in azithromycin
prescribing were noted for both groups. Sites with high rates of antibiotic
prescribing had a higher proportion of IPD nonsusceptibility than did low
prescribing sites (P = .003 for penicillin, P < .001 for every other antibiotic
class). Cephalosporin and macrolide prescribing were associated with penicillin
and multidrug nonsusceptibility and serotype 19A IPD (P < .001). CONCLUSIONS: In
sites where antibiotic prescribing is high, the proportion of nonsusceptible IPD
is also high, suggesting that local prescribing practices contribute to local
resistance patterns. Cephalosporins and macrolides seem to be selecting for
penicillin- and multidrug-resistant pneumococci, as well as serotype 19A IPD.
Antibiotic use is a major factor contributing to the spread of antibiotic
resistance; strategies to reduce antibiotic resistance should continue to include
judicious use of antibiotics.
PMID- 21890769
TI - Antimicrobial use: a risk factor or a protective factor for acquiring
campylobacteriosis?
AB - BACKGROUND: It is well acknowledged that the use of antimicrobial drugs in food
animals leads to antimicrobial drug resistance in foodborne bacteria such as
Campylobacter; however, the role of human antimicrobial usage is much less
investigated. The aim of this study was to quantify the odds of
campylobacteriosis conferred by human consumption of fluoroquinolones and
macrolides. METHODS: We conducted a registry-based retrospective case-control
study on 31 669 laboratory-confirmed cases of campylobacteriosis between 1999 and
2005 in Denmark. Data were obtained from several Danish databases: the National
Registry of Enteric Pathogens, the Danish Civil Registration System, the Danish
National Prescription Database, and the Integrated Database on Labor Market
Research. Odds ratios (OR) for campylobacteriosis were calculated by conditional
logistic regression. RESULTS: The risk of campylobacteriosis was reduced 1 month
after exposure to macrolides (OR, 0.72; 95% confidence interval [CI], 0.56-0.92).
Macrolide exposure 1 month to 2 years before infection was associated with an
increased risk of a Campylobacter diagnosis (OR, 1.5; 95% CI, 1.4-1.6). A history
of fluoroquinolone use was also associated with increased risk (OR, 2.5; 95% CI,
1.8-3.5). This risk was higher for resistant isolates than for susceptible ones.
CONCLUSIONS: Treatment with macrolides may protect against Campylobacter
infection for a limited period of time, possibly due to the antibacterial effects
of the drug or its metabolites. Fluoroquinolone treatment confers increased risk,
probably due to a combination of competitive and selective effects, similar to
what has been observed for nontyphoid Salmonella infection.
PMID- 21890770
TI - Behavior change strategies to influence antimicrobial prescribing in acute care:
a systematic review.
AB - BACKGROUND: Antimicrobial use in acute care is widely reported to be suboptimal.
Inappropriate use of antimicrobials is a major contributing factor to the
emergence of multidrug resistance and health care-associated infection.
Addressing prescribing behavior is a key component of antimicrobial stewardship.
METHODS: We performed a novel systematic review of both qualitative and
quantitative literature on antimicrobial prescribing behavior in acute care. We
assessed the extent to which behavioral sciences and social marketing were used
and whether this could be related to the effectiveness of reported outcomes.
MEDLINE, Excerpta Medica Database (EMBASE), Applied Social Sciences Index and
Abstracts (ASSIA), Business Source Complete, The Cochrane Library, PsychInfo,
Database of Abstracts of Reviews of Effectiveness (DARE) and Health Management
Information Consortium (HMIC) were searched for studies undertaken during the
period January 1999-April 2011 and published in English. RESULTS: Five
qualitative and 5 quantitative studies met the quality criteria. Qualitative
studies highlight the predominant influence of social norms, attitudes, and
beliefs on antimicrobial prescribing behavior. Quantitative studies reporting
interventions to optimize antimicrobial prescribing behavior do not use
theoretical science or primary research to inform the design and choice of the
interventions deployed. CONCLUSIONS: Despite qualitative evidence demonstrating
the impact of behavioral determinants and social norms on prescribing, these
influences are not given due consideration in the design and evaluation of
interventions. To ensure a better understanding of prescribing behaviors and to
improve the quality of interventions and research in this area, the incorporation
and application of behavioral sciences supported by appropriate multidisciplinary
collaboration is recommended.
PMID- 21890771
TI - Massive increase, spread, and exchange of extended spectrum beta-lactamase
encoding genes among intestinal Enterobacteriaceae in hospitalized children with
severe acute malnutrition in Niger.
AB - BACKGROUND: From the time of CTX-M emergence, extended-spectrum beta-lactamase
producing enterobacteria (ESBL-E) have spread worldwide in community settings as
well as in hospitals, particularly in developing countries. Although their
dissemination appears linked to Escherichia coli intestinal carriage, precise
paths of this dynamic are largely unknown. METHODS: Children from a pediatric
renutrition center were prospectively enrolled in a fecal carriage study.
Antibiotic exposure was recorded. ESBL-E strains were isolated using selective
media from fecal samples obtained at admission and, when negative, also at
discharge. ESBL-encoding genes were identified, their environments and plasmids
were characterized, and clonality was assessed with polymerase chain reaction
based methods and pulsed-field gel electrophoresis for E. coli and Klebsiella
pneumoniae. E. coli strains were subjected to multilocus sequence typing.
RESULTS: The ESBL-E carriage rate was 31% at admission in the 55 children
enrolled. All children enrolled received antibiotics during hospitalization.
Among the ESBL-E-negative children, 16 were resampled at discharge, and the
acquisition rate was 94%. The bla(CTX-M-15) gene was found in >90% of the
carriers. Genetic environments and plasmid characterization evidenced the roles
of a worldwide, previously described, multidrug-resistant region and of IncF
plasmids in CTX-M-15 E. coli dissemination. Diversity of CTX-M-15-carrying
genetic structures and clonality of acquired ESBL E. coli suggested horizontal
genetic transfer and underlined the potential of some ST types for nosocomial
cross-transmission. CONCLUSIONS: Cross-transmission and high selective pressure
lead to very high acquisition of ESBL-E carriage, contributing to dissemination
in the community. Strict hygiene measures as well as careful balancing of benefit
risk ratio of current antibiotic policies need to be reevaluated.
PMID- 21890772
TI - Risk factors for surgical site infections following spinal fusion procedures: a
case-control study.
AB - BACKGROUND: Spinal fusion procedures are associated with a significant rate of
surgical site infection (SSI) (1%-12%). The goal of this study was to identify
modifiable risk factors for spinal fusion SSIs at a large tertiary care center.
METHODS: A retrospective, case-control (1:3 ratio) analysis of SSIs following
posterior spine fusion procedures was performed over a 1-year period. Clinical
and surgical data were collected through electronic database and chart review.
Variables were evaluated by univariate analysis and multivariable logistic
regression. RESULTS: In total, 57 deep SSIs were identified out of 1587
procedures (3.6%). Infections were diagnosed a mean of 13.5 +/- 8 days
postprocedure. Staphylococcus aureus was the predominant pathogen (63%); 1/3 of
these isolates were methicillin resistant. Significant patient risk factors for
infection by univariate analysis included ASA score >2 and male gender. Among
surgical variables, infected cases had significantly higher proportions of staged
procedures and thoracic level surgeries and had a greater number of vertebrae
fused. Notably, infected fusion procedures had a longer duration of closed
suction drains than controls (5.1 +/- 2 days vs 3.4 +/- 1 day, respectively; P <
.001). Drain duration (unit odds ratio [OR], 1.6 per day drain present; 95%
confidence interval [CI], 1.3-1.9), body mass index (OR, 1.1; 95% CI, 1.0-1.1),
and male gender (OR, 2.7; 95% CI, 1.4-5.6) were significant risk factors in the
multivariate analysis. CONCLUSIONS: Prolonged duration of closed suction drains
is a strong independent risk factor for SSI following instrumented spinal fusion
procedures. Therefore, removing drains as early as possible may lower infection
rates.
PMID- 21890773
TI - High-dose oral fluconazole therapy effective for cutaneous leishmaniasis due to
Leishmania (Vianna) braziliensis.
AB - We report for the first time the successful use of fluconazole to treat cutaneous
leishmaniasis due to Leishmania braziliensis. We used escalating doses from 5 to
8 mg/kg per day. At a dose of 5 mg/kg per day, 75% patients were cured, and at 8
mg/kg per day, the cure rate was 100%. Fluconazole was well tolerated.
PMID- 21890774
TI - Pervasive pneumatosis in a patient on immunosuppressive agents.
PMID- 21890775
TI - Defining bloodstream infections related to central venous catheters in patients
with cancer: a systematic review.
AB - The objective of this review was to determine whether consistent definitions were
used in published studies of bloodstream infections due to central venous
catheters in patients with cancer (ie, catheter-related or catheter-associated
bloodstream infections). Review of 191 studies reporting catheter-related or
catheter-associated bloodstream infections in patients with cancer revealed a
lack of uniformity in these definitions. We grouped definitions by type, with 39
articles failing to cite or report a definition. Definitions included those of
the Centers for Disease Control and Prevention (n = 39) and the Infectious
Diseases Society of America (n = 18). The criteria included in the definitions in
studies were also tabulated. Clinical manifestations were frequently included.
Definitions used have been highly variable; comparability of risk factors,
incidence, management, and outcomes of such infections is difficult to achieve
across studies. Future research should focus on development of a common
definition of catheter-related and catheter-associated bloodstream infections for
both adults and children with cancer.
PMID- 21890776
TI - Efficacy and safety of once-daily nevirapine- or efavirenz-based antiretroviral
therapy in HIV-associated tuberculosis: a randomized clinical trial.
AB - BACKGROUND: Nevirapine (NVP) can be safely and effectively administered once
daily but has not been assessed in human immunodeficiency virus (HIV)-infected
patients with tuberculosis (TB). We studied the safety and efficacy of once-daily
NVP, compared with efavirenz (EFV; standard therapy); both drugs were
administered in combination with 2 nucleoside reverse-transcriptase inhibitors.
METHODS: An open-label, noninferiority, randomized controlled clinical trial was
conducted at 3 sites in southern India. HIV-infected patients with TB were
treated with a standard short-course anti-TB regimen (2EHRZ(3)/4RH(3); [2 months
of Ethambutol, Isoniazid, Rifampicin, Pyrazinamide / 4 months of Isoniazid and
Rifampicin] thrice weekly) and randomized to receive once-daily EFV at a dose of
600 mg or NVP at a dose of 400 mg (after 14 days of 200 mg administered once
daily) with didanosine 250/400 mg and lamivudine 300 mg after 2 months. Sputum
smears and mycobacterial cultures were performed every month. CD4+ cell count,
viral load, and liver function test results were monitored periodically. Primary
outcome was a composite of death, virological failure, default, or serious
adverse event (SAE) at 24 weeks. Both intent-to-treat and per protocol analyses
were done, and planned interim analyses were performed. RESULTS: A total of 116
patients (75% [87 patients] of whom had pulmonary TB), with a mean age of 36
years, a median CD4+ cell count of 84 cells/mm(3), and a median viral load of 310
000 copies/mL, were randomized. At 24 weeks, 50 of 59 patients in the EFV group
and 37 of 57 patients in the NVP group had virological suppression (P = .024).
There were no deaths, 1 SAE, and 5 treatment failures in the EFV arm, compared
with 5 deaths, 2 SAEs, and 10 treatment failures in the NVP arm. The trial was
halted by the data and safety monitoring board at the second interim analysis.
Favorable TB treatment outcomes were observed in 93% of the patients in the EFV
arm and 84% of the patients in the NVP arm (P = .058). CONCLUSIONS: Compared with
a regimen of didanosine, lamivudine, and EFV, a regimen of once-daily didanosine,
lamivudine, and NVP was inferior and was associated with more frequent virologic
failure and death. Clinical Trials Registration. NCT00332306.
PMID- 21890777
TI - Incarceration predicts virologic failure for HIV-infected injection drug users
receiving antiretroviral therapy.
AB - BACKGROUND: Incarceration may lead to interruptions in antiretroviral therapy
(ART) for persons receiving treatment for human immunodeficiency virus (HIV)
infection. We assessed whether incarceration and subsequent release were
associated with virologic failure for injection drug users (IDUs) who were
previously successfully treated with ART. METHODS: ALIVE is a prospective,
community-based cohort study of IDUs in Baltimore, Maryland. IDUs receiving ART
during 1998-2009 who successfully achieved an HIV RNA level below the limit of
detection (<400 copies/mL) were followed up for development of virologic failure
at the subsequent semiannual study visit. Logistic regression with generalized
estimating equations was used to assess whether incarceration was independently
associated with virologic failure. RESULTS: Of 437 HIV-infected IDUs who achieved
undetectable HIV RNA for at least one study visit, 69% were male, 95% were
African-American, and 40% reported at least one incarceration during follow-up.
Virologic failure occurred at 26.3% of visits after a median of 6 months since
achieving undetectable HIV RNA. In multivariate analysis accounting for
demographic characteristics, drug use, and HIV disease stage, brief incarceration
was strongly associated with virologic failure (adjusted odds ratio, 7.7; 95%
confidence interval, 3.0-19.7), although incarceration lasting >30 days was not
(odds ratio, 1.4; 95% confidence interval, .8-2.6). CONCLUSIONS: Among IDUs
achieving viral suppression while receiving ART, virologic failure occurred with
high frequency and was strongly associated with brief incarceration. Efforts
should be made to ensure continuity of care both during and after incarceration
to improve treatment outcomes and prevent viral resistance in this vulnerable
population.
PMID- 21890778
TI - Deep V3 sequencing for HIV type 1 tropism in treatment-naive patients: a
reanalysis of the MERIT trial of maraviroc.
AB - BACKGROUND: Deep sequencing is a highly sensitive technique that can detect and
quantify the proportion of non-R5 human immunodeficiency virus (HIV) variants,
including small minorities, that may emerge and cause virologic failure in
patients who receive maraviroc-containing regimens. We retrospectively tested the
ability of deep sequencing to predict response to a maraviroc-containing regimen
in the Maraviroc versus Efavirenz in Treatment-Naive Patients (MERIT) trial.
Results were compared with those obtained using the Enhanced Sensitivity Trofile
Assay (ESTA), which is widely used in clinical practice. METHODS: Screening
plasma samples from treatment-naive patients who received maraviroc and efavirenz
in the MERIT trial were assessed. Samples were extracted, and the V3 region of
HIV type 1 glycoprotein 120 was amplified in triplicate and combined in equal
quantities before sequencing on a Roche/454 Genome Sequencer-FLX (n = 859).
Tropism was inferred from third variable (V3) sequences, with samples classified
as non-R5 if >=2% of the viral population scored <=3.5 using geno2pheno. RESULTS:
Deep sequencing distinguished between responders and nonresponders to maraviroc.
Among patients identified as having R5-HIV by deep sequencing, 67% of maraviroc
recipients and 69% of efavirenz recipients had a plasma viral load <50 copies/mL
at week 48, similar to the ESTA results: 68% and 68%, respectively. CONCLUSIONS:
Reanalysis of the MERIT trial using deep V3 loop sequencing indicates that, had
patients originally been screened using this method, the maraviroc arm would have
likely been found to be noninferior to the efavirenz arm.
PMID- 21890780
TI - Prevention of intravascular central venous catheter-related infections: 0.5%
concentration of chlorhexidine preparation with alcohol for skin preparation and
femoral vein for renal replacement therapy.
PMID- 21890783
TI - A comment on Marschall et Al.
PMID- 21890784
TI - Chronic Q fever: relevance of serology.
PMID- 21890785
TI - Clinical outcomes of xeno-free autologous cultivated limbal epithelial
transplantation: a 10-year study.
AB - PURPOSE: Ocular burns can damage the corneal epithelial stem cells located at the
limbus. This study evaluated the efficacy of xeno-free autologous cell-based
treatment of limbal stem cell deficiency. METHODS: This retrospective study
included 200 patients, above 8 years of age, with clinically diagnosed unilateral
total limbal stem cell deficiency due to ocular surface burns treated between
2001 and 2010. A small limbal biopsy was obtained from the unaffected eye. The
limbal epithelial cells were expanded ex vivo on human amniotic membrane for 10
14 days using a xeno-free explant culture system. The resulting cultured
epithelial monolayer and amniotic membrane substrate were transplanted on to the
patient's affected eye. Postoperative corneal surface stability, visual
improvement and complications were objectively analysed. RESULTS: A completely
epithelised, avascular and clinically stable corneal surface was seen in 142 of
200 (71%) eyes at a mean follow-up of 3 +/- 1.6 (range: 1-7.6) years. A two-line
improvement in visual acuity, without further surgical intervention, was seen in
60.5% of eyes. All donor eyes remained healthy. CONCLUSIONS: Autologous
cultivated limbal epithelial transplantation using a xeno-free explant culture
technique was effective in long-term restoration of corneal epithelial stability
and improvement of vision in eyes with ocular surface burns.
PMID- 21890787
TI - Predictive factors of resolved retinal fluid after intravitreal ranibizumab for
polypoidal choroidal vasculopathy.
AB - BACKGROUND/AIMS: To investigate the predictive factors for the resolution of
retinal fluid after intravitreal injections of ranibizumab (IVRs) for polypoidal
choroidal vasculopathy (PCV). METHODS: Forty-seven eyes of 45 patients with
symptomatic PCV received 0.5 mg of IVR monthly for 3 months. One month after the
third IVR, the presence of dry macula, defined as absence of retinal fluid as
detected by the use of optical coherence tomography, was retrospectively
evaluated and correlated with clinical characteristics at baseline. Most of the
eyes were followed for over 6 months. RESULTS: Of the 47 eyes, 31 eyes (66%)
achieved the dry macula along with increased best-corrected visual acuity (BCVA)
(0.64 to 0.46 logarithm of the minimum angle of resolution units, p<0.0001),
while the other 16 eyes without dry macula showed no significant change of BCVA.
Univariate analyses of the baseline characteristics identified the smaller size
of the largest polyp (p=0.0008) and the absence of serous or haemorrhagic pigment
epithelial detachment (p=0.045) as predictive factors for the dry macula.
Multivariate logistic regression found the independent predictor for the dry
macula to be the smaller size of the largest polyp (p=0.001). No severe systemic
or ocular adverse events were observed. CONCLUSIONS: IVR may be helpful for
resolution of retinal fluid and increased BCVA in the short term, but larger
polyps and pigment epithelial detachments at baseline may be negative prognostic
factors for a therapeutic response. Further studies are needed to clarify the
long-term efficacy of IVR for PCV.
PMID- 21890786
TI - The oil spill in ageing Bruch membrane.
AB - Ageing is the largest risk factor for age-related macular degeneration (AMD), and
soft drusen and basal linear deposits are lipid-rich extracellular lesions
specific to AMD. Oil red O binding neutral lipid represents a major age-related
deposition in the Bruch membrane (BrM) and the first identified druse component.
Decades after these seminal observations, a natural history of neutral lipid
deposition has been articulated and a biochemical model proposed. Results
obtained with multiple biochemical, histochemical, and ultrastructural methods,
and supported indirectly by epidemiology, suggest that the RPE secretes
apolipoprotein B (apoB)-lipoprotein particles of unusual composition into BrM,
where they accumulate with age eventually forming a lipid wall, a precursor of
basal linear deposit. The authors propose that constituents of these lesions
interact with reactive oxygen species to form pro-inflammatory peroxidised lipids
that elicit neovascularisation. Here, the authors summarise key evidence
supporting both accumulation of BrM lipoproteins leading to lesion formation and
lipoprotein production by the RPE. The authors update their model with genetic
associations between AMD and genes historically associated with plasma HDL
metabolism, and suggest future directions for research and therapeutic strategies
based on an oil-spill analogy.
PMID- 21890788
TI - Do shapes and dimensions of scleral flap and sclerostomy influence aqueous
outflow in trabeculectomy? A finite element simulation approach.
AB - BACKGROUND/AIM: This study aimed to provide an objective assessment of the
effects on the aqueous outflow rate of various geometries of the scleral flap and
sclerostomy created in trabeculectomy. METHOD: Computer-based models and
simulations of this surgical procedure were used to investigate the relative
effects of various shapes and dimensions of scleral flap and sclerostomy on the
aqueous outflow. RESULT: In these computer simulations, increasing scleral flap
size was found to be associated with an increase of 48.55% in aqueous egress. In
addition, a square scleral flap increased the aqueous drainage by 36.26% compared
with a triangular flap of equivalent flap area. Surprisingly, our simulation
results showed that a smaller semicircular sclerostomy improved aqueous drainage
by up to 33.00%, while a semicircular sclerostomy, compared with a circular
sclerostomy, led to a further 6.16% increase in aqueous outflow. Decreasing flap
thickness beyond half-thickness caused an additional increase in aqueous outflow.
However, clinically the flap should not be thinner than half the thickness of the
sclera as this may result in hypotony. CONCLUSION: These simulations indicate
that the optimal flow rate through operation site will be achieved in
trabeculectomy using a square scleral flap with a large flap-to-sclerostomy
ratio.
PMID- 21890789
TI - Increased risk of schizophrenia from additive interaction between infant motor
developmental delay and obstetric complications: evidence from a population-based
longitudinal study.
AB - OBJECTIVE: Obstetric complications and developmental delay are well-established
risk factors for schizophrenia. The authors investigated whether these risk
factors interact in an additive manner to further increase risk for
schizophrenia. METHOD: The study population encompassed all individuals born in
Helsinki between 1962 and 1969 who had developmental records archived in the
Helsinki City Archives. Through linkage between the Finnish Population Register,
the Finnish Hospital Discharge Register, and the Child Health Archives, child
health cards were traced for 189 individuals who had received a diagnosis of
schizophrenia and 189 healthy comparison subjects, individually matched to case
subjects on gender and year of birth. Child health cards from the Child Health
Archives contain detailed prospective developmental data from birth as well as an
indicator of fetal distress, as measured by the Apgar score. Detailed
developmental data from the first year of life were extracted. RESULTS: Delayed
attainment of milestones in infancy significantly increased the risk of later
development of schizophrenia in a dose-response manner. There was no significant
main effect of obstetric complications on risk for schizophrenia and no
significant association between obstetric complications and subsequent
developmental delay. However, the additive effect of obstetric complications and
delayed attainment of developmental milestones significantly increased the risk
of schizophrenia beyond that associated with each factor independently (odds
ratio=4.6, 95% confidence interval=1.3-17.2). CONCLUSIONS: These data provide
evidence that underlying neurodevelopmental vulnerability, as indexed by delayed
attainment of milestones, combined with obstetric adversity significantly
increases the risk of schizophrenia in adulthood.
PMID- 21890790
TI - Allelic differences between Han Chinese and Europeans for functional variants in
ZNF804A and their association with schizophrenia.
AB - OBJECTIVE: ZNF804A is a schizophrenia risk gene that was recently identified by
genome-wide association studies as well as subsequent replications. Although the
results are consistent among studies in European populations, there have been
conflicting reports in Chinese populations. The authors conducted both
association and functional analyses to test whether ZNF804A is a risk gene for
schizophrenia in Chinese populations. METHOD: The authors recruited two case
control samples of independent Han Chinese (a total of 2,207 participants) from
southwestern China. A total of six single-nucleotide polymorphisms (SNPs),
including the key SNP (rs1344706) that showed significant association with
schizophrenia in European populations and the other five promoter SNPs of
ZNF804A, were tested. Based on the results of the association analysis, the
authors performed two functional assays to test the impact of the risk SNP on
transcriptional factor binding affinity and promoter activity. RESULTS: The SNP
rs1344706 was not associated with schizophrenia in either of the two Han Chinese
groups, and this result was confirmed by meta-analyses in five Han Chinese
samples. However, the authors identified two ZNF804A promoter SNPs that were
significantly associated with schizophrenia in both samples, and the significance
was strengthened in the combined samples and further supported by haplotype
analysis. The functional assays demonstrated that the risk SNP (rs359895) can
influence Sp1 binding affinity, resulting in a higher promoter activity of the
risk allele. CONCLUSIONS: Our results suggest that ZNF804A is a common risk gene
for schizophrenia in world populations and that the newly identified functional
SNP (rs359895) is likely a risk SNP for schizophrenia.
PMID- 21890791
TI - A critical review of the first 10 years of candidate gene-by-environment
interaction research in psychiatry.
AB - OBJECTIVE: Gene-by-environment interaction (G*E) studies in psychiatry have
typically been conducted using a candidate G*E (cG*E) approach, analogous to the
candidate gene association approach used to test genetic main effects. Such cG*E
research has received widespread attention and acclaim, yet cG*E findings remain
controversial. The authors examined whether the many positive cG*E findings
reported in the psychiatric literature were robust or if, in aggregate, cG*E
findings were consistent with the existence of publication bias, low statistical
power, and a high false discovery rate. METHOD: The authors conducted analyses on
data extracted from all published studies (103 studies) from the first decade
(2000-2009) of cG*E research in psychiatry. RESULTS: Ninety-six percent of novel
cG*E studies were significant compared with 27% of replication attempts. These
findings are consistent with the existence of publication bias among novel cG*E
studies, making cG*E hypotheses appear more robust than they actually are. There
also appears to be publication bias among replication attempts because positive
replication attempts had smaller average sample sizes than negative ones. Power
calculations using observed sample sizes suggest that cG*E studies are
underpowered. Low power along with the likely low prior probability of a given
cG*E hypothesis being true suggests that most or even all positive cG*E findings
represent type I errors. CONCLUSIONS: In this new era of big data and small
effects, a recalibration of views about groundbreaking findings is necessary.
Well-powered direct replications deserve more attention than novel cG*E findings
and indirect replications.
PMID- 21890792
TI - Behavioral health insurance parity: does Oregon's experience presage the national
experience with the Mental Health Parity and Addiction Equity Act?
AB - OBJECTIVE: The Mental Health Parity and Addiction Equity Act of 2008 prohibits
commercial group health plans from imposing spending and visit limitations for
mental health and substance abuse services that are not imposed on medical
surgical services. The act also restricts the use of managed care tools that
apply to behavioral health benefits in ways that differ from how they apply to
medical-surgical benefits. The only precedent for this approach is Oregon's state
parity law, which was implemented in 2007. The goal of this study was to estimate
the effect of Oregon's parity law on expenditures for mental health and substance
abuse treatment services. METHOD: The authors compared expenditures for
commercially insured individuals in four Oregon health plans from 2005 through
2008 and a matched group of commercially insured individuals in Oregon who were
exempt from parity. Using a difference-in-differences analysis, the authors
analyzed the effect of comprehensive parity on spending for mental health and
substance abuse services. RESULTS: Increases in spending on mental health and
substance abuse services after implementation of Oregon's parity law were almost
entirely the result of a general trend observed among individuals with and
without parity. Expenditures per enrollee for mental health and substance abuse
services attributable to parity were positive, but they did not differ
significantly from zero in any of the four plans. CONCLUSIONS: Behavioral health
insurance parity rules that place restrictions on how plans manage mental health
and substance abuse services can improve insurance protections without
substantial increases in total costs.
PMID- 21890794
TI - Roles of the placenta in fetal brain development.
PMID- 21890797
TI - The highs and lows of counting autism.
PMID- 21890793
TI - Blood pressure and heart rate over 10 years in the multimodal treatment study of
children with ADHD.
AB - OBJECTIVE: It is unknown whether prolonged childhood exposure to stimulant
medication for the treatment of attention deficit hyperactivity disorder (ADHD)
increases the risk for developing abnormalities in blood pressure or heart rate.
The authors examined the association between stimulant medication and blood
pressure and heart rate over 10 years. METHOD: A total of 579 children, ages 7-9,
were randomly assigned to 14 months of medication treatment, behavioral therapy,
the combination of the two, or usual community treatment. The controlled trial
was followed by naturalistic treatment with periodic assessments. Blood pressure
and heart rate data were first analyzed with linear regression models based on an
intent-to-treat approach, using raw data and the blood pressure categories of
prehypertension and hypertension. Currently medicated patients were then compared
with never or previously medicated patients. Associations between cumulative
stimulant exposure and blood pressure or heart rate were assessed. RESULTS: No
treatment effect on either systolic or diastolic blood pressure could be
detected. Children who were treated with stimulants had a higher heart rate
(mean=84.2 bpm [SD=12.4] on medication alone and mean=84.6 bpm [SD=12.2] on
medication plus behavioral therapy) than those who were treated with behavioral
therapy alone (mean=79.1 bpm [SD=12.0]) or those who received usual community
treatment (mean=78.9 bpm [SD=12.9]) at the end of the 14-month controlled trial,
but not thereafter. Stimulant medication did not increase the risk for
tachycardia, but greater cumulative stimulant exposure was associated with a
higher heart rate at years 3 and 8. CONCLUSIONS: Stimulant treatment did not
increase the risk for prehypertension or hypertension over the 10-year period of
observation. However, stimulants had a persistent adrenergic effect on heart rate
during treatment.
PMID- 21890796
TI - Highly variable recessive lethal or nearly lethal mutation rates during germ-line
development of male Drosophila melanogaster.
AB - Each cell of higher organism adults is derived from a fertilized egg through a
series of divisions, during which mutations can occur. Both the rate and timing
of mutations can have profound impacts on both the individual and the population,
because mutations that occur at early cell divisions will affect more tissues and
are more likely to be transferred to the next generation. Using large-scale
multigeneration screening experiments for recessive lethal or nearly lethal
mutations of Drosophila melanogaster and recently developed statistical analysis,
we show for male D. melanogaster that (i) mutation rates (for recessive lethal or
nearly lethal) are highly variable during germ cell development; (ii) first cell
cleavage has the highest mutation rate, which drops substantially in the second
cleavage or the next few cleavages; (iii) the intermediate stages, after a few
cleavages to right before spermatogenesis, have at least an order of magnitude
smaller mutation rate; and (iv) spermatogenesis also harbors a fairly high
mutation rate. Because germ-line lineage shares some (early) cell divisions with
somatic cell lineage, the first conclusion is readily extended to a somatic cell
lineage. It is conceivable that the first conclusion is true for most (if not
all) higher organisms, whereas the other three conclusions are widely applicable,
although the extent may differ from species to species. Therefore, conclusions or
analyses that are based on equal mutation rates during development should be
taken with caution. Furthermore, the statistical approach developed can be
adopted for studying other organisms, including the human germ-line or somatic
mutational patterns.
PMID- 21890798
TI - Depression, smoking, and heart disease: how can psychiatrists be effective?
PMID- 21890799
TI - Molecular etiologies of schizophrenia: are we almost there yet?
PMID- 21890800
TI - Switching antipsychotic medications: not enough, too often, or just right?
PMID- 21890801
TI - Imaging a brighter future.
PMID- 21890802
TI - Palliative psychotherapy.
PMID- 21890803
TI - A suicidal adult in crisis: an unexpected diagnosis of autism spectrum disorder.
PMID- 21890804
TI - An early Greek portrayal of a mad mind.
PMID- 21890805
TI - Succinylcholine shortage and electroconvulsive therapy.
PMID- 21890806
TI - Do all relapses in schizophrenia warrant resumption of medication?
PMID- 21890807
TI - Determination of premenstrual symptom exacerbations.
PMID- 21890808
TI - Safety of antipsychotics in the setting of QTc prolongation: the utility of the
JT index.
PMID- 21890809
TI - Medicine as combining natural and human science.
AB - Medicine is unique in being a combination of natural science and human science in
which both are essential. Therefore, in order to make sense of medical practice,
we need to begin by drawing a clear distinction between the natural and the human
sciences. In this paper, I try to bring the old distinction between the Geistes
and Naturwissenschaften up to date by defending the essential difference between
a realist explanatory theoretical study of nature including the body in which the
scientist discovers the causal properties of natural kinds and the interpretive
understanding of human beings as embodied agents which, as Charles Taylor has
convincingly argued, requires a hermeneutic account of self-interpreting human
practices.
PMID- 21890810
TI - The relationships of perpetrator and victim substance use to the sexual
aggression of rapists and child molesters.
AB - OBJECTIVE: This study investigated substance use in rape and child molestation.
We hypothesized that perpetrator substance use would be associated with a greater
increase in rapists' aggression in sexual crimes compared with that of child
molesters. We also predicted that victim substance use would be negatively
related to both rapists and child molesters' aggression in sexual crimes. METHOD:
The sample included 245 male rapists and 273 male child molesters who had been
evaluated at the Massachusetts Treatment Center (MTC) between 1959 and 1991 for
potential civil commitment. Data were obtained from offenders' archival records
and were coded by trained research assistants on perpetrator and victim substance
use and perpetrator aggression in sexual crimes. RESULTS: Analyses showed that
the magnitude of the positive association between perpetrator alcohol use and
aggression in sexual crimes did not differ between rapists and child molesters.
In contrast, perpetrator drug use was associated with increased aggression among
child molesters only. Victim substance use was related to increased aggression
among rapists only. CONCLUSIONS: The results indicate that victim substance use
and perpetrator drug use, but not perpetrator alcohol use, are differentially
related to the aggression of rapists and child molesters in sexual crimes. Those
findings imply that substance use may play different roles depending on offender
type.
PMID- 21890811
TI - Cell surface proteomics identifies glucose transporter type 1 and prion protein
as candidate biomarkers for colorectal adenoma-to-carcinoma progression.
AB - BACKGROUND AND OBJECTIVE: Early detection of colon adenomas at high risk of
progression and early-stage colorectal cancer (CRC) is an effective approach to
reduce CRC death rates. Current screening methods lack specificity as they detect
many adenomas that will never progress to CRC. The authors aimed to identify cell
surface protein biomarkers with extracellular domains that could be targeted for
molecular imaging and discriminate low-risk adenomas and normal colon from high
risk adenomas and CRC. DESIGN: Cell surface proteins of five CRC cell lines were
biotinylated, isolated and analysed by in-depth proteomics using gel
electrophoresis and nanoliquid chromatography coupled to tandem mass
spectrometry. Differential expression in adenomas and CRCs was based on mRNA
expression and verified by immunohistochemical staining of tissue microarrays.
RESULTS: In total, 2609 proteins were identified in the cell surface fractions.
Of these, 44 proteins were selected as promising cell surface candidate
biomarkers for adenoma-to-carcinoma progression based on the following criteria:
protein identification in at least four out of five cell lines, a predicted
(trans)membrane location and increased mRNA expression in CRCs compared to
adenomas. Increased protein expression in high-risk adenomas and CRCs compared to
low-risk adenomas was confirmed by immunohistochemistry for glucose transporter
type 1 (gene symbol SLC2A1; p<0.00001) and prion protein (gene symbol PRNP;
p<0.005). CONCLUSION: This study revealed glucose transporter type 1, prion
protein and 42 other cell surface candidate biomarkers for adenoma-to-carcinoma
progression that could potentially serve as targets for emerging molecular
imaging modalities like optical imaging, 19F-MRI and positron emission
tomography.
PMID- 21890812
TI - Intestinal permeability in coeliac disease: insight into mechanisms and relevance
to pathogenesis.
AB - Coeliac disease is a gut disease driven by an abnormal immune response towards
dietary gluten in genetically susceptible individuals. Whether and, if so, how
abnormal transport of gluten across the gut epithelium may participate in the
pathogenesis of coeliac disease remains debatable. This paper summarises the
interactions of gluten-derived peptides with the intestinal epithelium and
discusses the mechanisms that control their transport across the epithelium. It
shows how recent data point to a key role for the transcellular pathway and
highlights the 'Trojan horse' role of secretory IgA which can hijack the
transferrin receptor and allow the rapid translocation of intact gluten peptides
into the mucosa. These recent findings might be useful for the design of new
treatments.
PMID- 21890813
TI - Constipation and recurrent abdominal distension in a 39-year-old woman with
irritable bowel syndrome.
PMID- 21890815
TI - Gastrointestinal and liver diseases: genetic and epigenetic markers.
PMID- 21890814
TI - Prevention by daily soluble aspirin of colorectal adenoma recurrence: 4-year
results of the APACC randomised trial.
AB - BACKGROUND: Aspirin inhibits colorectal carcinogenesis. In a randomised double
blind placebo-controlled trial, daily soluble aspirin significantly reduced
recurrence of colorectal adenomas at 1-year follow-up. In this study the results
of daily intake of low-dose aspirin on polyp recurrence at 4-year follow-up are
presented. METHODS: 272 patients (naive for chronic aspirin use) with colorectal
adenomas were randomly assigned to treatment with lysine acetylsalicylate 160
mg/day (n=73) or 300 mg/day (n=67) or placebo (n=132) for 4 years. The primary
endpoints were adenoma recurrence and adenomatous polyp burden at year 4,
comparing aspirin at either dose with placebo. The same endpoints were also
assessed at year 1 or 4 (last colonoscopy performed for each patient). RESULTS:
At the final year 4 colonoscopy the analysis included 185 patients (55 receiving
aspirin 160 mg/day, 47 aspirin 300 mg/day and 83 placebo). There was no
difference in the proportion of patients with at least one recurrent adenoma
between patients receiving aspirin at either dose and those treated with placebo
(42/102 (41%) vs 33/83 (40%); NS) or in the adenomatous polyp burden (3.1 +/- 5.8
mm vs 3.4 +/- 6.2 mm; NS). Also, the proportion of patients with at least one
advanced recurrent adenoma did not differ (10/102 [corrected] (10%) in the
aspirin group vs 7/83 (8.4%) [corrected] in the placebo group; NS). CONCLUSION:
Daily low-dose aspirin decreased adenoma recurrence significantly at 1 year but
not at year 4. This discrepancy might be explained by a differential effect of
aspirin according to the natural history of the polyp. TRIAL REGISTRATION NUMBER:
NCT 00224679.
PMID- 21890816
TI - Long-term clinical outcome of gastric MALT lymphoma after eradication of
Helicobacter pylori: a multicentre cohort follow-up study of 420 patients in
Japan.
AB - OBJECTIVE: A multicentre cohort follow-up study of a large number of patients
with gastric mucosa-associated lymphoid tissue (MALT) lymphoma was conducted to
elucidate the long-term outcome of the disease after Helicobacter pylori
eradication. METHODS: 420 patients with gastric low-grade MALT lymphoma who had
undergone successful H pylori eradication and been followed up for at least 3
years were registered from 21 participating institutes. Responders to treatment
were defined as patients whose post-treatment biopsies showed complete
histological response (ChR) or probable minimal residual disease (pMRD).
Treatment failure was defined as the status of progressive disease or lymphoma
relapse after ChR/pMRD. RESULTS: 323 patients (77%) responded to H pylori
eradication. A logistic regression analysis showed that absence of H pylori,
submucosal invasion determined by endoscopic ultrasonography and t(11;18)/API2
MALT1 were independent predictors of resistance to H pylori eradication. During
the follow-up periods ranging from 3.0 to 14.6 years (mean 6.5 years, median 6.04
years), the disease relapsed in 10 of 323 responders (3.1%) while progressive
disease was found in 27 of 97 non-responders (27%). Thus, 37 of 420 patients
(8.8%) were regarded as treatment failures. Of these 37 patients, transformation
into diffuse large B cell lymphoma occurred in nine patients. Among the non
responders and relapsed patients, 17 patients were subjected to a 'watch and
wait' strategy while 90 patients underwent second-line treatments including
radiotherapy (n=49), chemotherapy (n=26), surgical resection (n=6),
chemoradiotherapy (n=5), antibiotic treatment (n=2), rituximab monotherapy (n=1)
or endoscopic resection (n=1). Probabilities of freedom from treatment failure,
overall survival and event-free survival after 10 years were 90%, 95% and 86%,
respectively. Cox multivariate analysis revealed endoscopic non-superficial type
to be an independent prognostic factor for adverse freedom from treatment
failure, overall survival and event-free survival. CONCLUSIONS: The excellent
long-term outcome of gastric MALT lymphoma after H pylori eradication was
confirmed by this large-scale follow-up study.
PMID- 21890817
TI - Zap1 control of cell-cell signaling in Candida albicans biofilms.
AB - Biofilms of Candida albicans include both yeast cells and hyphae. Prior studies
indicated that a zap1Delta/Delta mutant, defective in zinc regulator Zap1, has
increased accumulation of yeast cells in biofilms. This altered yeast-hypha
balance may arise from internal regulatory alterations or from an effect on the
production of diffusible quorum-sensing (QS) molecules. Here, we develop
biosensor reporter strains that express yeast-specific YWP1-RFP or hypha-specific
HWP1-RFP, along with a constitutive TDH3-GFP normalization standard. Seeding
these biosensor strains into biofilms allows a biological activity assay of the
surrounding biofilm milieu. A zap1Delta/Delta biofilm induces the yeast-specific
YWP1-RFP reporter in a wild-type biosensor strain, as determined by both
quantitative reverse transcription-PCR (qRT-PCR) gene expression measurements and
confocal microscopy. Remediation of the zap1Delta/Delta zinc uptake defect
through zinc transporter gene ZRT2 overexpression reverses induction of the yeast
specific YWP1-RFP reporter. Gas chromatography-mass spectrometry (GC-MS)
measurements of known organic QS molecules show that the zap1Delta/Delta mutant
accumulates significantly less farnesol than wild-type or complemented strains
and that ZRT2 overexpression does not affect farnesol accumulation. Farnesol is a
well-characterized inhibitor of hypha formation; hence, a reduction in farnesol
levels in zap1Delta/Delta biofilms is unexpected. Our findings argue that a Zap1-
and zinc-dependent signal affects the yeast-hypha balance and that it is
operative in the low-farnesol environment of the zap1Delta/Delta biofilm. In
addition, our results indicate that Zap1 is a positive regulator of farnesol
accumulation.
PMID- 21890818
TI - RAS/cyclic AMP and transcription factor Msn2 regulate mating and mating-type
switching in the yeast Kluyveromyces lactis.
AB - In response to harsh environmental conditions, ascomycetes produce stress
resistant spores to promote survival. As sporulation requires a diploid DNA
content, species with a haploid lifestyle, such as Kluyveromyces lactis, first
induce mating in response to stress. In K. lactis, mating and mating-type
switching are induced by the DNA-binding protein Mts1. Mts1 expression is known
to be upregulated by nutrient limitation, but the mechanism is unknown. We show
that a ras2 mutation results in a hyperswitching phenotype. In contrast, strains
lacking the phosphodiesterase Pde2 had lower switching rates compared to that of
the wild type (WT). As Ras2 promotes cyclic AMP (cAMP) production and Pde2
degrades cAMP, these data suggest that low cAMP levels induce switching. Because
the MTS1 regulatory region contains several Msn2 binding sites and Msn2 is a
transcription factor that is activated by low cAMP levels, we investigated if
Msn2 regulates MTS1 transcription. Consistently with this idea, an msn2 mutant
strain displayed lower switching rates than the WT strain. The transcription of
MTS1 is highly induced in the ras2 mutant strain. In contrast, an msn2 ras2
double mutant strain displays WT levels of the MTS1 transcript, showing that Msn2
is a critical inducer of MTS1 transcription. Strains lacking Msn2 and Pde2 also
exhibit mating defects that can be complemented by the ectopic expression of
Mts1. Finally, we show that MTS1 is subjected to negative autoregulation,
presumably adding robustness to the mating and switching responses. We suggest a
model in which Ras2/cAMP/Msn2 mediates the stress-induced mating and mating-type
switching responses in K. lactis.
PMID- 21890819
TI - Protein phosphatase Pph3 and its regulatory subunit Psy2 regulate Rad53
dephosphorylation and cell morphogenesis during recovery from DNA damage in
Candida albicans.
AB - The ability of the pathogenic fungus Candida albicans to switch cellular
morphologies is important for infection and virulence. Recent studies have
revealed that C. albicans yeast cells can switch to filamentous growth under
genotoxic stress in a manner dependent on the DNA replication/damage checkpoint.
Here, we have investigated the functions of Pph3 (orf19.4378) and Psy2
(orf19.3685), whose orthologues in Saccharomyces cerevisiae mediate the
dephosphorylation of the DNA damage checkpoint kinase Rad53 and the histone
variant H2AX during recovery from DNA damage. Deleting PPH3 or PSY2 causes
hypersensitivity to DNA-damaging agents, including cisplatin, methylmethane
sulfonate (MMS), and UV light. In addition, pph3Delta and psy2Delta cells exhibit
strong filamentous growth under genotoxic stress. Flow cytometry analysis shows
that the mutant cells have lost the ability to adapt to genotoxic stress and
remain arrested even after the stress is withdrawn. Furthermore, we show that
Pph3 and Psy2 are required for the dephosphorylation of Rad53, but not H2AX,
during DNA damage recovery. Taken together, these results show that C. albicans
Pph3 and Psy2 have important roles in mediating genotoxin-induced filamentous
growth and regulating Rad53 dephosphorylation.
PMID- 21890820
TI - Expression of biomass-degrading enzymes is a major event during conidium
development in Trichoderma reesei.
AB - The conidium plays a critical role in the life cycle of many filamentous fungi,
being the primary means for survival under unfavorable conditions. To investigate
the transcriptional changes taking place during the transition from growing
hyphae to conidia in Trichoderma reesei, microarray experiments were performed. A
total of 900 distinct genes were classified as differentially expressed, relative
to their expression at time zero of conidiation, at least at one of the time
points analyzed. The main functional categories (FunCat) overrepresented among
the upregulated genes were those involving solute transport, metabolism,
transcriptional regulation, secondary metabolite synthesis, lipases, proteases,
and, particularly, cellulases and hemicellulases. Categories overrepresented
among the downregulated genes were especially those associated with ribosomal and
mitochondrial functions. The upregulation of cellulase and hemicellulase genes
was dependent on the function of the positive transcriptional regulator XYR1, but
XYR1 exerted no influence on conidiation itself. At least 20% of the
significantly regulated genes were nonrandomly distributed within the T. reesei
genome, suggesting an epigenetic component in the regulation of conidiation. The
significant upregulation of cellulases and hemicellulases during this process,
and thus cellulase and hemicellulase content in the spores of T. reesei,
contributes to the hypothesis that the ability to hydrolyze plant biomass is a
major trait of this fungus enabling it to break dormancy and reinitiate
vegetative growth after a period of facing unfavorable conditions.
PMID- 21890821
TI - Evolutionary dynamics of Candida albicans during in vitro evolution.
AB - While mechanisms of resistance to major antifungal agents have been characterized
in Candida albicans, little is known about the evolutionary trajectories during
the emergence of drug resistance. Here, we examined the evolutionary dynamics of
C. albicans that evolved in vitro in the presence or absence of fluconazole using
the visualizing evolution in real-time (VERT) method, a novel experimental
approach that facilitates the systematic isolation of adaptive mutants that arise
in the population. We found an increase in the frequency of adaptive events in
the presence of fluconazole compared to the no-drug controls. Analysis of the
evolutionary dynamics revealed that mutations that led to increased drug
resistance appeared frequently and that mutants with increased levels of
resistance arose in independent lineages. Interestingly, most adaptive mutants
with increased fitness in the presence of the drug did not exhibit a significant
fitness decrease in the absence of the drug, supporting the idea that rapid
resistance can arise from mutations in strains maintained in the population prior
to exposure to the drug.
PMID- 21890824
TI - Risk factors for childhood mental health symptoms: national longitudinal study of
Australian children.
AB - OBJECTIVE: To determine predictors of child externalizing (behavioral) and
internalizing (emotional) symptoms in a national population sample. METHODS: Data
were collected in 3 biennial waves (2004, 2006, and 2008) from 2 cohorts in the
Longitudinal Study of Australian Children, initially including 5107 children 0 to
1 year of age and 4983 children 4 to 5 years of age. The primary outcomes were
child externalizing and internalizing symptoms. Relationships between potential
risk factors and child mental health outcomes were described by using linear
regression. RESULTS: In unadjusted analyses, children's mental health symptoms
were predicted by a large number of risk factors. In multivariate models, early
childhood factors (birth through 5 years) explained 30% and 18% of variations in
externalizing and internalizing symptoms, respectively, at 4 to 5 years of age.
Middle childhood (5-9 years of age) factors explained 20% and 23% of variations
in externalizing and internalizing symptoms, respectively, at 8 to 9 years of
age. Harsh discipline was a strong consistent predictor of externalizing symptoms
in both age groups, whereas poorer child physical health, maternal emotional
distress, harsh discipline, and overinvolved/protective parenting (younger cohort
only) predicted internalizing symptoms consistently. CONCLUSIONS: National data
on predictors of child mental health symptoms highlighted a small number of
significant risk factors, situated in the family context and present from a very
young age. This knowledge is informing population-level, randomized, prevention
trials of family support programs.
PMID- 21890823
TI - Age-specific differences in outcomes after out-of-hospital cardiac arrests.
AB - OBJECTIVE: We assessed out-of-hospital cardiac arrests (OHCAs) for various
pediatric age groups. METHODS: This prospective, population-based, observational
study included all emergency medical service-treated OHCAs in Osaka, Japan,
between 1999 and 2006 (excluding 2004). Patients were grouped as adults (>17
years), infants (<1 year), younger children (1-4 years), older children (5-12
years), and adolescents (13-17 years). The primary outcome measure was 1-month
survival with favorable neurologic outcome. RESULTS: Of 950 pediatric OHCAs,
resuscitations were attempted for 875 patients (92%; 347 infants, 203 younger
children, 135 older children, and 190 adolescents). The overall incidence of
nontraumatic pediatric OHCAs was 7.3 cases per 100 000 person-years, compared
with 64.7 cases per 100 000 person-years for adults and 65.5 cases per 100 000
person-years for infants. Most infant OHCAs occurred in homes (93%) and were not
witnessed (90%). Adolescent OHCAs often occurred outside the home (45%), were
witnessed by bystanders (37%), and had shockable rhythms (18%). One-month
survival was more common after nontraumatic pediatric OHCAs than adult OHCAs (8%
[56 of 740 patients] vs 5% [1677 of 33 091 patients]; adjusted odds ratio: 2.26
[95% confidence interval: 1.63-3.13]). One-month survival with favorable
neurologic outcome was more common among children than adults (3% [21 of 740
patients] vs 2% [648 of 33 091 patients]; adjusted odds ratio: 2.46 [95%
confidence interval: 1.45-4.18]). Rates of 1-month survival with favorable
neurologic outcome were 1% for infants, 2% for younger children, 2% for older
children, and 11% for adolescents. CONCLUSION: Survival and favorable neurologic
outcome at 1 month were more common after pediatric OHCAs than adult OHCAs.
PMID- 21890825
TI - A brief sleep intervention improves outcomes in the school entry year: a
randomized controlled trial.
AB - OBJECTIVE: To determine the feasibility of screening for child sleep problems and
the efficacy of a behavioral sleep intervention in improving child and parent
outcomes in the first year of schooling. METHODS: A randomized controlled trial
was nested in a population survey performed at 22 elementary schools in
Melbourne, Australia. Intervention involved 2 to 3 consultations that covered
behavioral sleep strategies for children whose screening results were positive
for a moderate/severe sleep problem. Outcomes were parent-reported child sleep
problem (primary outcome), sleep habits, psychosocial health-related quality of
life, behavior, and parent mental health (all at 3, 6, and 12 months) and
blinded, face-to-face learning assessment (at 6 months). RESULTS: The screening
survey was completed by 1512 parents; 161 (10.8%) reported a moderate/severe
child sleep problem, and 108 of 136 (79.2% of those eligible) entered the trial.
Sleep problems tended to resolve more rapidly in intervention children. Sleep
problems affected 33% of 54 intervention children versus 43% of 54 control
children at 3 months (P = .3), 25.5% vs 46.8% at 6 months (P = .03), and 32% vs
33% at 12 months (P = .8). Sustained sleep-habit improvements were evident at 3,
6, and 12 months (effect sizes: 0.33 [P = .03]; 0.51 [P = .003]; and 0.40 [P =
.02]; respectively), and there were initial marked improvements in psychosocial
scores that diminished over time (effect sizes: 0.47 [P = .02]; 0.41 [P = .09];
and 0.26 [P = .3]; respectively). Better prosocial behavior was evident at 12
months (effect size: 0.35; P = .03), and learning and parent outcomes were
similar between groups. CONCLUSIONS: School-based screening for sleep problems
followed by a targeted, brief behavioral sleep intervention is feasible and has
benefits relevant to school transition.
PMID- 21890822
TI - A NOTCH3-mediated squamous cell differentiation program limits expansion of EMT
competent cells that express the ZEB transcription factors.
AB - Zinc finger E-box-binding (ZEB) proteins ZEB1 and ZEB2 are transcription factors
essential in TGF-beta-mediated senescence, epithelial-to-mesenchymal transition
(EMT), and cancer stem cell functions. ZEBs are negatively regulated by members
of the miR-200 microRNA family, but precisely how tumor cells expressing ZEBs
emerge during invasive growth remains unknown. Here, we report that NOTCH3
mediated signaling prevents expansion of a unique subset of ZEB-expressing cells.
ZEB expression was associated with the lack of cellular capability of undergoing
NOTCH3-mediated squamous differentiation in human esophageal cells. Genetic
inhibition of the Notch-mediated transcriptional activity by dominant-negative
Mastermind-like 1 (DNMAML1) prevented squamous differentiation and induction of
Notch target genes including NOTCH3. Moreover, DNMAML1-enriched EMT-competent
cells exhibited robust upregulation of ZEBs, downregulation of the miR-200
family, and enhanced anchorage-independent growth and tumor formation in nude
mice. RNA interference experiments suggested the involvement of ZEBs in anchorage
independent colony formation, invasion, and TGF-beta-mediated EMT. Invasive
growth and impaired squamous differentiation were recapitulated upon Notch
inhibition by DNMAML1 in organotypic three-dimensional culture, a form of human
tissue engineering. Together, our findings indicate that NOTCH3 is a key factor
limiting the expansion of ZEB-expressing cells, providing novel mechanistic
insights into the role of Notch signaling in the cell fate regulation and disease
progression of esophageal squamous cancers.
PMID- 21890826
TI - School absenteeism among children living with smokers.
AB - OBJECTIVE: Involuntary tobacco smoke exposure causes substantial morbidity in
children. We hypothesized that children exposed to tobacco smoke in the home
would have increased school absenteeism with associated costs due to lost
caregiver wages/time. METHODS: We analyzed data on health and absenteeism among
schoolchildren aged 6 to 11 years identified in the 2005 National Health
Interview Survey (NHIS). We used multivariate models to assess the relationships
between adult-reported household smoking and child health and school absenteeism.
Analyses were adjusted for children's and parents' demographic and socioeconomic
characteristics. The value of lost caregiver time was estimated by using self
reported employment and earnings data in the NHIS and publicly available time-use
data. RESULTS: Children living with 1 or >= 2 adults who smoked in the home had
1.06 (95% confidence interval [CI]: 0.54-1.55) and 1.54 (95% CI: 0.95-2.12) more
days absent from school per year, respectively, than children living with 0
smokers in the home. Living with >= 2 adults who smoked in the home was
associated with increased reports of having >= 3 ear infections in the previous
12 months (adjusted odds ratio [aOR]: 2.65 [95% CI: 1.36-5.16]) and having a
chest cold in the 2 weeks before interview (aOR: 1.77 [95% CI: 1.03-3.03]) but
not with having vomiting/diarrhea in the previous 2 weeks (aOR: 0.93 [95% CI:
0.45-1.89]). Caregivers' time tending children absent from school was valued at
$227 million per year. CONCLUSIONS: Tobacco smoke exposure has significant
consequences for children and families above and beyond child morbidity,
including academic disadvantage and financial burden.
PMID- 21890827
TI - Development of a novel curriculum to enhance the autonomy and motivation of
residents.
PMID- 21890828
TI - Effect on behavior problems of teen online problem-solving for adolescent
traumatic brain injury.
AB - PURPOSE: To report the results of a randomized clinical trial of teen online
problem-solving (TOPS) meant to improve behavioral outcomes of adolescents with
traumatic brain injury (TBI). METHODS: A randomized clinical trial was conducted
to compare the efficacy of TOPS with access to Internet resources in teenagers
with TBI in improving parent and self-reported behavior problems and parent-teen
conflicts. Participants included 41 adolescents aged 11 to 18 years (range: 11.47
17.90 years) who had sustained a moderate-to-severe TBI between 3 and 19 months
earlier. Teens in the TOPS group received 10 to 14 online sessions that provided
training in problem-solving, communication skills, and self-regulation. Outcomes
were assessed before treatment and at a follow-up assessment an average of 8
months later. Groups were compared on follow-up scores after we controlled for
pretreatment levels. Injury severity and socioeconomic status were examined as
potential moderators of treatment efficacy. RESULTS: Forty-one participants
provided consent and completed baseline assessments, and follow-up assessments
were completed for 35 participants (16 TOPS, 19 Internet resource comparison).
The TOPS group reported significantly less parent-teen conflict at follow-up than
did the Internet-resource-comparison group. Improvements in teen behavior after
TOPS were moderated by injury severity; there were greater improvements in the
teens' internalizing symptoms after TOPS among adolescents with severe TBI.
Family socioeconomic status also moderated the efficacy of TOPS in improving
behavior problems reported by both parents and teens, although the nature of the
moderation effects varied. CONCLUSION: Our findings suggest that TOPS contributes
to improvements in parent-teen conflict generally and parent and self-reported
teen behavior problems for certain subsets of participants.
PMID- 21890829
TI - Recurrence and outcomes of Stevens-Johnson syndrome and toxic epidermal
necrolysis in children.
AB - OBJECTIVES: To report clinical course, etiology, management, and long-term
outcomes of children suffering from Stevens-Johnson syndrome (SJS) or toxic
epidermal necrolysis (TEN). METHODS: We conducted a study of all pediatric
patients with SJS or TEN admitted between 2000 and 2007 to the Hospital for Sick
Children and Children's Hospital Boston, and particular attention was paid to
clinical manifestations, etiology, mortality, and long-term outcomes. RESULTS: We
identified 55 cases of SJS (n = 47), TEN (n = 5), or SJS/TEN overlap syndrome (n
= 3). Drugs were identified as the most likely etiologic agent in 29 children
(53%); antiepileptic drugs were the most common agents (n = 16), followed by
sulfonamide antibiotics (n = 7) and chemotherapy drugs (n = 2). Acute Mycoplasma
pneumoniae infection was confirmed in 12 children (22%), and herpes simplex virus
was confirmed in 5 children (9%). Treatment regimens differed significantly
between participating sites and included systemic antimicrobial agents (67%),
systemic corticosteroids (40%), and antiviral drugs (31%). Intravenous
immunoglobulin was administered to 21 children (38%), of whom 8 received
concomitant systemic corticosteroids. Ten children (18%) had recurrence of SJS up
to 7 years after the index episode, and 3 experienced multiple recurrences.
Twenty-six children (47%) suffered long-term sequelae that mostly involved the
skin and eyes. CONCLUSIONS: Mortality rate in children was lower than that
reported in adults, but half of affected children suffered long-term
complications. The recurrence rate of SJS was high (1 in 5), which suggests
vulnerability and potential genetic predisposition. In the absence of
standardized management guidelines for these conditions, treatment regimens
differed significantly between participating institutions.
PMID- 21890830
TI - Statistical uncertainty of mortality rates and rankings for children's hospitals.
AB - OBJECTIVES: Hospitals are being required to report publically their adjusted
mortality rates, which are then being used to rank hospitals. Our objectives were
to assess the statistical reliability of the determination of a hospital's
adjusted mortality rate, of comparisons of that rate with the rates of other
hospitals, and of the use of those rates to rank the hospitals. METHODS: A cross
sectional study of 473 383 patients discharged from 42 US children's hospitals in
2008 was performed. Hospital-specific observed/expected (O/E) mortality rate
ratios and corresponding hospital rankings, with 95% confidence intervals (CIs),
were examined. RESULTS: Hospitals' O/E mortality rate ratios exhibited wide 95%
CIs, and no hospital was clearly distinguishable from the other hospitals'
aggregated mean mortality performance. Only 2 hospitals' mortality performance
fell outside the comparator hospitals' 95% CI. Those hospitals' 95% CIs
overlapped with the overall comparator set's 95% CI, which suggests that there
were no statistically significant hospital outliers. Fourteen (33.3%) of the 42
hospitals had O/E ratios that were not statistically different from being in the
95% CI of the top 10% of hospitals. Hospital-specific mortality rate rankings
displayed even broader 95% CIs; the typical hospital had a 95% CI range that
spanned 22 rank-order positions. CONCLUSION: Children's hospital-specific
measures of adjusted mortality rate ratios and rankings have substantial amounts
of statistical imprecision, which limits the usefulness of such measures for
comparisons of quality of care.
PMID- 21890831
TI - Race, ancestry, and development of food-allergen sensitization in early
childhood.
AB - OBJECTIVE: We examined whether the risk of food-allergen sensitization varied
according to self-identified race or genetic ancestry. METHODS: We studied 1104
children (mean age: 2.7 years) from an urban multiethnic birth cohort. Food
sensitization was defined as specific immunoglobulin E (sIgE) levels of >= 0.35
kilo-units of allergen (kUA)/L for any of 8 common food allergens. Multivariate
logistic regression analyses were used to evaluate the associations of self
identified race and genetic ancestry with food sensitization. Analyses also
examined associations with numbers of food sensitizations (0, 1 or 2, and >= 3
foods) and with logarithmically transformed allergen sIgE levels. RESULTS: In
this predominantly minority cohort (60.9% black and 22.5% Hispanic), 35.5% of
subjects exhibited food sensitizations. In multivariate models, both self
reported black race (odds ratio [OR]: 2.34 [95% confidence interval [CI]: 1.24
4.44]) and African ancestry (in 10% increments; OR: 1.07 [95% CI: 1.02-1.14])
were associated with food sensitization. Self-reported black race (OR: 3.76 [95%
CI: 1.09-12.97]) and African ancestry (OR: 1.19 [95% CI: 1.07-1.32]) were
associated with a high number (>= 3) of food sensitizations. African ancestry was
associated with increased odds of peanut sIgE levels of >= 5 kUA/L (OR: 1.25 [95%
CI: 1.01-1.52]). Similar ancestry associations were seen for egg sIgE levels of
>= 2 kUA/L (OR: 1.13 [95% CI: 1.01-1.27]) and milk sIgE levels of >= 5 kUA/L (OR:
1.24 [95% CI: 0.94-1.63]), although findings were not significant for milk.
CONCLUSIONS: Black children were more likely to be sensitized to food allergens
and were sensitized to more foods. African ancestry was associated with peanut
sensitization.
PMID- 21890832
TI - Nurse practitioners and physician assistants employed by general and subspecialty
pediatricians.
AB - OBJECTIVE: There is little nationally representative information describing the
current manner in which nurse practitioners (NPs) and physician assistants (PAs)
work in pediatric practices and their professional activities. To understand
better the current NP and PA workforce in pediatric primary and subspecialty
care, we conducted a national survey of pediatricians. METHODS: A survey study of
a random national sample of 498 pediatric generalists and 1696 subspecialists in
the United States was performed by using a structured questionnaire administered
by mail. The survey focused on practice settings, employment, and scope of work
of NPs and PAs. RESULTS: Response rates were 72% for generalists and 77% for
subspecialists. More than one-half (55%) of generalists reported that they do not
currently work with NPs or PAs, compared with only one-third of subspecialists
who do not. Many generalists and subspecialists intend to increase the number of
NPs and PAs in their practices in the next 5 years. More generalist and
subspecialty practices work with NPs than with PAs. There was great variability
between generalists and subspecialists and among different subspecialties in the
proportions that worked with NPs and PAs. The scope of work of NPs and PAs also
varied between generalists and subspecialists. CONCLUSIONS: Planned increases in
the number of NPs hired and expansion of their scope of work might put
subspecialists and general pediatricians in competition with regard to
recruitment and hiring of a limited pool of new pediatric NPs. Similar issues
might arise with PAs.
PMID- 21890833
TI - Clinical presentation and treatment of transfusion-associated babesiosis in
premature infants.
AB - We review here 7 cases of neonatal transfusion-associated babesiosis at a NICU in
the northeast United States. Transfusion from 2 infected units of blood resulted
in the 7 cases described. The clinical presentation was highly variable in this
cohort; the extremely low birth weight neonates were the most severely affected.
Antibiotic therapy was effective in neonates with mild and asymptomatic
infection; however, double-volume exchange blood transfusion with prolonged
multidrug treatment was required for the 2 most severe cases. The risk of Babesia
microti infection is not eliminated through current blood-bank practices.
Neonatologists in endemic areas should have a high index of suspicion for
babesiosis in premature infants exposed to blood transfusions.
PMID- 21890834
TI - Recommendations for prevention and control of influenza in children, 2011-2012.
AB - The purpose of this statement is to update recommendations for routine use of
trivalent seasonal influenza vaccine and antiviral medications for the prevention
and treatment of influenza in children. The key points for the upcoming 2011-2012
season are that (1) the influenza vaccine composition for the 2011-2012 season is
unchanged from the 2010-2011 season, (2) annual universal influenza immunization
is indicated, (3) a simplified dosing algorithm for administration of influenza
vaccine to children 6 months through 8 years of age has been created, (4) most
children presumed to have egg allergy can safely receive influenza vaccine in the
office without need for an allergy consultation, and (5) an intradermal trivalent
inactivated influenza vaccine has been licensed for the 2011-2012 season for use
in people 18 through 64 years of age. Pediatricians, nurses, and all health care
personnel have leadership roles in the prevention of influenza through vaccine
use and public education. In addition, pediatricians should promptly identify
influenza infections to enable rapid treatment, when indicated, to reduce
childhood morbidity and mortality.
PMID- 21890835
TI - Genetic and management approaches to boost UK wheat yields by ameliorating water
deficits.
AB - Faced with the challenge of increasing global food production, there is the need
to exploit all approaches to increasing crop yields. A major obstacle to boosting
yields of wheat (an important staple in many parts of the world) is the
availability and efficient use of water, since there is increasing stress on
water resources used for agriculture globally, and also in parts of the UK.
Improved soil and crop management and the development of new genotypes may
increase wheat yields when water is limiting. Technical and scientific issues
concerning management options such as irrigation and the use of growth-promoting
rhizobacteria are explored, since these may allow the more efficient use of
irrigation. Fundamental understanding of how crops sense and respond to multiple
abiotic stresses can help improve the effective use of irrigation water.
Experiments are needed to test the hypothesis that modifying wheat root system
architecture (by increasing root proliferation deep in the soil profile) will
allow greater soil water extraction thereby benefiting productivity and yield
stability. Furthermore, better knowledge of plant and soil interactions and how
below-ground and above-ground processes communicate within the plant can help
identify traits and ultimately genes (or alleles) that will define genotypes that
yield better under dry conditions. Developing new genotypes will take time and,
therefore, these challenges need to be addressed now.
PMID- 21890836
TI - Reliability of self-rated health in US adults.
AB - General self-rated health (SRH) is widely used to study trends and inequalities
in population health. Recently, there has been an increased interest in
understanding the measurement properties of SRH. This study evaluated for the
first time the test-retest reliability of SRH among US adults. Analyses were
based on a nationally representative sample of 9,235 adults interviewed in the
2005-2008 National Health and Nutrition Examination Survey (NHANES). Respondents
reported SRH on 2 occasions (about 1 month apart). Kappa statistics, polyserial
correlations, and agreement tabulations were used to assess reliability across
population subgroups; regression models tested the association of
sociodemographic factors and the stability of the rating. Nearly 40% of
respondents changed their health rating between interviews, indicating moderate
test-retest reliability of SRH. Reliability differed significantly by
sociodemographic characteristics: Racial/ethnic minorities and adults with less
education had lower reliability of SRH judgments. Health events between
interviews did not influence consistency, but conditional on a rating change,
they increased the odds of downgrading one's health. The results suggest that 1)
there is a substantial amount of error in individuals' self-assessment of health
and 2) reliability is worse for disadvantaged sociodemographic groups,
potentially biasing estimates of health inequalities among US adults.
PMID- 21890838
TI - Utility of post-urinary tract infection imaging in patients with normal prenatal
renal ultrasound.
AB - The American Academy of Pediatrics recommends renal ultrasound (RUS) and voiding
cystourethrography (VCUG) for all infants after a first urinary tract infection
(UTI). However, many congenital renal anomalies are identified by a prenatal US.
At the present time, there are no data regarding the yield of post-UTI imaging
among infants who have a documented normal prenatal US. We retrospectively
reviewed the charts of all patients <1 year of age with a first UTI who had
normal kidneys noted on prenatal US to determine the frequency of abnormal
findings. Abnormal RUS and VCUG results were noted in 5.1% (24 of 471) and 20.4%
(75 of 368) of infants, respectively. While the abnormal US rate is significantly
less than what has been previously reported, the frequency of abnormal VCUGs is
similar. These results suggest that a post-UTI RUS may not be needed if the
prenatal US was normal. However, a VCUG continues to be indicated.
PMID- 21890837
TI - Green tea polyphenol epigallocatechin gallate activates TRPA1 in an intestinal
enteroendocrine cell line, STC-1.
AB - A characteristic astringent taste is elicited by polyphenols. Among the
polyphenols, catechins and their polymers are the most abundant polyphenols in
wine and tea. A typical green tea polyphenol is epigallocatechin gallate (EGCG).
Currently, the mechanism underlying the sensation of astringent taste is not well
understood. We observed by calcium imaging that the mouse intestinal endocrine
cell line STC-1 responds to the astringent compound, EGCG. Among major catechins
of green tea, EGCG was most effective at eliciting a response in this cell line.
This cellular response was not observed in HEK293T or 3T3 cells. Further analyses
demonstrated that the 67-kDa laminin receptor, a known EGCG receptor, is not
directly involved. The Ca(2+) response to EGCG in STC-1 cells was decreased by
inhibitors of the transient receptor potential A1 (TRPA1) channel. HEK293T cells
transfected with the mouse TRPA1 (mTRPA1) cDNA showed a Ca(2+) response upon
application of EGCG, and their response properties were similar to those observed
in STC-1 cells. These results indicate that an astringent compound, EGCG,
activates the mTRPA1 in intestinal STC-1 cells. TRPA1 might play an important
role in the astringency taste on the tongue.
PMID- 21890839
TI - The impact of CHIP coverage on children with asthma in Alabama.
AB - This study evaluates the impact of coverage in ALL Kids, the Alabama Child Health
Insurance Program (CHIP), by examining asthma-related utilization and outcomes
among children continuously enrolled for 3 years (N = 1954)with persistent asthma
at enrollment. Outcomes and costs were compared for the first, second, and third
years of enrollment using repeated measures analysis of variance and controlling
for age, gender, and year fixed-effects. Compared with subsequent years, first
year enrollment utilization was higher for asthma-related hospitalizations (6% vs
2% vs 2%; P < .0001) and emergency visits (10% vs 3% vs 2%; P < .0001). Also
decreasing were asthma-related outpatient visits (1.46 vs 1.12 vs 0.94; P <
.0001), quick-relief prescriptions (2.6 vs 2.2 vs 2.1; P < .0001), and long-term
control prescriptions (5.8 vs 5.2 vs 4.4; P < .0001). As a result, significant
declines in the mean costs per child were observed. Ongoing ALL Kids coverage is
associated with improved disease-management and lower costs for persistent
asthma.
PMID- 21890840
TI - Genetic signature of reproductive manipulation in the phylogeography of the bat
fly, Trichobius major.
AB - The bat fly (Trichobius major) is a blood-feeding ectoparasite of the cave myotis
(Myotis velifer). A recent mitochondrial DNA (mtDNA) study examining population
structure of T. major in the South Central United States detected a single
haplotype from all individuals examined (N = 48 from 12 different caves),
representing one of only a few known examples of such widespread mtDNA
uniformity. We examined nuclear genetic diversity using amplified fragment length
polymorphism and detected high levels of nuclear genetic diversity in all
populations sampled. Amplified fragment length polymorphism analyses indicated
significant levels of gene flow among caves >700 km apart, suggesting the absence
of mtDNA diversity in T. major is the result of a selective sweep, not a
demographic event (i.e., a recent bottleneck). One mechanism by which mtDNA
sweeps occur in arthropods is through bacterial parasites that manipulate host
reproduction and mtDNA inheritance. We used PCR to test for the presence of all
known reproductive parasites and detected a widespread infection (91.33%
infection rate) of T. major with a novel Arsenophonus bacterium, as well as the
infection of 2 individuals (1.16% infection rate) with a novel strain of
Rickettsia. We discuss the implications for T. major phylogeography and the
necessity of a bigenomic approach in arthropod population genetics.
PMID- 21890842
TI - Quality of diabetes care in public primary care clinics in Hong Kong.
AB - BACKGROUND: Little is known about the quality of care for patients with Type 2
diabetes in primary care setting in Hong Kong. OBJECTIVES: To investigate the
quality of care for patients with Type 2 diabetes in Hong Kong primary care
setting and potential disparities by patient characteristics and clinics.
METHODS: Cross-sectional study in three general outpatient clinics (GOPCs; public
primary care clinics) in Hong Kong involving 1970 patients. Main outcome measures
were achievement rates of seven process measures and three intermediate outcome
targets and adjusted odds ratios of age, sex, socio-economic status and clinic on
the quality measures. RESULTS: The achievement rates for the recording of HbA1c,
blood pressure (BP), cholesterol, body mass index, smoking status, nephropathy
screening and retinopathy screening in the previous 12 months were 92.8%, 99.9%,
91.0%, 47.9%, 91.3%, 69.0% and 38.0%, respectively. A total of 58.0%, 38.2% and
36.4% of patients achieved the glycaemic, BP and cholesterol targets,
respectively. Older patients were less likely to have records of process measures
and more likely to achieve the HbA1c target. Women were less likely to have
smoking status recorded and to achieve the HbA1c target. Patients of lower socio
economic status were less likely to have records of process measures and to
achieve the BP target. Family medicine training practices had better achievements
of the quality measures. CONCLUSIONS: There is scope for improvement in the
quality of diabetes care in the GOPCs. Variations in the quality of care were
observed. Family medicine training may enhance the health care quality.
PMID- 21890841
TI - Investigation of thyroid dysfunction is more likely in patients with high
psychological morbidity.
AB - BACKGROUND: Mild or subclinical hypothyroidism [raised thyroid-stimulating
hormone (TSH) but normal free thyroxine (T4)] affects 5-10% of adults. Symptoms
are non-specific and TSH levels are needed for diagnosis. OBJECTIVES: We explore
the relationship between thyroid function and psychological distress and
investigate the usefulness of an expert-designed Thyroid Symptom Questionnaire
(TSQ) in identifying hypothyroidism. METHODS: DEPTH (DEPression and THyroid) is a
cross-sectional study of 325 patients recruited from general practices in
Bristol, for whom thyroid function tests were requested by the GP. Subjects
completed the TSQ, General Health Questionnaire (GHQ-12) and Patient Health
Questionnaire (PHQ) and had blood tests for TSH and free T4. RESULTS: The mean
age was 45.7 years; 252 subjects (78%) were female; median TSH was 1.6.
Psychological morbidity in this population is high: 54.2% have a GHQ-12 score >3,
indicating psychological distress. We found no relationship between TSH and
psychological distress [adjusted odds ratio 1.02 (95% confidence interval 0.91
1.13), P = 0.78]. The prevalence of hypothyroidism was 6.2% (95% confidence
interval 3.8-9.5%). We found no evidence of an unadjusted association between TSQ
score and subclinical hypothyroidism [adjusted odds ratio of 1.09 (95% confidence
interval 0.95-1.24), P = 0.23]. CONCLUSIONS: Those referred for thyroid function
tests, although no more likely than others to have hypothyroidism, have high
rates of psychological distress. When mild (subclinical) hypothyroidism is
detected in patients with psychological distress, it is important that GPs are
aware that this is likely to be coincidental rather than causal and offer
appropriate treatment.
PMID- 21890843
TI - Changes in social-cognitive variables are associated with stage transitions in
physical activity.
AB - When it comes to the adoption or maintenance of physical activity, individuals
can be placed along a continuum or into stages of change. The Health Action
Process Approach proposes three such stages: non-intentional, intentional and
actional. Intraindividual differences are reflected by stage transitions: either
progression or regression. The present study examines social-cognitive factors of
stage transitions: outcome expectancies, self-efficacy and planning. In an online
study on physical activity, 660 adults completed questionnaires at baseline and
approximately 3 weeks later. Social-cognitive factors were converted into
standardized residual change scores to account for changes in outcome
expectancies, self-efficacy and planning within the observation period.
Discriminant function analyses revealed stage-specific patterns: progression out
of non-intentional stage was associated with self-efficacy increases. Out of
intentional stage, regression was correlated with decreases in planning, whereas
progression was linked to increases in self-efficacy and planning. Regression
from action stage was associated with decreases in self-efficacy. Physical
activity promotion should focus on improving self-efficacy for non-intending,
intending and acting individuals, whereas planning interventions are recommended
for intending individuals. Interventions may be more effective by considering
specific mechanisms instead of providing generic interventions for all
individuals at different stages.
PMID- 21890844
TI - Results of the 'in control: no alcohol!' pilot study.
AB - More than 50% of Dutch 12-year olds already started drinking. Since it is known
that delaying the onset of alcohol use results in a lower risk of alcohol-related
problems, the recently developed 'In control: No alcohol!' prevention program is
targeted at elementary school children and their mothers. In this pilot study,
the success of program implementation and impact of the program on quality of
alcohol-specific communication, rules and monitoring were evaluated, using a
randomized controlled design. A total of 108 children (11-12 years) and their
mothers participated in the prevention program, while the control group consisted
of 105 dyads. Families participating in the experimental condition showed an
increase in frequency of alcohol-specific communication and 75% of the dyads
reported that they took part in at least 3 of 5 magazines, suggesting
implementation was successful. The program led to an increase in quality of
communication but only for those dyads in which mothers' alcohol use was above
average. The program led parents to set up a non-drinking contract with their
children and to monitor their children more closely. Results are promising but
need to be replicated in a larger longitudinal study.
PMID- 21890846
TI - Rubrics 101: a primer for rubric development in dental education.
AB - Identifying and implementing effective methods for assessing dental student
performance are ongoing challenges for dental educators. Questions related to
grading and assessment are common among faculty and students alike. Faculty
members who are well-trained clinicians or scientists often have little formal
training in education. In addition, assessment of performance brings with it an
element of subjectivity. Questions about assessment and grading are most likely
to arise when expectations are unclear or the rationale for the grade awarded is
not articulated. The authors propose that one solution to assessment dilemmas can
be found in the use of rubrics: scaled tools with levels of achievement and
clearly defined criteria placed in a grid. Rubrics establish clear rules for
evaluation and define the criteria for performance. Rubrics speak to both
teaching and learning expectations and outcomes and can provide faculty members
with a tool that can be useful in evaluating dental student performance. Rubrics
can also provide students with clear expectations of performance, an opportunity
to self-assess, and timely, detailed feedback. The purpose of this article is to
define a rubric, apply the steps of rubric development as described in the
educational literature to dental student assessment, present two examples of
rubric implementation for assessing student progress toward competence, and
recommend electronic resources for rubric development.
PMID- 21890847
TI - Student-led courses to teach cone beam CT in the predoctoral dental curriculum.
AB - Cone beam computed tomography (CBCT) provides a new method to evaluate the
craniofacial region. The goal of our project was to introduce into the
predoctoral dental curriculum a student-initiated, student-led introduction to
CBCT and how to use it, with minimal expenditure of financial resources. A third
year student worked with two faculty members to design a course in which a small
number of third-year students would lead a small group of second-year students.
The first approach involved each small group of second-year dental students
discussing one clinical case in which the patient's CBCT record was included.
Representatives of each of the ten small groups presented the patient and that
patient's clinical problem (e.g., an impacted tooth) to the entire class as well
as demonstrating the superiority and limitations of using CBCT in clinical
dentistry. The second approach also used small-group meetings led by third-year
dental students, but paired two second-year dental students as a team to present
the patient's CBCT data in the small-group setting. There were five teams each
presenting a different type of patient as assessed only from the CBCT data. The
first model focused on the problem (e.g., an impacted tooth), while the second
model focused on how to evaluate and use CBCT scans to determine the patient's
primary problem. Based on surveys conducted at the end of each course, the
majority of students felt they had gained a better understanding of CBCT.
PMID- 21890848
TI - Inclusion of oral-systemic health in predoctoral/undergraduate curricula of
pharmacy, nursing, and medical schools around the world: a preliminary study.
AB - There is increasing evidence that oral health is a critical component of overall
health and that poor oral health may lead to initiation or exacerbation of
chronic inflammatory diseases/conditions and adverse pregnancy outcomes. Added to
this is an increasing awareness that among non-dental health care professions
curricula (e.g., medicine, nursing, pharmacy, and allied health) there is an
apparent lack of information regarding the interrelationships between oral health
and overall health or recognition of the significance of oral health in achieving
and sustaining general health outcomes. This study explored the amount of
information related to oral-systemic science currently being taught in the
predoctoral/undergraduate professional curricula of pharmacy, nursing, and
medical schools in English-speaking universities around the world. The Oral
Systemic Health Educational Curriculum Survey was circulated online to associate
or academic deans at medical, nursing, and pharmacy schools in universities
across Canada, the United States, Europe, Asia, Australia, and New Zealand. The
survey found that 53.7 percent of the respondents ranked the inclusion of oral
systemic science as somewhat important, 51.2 percent reported no or limited
requirements to incorporate oral health education within their curricula, and
59.6 percent rated their current curricula in oral-systemic health as inadequate.
The majority of students in these programs are not being instructed to examine
the mouth, nor are they being taught how to perform an oral examination. Despite
growing awareness of emerging evidence of oral-systemic relationships and
recommendations that all health care providers should contribute to enhancing
oral health, this knowledge base appears to be substantially deficient in the
curricula of pharmacy, nursing, and medical students in many universities. This
study provides the first formal documentation that the curricula of non-dental
health care professions, specifically in medicine, nursing, and pharmacy, do not
contain adequate content related to oral-systemic health.
PMID- 21890849
TI - Measuring clinical productivity in community-based dental education programs.
AB - Community-based dental education programs (CBDEPs) are an important part of the
curriculum in many dental schools. This article describes the redesign of the
CBDEP at the University of Kentucky College of Dentistry. As part of the
formative evaluation of this CBDEP, information on clinical productivity was
collected in order to educate intramural faculty members about the scope and
extent of services provided in extramural sites; develop an understanding of the
productivity expected; complement the intramural education by placing dental
students in particular settings where they could enhance certain clinical
disciplines; and serve as a decision making tool in the selection of sites that
provide the richest clinical experiences. A total of 158 students participated in
the CBDEP during the three years of our study (2006-08). Productivity per site
was calculated based on total, mean, and median number of services provided,
billing (utilizing Kentucky's Medicaid fees for 2006), and Relative Value Units
(RVUs). A total of 26,202 services, $972,109 in billing, and 43,053 RVUs was
generated by the students, with an average of 175 services, $6,481, and 287 RVUs
per student. Four categories (restorative, oral surgery, preventive, and
diagnostic) accounted for 88 percent of total RVUs for all sites and all years.
Productivity measured with RVU was the highest at private practices. Students
spent most of their time providing restorative and oral surgery services.
Measuring clinical productivity can be an effective tool to establish benchmarks,
improve the site selection process, and educate those skeptical about the
benefits of extramural education. Such an evaluation will enable faculty and
program administrators involved in CBDEP to make continuous improvements.
PMID- 21890850
TI - Evaluation of a pilot bridging program to enable Australian dental therapists to
treat adult patients.
AB - This study evaluated a pilot educational bridging program designed to provide
dental therapists in Australia with the appropriate knowledge and skills required
to enable them to translate their current clinical scope of practice to adult
patients. Ten dental therapists completed a bridging program consisting of forty
two hours of didactic content, fourteen hours of clinical observation, forty-two
hours of clinical practicum under direct supervision, and 105 hours of clinical
experience with supervision by mentoring dentists. Process and impact evaluation
was undertaken at various stages of the program by participants and supervising
dentists. Self-reported confidence and knowledge for dental therapists had
increased at the completion of the course. Supervising dentists reported high
levels of knowledge for dental therapists after completing the course and said
that the course adequately prepared the dental therapists to translate their
current clinical scope of practice to treat adult patients. Eight of the ten
participants successfully completed the assessment at the completion of the
course and were permitted by the Dental Practice Board of Victoria to extend
their clinical scope of practice to adult patients aged twenty-six years of age
or more. The dental therapists thought that the educational model was relevant in
developing their clinical skills. The evaluation indicates that this model is an
effective method of extending the scope of practice for dental therapists and has
important implications in enhancing the flexibility of the dental team.
PMID- 21890851
TI - Health literacy impact on patient-provider interactions involving the treatment
of dental problems.
AB - Health literacy-related problems can interfere with effective doctor-patient
communication and effective patient care. This study examined several health
literacy-related markers for patients seeking treatment in hospital emergency
departments and physician and dentist offices for dental problems and injuries.
Participants consisted of low-income white, black, and Hispanic adults who had
experienced a dental problem or injury during the previous twelve months and who
visited a hospital emergency department, physician, or dentist for treatment. A
stratified random sample of Maryland households participated in a cross-
sectional telephone survey. Interviews were completed with 94.8 percent of 423
eligible individuals. Multivariable logistic regression analyses were performed.
Only 10.0 percent of the respondents expressed a difficulty understanding what
they were told by the health provider, while 4.9 percent expressed a difficulty
understanding the dental or medical forms they were asked to complete and 6.9
percent reported that they had difficulty getting the health provider to
understand their dental problem or injury. Logistic regression analysis found
that males and Hispanics were significantly (p<0.05) more likely to experience
health literacy-related problems. In general, respondents did not express health
literacy-related problems. Additional research is needed to identify health
literacy-related barriers to effective patient-provider communication.
PMID- 21890852
TI - Medicaid patients in dental school clinics: do personal and professional
experiences matter?
AB - The purpose of this study was to explore dental and dental hygiene students' and
faculty members' personal experiences with Medicaid prior to coming to dental
school as well as their professional/educational experiences with patients on
Medicaid during their dental/dental hygiene education and how these experiences
relate to their professional attitudes and behavior concerning treating patients
on Medicaid. Survey data were collected from 317 dental students, fifty-five
dental hygiene students, and fifty-seven clinical faculty members at the
University of Michigan. The results showed that while responding students'
confidence concerning treating Medicaid patients increased over the course of
their education, their intention to treat these patients actually decreased. The
more personal experiences with Medicaid these students had before dental school,
the more they enjoyed treating Medicaid patients, the more confident they were
when treating these patients, and the more likely they were to treat these
patients in the future. The more professional experiences these students had
during dental school, the more they enjoyed treating Medicaid patients, and the
more confident they were when treating these patients, but the less likely they
were to treat them in the future. In conclusion, experiences with Medicaid before
dental school as well as professional experiences during dental education
correlated with later professional attitudes and behaviors. The implications of
these findings for dental education are explored.
PMID- 21890853
TI - Increasing predoctoral dental students' motivations to specialize in
prosthodontics.
AB - This study provides data about factors that influence dental students' decision
of which specialty to pursue and describes program changes in prosthodontics
designed to expand student interest in a prosthodontics training program. Of 176
current and recently graduated students at Harvard School of Dental Medicine, 167
responded to the e-mail survey for a 94.9 percent response rate. Using the
Pearson chi-square analysis, we analyzed nine factors to determine their
contribution to these students' choice of specialty. Two factors, lecture and
faculty/mentoring, were highly significant (p<0.006) regarding impact on the
students' introductory experience with prosthodontics. When choosing a specialty,
44 percent of all students ranked "enjoyment of providing the specialty service"
as the most important factor. Students wishing to specialize in prosthodontics,
however, ranked four significant factors: enjoyment of providing the specialty
service (p<0.037, p<0.057); faculty influence (p<0.0002, p<0.0001); length of
program (p<0.039, p<0.006); and cost of program (p<0.023, p<0.004). Respondents
also ranked the nine American Dental Association-recognized specialties regarding
their perceptions of future salary and impact on the dental profession. They
ranked prosthodontics fourth for future salary and fifth for impact on the
profession. At the same time that this study was being conducted, key changes
were being made in the graduate prosthodontics program; those appear to have had
a positive impact on students' interest in pursuing prosthodontics as a
specialty.
PMID- 21890854
TI - Introduction of implants into postdoctoral endodontic residency programs.
AB - The purpose of this study was to survey the directors of postdoctoral endodontic
programs in the United States to ascertain their attitudes and approaches
regarding incorporation of implants into the endodontic curriculum. We
hypothesized that program directors would agree that implant training should be
incorporated into the endodontic curriculum. We also hypothesized that they would
all prefer apical surgeries and retreatment over implants when plausible. A
twenty-item online survey was emailed to all fifty-two postdoctoral endodontic
program directors in the United States. A 100 percent response was received. The
results showed that 78.6 percent agreed that implant training should be
incorporated, 85.7 percent preferred the didactic approach, and 42.9 percent
preferred clinical implant training. One hundred percent preferred apical
surgeries and retreatment over implants when plausible, and 53.8 percent did not
prefer implants over endodontic treatment for teeth with a questionable
prognosis. This survey indicates that implant training has been incorporated into
postdoctoral endodontic programs and that the Commission on Dental Accreditation
standards are being met. The trend may be to become more hands-on in the future
if program directors believe there is a need to provide training in actual
placement of implants.
PMID- 21890855
TI - Computer-assisted dental simulation as a predictor of preclinical operative
dentistry performance.
AB - We tested whether a computerized dental simulator (CDS) pre-test could predict
preclinical operative dentistry examination scores. Thirty-eight first-year
students completed cavity preparations during a single four-hour CDS pre-test
prior to the operative dentistry course and during subsequent practical
examinations. Masked, calibrated faculty members scored the preparations in both
settings. Pass rates for the CDS pre-test, Exam 1, and Exam 2 were 50 percent, 66
percent, and 86 percent, respectively. Students who passed the CDS pre-test were
more likely to pass Exam 1 (95 percent vs. 37 percent, p=0.0004) but not Exam 2
(89 percent vs. 83 percent, p=0.66) and had better mean scores on Exam 1 (73.4
vs. 68.3, p<0.0001), but not Exam 2 (76.2 vs. 74.7, p=0.35). As a diagnostic,
success on the CDS pre-test predicted success on Exam 1 with 72 percent
sensitivity and 92 percent specificity (positive predictive value 95 percent,
negative predictive value 63 percent). As a diagnostic for Exam 2 performance,
the CDS pre-test was a weaker predictor and not statistically significant. These
findings suggest that a pre-course CDS test may help to identify students in need
of early instructional intervention. Future studies are warranted to further
define and implement the use of simulation technology in the assessment of
students' psychomotor learning potential.
PMID- 21890856
TI - Introduction of an implant surgical selective into a predoctoral dental
curriculum.
AB - This article describes the introduction of an implant surgical selective into a
predoctoral dental curriculum. It outlines the various challenges encountered in
developing the program and the methods used to overcome those challenges. The
article also discusses the outcomes of the first year of the program.
PMID- 21890857
TI - Teaching local anesthesia in dental schools: opinions about the student-to
student administration model.
AB - The student-to-student local anesthesia administration model has been an accepted
part of the formal curriculum in dental schools for teaching this clinical skill.
However, there is very little published literature that explores the validity of
this model or examines students' attitudes toward it. The ethics of this
educational model and the value of consent also need to be explored. In this
study, an online survey regarding the student-to-student administration model was
used to obtain the opinions of students and faculty members at three dental
schools in one state of the United States. The survey was distributed by the
Office of Academic Affairs at each school. A total of 152 individuals responded
to this survey: 123 (80.9 percent) dental students and twenty-nine (19.1 percent)
faculty members. The respondents consistently identified the perceived strengths
of this model, while a number also identified the need for consent and raised
ethical concerns. These findings highlight the complex nature of the respondents'
opinions and raise the question of whether modification of this mode of
instruction may be needed.
PMID- 21890858
TI - Graduates' perceived preparedness for dental practice from PBL and traditional
curricula.
AB - The objective of this study was to compare dental graduates' perceived
preparedness for practice after being educated in a problem-based learning (PBL)
curriculum with those who graduated from a traditional undergraduate curriculum,
both at the University of Hong Kong. A cohort of graduates from the traditional
dental curriculum (1997-2001) and a cohort of graduates from the PBL curriculum
(2004-08) rated their self-perceived preparedness for dental practice in fifty
nine aspects of dentistry across nine domains. Perceived preparedness for dental
practice was compared at domain and item levels (accounting for multiple
comparisons) using chi-square statistics. Both cohorts felt well prepared for the
"bread and butter" aspects of dentistry, but less so for specific specialty
disciplines. There was no significant difference between PBL and traditional
graduates' self-perceived preparedness in eight of the nine domains of dental
practice (P>0.05). However, in the area of orthodontics, both cohorts felt ill
prepared for practice and more so among the PBL cohort (P<0.01). For the most
part, regardless of curriculum design, these dental graduates perceived
themselves to be well prepared for dental practice.
PMID- 21890859
TI - Training device for dental students to practice mirror-inverted movements.
AB - The goal of this study was to explore whether the ability of precise mirror
inverted movements can be learned and improved with the device Mirroprep and
whether practice success can be transferred to the clinical situation. Three
groups of students at different levels of dental study and different achievement
levels were asked to perform a drawing exercise with indirect vision using
Mirroprep. Further, the group of most senior students were asked whether the
motor skills learned with this device were helpful in clinical tooth preparations
by use of the dental mirror. According to the test results, we were able to show
that mirror-inverted motor functions can be learned and improved by practice and
that it is also helpful for performing tooth preparations. Because of this, it is
deemed reasonable for students to start practicing with the training device
during their preclinical studies and to have their skills tested.
PMID- 21890860
TI - Towards a specific approach to education in dental ethics: a proposal for
organising the topics of biomedical ethics for dental education.
AB - Understanding dental ethics as a field separate from its much better known
counterpart, medical ethics, is a relatively new, but necessary approach in
bioethics. This need is particularly felt in dental education and establishing a
curriculum specifically for dental ethics is a challenging task. Although certain
topics such as informed consent and patient rights can be considered to be of
equal importance in both fields, a number of ethical issues in dental practice
are only remotely-if at all-relevant for medical practice. Therefore, any sound
approach to education in dental ethics has to recognise the unique aspects of
dental practice in order to meet the needs of dental students and prepare them
for the ethical challenges they may face during their professional practice. With
this goal in mind, this paper examines the approach of the authors to dental
ethics education and proposes a system to organise the topics of biomedical
ethics for dental education. While the authors' perspective is based on their
experience in Turkey, the proposed system of classification is not a rigid one;
it is open to interpretation in other contexts with different social, cultural
and professional expectations. Therefore, the paper also aims to inspire
discussion on the development of an ideal dental ethics curriculum at an
international level.
PMID- 21890861
TI - Plagued by kindness: contagious sympathy in Shakespearean drama.
AB - This article considers Shakespeare's metaphors of transmission, contagion and
infection in the light of period plague tracts, medical treatises and plague time
literature. The author demonstrates how period conceptions of disease are
predicated upon a notion of sympathetic transference and, consequently, how
kindness, likeness and communication between characters in Shakespearean drama
are complicated and fraught with period specific anxiety. This article situates
Shakespearean literary texts within a precise historical and medical moment,
considering how scientific conceptions contaminate dramatic text.
PMID- 21890862
TI - Outcome measures in major trauma care: a review of current international trauma
registry practice.
AB - Multiple reports over the past 20 years have criticised the care delivered to
major trauma patients in England. The setting up of regional trauma networks is
well underway to address these deficiencies. Outcomes currently collected in
major trauma are restricted to mortality rates and process measures; however,
many patients are left with long-term disabilities and reduced quality of life
(QoL), which are not measured at present. Trauma systems need to develop outcome
measures that capture this important information to judge the quality of care and
influence the development of services. This review aimed to identify the current
practice of international trauma registries with regard to outcome measurement
and review the literature surrounding these measures. An internet-based search of
international trauma registry websites including data dictionaries and annual
reports was carried out as well as a literature review to determine what outcome
measures are routinely collected by trauma registries. All registries were found
to look at mortality and process measures, but only one registry routinely
collected morbidity outcome measures for both adults and children. There is a
considerable amount of disability and reduced QoL in survivors of major trauma
that lasts for years following the injury. At present only the Victorian State
Trauma Registry collects outcome measures that evaluate the extent of this.
English regional trauma networks and the Trauma Audit and Research Network have
the opportunity to learn from this experience and routinely start to collect
measures of outcome that can drive service improvement and reduce patient
morbidity.
PMID- 21890863
TI - Patients who leave emergency departments without being seen: literature review
and English data analysis.
AB - OBJECTIVES: The purpose of this review was to determine the rate of those that
leave the emergency department (ED) without being seen and their reasons, to
clarify if such behaviour poses a health risk, to analyse the impact initiatives
have made on the leave without being seen (LWBS) rate, and to discuss the
implications of using it as a national performance indicator within the NHS.
METHODS: A combination of data sources was reviewed: a 'realistic' literature
review, analysis of hospital episode statistics data from England and a local NHS
trust audit. MAJOR FINDINGS: LWBS rates vary across the world, from 15% to 0.36%.
Also initiatives to reduce LWBS rates demonstrated mixed outcomes, with
reductions in the rate by as much as 96%, while others were ineffective. The most
common reason quoted for LWBS was long waiting times and there were few data to
suggest LWBS posed a risk to patient health. CONCLUSIONS: LWBS is an issue
experienced in many countries that has responded in a varying manner to many
initiatives in attempts to reduce it; however, it is clearly associated with the
waiting times experienced in ED and therefore working within a packet of
performance measures it would assess the effect of waiting times from another
perspective.
PMID- 21890864
TI - The effects of bed height and time on the quality of chest compressions delivered
during cardiopulmonary resuscitation: a randomised crossover simulation study.
AB - INTRODUCTION: The 2010 International Liaison Committee on Resuscitation gave a
detailed update on best practice for cardiopulmonary resuscitation (CPR) with a
discussion on appropriate patient and CPR provider position, based largely on
expert opinion. The objective of this study was to ascertain robust evidence on
the effect of bed height and fatigue on chest compression effectiveness. METHODS:
A modified Laerdal manikin was connected to a Dragor ventilator (to measure
intrathoracic pressures generated). The manikin was placed on a hospital trolley
and CPR was performed by candidates at three different bed heights in a
randomised order: (1) mid-thigh, (2) anterior superior iliac spine and (3)
xiphisternum. Chest compressions were continuous and asynchronous with
ventilation, and were allowed to continue for 30 s before recordings were taken.
At the anterior superior iliac spine level, chest compressions were continued for
2 min, when further measurements were taken. RESULTS: 101 subjects took part. The
differences in intrathoracic pressures generated at different bed heights were
compared using analysis of variance testing for multiple groups and were
statistically significant for p<0.05. The authors also found that the
effectiveness of CPR decreased 17% over a 2-minute period (p<0.05). CONCLUSIONS:
The most effective bed height position, allowing CPR providers to achieve the
highest intrathoracic pressures during CPR, was one where the patient's chest was
in line with the CPR provider's mid-thigh. The provider performing CPR should
change every 2 min.
PMID- 21890865
TI - The prognostic usefulness of platelets in acute heart failure.
PMID- 21890866
TI - NICE guidance for off-pump CABG: keep the pump primed.
AB - Because of a desire to reduce the morbidity of a well-established and effective
procedure for coronary artery disease, up to 30% of coronary bypass operations
are being performed without the use of a heart-lung pump. Concerns remain about
the quality of the coronary anastomoses and the completeness of
revascularisation. Randomised trials have not disclosed the significant reduction
in morbidity or mortality that the early enthusiasts had hoped for. Yet a number
of non-randomised studies have shown clinical benefit with the avoidance of an
extracorporeal circulation, but these studies have been criticised for potential
bias in patient selection and management. Most surgeons have not yet adopted this
technique and are waiting for more evidence.
PMID- 21890867
TI - Almanac 2011: heart failure. The national society journals present selected
research that has driven recent advances in clinical cardiology.
PMID- 21890868
TI - Ambivalent effect of aortic stenosis on von Willebrand factor and thrombin
generation. Is transvalvular gradient the guilty party?
PMID- 21890870
TI - A common standard.
PMID- 21890869
TI - Prevalence of Anderson-Fabry disease in patients with hypertrophic
cardiomyopathy: the European Anderson-Fabry Disease survey.
AB - OBJECTIVES: The prevalence of Anderson-Fabry disease (AFD) in patients presenting
with unexplained left ventricular hypertrophy (LVH) is controversial. The aim of
this study was to determine the prevalence of AFD in a large, consecutive cohort
of patients with hypertrophic cardiomyopathy (HCM) using rapid mutation
screening. DESIGN, SETTING AND PATIENTS: A European multicentre cross-sectional
study involving 13 referral centres. Inclusion criteria for the study were: men
aged at least 35 years and women aged at least 40 years with unexplained LVH
(maximum left ventricular wall thickness >= 1.5 cm). All patients were screened
using a denaturing high-performance liquid chromatography protocol for rapid
mutation screening of the alpha-galactosidase A (alpha-Gal A) gene and, if a
sequence variant was found, direct sequencing was performed. 1386 patients (63.9%
men, mean age 57.9 +/- 12.0 years) were enrolled in the study. RESULTS: Seven
(0.5%) patients (age 57.4 +/- 9.0 years (45-72); three (43%) men) had pathogenic
alpha-galactosidase A mutations. Polymorphisms were identified in 283 patients
(20.4%). Maximal left ventricular wall thickness in patients carrying a disease
causing mutation was 18 +/- 2 mm (range 15-22); four patients had concentric LVH
and the remainder had asymmetric septal hypertrophy. CONCLUSIONS: The prevalence
of AFD gene mutations in a large, consecutive cohort of European patients with
unexplained LVH is 0.5%.
PMID- 21890871
TI - Development of an optical character recognition pipeline for handwritten form
fields from an electronic health record.
AB - BACKGROUND: Although the penetration of electronic health records is increasing
rapidly, much of the historical medical record is only available in handwritten
notes and forms, which require labor-intensive, human chart abstraction for some
clinical research. The few previous studies on automated extraction of data from
these handwritten notes have focused on monolithic, custom-developed recognition
systems or third-party systems that require proprietary forms. METHODS: We
present an optical character recognition processing pipeline, which leverages the
capabilities of existing third-party optical character recognition engines, and
provides the flexibility offered by a modular custom-developed system. The system
was configured and run on a selected set of form fields extracted from a corpus
of handwritten ophthalmology forms. OBSERVATIONS: The processing pipeline allowed
multiple configurations to be run, with the optimal configuration consisting of
the Nuance and LEADTOOLS engines running in parallel with a positive predictive
value of 94.6% and a sensitivity of 13.5%. DISCUSSION: While limitations exist,
preliminary experience from this project yielded insights on the generalizability
and applicability of integrating multiple, inexpensive general-purpose third
party optical character recognition engines in a modular pipeline.
PMID- 21890872
TI - Prevalence of medication administration errors in two medical units with
automated prescription and dispensing.
AB - OBJECTIVE: To identify the frequency of medication administration errors and
their potential risk factors in units using a computerized prescription order
entry program and profiled automated dispensing cabinets. DESIGN: Prospective
observational study conducted within two clinical units of the Gastroenterology
Department in a 1537-bed tertiary teaching hospital in Madrid (Spain).
MEASUREMENTS: Medication errors were measured using the disguised observation
technique. Types of medication errors and their potential severity were
described. The correlation between potential risk factors and medication errors
was studied to identify potential causes. RESULTS: In total, 2314 medication
administrations to 73 patients were observed: 509 errors were recorded (22.0%)-68
(13.4%) in preparation and 441 (86.6%) in administration. The most frequent
errors were use of wrong administration techniques (especially concerning food
intake (13.9%)), wrong reconstitution/dilution (1.7%), omission (1.4%), and wrong
infusion speed (1.2%). Errors were classified as no damage (95.7%), no damage but
monitoring required (2.3%), and temporary damage (0.4%). Potential clinical
severity could not be assessed in 1.6% of cases. The potential risk factors
morning shift, evening shift, Anatomical Therapeutic Chemical medication class
antacids, prokinetics, antibiotics and immunosuppressants, oral administration,
and intravenous administration were associated with a higher risk of
administration errors. No association was found with variables related to
understaffing or nurse's experience. CONCLUSIONS: Medication administration
errors persist in units with automated prescription and dispensing. We identified
a need to improve nurses' working procedures and to implement a Clinical Decision
Support tool that generates recommendations about scheduling according to dietary
restrictions, preparation of medication before parenteral administration, and
adequate infusion rates.
PMID- 21890873
TI - Comparison of a basic and an advanced pharmacotherapy-related clinical decision
support system in a hospital care setting in the Netherlands.
AB - OBJECTIVE To compare the clinical relevance of medication alerts in a basic and
in an advanced clinical decision support system (CDSS). DESIGN: A prospective
observational study. MATERIALS AND METHODS: We collected 4023 medication orders
in a hospital for independent evaluation in two pharmacotherapy-related decision
support systems. Only the more advanced system considered patient characteristics
and laboratory test results in its algorithms. Two pharmacists assessed the
clinical relevance of the medication alerts produced. The alert was considered
relevant if the pharmacist would undertake action (eg, contact the physician or
the nurse). The primary analysis concerned the positive predictive value (PPV)
for clinically relevant medication alerts in both systems. RESULTS: The PPV was
significantly higher in the advanced system (5.8% vs 17.0%; p<0.05). Significant
differences were found in the alert categories: drug-(drug) interaction (9.9% vs
14.8%; p<0.05), drug-age interaction (2.9% vs 73.3%; p<0.05), and dosing guidance
(5.6% vs 16.9%; p<0.05). Including laboratory values and other patient
characteristics resulted in a significantly higher PPV for the advanced CDSS
compared to the basic medication alerts (12.2% vs 23.3%; p<0.05). CONCLUSION: The
advanced CDSS produced a higher proportion of clinically relevant medication
alerts, but the number of irrelevant alerts remained high. To improve the PPV of
the advanced CDSS, the algorithms should be optimized by identifying additional
risk modifiers and more data should be made electronically available to improve
the performance of the algorithms. Our study illustrates and corroborates the
need for cyclic testing of technical improvements in information technology in
circumstances representative of daily clinical practice.
PMID- 21890874
TI - A clinical decision support needs assessment of community-based physicians.
AB - OBJECTIVE: To conduct a grounded needs assessment to elicit community-based
physicians' current views on clinical decision support (CDS) and its desired
capabilities that may assist future CDS design and development for community
based practices. MATERIALS AND METHODS: To gain insight into community-based
physicians' goals, environments, tasks, and desired support tools, we used a
human-computer interaction model that was based in grounded theory. We conducted
30 recorded interviews with, and 25 observations of, primary care providers
within 15 urban and rural community-based clinics across Oregon. Participants
were members of three healthcare organizations with different commercial
electronic health record systems. We used a grounded theory approach to analyze
data and develop a user-centered definition of CDS and themes related to desired
CDS functionalities. RESULTS: Physicians viewed CDS as a set of software tools
that provide alerts, prompts, and reference tools, but not tools to support
patient management, clinical operations, or workflow, which they would like. They
want CDS to enhance physician-patient relationships, redirect work among staff,
and provide time-saving tools. Participants were generally dissatisfied with
current CDS capabilities and overall electronic health record usability.
DISCUSSION: Physicians identified different aspects of decision-making in need of
support: clinical decision-making such as medication administration and
treatment, and cognitive decision-making that enhances relationships and
interactions with patients and staff. CONCLUSION: Physicians expressed a need for
decision support that extended beyond their own current definitions. To meet this
requirement, decision support tools must integrate functions that align time and
resources in ways that assist providers in a broad range of decisions.
PMID- 21890875
TI - Effects of organic loading rate on reactor performance and archaeal community
structure in mesophilic anaerobic digesters treating municipal sewage sludge.
AB - In this study, the organic loading rate (OLR) of a high-solids anaerobic
digestion (HSAD) system was increased from 3.4 to 5.0 gVS L(-1) day(-1) and
reactor stability, performance and microbial community structure were determined.
Laboratory simulations (3.5 L) of the full-scale process (500 dry ton year(-1))
were conducted using continuously stirred-tank mesophilic reactors. OLRs of 3.4
gVS L(-1)day(-1) (equal to the full-scale HSAD), 4.0, 4.5 and 5.0 gVS L(-1)day(
1) were evaluated. Biochemical parameters and archaeal community dynamics were
measured over 42 days of steady state operation. Results showed that increasing
OLR increased the amount of organic matter conversion and resulted in higher
organic matter removal and volumetric methane (CH4) production (VMP) rates. The
highest volatile solids (VS) removal and VMP results of 54 +/- 2% and 1.4 +/- 0.1
L CH4 L(-1)day(-1) were observed for 5.0 gVS L(-1) day(-1). The efficiency of
reactor conversion of organic matter to CH(4) was found to be similar in all the
treatments with an average value of 0.57 +/- 0.07 LCH(4) gVS(-1) (removed). 16S
rRNA gene terminal restriction fragment polymorphism (T-RFLP) analyses revealed
that archaeal TRFs remained stable during the experiment accounting for an
average relative abundance (RA) of 81 +/- 1%. Archaea consistent with multiple
terminal restriction fragments (TRFs) included members of the Euryarchaeota and
Crenarchaeota phyla, including acetoclastic and hydrogenotrophic groups. In
conclusion, this laboratory-scale study suggests that performance and stability
as well as the archaeal community structure in this HSAD system was unaffected by
increasing the OLR by nearly 50% and that this increase resulted in a similar
increase in the amount of CH(4) gas generated.
PMID- 21890876
TI - Bulky waste quantities and treatment methods in Denmark.
AB - Bulky waste is a significant and increasing waste stream in Denmark. However,
only little research has been done on its composition and treatment. In the
present study, data about collection methods, waste quantities and treatment
methods for bulky waste were obtained from two municipalities. In addition a
sorting analysis was conducted on combustible waste, which is a major fraction of
bulky waste in Denmark. The generation of bulky waste was found to be 150-250 kg
capita(-1) year(-1), and 90% of the waste was collected at recycling centres; the
rest through kerbside collection. Twelve main fractions were identified of which
ten were recyclable and constituted 50-60% of the total quantity. The others were
combustible waste for incineration (30-40%) and non-combustible waste for
landfilling (10%). The largest fractions by mass were combustible waste, bricks
and tile, concrete, non-combustible waste, wood, and metal scrap, which together
made up more than 90% of the total waste amounts. The amount of combustible waste
could be significantly reduced through better sorting. Many of the waste
fractions consisted of composite products that underwent thorough separation
before being recycled. The recyclable materials were in many cases exported to
other countries which made it difficult to track their destination and further
treatment.
PMID- 21890877
TI - Cities as development drivers: from waste problems to energy recovery and climate
change mitigation.
AB - There is a strong connection between economic growth and development of cities.
Economic growth tends to stimulate city growth, and city economies have often
shaped innovative environments that in turn support economic growth.
Simultaneously, social and environmental problems related to city growth can be
serious threats to the realization of the socio-economic contributions that
cities can make. However, as a result of considerable diversity of competences
combined with interactive learning and innovation, cities may also solve these
problems. The 'urban order' may form a platform for innovative problem solving
and potential spill-over effects, which may stimulate further economic growth and
development. This paper discusses how waste problems of cities can be transformed
to become part of new, more sustainable solutions. Two cases are explored:
Aalborg in Denmark and Malmo in Sweden. It is shown that the cities have the
potential to significantly contribute to a more sustainable development through
increased material recycling and energy recovery. Waste prevention may increase
this potential. For example, instead of constituting 3% of the total greenhouse
gas emission problem, it seems possible for modern European cities to contribute
to greenhouse gas emission reduction by 15% through up to date technology and
integrated waste management systems for material and energy recovery. Going from
being part of the problem to providing solutions; however, is not an easy
endeavour. It requires political will and leadership, supportive regulatory
frameworks, realistic timetables/roadmaps, and a diverse set of stakeholders that
can provide the right creative and innovative mix to make it possible.
PMID- 21890878
TI - Improved detection of acute myocardial infarction in patients with chest pain and
significant left main stem coronary stenosis.
AB - BACKGROUND: Non-invasive diagnosis of acute myocardial infarction (AMI)
associated with significant left main stem (LMS) stenosis remains challenging.
METHODS: Consecutive patients presenting with acute ischaemic-type chest pain
from 2000 to 2010 were analysed. Entry criteria: 12-lead ECG and Body Surface
Potential Map (BSPM) at presentation, cardiac troponin T (cTnT) >=12 h and
coronary angiography during admission. cTnT >=0.03 ug/l defined AMI. ECG
abnormalities assessed: STEMI by Minnesota criteria; ST elevation (STE) aVR >=0.5
mm; ST depression (STD) >=0.5 mm in >=2 contiguous leads (CL); T-wave inversion
(TWI) >=1 mm in >=2 CL. BSPM STE was >=2 mm in anterior, >=1 mm in lateral,
inferior, right ventricular or high right anterior and >=0.5 mm in posterior
territories. Significant LMS stenosis was >=70%. RESULTS: Enrolled were 2810
patients (aged 60 +/- 12 years; 71% male). Of these, 116 (4.1%) had significant
LMS stenosis with AMI occurring in 92 (79%). STEMI by Minnesota criteria occurred
in 13 (11%) (sensitivity 12%, specificity 92%), STE in lead aVR in 23 (20%)
(sensitivity 23%, specificity 92%), TWI in 38 (33%) (sensitivity 34%, specificity
71%) and STD in 51 (44%) (sensitivity 49%, specificity 75%). BSPM STE occurred in
85 (73%): sensitivity 88%, specificity 83%, positive predictive value 95% and
negative predictive value 65%. Of those with AMI, 74% had STE in either the high
right anterior or right ventricular territories not identified by the 12-lead
ECG. C-Statistic for AMI diagnosis using BSPM STE was 0.800 (P < 0.001).
CONCLUSION: In patients with significant LMS stenosis presenting with chest pain,
BSPM STE has improved sensitivity (88%), with specificity 83%, over 12-lead ECG
in the diagnosis of AMI.
PMID- 21890879
TI - Targeted systemic radiotherapy with scVEGF/177Lu leads to sustained disruption of
the tumor vasculature and intratumoral apoptosis.
AB - Tumor vessels abundantly express receptors for vascular endothelial growth factor
(VEGF), despite treatment with conventional or antiangiogenic drugs. We wished to
determine whether the high levels of VEGF receptor (VEGFR) within the tumor
vasculature could be leveraged for intracellular delivery of therapeutically
significant doses of scVEGF/(177)Lu, a novel radiopharmaceutical based on a
recombinant single-chain (sc) derivative of VEGF, in orthotopic breast cancer
models. METHODS: scVEGF-PEG (polyethylene gycol)-DOTA conjugates containing 2.0-,
3.4-, or 5.0-kDa PEG linkers site-specifically conjugated to a cysteine
containing tag (Cys-tag) in scVEGF were radiolabeled with (177)Lu
(scVEGF/(177)Lu) for in vivo studies. Human MDA231luc and mouse 4T1luc cell lines
were injected orthotopically to establish breast carcinoma tumors in
immunodeficient and immunocompetent hosts, respectively. The effects of
scVEGF/(177)Lu were defined by analysis of changes in tumor growth and
immunohistochemical staining for the endothelial markers CD31 and VEGFR-2 and
terminal deoxynucleotidyl transferase-mediated dUTP nick-end labeling (TUNEL)
staining for intratumoral apoptosis. RESULTS: Biodistribution assays and
dosimetric calculations established that scVEGF/(177)Lu with a 3.4-kDa PEG linker
delivered the highest dose of radiation to tumors (69.9 cGy/MBq/g of tissue) and
the lowest dose to the kidneys (33.3 cGy/MBq/organ). Total doses below 40
MBq/mouse of scVEGF/(177)Lu did not affect renal function, and 3 divided doses of
6.3 MBq/mouse or a bolus dose of 18.9 MBq/mouse induced only transient
lymphopenia and weight loss (<10% baseline weight). In mice with orthotopic
mammary breast carcinoma, intravenous injections of well-tolerated bolus and
fractionated doses of scVEGF/(177)Lu in the range from 6.3 to 18.9 MBq/mouse (25
76 MBq/m(2)) resulted in dose-dependent tumor growth inhibition.
Immunohistochemical analysis of tumors at 4-5 wk after single injections of
scVEGF/(177)Lu indicated dose-dependent regression of tumor vasculature and
widespread intratumoral apoptosis. A single dose of 7.4 MBq/mouse of
scVEGF/(177)Lu given before a course of bevacizumab or sunitinib treatment
enhanced the antiangiogenic effects of both drugs. CONCLUSION: Selective
targeting of VEGFR in tumor vasculature with well-tolerated doses of
scVEGF/(177)Lu is effective in orthotopic breast cancer models. As high levels of
VEGFR expression in the tumor vasculature are a common feature in a variety of
cancers, targeting tumor angiogenesis with scVEGF/(177)Lu warrants further
exploration.
PMID- 21890880
TI - 89Zr-nanocolloidal albumin-based PET/CT lymphoscintigraphy for sentinel node
detection in head and neck cancer: preclinical results.
AB - Identifying sentinel nodes near the primary tumor remains a problem in, for
example, head and neck cancer because of the limited resolution of current
lymphoscintigraphic imaging when using (99m)Tc-nanocolloidal albumin. This study
describes the development and evaluation of a nanocolloidal albumin-based tracer
specifically dedicated for high-resolution PET detection. METHODS: (89)Zr was
coupled to nanocolloidal albumin via the bifunctional chelate p
isothiocyanatobenzyldesferrioxamine B. Quality control tests, including particle
size measurements, and in vivo biodistribution and imaging experiments in a
rabbit lymphogenic metastasis model were performed. RESULTS: Coupling of (89)Zr
to nanocolloidal albumin appeared to be efficient, resulting in a stable product
with a radiochemical purity greater than 95%, without affecting the particle
size. PET showed distinguished uptake of (89)Zr-nanocolloidal albumin in the
sentinel nodes, with visualization of lymphatic vessels, and with a
biodistribution comparable to (99m)Tc-nanocolloidal albumin. CONCLUSION: (89)Zr
nanocolloidal albumin is a promising tracer for sentinel node detection by PET.
PMID- 21890881
TI - Paradoxical embolism, stroke and sclerotherapy.
AB - Sclerotherapy has been associated with 13 published cases of stroke since 1994.
Four earlier reports implicated liquid sclerosants while nine recent cases have
followed foam sclerotherapy. This adverse event represents a very rare
complication of a very popular procedure. Ten of the 13 reported patients
recovered completely with no long-term sequelae. A right-to-left shunt and in
particular a patent foramen ovale (PFO) was the most consistent risk factor.
Paradoxical gas emboli were observed in the brain-supplying or the intra-cranial
arteries of five patients with an immediate onset of stroke after foam
sclerotherapy. Paradoxical clot embolism was suspected in three patients with a
delayed onset of stroke and concurrent venous thrombosis. In the remaining five
cases, which included two cases with an immediate onset after liquid
sclerotherapy, no specific cause was identified. Patients with a past history of
cryptogenic stroke or a long life history of recurrent classic migraine attacks
(with aura) have a higher risk of neurological adverse events and may benefit
from preoperative screening and percutaneous closure of PFO.
PMID- 21890882
TI - A mannequin study of intubation with the AP advance and GlideScope Ranger
videolaryngoscopes and the Macintosh laryngoscope.
AB - BACKGROUND: The AP Advance (APA) is a videolaryngoscope with interchangeable
blades: intubators can choose standard Macintosh blades or a difficult-airway
blade with increased curvature and a channel to guide the tube to the larynx. The
APA may therefore be comparably effective in both normal and difficult airways.
We tested the hypotheses that intubation with the APA is no slower than Macintosh
laryngoscopy for normal mannequin airways, and that it is no slower than
videolaryngoscopy using a GlideScope Ranger in difficult mannequin airways.
METHODS: Medical professionals whose roles potentially include tracheal
intubation were trained with each device. Participants intubated simulated
(Laerdal SimMan) normal and difficult airways with the APA, GlideScope, and a
conventional Macintosh blade. Speed of intubation was compared using Cox
proportional hazards regression, with a hazard ratio >0.8 considered noninferior.
We also compared laryngeal visualization, failures, and participant preferences.
RESULTS: Unadjusted intubation times in the normal airway with the APA and
Macintosh were virtually identical (median, 22 vs 23 seconds); after adjustment
for effects of experience, order, and period, the hazard ratio (95% confidence
interval) comparing APA with Macintosh laryngoscopy was 0.87 (0.65, 1.17), which
was not significantly more than our predefined noninferiority boundary of 0.8 (P
= 0.26). Intubation with the APA was faster than with the GlideScope in difficult
airways (hazard ratio = 7.6 [5.0, 11.3], P < 0.001; median, 20 vs 59 seconds).
All participants intubated the difficult airway mannequin with the APA, whereas
33% and 37% failed with the GlideScope and Macintosh, respectively. In the
difficult airway, 99% of participants achieved a Cormack and Lehane grade I to II
view with the APA, versus 85% and 33% with the GlideScope and Macintosh,
respectively. When asked to choose 1 device overall, 82% chose the APA.
CONCLUSIONS: Intubation times were similar with the APA and Macintosh
laryngoscopes in mannequins with normal airways. However, intubation with the APA
was significantly faster than with the GlideScope in the difficult mannequin
simulation.
PMID- 21890883
TI - The effects of anesthetic preconditioning with sevoflurane in an experimental
lung autotransplant model in pigs.
AB - BACKGROUND: Ischemia-reperfusion lung injury is doubly important in thoracic
surgery because of the associated ventilation damage to 1 lung. In this study we
evaluated the cytoprotective effects of sevoflurane in a pulmonary autotransplant
model in pigs. METHODS: Twenty Large White pigs undergoing pneumonectomy plus
lung autotransplant were divided into 2 10-member groups on the basis of the
anesthetic received (propofol or sevoflurane). Proinflammatory mediators,
oxidative stress, nitric oxide metabolism, and hemodynamic and blood variables
were measured at 5 different time points. RESULTS: There was an increase of
oxidative stress markers and proinflammatory mediators in the propofol group,
whereas the hemodynamic variables were similar in both groups. CONCLUSIONS: We
demonstrated that sevoflurane decreased the inflammatory response and oxidative
stress in a live ischemia-reperfusion lung model.
PMID- 21890884
TI - Propofol in a modified cyclodextrin formulation: first human study of dose
response with emphasis on injection pain.
AB - BACKGROUND: A new lipid-free preparation of propofol has been developed
containing the drug, sulfobutylether beta-cyclodextrin and water. The primary
objective of this study was to compare the effects of propofol in the lipid
formulation with those of the new cyclodextrin formulation, particularly with
regard to pain on injection. We hypothesized that the propofol in cyclodextrin
would be associated with less pain on injection than propofol in lipid. METHODS:
The study was a single-center, double-blind, 2-period, randomized, dose
escalating study using a completely balanced cross-over design in healthy
volunteers. Pain on injection was compared between propofol in cyclodextrin and
propofol in lipid using subject and observer assessments of pain rated at several
different time points. Five response variables to pain were analyzed. RESULTS:
Propofol in cyclodextrin had significantly higher pain scores for all 5
variables. Other endpoints, including sedation, showed no difference. CONCLUSION:
The propofol in cyclodextrin formulation failed to reduce the pain on injection
associated with propofol.
PMID- 21890885
TI - The optimal dose of prophylactic intravenous naloxone in ameliorating opioid
induced side effects in children receiving intravenous patient-controlled
analgesia morphine for moderate to severe pain: a dose finding study.
AB - BACKGROUND: Opioid-induced side effects, such as pruritus, nausea, and vomiting
are common and may be more debilitating than pain itself. A continuous low-dose
naloxone infusion (0.25 MUg/kg/h) ameliorates some of these side effects in many
but not all patients without adversely affecting analgesia. We sought to
determine the optimal dose of naloxone required to minimize opioid-induced side
effects and to measure plasma morphine and naloxone levels in a dose escalation
study. METHODS: Fifty-nine pediatric patients (24 male/35 female; average age
14.2 +/- 2.2 years) experiencing moderate to severe postoperative pain were
started on IV patient-controlled analgesia morphine (basal infusion 20 MUg/kg/h,
demand dose 20 MUg/kg, 5 doses/h) and a low-dose naloxone infusion (initial
cohort: 0.05 MUg/kg/h; subsequent cohorts: 0.10, 0.15, 0.25, 0.40, 0.65, 1, and
1.65 MUg/kg/h). If 2 patients developed intolerable nausea, vomiting, or
pruritus, the naloxone infusion was increased for subsequent patients.
Dose/treatment success occurred when 10 patients had minimal side effects at a
naloxone dose. Blood samples were obtained for measurement of plasma morphine and
naloxone levels after initiation of the naloxone infusion, processed, stored, and
measured by tandem mass spectrometry with electrospray positive ionization.
RESULTS: The minimum naloxone dose at which patients were successfully treated
with a <10% side effect/failure rate was 1 MUg/kg/h; cohort size varied between 4
and 11 patients. Naloxone was more effective in preventing pruritus than nausea
and vomiting. Concomitant use of supplemental medicines to treat opioid-induced
side effects was required at all naloxone infusion rates. Plasma naloxone levels
were below the level of assay quantification (0.1 ng/mL) for infusion rates
<=0.15 MUg/kg/h. At rates >0.25 MUg/kg/h, plasma levels increased linearly with
increasing infusion rate. In each dose cohort, patients who failed therapy had
comparable or higher plasma naloxone levels than those levels measured in
patients who did not fail treatment. Plasma morphine levels ranged between 3.52
and 172 ng/mL, and >90% of levels ranged between 10.2 and 61.6 ng/mL. Plasma
morphine levels were comparable between patients who failed therapy and those
patients who achieved symptom control. CONCLUSIONS: Naloxone infusion rates >=1
MUg/kg/h significantly reduced, but did not eliminate, the incidence of opioid
induced side effects in postoperative pediatric patients receiving IV patient
controlled analgesia morphine. Patients who failed therapy generally had plasma
naloxone and morphine levels that were comparable to those who had good symptom
relief suggesting that success or failure to ameliorate opioid-induced side
effects was unrelated to plasma levels.
PMID- 21890886
TI - Maternal cardiac output changes after crystalloid or colloid coload following
spinal anesthesia for elective cesarean delivery: a randomized controlled trial.
AB - BACKGROUND: Minimizing hypotension associated with spinal anesthesia for cesarean
delivery by administration of IV fluids and vasopressors reduces fetal and
maternal morbidity. Most studies have concentrated on noninvasive systolic blood
pressure (SBP) measurements to evaluate the effect of such regimens. We used a
suprasternal Doppler flow technique to measure maternal cardiac output (CO)
variables in parturients receiving a phenylephrine infusion combined with the
rapid administration of crystalloid or colloid solution at the time of initiation
of anesthesia (coload). We hypothesized that a colloid coload compared with a
crystalloid coload would produce a larger sustained increase in CO and therefore
reduce vasopressor requirements. METHODS: We recruited 60 healthy term women
scheduled for elective cesarean delivery under spinal anesthesia for this
randomized double-blind study. Baseline heart rate, baseline SBP, and CO
variables including stroke volume, corrected flow time, and contractility were
recorded in the left lateral tilt position. At the time of spinal injection,
subjects were allocated to receive a rapid 1-L coload of either 6% w/v
hydroxyethyl starch solution (HES) or Hartmann (crystalloid) solution (HS). A
phenylephrine infusion was titrated to maintain maternal baseline SBP. CO was
measured at 5-minute intervals for 20 minutes after initiation of spinal
anesthesia. The primary outcome, CO, was compared between groups, as were
secondary outcomes: phenylephrine dose and maternal hemodynamic and fetal outcome
data. RESULTS: Maternal demographics, surgical times, and fetal outcome data were
similar between groups. There were no significant differences between groups in
any measured CO variable at any time point. CO was transiently higher than
baseline at 5 minutes in the HS group and at 5 and 10 minutes in the HES group
(range, 0.13-1.74 L/min); the overall mean difference in CO between crystalloid
and colloid over the study period was 0.06 L/min (95% confidence interval: -0.46
to 0.58). Stroke volume was higher than baseline in both groups throughout; peak
velocity was consistently higher than baseline only in the HES group; and
corrected flow time increased in both groups; the effect was transient in the HS
but sustained in the HES group. Heart rate was not different at any time point
within or between groups but did decrease over time. The total phenylephrine dose
from time of spinal anesthesia to delivery was similar between groups.
CONCLUSION: We found no difference in CO in women randomized to colloid or
crystalloid coload. In addition, there were no differences in vasopressor
requirements or hemodynamic stability. We conclude that there is no advantage in
using colloid over crystalloid when used in combination with a phenylephrine
infusion during spinal anesthesia for elective cesarean delivery.
PMID- 21890887
TI - Does the baricity of bupivacaine influence intrathecal spread in the prolonged
sitting position before elective cesarean delivery? A prospective randomized
controlled study.
AB - BACKGROUND: Difficulties in inserting an epidural catheter while performing
combined spinal-epidural anesthesia for cesarean delivery may lead to undue
delays between the spinal injection of the local anesthetic mixture and the
adoption of the supine position with lateral tilt. We hypothesized that this
delay may affect the intrathecal distribution of local anesthetic of different
baricities such that hypobaric local anesthetic would lead to a higher sensory
block level. METHODS: Healthy parturients with uncomplicated pregnancies
undergoing elective cesarean delivery under combined spinal-epidural anesthesia
were enrolled in this prospective double-blind randomized controlled trial. The
subjects were allocated to receive hyperbaric (hyperbaric group), isobaric
(isobaric group), or hypobaric (hypobaric group) spinal bupivacaine 10 mg. After
the spinal injection, the subjects remained in the sitting position for 5 minutes
(to simulate difficulty in inserting the epidural catheter) before being helped
into the supine lateral tilt position. The primary outcome was the sensory block
level during the 25 minutes after the spinal injection. Other end points included
motor block score, maternal hypotension, and vasopressor requirements. RESULTS:
Data from 89 patients were analyzed. Patient characteristics were similar in all
groups. The median [interquartile range] (95% confidence interval) sensory levels
after spinal injection were significantly higher with decreasing baricity:
hyperbaric T10 [T11-8] (T10-9), isobaric T9 [T10-7] (T9-7), and hypobaric T6 [T8
4] (T8-5) (P < 0.001, Cuzick trend). All patients in the hypobaric group reached
a sensory block level of T4 at 25 minutes after spinal injection compared with
80% of the patients in both the isobaric and hyperbaric groups (P = 0.04;
difference 20%, 95% confidence interval of difference 4%-33%). Significantly more
patients in the hypobaric group had complete lower limb motor block (Bromage
score = 4) (hyperbaric 43%, isobaric 63%, and hypobaric 90%; P < 0.001). The
incidences of maternal hypotension and nausea and vomiting were similar among
groups, although the ephedrine requirements were significantly increased in the
isobaric and hypobaric groups by factors of 1.83 and 3.0, respectively, compared
with the hyperbaric group (P < 0.001, Cuzick trend). CONCLUSIONS: We demonstrated
that when parturients undergoing cesarean delivery were maintained in the sitting
position for 5 minutes after spinal injection of the local anesthetic, hypobaric
bupivacaine resulted in sensory block levels that were higher compared with
isobaric and hyperbaric bupivacaine, respectively, during the study period.
PMID- 21890888
TI - Reversal of clopidogrel-induced bleeding with rFVIIa in healthy subjects: a
randomized, placebo-controlled, double-blind, exploratory study.
AB - BACKGROUND: Clopidogrel (Plavix(r)) therapy, although effective for minimizing
risk of thrombotic events, is also associated with potential bleeding risk.
Recombinant activated FVII (rFVIIa, NovoSeven(r)) induces hemostasis in
hemophilia patients with inhibitors (alloantibodies) and has been proposed as
potential treatment for mitigating clopidogrel therapy-mediated bleeding.
METHODS: In this single-center, randomized, placebo-controlled, double-blind,
dose-escalation, exploratory phase I trial, we assessed the safety and effects of
rFVIIa in reversing clopidogrel-enhanced bleeding in an experimentally induced
punch biopsy in healthy subjects. Efficacy assessments included the reversal of
bleeding characteristics (bleed duration [BD], the primary end point and blood
loss volume [BV] induced by punch biopsy, and thromboelastograph [TEG(r)]
parameters) with rFVIIa or placebo after clopidogrel treatment. RESULTS: A
significant number of subjects (56%) had limited response to clopidogrel (defined
as <=30% platelet aggregation inhibition) and were discontinued from study. The
remaining subjects continued and had 4 biopsies. Of 40 subjects randomized, 37
were evaluated for efficacy. Clopidogrel treatment increased BD and BV compared
with the baseline biopsy. Recombinant FVIIa (10 and 20 MUg/kg) significantly
mitigated the clopidogrel-induced effects on BV (P = 0.007 and P = 0.001,
respectively). Early trial termination limited the evaluation of effects of
higher rFVIIa doses. Subgroup analyses of subjects biopsied by the same physician
demonstrated significant reduction of clopidogrel-induced BD with 20 MUg/kg
rFVIIa (P = 0.048). Ex vivo analysis of rFVIIa demonstrated clotting dynamics
presented by parameters time to clot onset (TEG(r)-R) and clot angle (TEG(r)-A)
(P < 0.005). CONCLUSIONS: In this clinical study, rFVIIa (10 and 20 MUg/kg)
reversed the effect of clopidogrel on blood loss.
PMID- 21890889
TI - A comparison between remifentanil and meperidine for labor analgesia: a
systematic review.
AB - BACKGROUND: Remifentanil is an ultrashort-acting opioid with favorable
pharmacokinetic properties that make it suitable as a labor analgesic. Although
it crosses the placenta freely, it is eliminated quickly in the neonate by rapid
metabolism and redistribution. We aimed to determine whether remifentanil
compared with meperidine is effective in reducing pain scores in laboring
parturients. Other effects on the mother, the labor process, and the neonate were
also examined. METHODS: MEDLINE, CINAHL, Embase, Cochrane CENTRAL, and Maternity
and Infant Care databases were searched without language restriction using
multiple keywords for labor analgesia, remifentanil, and meperidine. Published
abstracts from 5 key research meetings and references from retrieved articles
were examined for additional studies. Randomized controlled trials in laboring
parturients comparing remifentanil with meperidine were selected. Risk of bias
was assessed using criteria outlined in the Cochrane Handbook for Systematic
Reviews of Interventions. We assessed for adequacy of sequence generation,
allocation concealment, blinding, and completeness of follow-up. Data were
extracted from each study using a standardized data collection form. The primary
outcome was reduction in pain scores (visual analog scale [VAS], 0-100 mm). We
also evaluated maternal side effects (sedation, oxygen desaturation, and
bradypnea) and effects on the neonate (Apgar scores, umbilical cord pH, and
Neurologic and Adaptive Capacity Scores). RESULTS: Seven studies (349 patients)
were identified for inclusion; only 3 studies were suitable for quantitative
synthesis in a meta-analysis (233 patients). We found that remifentanil reduces
the mean VAS score at 1 hour by 25 mm more than meperidine (P < 0.001) (95%
confidence interval = 19-31 mm). Limited conclusions can be made regarding the
side-effect profile of remifentanil because of insufficient data. CONCLUSION:
Compared with meperidine, remifentanil is superior in reducing mean VAS scores
for labor pain after 1 hour.
PMID- 21890890
TI - Arterial waveform analysis for the anesthesiologist: past, present, and future
concepts.
AB - Qualitative arterial waveform analysis has been in existence for millennia;
quantitative arterial waveform analysis techniques, which can be traced back to
Euler's work in the 18th century, have not been widely used by anesthesiologists
and other clinicians. This is likely attributable, in part, to the widespread use
of the sphygmomanometer, which allows the practitioner to assess arterial blood
pressure without having to develop a sense for the higher-order characteristics
of the arterial waveform. The 20-year delay in the development of devices that
measure these traits is a testament to the primitiveness of our appreciation for
this information. The shape of the peripheral arterial pressure waveform may
indeed contain information useful to the anesthesiologist and intensivist. The
maximal slope of the peripheral arterial pressure tracing seems to be related to
left ventricular contractility, although the relationship may be confounded by
other hemodynamic variables. The area under the peripheral arterial pressure
tracing is related to stroke volume when loading conditions are stable; this
finding has been used in the development of several continuous cardiac output
monitors. Pulse wave velocity may be related to vascular impedance and could
potentially improve the accuracy of waveform-based stroke volume estimates.
Estimates of central arterial pressures (e.g., aortic) can be produced from
peripheral (e.g., brachial, radial) tracings using a Generalized Transfer
Function, and are incorporated into the algorithms of several continuous cardiac
output monitors.
PMID- 21890891
TI - New functional ligands for ficolin-3 among lipopolysaccharides of Hafnia alvei.
AB - Ficolin-1 (M), ficolin-2 (L), ficolin-3 (H) and mannan-binding lectin (MBL)
activate the complement system and have opsonic activity. The specificity of
ficolin-3 is poorly characterized and currently limited to a few ligands only. We
present new specific targets for human ficolin-3, identified among
lipopolysaccharides (LPSs, endotoxin) of Hafnia alvei. The interaction was
restricted to LPSs of four strains: 23, Polish Collection of Microorganisms (PCM)
1200, PCM 1203 and PCM 1205 and limited to their O-specific polysaccharides (O
specific PSs) composed of different numbers of oligosaccharide (OS) repeating
units (RUs). Moreover, these LPS/ficolin-3 complexes activated the lectin pathway
of complement in a C4b-deposition assay in a calcium- and magnesium-dependent
way. A neoglycoconjugate of the O-specific PS fraction of H. alvei 1200 LPS with
bovine serum albumin (BSA) was prepared and used as a tool for the determination
of ficolin-3 concentration and activity in serum. To confirm a structure of the O
specific PS 1200 selected for the conjugate preparation, structural analysis was
performed on a series of O-specific PSs released by the mild acid hydrolysis of
the LPS. The isolated O-specific PSs, showing the different length distributions,
were devoid of a major part of the core OS region and had Hep-Kdo disaccharide at
a reducing end. The neoglycoconjugate was a highly selective tool for the
determination of ficolin-3 concentration and activity in serum (lectin pathway
activation in the C4b deposition assay) and was not affected by MBL, ficolin-1
and ficolin-2 or natural antibodies.
PMID- 21890892
TI - Ncam1a and Ncam1b: two carriers of polysialic acid with different functions in
the developing zebrafish nervous system.
AB - Polysialic acid (polySia) is mainly described as a glycan modification of the
neural cell adhesion molecule NCAM1. PolySia-NCAM1 has multiple functions during
the development of vertebrate nervous systems including axon extension and
fasciculation. Phylogenetic analyses reveal the presence of two related gene
clusters, NCAM1 and NCAM2, in tetrapods and fishes. Within the ncam1 cluster,
teleost fishes express ncam1a (ncam) and ncam1b (pcam) as duplicated paralogs
which arose from a second round of ray-finned fish-specific genome duplication.
Tetrapods, in contrast, express a single NCAM1 gene. Using the zebrafish model,
we identify Ncam1b as a novel major carrier of polySia in the nervous system.
PolySia-Ncam1a is expressed predominantly in rostral regions of the developing
nervous system, whereas polySia-Ncam1b prevails caudally. We show that ncam1a and
ncam1b have different expression domains which only partially overlap.
Furthermore, Ncam1a and Ncam1b and their polySia modifications serve different
functions in axon guidance. Formation of the posterior commissure at the
forebrain/midbrain junction requires polySia-Ncam1a on the axons for proper
fasciculation, whereas Ncam1b, expressed by midbrain cell bodies, serves as an
instructive guidance cue for the dorso-medially directed growth of axons. Spinal
motor axons, on the other hand, depend on axonally expressed Ncam1b for correct
growth toward their target region. Collectively, these findings suggest that the
genome duplication in the teleost lineage has provided the basis for a functional
diversification of polySia carriers in the nervous system.
PMID- 21890893
TI - SIRT1 links CIITA deacetylation to MHC II activation.
AB - Antigen-dependent stimulation of T cells plays a critical role in adaptive
immunity and host defense. Activation of major histocompatibility complex II (MHC
II) molecules, dictated by Class II transactivator (CIITA), is considered a
pivotal step in this process. The mechanism underlying differential regulation of
CIITA activity by the post-translational modification machinery (PTM) and its
implications are not clearly appreciated. Here, we report that SIRT1, a type III
deacetylase, interacts with and deacetylates CIITA. SIRT1 activation augments MHC
II transcription by shielding CIITA from proteasomal degradation and promoting
nuclear accumulation and target binding of CIITA. In contrast, depletion of SIRT1
upregulates CIITA acetylation and attenuates its activity. Nicotinamide
phosphoribosyltransferase (NAMPT) that synthesizes NAD(+) required for SIRT1
activation exerts similar effects on CIITA activity. Two different types of
stress stimuli, hypobaric hypoxia and oxidized low-density lipoprotein (oxLDL),
induce the acetylation of CIITA and suppress its activity by inhibiting the SIRT1
expression and activity. Thus, our data link SIRT1-mediated deacetylation of
CIITA to MHC II transactivation in macrophages and highlight a novel strategy
stress cues may employ to manipulate host adaptive immune system.
PMID- 21890894
TI - Signal-induced Brd4 release from chromatin is essential for its role transition
from chromatin targeting to transcriptional regulation.
AB - Bromodomain-containing protein Brd4 is shown to persistently associate with
chromosomes during mitosis for transmitting epigenetic memory across cell
divisions. During interphase, Brd4 also plays a key role in regulating the
transcription of signal-inducible genes by recruiting positive transcription
elongation factor b (P-TEFb) to promoters. How the chromatin-bound Brd4 transits
into a transcriptional regulation mode in response to stimulation, however, is
largely unknown. Here, by analyzing the dynamics of Brd4 during ultraviolet or
hexamethylene bisacetamide treatment, we show that the signal-induced release of
chromatin-bound Brd4 is essential for its functional transition. In untreated
cells, almost all Brd4 is observed in association with interphase chromatin. Upon
treatment, Brd4 is released from chromatin, mostly due to signal-triggered
deacetylation of nucleosomal histone H4 at acetylated-lysine 5/8 (H4K5ac/K8ac).
Through selective association with the transcriptional active form of P-TEFb that
has been liberated from the inactive multi-subunit complex in response to
treatment, the released Brd4 mediates the recruitment of this active P-TEFb to
promoter, which enhances transcription at the stage of elongation. Thus, through
signal-induced release from chromatin and selective association with the active
form of P-TEFb, the chromatin-bound Brd4 switches its role to mediate the
recruitment of P-TEFb for regulating the transcriptional elongation of signal
inducible genes.
PMID- 21890895
TI - OPM database and PPM web server: resources for positioning of proteins in
membranes.
AB - The Orientations of Proteins in Membranes (OPM) database is a curated web
resource that provides spatial positions of membrane-bound peptides and proteins
of known three-dimensional structure in the lipid bilayer, together with their
structural classification, topology and intracellular localization. OPM currently
contains more than 1200 transmembrane and peripheral proteins and peptides from
approximately 350 organisms that represent approximately 3800 Protein Data Bank
entries. Proteins are classified into classes, superfamilies and families and
assigned to 21 distinct membrane types. Spatial positions of proteins with
respect to the lipid bilayer are optimized by the PPM 2.0 method that accounts
for the hydrophobic, hydrogen bonding and electrostatic interactions of the
proteins with the anisotropic water-lipid environment described by the dielectric
constant and hydrogen-bonding profiles. The OPM database is freely accessible at
http://opm.phar.umich.edu. Data can be sorted, searched or retrieved using the
hierarchical classification, source organism, localization in different types of
membranes. The database offers downloadable coordinates of proteins and peptides
with membrane boundaries. A gallery of protein images and several visualization
tools are provided. The database is supplemented by the PPM server
(http://opm.phar.umich.edu/server.php) which can be used for calculating spatial
positions in membranes of newly determined proteins structures or theoretical
models.
PMID- 21890896
TI - Role of sequence encoded kappaB DNA geometry in gene regulation by Dorsal.
AB - Many proteins of the Rel family can act as both transcriptional activators and
repressors. However, mechanism that discerns the 'activator/repressor' functions
of Rel-proteins such as Dorsal (Drosophila homologue of mammalian NFkappaB) is
not understood. Using genomic, biophysical and biochemical approaches, we
demonstrate that the underlying principle of this functional specificity lies in
the 'sequence-encoded structure' of the kappaB-DNA. We show that Dorsal-binding
motifs exist in distinct activator and repressor conformations. Molecular
dynamics of DNA-Dorsal complexes revealed that repressor kappaB-motifs typically
have A-tract and flexible conformation that facilitates interaction with co
repressors. Deformable structure of repressor motifs, is due to changes in the
hydrogen bonding in A:T pair in the 'A-tract' core. The sixth nucleotide in the
nonameric kappaB-motif, 'A' (A(6)) in the repressor motifs and 'T' (T(6)) in the
activator motifs, is critical to confer this functional specificity as A(6) ->
T(6) mutation transformed flexible repressor conformation into a rigid activator
conformation. These results highlight that 'sequence encoded kappaB DNA-geometry'
regulates gene expression by exerting allosteric effect on binding of Rel
proteins which in turn regulates interaction with co-regulators. Further, we
identified and characterized putative repressor motifs in Dl-target genes, which
can potentially aid in functional annotation of Dorsal gene regulatory network.
PMID- 21890897
TI - Activity, specificity and structure of I-Bth0305I: a representative of a new
homing endonuclease family.
AB - Novel family of putative homing endonuclease genes was recently discovered during
analyses of metagenomic and genomic sequence data. One such protein is encoded
within a group I intron that resides in the recA gene of the Bacillus
thuringiensis 03058-36 bacteriophage. Named I-Bth0305I, the endonuclease cleaves
a DNA target in the uninterrupted recA gene at a position immediately adjacent to
the intron insertion site. The enzyme displays a multidomain, homodimeric
architecture and footprints a DNA region of ~60 bp. Its highest specificity
corresponds to a 14-bp pseudopalindromic sequence that is directly centered
across the DNA cleavage site. Unlike many homing endonucleases, the specificity
profile of the enzyme is evenly distributed across much of its target site, such
that few single base pair substitutions cause a significant decrease in cleavage
activity. A crystal structure of its C-terminal domain confirms a nuclease fold
that is homologous to very short patch repair (Vsr) endonucleases. The domain
architecture and DNA recognition profile displayed by I-Bth0305I, which is the
prototype of a homing lineage that we term the 'EDxHD' family, are distinct from
previously characterized homing endonucleases.
PMID- 21890898
TI - Novel dimeric structure of phage phi29-encoded protein p56: insights into uracil
DNA glycosylase inhibition.
AB - Protein p56 encoded by the Bacillus subtilis phage phi29 inhibits the host uracil
DNA glycosylase (UDG) activity. To get insights into the structural basis for
this inhibition, the NMR solution structure of p56 has been determined. The
inhibitor defines a novel dimeric fold, stabilized by a combination of polar and
extensive hydrophobic interactions. Each polypeptide chain contains three
stretches of anti-parallel beta-sheets and a helical region linked by three short
loops. In addition, microcalorimetry titration experiments showed that it forms a
tight 2:1 complex with UDG, strongly suggesting that the dimer represents the
functional form of the inhibitor. This was further confirmed by the functional
analysis of p56 mutants unable to assemble into dimers. We have also shown that
the highly anionic region of the inhibitor plays a significant role in the
inhibition of UDG. Thus, based on these findings and taking into account previous
results that revealed similarities between the association mode of p56 and the
phage PBS-1/PBS-2-encoded inhibitor Ugi with UDG, we propose that protein p56
might inhibit the enzyme by mimicking its DNA substrate.
PMID- 21890899
TI - Identification and remediation of biases in the activity of RNA ligases in small
RNA deep sequencing.
AB - Deep sequencing of small RNAs (sRNA-seq) is now the gold standard for small RNA
profiling and discovery. Biases in sRNA-seq have been reported, but their
etiology remains unidentified. Through a comprehensive series of sRNA-seq
experiments, we establish that the predominant cause of the bias is the RNA
ligases. We further demonstrate that RNA ligases have strong sequence-specific
biases which distort the small RNA profiles considerably. We have devised a
pooled adapter strategy to overcome this bias, and validated the method through
data derived from microarray and qPCR. In light of our findings, published small
RNA profiles, as well as barcoding strategies using adapter-end modifications,
may need to be revisited. Importantly, by providing a wide spectrum of substrate
for the ligase, the pooled-adapter strategy developed here provides a means to
overcome issues of bias, and generate more accurate small RNA profiles.
PMID- 21890900
TI - Influence of ground-state structure and Mg2+ binding on folding kinetics of the
guanine-sensing riboswitch aptamer domain.
AB - Riboswitch RNAs fold into complex tertiary structures upon binding to their
cognate ligand. Ligand recognition is accomplished by key residues in the binding
pocket. In addition, it often crucially depends on the stability of peripheral
structural elements. The ligand-bound complex of the guanine-sensing riboswitch
from Bacillus subtilis, for example, is stabilized by extensive interactions
between apical loop regions of the aptamer domain. Previously, we have shown that
destabilization of this tertiary loop-loop interaction abrogates ligand binding
of the G37A/C61U-mutant aptamer domain (Gsw(loop)) in the absence of Mg(2+).
However, if Mg(2+) is available, ligand-binding capability is restored by a
population shift of the ground-state RNA ensemble toward RNA conformations with
pre-formed loop-loop interactions. Here, we characterize the striking influence
of long-range tertiary structure on RNA folding kinetics and on ligand-bound
complex structure, both by X-ray crystallography and time-resolved NMR. The X-ray
structure of the ligand-bound complex reveals that the global architecture is
almost identical to the wild-type aptamer domain. The population of ligand
binding competent conformations in the ground-state ensemble of Gsw(loop) is
tunable through variation of the Mg(2+) concentration. We quantitatively describe
the influence of distinct Mg(2+) concentrations on ligand-induced folding
trajectories both by equilibrium and time-resolved NMR spectroscopy at single
residue resolution.
PMID- 21890901
TI - Chromatin disruption in the promoter of bovine leukemia virus during
transcriptional activation.
AB - Bovine leukemia virus expression relies on its chromatin organization after
integration into the host cell genome. Proviral latency, which results from
transcriptional repression in vivo, represents a viral strategy to escape the
host immune system and likely allows for tumor progression. Here, we
discriminated two types of latency: an easily reactivable latent state of the YR2
provirus and a 'locked' latent state of the L267 provirus. The defective YR2
provirus was characterized by the presence of nuclease hypersensitive sites at
the U3/R junction and in the R/U5 region of the 5'-long terminal repeat (5'-LTR),
whereas the L267 provirus displayed a closed chromatin configuration at the U3/R
junction. Reactivation of viral expression in YR2 cells by the phorbol 12
myristate 13-acetate (PMA) plus ionomycin combination was accompanied by a rapid
but transient chromatin remodeling in the 5'-LTR, leading to an increased PU.1
and USF-1/USF-2 recruitment in vivo sustained by PMA/ionomycin-mediated USF
phosphorylation. In contrast, viral expression was not reactivated by
PMA/ionomycin in L267 cells, because the 5'-LTR U3/R region remained inaccessible
to nucleases and hypermethylated at CpG dinucleotides. Remarkably, we elucidated
the BLV 5'-LTR chromatin organization in PBMCs isolated from BLV-infected cows,
thereby depicting the virus hiding in vivo in its natural host.
PMID- 21890902
TI - Generating the optimal mRNA for therapy: HPLC purification eliminates immune
activation and improves translation of nucleoside-modified, protein-encoding
mRNA.
AB - In vitro-transcribed mRNA has great therapeutic potential to transiently express
the encoded protein without the adverse effects of viral and DNA-based
constructs. Mammalian cells, however, contain RNA sensors of the innate immune
system that must be considered in the generation of therapeutic RNA.
Incorporation of modified nucleosides both reduces innate immune activation and
increases translation of mRNA, but residual induction of type I interferons
(IFNs) and proinflammatory cytokines remains. We identify that contaminants,
including double-stranded RNA, in nucleoside-modified in vitro-transcribed RNA
are responsible for innate immune activation and their removal by high
performance liquid chromatography (HPLC) results in mRNA that does not induce
IFNs and inflammatory cytokines and is translated at 10- to 1000-fold greater
levels in primary cells. Although unmodified mRNAs were translated significantly
better following purification, they still induced high levels of cytokine
secretion. HPLC purified nucleoside-modified mRNA is a powerful vector for
applications ranging from ex vivo stem cell generation to in vivo gene therapy.
PMID- 21890903
TI - tRNAHis-guanylyltransferase establishes tRNAHis identity.
AB - Histidine transfer RNA (tRNA) is unique among tRNA species as it carries an
additional nucleotide at its 5' terminus. This unusual G(-1) residue is the major
tRNA(His) identity element, and essential for recognition by the cognate histidyl
tRNA synthetase to allow efficient His-tRNA(His) formation. In many organisms G(
1) is added post-transcriptionally as part of the tRNA maturation process.
tRNA(His) guanylyltransferase (Thg1) specifically adds the guanylyate residue by
recognizing the tRNA(His) anticodon. Thg1 homologs from all three domains of life
have been the subject of exciting research that gave rise to a detailed
biochemical, structural and phylogenetic enzyme characterization. Thg1 homologs
are phylogenetically classified into eukaryal- and archaeal-type enzymes
differing characteristically in their cofactor requirements and specificity.
Yeast Thg1 displays a unique but limited ability to add 2-3 G or C residues to
mutant tRNA substrates, thus catalyzing a 3' -> 5' RNA polymerization. Archaeal
type Thg1, which has been horizontally transferred to certain bacteria and few
eukarya, displays a more relaxed substrate range and may play additional roles in
tRNA editing and repair. The crystal structure of human Thg1 revealed a
fascinating structural similarity to 5' -> 3' polymerases, indicating that Thg1
derives from classical polymerases and evolved to assume its specific function in
tRNA(His) processing.
PMID- 21890904
TI - A second base pair interaction between U3 small nucleolar RNA and the 5'-ETS
region is required for early cleavage of the yeast pre-ribosomal RNA.
AB - In eukaryotes, U3 snoRNA is essential for pre-rRNA maturation. Its 5'-domain was
found to form base pair interactions with the 18S and 5'-ETS parts of the pre
rRNA. In Xenopus laevis, two segments of U3 snoRNA form base-pair interactions
with the 5'-ETS region and only one of them is essential to the maturation
process. In Saccharomyces cerevisiae, two similar U3 snoRNA-5' ETS interactions
are possible; but, the functional importance of only one of them had been tested.
Surprisingly, this interaction, which corresponds to the non-essential one in X.
laevis, is essential for cell growth and pre-rRNA maturation in yeast. In
parallel with [Dutca et al. (2011) The initial U3 snoRNA:pre-rRNA base pairing
interaction required for pre-18S rRNA folding revealed by in vivo chemical
probing. Nucleic Acids Research, 39, 5164-5180], here we show, that the second
possible 11-bp long interaction between the 5' domain of S. cerevisiae U3 snoRNA
and the pre-rRNA 5'-ETS region (helix VI) is also essential for pre-rRNA
processing and cell growth. Compensatory mutations in one-half of helix VI fully
restored cell growth. Only a partial restoration of growth was obtained upon
extension of compensatory mutations to the entire helix VI, suggesting sequence
requirement for binding of specific proteins. Accordingly, we got strong
evidences for a role of segment VI in the association of proteins Mpp10, Imp4 and
Imp3.
PMID- 21890905
TI - Identification of potentially cytotoxic lesions induced by UVA photoactivation of
DNA 4-thiothymidine in human cells.
AB - Photochemotherapy-in which a photosensitizing drug is combined with ultraviolet
or visible radiation-has proven therapeutic effectiveness. Existing approaches
have drawbacks, however, and there is a clinical need to develop alternatives
offering improved target cell selectivity. DNA substitution by 4-thiothymidine
(S(4)TdR) sensitizes cells to killing by ultraviolet A (UVA) radiation. Here, we
demonstrate that UVA photoactivation of DNA S(4)TdR does not generate reactive
oxygen or cause direct DNA breakage and is only minimally mutagenic. In an
organotypic human skin model, UVA penetration is sufficiently robust to kill
S(4)TdR-photosensitized epidermal cells. We have investigated the DNA lesions
responsible for toxicity. Although thymidine is the predominant UVA photoproduct
of S(4)TdR in dilute solution, more complex lesions are formed when S(4)TdR
containing oligonucleotides are irradiated. One of these, a thietane/S(5)-(6
4)T:T, is structurally related to the (6-4) pyrimidine:pyrimidone [(6-4) Py:Py]
photoproducts induced by UVB/C radiation. These lesions are detectable in DNA
from S(4)TdR/UVA-treated cells and are excised from DNA more efficiently by
keratinocytes than by leukaemia cells. UVA irradiation also induces DNA
interstrand crosslinking of S(4)TdR-containing duplex oligonucleotides. Cells
defective in repairing (6-4) Py:Py DNA adducts or processing DNA crosslinks are
extremely sensitive to S(4)TdR/UVA indicating that these lesions contribute
significantly to S(4)TdR/UVA cytotoxicity.
PMID- 21890907
TI - Complexity in the binding of minor groove agents: netropsin has two
thermodynamically different DNA binding modes at a single site.
AB - Structural results with minor groove binding agents, such as netropsin, have
provided detailed, atomic level views of DNA molecular recognition. Solution
studies, however, indicate that there is complexity in the binding of minor
groove agents to a single site. Netropsin, for example, has two DNA binding
enthalpies in isothermal titration calorimetry (ITC) experiments that indicate
the compound simultaneously forms two thermodynamically different complexes at a
single AATT site. Two proposals for the origin of this unusual observation have
been developed: (i) two different bound species of netropsin at single binding
sites and (ii) a netropsin induced DNA hairpin to duplex transition. To develop a
better understanding of DNA recognition complexity, the two proposals have been
tested with several DNAs and the methods of mass spectrometry (MS),
polyacrylamide gel electrophoresis (PAGE) and nuclear magnetic resonance
spectroscopy in addition to ITC. All of the methods with all of the DNAs
investigated clearly shows that netropsin forms two different complexes at AATT
sites, and that the proposal for an induced hairpin to duplex transition in this
system is incorrect.
PMID- 21890906
TI - Evolution of the deaminase fold and multiple origins of eukaryotic editing and
mutagenic nucleic acid deaminases from bacterial toxin systems.
AB - The deaminase-like fold includes, in addition to nucleic acid/nucleotide
deaminases, several catalytic domains such as the JAB domain, and others involved
in nucleotide and ADP-ribose metabolism. Using sensitive sequence and structural
comparison methods, we develop a comprehensive natural classification of the
deaminase-like fold and show that its ancestral version was likely to operate on
nucleotides or nucleic acids. Consequently, we present evidence that a specific
group of JAB domains are likely to possess a DNA repair function, distinct from
the previously known deubiquitinating peptidase activity. We also identified
numerous previously unknown clades of nucleic acid deaminases. Using inference
based on contextual information, we suggest that most of these clades are toxin
domains of two distinct classes of bacterial toxin systems, namely polymorphic
toxins implicated in bacterial interstrain competition and those that target
distantly related cells. Genome context information suggests that these toxins
might be delivered via diverse secretory systems, such as Type V, Type VI, PVC
and a novel PrsW-like intramembrane peptidase-dependent mechanism. We propose
that certain deaminase toxins might be deployed by diverse extracellular and
intracellular pathogens as also endosymbionts as effectors targeting nucleic
acids of host cells. Our analysis suggests that these toxin deaminases have been
acquired by eukaryotes on several independent occasions and recruited as
organellar or nucleo-cytoplasmic RNA modifiers, operating on tRNAs, mRNAs and
short non-coding RNAs, and also as mutators of hyper-variable genes, viruses and
selfish elements. This scenario potentially explains the origin of mutagenic
AID/APOBEC-like deaminases, including novel versions from Caenorhabditis,
Nematostella and diverse algae and a large class of fast-evolving fungal
deaminases. These observations greatly expand the distribution of possible
unidentified mutagenic processes catalyzed by nucleic acid deaminases.
PMID- 21890908
TI - Math fluency is etiologically distinct from untimed math performance, decoding
fluency, and untimed reading performance: evidence from a twin study.
AB - The authors examined whether math fluency was independent from untimed math and
from reading using 314 pairs of school-aged twins drawn from the Western Reserve
Reading and Math Projects. Twins were assessed through a 90-min home visit at
approximately age 10 and were reassessed in their homes approximately 1 year
later. Results suggested that the shared environment and genetics influenced the
covariance among math fluency, untimed math measures, and reading measures.
However, roughly two thirds of the variance in math fluency was independent from
untimed math measures and reading, including reading fluency. The majority of
this independent variance was the result of genetic factors that were
longitudinally stable across two measurement occasions. These results suggest
that math fluency, although related to other math measures, may also be a
genetically distinct dimension of mathematics performance.
PMID- 21890909
TI - Distribution of metastatic sites in renal cell carcinoma: a population-based
analysis.
AB - BACKGROUND: We assessed the distribution of site-specific metastases in patients
with renal cell carcinoma (RCC) according to age. Moreover, we evaluated
recommendations proposed by guidelines and focused specifically on bone and brain
metastases. PATIENTS AND METHODS: Patients with metastatic RCC (mRCC) were
abstracted from the Nationwide Inpatient Sample (1998-2007). Age was stratified
into four groups: <55, 55-64, 65-74 and >= 75 years. Cochran-Armitage trend test
and multivariable logistic regression analysis tested the relationship between
age and the rate of multiple metastatic sites. Finally, we examined the rates of
brain or bone metastases according to the presence of other metastatic sites.
RESULTS: In 11,157 mRCC patients, the rate of multiple metastatic sites decreased
with increasing age (P < 0.001). This phenomenon was confirmed in patients with
lung, bone, liver and brain metastases (all P <= 0.01). The rate of bone
metastases was 10% in patients with exclusive abdominal metastases and 49% in
patients with abdominal, thoracic and brain metastases. The rate of brain
metastases was 2% in patients with exclusive abdominal metastases and 16% in
patients with thoracic and bone metastases. CONCLUSIONS: The proportion of
patients with multiple metastatic sites is higher in young patients. The rates of
bone (10%-49%) and brain (2%-16%) metastases are nonnegligible in mRCC patients.
PMID- 21890910
TI - Body mass index, abdominal fatness and pancreatic cancer risk: a systematic
review and non-linear dose-response meta-analysis of prospective studies.
AB - BACKGROUND: Questions remain about the shape of the dose-response relationship
between body mass index (BMI) and pancreatic cancer risk, possible confounding by
smoking, and differences by gender or geographic location. Whether abdominal
obesity increases risk is unclear. METHODS: We conducted a systematic review and
meta-analysis of prospective studies of the association between BMI, abdominal
fatness and pancreatic cancer risk and searched PubMed and several other
databases up to January 2011. Summary relative risks (RRs) were calculated using
a random-effects model. RESULTS: Twenty-three prospective studies of BMI and
pancreatic cancer risk with 9504 cases were included. The summary RR for a 5-unit
increment was 1.10 [95% confidence interval (CI) 1.07-1.14, I(2) = 19%] and
results were similar when stratified by gender and geographic location. There was
evidence of a non-linear association, P(non-linearity) = 0.005; however, among
nonsmokers, there was increased risk even within the 'normal' BMI range. The
summary RR for a 10-cm increase in waist circumference was 1.11 (95% CI 1.05
1.18, I(2) = 0%) and for a 0.1-unit increment in waist-to-hip ratio was 1.19 (95%
CI 1.09-1.31, I(2) = 11%). CONCLUSIONS: Both general and abdominal fatness
increases pancreatic cancer risk. Among nonsmokers, risk increases even among
persons within the normal BMI range.
PMID- 21890911
TI - Annual Meeting of the American Acadmey of Otolaryngology-Head and Neck Surgery
Foundation, San Francisco, CA, September 11-14, 2011. Abstracts.
PMID- 21891772
TI - Serum free p-cresyl sulfate levels predict cardiovascular and all-cause mortality
in elderly hemodialysis patients--a prospective cohort study.
AB - BACKGROUND: The mortality rate of elderly hemodialysis (HD) patients is high.
Serum p-cresyl sulfate (PCS) and indoxyl sulfate (IS) are associated with
cardiovascular (CV) disease and mortality in renal patients. The association
between such biomarkers and mortality in elderly HD patients has a high clinical
value but remains unclear. METHODS: This prospective cohort study investigated
the association of serum IS and PCS with all-cause and CV mortality in elderly HD
patients. Multivariate Cox regression analysis was used to estimate the risk of
all-cause and CV mortality in this prospective cohort. RESULTS: Of 112 patients,
45 deaths (18 CV deaths) were identified after a mean follow-up of 33.2 months.
The cumulative and CV survival of patients with lower free PCS was significantly
better than high free PCS patients. In multivariate Cox regression analysis,
serum free PCS was associated with all-cause and CV mortality after various
adjustments, including age, gender and diabetes status (Model 1), albumin (Model
2), Ca * P product and intact parathyroid hormone (Model 3), hemoglobin and high
sensitivity C-reactive protein (Model 4) and hierarchically selected covariates
(age, diabetes status and albumin, Model 5). CONCLUSION: Serum free PCS levels
may help in predicting risk of all-cause and CV mortality in elderly HD patients
beyond traditional and uremia related risk factors.
PMID- 21891773
TI - Effect of the intensity of continuous renal replacement therapy in patients with
sepsis and acute kidney injury: a single-center randomized clinical trial.
AB - INTRODUCTION: Acute kidney injury (AKI) is a major complication in patients with
sepsis and is an independent predictor of mortality. However, the optimal
intensity of renal replacement therapy for such patients is still controversial.
METHODS: From 1 January 2004 to 30 September 2009, we randomly assigned 280
patients with sepsis and AKI to continuous renal replacement therapy by high
volume hemofiltration (50 mL/kg/h, HVHF) or extra high-volume hemofiltration (85
mL/kg/h, EHVHF). The primary study outcome was death from any cause within 28, 60
and 90 days. Results were analyzed by univariate and multivariate methods and by
Kaplan-Meier survival curves. RESULTS: A total of 141 patients were given EHVHF
and 139 were given HVHF. The two groups had similar baseline characteristics and
received treatment for an average of 9.38 days (EHVHF group) and 8.88 days (HVHF
group). There were no significant differences between the groups in number of
deaths at 28, 60 or 90 days. There were also no differences between the groups in
renal outcome of survivors at 90 days. Multivariate analysis indicated that
inotropic support by norepinephrine, time in hospital of >7 days, blood platelet
count <8 * 10(9)/L, Acute Physiological and Chronic Health Evaluation (APACHE) II
score >25, total bilirubin >100 MUmol/L, prothrombin time >18 s, serum creatinine
<250 MUmol/L and blood urea nitrogen >20 mmol/L were independent risk factors for
death at 90 days after initiation of renal replacement therapy. CONCLUSIONS: In
patients with sepsis and AKI, increasing the intensity of renal replacement
therapy from 50 (HVHF) to 85 mL/kg/h (EHVHF) had no effect on survival at 28 and
90 days.
PMID- 21891774
TI - Circulating microRNA expression is reduced in chronic kidney disease.
AB - BACKGROUND: MicroRNAs (miRNAs) are important regulators of gene expression, which
have roles in renal development and disease. They exist in biological fluids
including blood and urine and may have signalling roles and potential as disease
biomarkers. METHODS: We measured the levels of miRNAs in patients with different
stages of chronic kidney failure including those receiving maintenance
haemodialysis treatment. RESULTS: In patients with severe chronic renal failure,
circulating levels of total and specific miRNAs are reduced in comparison to
patients with mild renal impairment or normal renal function. A strong
correlation exists between detected circulating miRNAs and estimated glomerular
filtration rate, and less strong correlations with other features of chronic
kidney disease, such as anaemia and hyperparathyroidism. CONCLUSION: These
findings have important implications for the use of circulating miRNAs as
biomarkers in individuals with renal impairment and for the pathogenesis of
uraemia.
PMID- 21891775
TI - Peritoneal dialysis-associated nontuberculous mycobacterium peritonitis: a
systematic review of reported cases.
AB - BACKGROUND: Peritonitis caused by nontuberculous mycobacterium (NTM) is an
important complication in peritoneal dialysis (PD) patients. METHODS: Cases of PD
complicated by NTM peritonitis reported in the English language literature were
identified in the PubMed database. The characteristics of these cases were
reviewed. RESULTS: In 41 articles, we identified 57 cases of PD-associated NTM
peritonitis in patients ranging from 5 to 82 years. The prevalent clinical
findings of these cases were fever, abdominal pain, cloudy fluid and an elevated
leukocyte count in peritoneal fluid. These findings were non-specific and could
not be differentiated from symptoms caused by Mycobacterium tuberculosis or other
bacteria. The majority of these cases received empirical antibacterial therapy
before diagnosis of NTM peritonitis. Isolates in more than half of the
peritonitis cases were the rapidly growing Mycobacterium, Mycobacterium fortuitum
(38.6%) and Mycobacterium chelonae (14.0%). In most cases, PD catheters were
removed and experience with non-removal was limited. CONCLUSION: Diagnosis of NTM
infection should be considered in PD patients with peritonitis that are culture
negative or refractory to empirical antibiotic therapy.
PMID- 21891776
TI - The retrospective analysis of 343 Czech patients with IgA nephropathy--one centre
experience.
AB - BACKGROUND: The aim of our study was to retrospectively analyse the clinical data
and the histological findings of 343 patients (pts) followed up with IgA
nephropathy (IgAN) in our department of nephrology. We have assessed the main
demographic, clinical and histological data, and the medical treatment of IgAN
pts. METHODS: Multivariate analysis was used to evaluate the effect of different
variables on >=50% increase of plasma creatinine level from baseline during a
median follow-up of 4 years. RESULTS: In our group of IgAN pts, the male gender
(68%) predominated over female gender (32%). At the time of renal biopsy, the
median age of IgAN pts was 32.3 (18-90) years, the median level of serum
creatinine was 119 MUmol/L and the median level of proteinuria was 1.8 g/day.
Most of the pts were found to have arterial hypertension (56.7%). The majority of
the pts with arterial hypertension were treated with inhibitors of angiotensin
converting enzyme (80.4%) and the remaining pts (42.6%) were treated with
angiotensin II receptor blockers. Fifty per cent of the pts (170 pts) were
treated of corticosteroids, 21% of the pts (71 pts) used a combined
immunosuppressive treatment of corticosteroids and cyclophosphamide, 8% of the
pts (27 pts) took azathioprine, 1.5% of the pts (5 pts) took cyclosporine and
1.5% of the pts (5 pts) were given mycophenolate mofetil. Hypertension at
presentation, fibrointimal proliferation of arterial vessels, interstitial
fibrosis and interstitial inflammation were shown to be associated with >=50%
increase of plasma creatinine level from baseline in univariate analysis (P<0.05
for hypertension and fibrointimal proliferation; P<0.01 for interstitial fibrosis
and inflammation). Using stepwise logistic regression presenting proteinuria>2
g/day [odds ratio (OR)=2.24, P<0.01], tubular atrophy (OR=4.97, P<0.01) and
damage of tubular epithelium (OR=1.78, P<0.05) were found as risk factors for
>=50% increase of plasma creatinine level from baseline. CONCLUSION: Our
retrospective analysis found valuable information not only about the clinical,
laboratory and histological findings in IgAN pts but also information about the
risk factors influencing the progression of renal insufficiency.
PMID- 21891777
TI - Dialysate sodium, serum sodium and mortality in maintenance hemodialysis.
AB - BACKGROUND: Individuals with end-stage kidney disease appear to have stable pre
dialysis serum sodium concentrations over time, with lower values associating
with increased mortality. Dialysate sodium concentrations have increased over
many years in response to shorter treatments, but the relationship between serum
sodium, dialysate sodium and outcomes in chronic hemodialysis patients has not
yet been systematically examined. METHODS: We studied a cohort of 2272
individuals receiving thrice-weekly hemodialysis treatment. Available data
included demographics, laboratory and clinical measures, details of the dialysis
prescription and 30-month follow-up. We examined the distribution of serum and
dialysate sodium among subjects and compared mortality according to dialysate and
serum sodium concentrations using Cox regression models. RESULTS: Dialysate
sodium concentration varied within and among dialysis centers. The pre-dialysis
serum sodium concentration (mean 136.1 mmol/L) did not differ across dialysate
sodium concentrations. There was evidence for effect modification for mortality
according to differing serum sodium and dialysate sodium concentrations (P=0.05).
For each 4 mmol/L increment in serum sodium, the hazard ratio for death was 0.72
[95% confidence interval (CI) 0.63-0.81] with lower dialysate sodium compared to
0.86 (95% CI 0.75-0.99) for higher dialysate sodium. Higher dialysate sodium
concentration was associated with mortality at higher, but not lower, pre
dialysis serum sodium concentrations. CONCLUSIONS: The pre-dialysis serum sodium
concentration appears to be unaffected by the dialysate sodium concentration. The
relationship between serum and dialysate sodium and mortality appears to be
variable. Further research is warranted to determine the biological mechanisms of
these associations and to re-examine total body sodium handling in hemodialysis.
PMID- 21891778
TI - Participation in a workplace web-based health risk assessment program.
AB - BACKGROUND: Web-based health risk assessment (HRA) programs can be effective in
primary prevention of cardiovascular diseases (CVD). Insight into determinants of
participation could improve implementation in the workplace. AIMS: To evaluate
determinants of participation and reasons for non-participation in a web-based
HRA offered to 5125 employees at four Dutch financial and information technology
services companies. METHODS: The study consisted of a questionnaire to compare
sex, age, self-rated health, smoking, current work ability and sick leave between
participants and non-participants in the HRA program, as well as reasons for non
participation. RESULTS: HRA participation rate was 37% (1907/5125) and 14% of the
non-participants (423/3102) completed the non-participant questionnaire. There
were no differences between participants and non-participants in sex, education
level, smoking, and current work ability. Compared with non-participants,
participants were older (44 versus 41 years, P < 0.001). Among participants, 85%
rated their health as 'good' or 'very good', compared with 78% among non
participants (P < 0.001); 88% of the participants reported fewer than 10 days
sickness absence in the previous year, compared with 86% of the non-participants
(P < 0.05). Reported reasons for non-participation included lack of time (39%)
and not being aware of the opportunity to participate (11%). CONCLUSIONS:
Evaluation of demographic, health-related, and work-related determinants of
participation in a web-based HRA showed differences between participants and non
participants in self-rated health and absenteeism. Implementing a less time
consuming HRA process and providing adequate information to employees prior to
inviting them may be necessary to reach larger proportions of employees,
including those with less favourable health and work characteristics.
PMID- 21891779
TI - Effect of working conditions on non-work-related sickness absence.
AB - BACKGROUND: There is limited evidence of the role of working conditions as
prognostic factors for non-work-related sickness absence (i.e. absence due to
injuries or diseases of non-occupational origin). AIMS: To analyse the
association between working conditions and time to return to work (RTW) in
workers with long-term (>15 days) non-work-related sickness absence. METHODS: We
followed up a total of 655 workers, who completed a baseline questionnaire
including physical and psychosocial work factors, until their non-work-related
long-term sickness absence ended. Time to RTW was determined based on the health
insurance company register. Cox proportional hazard models were constructed to
evaluate the associations between working conditions and time to RTW. RESULTS: A
self-perceived high level of physical activity at work and work with back twisted
or bent were related to longer duration of sickness absence. We did not find any
strong evidence of associations between psychosocial work factors and time to
RTW, although higher job insecurity and low reward showed marginal statistical
significance. CONCLUSIONS: Hazardous physical working conditions are associated
with longer duration of non-work-related sickness absence. Workplace ergonomic
interventions could conceivably shorten the length of sickness absence that has
not originated at work.
PMID- 21891780
TI - Work ability, psychosocial hazards and work experience in prison environments.
AB - BACKGROUND: Work ability is predicted by age- and work-related psychosocial
hazards; however, its association with work experience has not been studied. Work
ability has not been studied in prison environments as well. AIMS: To describe
work ability and its associates among prison workers. METHODS: A cross-sectional
study was carried out in two prisons in Spain, one large and one medium prison,
randomly selected from 17 in total. Prison workers were randomly administered a
voluntary anonymous questionnaire to measure work ability [Work Ability Index
(WAI)], work-related psychosocial hazards (Spanish version of COPSOQ),
sociodemographic, lifestyle and work-related variables. RESULTS: Four hundred and
forty-one workers (54%) participated. Results confirmed that older and more
experienced workers (analysis of variance analysis) and workers in large prisons
(t-student) presented significantly lower WAI scores. Quantitative and emotional
demands, family work conflict, low work control, low autonomy, low social support
from colleagues and stress had negative significant associations with WAI. Age,
which highly correlated with work experience (Spearman's r = 0.85), had
significant association with WAI (beta = -0.62). In the stepwise linear
regression, the association between age and WAI lost statistical significance
after controlling for work experience, which maintained significant correlation
with WAI (beta = -0.37). CONCLUSIONS: The apparent association between age and
WAI was confounded by work experience. Interventions to improve work ability
among prison workers may benefit from results of this study to focus their
efforts on the risk groups in such a psychologically demanding work environment
rarely examined in previous research.
PMID- 21891781
TI - Site-specific recombinases: from tag-and-target- to tag-and-exchange-based
genomic modifications.
AB - Site-specific recombinases (SSRs) enable novel tag-and-target as well as tag-and
exchange strategies for tailoring mammalian genomes. If used in combination with
homologous recombination, which per se is inefficient but can serve to introduce
SSR sites, the tagged locus lends itself to repeated modification at largely
increased efficiency and specificity. The more conventional SSR-based genetic
modifications enable straightforward integration of a transgene with efficiencies
depending on both the target locus and the vector composition. Only the more
recent tag-and-exchange strategies in conjunction with advanced selection
principles enable the clean replacement of a genomically anchored cassette by a
donor cassette with the related architecture. Meanwhile this recombinase-mediated
cassette exchange (RMCE) concept could be verified for two classes of SSRs,
belonging to either the Tyr or the Ser family. Certain members of these open
different fields of application that will be discussed with reference to the
molecular properties of the respective enzymes. A major aim of our review is to
characterize the RMCE-relevant components and describe their optimal utilization
in the fields of gene therapy and molecular genomics. Early contributions to the
field of experimental animal models will be mentioned considering in vivo
modifications enabled by microinjection into oocytes.
PMID- 21891783
TI - Effect of thinning on anatomical adaptations of Norway spruce needles.
AB - Conifers and other trees are constantly adapting to changes in light conditions,
water/nutrient supply and temperatures by physiological and morphological
modifications of their foliage. However, the relationship between physiological
processes and anatomical characteristics of foliage has been little explored in
trees. In this study we evaluated needle structure and function in Norway spruce
families exposed to different light conditions and transpiration regimes. We
compared needle characteristics of sun-exposed and shaded current-year needles in
a control plot and a thinned plot with 50% reduction in stand density. Whole-tree
transpiration rates remained similar across plots, but increased transpiration of
lower branches after thinning implies that sun-exposed needles in the thinned
plot were subjected to higher water stress than sun-exposed needles in the
control plot. In general, morphological and anatomical needle parameters
increased with increasing tree height and light intensity. Needle width, needle
cross-section area, needle stele area and needle flatness (the ratio of needle
thickness to needle width) differed most between the upper and lower canopy. The
parameters that were most sensitive to the altered needle water status of the
upper canopy after thinning were needle thickness, needle flatness and percentage
of stele area in needle area. These results show that studies comparing needle
structure or function between tree species should consider not only tree height
and light gradients, but also needle water status. Unaccounted for differences in
needle water status may have contributed to the variable relationship between
needle structure and irradiance that has been observed among conifers.
PMID- 21891784
TI - Congenital polyarthritis in goat kids attributed to Mycoplasma agalactiae.
PMID- 21891782
TI - p73 protein regulates DNA damage repair.
AB - Although the p53 tumor suppressor is relatively well characterized, much less is
known about the functions of other members of the p53 family, p73 and p63. Here,
we present evidence that in specific pathological conditions caused by exposure
of normal cells to bile acids in acidic conditions, p73 protein plays the
predominant role in the DNA damage response. These pathological conditions
frequently occur during gastric reflux in the human esophagus and are associated
with progression to esophageal adenocarcinoma. We found that despite strong DNA
damage induced by bile acid exposure, only p73 (but not p53 and p63) is
selectively activated in a c-Abl kinase-dependent manner. The activated p73
protein induces DNA damage repair. Using a human DNA repair PCR array, we
identified multiple DNA repair genes affected by p73. Two glycosylases involved
in base excision repair, SMUG1 and MUTYH, were characterized and found to be
transcriptionally regulated by p73 in DNA damage conditions. Using a surgical
procedure in mice, which recapitulates bile acid exposure, we found that p73
deficiency is associated with increased DNA damage. These findings were further
investigated with organotypic and traditional cell cultures. Collectively our
studies demonstrate that p73 plays an important role in the regulation of DNA
damage repair.
PMID- 21891785
TI - Large outbreak of blackleg in housed cattle.
PMID- 21891786
TI - Survey of Salmonella prevalence on commercial turkey breeding and fattening farms
in the UK in 2006 to 2007.
AB - A total of 29 breeding turkey holdings and 317 fattening turkey holdings were
sampled between October 2006 and September 2007 in order to establish the
baseline prevalence of Salmonella in turkeys in the UK. The weighted holding
level Salmonella prevalence was found to be 20.1 per cent (95 per cent confidence
interval [CI] 8.6 to 40.3 per cent) in breeding turkeys and 37.7 per cent (95 per
cent CI 33.4 to 42.3 per cent) in fattening turkeys. For breeding turkeys, a
weighted flock-level prevalence, as more than one flock per holding was sampled,
was estimated at 7.1 per cent (95 per cent CI 3.2 to 14.8 per cent). A total of
13 different serovars were identified in the survey. The most frequent serovar in
both turkey flock classes was Salmonella Kottbus, which was found on two breeding
holdings and 63 of the fattening holdings giving weighted prevalences of 10.4 per
cent (95 per cent CI 2.6 to 34.1 per cent) and 23.0 per cent (95 per cent CI 19.3
to 27.3 per cent), respectively. On breeding holdings, a single isolate of
Salmonella Typhimurium, identified as DT12 (weighted prevalence 3.5 per cent [95
per cent CI 0.7 to 15.8 per cent] [holding], 0.7 per cent [95 per cent CI 0.1 to
3.7 per cent] [flock)], was found. On fattening holdings, there were 55 isolates
of S Typhimurium from 16 holdings, giving a weighted prevalence of this serovar
of 5.4 per cent (95 per cent CI 3.6 to 8.0 per cent). There were no isolates of
Salmonella serovars Enteritidis, Hadar, Infantis or Virchow.
PMID- 21891787
TI - Linked outbreaks and control of porcine reproductive and respiratory syndrome and
postweaning multisystemic wasting syndrome in a pig farm in Poland.
AB - In a newly established farrow-to-finish farm (porcine reproductive and
respiratory virus [PRRSV]-free, porcine circovirus type 2 [PCV-2]-infected),
reproductive failure was seen seven months after population. The conception rate
dropped from 89 to 51 per cent, and the abortion rate increased from 0.5 to 11
per cent. The following month, characteristic lesions of postweaning
multisystemic wasting syndrome (PMWS) and elevated mortality were observed in
weaned pigs. Laboratory examinations confirmed reproductive failure due to PRRSV
and PMWS associated with apparent activation of the PCV-2 circulating in the
farm. The herd was closed for replacement and a number of measures to improve
hygiene, environmental conditions and feeding were applied. The abortion rate
returned to preoutbreak levels four months after the beginning of the PRRS
outbreak and the conception rate returned to normal four months later. Slower
improvement was observed regarding the PMWS outbreak, with PMWS-related losses
disappearing nine months after the detection of PMWS. Analysis of seroconversion
profiles to PCV-2 and PRRSV during the outbreak and after its control indicated
that while PRRSV was eliminated from sows and weaners by the control measures,
the time of PCV-2 infection was unchanged and occurred at seven weeks of age
during the PMWS outbreak as well as after its elimination. However, the
elimination of PMWS from the herd coincided with increased levels of maternally
derived antibodies to PCV-2 in one- to five-week-old pigs and faster serological
responses to infection with PCV-2.
PMID- 21891788
TI - Web 2.0 and the veterinary profession: current trends and future implications for
lifelong learning.
AB - The NOVICE project is an EU initiative under the Lifelong Learning Programme,
which aims to develop an online, professional network to promote informal,
lifelong learning within the veterinary profession, using Web 2.0 tools. To
inform the development of the network, a need analysis study was undertaken with
relevant stakeholders. Focus group discussions were undertaken with veterinary
students and veterinarians and a survey was administered to first-year students
and recent graduates. The results indicate that use of computers and the internet
is ubiquitous among junior members of the profession and that use of Web 2.0
tools is increasing. Concerns raised in relation to participation in online
communities include verifying the quality of information and issues around
professionalism. Compared with face-to-face communities, online communities were
perceived to offer a number of advantages. These include convenient access to
expert advice on an international scale, as well as helping to alleviate certain
barriers to participation in formal, continuing education such as time, distance
and cost.
PMID- 21891789
TI - Socio-economic inequalities in survival from screen-detected breast cancer in
South West England: population-based cohort study.
AB - BACKGROUND: Socio-economic inequalities in breast cancer survival have been
reported worldwide, but whether these exist in screen detected as well as
symptomatic women has not been established. Making this distinction will allow
inferences about the relative contributions of pre- and post-diagnostic delay to
these inequalities. METHODS: Screening-eligible women diagnosed with breast
cancer in South West England (2002-06) were followed-up to 2007. Five-year
relative survival ratios (RSRs) were calculated for each deprivation quintile,
using deprivation-specific life-tables and a period approach. The 'deprivation
gap' in survival was calculated as the slope index of inequality between least
and most deprived women. RESULTS: The study included 11 018 women, of whom 1176
died during follow-up. Screening status of 54% of women was missing. A clear
gradient in survival across deprivation groups ranged from 83.6% [95% confidence
interval (CI) 80.0, 86.6] in the most deprived to 90.8% (95% CI 89.0, 92.3) in
the least deprived group. Comparing the most deprived to least deprived women,
the estimated deprivation gap was -9.42% (95% CI -12.80, -6.04, P = 0.003). Among
screen-detected women, inequalities were attenuated, but persisted, ranging from
95.6% (95% CI 90.6, 98.0) in the most deprived to 98.2% (95% CI 95.9, 99.2) in
least deprived; the estimated deprivation gap was -3.03% (95% CI -5.75, -0.85, P
= 0.023). CONCLUSION: The deprivation gap in survival does not appear as marked
with screen-detected breast cancer as the other groups, though still apparent.
Efforts to eliminate inequalities should consider both increasing breast
screening participation and ensuring equal access through secondary care systems
for women of lower socio-economic position.
PMID- 21891791
TI - In-region versus out-of-region hospitalizations at the end of life among older
rural residents: the relationship between personal and system-related factors.
AB - BACKGROUND: Even though a large segment of the population lives in rural areas,
relatively little attention has been paid in the literature to date to hospital
use at the end of life among rural residents. The objective of this study was to
examine factors associated with in- or out-of-region hospitalizations at the end
of life among older rural residents. METHODS: The study included all community
dwelling adults aged 65 or older living in rural regions of a mid-Western
Canadian province who had died in fiscal years 2003-04 to 2005-06, as determined
from Vital Statistics data (N = 5,550). Complete hospital discharge abstract data
were used to identify in- or out-of-region hospitalizations in the last 6 months
before death and on the day of death. The type of out-of-region hospitals older
adults were admitted to was also examined (urban tertiary hospital, urban
community hospital, and rural hospital). RESULTS: Twenty percent of
hospitalizations and 21% of hospital deaths occurred in a hospital that was out
of older adults' region of residence. Compared with decedents aged 65-74, those
aged 75-84 and even more so those aged 85+ had reduced odds of being hospitalized
out of region or dying in an out-of-region hospital. Those 85+ years old also had
reduced odds of being hospitalized in a (out-of-region) tertiary hospital. Higher
hospital bed rates and physician rates were associated with reduced odds of out
of-region hospitalization and hospital death. CONCLUSION: Efforts should focus on
recruiting physicians to those rural areas with low physician rates, as well as
finding mechanisms to retain physicians in those rural regions.
PMID- 21891790
TI - Dynamic regulation of glucocorticoid signalling in health and disease.
AB - Activation of the glucocorticoid receptor (GR) by endogenous and synthetic
glucocorticoids regulates hundreds of genes to control regulatory networks in
development, metabolism, cognition and inflammation. Elucidation of the
mechanisms that regulate glucocorticoid action has highlighted the dynamic nature
of hormone signalling and provides novel insights into genomic glucocorticoid
actions. The major factors that regulate GR function include chromatin structure,
epigenetics, genetic variation and the pattern of glucocorticoid hormone
secretion. We review our current understanding of the mechanisms that contribute
to GR signalling and how these contribute to glucocorticoid sensitivity,
resistance and side effects.
PMID- 21891792
TI - A rare cause of an ileocaecal mass and lymphadenopathy.
PMID- 21891793
TI - Further research is required to provide evidence of the effectiveness and
feasibility of the nurse-led, case management approach to the care of older
adults with chronic comorbid conditions.
PMID- 21891794
TI - Nurse-initiated analgesia improves patients' pain experience: time for change?
PMID- 21891795
TI - Oxygen may reduce dyspnoea in people with COPD who have mild or no hypoxaemia.
PMID- 21891796
TI - Hydroxycarbamide for very young children with sickle cell anaemia: no effect on
the primary outcomes of spleen or kidney function, but evidence for decreased
pain and dactylitis, with minimal toxicity.
PMID- 21891798
TI - Lung lobectomy in a patient with an implantable left ventricular assist device.
AB - Non-cardiac surgical procedures in patients with left ventricular assist devices
(LVADs) pose a special challenge given the hemodynamic and hematologic
considerations in these patients. During pulmonary procedures in patients with
LVADs, special attention should be paid to hemodynamics because lung resection
surgery requires a lateral decubitus position, single-lung ventilation and
postoperative decrease in the pulmonary vascular bed, all of which may lead to
inadequate preload to the LVAD. We present a case of lower lobectomy of the left
lung for an adenocarcinoma found in a patient with an implantable continuous-flow
LVAD.
PMID- 21891799
TI - Vascular antispastic medication should take priority over other antihypertensives
after coronary artery bypass grafting using a radial artery conduit.
AB - Radial artery conduits have recently been used more often in coronary artery
bypass grafting because of their potentially better long-term patency than
saphenous vein conduits. However, vasospasm of the radial artery conduit due to
its nature as a muscular artery has always been of concern and a variety of
vasodilators have empirically been used to reduce the risk of spasm. When a
patient who was preoperatively taking antihypertensive agents undergoes coronary
artery bypass using a radial artery graft, and if he/she is not hypertensive
postoperatively, it is not always easy to decide what medication to start with.
We report a case of a patient with a radial artery graft who did not receive
vasodilators after surgery due to hypotension. The patient developed vasospasm of
the radial artery conduit which did not respond to direct injection of
vasodilators into the conduit but recovered after taking oral vasodilators for
four weeks.
PMID- 21891797
TI - HDAC inhibitor SAHA normalizes the levels of VLCFAs in human skin fibroblasts
from X-ALD patients and downregulates the expression of proinflammatory cytokines
in Abcd1/2-silenced mouse astrocytes.
AB - X-adrenoleukodystrophy (X-ALD) is a peroxisomal metabolic disorder caused by
mutations in the ABCD1 gene encoding the peroxisomal ABC transporter
adrenoleukodystrophy protein (ALDP). The consistent metabolic abnormality in all
forms of X-ALD is an inherited defect in the peroxisomal beta-oxidation of very
long chain FAs (VLCFAs >C22:0) and the resultant pathognomic accumulation of
VLCFA. The accumulation of VLCFA leads to a neuroinflammatory disease process
associated with demyelination of the cerebral white matter. The present study
underlines the importance of a potent histone deacetylase (HDAC) inhibitor,
suberoylanilide hydroxamic acid (SAHA) in inducing the expression of ABCD2
[adrenoleukodystrophy-related protein (ALDRP)], and normalizing the peroxisomal
beta-oxidation, as well as the saturated and monounsaturated VLCFAs in cultured
human skin fibroblasts of X-ALD patients. The expression of ELOVL1, the single
elongase catalyzing the synthesis of both saturated VLCFA (C26:0) and
monounsaturated VLCFA (C26:1), was also reduced by SAHA treatment. In addition,
using Abcd1/Abcd2-silenced mouse primary astrocytes, we also examined the effects
of SAHA in VLCFA-induced inflammatory response. SAHA treatment decreased the
inflammatory response as expression of inducible nitric oxide synthase,
inflammatory cytokine, and activation of NF-kappaB in Abcd1/Abcd2-silenced mouse
primary astrocytes was reduced. These observations indicate that SAHA corrects
both the metabolic disease of VLCFA as well as secondary inflammatory disease;
therefore, it may be an ideal drug candidate to be tested for X-ALD therapy in
humans.
PMID- 21891800
TI - Valve prosthesis-patient mismatch: hemodynamic, echocardiographic and clinical
consequences.
AB - OBJECTIVES: The purpose is to evaluate in vivo at rest and under stress
conditions hemodynamic performance of the small size St. Jude Medical Regent
(SJMR) prosthetic valve in patients with a body surface area (BSA) of 1.8 +/-
0.11 m(2) and to define the role of valve prosthesis- patient mismatch on left
ventricular mass regression following aortic valve replacement. METHODS: We
evaluated 25 cases (12 males and 13 females, mean age 65.2 +/- 8 years) of aortic
valve replacement (17 mm SJMR in three cases and 19 mm SJMR in 22 cases). All the
patients underwent at rest Doppler echocardiography before and after surgery and
both basal and dobutamine stress echocardiography (DSE) at follow-up. The mean
duration of follow-up was 41.3 +/- 24 months. RESULTS: A significant reduction in
mean and peak transaortic gradients and peak transaortic velocity over time
following valve replacement has been identified. After surgery, there was a
significant increase of ejection fraction. DSE significantly increased heart
rate, ejection fraction, peak transaortic gradient and peak transaortic velocity.
All patients passed DSE without complication. Even if a significant mismatch was
present in 76% of cases, the left ventricular mass decreased significantly from
preoperative value of 278.7 +/- 51.1 g to 181.5 +/- 52.73 g, respectively.
CONCLUSION: Aortic valve replacement with 17 mm SJMR or 19 mm SJMR prostheses
appear to provide satisfactory clinical and hemodynamic results at rest and under
DSE, even in those patients with BSA of 1.8 +/- 0.11 m(2) where it was not
possible to enlarge the aortic annulus. Prosthesis-patient mismatch is not
associated with lesser regression of left ventricular mass. Dobutamine stress
echocardiography should be a useful and effective means for evaluating prosthesis
hemodynamic aspects.
PMID- 21891801
TI - Surgical treatment of primary intracardiac myxoma: 19 years of experience.
AB - Cardiac myxoma is the most common benign tumor of the heart. It presents with a
variety of clinical signs and symptomatology making diagnosis frequently quite a
challenge. We review our experience with 41 patients who underwent surgical
intervention for cardiac myxoma between 1994 and 2011. All patients'
preoperative, intraoperative and postoperative characteristics were recorded.
They all had a standard sternotomy and cardiopulmonary bypass with cardioplegic
cardiac arrest and were followed up with clinical examination and
echocardiography. The surgical goal was to remove not only the tumor but the
whole area of attachment to prevent recurrence. Biatrial approach facilitated the
complete excision of the tumor. Surgical excision of cardiac myxoma carries a low
operative risk and gives excellent short- and long-term results.
PMID- 21891802
TI - Interatrial rupture of a non-coronary sinus of Valsalva aneurysm: a rare
presentation of a rare disorder.
AB - A 65-year-old male was referred to our team after the incidental finding of a
large non-coronary sinus of Valsalva aneurysm on computed tomography (CT)-scan of
the thorax. Further imaging with transesophageal echocardiography (TOE) excluded
intracardiac shunting. Unusually, the aneurysm had ruptured into the interatrial
septum and was seen to be compressing both atria. At operative intervention, a 20
mm defect which had replaced the non-coronary sinus was repaired using a patch
graft. An aneurysm of an aortic sinus is a rare disorder, and a rupture of a non
coronary sinus typically results in the formation of a fistulous tract in the
right atrium. These images highlight an unusual case of a non-coronary sinus of
Valsalva aneurysm which ruptured into the interatrial septum (IAS), and
demonstrate the benefit of multi-modality cardiac imaging in guiding surgical
repair.
PMID- 21891803
TI - Relationship of walking impairment and ankle-brachial index assessments with
peripheral arterial translesional pressure gradients.
AB - BACKGROUND: The relationship of peripheral arterial mean translesional pressure
gradient (TLG) to presenting symptom, functional impairment, and initial
noninvasive ABI assessments has never been established. OBJECTIVES: To evaluate
the association between TLG, severity of walking impairment, rest and exercise
ankle-brachial indices (ABI). METHODS: TLG in 19 patients presenting with
claudication and single superficial femoral artery lesion were measured
invasively. TLG was measured at rest and post-hyperemia induction with intra
arterial adenosine (100 and 200 MUg), nitroglycerin (100 and 200 MUg), and after
3 minutes of ipsilateral calf cuff pressure inflation-deflation sequence. For
each patient, a walking impairment questionnaire (WIQ) was completed and rest and
exercise ABI were measured prior to TLG assessment. RESULTS: Mean age was 60 +/-
6 years, 89% were men. Mean WIQ score was 4817 +/- 3549, mean rest and exercise
ABI were 0.79 +/- 0.14 and 0.59 +/- 0.17, respectively, and mean exercise
duration was 6.3 +/- 3.4 minutes. TLG with 100 MUg of adenosine strongly
correlates with WIQ score (r = -0.723); rest ABI (r = -0.748); exercise ABI (r =
0.888), exercise duration (r = -0.711), and percent angiographic stenosis (r =
0.818), respectively (p < 0.01 for all). TLG with adenosine 200 MUg,
nitroglycerin 100 and 200 MUg and after cuff inflation-deflation also
demonstrated significant correlation. Receiver operator curve analysis
demonstrated that a TLG > or = 11 mmHg post 100 MUg adenosine administration had
71.43% sensitivity and 100% specificity for identifying patients with disease
defining state of exercise ABI < or = 0.70. CONCLUSION: This study validates the
utility of invasive TLG measurements using vasodilation for determining the
functional and hemodynamic significance of superficial femoral artery lesions.
PMID- 21891804
TI - What is the role of translesional pressure gradient measurement in peripheral
intervention?
PMID- 21891806
TI - Conquering CTOs.
PMID- 21891805
TI - Endoluminal treatment of peripheral chronic total occlusions using the Crosser(r)
recanalization catheter.
AB - PURPOSE: Revascularization of lower extremity chronic total occlusions (CTOs) is
technically challenging. The Crosser(r) recanalization catheter was designed to
facilitate distal vessel intraluminal entry that is both rapid and safe. We
present our experience with the Crosser device as primary therapy for peripheral
CTOs. METHODS: Subjects undergoing evaluation for arterial insufficiency at our
institution between 2008-2010 who were noted to have a CTO in the lower extremity
by duplex ultrasonography and who subsequently underwent Crosser recanalization
were enrolled. Clinical characteristics, ankle-brachial indices, Rutherford
Becker symptom category, and angiographic CTO parameters were collected. Primary
technical success was intraluminal delivery of the guidewire into the distal
vessel solely by the Crosser device. Secondary technical success was assisted
delivery using the Outback LTD(r) re-entry device. Safety endpoints were the
occurrence of dissections, thromboembolism, and perforations related to the
Crosser device. Statistical analysis was performed to find independent predictors
for failure to achieve Crosser recanalization. RESULTS: Fifty-six subjects with
73 CTOs were enrolled. The mean Crosser use time was 17.6 +/- 12.7 minutes. The
overall primary and secondary technical success rates for Crosser recanalization
were 76.7% and 87.7%, respectively. Technical success was highest for CTOs
located in the aorto-iliac (90.0%) and tibial (95.2%) arterial segments. There
were no perforations related to the Crosser device. For successful cases, the
mean ABI improved significantly from pre- to post-intervention (0.57 +/- 0.13 to
0.89 +/- 0.15, p < 0.001). The only predictors for failure were lesion length
longer than 100 mm (p = 0.04) and calcification within 10 mm of the exit cap (p =
0.02). CONCLUSION: The Crosser device is safe and shows excellent efficacy in
facilitating guidewire distal lumen entry, especially for aorto-iliac and tibial
occlusions. The technical success rate for the femoral and popliteal occlusions
is comparable to those reported with other recanalization techniques.
PMID- 21891807
TI - New drug-eluting stent implantation for recalcitrant in-stent restenosis treated
with drug-eluting stents. the Stent-in-Stent Cube (SIS3) registry.
AB - The best management for patients with recurrent in-stent restenosis is still not
clear. The aim of the present study was to describe the long-term clinical
results of re-DES (drug-eluting stent) implantation in patients suffering
recurrences after stenting with DES for in-BMS (bare metal stent) restenosis.
METHODS: All consecutive patients with in-BMS restenosis treated with DES and
presenting with recurrent ISR (in-DES restenosis) treated with re-DES in 3
tertiary care centers were retrospectively selected and analyzed. RESULTS: In the
time period 2005-2009, 40 consecutive patients with recalcitrant ISR were
selected. At a mean follow-up of 701 +/- 570 days, no patient died. The incidence
of target lesion revascularization (TLR) and target vessel revascularization
(TVR) was 12.5% and 17.5%, respectively. Survival free from composite endpoint
(any death + MI + TLR) was 82% at 2 years. CONCLUSIONS: DES implantation for
patients with recurrent ISR offers good clinical outcomes at long-term follow-up.
PMID- 21891808
TI - DES "club sandwich": a viable (if imperfect) option for recalcitrant restenosis.
PMID- 21891809
TI - Initial results of inflammatory response, matrix remodeling, and reactive oxygen
species following PCI in acute ischemic myocardial injury in man.
AB - BACKGROUND: Neutrophils and reactive oxygen species (ROS) are suggested to be
involved in irreversible myocardial reperfusion injury and stunning. We
investigated the relations between circulating biochemical markers and myocardium
at risk (MaR), myocardial infarct (MI) size, salvage, and recovery of function in
man. METHODS AND RESULTS: In patients undergoing PCI serial blood samples were
acquired for markers of inflammatory response (myeloperoxidase [MPO], neutrophil
gelatinase-associated lipocalin [NGAL], interleukins 6 and 8 [IL-6/8], tumor
necrosis factor-a [TNF-a], high-sensitive C-reactive protein [hsCRP]), matrix
remodeling (matrixmetalloproteinase-9 [MMP-9]) and ROS (malondialdehyde [MDA],
isoprostane [IsoP]). Samples were obtained before PCI and 1.5, 3, and 24 hours
after reperfusion. Myocardial perfusion SPECT (MPS) was used to assess MaR. Late
gadolinum-enhanced cardiac magnetic resonance imaging was performed for regional
function in the acute setting, at 1 week and 6 months, and at 1 week also for MI
size. Sixteen patients (15 men; 42-78 years) were enrolled, 12 of whom underwent
MPS. Peak and cumulative NGAL and cumulative MMP-9 showed inverse correlations to
MaR. No correlation was found for MI size. Peak MPO correlated inversely to
salvage and to recovery of regional function in the infarcted segments at 1 week
and 6 months. CONCLUSIONS: This is the first study in man to show inverse
relations between circulating NGAL and MMP-9 and MaR. The current results do not
support that ROS has a role in stunning in man. MI size showed no significant
correlation to any parameter, challenging inflammatory treatment in reperfusion.
PMID- 21891810
TI - Transcatheter aortic and mitral valve implantations for failed bioprosthetic
heart valves.
AB - BACKGROUND: Restoring degenerated bioprosthetic valves by transcatheter valve
implantation may obviate the need for redo surgery in carefully selected
patients. We present our initial experience with valve-in-valve (VIV) procedures
for failed aortic and mitral bioprosthetic valves. METHODS: Data were collected
for all patients who underwent VIV procedures at a tertiary medical center (n =
6). Findings were analyzed and compared with those for transcatheter valve
implantation in native valves at the same center during the last 3 years (n =
84). RESULTS: Six patients of mean age 78.3 +/- 13.8 years (range, 51-87)
underwent VIV procedures with the CoreValve (n = 4) or Edwards-SAPIEN device (n =
2). Four (66%) had a failed prosthetic aortic valve, and 2 (33%) had a failed
prosthetic mitral valve. Regurgitation accounted for valve failure in 83.3% of
the VIV group versus 1.2% of the comparison group (p < 0.001). Procedural success
and 30-day survival rates were 100%. Patient functional class improved
significantly from 0% class I/II, 50% class III, and 50% class IV before the
procedure to 66% class I, 33% class II, and 0% class III/IV after (p < 0.001).
CONCLUSION: This preliminary experience demonstrates that in carefully selected
cases, transcathether valve implants can be safely and effectively deployed in
stenotic and/or regurgitant degenerated bioprosthetic valves. Further evaluations
in larger series are needed.
PMID- 21891811
TI - Transradial coronary angiography and percutaneous intervention in the era of
health care reform, cost containment, and patient-centered care.
AB - There has been tremendous pressure on cardiovascular services to reduce costs in
health care delivery while maintaining the quality of care. The transradial
approach to coronary angiography and interventions has been demonstrated to
answer this call by offering superior outcomes while reducing the cost burden of
vascular complications compared to traditional transfemoral approaches. Herein,
we discuss the cost effectiveness of the transradial approach as a valid modality
for angiography and interventions in this era of health care reform.
PMID- 21891812
TI - Modified technique of BMV for severe submitral stenosis.
AB - We present a case where difficulty was encountered during balloon mitral
valvotomy (BMV) because of severe submitral stenosis. As the orifice was 0.4 cm2
at submitral level the BMV balloon catheter could not enter the left ventricle.
We used a modified technique of liberating the submitral apparatus that
facilitated successful BMV.
PMID- 21891813
TI - Management of an unusual type of intra-aortic balloon pump dysfunction.
PMID- 21891814
TI - Intraventricular stent loss after interventional treatment of a coronary
dissection--a case report.
AB - The introduction of stents for treatment of coronary lesions markedly improved
the outcome of coronary interventions. We report on a female patient who was
admitted to our hospital with acute coronary syndrome and stent loss into the
left ventricle during coronary intervention performed in another hospital. Stent
loss is a very rare but potentially lethal complication of a stent implantation.
PMID- 21891815
TI - Multimodality imaging to diagnose pulmonary vein stenosis following atrial
fibrillation ablation.
AB - BACKGROUND: Atrial fibrillation (AF) is increasing in prevalence. Although
experienced centers performing radiofrequency pulmonary vein isolation procedures
have reported success rates approaching 72%, in rare instances it is complicated
by stenosis of one or more pulmonary veins. In this report we present a case of
recurrent pulmonary vein stenosis (PVS) following radiofrequency ablation for AF.
PMID- 21891816
TI - An isolated single L-I type coronary artery with severe LAD lesions treated by
transradial PCI.
AB - Cases of coronary arteries with anomalous aortic origin are rare. An isolated
single coronary artery is a congenital anomaly occurring in approximately 0.024
0.066% of the population. Atherosclerosis of these arteries is not infrequent
with potentially severe consequences, but interventional procedures are rarely
performed. We report an acute coronary syndrome case due to a subtotal paraostial
left anterior descending (LAD) occlusion of a single L-I type coronary artery.
Another severe stenosis was also present at mid-LAD. The patient was successfully
treated with transradial percutaneous coronary intervention (PCI). Our case shows
that when the anatomy is suitable, complex PCI can be performed successfully in
single coronary arteries.
PMID- 21891817
TI - Complete heart block in late presentation of inferior STEMI successfully treated
with percutaneous coronary intervention.
AB - A 55-year-old female presented with 4-day history of fatigue and exertional
shortness of breath. A late presentation inferior ST elevation myocardial
infarction (STEMI) was diagnosed based on ST elevation in the inferior leads of
electrocardiography and elevated cardiac troponin T (TnT). She developed complete
heart block 1 day after admission to the hospital and remained hemodynamically
stable. She was taken to the catheterization laboratory for a temporary pacing
wire insertion. Coronary angiogram at the same time showed an occluded right
coronary artery at the mid-section. The lesion was successfully opened. Within 24
hours, the patient's heart rhythm returned to sinus with first-degree
atrioventricular block (AVB), thus avoiding the need for a permanent pacemaker.
Current guidelines recommend medical management for late presentation
hemodynamically stable STEMI of more than 72 H onset. Current ACC/AHA/HRS
Pacemaker Guidelines recommend reperfusion strategy for acute presentation
inferior STEMI associated with AVB. However, no clear strategy exists in the case
of late presentation inferior STEMI with advanced AVB. Our case report suggests
that late coronary intervention could be a management strategy in such a scenario
in order to avoid a permanent pacemaker.
PMID- 21891818
TI - Optical coherence tomography findings during "evolving" stent thrombosis.
AB - Stent thrombosis is a rare but feared complication. While intravascular
ultrasound and optical coherence tomography are frequently used to unravel
mechanical predisposing factors in patients suffering from this dreadful
complication, no information exists on the early pathophysiology of stent
thrombosis before coronary flow has been interrupted. We present a case where
optical coherence tomography was used to gain novel insights into the earliest
stages of stent thrombosis. In our patient with a possible "evolving" stent
thrombosis, optical coherence tomography revealed a unique "spider web-like" or
"cheese-like" thrombus morphology not previously reported.
PMID- 21891819
TI - Desperate times, desperate measures: rotablating dissections in acute myocardial
infarction.
AB - The concomitant existence of an acute ST elevation myocardial infarction (STEMI)
and a truly undilatable lesion is not a common occurrence, although STEMI lesions
can be calcified and sometimes difficult to fracture. The manufacturer lists the
presence of a dissection as a contraindication to use for rotational atherectomy.
There are no previously reported cases in the literature of rotablation of
significant macro dissections in the setting of acute myocardial infarction (MI).
Noncompliant balloons, the Cutting Balloon Ultra (Boston Scientific), the Fx
miniRAILTM (Abbott Vascular), and the "cutting wire" technique have all been
previously described for calcific lesion modification.1 Heavily calcific lesions
especially in the setting of a thrombotic infarction may predispose to under
expansion, restenosis, and stent thrombosis. Rotational atherectomy (RA) through
plaque ablation and altering arterial wall compliance may be helpful in
negotiating heavily calcific lesions.2 Previously, a single case report of the
use of RA in the setting of a STEMI has been reported.3 Two previous RA reports
have been de- scribed in the setting of acute and delayed healing of dissections;
however neither were in the setting of acute STEMI.4,5 We describe the first
report to our knowledge of RA for a truly undilatable lesion with accompanying
NHLBI type C iatrogenic dissection post balloon dilation during an acute STEMI to
allow lesion fracture and subsequent stent deployment.
PMID- 21891820
TI - Smad molecules expression pattern in human bronchial airway induced by sulfur
mustard.
AB - Airway remodelling is characterized by the thickening and reorganization of the
airways seen in mustard lung patients. Mustard lung is the general description
for the chronic obstructive pulmonary disease induced by sulfur mustard(SM).
Pulmonary disease was diagnosed as the most important disorder in individuals
that had been exposed to sulfur mustard. Sulfur mustard is a chemical warfare
agent developed during Wars. Iraqi forces frequently used it against Iranian
during Iran -Iraq in the 1980-1988. Peribronchial fibrosis result from airway
remodeling that include excess of collagen of extracellular matrix deposition in
the airway wall. Some of Smads families in association with TGF-beta are involved
in airway remodeling due to lung fibrosis. In the present study we compared the
mRNA expression of Smad2, Smad3, and Smad4 and Smad7 genes in airway wall
biopsies of chemical-injured patients with non-injured patients as control. We
used airway wall biopsies of ten unexposed patients and fifteen SM-induced
patients. Smads expression was evaluated by RT-PCR followed by bands
densitometry. Expression levels of Smad3 and Smad4 in SM exposed patients were
upregulated but Smad2 and Smad7 was not significantly altered. Our results
revealed that Smad3, and 4 may be involved in airway remodeling process in SM
induced patients by activation of TGF-beta. Smad pathway is the most represented
signaling mechanism for airway remodeling and peribronchial fibrosis. The complex
of Smads in the nucleus affects a series of genes that results in peribronchial
fibrosis in SM-induced patients.
PMID- 21891821
TI - FOXP3 gene expression in multiple sclerosis patients pre- and post mesenchymal
stem cell therapy.
AB - Multiple Sclerosis (MS) is an inflammatory demyelinating and neurodegenerative
disorder of the central nervous system (CNS), which mainly affects young adults.
Activated T lymphocytes promote the neuro-inflammatory cascade of MS by secreting
pro-inflammatory cytokines and play a significant role in its pathogenesis. T
lymphocytes may trigger the inflammation, which in turn leads to axonal loss and
neurodegeneration observed in the course of MS. Currently, there is no cure for
MS, however, one of the most promising neuroprotective research tools consists of
the use of bone marrow derived mesenchymal stem cells (MSC). This method promotes
immune system regulation and possibly induces neurological repair and re
myelination of the damaged axons. Recent studies have shown that MSC exert an
immune regulatory function and induce T regulatory-cell proliferation, therefore,
it may serve as a potentially useful treatment for immune-mediated diseases such
as MS. In this pilot study a group of MS patients underwent MSC therapy and we
assayed the expression of an X-linked transcription factor, FoxP3, as a specific
marker of T Regulatory cells in peripheral blood, prior to and after the
treatment. Using q RT-PCR for measurement of expression of FoxP3 by peripheral
blood mononuclear cells, we found that in all subjects, except for one, the
expression of FoxP3 at 6 months after intrathecal injection of MSC was
significantly higher than the levels prior to treatment. Such significant
enhanced expression of FoxP3 associated with clinical stability. Findings from
this pilot study further support the potential of bone marrow derived MSC for
treatment of MS patients.
PMID- 21891822
TI - Spleen and liver dendritic cells differ in their tolerogenic and cytokine
induction potential.
AB - Dendritic cells (DCs) play an important role in induction of cellular immune
responses. It seems that DCs that reside in different organs may be distinct in
their ability to induce immune responses. This study was done to address the
differences between spleen and liver DCs in induction of immune response and/or
tolerance. CD11c+ DCs were separated from the liver and spleen of C57BL/6 mice
and pulsed with myelin oligodendrocyte glycoprotein (MOG) peptide 35-55. 6105
MOG35-55 pulsed spleen or liver DCs were injected in foot pad of different groups
of mice. Control groups received unpulsed DCs. After 5 days, the mononuclear
cells (MNCs) of the regional lymph nodes were isolated from immunized mice for
cytokine assays and lymphocyte transformation test. To study the immunologic or
tolerogenic effects of DCs, three weeks after immunization of mice with MOG
pulsed liver or spleen DCs, experimental autoimmune encephalomyelitis (EAE) was
induced in DC-immunized mice by injection of MOG along with complete Freund's
adjuvant. Our results showed that spleen DCs were more potent in stimulating
lymph node T cells as illustrated in lymphocyte transformation test. Moreover IL
10 production was higher in mice immunized with liver DCs compared with those
immunized with splenic DCs (p=0.017). However, no significant difference in IFN
gamma production was observed between two groups. We also found that liver
DCs+MOG immunized mice displayed a significantly delayed disease onset compared
with spleen DCs+MOG immunized mice and the control groups. The disease score was
also milder in liver DCs immunized mice compared with other groups. It seems that
the higher IL-10 production induced by the liver DCs may be one of the main
factors in down regulation of immune responses in this organ. It can be concluded
also that the liver DCs may inhibit the progress of EAE by shifting the cytokines
profile.
PMID- 21891823
TI - beta-arrestin2 stimulates interleukin-17 production and expression of CD4+ T
lymphocytes in a murine asthma model.
AB - Allergic asthma is a complex and chronic inflammatory airway disease. Interleukin
17 is a pro-inflammatory cytokine which plays critical role in the pathogenesis
of allergic asthma. It has been reported that beta-arrestin2 regulated the
development of allergic asthma at a proximal step in the inflammatory cascade. In
this study, the influence of beta-arrestin2 on Interleukin-17 production and
expression of CD4+ T lymphocytes in a murine asthma model was investigated.
Splenic CD4+ T lymphocytes from wild-type mice and those from a murine asthma
model were purified. CD4+ T lymphocytes from a murine asthma model were
transfected with siRNAs targeting the beta-arrestin2 or were pretreated with the
ERK1/2 inhibitor, PD98059. After stimulation, the protein expression of beta
arrestin2,phosphorylated-ERK1/2 and IL-17 were detection by Western blot; the
mRNA expression of IL-17 were detected by real-time PCR; the accumulation of IL
17 in supernatants were detected by ELISA. We found that beta
arrestin2,phosphorylated-ERK1/2 and IL-17 expression in CD4+ T lymphocytes from a
murine asthma model were increased compared with those from wild-type mice (p <
0.01). Treatment of CD4+ T lymphocytes with siRNAs targeting the beta-arrestin2
down-regulated phosphorylated- ERK 1/2 and IL-17 expression (p < 0.01). PD98059
decreased IL-17 production and expression in CD4+ T lymphocytes in a murine
asthma model (p < 0.05). We conclude that beta-arrestin2 stimulated IL-17
production and expression of CD4+ T lymphocytes in a murine asthma model. The
effect was partly mediated by ERK 1/2 activation. Targeting beta-arrestin2
biological activity could be a valid therapeutic approach for the treatment of
allergic asthma.
PMID- 21891824
TI - Serotonin in allergic rhinitis: a possible role for behavioural symptoms.
AB - Allergic rhinitis (AR) is a very frequent disease which is not only characterized
by nasal symptoms, but also with behavioural changes. This study evaluated the
serum serotonin levels in patients with pollen-induced AR during and outside the
pollen season.One-hundred-two (56 females, 46 males, median age: 28.7 years) were
included in this study: 56 with seasonal AR (SAR) evaluated outside the pollen
season and so without allergic inflammation and symptoms, and 46 with SAR
evaluated during the pollen season with symptoms. Blood specimens were collected
to assess serum concentrations of serotonin and to compare results to scores of a
Quality of Life (QoL) questionnaire which was performed in all subjects.
Serotonin serum concentrations were higher in AR patients out of pollen season
than in (p<0.01). There was a very strong direct relationship between QoL and
serotonin concentrations.This preliminary study demonstrates that SAR influences
serotonin concentrations and that serum serotonin could serve as a biomarker in
AR patients with behavioural symptoms.
PMID- 21891825
TI - Study of KIR expression and HLA ligands in CD56+ lymphocytes of drug resistant
tuberculosis patients.
AB - Analysis of receptor-ligand interactions in the context of diseases necessitates
to understand how HLA-KIR genotypes function in diseases. Although CD56+
lymphocytes are derived from multiple lineages, they share a functional
association with immunosurviellance and antimicrobial responses. The present
study aimed to determine whether KIR phenotype in CD56 lymphocytes and
corresponding HLA-class 1 ligands are associated with multidrug resistance
tuberculosis (MDR-TB). We compared the frequencies of HLA-C and HLA-BW4 genes,
the expression of KIRs 2DL1/2DS1, 2DL2/2DL3, 3DL1, and 2DS4 and the combinations
of HLA/KIR in 32 Nifamycin and Isoniazid-resistant TB with those in 68 drug non
resistant (NR) sputum smear positive pulmonary TB patients. PCR-SSP and flow
cytometry were performed for HLA and KIRs typing, respectively. We showed no
significant differences between inhibitory or activating KIRs as well as HLA
ligands in MDR TB patients compared with NR-TB . The combinations of inhibitory
KIR-HLA ligands in MDR-TB were much more prevalent, but not statistically
significant than in NR patients (p=0.07). The frequency of MDR patients with all
HLA-C and HLA-BW4 ligands was higher than NR-TB (p<0.009). Conversely, the
percentage of MDR patients having only one kind of HLA gene was significantly
lower than NR-TB (p<0.01). We conclude that the expression of inhibitory KIRs
with corresponding HLA ligands genes, and/or co-existence of three HLA class 1
ligands for inhibitory KIRs may be associated with drug resistance in pulmonary
tuberculosis.
PMID- 21891826
TI - Epidemiological study of periocular dermatitis in a specialised hospital
department.
AB - Contact dermatitis is frequent skin pathology and eyelids are one of the more
frequent locations of this pathology. The objective of the present work was to
study the population distribution of periocular dermatitis, determine the
allergens which most frequently indicate positive in patch tests and in
provocative use tests, and analyse the clinical relevance of the positive
tests.Patients with periocular dermatitis (N=93) underwent a thorough physical
examination and a patch test with standard series. According to clinical
suspicions, 76 patients underwent a patch test with specific series. Finally a
provocative use test was done for 36 patients with suspected products that the
patients brought. The tests were classified according their relevance.The most
frequently observed allergen in the patch tests (with standard and specific
series) was nickel followed by mercury, and anti-glaucoma drops in the
provocative use tests with patients products.Patients' sex, age, occupation,
clinical status, presence of associated periocular symptoms, and presence of
atopic or seborrheic dermatitis and/or rosacea did not relate with relevance.We
conclude that a clinical diagnosis may not always be made with patch tests with
standard and specific series due to lack of relevance. It is important to do
provocative use tests with the products suspected as allergens in those cases
where patch tests with standard and specific series indicated positive for more
than one allergen.
PMID- 21891827
TI - Phosphodiesterases inhibition by Bacilli Calmette-Guerin contributes to decrease
asthma in allergic rats.
AB - Phosphodiesterases (PDE) hydrolyse intracellular cAMP and cGMP to inactive 5'
monophosphates. Decreased level of cAMP is involved in the pathogenesis of
asthma. We and others have shown that phosphodiesterases were upregulated in the
lung of allergic rats, and Bacilli Calmette-Guerin (BCG) induced the production
of cAMP in vitro. However, it is unclear how BCG's effect asthma and whether it
is related to PDEs.In this study, BCG was intraperitoneally injected into male
Sprague-Dawley rats sensitized and later the rats were challenged with
ovabumin/pertusis. The inflammation in lungs was measured. Airway
hyperresponsiveness was determined using MedLab software after intravenous
methacholine challenge. Furthermore, cAMP level and adenylate cyclase activity in
lungs were analyzed by ELISA, phosphodiesterases activities were analyzed by
HPLC, while PDEs mRNA levels in lungs was analyzed by reverse transcription
polymerase chain reaction. Administration of BCG significantly attenuated
allergen-induced lung inflammatory response and hyper responsiveness as compared
with vehicle treatment. Furthermore, the levels of cAMP in lungs were
significantly increased in BCG-treated allergic rats. Interestingly,
administration of BCG decreased the activity of cAMP-PDE, but not adenylyl
cyclase (AC), activity in lungs of animals. Furthermore, pretreatment with BCG
significantly decreased the mRNA levels of PDE4A, 4C, 5 and 8, which were induced
in lungs of allergic rats. BCG administration attenuated airway inflammatory
response and bronchial hyper responsiveness in rats, which are the most important
symptoms in asthma. The decreased PDEs mRNA and inhibited cAMP-PDE activities by
BCG contribute, at least in part, prevention of allergen-induced airway
inflammation and asthma in rats.
PMID- 21891828
TI - Alternaria in patients with allergic rhinitis.
AB - Inhalation of fungal spores is shown to participate in the development of
allergic rhinitis symptoms. In this study, relation between presence of
Alternaria in the human nasal cavity and allergic rhinitis is assessed. In a case
control study, 58 allergic rhinitis patients were compared with a well-matched
control group of fifty healthy volunteers for sensitization to Alternaria (by
skin prick test) and detection of Alternaria in their nasal mucous by
conventional methods (microscopy with Methylene Blue stain and culture in
Sabourad dextrose agar). Severity of the disease was determined according to the
ARIA classification. Pearson chi-square test was applied to compare the
proportional difference between the study groups for detection of Alternaria in
the nasal cavity, and sensitization to Alternaria. Relation between detection of
Alternaria and allergic rhinitis was significant [OR = 18.18 (4.02-82.50)] In
addition, sensitization to Alternaria showed a significant relation with the
disease [OR = 2.8 (2.1-3.8)]. There was a significant relation between the
presence of Alternaria in the nasal cavity and sensitization to Alternaria [OR =
10.4 (3.8-28.3)]. Both sensitization to Alternaria and presence of Alternaria in
the nasal cavity did not have a significant relation with the severity of
allergic rhinitis. This study suggests Alternaria as a major allergen that its
presence in the nasal cavity and subsequent development of sensitization have
significant role in the induction of allergic rhinitis.
PMID- 21891829
TI - Two cases of syndromic neutropenia with a report of novel mutation in G6PC3.
AB - Severe congenital neutropenia (SCN) is a rare primary immunodeficiency. Different
genes are found to be associated with SCN, including ELA2, HAX1, WAS, GFI1, G
CSFR. Also, recently G6PC3 as a rare gene in SCN has been reported. Patients with
G6PC3 often have cardiac and/or urogenital malformations. Two patients with
persistent severe neutropenia, recurrent infections and maturation arrest at
promyelocyte-myelocyte stage in their bone marrow were assessed in this study.
Both patients showed structural heart disease and one of them also showed
urogenital anomaly. Sequence analyses of G6PC3 in 2 patients revealed two
different homozygous mutations, one in exon 6 (Asn 313 fs), and the other in exon
3 (Ser 139 Met), the latter is a new mutation which has not been reported in
previous studies. It can be concluded that G6PC3 is one of the responsible gene
for SCN in Iranian patients. Based on the results, a new mutation in G6PC3
observed in one patient.
PMID- 21891830
TI - Absence of long-range magnetic ordering in the pyrochlore compound Er2Sn2O7.
AB - The low temperature behaviour of powder Er2Sn2O7 samples has been studied by
magnetic susceptibility, heat capacity, and neutron scattering experiments. We
report here the absence of magnetic ordering down to 100 mK. Anomalies in the
heat capacity can be accounted for through an analysis of the crystal field
spectrum observed by inelastic neutron scattering spectroscopy. These new
measurements on Er2Sn2O7 suggest a new lower bound for the frustration index of f
= |Theta(CW)|/T(N) = 14/0.1 = 140, placing this compound into a highly frustrated
regime.
PMID- 21891831
TI - Zigzag graphene nanoribbons: bandgap and midgap state modulation.
AB - We study zigzag graphene nanoribbons with periodic edge roughness and report
significant band gap opening. Interestingly, such nanoribbons have a near-midgap
state with a small band width. We extensively study the electronic structure and
the electric-field modulation of the conduction/valence bands and the near-midgap
state. We summarize the important electronic-structure features like the band
gap, the band width and the effective mass. We show that by applying an external
electric field in the width direction, the band width of the near-midgap state
varies linearly due to the edge localization, whereas the band gap remains almost
constant. Additionally, the effective mass of these states can switch polarity
from negative (hole-like) to positive (carrier-like) at the Gamma-point with the
field modulation.
PMID- 21891832
TI - Real space observation of current-induced magnetic domain wall displacement in
Co/Ni nano-wire by photoemission electron microscopy.
AB - Current-induced magnetic domain wall (DW) displacement in a Co/Ni nano-wire with
perpendicular magnetic anisotropy was investigated in real space by photoemission
electron microscopy (PEEM) for the first time. DW velocity determined from the
PEEM observation was 40 m s(-1) for the current density of 2.5 * 10(12) A m(-2),
which was consistent with the result obtained by the electrical measurement used
in our previous reports.
PMID- 21891833
TI - Adsorption of Cu, Ag, and Au atoms on graphene including van der Waals
interactions.
AB - We performed a systematic density functional (DF) study of the adsorption of
copper, silver, and gold adatoms on pristine graphene, especially accounting for
van der Waals (vdW) interactions by the vdW-DF and PBE + D2 methods. In
particular, we analyze the preferred adsorption site (among top, bridge, and
hollow positions) together with the corresponding distortion of the graphene
sheet and identify diffusion paths. Both vdW schemes show that the coinage metal
atoms do bind to the graphene sheet and that in some cases the buckling of the
graphene layer can be significant. Only the results for silver are qualitatively
at variance with those obtained with the generalized gradient approximation,
which gives no binding in this case. However in all three cases, we observe some
quantitative differences between the vdW-DF and PBE + D2 methods. For instance
the adsorption energies calculated with the PBE + D2 method are systematically
higher than the ones obtained with vdW-DF. Moreover, the equilibrium distances
computed with PBE + D2 are shorter than those calculated with the vdW-DF method.
PMID- 21891834
TI - Electronic states of a C70 monolayer on the surface of Ag(111).
AB - We have investigated the electronic states of a C(70) monolayer on the surface of
Ag(111) (1 ML C(70)/Ag(111)) using synchrotron radiation photoelectron
spectroscopy and soft x-ray absorption spectroscopy techniques. The experimental
data exhibit metallic properties and at least 2.6 e(-) charge transfer per C(70)
molecule. The screening effect of Ag(111) on the electronic structure of C(70) is
remarkable; it greatly reduces or even eliminates the on-site Hubbard energy. The
work functions of the C(70) multilayer and monolayer are determined as 4.53 eV
and 4.52 eV respectively. The energy levels of C(70) align with the Fermi level
of the Ag(111) substrate, and the shift of the vacuum level caused by C(70)
adsorption is negligible. Potassium doping indicates that 1 ML C(70)/Ag(111) can
still accommodate about nine electrons and that the sample remains metallic at
any doping level.
PMID- 21891835
TI - Calculating activation energies for temperature compensation in circadian
rhythms.
AB - Many biological species possess a circadian clock, which helps them anticipate
daily variations in the environment. In the absence of external stimuli, the
rhythm persists autonomously with a period of approximately 24 h. However, single
pulses of light, nutrients, chemicals or temperature can shift the clock phase.
In the case of light- and temperature-cycles, this allows entrainment of the
clock to cycles of exactly 24 h. Circadian clocks have the remarkable property of
temperature compensation, that is, the period of the circadian rhythm remains
relatively constant within a physiological range of temperatures. For several
organisms, temperature-regulated processes within the circadian clock have been
identified in recent years. However, how these processes contribute to
temperature compensation is not fully understood. Here, we theoretically
investigate temperature compensation in general oscillatory systems. It is known
that every oscillator can be locally temperature compensated around a reference
temperature, if reactions are appropriately balanced. A balancing is always
possible if the control coefficient with respect to the oscillation period of at
least one reaction in the oscillator network is positive. However, for global
temperature compensation, the whole physiological temperature range is relevant.
Here, we use an approach which leads to an optimization problem subject to the
local balancing principle. We use this approach to analyse different circadian
clock models proposed in the literature and calculate activation energies that
lead to temperature compensation.
PMID- 21891836
TI - Skin perfusion pressure measured with a photo sensor in an air-filled plastic
balloon: validity and reproducibility on the lower leg in normal subjects and
patients suspected of obliterative arterial disease.
AB - An inflatable small plastic bag including a photo sensor was constructed for
measurement of skin perfusion pressure avoiding the rim of the photo sensor over
bony and tendineous surfaces of the tibia below the knee, at the ankle, and on
the dorsal forefoot. Compression was obtained using a conical blood pressure cuff
with continuous decrease from suprasystolic arm pressure. The validity of skin
perfusion pressure with the new device was compared to that of isotope washout
below the knee in normal subjects and in patients with an ischemic forefoot with
acceptable agreement. The method had a high reproducibility within and between
days in normal subjects. Compared to systolic arterial pressure measured using a
strain gauge with a cuff on the ankle in normal subjects and patients with
intermittent claudication the new device showed blood pressure in the skin closer
to the diastolic pressure. The new pressure device thus had acceptable validity
and reproducibility for estimation of the skin perfusion pressure and can be used
on bony and tendineous sites on the lower limb in regions where critical wound
healing is frequent, e.g. ankle and forefoot.
PMID- 21891837
TI - Aligned carbon nanotube based ultrasonic microtransducers for durability
monitoring in civil engineering.
AB - Structural health monitoring of porous materials such as concrete is becoming a
major component in our resource-limited economy, as it conditions durable
exploitation of existing facilities. Durability in porous materials depends on
nanoscale features which need to be monitored in situ with nanometric resolution.
To address this problem, we put forward an approach based on the development of a
new nanosensor, namely a capacitive micrometric ultrasonic transducer whose
vibrating membrane is made of aligned single-walled carbon nanotubes (SWNT). Such
sensors are meant to be embedded in large numbers within a porous material in
order to provide information on its durability by monitoring in situ neighboring
individual micropores. In the present paper, we report on the feasibility of the
key building block of the proposed sensor: we have fabricated well-aligned, ultra
thin, dense SWNT membranes that show above-nanometer amplitudes of vibration over
a large range of frequencies spanning from 100 kHz to 5 MHz.
PMID- 21891838
TI - Piezoresistance of top-down suspended Si nanowires.
AB - Measurements of the gauge factor of suspended, top-down silicon nanowires are
presented. The nanowires are fabricated with a CMOS compatible process and with
doping concentrations ranging from 2 * 10(20) down to 5 * 10(17) cm(-3). The
extracted gauge factors are compared with results on identical non-suspended
nanowires and with state-of-the-art results. An increase of the gauge factor
after suspension is demonstrated. For the low doped nanowires a value of 235 is
measured. Particular attention was paid throughout the experiments to
distinguishing real resistance change due to strain modulation from resistance
fluctuations due to charge trapping. Furthermore, a numerical model correlating
surface charge density with the gauge factor is presented. Comparison of the
simulations with experimental measurements shows the validity of this approach.
These results contribute to a deeper understanding of the piezoresistive effect
in Si nanowires.
PMID- 21891839
TI - Assembly of live micro-organisms on microstructured PDMS stamps by
convective/capillary deposition for AFM bio-experiments.
AB - Immobilization of live micro-organisms on solid substrates is an important
prerequisite for atomic force microscopy (AFM) bio-experiments. The method
employed must immobilize the cells firmly enough to enable them to withstand the
lateral friction forces exerted by the tip during scanning but without denaturing
the cell interface. In this work, a generic method for the assembly of living
cells on specific areas of substrates is proposed. It consists in assembling the
living cells within the patterns of microstructured, functionalized poly
dimethylsiloxane (PDMS) stamps using convective/capillary deposition. This
versatile approach is validated by applying it to two systems of foremost
importance in biotechnology and medicine: Saccharomyces cerevisiae yeasts and
Aspergillus fumigatus fungal spores. We show that this method allows multiplexing
AFM nanomechanical measurements by force spectroscopy on S. cerevisiae yeasts and
high-resolution AFM imaging of germinated Aspergillus conidia in buffer medium.
These two examples clearly demonstrate the immense potential of micro-organism
assembly on functionalized, microstructured PDMS stamps by convective/capillary
deposition for performing rigorous AFM bio-experiments on living cells.
PMID- 21891840
TI - Spin-polarized quantum pumping in bilayer graphene.
AB - We study adiabatic quantum pumping in bilayer graphene where two-barrier
potentials are weakly modulated as pumping parameters. Comparing the results with
those for a normal quantum pump of non-chiral quasiparticles, we find that the
chirality of quasiparticles in bilayer graphene heavily affects the pumped
current through chiral tunnelling. When an exchange splitting induced by the
proximity of a ferromagnetic insulator is introduced, the pumped current becomes
spin-polarized. It is interesting that an almost 100% polarized charge current
and a pure spin current with vanishing charge current can all be achieved under
suitable conditions. The experimental feasibility and the interlayer asymmetric
effect in bilayer graphene caused by the gate and the ferromagnet structures are
also discussed. The results are useful for spintronics applications based on
graphene.
PMID- 21891841
TI - SnO2/WO3 core-shell nanorods and their high reversible capacity as lithium-ion
battery anodes.
AB - WO(3) nanorods are uniformly coated with SnO(2) nanoparticles via a facile wet
chemical route. The reversible capacity of SnO(2)/WO(3) core-shell nanorods is
845.9 mA h g(-1), higher than that of bare WO(3) nanorods, SnO(2) nanostructures,
and traditional theoretical results. Such behavior can be attributed to a novel
mechanism by which nanostructured metallic tungsten makes extra Li(2)O (from
SnO(2)) reversibly convert to Li(+). This mechanism is confirmed by x-ray
diffraction results. Our results open a way for enhancing the reversible capacity
of alloy-type metal oxide anode materials.
PMID- 21891842
TI - Upconversion fluorescent nanoparticles as a potential tool for in-depth imaging.
AB - Upconversion nanoparticles (UCNs) are nanoparticles that are excited in the near
infrared (NIR) region with emission in the visible or NIR regions. This makes
these particles attractive for use in biological imaging as the NIR light can
penetrate the tissue better with minimal absorption/scattering. This paper
discusses the study of the depth to which cells can be imaged using these
nanoparticles. UCNs with NaYF(4) nanocrystals doped with Yb(3+), Er(3+) (visible
emission)/Yb(3+), Tm(3+) (NIR emission) were synthesized and modified with silica
enabling their dispersion in water and conjugation of biomolecules to their
surface. The size of the sample was characterized using transmission electron
microscopy and the fluorescence measured using a fluorescence spectrometer at an
excitation of 980 nm. Tissue phantoms were prepared by reported methods to mimic
skin/muscle tissue and it was observed that the cells could be imaged up to a
depth of 3 mm using the NIR emitting UCNs. Further, the depth of detection was
evaluated for UCNs targeted to gap junctions formed between cardiac cells.
PMID- 21891843
TI - Large-scale ordered silicon microtube arrays fabricated by Poisson spot
lithography.
AB - A novel approach based on the Poisson spot effect in a conventional optical
lithography system is presented for fabricating large-scale ordered ring patterns
at low cost, in which the pattern geometries are tuned by controlling the
exposure dose and deliberate design of the mask patterns. Following this by
cryogenic deep etching, the ring patterns are transferred into Si substrates,
resulting in various vertical tubular Si array structures. Microscopic analysis
indicates that the as-fabricated Si microtubes have smooth interior and exterior
surfaces that are uniform in size, shape and wall-thickness, which exhibit
potential applications as electronic, biological and medical devices.
PMID- 21891844
TI - Ultra-fast vapour-liquid-solid synthesis of Si nanowires using ion-beam implanted
gallium as catalyst.
AB - The feasibility of gallium as a catalyst for vapour-liquid-solid (VLS) nanowire
(NW) growth deriving from an implantation process in silicon by a focused ion
beam (FIB) is investigated. Si(100) substrates are subjected to FIB implantation
of gallium ions with various ion fluence rates. NW growth is performed in a hot
wall chemical vapour deposition (CVD) reactor at temperatures between 400 and 500
degrees C with 2% SiH(4)/He as precursor gas. This process results in ultra-fast
growth of (112)- and (110)-oriented Si-NWs with a length of several tens of
micrometres. Further investigation by transmission electron microscopy indicates
the presence of a NW core-shell structure: while the NW core yields crystalline
structuring, the shell consists entirely of amorphous material.
PMID- 21891845
TI - Convenient immobilization of Pt-Sn bimetallic catalysts on nitrogen-doped carbon
nanotubes for direct alcohol electrocatalytic oxidation.
AB - Pt-Sn alloy nanoparticles were conveniently immobilized on nitrogen-doped carbon
nanotubes (NCNTs) through microwave-assisted ethylene glycol reduction. The
nanoparticles have a narrow particle size distribution with the average particle
size around 3 nm as measured by transmission electron microscopy and x-ray
diffraction. The binding energy of metallic Sn passively shifts due to the charge
transfer from Sn to Pt, as revealed by x-ray photoelectron spectroscopy. In
comparison with the commercial Pt/C catalyst, Pt/NCNT presents a clear increase
in activity for alcohol electro-oxidation due to the improved support, while the
bimetallic Pt-Sn/NCNT has even higher activity owing to the alloying of Pt with
Sn. Both Pt-Sn/NCNT and Pt/NCNT catalysts exhibit competitive long-term stability
to Pt/C catalyst. The low cost, simple preparation and superior electrocatalytic
performance indicate the great potential of Pt-Sn/NCNT in direct alcohol fuel
cells.
PMID- 21891846
TI - Purity-enhanced bulk synthesis of thin single-wall carbon nanotubes using iron
copper catalysts.
AB - We report high purity and high yield synthesis of single-wall carbon nanotubes
(SWCNTs) of narrow diameter from iron-copper bimetal catalysts. The SWCNTs with
diameter of 0.8-1.2 nm are synthesized using the zeolite-supported alcohol
chemical vapour deposition method. Single metal and bimetal catalysts are
systematically investigated to achieve both the enhancement of SWCNT yield and
the suppression of the undesired formation of graphitic impurities. The relative
yield and purity of SWCNTs are quantified using optical absorption spectroscopy
with an ultracentrifuge-based purification technique. For the single metal
catalyst, iron shows the highest catalytic activity compared with the other
metals such as cobalt, nickel, molybdenum, copper, and platinum. It has been
found that the addition of copper to iron results in the suppression of
carbonaceous impurity formation without decreasing the SWCNT yield. The purity
enhanced SWCNT shows fairly low sheet resistance due to the improvement of inter
nanotube contacts. This scalable design of SWCNT synthesis with enhanced purity
is therefore a promising tool for shaping future high performance devices.
PMID- 21891847
TI - Predictive models for pressure-driven fluid infusions into brain parenchyma.
AB - Direct infusions into brain parenchyma of biological therapeutics for serious
brain diseases have been, and are being, considered. However, individual brains,
as well as distinct cytoarchitectural regions within brains, vary in their
response to fluid flow and pressure. Further, the tissue responds dynamically to
these stimuli, requiring a nonlinear treatment of equations that would describe
fluid flow and drug transport in brain. We here report in detail on an individual
specific model and a comparison of its prediction with simulations for living
porcine brains. Two critical features we introduced into our model-absent from
previous ones, but requirements for any useful simulation-are the infusion
induced interstitial expansion and the backflow. These are significant
determinants of the flow. Another feature of our treatment is the use of cross
property relations to obtain individual-specific parameters that are coefficients
in the equations. The quantitative results are at least encouraging, showing a
high fraction of overlap between the computed and measured volumes of
distribution of a tracer molecule and are potentially clinically useful. Several
improvements are called for; principally a treatment of the interstitial
expansion more fundamentally based on poroelasticity and a better delineation of
the diffusion tensor of a particle confined to the interstitial spaces.
PMID- 21891848
TI - Beam orientation optimization for intensity modulated radiation therapy using
adaptive l(2,1)-minimization.
AB - Beam orientation optimization (BOO) is a key component in the process of
intensity modulated radiation therapy treatment planning. It determines to what
degree one can achieve a good treatment plan in the subsequent plan optimization
process. In this paper, we have developed a BOO algorithm via adaptive l(2, 1)
minimization. Specifically, we introduce a sparsity objective function term into
our model which contains weighting factors for each beam angle adaptively
adjusted during the optimization process. Such an objective function favors a
small number of beam angles. By optimizing a total objective function consisting
of a dosimetric term and the sparsity term, we are able to identify unimportant
beam angles and gradually remove them without largely sacrificing the dosimetric
objective. In one typical prostate case, the convergence property of our
algorithm, as well as how beam angles are selected during the optimization
process, is demonstrated. Fluence map optimization (FMO) is then performed based
on the optimized beam angles. The resulting plan quality is presented and is
found to be better than that of equiangular beam orientations. We have further
systematically validated our algorithm in the contexts of 5-9 coplanar beams for
five prostate cases and one head and neck case. For each case, the final FMO
objective function value is used to compare the optimized beam orientations with
the equiangular ones. It is found that, in the majority of cases tested, our BOO
algorithm leads to beam configurations which attain lower FMO objective function
values than those of corresponding equiangular cases, indicating the
effectiveness of our BOO algorithm. Superior plan qualities are also demonstrated
by comparing DVH curves between BOO plans and equiangular plans.
PMID- 21891850
TI - Comment on 'fast attainment of computer cursor control with noninvasively
acquired brain signals'.
AB - In a recent paper by Bradberry, Gentili and Contreras-Vidal published in Journal
of Neural Engineering (2011, 8 036010), an interesting method for the control of
a two-dimensional mouse cursor was proposed, which apparently attained excellent
control and good speed with relatively simple techniques. We believe some of the
results in the paper have been misinterpreted due to a failure in appreciating
the self-fulfilling nature of the success criteria adopted. In this comment, we
explain the nature of the problem and attempt to assess its influence on the
results reported in the aforementioned paper.
PMID- 21891851
TI - A valley-filtering switch based on strained graphene.
AB - We investigate valley-dependent transport through a graphene sheet modulated by
both the substrate strain and the fringe field of two parallel ferromagnetic
metal (FM) stripes. When the magnetizations of the two FM stripes are switched
from the parallel to the antiparallel alignment, the total conductance, valley
polarization and valley conductance excess change greatly over a wide range of
Fermi energy, which results from the dependence of the valley-related
transmission suppression on the polarity configuration of inhomogeneous magnetic
fields. Thus the proposed structure exhibits the significant features of a valley
filtering switch and a magnetoresistance device.
PMID- 21891852
TI - The phase diagram for coexisting d-wave superconductivity and charge-density
waves: cuprates and beyond.
AB - Phase diagrams of d-wave superconductivity characterized by an order parameter
Delta coexisting with charge-density waves (CDWs) characterized by an order
parameter Sigma were constructed for the two-dimensional Fermi surface (FS)
appropriate to, e.g., cuprates. CDWs were considered as an origin of the
pseudogap appearing at antinodal FS sections of the d(x2-y2) superconductor. Two
types of the Sigma-reentrance were found: with the temperature, T, and with the
opening of the CDW sector, 2alpha. The angular plots in the momentum space for
the resulting gap profile over the FS ('gap roses') were obtained. The gap
patterns are rather involved, giving insight into the difficulties of the
interpretation of photoemission spectra. It was shown that the Sigma-Delta
coexistence region exists even for the complete dielectric gapping due to the
distinction between the superconducting and CDW order parameter symmetries. The
checkerboard and unidirectional CDW configurations were examined, and both the
phase diagrams and the behavior with T and alpha of the order parameters were
found to differ. A more general case with a non-zero mismatch angle beta between
the superconducting lobes and the CDW sectors was analyzed, the case beta = pi/4
corresponding to the d(xy) symmetry of the superconducting order parameter. The
phase diagrams were found to be sensitive to beta-variations, showing that
internal strains and external pressure can drastically affect the behavior of
Sigma(T) and Delta(T).
PMID- 21891853
TI - Magnetic properties of hole-doped SCGO, SrCr(8)Ga(4-x)M(x)O(19) (M = Zn, Mg, Cu).
AB - We report changes in the magnetic properties of hole-doped SCGO, SrCr8Ga4O19,
induced by replacing non-magnetic Ga3+ with both non-magnetic (Mg2+ and Zn2+) and
magnetic (Cu2+) cations. The resulting solid solutions, SrCr(8)Ga(4-x)M(x)O(19)
(M = Zn, Mg, Cu) have been studied by x-ray diffraction and magnetic
susceptibility measurements. For all cases, at least 10% of Ga can be replaced by
divalent cations resulting in oxidation of >=5% of the Cr3+ d3 to Cr4+ (d2). The
hole doping results in an increase in ferromagnetic interactions and reduces the
magnetic frustration. In the SrCr(8)Ga(4-x)Cu(x)O(19) series an enhancement of
the spin-glass-like transition is observed, T(f)~ 6 K, which we ascribe to the
magnetic nature of the Cu2+ (d9) dopant.
PMID- 21891854
TI - Topological defect motifs in two-dimensional Coulomb clusters.
AB - We study the distribution of topological defects in two-dimensional Coulomb
clusters with parabolic lateral confinement. The minima hopping algorithm based
on molecular dynamics is used to efficiently locate the ground- and low-energy
metastable states, and their structure is analysed by means of the Delaunay
triangulation. The size, structure and distribution of geometry-induced lattice
imperfections strongly depends on the system size and the energetic state.
Besides isolated disclinations and dislocations, classification of defect motifs
includes defect compounds-grain boundaries, rosette defects, vacancies and
interstitial particles. Proliferation of defects in metastable configurations
destroys the orientational order of the Wigner lattice.
PMID- 21891855
TI - Structural characterization of self-assembled ZnO nanoparticles obtained by the
sol-gel method from Zn(CH3COO)2.2H2O.
AB - Zinc oxide nanopowders were synthesized by the sol-gel method from an ethanol
solution of zinc acetate dihydrate. Detailed structural and microstructural
investigations were carried out using x-ray diffraction, Raman spectroscopy,
thermogravimetric and differential thermal analyses, as well as high-resolution
transmission electron microscopy (TEM) and field-emission scanning electron
microscopy. The intermediate compound of the reaction was layered zinc hydroxide
acetate that further transforms into hexagonally shaped ZnO crystalline
nanoplates (d(m) = 4 nm), which aggregate into larger spherical particles.
According to the TEM analysis the ZnO nanoparticles were self-assembled into
larger particles with the same orientation, i.e. aligned lattice planes of the
particles. A further solvothermal treatment resulted in hexagonal, prismatic ZnO
mesocrystals.
PMID- 21891856
TI - Near-infrared responsive PbS-sensitized photovoltaic photodetectors fabricated by
the spin-assisted successive ionic layer adsorption and reaction method.
AB - A PbS-sensitized photovoltaic photodetector responsive to near-infrared (NIR)
light was fabricated by depositing monolayered PbS nanoparticles on a mesoporous
TiO(2) (mp-TiO(2)) film via the spin-assisted successive ionic layer adsorption
and reaction (SILAR) method. By adjusting the size and morphology of the PbS
nanoparticles through repeated spin-assisted SILAR cycles, the PbS-sensitized
photovoltaic photodetector achieved an external quantum efficiency of 9.3% at
1140 nm wavelength and could process signals up to 1 kHz.
PMID- 21891857
TI - Capacity based nondestructive readout for complementary resistive switches.
AB - Complementary resistive switches (CRS) were recently suggested to solve the sneak
path problem of larger passive memory arrays. CRS cells consist of an antiserial
setup of two bipolar resistive switching cells. The conventional destructive
readout for CRS cells is based on a current measurement which makes a
considerable call on the switching endurance. Here, we report a new approach for
a nondestructive readout (NDRO) based on a capacity measurement. We suggest a
concept of an alternative setup of a CRS cell in which both resistive switching
cells have similar switching properties but are distinguishable by different
capacities. The new approach has the potential of an energy saving and fast
readout procedure without decreasing cycling performance and is not limited by
the switching kinetics for integrated passive memory arrays.
PMID- 21891858
TI - Synergistic manipulation of micro-nanostructures and composition: anatase/rutile
mixed-phase TiO2 hollow micro-nanospheres with hierarchical mesopores for
photovoltaic and photocatalytic applications.
AB - The construction of nanocrystals with controllable composition and desirable
micro-nanostructures is a well-known challenge. A combination of favorable
composition and optimized micro-nanostructures can enhance the performance of a
material significantly. Using TiO(2) as an example, we demonstrate here a facile
approach to prepare anatase/rutile mixed-phase TiO(2) hollow micro-nanospheres
with hierarchical mesopores. Our strategy relies on polymer-assisted assembly of
~ 5 nm nano-building blocks into three-dimensional hierarchical hollow micro
nanospheres in a mixed alcohol-water solution. This superior micro-nanostructure
endows the sample with hierarchical mesopores and a high surface area of 106 m(2)
g(-1). We also show that, due to the synergetic effects of the mixed-phase
composition and the micro-nanostructures, the sample exhibited significantly
improved photovoltaic performance and similar photocatalytic performance compared
with the commercial Degussa P25. These results suggested that our sample has
great potential for future photovoltaic and photocatalytic applications.
PMID- 21891859
TI - A study of Joule heating-induced breakdown of carbon nanotube interconnects.
AB - We investigate breakdown of carbon nanotube (CNT) interconnects induced by Joule
heating in air and under high vacuum conditions (10(-5) mbar). A CNT with a
diameter of 18 nm, which is grown by chemical vapor deposition to connect
opposing titanium nitride (TiN) electrodes, is able to carry an electrical power
up to 0.6 mW before breaking down under vacuum, with a corresponding maximum
current density up to 8 * 10(7) A cm(-2) (compared to 0.16 mW and 2 * 10(7) A cm(
2) in air). Decoration with electrochemically deposited Ni particles allows
protection of the CNT interconnect against oxidation and improvement of the heat
release through the surrounding environment. A CNT decorated with Ni particles is
able to carry an increased electrical power of about 1.5 mW before breaking down
under vacuum, with a corresponding maximum current density as high as 1.2 * 10(8)
A cm(-2). The Joule heating produced along the current carrying CNT interconnect
is able to melt the Ni particles and promotes the formation of titanium carbon
nitride which improves the electrical contact between the CNT and the TiN
electrodes.
PMID- 21891860
TI - Precise in situ tuning of the critical current of a superconducting nanowire
using high bias voltage pulses.
AB - We present a method for in situ tuning of the critical current (or switching
current) and critical temperature of a superconducting MoGe nanowire using high
bias voltage pulses. Our main finding is that as the pulse voltage is increased,
the nanowire demonstrates a reduction, a minimum and then an enhancement of the
switching current and critical temperature. Using controlled pulsing, the
switching current of a superconducting nanowire can be set exactly to a desired
value. These results correlate with in situ transmission electron microscope
imaging where an initially amorphous nanowire transforms into a single crystal
nanowire by high bias voltage pulses. We compare our transport measurements to a
thermally activated model of Little's phase slips in nanowires.
PMID- 21891862
TI - Identification of polyphenolic compounds and black tea extract as potent
inhibitors of lipid membrane destabilization by Abeta42 aggregates.
AB - Amyloid-beta (Abeta) aggregation is a recognized key process in the pathogenesis
of Alzheimer's disease (AD). Misfolded Abeta peptides self-assemble into higher
order oligomers that compromise membrane integrity, leading to synaptic
degeneration and neuronal cell death. The main aim of this study was to explore
whether small-molecule compounds and black tea extract can protect phospholipid
membranes from disruption by Abeta aggregates. We first established a robust
protocol for aggregating Abeta42 peptides into a range of oligomers that
efficiently permeabilized small unilamellar liposomes. Next, 15 natural plant
polyphenolic compounds, 8 N'-benzylidene-benzohydrazide (NBB) compounds and black
tea extract were assessed for their ability to antagonize liposome
permeabilization by the Abeta42 oligomers. Our data indicates that black tea
extract, the flavones apigenin and baicalein, and the stilbene
nordihydroguaiaretic acid (NDGA) are indeed potent inhibitors. Taking into
consideration the results of all the small-molecule polyphenols and NBB
compounds, it can be proposed that a dihydroxyphenyl ring structure, alone or as
part of a flavone scaffold, is particularly effective for protection against
membrane damage by the Abeta42 oligomers. Given the critical role of membrane
perforation in the neurodegenerative cascade, these conclusions may guide the
design and development of novel therapeutic drugs in AD.
PMID- 21891863
TI - Infant exposure to lead (Pb) and epigenetic modifications in the aging primate
brain: implications for Alzheimer's disease.
AB - The beginnings of late onset Alzheimer's disease (LOAD) are still unknown;
however, the progressive and latent nature of neurodegeneration suggests that the
triggering event occurs earlier in life. Aging primates exposed to lead (Pb) as
infants exhibited an overexpression of the amyloid-beta protein precursor
(AbetaPP), amyloid-beta (Abeta) and enhanced pathologic neurodegeneration. In
this study, we measured the latent expression of a wide array of brain-specific
genes and explored whether epigenetic pathways mediated such latent molecular and
pathological changes. We analyzed the levels of proteins associated with DNA
methylation, i.e., DNA methyltransferase 1 (Dnmt1), DNA methyltransferase3a
(Dnmt3a), methyl-CpG binding protein-2 (MeCP2) and those involved in histone
modifications (acetylated and methylated histones). We monitored the expression
profiles of these intermediates across the lifespan and analyzed their levels in
23-year-old primate brains exposed to Pb as infants. Developmental Pb exposure
altered the gene expression of the arrayed genes, which were predominately
repressed, with fewer upregulated genes. The latent induction and repression of
genes was accompanied by a significant decrease in the protein levels of Dnmts,
MeCP2, and proteins involved in histone modifications. The attenuation of DNA
methylation enzymes is consistent with hypomethylating effects, which promote
upregulation of the genes, while the alterations in the histone modifiers are
associated with the repression of genes. Hence, we deduce that early life
exposure to Pb can reprogram gene expression resulting in both upregulation and
down-regulation of genes through alternate epigenetic pathways contributing to an
enhancement in neurodegeneration in old age.
PMID- 21891864
TI - N'-benzylidene-benzohydrazides as novel and selective tau-PHF ligands.
AB - The structure activity relationship of N'-benzylidene-benzohydrazide (NBB)
binding to tau and paired helical filament (PHF) proteins as well as amyloid
beta1-42 fibrils indicate differential selectivity for these protein aggregates.
The ability of the compounds to stain neurofibrillary tangles and senile plaques
isolated from human AD brain was investigated histochemically. These studies
resulted in several tau-PHF and amyloid-beta1-42 fibril selective ligands
respectively. Supported by these results, we rationalized a model for the design
of selective ligands for tau, PHF, and amyloid-beta1-42 fibrils.
PMID- 21891865
TI - Cerebrospinal fluid biomarkers in Progranulin mutations carriers.
AB - Cerebrospinal fluid (CSF) biomarkers (Abeta1-42, total tau, P-181 tau) are
currently used to support a clinical diagnosis of Alzheimer's disease (AD). The
CSF profile in frontotemporal lobar degeneration (FTLD) caused by Progranulin
(GRN) mutation is unknown. We assessed CSF biomarkers in 145 AD, 140 FTLD (20 GRN
positive, 120 GRN negative) patients, and 38 controls. Taking into account the
reference values used in clinical practice, GRN mutation carriers and controls
did not differ significantly for any biomarker, whereas GRN negative FTLD
patients had higher tau levels than controls (p < 0.001) and patients carrying
GRN Thr272fs mutation (p = 0.033, Chi-Square test). Comparing CSF biomarkers mean
values among groups, total tau was significantly increased in GRN negative FTLD
and in mutation carriers compared with controls (p < 0.001). P-181 tau CSF was
increased in AD patients and in GRN negative FTLD compared with controls (p <
0.001), but not in 17 patients carrying the Thr272fs mutation. 88.2% of mutation
carriers had normal CSF tau, despite the neurodegenerative nature of FTLD. Our
results suggest that GRN mutation carriers have normal or borderline CSF
biomarkers. In patients with an AD-like phenotype but normal or borderline CSF
biomarkers, a diagnosis of FTLD-U caused by GRN mutations should be considered.
PMID- 21891867
TI - Homocysteine, vitamin B12, and folic acid levels in Alzheimer's disease, mild
cognitive impairment, and healthy elderly: baseline characteristics in subjects
of the Australian Imaging Biomarker Lifestyle study.
AB - There is some debate regarding the differing levels of plasma homocysteine,
vitamin B12 and serum folate between healthy controls (HC), mild cognitive
impairment (MCI), and Alzheimer's disease (AD). As part of the Australian Imaging
Biomarker Lifestyle (AIBL) study of aging cohort, consisting of 1,112
participants (768 HC, 133 MCI patients, and 211 AD patients), plasma
homocysteine, vitamin B12, and serum and red cell folate were measured at
baseline to investigate their levels, their inter-associations, and their
relationships with cognition. The results of this cross-sectional study showed
that homocysteine levels were increased in female AD patients compared to female
HC subjects (+16%, p-value < 0.001), but not in males. Red cell folate, but not
serum folate, was decreased in AD patients compared to HC (-10%, p-value =
0.004). Composite z-scores of short- and long-term episodic memory, total
episodic memory, and global cognition all showed significant negative
correlations with homocysteine, in all clinical categories. Increasing red cell
folate had a U-shaped association with homocysteine, so that high red cell folate
levels were associated with worse long-term episodic memory, total episodic
memory, and global cognition. These findings underscore the association of plasma
homocysteine with cognitive deterioration, although not unique to AD, and
identified an unexpected abnormality of red cell folate.
PMID- 21891868
TI - Gene signature in Alzheimer's disease and environmental factors: the virus
chronicle.
AB - Genome wide association investigations from large cohorts of patients with
Alzheimer's disease (AD) and non demented controls (CTR) showed that a limited
set of genes were associated (p > 10-5) with the disease. A very recent study
from our group showed that an additional limited group of SNP in selected genes
were associated with AD. In this report we argue that the association of these
genes with AD is suggestive of a pivotal role of environmental factors in the
pathogenesis of the disease and one of these factors is virus infection. In other
words, the genetic signature revealed by genome wide association (GWA) studies
discloses a network of genes that might influence the ability of the central
nervous system to cope with and fight against the invasion by virus of the herpes
family. In fact, Nectin-2 (NC-2); apolipoprotein E (APOE); glycoprotein
carcinoembryonic antigen related cell adhesion molecule-16 (CEACAM-16); B-cell
lymphoma-3 (Bcl-3); translocase of outer mitochondrial membrane 40 homolog (T0MM
40); complement receptor-1 (CR-l); APOJ or clusterin and C-type lectin domain A
family-16 member (CLEC-16A); Phosphatidyl inositol- binding clathrin assembly
protein gene (PICALM); ATP-bonding cassette, sub family A, member 7 (ABCA7);
membrane spanning A4 (MSA4); CD2 associated protein (CD2AP); cluster of
differentiation 33 (CD33); and ephrin receptor A1 (EPHA1) result in a genetic
signature that might affect individual brain susceptibility to infection by the
herpes virus family during aging, leading to neuronal loss, inflammation, and
amyloid deposition.
PMID- 21891866
TI - Is TNF a link between aging-related reproductive endocrine dyscrasia and
Alzheimer's disease?
AB - This commentary addresses a novel mechanism by which aging-related changes in
reproductive hormones could mediate their action in the brain. It presents the
evidence that dyotic endocrine signals modulate the expression of tumor necrosis
factor (TNF) and related cytokines, and that these cytokines are a functionally
important downstream link mediating neurodegeneration and dysfunction. This
convergence of dyotic signaling on TNF-mediated degeneration and dysfunction has
important implications for understanding the pathophysiology of AD, stroke, and
traumatic brain disease, and also for the treatment of these diseases.
PMID- 21891869
TI - From genotype to phenotype: two cases of genetic frontotemporal lobar
degeneration with premorbid bipolar disorder.
AB - Frontotemporal lobar degeneration (FTLD) is a common early-onset dementia, which
shows highly heterogeneous phenotypic presentations. Although an autosomal
dominant transmission can be found only in about 10% cases, familial aggregation
is frequently observed in FTLD. Recently, the progranulin gene (GRN) was reported
to be involved in the disease pathogenesis. We describe two clinically different,
apparently sporadic FTLD cases, sharing the previously described GRN mutation
g.11019_11022delCACT (relative to nt1, NCBI NG_007886.1), alias Thr272fs, with a
premorbid psychiatric history. Both patients are males and were in their sixties
when diagnosed clinically with, respectively, the behavioral variant of
frontotemporal dementia (bvFTD) and progressive nonfluent aphasia (PNFA). In both
cases, the medical history revealed the presence of bipolar spectrum disorders.
Mutations in GRN are considered to be a major cause of FTLD. However, the
phenotypes associated with these mutations are highly variable. Our description
of two novel FTLD genetic cases confirms the high frequency of the
g.11019_11022delCACT mutation in Northern Italy. On this basis, we recommend to
consider the presence of this mutation as a possible cause of the disease,
particularly in patients with premorbid psychiatric symptoms.
PMID- 21891870
TI - Loss of astrocyte polarization in the tg-ArcSwe mouse model of Alzheimer's
disease.
AB - Aquaporin-4 (AQP4) is the predominant water channel in brain and is selectively
expressed in astrocytes. Astrocytic endfoot membranes exhibit tenfold higher
densities of AQP4 than non-endfoot membranes, making AQP4 an excellent marker of
astrocyte polarization. Loss of astrocyte polarization is known to compromise
astrocytic function and to be associated with impaired water and K+ homeostasis.
Here we investigate by a combination of light and electron microscopic
immunocytochemistry whether amyloid deposition is associated with a loss of
astrocyte polarization, using AQP4 as a marker. We used the tg-ArcSwe mouse model
of Alzheimer's disease, as this model displays perivascular plaques as well as
plaques confined to the neuropil. 3D reconstructions were done to establish the
spatial relation between plaques and astrocytic endfeet, the latter known to
contain the perivascular pool of AQP4. Changes in AQP4 expression emerge just
after the appearance of the first plaques. Typically, there is a loss of AQP4
from endfoot membranes at sites of perivascular amyloid deposits, combined with
an upregulation of AQP4 in the neuropil surrounding plaques. By electron
microscopy it could be verified that the upregulation reflects an increased
concentration of AQP4 in those delicate astrocytic processes that abound in
synaptic regions. Thus, astrocytes exhibit a redistribution of AQP4 from endfoot
membranes to non-endfoot membrane domains. The present data suggest that the
development of amyloid deposits is associated with a loss of astrocyte
polarization. The possible perturbation of water and K+ homeostasis could
contribute to cognitive decline and seizure propensity in patients with
Alzheimer's disease.
PMID- 21891871
TI - Long-term effects of galantamine on cognitive function in Alzheimer's disease: a
large-scale international retrospective study.
AB - In Alzheimer's disease (AD), it is important to consider long-term effects, not
only in patients receiving treatment, but also in subjects in whom therapy has
been discontinued. The present analysis evaluates the long-term effects of
galantamine on cognitive function in AD in terms of Mini-Mental State Examination
(MMSE) scores for up to 7 years, using both clinical data and epidemiological
modeling. Consideration is given not only to patients continuing to receive
galantamine therapy, but also to those who stop this treatment. In a
retrospective review of medical notes, re-contacted study investigators obtained
data from 258 patients originally recruited into three previously described
randomized clinical trials involving galantamine: two placebo-controlled trials
in mild-to-moderate AD (of 3 and 6 months' duration, followed by open-label
extensions) and the galantamine-treatment arm of a 12-month comparative study
with donepezil in moderate AD. Information relating to disease progression was
collated (up to five MMSE scores, separated by at least 3 months, for each
patient). Changes in MMSE scores over time were evaluated using observed data. In
the absence of long-term placebo, the rate of cognitive decline without treatment
was projected using a previously described epidemiological model. A new,
exploratory statistical model was also developed. Results showed that patients
with mild-to-moderate AD who received long-term galantamine treatment exhibited
attenuated decline in cognitive function, as assessed by MMSE, compared with
decline predicted in the absence of treatment. Furthermore, patients who stopped
treatment experienced subsequent cognitive decline at a rate similar to that
predicted for untreated patients.
PMID- 21891872
TI - Diffusion-weighted magnetic resonance imaging of the musculoskeletal system: an
emerging technology with potential to impact clinical decision making.
AB - Diffusion-weighted imaging (DWI) is an application of magnetic resonance imaging
that allows the measurement of water movement within and between tissues.
Originally developed as a way of detecting early signs of stroke or brain
disease, DWI is now being used to study physiologic events within the
musculoskeletal system. The accurate measurement of water diffusion can provide
important information regarding tissue responses associated with trauma and
disease, as well as offer insight toward the mechanism by which physical therapy
interventions affect tissues. The purpose of this paper is to discuss the
rationale for DWI and its potential clinical and research applications for
patients with musculoskeletal disorders. Specific examples of the use of DWI for
patients with painful spinal disorders are used as illustrations.
PMID- 21891873
TI - Screening the lumbopelvic muscles for a relationship to injury of the quadriceps,
hamstrings, and adductor muscles among elite Australian Football League players.
AB - STUDY DESIGN: Longitudinal observational study. OBJECTIVES: To examine the
relationship between severity of preseason hip, groin, and thigh (HGT) muscle
injuries, and lumbopelvic muscle size, asymmetry, and function at the start and
end of the preseason. BACKGROUND: In Australian Rules Football, HGT muscle
injuries have the highest prevalence and incidence. Deficits within the
lumbopelvic region, such as impaired muscle function and muscle asymmetry, could
contribute to injuries in the preseason, and injury could, in turn, affect muscle
size and function. METHODS: MRI examinations were performed on 47 male elite
Australian Rules Football players at the start and at the end of the football
preseason. The cross-sectional area (CSA) of multifidus, psoas major, and
quadratus lumborum muscles was measured, as well as change in trunk CSA due to
the function of voluntarily contracting the transversus abdominis muscle.
Injuries occurring during each preseason training session were routinely recorded
by the club's performance staff. RESULTS: Analysis of variance indicated that
players with more severe preseason HGT injuries (more training sessions missed)
had significantly smaller multifidus muscle CSA compared to players with no HGT
injury (P = .006). No relationship was found for size or asymmetry of the
quadratus lumborum or psoas major muscles, or ability to contract the transversus
abdominis muscle through drawing in of the abdominal wall (P>.05). Small
multifidus muscle size at L5 predicted 5 of 6 players who incurred a more severe
HGT injury. CONCLUSIONS: An association between multifidus muscle size (relative
to age, height, and weight) and preseason injury suggests a way to identify
players at risk of severe HGT injuries. This result needs to be replicated in a
larger sample before resources are committed to intervention efforts.
PMID- 21891874
TI - Reliability and diagnostic accuracy of the Lachman test performed in a prone
position.
AB - STUDY DESIGN: Prospective, blinded, diagnostic accuracy study. OBJECTIVE: To
investigate the reliability and diagnostic accuracy of the Lachman test,
performed in a prone position, to detect the presence of an anterior cruciate
ligament (ACL) tear. Direct arthroscopic visualization and a consensus of
clinical findings, including joint arthrometry and magnetic resonance imaging,
were used as the reference standards. BACKGROUND: An alternative position for
evaluating the integrity of the ACL has been proposed as a means for examiners
with smaller hands to adequately stabilize the thigh of a larger individual;
however, the diagnostic accuracy of this method has not been established.
METHODS: Fifty-two consecutive patients with a complaint of knee pain were
independently evaluated in a prone position for the status of their ACL by 2
physical therapists, before any other diagnostic assessment. The 31 men and 21
women ranged in age from 16 to 57 (mean +/- SD, 34.3 +/- 4.2) years and in acuity
of knee injury from 21 to 365 (mean +/- SD, 195 +/- 130) days. RESULTS: Twenty
three of 52 (44%) of the patients had a torn ACL. The agreement between examiners
was 90%, with a kappa coefficient of 0.81. The sensitivity of the prone Lachman
test was 70% and the specificity was 97%, resulting in a positive likelihood
ratio of 20.17 and a negative likelihood ratio of 0.32. The positive predictive
value was 94% and the negative predictive value was 80%. The diagnostic odds
ratio was 64.0, with a number needed to diagnose of 1.5. CONCLUSION: The prone
Lachman test is a reliable evaluation technique that can be used to confirm the
presence of an ACL tear; however, the test should not be used as the sole
criterion to rule out the presence of the injury. LEVEL OF EVIDENCE: Diagnosis,
level 2b.
PMID- 21891875
TI - The effect of therapeutic exercise and mobilization on patients with shoulder
dysfunction : a systematic review with meta-analysis.
AB - STUDY DESIGN: Systematic review with meta-analysis. OBJECTIVE: To pool and
summarize the published research evidence examining if the combination of
therapeutic exercise and joint mobilization is more beneficial than therapeutic
exercise alone in patients with shoulder dysfunction. BACKGROUND: Therapeutic
exercise is an effective intervention for patients with shoulder dysfunction,
which is often supplemented by joint mobilization techniques. Numerous studies
have examined the effects of the combination of therapeutic exercise and joint
mobilization on patients with shoulder dysfunction. METHODS: Six databases were
searched for randomized controlled trials. All the randomized controlled trials
published in English that have studied the effectiveness of therapeutic exercise
and joint mobilization on adults with either clinically or radiographically
confirmed shoulder dysfunction resulting in pain, restriction of range of motion
(ROM), and/or limitation in function, were included in this review. Effect size
was calculated in the form of standardized mean difference and 95% confidence
interval (CI) for each variable, then combined to represent weighted standardized
mean differences (WSMDs) and 95% CIs. RESULTS: Seven studies that met the
inclusion criteria were identified, with a total of 290 participants. The WSMDs
and CIs, which combined the results of all the studies for a particular variable,
revealed equivocal results for all variables. The resulting WSMD (95% CI) for
each of the variables was 0.2 (-0.68, 1.08) for pain, 0.15 (-0.67, 0.97) for
abduction ROM, -0.04 (-0.65, 0.57) for flexion ROM, 0.01(-0.79, 0.81) for
internal and external rotation ROM, and 0.09 (-0.46, 0.64) for function and
disability. Even after elimination of sources of heterogeneity, the new WSMDs and
CIs continued to overlap zero, giving equivocal results. CONCLUSION: The current
evidence is inconclusive with respect to the beneficial effects of the
combination of therapeutic exercise and joint mobilization versus therapeutic
exercise alone for reducing pain, increasing ROM and function, and limiting
disability in patients with shoulder dysfunction. LEVEL OF EVIDENCE: Therapy,
level 1a-.
PMID- 21891876
TI - Evoked spinal reflexes and force development in elite athletes with middle
portion Achilles tendinopathy.
AB - STUDY DESIGN: Controlled laboratory study. OBJECTIVES: To compare the
neuromuscular function of the triceps surae muscle bilaterally in elite athletes
with unilateral chronic Achilles tendinopathy. BACKGROUND: Previous studies
suggest that tendinopathies or chronic pain may lead to a spinal/supraspinal
level modulation of the excitability or voluntary activation of ipsilateral motor
units. However, this has not been studied in Achilles tendinopathy. METHODS:
Fourteen college athletes (mean +/- SD age, 24.2 +/- 1.7 years) who had
unilateral chronic middle-portion tendinopathy in their Achilles tendons were
recruited. Bilateral measurements of soleus reflex tests, including H-reflex and
V wave, and rate of force development (RFD), as well as corresponding
electromyography of the tibialis anterior and triceps surae muscles, were
performed. Statistical within-subject and between-leg comparisons were made.
RESULTS: In the leg with tendinopathy, the V wave of the soleus muscle was
significantly increased (P<.001). The side with tendinopathy also had a reduced
normalized RFD (0-30, 0-50, and 0-100 ms) in plantar flexion, and concomitant
higher electromyography ratios between the tibialis anterior and soleus (0-30 and
0-50 milliseconds) during the early stage of explosive contractions (P<.05). No
significant differences were found for H-reflex, maximal plantar flexion and
dorsiflexion torque, and absolute RFD. CONCLUSIONS: Higher volitional supraspinal
reflexes and lower maximal-strength independent force development occur in the
triceps surae of elite athletes with unilateral middle-portion Achilles
tendinopathy. These changes potentially indicate an acquired compensatory
mechanism for maximal force production and deficits in explosive strength. The
RFD is also suggested as a sensitive parameter to depict neuromuscular changes
during treatment of chronic tendinopathies.
PMID- 21891877
TI - Muscle functional MRI as an imaging tool to evaluate muscle activity.
AB - Muscle functional magnetic resonance imaging (mfMRI) is an innovative technique
that offers a noninvasive method to quantify changes in muscle physiology
following the performance of exercise. The mfMRI technique is based on signal
intensity changes due to increases in the relaxation time of tissue water. In
contemporary practice, mfMRI has proven to be an excellent tool for assessing the
extent of muscle activation following the performance of a task and for the
evaluation of neuromuscular adaptations as a result of therapeutic interventions.
This article focuses on the underlying mechanisms and methods of mfMRI, discusses
the validity and advantages of the method, and provides an overview of studies in
which mfMRI is used to evaluate the effect of exercise and exercise training on
muscle activity in both experimental and clinical studies.
PMID- 21891878
TI - Meniscus repair and transplantation: a comprehensive update.
AB - Preservation of meniscal tissue is paramount for long-term joint function,
especially in younger patients who are athletically active. Many studies have
reported encouraging results following repair of meniscus tears for both simple
longitudinal tears located in the periphery and complex multiplanar tears that
extend into the central third avascular region. This operation is usually
indicated in active patients who have tibiofemoral joint line pain and are less
than 50 years of age. However, not all meniscus tears are repairable, especially
if considerable damage has occurred. In select patients, meniscus transplantation
may restore partial load-bearing meniscus function, decrease symptoms, and
provide chondroprotective effects. The initial postoperative goal after both
meniscus repair and transplantation is to prevent excessive weight bearing, as
high compressive and shear forces can disrupt healing meniscus repair sites and
transplants. Immediate knee motion and muscle strengthening are initiated the day
after surgery. Variations are built into the rehabilitation protocol according to
the type, location, and size of the meniscus repair, if concomitant procedures
are performed, and if articular cartilage damage is present. Meniscus repairs
located in the periphery heal rapidly, whereas complex multiplanar repairs tend
to heal more slowly and require greater caution. The authors have reported the
efficacy of the rehabilitation programs and the results of meniscus repair and
transplantation in many studies.
PMID- 21891879
TI - Treatment of patients with degenerative cervical radiculopathy using a multimodal
conservative approach in a geriatric population: a case series.
AB - STUDY DESIGN: Retrospective clinical case series. OBJECTIVE: To describe the
management of 10 patients with advanced cervical spondyloarthrosis with
radiculopathy, using manual therapy, intermittent mechanical cervical traction,
and home exercises. BACKGROUND: Predictors and short-term outcomes of cervical
radiculopathy have been published. These predictors have not been developed for,
or applied to, geriatric patients with spondylitic radiculopathy. CASE
DESCRIPTION: A series of 10 patients (aged 67 to 82 years) were referred to a
physical therapist for medically prediagnosed cervical spondyloarthrosis and
radiculopathy, as determined by magnetic resonance imaging. Neck Disability Index
(NDI), numeric pain rating scale (NPRS), upper limb tension testing, Spurling's
test, and the cervical distraction test were all completed on each patient at
initial examination and at discharge. NDI and NPRS data were also collected at 6
months posttreatment. Intervention included manual therapy (including high
velocity low-amplitude thrust manipulation) of the upper thoracic and cervical
spine, intermittent mechanical cervical traction, and a home program (including
deep cervical flexor strengthening) for 6 to 12 sessions over a period of 3 to 6
weeks. OUTCOMES: All 10 patients had substantial improvement in NPRS and NDI
scores. The mean NPRS score was less than 1/10, and the mean NDI score was 6/50
at discharge, compared to the original mean NPRS and NDI scores of 5.7 and 27.4,
respectively. All patients reported maintaining those gains for 6 months.
DISCUSSION: A multimodal approach for patients diagnosed with cervical
spondyloarthrosis with radicular symptoms was useful in this geriatric population
to reduce pain, minimize radicular symptoms, and improve functional outcomes.
LEVEL OF EVIDENCE: Therapy, level 4.
PMID- 21891880
TI - Management of anterior cruciate ligament injuries in skeletally immature
individuals.
AB - Anterior cruciate ligament (ACL) injuries in skeletally immature individuals
remain a challenge for the child, the parents, orthopaedic surgeons, and physical
therapists. The main challenges are the potential risk of recurrent instability,
secondary injuries following nonoperative treatment, and the risks involved with
surgical treatment due to the vulnerability of the epiphyseal growth plates. We
first present the physiological background for considerations that must be made
when advising on treatment alternatives for skeletally immature individuals after
ACL injury. The implications of continuous musculoskeletal development for
treatment decisions are emphasized. No randomized controlled trials have been
performed to investigate outcomes of different treatment algorithms. There is no
consensus in the literature on clinical treatment decision criteria for whether a
skeletally immature child should undergo transphyseal ACL reconstruction, physeal
sparing ACL reconstruction, or nonoperative treatment. Additionally, well
described rehabilitation programs designed for either nonoperative treatment or
postoperative rehabilitation have not been published. Based on the currently
available evidence, we propose a treatment algorithm for the management of ACL
injuries in skeletally immature individuals. Finally, we suggest directions for
future prospective studies, which should include development of valid and
reliable outcome measures and specific rehabilitation programs.
PMID- 21891882
TI - Using teledentistry for providing the specialist access to rural Indians.
PMID- 21891881
TI - Change in knee cartilage volume in individuals completing a therapeutic exercise
program for knee osteoarthritis.
AB - STUDY DESIGN: Prospective cohort study. OBJECTIVES: To characterize knee
cartilage change in individuals with knee osteoarthritis (KOA) who have completed
a therapeutic exercise program. BACKGROUND: While therapeutic exercise is
frequently used successfully to improve pain and function in individuals with
KOA, no studies have reported the volume of cartilage change or individual
factors that may impact volume of cartilage change in those completing an
exercise program for KOA. METHODS: Thirteen individuals with KOA underwent
magnetic resonance imaging to quantify cartilage volume change in the weight
bearing regions of the medial and lateral femoral condyles and the entire surface
of the tibial plateaus from baseline to 1-year follow-up. Body structure and
function measures were taken for body mass index, knee axis alignment, knee
motion, and knee strength. Activity limitations and activity levels were also
measured prior to the therapeutic exercise program, using the Western Ontario and
McMaster Universities Osteoarthritis Index and the Physical Activity Scale for
the Elderly. At 6 months from baseline, follow-up clinical measurements of knee
strength and motion were performed. At 1 year from baseline, imaging of the knee
cartilage and knee alignment were performed, and participants completed the
Western Ontario and McMaster Universities Osteoarthritis Index and Physical
Activity Scale for the Elderly. RESULTS: The central region of the medial femoral
condyle (cMF) had a median volume of cartilage loss of 3.8%. The other 3 knee
tibiofemoral articular surfaces had minimal median cartilage volume change.
Individuals were dichotomized into progressors (n = 6) and nonprogressors (n =
7), based on the standard error of measurement of cartilage volume change for the
cMF. Progressors were younger, had a larger body mass index, had a higher
Kellgren-Lawrence grade in the medial compartment of the knee, and had a greater
increase in knee varus alignment from baseline to 1-year follow-up. The
progressors also had frontal plane hip and knee kinetics during baseline gait
analysis that potentially increased medial knee joint loading. CONCLUSION: The
loss of cMF cartilage volume was highly variable and the median loss of cartilage
was within the range previously reported. Seven of the 13 individuals did not
have cMF cartilage volume loss greater than the standard error of measurement.
Change in cartilage volume of the cMF may be influenced to a greater extent by
personal factors than by completion of a therapeutic exercise program. Additional
research is needed to decipher the interactions among therapeutic exercise and
personal characteristics that impact knee cartilage loss.
PMID- 21891883
TI - Effect of ProRoot MTA, Portland cement, and amalgam on the expression of
fibronectin, collagen I, and TGFbeta by human periodontal ligament fibroblasts in
vitro.
AB - CONTEXT: Today many materials have been introduced for root-end filling
materials. One of them is mineral trioxide aggregate (MTA) that is mentioned as a
gold standard. AIMS: The purpose of this in vitro study was to evaluate the
reaction of human periodontal ligament fibroblasts to the root-end filling
materials, such as ProRoot MTA, Portland cement, and amalgam. SETTINGS AND
DESIGN: Eight impacted teeth were extracted in aseptic condition. The tissues
around the roots were used to obtain fibroblast cells. After cell proliferation,
they were cultured in the chamber slides and the extracts of the materials were
added to the wells. MATERIALS AND METHODS: Immunocytochemical method for
measuring the expression of Fibronectin, collagen I and transforming growth
factor beta (TGF(r)) was performed by Olysia Bioreport Imaging Software.
STATISTICAL ANALYSIS USED: The results were analyzed by SPSS 13.0 and Tukey post
hoc test with P<0.05 as the limit of significance. RESULTS: Collagen expression
in MTA specimens was higher than the other groups in 24 h significantly. After 48
h, the Portland cement group showed the most expression of collagen significantly
and after 1 week, Portland cement and MTA groups had the most expression of
collagen but there was no significant difference between these 2 groups. After 1
week, the Portland cement group demonstrated a higher amount of TGF(r) and
fibronectin. CONCLUSIONS: The results suggest that Portland cement can be used as
a less expensive root filling material with low toxicity. It has better effects
than amalgam on the fibroblasts.
PMID- 21891884
TI - Self-reported tobacco use, knowledge on tobacco legislation and tobacco hazards
among adolescents in rural Kerala State.
AB - CONTEXT: Tobacco consumption initiated during the adolescent period is a major
contributor to the pathogenesis of fatal diseases in adulthood. Information on
tobacco use and awareness regarding tobacco legislation and hazards among
adolescents in rural Kerala is limited. AIMS: To assess the prevalence of tobacco
use among adolescent students in a rural district in Kerala state and to
understand the extent of awareness about the prominent legislative measures
against tobacco and tobacco hazards. MATERIALS AND METHODS: Data on awareness
regarding health hazards due to tobacco use and legislation against tobacco
consumption were collected from students of 15 randomly selected high schools in
an educational sub-district in Kerala, using a cross-sectional study design. Chi
square and Fisher's exact test statistics were used for statistical analysis.
RESULTS: A total of 1473 students participated in the study, of which 79% were
males (mean age 15.4 years, SD 1.5). The overall prevalence of 'current tobacco
users' was 8%. A significant association between age and tobacco use was noted
among tobacco habitues (P<0.05). Awareness regarding legislation against smoking
in public places was more in the higher age-groups (P<0.05). Females were more
aware of the 'smoking ban' than males (P<0.05). Our survey of the awareness
regarding the hazards associated with tobacco use revealed that 41.5% of the
students knew about the link between oral cancer and tobacco, with the awareness
being greater among females than among males (64.3% vs 35.4%). CONCLUSION: The
finding that tobacco consumption increases with age is a matter of concern. In
addition to their clinical work, dental professionals should also educate the
public on the hazards of tobacco and conduct tobacco cessation programmes for
adolescent groups to control the tobacco epidemic.
PMID- 21891885
TI - Comparison of the linear dimensional accuracy of denture bases cured the by
conventional method and by the new press technique.
AB - AIM: The purpose of this study was to investigate and compare the linear
dimensional accuracy of denture bases processed with the conventional heat
polymerization technique and the Rafael and Saide (RS) tension system, taking a
multidirectional approach. MATERIALS AND METHODS: Twenty standard edentulous
maxillary casts were randomly assigned into two groups. A uniform denture base of
1.5 mm thickness was fabricated in wax and later processed by two methods: Group
I acrylic resin flasked with the conventional technique and Group II acrylic
resin flasked with the RS tension system. The dimensional accuracy was assessed
using traveling microscope. RESULTS: The linear dimensional changes that occurred
between the dentures produced with the new press method and the conventional
method were statistically insignificant and ranged from 0.002 to 0.11. The
dimensionally stable areas were the canines and the most unstable was the
posterior palate. CONCLUSIONS: The results of this study suggest that the maximum
linear dimensional change occurs around the molars and palatal segment, with the
most stable areas being the canines. There was no significant linear dimensional
change of the dentures processed between the conventional and the new press
technique.
PMID- 21891886
TI - In vitro evaluation of marginal leakage using invasive and noninvasive technique
of light cure glass ionomer and flowable polyacid modified composite resin used
as pit and fissure sealant.
AB - AIM: This study compared the microleakage of light cure glass ionomer and
flowable compomer as pit and fissure sealant, with and without tooth preparation.
MATERIALS AND METHODS: One hundred premolars that were extracted for orthodontic
purpose were used. After adequate storage and surface debridement, the teeth were
randomly divided into four groups. In Group I and III, the occlusal surfaces were
left intact, while in Group II and Group IV, tooth surfaces were prepared. Teeth
in Group I and Group II were sealed with Light cure glass ionomer, whereas
flowable compomer was used to seal teeth in Group III and IV. The sealed teeth
were then immersed in dye. Subsequently, buccolingual sections were made and each
section was examined under stereomicroscope for microleakage followed by scoring.
RESULTS: In group I, microleakage score ranged from 2 to 4 with mean of 3.64 (+/
0.757), while in group II the range was observed to be 1-4 with mean of 2.88 (+/
1.236). Group III recorded a range of 0-4 with the mean of 2.20 (+/-1.443) while
0-2 and 0.60 (+/-0.707) being the range and mean observed, respectively, for
group IV. CONCLUSION: Flowable compomer placed after tooth preparation showed
better penetration and less marginal leakage than the light cure glass ionomer.
PMID- 21891887
TI - The effect of bur preparation on the surface roughness and reline bond strength
of urethane dimethacrylate denture base resin.
AB - BACKGROUND: The clinical success of relining depends on the ability of reline
resin to bond to denture base. Surface preparations may influence reline bond
strength of urethane-based dimethacrylate denture base resin. AIM: To investigate
the effect of bur preparation on the surface roughness (R a ) of eclipse denture
base resin and its shear bond strength (SBS) to an intra-oral self-curing reline
material. The mode of reline bonding failure was also examined. MATERIALS AND
METHODS: Twenty-four cylindrical EclipseTM specimens were prepared and separated
into three groups of eight specimens each. Two groups were subjected to
mechanical preparation using standard and fine tungsten carbide (TC) burs and the
third group (control) was left unprepared. The R a of all specimens was measured
using a contact stylus profilometer. Subsequently, relining was done on the
prepared surface and SBS testing was carried out a day later using a universal
testing machine. RESULTS: One-way ANOVA revealed significant differences (P<0.05)
in R a and SBS values for all the groups. Post-hoc Tukey's HSD test showed
significant differences (P<0.05) between all the groups in the R a values. For
SBS also there were significant differences (P<0.05), except between standard bur
and control. CONCLUSIONS: 1) There was a statistically significant difference in
the R a of EclipseTM specimens prepared using different carbide burs (P<0.05). 2)
There was a statistically significant difference in the relined SBS (P<0.05) when
prepared using different burs, but the difference between the standard bur and
the control group was not statistically significant.
PMID- 21891888
TI - Palmistry: a tool for dental caries prediction!
AB - BACKGROUND: Dermatoglyphics can prove to be an extremely useful tool for
preliminary investigations in conditions with a suspected genetic base. Since
caries is a multifactorial disease with the influence of genetic pattern, early
prediction for high-risk children can help in using effective and efficient
caries preventive measures that are a part of the pedodontist arsenal. AIMS AND
OBJECTIVES: This study was done to determine the genetic aspect involved in the
occurrence of dental caries through a cost-effective means, which can be used in
field studies. MATERIALS AND METHODS: 550 kindergarten school children in the age
group 3-6 years were examined during a school examination camp. Of these, only
336 children were included in the study. They were divided into four groups as
follows: caries-free males (df score=0), caries-free females, caries males (df
score>=10), caries females. The handprints of each child were taken and the
frequency of occurrence of type of dermatoglyphic pattern on fingertip of each
digit was noted. Separate df scores were recorded. SPSS software and test of
proportions were used for the analysis. RESULTS AND CONCLUSION: Handprints of
caries-free children, especially females, showed maximum ulnar loops. The caries
group showed maximum occurrence of whorls (r=2:1), which were more prevalent in
females on the left hand 3rd digit than in males where the whorls were found on
the right hand 3 rd digit, and also low total ridge count, especially in males.
PMID- 21891889
TI - A comparative evaluation of film and digital panoramic radiographs in the
assessment of position and morphology of impacted mandibular third molars.
AB - BACKGROUND AND OBJECTIVE: Digital photo stimulable phosphor (PSP)-based
radiography has many known theoretical advantages over conventional film
radiography but its diagnostic efficacy has to be assessed clinically. This study
compared the efficiency of conventional film-based panoramic radiographs with
that of digital PSP-based panoramic radiographs in the assessment of position and
morphology of impacted mandibular third molars. MATERIALS AND METHODS: We
selected a total of 80 impacted mandibular third molars that fulfilled the
inclusion and exclusion criteria of this study. Both conventional film-based
panoramic radiographs and digital PSP-based panoramic radiographs were taken of
all the study samples and the teeth were later surgically removed. Conventional
film-based and digital PSP-based panoramic radiographs were compared for their
relative efficiencies in the assessment of impaction status, position of tooth,
number of roots, root morphology, and proximity to mandibular canal of impacted
mandibular third molars. An oral surgeon graded these same factors during/after
surgical exploration and this assessment was considered the gold standard. The
data obtained were statistically analyzed using descriptive statistics, chi
square test, and McNemar's test. RESULTS: There was no statistically significant
difference between conventional film-based radiographic assessment and digital
PSP-based panoramic radiographic assessment of impaction status, position of
tooth, number of roots, and proximity to mandibular canal of impacted mandibular
third molars (P>0.05). However, there was significant difference between the two
methods with regard to assessment of root morphology of impacted mandibular third
molars (P=0.00). INTERPRETATION AND CONCLUSION: Conventional film-based panoramic
radiographs and digital PSP-based panoramic radiographs were comparable in their
accuracy in the preoperative study of impacted mandibular third molar with regard
to impaction status, tooth position, number of roots, and proximity to the
mandibular canal. Digital PSP-based panoramic radiographs were more accurate than
conventional film-based panoramic radiographs in the assessment of root
morphology of impacted mandibular third molars. Hence, we conclude that digital
PSP-based panoramic radiographs can be used as an effective alternative to
conventional film-based panoramic radiographs for assessment of position and
morphology of impacted mandibular third molars.
PMID- 21891890
TI - Evaluation of the relative efficacy of an alloplast used alone and in conjunction
with an osteoclast inhibitor in the treatment of human periodontal infrabony
defects: a clinical and radiological study.
AB - BACKGROUND: Mucoperiosteal flap surgery stimulates varying amounts of alveolar
bone loss due to accelerated osteoclastic activity [Regional Accelerated
Phenomenon (RAP)]. Alendronate sodium inhibits osteoclastic activity and is
thought to result in a net increase in osteoblastic activity. We undertook a
preliminary study evaluating the effect of adjunctive use of topically delivered
bisphosphonate alendronate (ALN) along with regenerative bone graft material in
the treatment of periodontal infrabony defects. MATERIALS AND METHODS: Fifteen
patients with two-walled or three-walled infrabony defects were selected. In each
patient, the infrabony defect of one side of arch was designated as group A
(control site) and received hydroxyapatite (HA) bone graft material, while the
infrabony defect on the contralateral side of same arch was designated as group B
(test site) and received HA+200 MUg drug solution of ALN. RESULTS: Both the
groups exhibited a highly significant reduction in probing depth and gain in
clinical attachment level and linear bone fill at the end of 24 weeks.
Comparative evaluation between the study groups revealed a statistically
nonsignificant reduction in probing depth (P=0.128NS) and mean gain in attachment
level (P=0.218NS). However, there was a statistically significant gain in linear
bone fill (P=0.040*) in group B as compared to group A. CONCLUSIONS: The results
suggest that use of ALN along with graft material led to enhanced linear bone
fill at the surgical site. This research provides a clue that bone-targeting
properties of bisphosphonates can be harnessed along with regenerative materials
to potentiate osseous regeneration.
PMID- 21891891
TI - Lingual vascular canal assessment by dental computed tomography: a retrospective
study.
AB - BACKGROUND: Lingual vascular canal (LVC) is an important anatomical structure in
mandibular anterior region. Trauma to this structure during implant placement has
been reported in this study. Dental computed tomography (DCT) provides a three
dimensional visualization of lingual vascular canal. AIM: To assess the
frequency, location, and size of LVC using dental CT. MATERIALS AND METHODS: A
retrospective analysis of 75 mandibular DCT was done. Evaluation was done to
detect the frequency, size, and number of lingual vascular canal using Seimens
Somatom Sensation 64. RESULTS: About 73.3% patients (male=34, female=21)
demonstrated presence of LVC with only one patient showing two canals. The mean
distance from the inferior border of mandible was 0.5 mm, S.D.+/-0.70. The mean
diameter of the canal was 0.31 mm, S.D.+/-0.70. CONCLUSION: Dental CT provides
adequate information regarding frequency, number, and size of lingual vascular
canal, which is an important anatomical structure in mandibular anterior region.
PMID- 21891892
TI - Evaluation of an innovative radiographic technique--parallel profile radiography-
to determine the dimensions of dentogingival unit.
AB - BACKGROUND: Maintenance of gingival health is a key factor for longevity of the
teeth as well as of restorations. The physiologic dentogingival unit (DGU), which
is composed of the epithelial and connective tissue attachments of the gingiva,
functions as a barrier against microbial entry into the periodontium. Invasion of
this space triggers inflammation and causes periodontal destruction. Despite the
clinical relevance of the determination of the length and width of the DGU, there
is no standardized technique. The length of the DGU can be either determined by
histologic preparations or by transgingival probing. Although width can also be
assessed by transgingival probing or with an ultrasound device, they are either
invasive or expensive AIMS: This study sought to evaluate an innovative
radiographic exploration technique--parallel profile radiography--for measuring
the dimensions of the DGU on the labial surfaces of anterior teeth. MATERIALS AND
METHODS: Two radiographs were made using the long-cone parallel technique in ten
individuals, one in frontal projection, while the second radiograph was a
parallel profile radiograph obtained from a lateral position. The length and
width of the DGU was measured using computer software. Transgingival probing
(trans-sulcular) was done for these same patients and length of the DGU was
measured. The values obtained by the two methods were compared. Pearson product
correlation coefficient was calculated to examine the agreement between the
values obtained by PPRx and transgingival probing. RESULTS: The mean biologic
width by the parallel profile radiography (PPRx) technique was 1.72 mm (range
0.94-2.11 mm), while the mean thickness of the gingiva was 1.38 mm (range 0.92
1.77 mm). The mean biologic width by trans-gingival probing was 1.6 mm (range 0.8
2.2 mm). Pearson product correlation coefficient (r) for the above values was
0.914; thus, a high degree of agreement exists between the PPRx and TGP
techniques. CONCLUSIONS: We conclude that the biologic width of the DGU in humans
can be measured with the PPRx technique. The values obtained agree with the
values obtained by transgingival probing, a technique considered standard so far.
Thus, the PPRx technique offers a simple, concise, noninvasive, and reproducible
method that can be used in the clinical setup to measure both the length and
thickness of the DGU with accuracy.
PMID- 21891893
TI - Perception differences of altered dental esthetics by dental professionals and
laypersons.
AB - BACKGROUND: When we smile, our smile could often become the target of close
scrutiny by the person you are smiling at. A trained eye readily detects any
asymmetricity or any aspect of that smile which may be out of balance, or
disharmonious with its environment. The purpose of this study was to determine
whether any such asymmetric or symmetric dental discrepancies were detectable by
various groups of evaluators. AIMS: The aim was to determine whether asymmetric
and symmetric anterior dental discrepancies were detectable by orthodontists,
general dentists, and laypersons, and to establish threshold levels for several
specific aesthetic criteria that could be used by orthodontists and general
dentists as an aid in the treatment planning. MATERIALS AND METHODS: Three images
of smiles were intentionally altered with a software-imaging program. The
alterations involved the crown length, crown width, midline diastema, and the
papillary height of the maxillary anterior teeth. These altered images were then
rated by groups of general dentists, orthodontists, and laypersons using a visual
analog scale. Statistical analysis of their responses resulted in the
establishment of threshold levels of attractiveness for each group. RESULTS: The
orthodontists were more critical than the general dentists and laypersons when
evaluating asymmetric crown length discrepancies. All three groups could identify
a unilateral crown width discrepancy of 2.0 mm. A small midline diastema was not
rated as unattractive by any group. Reduction of papillary height was generally
rated as less attractive. CONCLUSIONS: Asymmetric alterations make teeth more
unattractive not only to the dental professionals, but also to laypersons.
PMID- 21891894
TI - Assessment of periodontal status among dental fluorosis subjects using community
periodontal index of treatment needs.
AB - AIM: To determine the periodontal status and treatment needs among dental
fluorosis subjects residing in Ennore, Chennai, using Community Periodontal Index
of Treatment Needs (CPITN). MATERIALS AND METHODS: All the subjects with dental
fluorosis above 15 years of age, permanent residents of Ennore, were included in
the study. Subjects with known systemic diseases and subjects with other
intrinsic dental stains were excluded from the study. Periodontal status was
estimated using CPITN and Dental fluorosis was recorded using Dean's Dental
Fluorosis Index. RESULTS: The total number of study subjects was 1075, of which
489 were males and 586 were females. Males were predominantly affected with
periodontal disease than females. This was found to be statistically significant
(P=0.000). The association between Degree of Fluorosis and Periodontal Status is
statistically significant (P=0.000). There was statistically significant
difference in mean number of sextants between the degree of fluorosis in each of
the periodontal status (P=0.000). CONCLUSION: The finding that the lower
prevalence of shallow pockets in the study area, where the fluoride level in the
drinking water ranges from 1.83 to 2.01 ppm, indicates that the use of fluoride
in water is beneficial to the periodontal tissues.
PMID- 21891895
TI - Microleakage of bonded amalgam restorations using different adhesive agents with
dye under vacuum: an in vitro study.
AB - AIM: In an effort to minimize tooth preparation, yet provide additional retention
to compromised tooth structure, bonded amalgam restorations were introduced.
Various resin-based adhesives have been tried earlier under bonded amalgam
restorations. Still there are controversies regarding the outcome of bonded
amalgam restorations regarding their adaptability to the tooth structure and
microleakage. Therefore, this study was undertaken to compare the microleakage of
bonded amalgam restorations using different adhesive materials. MATERIALS AND
METHODS: Standard Class I cavities were prepared on occlusal surfaces of 60 human
molars. Teeth (n=60) were divided into three groups according to the material
employed, as follows: group I: amalgam with glass ionomer cement (GIC) (type I);
group II: amalgam with resin cement (Panavia F 2.0) and group III: amalgam with
Copalex varnish as a control. Following restoration, the teeth were submitted to
thermal cycling. The teeth were subsequently immersed in 2% rhodamine B dye under
vacuum for 48 hours and sectioned to allow the assessment of microleakage under
stereomicroscope. RESULTS: The values were tabulated and the results were
statistically analyzed using analysis of variance (ANOVA), Tukey's post hoc test
and Kruskal-Wallis test. Amalgam with type I GIC showed the least leakage with no
statistically significant difference (P value 0.226) when compared to amalgam
with Panavia F 2.0 and amalgam with varnish (P value 0.107). CONCLUSION: It can
be concluded that bonded amalgam with type I GIC is a good alternative to amalgam
with resin cement (Panavia F 2.0) and amalgam with varnish for large
restorations, with the added advantages of GICs. CLINICAL SIGNIFICANCE: Bonded
amalgam restorations prevent over-preparation and reduce the tooth flexure. GIC
type I under amalgam provides chemical bonding in between amalgam and tooth
structure and thus reduces the microleakage.
PMID- 21891896
TI - Expression of heat shock protein70 in oral submucous fibrosis and oral squamous
cell carcinoma: an immunohistochemical study.
AB - BACKGROUND: Heat shock proteins are a highly conserved group of protective
cellular proteins whose synthesis is increased in response to a variety of
environmental or pathophysiological stresses. Heat shock proteins are useful
biomarkers for carcinogenesis in tissues and signal the degree of differentiation
and the aggressiveness of cancers. Regulation of heat shock protein 70 (HSP70)
expression in oral submucous fibrosis is not known much, and the aim of this
study was to evaluate HSP70 expression in oral submucous fibrosis and oral
squamous cell carcinoma by immunohistochemical method and to understand the role
of HSP70 in tumorigenesis. MATERIALS AND METHODS: Immunohistochemical method was
used to detect HSP70 expression in normal oral mucosa, oral submucous fibrosis
(n=30) and oral squamous cell carcinoma (n=20). HSP70 immunoreactivity was
correlated with histological and clinicopathological features. RESULTS: A
significant increase in expression of HSP70 was observed (P<0.000) as the tissue
progressed from oral submucous fibrosis towards oral squamous cell carcinoma.
CONCLUSION: HSP70 is synthesized upon stress situations arising in cells of all
living organisms. Expression of HSP70 indicates that stress plays an important
role as a predisposing factor in oral submucous fibrosis and its subsequent
progression to oral squamous cell carcinoma.
PMID- 21891897
TI - Detection of odoriferous subgingival and tongue microbiota in diabetic and
nondiabetic patients with oral malodor using polymerase chain reaction.
AB - BACKGROUND: Halitosis has been correlated with the concentration of volatile
sulfur compounds (VSCs) produced in the oral cavity by metabolic activity of
bacteria colonizing the periodontal pockets and the dorsum of the tongue. It has
been assumed that there is a relationship between periodontal disease and
diabetes mellitus. OBJECTIVES: The aim of the study was to assess the malodor
using the organoleptic method and tanita device; to quantify odoriferous
microorganisms of subgingival plaque and tongue coating, such as P. gingivalis
(Pg), T. forsythia (Tf), and F. nucleatum (Fn) using polymerase chain reaction
(PCR) in nondiabetic and diabetic chronic periodontitis patients. PATIENTS AND
METHODS: Thirty chronic periodontitis patients (with and without diabetes) with 5
7 mm pocket depth, radiographic evidence of bone loss, and presence of oral
malodor participated in this study. Subjective assessment of mouth air was done
organoleptically and by using a portable sulfide monitor. Tongue coating was also
assessed. RESULTS: The scores of plaque index, gingival index, gingival bleeding
index, VSC levels, and tongue coating between the nondiabetic and diabetic
patients were not significant (P>0.5). In nondiabetic patients, Fn was found to
be significantly (P<0.5) more in tongue samples, whereas Pg and Tf have not shown
significant values (P>0.5). In diabetic patients, Fn and Tf have shown
significant (P<0.5) an increase in subgingival and tongue samples, respectively,
whereas Pg has not shown significant difference between subgingival and tongue
samples. INTERPRETATION AND CONCLUSION: The results confirm that there is no
difference in clinical parameters between nondiabetic and diabetic periodontitis
patients, but the odoriferous microbial profiles in tongue samples of diabetic
patients were found to be high. However, there is a weak positive correlation
between VSC levels, clinical parameters, and odoriferous microbial profiles.
PMID- 21891898
TI - A color contrast aided density imaging technique to differentiate between dental
hard tissues and its relevance.
AB - AIM: Radiographic interpretation of a disease requires knowledge about normal
structures. The calcifying jaw diseases can range from radiolucent areas to
varying degrees of calcification. Therefore, it is vital to differentiate
radiographically between various hard tissues. MATERIALS AND METHODS: We have
illustrated the use of computed tomography scan to quantify the calcified
structures as dentin and enamel in a case of ameloblastic fibro-odontoma.
RESULTS: The enamel, dentin and cementum showed different values. CONCLUSION: The
"Dentascan" can be used to distinguish the hard tissues in a variety of
calcifying diseases of jaws.
PMID- 21891899
TI - Correlation of periodontal status and bone mineral density in postmenopausal
women: a digital radiographic and quantitative ultrasound study.
AB - BACKGROUND: Data suggest that postmenopausal women with osteoporosis are at an
increased risk for periodontal attachment loss and tooth loss; however, the
extent of relationship between these two diseases is still not clear. AIM: The
aim of the study was to evaluate the correlation of periodontal status and bone
mineral density (BMD) in postmenopausal women. MATERIALS AND METHODS: The study
population included 60 postmenopausal women aged 50-60 years (mean+/-SD: 55.5+/
3.4 years). Periodontal status was examined by plaque index, bleeding index,
probing depth, and clinical attachment level (CAL). Digital panoramic radiograph
was taken to measure the maxillary and mandibular alveolar bone density values.
Skeletal (calcaneal) BMD was measured by quantitative ultrasound technique for T
score values. The recorded data for T-score, maxillary and mandibular alveolar
bone densities, and periodontal status were subjected to statistical analysis for
correlation and regression procedures. RESULTS: The results showed that
mandibular alveolar (r=0.907, P<0.001) and maxillary alveolar bone density
(r=0.898, P<0.001) had significant positive correlation with calcaneal T-score.
Probing depth (r=-0.316, P<0.05), bleeding index (r=-0.277, P<0.05), and plaque
index (r=-0.285, P<0.05) showed weak but significant negative correlation with
calcaneal T-score and alveolar bone density of both the jaws, whereas CAL showed
weak correlation with T-score which could not reach to a statistically
significance level (r=-0.221, P>0.05). CONCLUSION: Calcaneal BMD was related to
alveolar bone loss and, to a lesser extent, to clinical attachment loss,
implicating postmenopausal bone loss as a risk indicator for periodontal disease
in postmenopausal women.
PMID- 21891900
TI - In vivo bone response and interfacial properties of titanium-alloy implant with
different designs in rabbit model with time.
AB - BACKGROUND: Using implants for dental applications are well-accepted procedures
as one of the solutions for periodontal defect repair. Suitable design and
materials, their reaction with the surrounding hard tissues and interfacial
biomechanical properties are still considered to be the primary criteria which
need to be addressed systematically. In the present study, a thorough and
systemic approach was made to identify a suitable implant, considering the above
criteria after both in vitro and in vivo animal trials. MATERIALS AND METHODS:
Titanium alloy (Ti-6Al-4V) implants, with thread and without thread models, were
implanted to the mid-metaphysial portion of the tibia of the right hind leg of
three white Australian Chinchilla rabbit species and their effects and response
to the surrounding bone were investigated. Parameters studied included
hematological and biochemical features (serum alkaline phosphatase and calcium),
both preoperatively and postoperatively, consecutively for 7 days and after 1-3
months. The interfacial integrity and compositional variation along the interface
were studied using scanning electron microscope (SEM) with energy dispersive
analysis of X-ray (EDAX) and histopathology from 1 to 3 months consecutively.
Finally, biomechanical properties were studied with the help of push-out test.
RESULTS: Bone remineralization started through the process of electro
physiological ionic exchanges, which helps in formation of osteoblastic cells in
the area of bony injury. The SEM-EDAX results confirmed the initial stability for
the Ti (with thread) implant, but the regeneration of new bone formation was
faster in the case of Ti (Without thread) implant, and hence could be used for
faster healing. These have also been substantiated through push-out and
histopathlogical tests. CONCLUSION: From the physico-chemical and biomechanical
observations, it was found that that smooth type implants were well accepted in
the physiological condition although chances of elemental leaching from the
surface were also observed. Increase of the surface roughness can help into the
formation of physico-chemical bondage with the surrounding hard tissues.
PMID- 21891901
TI - A randomized clinical study to assess the reliability and reproducibility of
"Sign Grading System".
AB - BACKGROUND: Signs such as +, ++ and +++ for mild, moderate and severe
stains/calculus are being used in India effectively for more than four decades.
However, there are no standardized criteria for grading, and no data regarding
how and when this system was introduced, but it became very popular throughout
India and is being used since then. AIMS AND OBJECTIVES: An attempt was made here
to standardize the criteria on which the grades would be given and designate it
as "Sign Grading System". Along with this, the objective of this paper was to
evaluate whether this index/system satisfies all the requirements of an ideal
index, particularly reliability and reproducibility. SETTINGS AND DESIGN: Inter
examiner and intra-examiner reliability and reproducibility of this index was
assessed through a randomized clinical study. Patients were recruited from an
institutional setting by random selection from the outpatient department.
MATERIALS AND METHODS: One month of training was conducted before the actual
start of study. The clinical aspect of the study involved 3 investigators and 50
patients of whom 45 patients were reassessed. All the data were kept blind by a
research assistant to reduce bias. Necessary measures were taken to
reduce/eliminate the confounding variables, which could have affected the outcome
of this study. Cohen's kappa and Fleiss' kappa statistics were employed for
statistical analysis. RESULTS AND CONCLUSION: The index fulfills most of the
ideal requirements of an index along with a high degree of reliability and
reproducibility.
PMID- 21891902
TI - Periodontal treatment needs in diabetic and non-diabetic individuals: a case
control study.
AB - AIM: Diabetes mellitus is a chronic metabolic disorder of the carbohydrate,
protein and fat metabolism, resulting in increased blood glucose levels. Various
complications of diabetes have been described with periodontitis being added as
the sixth complication of diabetes mellitus. The aim of this study was to assess
periodontal status and treatment needs (TN) in diabetic patients and to compare
the findings between diabetic and non-diabetic individuals using community
periodontal index (CPI). MATERIALS AND METHODS: We evaluated the periodontal
status and periodontal TN in diabetic and non-diabetic individuals in Guwahati,
Assam. A total of 459 (223 diabetic and 236 non-diabetic) individuals were
assessed. A person was considered to be diabetic when his blood glucose levels
were above 140 mg/dl under fasting condition and 200 mg/dl 2 hours
postprandially. Periodontal status was assessed using CPI. RESULTS: Periodontal
destruction was found to be increased in diabetic individuals, with periodontal
destruction increasing with increased blood glucose levels. The necessity of
complex periodontal treatment also increased with increasing blood glucose
levels. CONCLUSIONS: Individuals with diabetes are more prone to periodontal
destruction, and hence, regular periodontal screening and treatment is essential
in these individuals.
PMID- 21891903
TI - Effect of occlusal restoration on stresses around class V restoration interface:
a finite-element study.
AB - BACKGROUND: Causes of failures in class V restorations have always been
controversial until now, since the biomechanical aspects of these restorations
have been understood. AIMS AND OBJECTIVE: This study was aimed to verify the
hypothesis that eccentric forces are the cause of cuspal flexure, which lead to
excessive stresses at the periphery of a class V restoration, further it
identifies the amount of the stress and the flexure increase in the presence of
an occlusal restoration using different restorative materials to restore (both
cervical and occlusal) along with their comparison with respect to amount of
stresses around the cervical restorations. MATERIALS AND METHODS: The study was
done by modeling a mandibular first premolar which was sectioned bucco-lingually,
in the NISA, EMRC II finite element software. A 100N eccentric load was applied
on the tooth structure and stresses were observed at the peripheries of the class
V restoration when it was restored with composite and with glass ionomer cement.
The stresses were further analyzed in the presence of occlusal restorations with
different materials and configurations. RESULTS: It was seen that the stresses at
the gingival wall interface in case of class V glass ionomer cement was more than
that for composite. In the presence of an occlusal amalgam restoration, the
cuspal flexure was more than that of occlusal composite and the stresses at the
class V peripheries were also statistically significant. CONCLUSION: It was
suggested that lower modulus composites can flex with the tooth structure
decreasing the bond failure and that the stresses are much lesser when there is
absence of an occlusal restoration. Occlusal composite restorations reinforce the
tooth structure and reduce the cuspal flexure as compared to silver amalgam.
PMID- 21891904
TI - An electromyographic study to assess the minimal time duration for using the
splint to raise the vertical dimension in patients with generalized attrition of
teeth.
AB - BACKGROUND: To investigate the effect of restoration of lost vertical by centric
stabilizing splint on electromyographic (EMG) activity of masseter and anterior
temporalis muscles bilaterally in patients with generalized attrition of teeth.
MATERIALS AND METHODS: EMG activity of anterior temporalis and masseter muscle
was recorded bilaterally for 10 patients whose vertical was restored with centric
stabilizing splint. The recording was done at postural rest position and in
maximum voluntary clenching for each subject before the start of treatment,
immediately after placement of splint and at subsequent recall visits, with
splint and without the splint. RESULTS: The EMG activity at postural rest
position (PRP) and maximum voluntary clench (MVC) decreased till 1 month for both
the muscles. In the third month, an increase in muscle activity toward
normalization was noted at PRP, both with and without splint. At MVC in the third
month, the muscle activity without splint decreased significantly as compared to
pretreatment values for anterior temporalis and masseter, while with the splint
an increase was seen beyond the pretreatment values. CONCLUSION: A definite
response of anterior temporalis and masseter muscle was observed over a period of
3 months. This is suggestive that the reversible increase in vertical prior to
irreversible intervention must be carried out for a minimum of 3 months to
achieve neuromuscular deprogramming. This allows the muscle to get adapted to the
new postural position and attain stability in occlusion following splint therapy.
PMID- 21891905
TI - Evaluation of skeletal maturation by comparing the hand wrist radiograph and
cervical vertebrae as seen in lateral cephalogram.
AB - BACKGROUND AND OBJECTIVES: Aim of this study was to determine the validity of
cervical vertebrae radiographic assessment to predict skeletal maturation.
MATERIALS AND METHODS: Left-hand wrist and lateral cephalometric radiographs of
100 Bangalore children aged 8-18 years, divided into 10 groups of 10 subjects
each with equal distribution of males and females, were measured. On left-hand
wrist radiograph, the classification of Fishman was used to assess skeletal
maturation. Cervical vertebrae maturation was evaluated with lateral
cephalometric radiograph, using the stages developed by Hassel and Farman. The
changes in hand wrist and cervical vertebrae were correlated. RESULTS:
Significant association was observed between skeletal maturation indicator stages
and cervical vertebrae maturation indicator stages. Correlation coefficient was
found to be significant (P<0.0001). CONCLUSION: The results of the study
indicated that the cervical vertebrae maturation and hand wrist skeletal
maturation was significantly related.
PMID- 21891906
TI - Crestal bone preservation: a review of different approaches for successful
implant therapy.
AB - The level of bone crest surrounding the implant is of utmost significance to
determine osseointegrated implant success, as preservation of marginal bone
height is highly important for long-term dental implant survival. Various
approaches have been described in the literature to prevent the crestal bone
loss, including platform switching, non-submerged approach, scalloped implants,
implant design modifications, progressive loading, immediate implant placement,
etc. The purpose of this paper is to review all the possible methods to preserve
the crestal bone, when each method should be used and their success rates in an
attempt to address this complex problem of crestal bone resorption. "PubMed" and
"Google Scholar" were used to find out any studies involving platform switching
concept from 1990 up to 2009. Twenty-four studies involving methods for
preservation of crestal bone were evaluated, which included 26% studies on
platform switching, 22% on non-submerged approach, 17% on scalloped implants, 13%
on progressive loading and 22% on immediate implant placement. Crestal bone
preservation should be thought of starting from the design of the implant to be
placed. The technique to be followed in a given case will depend upon the density
of bone, force factors by the patient, bone volume and amount of soft tissues,
etc. The best possible method or the combination of the methods should be used to
preserve the crestal bone for the long-term success of the implants.
PMID- 21891907
TI - Probiotics and prebiotics in periodontal therapy.
AB - There has been a paradigm shift toward ecological and microbial community-based
approach in understanding oral diseases. A marked improvement in gastrointestinal
health has been reported after using probiotic bacteria and/or prebiotic
supplements,which has prompted much interest in the use of this approach for oral
applications. Treatment of periodontal disease in recent years has moved toward
an antibiotic/antimicrobial model of disease management. With increase in the
incidence of resistance to antibiotics, probiotics may be a promising area of
research in periodontal therapy. This paper reviews the evidences for the use of
probiotics or prebiotics for the prevention of dental caries or periodontal
diseases, and also adresses the risk associated with their prolonged use. Many
questions have been raised pertaining to the benefits of probiotic
administration, as the role of probiotics in periodontics is still in infancy,
and a complete understanding of the broad ecological changes induced in the mouth
by probiotics or prebiotics is essential to assess their long-term consequences
for oral health and disease.
PMID- 21891908
TI - Sequence of oral manifestations in rhino-maxillary mucormycosis.
AB - Mucormycosis, caused by saprophytic fungi of the order Mucorales of the class
Zygomycetes, is a rare opportunistic fungal infection, which has a rapidly
progressive and fulminant course with fatal outcome. These fungi are ubiquitous,
found in soil, bread molds, decaying fruits and vegetables. The most common form
of mucormycosis is rhinocerebral and is usually seen in uncontrolled diabetes
mellitus or in immunocompromised patients. This fungus invades the arteries,
leading to thrombosis that subsequently causes necrosis of hard and soft tissues.
We report a case of palatal perforation by rhino-maxillary mucormycosis in an
immunocompromised patient. The aim of this article is to draw attention to the
clinical presentation and pathogenesis of mucormycosis and to emphasize the need
for high degree of suspicion in its diagnosis and management.
PMID- 21891909
TI - Sialocele: an unusual case report and its management.
AB - A post traumatic parotid sialocele is an acquired lesion that arises from
extravasation of saliva into glandular or periglandular tissues secondary to
disruption of the parotid duct or parenchyma. Facial trauma and surgery in the
parotid region are the most common causes of this rare condition. This paper
presents an unusual incidence of post traumatic parotid sialocele after Le-Fort
II fracture reduction and its management by relatively simple and cost-effective
technique which can be carried out in routine dental surgery suite. The results
achieved justify our recommendation of scalp vein cannula for the treatment of
sialocele in clinical practice.
PMID- 21891910
TI - Burkitt's lymphoma in leukemic phase in an Indian boy.
AB - Burkitt's lymphoma (BL) is the most common childhood cancer in Africa and is most
prevalent in areas endemic for malaria. The disease, a malignant growth of
lymphoid tissue, usually presents itself as a large tumor of the jaw. It is
however, a rarity in the Indian subcontinent. Through an extensive literary
survey, it is seen that only a few cases of BL have been reported, accounting for
only 0.76% of solid malignant tumors among Indian children. Here we present a
case of BL of mandible extending to maxilla in a 13-year-old boy of Indian
origin.
PMID- 21891911
TI - Ameloblastic fibrodentinoma.
AB - Odontogenic tumors comprise a complex group of lesions of diverse
histopathological types and clinical behavior. The group of mixed odontogenic
tumors, which are also rare, is composed of proliferating odontogenic epithelium
in a cellular ectomesenchyme resembling dental papilla. Ameloblastic
fibrodentinoma is a rare benign odontogenic tumor. The present case report
discusses this tumor composed of odontogenic epithelium and odontogenic
mesenchyme with dentin or dentin like tissue. The present paper also throws light
on various histological similarities and complexities which make the
interpretation of these set of odontogenic tumors a diagnostic dilemma.
PMID- 21891912
TI - Prosthodontic management of anhidrotic ectodermal dysplasia.
AB - Ectodermal dysplasia is characterized by the absence or defects of two or more
ectodermally derived structures. Anodontia or hypodontia is the most striking
dental manifestation. In severe hypodontia, there is lack of alveolar development
with consequent protrusion and eversion of the lips. Patients with anhidrotic
forms suffer from heat intolerance due to lack of sweat glands and mild
infections may lead to death in infancy from hyperthermia. A case of a 4-year-old
child with anhidrotic ectodermal dysplasia with partial anodontia is presented.
Dental, oral, and physical features were taken into consideration in diagnosis
and treatment planning for this patient. Clinical management consisted of
removable partial prosthesis in maxillary arch and complete denture prosthesis in
mandibular arch. The main aim of the treatment was to improve psychological
development and to promote better functioning of the stomatognathic system.
PMID- 21891913
TI - Cemento-ossifying fibroma.
AB - Cemento-ossifying fibromas (COFs) are benign lesions affecting the jaws and other
craniofacial bones. They commonly affect adult females between the third and
fourth decade of life, predominantly occurring in the premolar/molar region of
the mandible. Most of the lesions typically show slow and often expansile growth,
centrally within the jaw and characteristically behave in a benign form, but
occasionally they may present as an aggressive gigantiform lesion.
Radiographically, they appear as well-defined unilocular or multilocular
intraosseous masses. The lesion is invariably encapsulated and of mixed
radiolucent densities. The tumor may grow quite extensively; thus, the term
"aggressive" is sometimes applied. Surgical resection is the treatment of choice.
They are insensitive to radiotherapy and recurrences are uncommon. Clinical,
radiographic and histopathologic features of COF and other fibro-osseous lesions
are overlapping and may cause confusion in classification, diagnosis and
treatment.
PMID- 21891914
TI - Impact of delayed prosthetic treatment of velopharyngeal insufficiency on quality
of life.
AB - Palatopharyngeal dysfunction may take place when palatopharyngeal valve is unable
to perform its own closing due to a lack of tissue (palatopharyngeal
insufficiency) or lack of proper movement (palatopharyngeal incompetence).
Palatopharyngeal insufficiency induces nasal regurgitation of liquids, hypernasal
speech, nasal escape, disarticulations and impaired speech intelligibility.
Prosthetic management of palatopharyngeal insufficiency requires a close co
operation between an otolaryngologist and a speech pathologist. As a result, the
patient can be socially and physically rehabilitated with the improved speech
quality as well as prevention of leakage of liquids.
PMID- 21891915
TI - Overdenture with accesspost system: a clinical report.
AB - A patient presented with an unfavorable distribution of teeth in the arch which
precluded treatment with a removable partial denture. The unique pattern of
partial edentulism was treated with an overdenture restoration using accesspost
system. The final restoration was stable, well retained and esthetic, serving as
a conservative approach to root preservation.
PMID- 21891916
TI - Immunohistochemical detection of human telomerase reverse transcriptase in oral
cancer and pre-cancer.
AB - PURPOSE: Telomerase is a specialized ribonucleoprotein complex that stabilizes
telomeres by adding "TAG" repeats to the end of chromosomes. The catalytic
subunit of telomerase is human telomerase reverse transcriptase (hTERT), whose
expression is the critical determinant of telomerase activity. Telomeres and
telomerases play an important role in the longevity of cell and are known to
conform "immortalization" on neoplastic cells. Although there exists a lot of
information on telomerase in oral cancer, very little is known about their
expression in leukoplakia and oral submucous fibrosis (OSF). This study addresses
this lacuna. MATERIALS AND METHODS: In this preliminary study,
immunohistochemistry (IHC) was used to detect the expression of hTERT protein in
oral squamous cell carcinoma (OSCC) (n=30), leukoplakia (n=15), OSF (n=15) and
normal oral mucosa (n=10). The cellular localization of immunostain, intensity of
stain, mean nuclear labeling index (LI) and mean nuclear labeling score (LS) of
hTERT protein were studied. A total number of 1000 cells were counted in each
slide. All the data were analyzed using SPSS software version 10.0.2. The
cellular localization of cytoplasmic/nuclear/both of hTERT stain, staining
intensity and LI were compared across the groups using Pearson's chi2 test. The
mean LI and LS for OSF, leukoplakia, OSCC and normal were compared using analysis
of variance (ANOVA). A P-value <0.05 was considered to be statistically
significant. RESULTS: The mean nuclear LI increased from OSF (22.46+/-4.53),
through normal (28.3+/-12.3) to OSCC (47.56+/-21.30) (P=0.002) and from normal
(28.3+/-12.3), through leukoplakia (44.06+/-14.6), to OSCC (47.56+/-21.30)
(P=0.00). The mean nuclear labeling score was observed to increase from OSF
(37.8+/-15), through normal (64.9+/-30.7), to OSCC samples (106.9+/-29.77)
(P=0.00) and from normal (64.9+/-30.7), through leukoplakia (85.6+/-25.1) to OSCC
samples (106.9+/-29.77) (P=0.00). CONCLUSION: There was increased expression of
hTERT protein in OSCC and leukoplakia samples when compared to normal oral
mucosa. The cellular localization, LI and LS in OSF were significantly different
from OSCC and leukoplakia.
PMID- 21891917
TI - Reliability of Logicon caries detector in the detection and depth assessment of
dental caries: an in-vitro study.
AB - BACKGROUND: Digital radiography has so far not resulted in improved rates of
proximal caries detection. Historically, automated caries detection tools have
been largely academic. Opinions regarding the performance of the only such
commercially available tool, viz., Logicon caries Detector (LCD) have been
equivocal. This study was conducted to evaluate the reliability of LCD in the
detection and depth assessment of proximal caries. MATERIALS AND METHODS: Digital
images were obtained of 100 proximal tooth surfaces using the Kodak RVG 5000
sensor and analyzed by three observers. The images were then analyzed by the
principal investigator using the LCD software. The teeth were then sectioned and
magnified photographic images were obtained which were taken as the gold
standard. All the grades were entered in proformas and the data were
statistically analyzed using the chi-square test. Five parameters of reliability
were calculated. RESULTS: The sensitivity, specificity, positive predictive
value, negative predictive value, and overall accuracy of LCD for the grade No
caries were 33, 96, 73, 82, and 81%, respectively; for the grade Enamel caries
were 5, 97, 33, 80, and 79%, respectively; and for the grade Dentin caries were
100, 96, 50, 100, and 96%, respectively. CONCLUSIONS: In conclusion, LCD appears
to be more reliable in ruling out (both enamel and dentin) caries than in
detecting caries.
PMID- 21891918
TI - Rotary Mtwo system versus manual K-file instruments: efficacy in preparing
primary and permanent molar root canals.
AB - AIM: To compare the cleaning ability and preparation time of rotary instruments
(Mtwo) and conventional manual instruments (K-file) in preparing primary and
permanent molar root canals. MATERIALS AND METHODS: Access cavities were prepared
in 70 primary and 70 permanent teeth and India ink was injected into 120 canals
of selected molars. The teeth were randomly divided into two main subgroups
(n=20) and three control groups (n=10). In each of these main subgroups, either
the manual instrument (K-file) or the rotary system (Mtwo) was used to prepare
root canals. After cleaning the canals and clearing the teeth, dye removal was
evaluated with the help of a stereomicroscope. In addition, the time needed for
root canal preparation was recorded by a chronometer. STATISTICAL ANALYSIS:
Statistical analyses were done using the Kruskal-Wallis, Mann-Whitney and t
tests. RESULTS: With regard to the cleaning ability of root canals, there were no
significant differences between the K-file and Mtwo rotary system in primary and
permanent teeth in the apical, middle or coronal third of the canals. Moreover,
there were no significant differences between primary and permanent teeth
prepared with K-files and rotary instruments. In all the groups, shorter times
were recorded with the rotary technique. The working time was shorter in primary
than in permanent teeth. CONCLUSION: The Mtwo rotary system showed acceptable
cleaning ability in both primary and permanent teeth, and achieved results
similar to those of K-files in less time.
PMID- 21891919
TI - Glandular odontogenic cyst: report of an unusual bilateral occurrence.
AB - Glandular odontogenic cyst (GOC) is a recently recognized rare developmental
odontogenic cyst having an aggressive behavior and accounting for 0.012% to 1.3%
of all jaw cysts. GOC usually presents as a painless, slow-growing swelling that
tends to affect the anterior part of the jaws. It chiefly occurs in the fourth
and fifth decades of life and presents as an expansion of jaws with or without
pain or paresthesia. Aggressive nature of the lesion has been reported, as
supported by the fact that 25 to 55% of cases recur following curettage. So far
only just over 113 cases of GOC have been reported in the literature. Here, we
report a case of bilateral GOC in the posterior region of the maxilla, in a 29
year-old male patient, which is unique, being the first case of bilateral GOC to
be reported in the literature.
PMID- 21891920
TI - Prevalence of permanent tooth loss among children and adults in a suburban area
of Chennai.
AB - AIM: To determine the prevalence of permanent tooth loss among children and
adults in a suburban area of Chennai. DESIGN: Cross sectional descriptive study.
STUDY SETTING: The study was conducted in Tiruverkadu, a suburban area of
Chennai. MATERIALS AND METHODS: A house-to-house survey was done in Tiruverkadu.
The study population consisted of 6, 12, 15 years children, 35-44 years, and 65
74 years adults. Type III dental examination was carried out. Cross tabulations
and Chi-square statistics were computed. The level of significance was chosen as
P<0.05. RESULTS: Out of the total 679 subjects, 309 subjects had tooth loss.
Females (47.9%) had greater tooth loss compared to males (42.9%). Tooth loss
increased as age progressed. Subjects in the lower socio-economic status had
greatest tooth loss. The mean tooth loss among the subjects was 2.7. CONCLUSION:
This study indicates that tooth loss increases with age and differs for gender
and socio-economic status. The prevalence of tooth loss among the subjects was
found to be high in children as well as in adults. Therefore, dental
professionals should utilize various measures available in preventive dentistry
to minimize tooth loss.
PMID- 21891921
TI - The application of intraoperative fluorescence utilizing 5-aminolevulinic acid in
detection of residual cerebellar hemangioblastoma following visually assessed
gross total resection.
PMID- 21891922
TI - Management of prolactinomas: the fine print between the lines!
PMID- 21891923
TI - Chronic encapsulated intracerebral hematoma.
PMID- 21891924
TI - Spontaneous intracranial hypo and hypertensions: an imaging review.
AB - Cerebrospinal fluid (CSF) pressure changes can manifest as either intracranial
hypertension or hypotension. The idiopathic forms are largely under or
misdiagnosed. Spontaneous intracranial hypotension occurs due to reduced CSF
pressure usually as a result of a spontaneous dural tear. Idiopathic intracranial
hypertension (IIH) is a syndrome of elevated intracranial tension without
hydrocephalus or mass lesions and with normal CSF composition. Neuroimaging plays
an important role in excluding secondary causes of raised intracranial tension.
As the clinical presentation is varied, imaging may also help the clinician in
arriving at the diagnosis of IIH with the help of a few specific signs. In this
review, we attempt to compile the salient magnetic resonance imaging findings in
these two conditions. Careful observation of these findings may help in early
accurate diagnosis and to provide appropriate early treatment.
PMID- 21891925
TI - Immunohistochemical differentiation of inflammatory myopathies.
AB - BACKGROUND: Idiopathic inflammatory myopathies are a heterogeneous group of
acquired muscle disorders with considerable overlap in the histological features,
making histological diagnosis difficult at times. AIMS: To determine the
immunohistochemical profile of clinically suspected cases of inflammatory
myopathies, using monoclonal antibodies to HLA-1 and membrane attack complex
(MAC), and to correlate the clinical, serological, and electromyographic profile
and the histopathological picture, with the immunohistochemical profile. SETTINGS
AND DESIGN: This was a retrospective study analyzing the clinical and
histopathological features in muscle of clinically suspected cases of
inflammatory myopathy and correlating it to their HLA-1 and MAC immunostaining
profiles. MATERIAL AND METHODS: The study subjects included 33 cases with
suspected inflammatory myopathy and 59 with non-inflammatory muscle disease, as
controls. Clinical data, electromyographic findings, serological profile, and
details of therapy were obtained from patient records. STATISTICAL ANALYSIS:
Student 'T' test, Pearson's Chi square test, and Kappa statistics were used
appropriately. RESULTS: Although HLA-1 and MAC immunostaining did not help to
differentiate the individual subtypes of inflammatory myopathy, when either HLA-1
or MAC was positive, inflammatory myopathy could be ruled in with 86.5% certainty
and when both HLA-1 and MAC were negative, it could be ruled out with 95%
certainty. CONCLUSIONS: A combination of clinical presentation, serological
profile, electromyographic and histopathological features, together with the
immunoprofile for HLA-1 and MAC, contribute toward making a diagnosis of
inflammatory myopathy.
PMID- 21891926
TI - Association of atherosclerotic cerebral infarction and human leukocyte antigen
DRB in a North Chinese Han population.
AB - BACKGROUND AND OBJECTIVES: Evidence from experimental and genetic studies suggest
the existence of a potential link between the polymorphisms of human leukocyte
antigen class II gene (HLA-DR) and ischemic stroke. This study addressed the
association of HLA-DR gene with atherosclerotic cerebral infarction (ACI) in a
North Chinese Han population. MATERIAL AND METHODS: The genotyping of HLA-DRB1
was determined by standard techniques based on polymerase chain reaction and
sequence-specific oligonucleotides hybridization in a gene chip. RESULTS: The
relative risk (RR) of HLA-DRB1 FNx01 04 and HLA-DRB1 FNx01 03 (17) in patients
with ACI and their first-degree relatives were significantly higher than those in
the control group (RR=2.56 and 18.77, respectively; P <0.05). In contrast, the RR
of HLA-DRB1 FNx01 12 was dramatically reduced in patients with ACI in relation to
healthy controls (RR=0.17; P <0.01). CONCLUSIONS: These data indicate that the
polymorphisms in HLA-DRB1 may influence the risk of ACI in the North Han
population of China. Further studies are necessary to validate the observation in
larger samples.
PMID- 21891927
TI - Expression patterns of two potassium channel genes in skeletal muscle cells of
patients with familial hypokalemic periodic paralysis.
AB - BACKGROUND: Familial hypokalemic periodic paralysis is an autosomal-dominant
disorder characterized by episodic attacks of muscle weakness with hypokalemia.
The combination of sarcolemmal depolarization and hypokalemia has been attributed
to abnormalities of the potassium conductance governing the membrane potential;
however, the molecular mechanism that causes hypokalemia has not yet been
determined. AIM: To test the hypothesis that the expression patterns of delayed
rectifier potassium channel genes in the skeletal muscle cells of patients with
familial hypokalemic periodic paralysis differ from those in normal cells.
MATERIAL AND METHODS: We examined both mRNA and protein levels of two major
delayed rectifier potassium channel genes KCNQ3 and KCNQ5 in the skeletal muscle
cells from three patients with familial hypokalemic periodic paralysis and three
healthy controls. RESULTS: When normal cells were exposed to 50 mM potassium
buffer, which was used to induce depolarization, the KCNQ3 protein level
significantly increased in the membrane fraction but decreased in the cytosolic
fraction, whereas the opposite was true in patient cells. CONCLUSION: Abnormal
subcellular distribution of the KCNQ3 protein was observed in patient cells. Our
results suggest that the altered expression of KCNQ3 in patient cells exposed to
high extracellular potassium levels could possibly hinder normal function of the
channel protein. These findings may provide an important clue to understanding
the molecular mechanism of familial hypokalemic periodic paralysis.
PMID- 21891928
TI - Microsurgical management of prolactinomas - clinical and hormonal outcome in a
series of 172 cases.
AB - AIMS AND OBJECTIVES: To report hormonal outcome after surgery in a special
subgroup of prolactinomas in whom medical therapy is not effective or not
indicated. PATIENTS AND METHODS: All patients who underwent surgery for
prolactinomas, between December 2002 and December 2009, were analyzed
retrospectively. The study group consisted of patients who were either intolerant
or noncompliant to dopamine agonist (DA) therapy or those in whom medical
treatment could not be given due to various reasons. The surgical results were
assessed according to whether patients had microadenoma (<1 cm diameter),
macroadenoma (>1 cm diameter), or giant prolactinoma (>4 cm diameter). Initial
and final hormonal remission was defined as normalization of serum prolactin
levels (<25 ng/ml) at 7 days after surgery and at last follow up, respectively.
RESULTS: Of the 172 patients, 133 (77%) were operated by primary transsphenoidal
approach and 25 (14.5%) were operated transcranially and 14 patients required
reoperation, either transsphenoidally (6 patients) or transcranially (8
patients). Overall, at last follow-up, hormonal remission could be achieved in
44% patients (83% microadenomas, 48% macroadenomas, and 16% of giant adenomas).
There were three deaths (1.7%), 12 patients (7%) had single/multiple
postoperative treatable complications. 59% of those patients, who did not achieve
remission after surgery, finally attained normoprolactinemia with either DA
therapy or radiotherapy, at last follow-up. CONCLUSIONS: Medical treatment of
prolactinomas with DA should be considered as treatment of choice for these
tumors. However, there is a major subgroup of patients who respond better to
surgery rather than protracted medical therapy.
PMID- 21891929
TI - Radial artery grafts for symptomatic cavernous carotid aneurysms in elderly
patients.
AB - BACKGROUND: Radial artery grafts (RAG) have been used in the treatment of complex
vascular lesions, but not for symptomatic cavernous carotid aneurysms in elderly
patients. AIM: To investigate the safety, usefulness, and perioperative
complications of RAGs for symptomatic cavernous carotid aneurysms in elderly
patients. MATERIAL AND METHODS: Of the 74 consecutive patients, in whom RAGs were
used, we retrospectively investigated the postoperative outcomes and
complications in eight elderly patients aged over 70 years with symptomatic
internal carotid artery aneurysms in cavernous sinus. RESULTS: Postoperative
complications included one case of cerebral infarction, one case of symptomatic
seizures, and one case of delayed cranial nerve palsy. OUTCOME: Seven patients
had an mRS score of 0, and one patient had a score of 2. Postoperatively there
was improvement in cranial nerve palsy in seven patients and the patients who had
symptoms for one year and eight months had residual deficits. Although it cannot
be stated that the frequency of perioperative complications was low, the final
outcomes were favorable. CONCLUSION: Even in carotid disease that is difficult to
treat among the elderly, RAG would appear to be a useful and safe treatment.
PMID- 21891930
TI - Management outcome of the transcallosal, transforaminal approach to colloid cysts
of the anterior third ventricle: an analysis of 78 cases.
AB - BACKGROUND: Colloid cysts are not common brain lesions and account for 0.2-2.0%
of all brain lesions. Transcallosal, transforaminal approach is a safe route and
the most direct path to excise third ventricular colloid cyst, without dependence
on hydrocephalus. AIM: To assess the surgical outcome of patients with colloid
cysts of the anterior third ventricle treated by the transcallosal,
transforaminal approach. PATIENTS AND METHODS: Seventy-eight patients operated by
the above approach over a period of 20 years were analyzed. A pre- and
postoperative neurological assessment was done in all the patients. Neuro
cognitive evaluation of corpus callosum function was done in the last 20
patients. Computer tomography scan of the brain was done in all patients pre- and
postoperatively. RESULTS: Clinical features of raised intracranial pressure
without localizing signs were the commonest presenting feature in 52 (66.7%)
patients. Hydrocephalus was present in 65 (83.3%) patients. All patients
underwent the transcallosal, transforaminal approach, and total excision of the
lesion was achieved in 77 patients and subtotal in 1. Four patients required a
postoperative shunt for acute hydrocephalus. There was no incidence of
postoperative disconnection syndrome. In two patients, there was recurrence of
the lesion after 2 and 6 years, respectively. Two patients died in the
postoperative period. CONCLUSION: Colloid cyst is surgically curable. Early
detection and total excision of the lesion can be a permanent cure with low
mortality and minimum morbidity, when compared to the natural history of the
disease. The limited anterior callosotomy does not result in disconnection
syndromes or behavioral disturbance.
PMID- 21891932
TI - Stem cell therapy for brain disorders: why results are discordant?
PMID- 21891931
TI - Endovascular treatment for wide-necked intracranial aneurysms with the Enterprise
stent.
AB - BACKGROUND: The development of self-expanding stents dedicated to intracranial
use has significantly widened the applicability of endovascular therapy to many
intracranial aneurysms OBJECTIVE: To report the angiographic and clinical
outcomes of wide-necked intracranial aneurysms treated using the Enterprise
stent. MATERIAL AND METHODS: Forty-six patients with 50 wide-necked and fusiform
aneurysms (19 aneurysms with dissections), admitted between June 2009 and
December 2010, were treated using Enterprise stents. Demographic information,
procedural data, and technical complication were reviewed. Angiographic and
clinical outcomes were evaluated at a 9.1-month follow-up. RESULTS: Stent
deployment was successful in all the 50 lesions, and additional coil embolization
was performed in 48. Complete or near-complete occlusion immediately after stent
deployment was achieved in 44 aneurysms, whereas, no immediate coil embolization
was chosen in two cases. There was one (2%) procedure-related complication, which
resulted in death. At mean 9.1-month follow-up, the result was good (GOS <=2) in
45 patients. Angiographic recurrences arose in two cases, one of which was re
treated completely. CONCLUSIONS: The Enterprise stent is very useful for
endovascular embolization of wide-necked intracranial aneurysms, because it is
easy to navigate and place precisely. The overall morbidity and mortality rates
are low.
PMID- 21891933
TI - Induced pluripotent stem cells and promises of neuroregenerative medicine.
AB - First created in 2006 from adult somatic cells by a simple molecular genetic
trick, induced pluripotent stem cells (iPS) system is the latest platform in stem
cell research. Induced pluripotent stem cells are produced by nuclear
reprogramming technology and they resemble embryonic stem cells (ES) in key
elements; they possess the potentiality to differentiate into any type of cell in
the body. More importantly, the iPS platform has distinct advantage over ES
system in the sense that iPS-derived cells are autologous and therefore the iPS
derived transplantation does not require immunosuppressive therapy. In addition,
iPS research obviates the political and ethical quandary associated with embryo
destruction and ES research. This remarkable discovery of cellular plasticity has
important medical implications. This brief review summarizes currently available
stem cell platforms, with emphasis on cellular reprogramming and iPS technology
and its application in disease modeling and cell replacement therapy in
neurodegenerative diseases.
PMID- 21891934
TI - Pluripotent stem cells - a review of the current status in neural regeneration.
AB - Pharmacological or neurosurgical therapies currently in practice to treat the
damage in various neurodegenerative disorders are not efficient in preventing
progression or cure of these progressive neurodegenerative processes. Recently, a
new approach, cell therapy using stem cell, is being evaluated. However, the use
of this therapy in the treatment of these neurological diseases is highly
restricted, mainly owing to several technical difficulties and limitations. The
strategy of isolation and characterization of neural stem cells from various
sources will probably provide a major impetus and open up an interesting, novel
therapeutic modality for several neurodegenerative disorders. The high
regenerative potential of damaged neural tissues suggests that various
embryonic/adult sources serve as a proxy for neural stem cells for cell-based
therapy.
PMID- 21891935
TI - Motor recovery following olfactory ensheathing cell transplantation in rats with
spinal cord injury.
AB - BACKGROUND: Olfactory ensheathing cells (OEC) are considered to be the most
suitable cells for transplantation therapy in the central nervous system (CNS)
because of their unique ability to help axonal regrowth and remyelination in the
CNS. However, there are conflicting reports about the success rates with OEC.
AIM: This study was undertaken to evaluate the therapeutic effect of OEC in rat
models using different cell dosages. MATERIAL AND METHODS: OECs harvested from
the olfactory mucosa of adult white Albino rats were cultured. Spinal cord injury
(SCI) was inflicted at the lower thoracic segment in a control and test group of
rats. Two weeks later, OECs were delivered in and around the injured spinal cord
segment of the test group of the rats. The outcome in terms of locomotor recovery
of limb muscles was assessed on a standard rating scale and by recording the
motor-evoked potentials from the muscles during transcranial electrical
stimulation. Finally, the animals were sacrificed to assess the structural repair
by light microscopy. STATISTICAL ANALYSIS: Wilcoxon signed rank test and Mann
Whitney U-test were used to compare the data in the control and the test group of
animals. A P value of <0.05 was considered significant. RESULTS: The study showed
a moderate but significant recovery of the injured rats after OEC transplantation
(P=0.005). CONCLUSION: Transplantation of OECs along with olfactory nerve
fibroblasts improved the motor recovery in rat models with SCI.
PMID- 21891936
TI - Intralesional mesenchymal stromal cell transplant in a rodent model of cortical
cryoinjury.
AB - BACKGROUND: The effect of intralesional mesenchymal stromal cell (MSC) transplant
in the subacute phase of brain injury has not been studied. AIM: To evaluate the
role of intralesional transplant of mouse MSC following coldinduced cerebral
cortical injury in mouse in improving neurological function. MATERIAL AND
METHODS: Twelve mice (Swiss albino strain) received an intralesional injection of
2*106 mouse MSCs labelled with Bromodeoxyuridine (BrdU) and suspended in
phosphate-buffered saline (PBS), 72 h after cerebral cryoinjury. Six mice
received intralesional injection of PBS and served as controls. Neurological
severity score (NSS) and rotarod treadmill test were used to perform serial
assessments. RESULTS: The mean NSS in the control group (n=5) on the first
posttrauma day was 9.3+/-1.2 and it improved to 14.2+/-1.3 on day 28. The mean
NSS in the MSC group (n=11) was 10.7+/-1.8 on the first posttrauma day and it
improved to 16+/-1.1 on day 28 posttransplant. This difference was not found to
be statistically significant when subgroup analysis of animals, where the
assessments were blinded, was performed. There was no significant difference in
the rotarod treadmill scores between the control and the MSC group at any time
point. Few BrdU-positive cells could be identified in the periphery of the
contusion up to day 10 posttransplant. CONCLUSIONS: Transplanted MSCs were shown
to survive for at least 10 days after intralesional transplant in the cryoinjury
model of the mouse cerebral cortex but the functional recovery observed in the
experimental group was not statistically different from the controls.
PMID- 21891937
TI - Combination of NEP 1-40 infusion and bone marrow-derived neurospheres
transplantation inhibit glial scar formation and promote functional recovery
after rat spinal cord injury.
AB - BACKGROUND AND AIMS: Studies have shown that administration of NEP1-40, a Nogo-66
receptor antagonist peptide, improves locomotor recovery in rats. We hypothesize
that combining NEP1-40 with another promising therapy, neural stem cell
transplantation, might further improve the degree of locomotor recovery. In the
present study, we examined whether NEP1-40 combined with bone marrow stromal
cells-derived neurospheres (BMSC-NSs) transplantation would produce synergistic
effects on recovery. MATERIAL AND METHODS: Adult Sprague-Dawley rats were
subjected to spinal cord injury (SCI) at the T10 vertebral level. Immediately
after injury, rats were administrated NEP1-40 intrathecally for 4 weeks. BrdU
labeled BMSC-NSs (2*105 ) were transplanted into the injured site 7 days after
SCI. Locomotor recovery was assessed for 10 weeks with BBB scoring. Animals were
perfused transcardially 10 weeks after contusion, and histological examinations
were performed. RESULTS: The combined therapy group showed statistically better
locomotor recovery than the control group at 7 weeks of contusion. Neither of the
two single-agent treatments improved locomotor function. The average area of the
cystic cavity was significantly smaller in the combined therapy group than in the
control group. Fluorescence microscopic analysis showed that NEP1-40 dramatically
inhibited the formation of glial scar and promoted the axons penetration into the
scar barrier. CONCLUSION: This study revealed that BMSC-NSs and NEP 1-40 exhibit
synergistic effects on recovery in rat SCI. This may represent a potential new
strategy for the treatment of SCI.
PMID- 21891938
TI - Spectrum of neurological manifestations of idiopathic hypoparathyroidism and
pseudohypoparathyroidism.
AB - We describe clinical, biochemical, radiological profile, and treatment outcome in
97 patients with idiopathic hypoparathyroidism seen over a period of 18 years. Of
the 97 patients, 78 (80%) had idiopathic hypoparathyroidism and 19 (20%) had
pseudohypoparathyroidism. The mean age+/-standard deviation (SD) at presentation
was 28.7+/-14.1 years. There were 52 males, the mean lag time from first reported
symptom to diagnosis was 5.9+/-5.2 years and the mean (+/-SD) follow-up was 1.8+/
0.4 years. The most common presenting manifestation was carpopedal spasm in 68
(70%) patients, followed by paresthesia and seizures in 52 (54%) patients. The
mean (+/-SD) serum calcium and inorganic phosphate concentrations were 6.1+/-1.5
mg/dl and 6.3+/-1.5 mg/dl, respectively. The most common imaging abnormality
noted was basal ganglia calcification followed by cerebral cortex and cerebellum
calcification. More than one-third of patients were on various antiepileptic
drugs including phenytoin. In addition to oral calcium and active vitamin D
(calcitriol), twenty-six patients (27%) also required hydrochlorothiazide. The
important finding in our study was long lag time from the first reported symptom
to diagnosis. Phenytoin was the drug in almost one- third of our patients with
seizures. Practicing clinicians should have high index of suspicion of diagnosis
hypoparathyroidism in the appropriate clinical states to avoid the morbidity
associated with hypoparathyroidism. Phenytoin should be avoided in patients with
hypoparathyroidism and seizures.
PMID- 21891939
TI - Use of O-arm for spinal surgery in academic institution in India: experience from
JPN apex trauma centre.
AB - There is a relatively high incidence of screw misplacement during spinal
instrumentation due to distortion of normal anatomy following spinal trauma. The
O-arm(r) is the next-generation spinal navigation tool that provides
intraoperative 3-D imaging for complex spine surgeries. In this prospective study
over 1-month period, 25 patients (mean age 29.16 years (range 7-58 years), 22
(88%) males) with spinal injury who underwent spinal instrumentation under O
arm(r) guidance were included. Fall from height (64%) was the most common
etiology seen in 16 patients. The majority (68%) had dorsolumbar fractures.
Spinal canal compromise was seen in 21 patients (84%). Ten patients (40%) had
American Spinal Injury Association (ASIA) grade A injuries, two patients (8%) had
grade B, five patients (20%) had grade C, four patients (16%) each had grade D,
and grade E injuries. A total of 140 screws were inserted under O-arm guidance.
Of these, 113 (81%) were dorsolumbar pedicle screws, 2 were odontoid screws, 12
were anterior cervical screws, and 12 screws (48%) were lateral mass screws. Mean
duration of surgery was 4.5 h with a mean blood loss of 674 mL. The mean
postoperative stay was 6.3 days. None of the patients had screw malplacement ort
canal breach. No patient deteriorated in ASIA grade postoperatively. The system
was rated as excellent for ease of use by all faculty using the system. Accurate
screw placement provides better patient safety and reduces the in hospital stay
thereby leading early patient mobilization and may reduce the cost incurred in
patient management.
PMID- 21891940
TI - Herpes simplex virus encephalitis complicated by intracerebral hematoma.
AB - Herpes simplex virus (HSV) encephalitis complicated by cerebral hematoma is
extremely rare. We report a 54-year-old man with hepes simplex encephalitis
complicated by intracerebral hematoma and review the literature and describe the
characteristics of HSV encephalitis complicated by intracerebral hematoma.
PMID- 21891941
TI - Balo's concentric sclerosis involving bilateral thalami.
AB - Balo's concentric sclerosis (BCS) is a rare inflammatory demyelinating disease of
central nervous system, pathologically characterized by alternate bands of
demyelination and preserved myelin tissue. Before the era of magnetic resonance
imaging (MRI), most cases of BCS were diagnosed on postmortem examination. MRI
allows for noninvasive diagnosis by demonstrating characteristic changes which
closely parallels the histopathological features of BCS. We report a case of 26
year-old female with BCS involving bilateral thalami, with typical MRI
appearance.
PMID- 21891942
TI - Neuroplasticity in hemispheric syndrome: an interesting case report.
AB - Functional hemispherectomy is an accepted treatment in hemispherical intractable
epilepsy syndromes. We report a patient who had functional hemispherectomy for
intractable seizures secondary to right hemispheric cortical dysplasia.
Preoperatively, the patient had mild left hemiparesis and functional magnetic
resonance imaging (fMRI) showed bilateral motor function lateralization to normal
left hemisphere. The patient remains seizure free at 1-year follow-up, with no
deterioration of motor power on left side. This report reviews physiology of
neural plasticity for motor function lateralization and also reliability of fMRI
in determining the functional shift.
PMID- 21891943
TI - Epstein Barr virus encephalitis: clinical diversity and radiological similarity.
AB - Clinico-radiological features of two patients with cerebrospinal fluid polymerase
chain reaction-positive Epstein Barr virus (EBV) encephalitis have been reported.
Both the patients presented with fever and altered sensorium, one had visual
hallucination, decerebration followed by visual loss and the other had downward
ocular deviation and orofacial and upper limb choreiform movement. Magnetic
resonance imaging (MRI) revealed parieto-occipital involvement in both the
patients. Follow-up MRI at one month was normal in one and revealed regression of
lesion in the other. Both the patients, however, had severe neurologic sequelae
at 18 months' follow-up. EBV encephalitis may have diverse clinical presentation
with characteristic parieto-occipital involvement.
PMID- 21891944
TI - Gamma knife treatment of an endolymphatic sac tumor: unique features of a case
and review of the literature.
AB - Endolymphatic sac tumors are rare, histologically non-aggressive lesions, but
often become locally invasive and lead to erosion of the petrous bone. We report
a rare case with multiple endolymphatic sac tumors located at bilateral
cerebellopontine angles and vermis of the cerebellum. One of the lesions was
surgically resected and others were treated using gamma knife radiosurgery. Local
recurrence and metastasis occurred, which was treated with gamma knife
radiosurgery. With an appropriate prescription dose and isodose, gamma knife
radiosurgery may have an important role in the treatment of endolymphatic sac
tumor.
PMID- 21891946
TI - Delayed otogenic pneumocephalus complicating ventriculoperitoneal shunt.
AB - Tension pneumocephalus complicating ventriculoperitoneal shunt is extremely rare.
We report an elderly male who developed delayed tension pneumocephalus 12 months
after ventriculoperitoneal shunt for hydrocephalus complicating aneurysmal
subarachnoid hemorrhage. Fine-cut reformatted computer tomography scan revealed a
large pneumatocele on the petrous apex associated with tegmen tympani defect. The
shunt valve pressure was temporarily raised from 120 mm H 2 O to 200 mm H 2 O,
and the patient underwent successful subtemporal extradural repair of the bony
defect in the temporal bone. Although extremely rare, otogenic tension
pneumocephalus is a potentially life-threatening condition, and urgent surgical
repair of the bony defect in the temporal bone reduces the risk of both the
morbidity and mortality.
PMID- 21891945
TI - Utility of intraoperative fluorescent diagnosis of residual hemangioblastoma
using 5-aminolevulinic acid.
AB - Hemangioblastoma is a benign tumor of the cerebellum, and treatment involves
surgical excision, both as the initial treatment and also in case of recurrence.
Recurrence of hemangioblastoma can be local due to incomplete resection or can be
distant and separate from the tumor resection region. Local recurrence can
largely be avoided by verifying for any residual tumor intraoperatively before
closure. In this study, we used intraoperative fluorescent diagnosis using 5
aminolevulinic acid (5-ALA) to verify the presence of a residual tumor during
surgical resection. Nine patients with hemangioblastoma were given 1 g of 5-ALA
orally before surgery, and a laser beam of 405 nm was focused on the tumor during
resective surgery. Fluorescence of protoporphyrin IX (PPIX) was observed in the
core of tumor in all the cases. Fluorescence of PPIX was observed in the
peritumoral cyst wall in two patients after tumor resection, and in both of them
fluorescent parts of PPIX were resected and histological examination showed tumor
cells. Usually, there are no tumor cells in the peritumoral cyst of a
hemangioblastoma, yet hemangioblastomas may sometimes recur from an unresected
cyst wall. It is thus necessary to excise an infiltrating cyst of tumor cells to
prevent recurrence. Intraoperative fluorescent diagnosis using 5-ALA is a useful
method to discern whether tumor cells are present in the peritumoral cyst wall of
a hemangioblastoma.
PMID- 21891947
TI - Delayed brain abscess after embolization of arterio-venous malformation: report
of two cases and review of literature.
AB - Infections of central nervous system are rare complications of endovascular
procedures. Review of literature revealed only four reported cases of brain
abscesses after embolization of intracranial arteriovenous malformations (AVMs).
We report two new cases of delayed brain abscess after embolization of AVM. In
one of the patients, it was due to an unusual organism, Burkholderia caeci.
PMID- 21891948
TI - Chronic encapsulated intracerebral hematoma formation after radiosurgery for
cerebral arteriovenous malformation.
AB - We report a rare case of chronic encapsulated intracerebral hematoma (CEIH) after
radiosurgery for a cerebral arteriovenous malformation (AVM). A 49-year-old male
underwent transarterial embolization and surgical excision of a cerebral AVM
presenting with cerebral hemorrhage in the left temporal lobe. Stereotactic
radiosurgery (SRS) was done after 12 months. Magnetic resonance imaging (MRI) 14
months after SRS showed a small-enhancing lesion close to the left lateral
ventricle and marked white matter edema. At that time a diagnosis of radiation
induced necrosis was made and steroids administered. At 43 months after SRS, MRI
showed a small-enhancing mass close to the lateral ventricle with a hematoma
cavity. Surgical excision was performed and histological examination revealed
that the capsule consisted of an outer collagenous layer and an inner granulated
layer with deposits of hemosiderin, which was compatible with CEIH. CEIH should
be considered after SRS for AVM.
PMID- 21891949
TI - Thoracic disc herniation with affection of the anterior spinothalamic tract: a
case report and review of literature.
PMID- 21891950
TI - Meningeal tuberculoma mimicking chloroma in a patient with chronic myeloid
leukemia on imatinib.
PMID- 21891951
TI - An unusual case of copper myelopathy.
PMID- 21891952
TI - Reversible cerebellar ataxia: a rare presentation of depletional hyponatremia.
PMID- 21891953
TI - Spontaneous intracranial hypotension in a patient with classical type Ehlers
Danlos syndrome.
PMID- 21891954
TI - Medulloblastoma with extreme nodularity.
PMID- 21891955
TI - Anterior interhemispheric fissure meningioma without dural attachment in an
adult.
PMID- 21891956
TI - Human tail continuing as transitional lipoma.
PMID- 21891957
TI - Hemorrhagic brain metastasis from osteogenic sarcoma of iliac bone in young
female: unusual site of presentation.
PMID- 21891958
TI - Massive subarachnoid pneumocephalus after a stereotactic brain biopsy.
PMID- 21891959
TI - Non-awakening from anesthesia following posterior fossa surgery due to skull pin
induced tension pneumocephalus.
PMID- 21891960
TI - Calcification in vestibular schwannoma: report of two cases and review of the
literature.
PMID- 21891961
TI - Metastatic squamous cell carcinoma of the lung to the conus medullaris.
PMID- 21891962
TI - Tracheal tube kinking during craniotomy in supine position after application of
fish hook retractors.
PMID- 21891963
TI - Primary thoracic primitive neuroectodermal tumor mimicking as neurofibroma.
PMID- 21891964
TI - Pin site bilateral epidural hematoma - a rare complication of using Mayfield
clamp in neurosurgery.
PMID- 21891965
TI - Pan-meningiomatosis in an operated case of cerebellopontine angle meningioma.
PMID- 21891966
TI - Thoracic epidural angiolipoma with extraspinal extension.
PMID- 21891967
TI - Current state of symptomatic aortic valve stenosis in the elderly patient.
PMID- 21891968
TI - Prognostic value of N-terminal pro-B-type natriuretic peptide in patients with
acute coronary syndromes undergoing left main percutaneous coronary intervention.
AB - BACKGROUND: Patients undergoing acute left main (LM) coronary artery
revascularization have a high mortality and natriuretic peptides such as N
terminal pro-B-type (NT-proBNP) have been shown to have prognostic value in
patients with acute coronary syndromes. The present study looked at the
prognostic value of NT-proBNP in these patients. METHODS AND RESULTS: We studied
all consecutive patients undergoing acute LM coronary artery percutaneous
coronary intervention between January 2005 and December 2008 in whom NT-proBNP
was measured (n=71). We analyzed the clinical characteristics and the short- and
long-term outcomes in relation to NT-proBNP level at admission. Median NT-proBNP
was 1,364 ng/L, ranging from 46 to 70,000 ng/L. NT-proBNP was elevated in 63
(89%) patients and was >=1,000ng/L in 42 (59%). Log NT-proBNP (hazard ratio [HR]
3.51, 95% confidence interval [CI] 1.55-7.97, P=0.003) and left ventricular
ejection fraction (HR 0.95, 95%CI 0.91-0.99, P=0.007) were predictors for all
cause mortality. Log NT-proBNP was the only independent significant predictor of
cardiovascular mortality. In-hospital mortality was 0% for patients with NT
proBNP <1,000, but 17% for those with NT-proBNP >=1,000 (P=0.036). CONCLUSIONS:
NT-proBNP is a strong predictor of outcome in patients undergoing acute LM
coronary artery stenting. Mortality in such patients is high, but those with NT
proBNP < 1,000ng/L may have a favorable short- and long-term prognosis. Further
research, including a larger patient population, is needed to determine the
optimal cut-off value for NT-proBNP in patients undergoing acute LM coronary
artery intervention.
PMID- 21891969
TI - Significant increase in the incidence of ventricular arrhythmic events after an
intrathoracic impedance change measured with a cardiac resynchronization therapy
defibrillator.
AB - BACKGROUND: Cardiac resynchronization therapy defibrillator (CRT-D) devices are
now capable of monitoring changes in intrathoracic impedance. Intrathoracic
impedance monitoring resulting in a fluid index threshold crossing has been
proven to predict heart failure (HF) exacerbations. We retrospectively
investigated the relationship between changes in intrathoracic impedance and the
occurrence of arrhythmic events. METHODS AND RESULTS: From 282 patients with New
York Heart Association class III or IV HF who were implanted with a CRT-D device
with a fluid index feature based on intrathoracic impedance monitoring
capabilities, arrhythmic events were retrospectively analyzed in terms of the
threshold crossings. The patients were divided into 2 groups: those with fluid
index threshold crossings and those without threshold crossings. A total of 4,725
tachyarrhythmic events were reported in 129 patients (46%), and there were 221
fluid index crossing events in 145 patients (51%) during 10.0 +/- 3.2 months.
Tachyarrhythmic events were more frequently recorded in patients with threshold
crossing events than in those who did not experience a threshold crossing (3,241
vs. 1,484 events, P<0.0001). Ventricular tachyarrhythmic events mainly occurred
within the first 30 days after the threshold crossing event; however, a similar
trend was not observed for the atrial tachyarrhythmic events. CONCLUSIONS:
Intrathoracic impedance monitoring may predict arrhythmic events, especially
ventricular arrhythmias, in patients with HF and provides an additional
management tool.
PMID- 21891970
TI - Serum level of fibrinogen Aalpha chain fragment increases in chronic
thromboembolic pulmonary hypertension.
AB - BACKGROUND: The cause of chronic thromboembolic pulmonary hypertension is unknown
and there is no specific circulating biomarker for its detection. The aim of the
present study was to use proteomic analysis to detect serum biomarkers by
evaluating the serum profiles of low-molecular-weight peptides using matrix
assisted laser desorption/ionization time-of-flight/time-of-flight mass
spectrometry in patients with chronic thromboembolic pulmonary hypertension.
METHODS AND RESULTS: Serum low-molecular-weight peptide profiling using the
spectrophotometric technique was studied retrospectively in patients with chronic
thromboembolic pulmonary hypertension and in controls matched for sex and age.
The serum level of a 2989-Da peptide in the sera of patients was significantly
higher compared to that of controls. Tandem mass spectrometry indicated that the
peptide was a fragment of fibrinogen Aalpha chain (KMADEAGSEADHEGTHSTKRGHAKSRPV).
The serum level of fibrinogen Aalpha chain fragment, measured using a heavy
isotope internal standard, tended toward negative correlation with plasmin-alpha2
plasmin inhibitor complex (P=0.073) and had a positive correlation with thrombin
anti-thrombin complex (P=0.031). CONCLUSIONS: This fragment may be a potential
diagnostic biomarker for chronic thromboembolic pulmonary hyper-tension.
PMID- 21891971
TI - Prodrug approach in current drug discovery.
PMID- 21891972
TI - Transient changes in thyroid functions tests after zoledronic acid infusion.
AB - Zoledronic acid (ZA) induces an acute phase response in association with
elevation of serum cytokines, which possibly alter the 3 types of iodothyronine
deiodinase activity. We therefore studied the possible alteration in thyroid
function tests by ZA. We investigated the acute changes in serum thyroid
hormones, TSH, cortisol, white blood cells, CRP, interleukin-6 (IL-6) and tumor
necrosis factor (TNF-alpha), before (0) and 1, 2 and 3 days after iv infusion of
5 mg ZA in 24 asymptomatic postmenopausal women with osteoporosis (ZA group) in
comparison with a placebo group. In the majority of patients the ZA infusion was
associated with acute phase response and fever within 24h after infusion which
became attenuated on day three. Concurrently with increase in serum cortisol,
CRP, IL-6 and TNF-alpha, on day 1 and 2, total serum T3 (TT3), free T3 (fT3),
total T4 (TT4) and fT4 decreased with a nadir on day 2 in association with an
increase in the fT4/fT3 ratio and reverse T3 (rT3) levels. All thyroid function
changes returned to the baseline levels on day 3, with cytokines still at higher
levels, although lower than those on day 2. Serum TSH remained essentially
unchanged throughout the study. The changes in thyroid hormones were at least in
part explained by the increased TNF-alpha, but not by IL-6. ZA induces short
term changes in thyroid hormones, characteristic of nonthyroidal illness syndrome
(NTIS), in association with an increase in TNF-alpha and IL-6.
PMID- 21891973
TI - Binding of curcumin to senile plaques and cerebral amyloid angiopathy in the aged
brain of various animals and to neurofibrillary tangles in Alzheimer's brain.
AB - The binding of curcumin to senile plaques (SPs) and cerebral amyloid angiopathy
(CAA) was examined in the aged brain of various animal species and a human
patient with Alzheimer's disease (AD), together with its binding to
neurofibrillary tangles (NFTs). Brain sections were immunostained with anti
amyloid beta protein 1-42 (Abeta42) and anti-amyloid beta protein 1-40 (Abeta40)
antibodies. These sections were also stained with alkaline Congo red, periodic
acid-methenamine silver (PAM), and curcumin (0.009% curcumin solution) with or
without formic acid pretreatment. The sections from the AD brain were also
immunostained for anti-paired helical filament-tau (PHF-tau), and were stained
with Gallyas silver for NFTs. Some SPs in the AD, monkey, dog, bear, and amyloid
precursor protein transgenic mouse (APP Tg-mouse) brains contained congophilic
materials, and were intensely positive for curcumin. In addition, curcumin
labeled some diffuse SPs negative for Congo red in the AD, monkey, bear, and APP
Tg-mouse brains. In all animals, CAA was intensely positive for both Congo red
and curcumin. The specific curcumin staining activity was lost by formic acid
pretreatment. In the AD brain, NFTs positive for PHF-tau and Gallyas silver were
moderately stained with curcumin. These findings indicate that curcumin
specifically binds to the aggregated Abeta molecules in various animals, and
further to phosphorylated tau protein, probably according to its conformational
nature.
PMID- 21891974
TI - Physiological characterization of Campylobacter jejuni under cold stresses
conditions: its potential for public threat.
AB - Campylobacter jejuni is the major cause of human gastroenteritis worldwide. Under
stress conditions, C. jejuni can enter a viable but non-culturable (VBNC) state.
We found that the C. jejuni was able to enter a VBNC state by prolonged
incubation at 4 degrees C. The standard isolation methods using pre-enrichment
steps in Bolton broth or Preston broth could not detect the VBNC cells in spiked
chicken meat. The transcription levels of virulence-associated genes (flaA, flaB,
cadF, ciaB, cdtA, cdtB and cdtC) were expressed in VBNC cells but in low levels.
The VBNC cells retained the ability to invade Caco-2 human intestinal epithelial
cells in vitro. In most cases, VBNC cells failed to resuscitate in Caco-2 cells,
but in some experiments, they formed colonies after co-incubation with host
cells. Collectively, C. jejuni enters into a VBNC state at 4 degrees C and the
VBNC C. jejuni remains virulent which may possibly lead to disease in humans. C.
jejuni in VBNC state is a potential concern for food safety.
PMID- 21891975
TI - Vitamin D status in cattle with malignant catarrhal fever.
AB - The aim of the present study was to determine the vitamin D status in cattle with
malignant catarrhal fever (MCF). Twelve cattle diagnosed as MCF and 6 healthy
cattle (controls) were used in the study. Serum 1,25-dihydroxyvitamin D(3) (1,25
D), 25-hydroxyvitamin D(3) (25-D), calcium, phosphorus and parathyroid hormone
(PTH) levels were determined as 96.83 pg/ml, 30.0 ng/ml, 2.19 mmol/l, 1.57 mmol/l
and 15.21 pg/ml in MCF group and 42.33 pg/ml, 37.0 ng/ml, 2.43 mmol/l, 1.96
mmol/l and 36.08 pg/ml in controls, respectively. Although serum 1,25-D level in
the MCF group was increased (P<0.01), serum calcium (P<0.01) and PTH (P<0.05)
levels were decreased compared to the controls. The results suggest that there
might be an interaction between vitamin D status and MCF.
PMID- 21891976
TI - Nicotinamide prevents the down-regulation of MEK/ERK/p90RSK signaling cascade in
brain ischemic injury.
AB - Nicotinamide attenuates neuronal cell death related to focal cerebral ischemic
injury. This study investigated whether nicotinamide exerts a neuroprotective
effect through the activation of Raf- mitogen-activated protein kinase kinase
(MEK)-ERK and its downstream targets, including p90 ribosomal S6 kinase (p90RSK)
and Bad. Adult male Sprague-Dawley rats were treated with nicotinamide (500
mg/kg) or vehicle 2 hr after the onset of middle cerebral artery occlusion
(MCAO). Brains were collected 24 hr after MCAO. In the present study,
nicotinamide significantly reduces the volume of infarct regions and decreases
the number of positive cells by terminal deoxynucleotidyl transferase dUTP nick
end labeling (TUNEL) staining in the cerebral cortex. Nicotinamide prevents
injury-induced decrease in Raf-1, MEK1/2, and ERK1/2 phosphorylation. As part of
the downstream cascade, nicotinamide inhibits the injury-induced decrease in
p90RSK and Bad phosphorylation. Moreover, nicotinamide prevents the injury
induced increase in cleaved caspase-3 levels. These findings suggest that
nicotinamide protects neuronal cells against cerebral ischemic injury and that
MEK-ERK-p90RSK cascade activation by nicotinamide contributes to these
neuroprotective effects.
PMID- 21891977
TI - Comparison of Campylobacter contamination levels on chicken carcasses between
modern and traditional types of slaughtering facilities in Malaysia.
AB - A total of 360 samples including fresh fecal droppings, neck skins, and swab
samples was collected from 24 broiler flocks and processed by 12 modern
processing plants in 6 states in Malaysia. Ninety samples from 10 traditional wet
markets located in the same states as modern processing plants were also
collected. Microbiological isolation for Campylobacter was performed following
ISO 10272-1:2006 (E). The overall rate of contamination for Campylobacter in
modern processing plants and in traditional wet markets was 61.1% (220/360) and
85.6% (77/90), respectively. Campylobacter jejuni was detected as the majority
with approximately 70% for both facilities. In the modern processing plants, the
contamination rate for Campylobacter gradually declined from 80.6% before the
inside-outside washing to 62.5% after inside-outside washing and to 38.9% after
the post chilling step. The contamination rate for Campylobacter from processed
chicken neck skin in traditional wet markets (93.3%) was significantly (P<0.01)
higher than in modern processing plants (38.9%).
PMID- 21891978
TI - [Tinea Corporis and Tinea Pedis].
PMID- 21891979
TI - [Cryptococcosis].
PMID- 21891980
TI - [The genus Aspergillus].
PMID- 21891981
TI - [Cryptococcus].
PMID- 21891982
TI - Sensitization to Bjerkandera adusta enhances severity of cough symptom in
patients with fungus-associated chronic cough (FACC).
AB - OBJECTIVE: The aim of the present study was to clarify the influence of
sensitization to Bjerkandera adusta (B. adusta) on the clinical manifestation in
patients with fungus-associated chronic cough (FACC). METHODS: Seventeen patients
with FACC who underwent bronchoprovocation tests using an antigenic solution of
B. adusta were selected from among 21 FACC patients. We compared the
allergological findings and clinical characteristics of the FACC patients who
showed a positive reaction to the bronchoprovocation test (Allergic fungal cough
sensitized to B. adusta; AFC-Bj) with the remaining FACC patients (non AFC-Bj)
retrospectively. RESULTS: The eleven patients with AFC-Bj had a median age of 52
(range, 22-70) years, and 45.5% were female. The respective values for six
patients with non AFC-Bj were 47.5 (range, 36-60) years of age, and 33.3% were
female. The positive ratios for an immediate cutaneous reaction (45.5%; p<0.05)
and the lymphocyte stimulation test (63.6%; p<0.05) to B. adusta were found to be
significantly higher in the AFC-Bj group than in the non AFC-Bj group. The total
time required for complete remission of cough symptoms was longer (median 20,
range 12-43 weeks; p=0.0009), and the recurrence ratio of coughing was more
frequent in the AFC-Bj group in comparison to those in non AFC-Bj group (2, range
1-3 times and 0.5, range 0-1 times, respectively). CONCLUSIONS: This study
demonstrated that B. adusta, a basidiomycetous is an environmental fungus
attracting attention because of its possible role in enhancing the cough severity
of FACC patients via the sensitization to this fungus.
PMID- 21891983
TI - [Evaluation of antifungal effects of a traditional medicine containing 17
components on Trichophyton verrucosum and Malassezia pachydermatis by
microdilution].
AB - The minimum inhibitory concentration(MIC)of a traditional medicine containing 17
components against 9 strains of Trichophyton verrucosum and 13 strains of
Malassezia pachydermatis was determined using a method recommended by the
Clinical and Laboratory Standards Institute(CLSI). We also measured the MIC of
each of the 17 components using the same method, and identified the main
antifungal components.In order to evaluate MIC as a parameter of the antifungal
effects using the microdilution method, we prepared 10% working solutions from
10% (w/v)medicines. The geometric mean MIC of the medicinal extract against T.
verrucosum was 2.51%, and that against M. pachydermatis was 2.25%. The components
that exhibited antifungal effects were Rheum palmatum, Glycyrrhiza uralensis,
Magnolia obovata, Phellodendron amurense, and Geranium thunbergii.
PMID- 21891984
TI - Genetic relationship between Trichophyton mentagrophytes var. interdigitale and
Arthroderma vanbreuseghemii.
AB - Thirty-nine Arthroderma vanbreuseghemii isolates identified by mating behavior
were assayed to determine their ribosomal RNA gene internal transcribed spacer
(ITS) region genotypes. Twenty-two isolates of mating type (+) and 4 of (-)
showed the genotype of Trichophyton mentagrophytes var. interdigitale, 9 isolates
of mating type (+) and 4 of (-) showed the genotype of A. vanbreuseghemii. Nine
of 14 isolates with granular or asteroid colony morphology showed the genotype of
T. mentagrophytes var. interdigitale. There was no relation between ITS genotype
and morphology or mating type of the isolates. Isolates of T. mentagrophytes var.
interdigitale genotype were shown to include non-sexually degenerate zoophilic
strains with granular colony morphology as well as sexually and morphologically
degenerate anthropophilic strains and may be just one genotype of a heterogeneous
species, A. vanbreuseghemii.
PMID- 21891985
TI - [Study on the usefulness of rinse containing miconazole nitrate for treatment of
dandruff--a double-blind, comparative study].
AB - A double-blind, comparative study was performed on the usefulness of rinse
containing miconazole nitrate(COFRM)compared to rinse without the reagent for the
treatment of dandruff, when together with shampoo containing miconazole nitrate
(COF). This study showed that both COFR and COFRM had about 80% utility. On the
other hand, though it was not significant, enhanced improvement of itching by
COFRM compared to COFR was detected 2 weeks after start of the examination. These
results suggest that by mixing the miconazole nitrate with not only the shampoo
but also rinse, the reagent more certainly remained on the scalp so that
proliferation of the Malassezia was disturbed. Therefore, the effectiveness could
clearly be more practically felt at the early stage. Collectively, these results
indicate that COFRM was a useful rinse that allowed the effect to actually be
felt in the short-term when used together with COF and contributed to the
compliance improvement.
PMID- 21891986
TI - [Case of primary cutaneous Aspergillus caldioustus infection caused by nerve
block therapy].
AB - We report a case of primary cutaneous Aspergillus caldioustus infection caused by
nerve block therapy. A 67-year-old Japanese woman had been treated with oral
predonisolon and tacrolimus for adult-onset Still disease and interstitial
pneumonia. She presented with a 2-month-history of the lesions on the left back.
A biopsy specimen from the skin lesion revealed granulomatous inflammation with
hyphae. Culture of the pus and the skin specimen confirmed the diagnosis of
cutaneous Aspergillus infection. The sequence of beta- tubulin gene was analyzed
to confirm the mycological diagnosis and the causative agent was identified as A.
caldioustus. The patient was treated with surgical removal of the lesions and
oral 200 mg/day itraconazole but she died of infectious interstitial pneumonia
due to Pneumocystis jiroveci and Cytomegalovirus infection Percutaneous infection
may have been responsible for the incidence of localized infection. There was no
evidence of systemic aspergillosis. A. caldioustus is an emerging opportunistic
fungal pathogen in immunocompromised patients. Immunocompromised patients who
have persistent traumatic atypical skin lesion need to be ruled out of such rare
fungus infection. An opportunistic infection in Immunocompromised patients can be
life-threatening and prompt treatment based on accurate diagnosis is important.
PMID- 21891987
TI - Multiple gene analyses are necessary to understand accurate phylogenetic
relationships among Trichophyton species.
AB - Phylogenetic relationships among 34 isolates from 11 Trichophyton and 3
Arthroderma species were investigated using the nucleotide sequences from 4 DNA
regions: internal transcribed spacers (ITS) 1 and 2 including the 5.8S rRNA gene,
and the actin (ACT), DNA topoisomerase (TOP) 2 and glyceraldehyde-3-phosphate
dehydrogenase (GPD) genes. All four phylogenetic trees showed that the 34
isolates can be divided into 3 clades, the Arthroderma simii, A. benhamiae and
Trichophyton rubrum clades. The Shimodaira-Hasegawa test (SH test) revealed
significant topological incongruities within the A. benhamiae and A. simii
clades. Although branching patterns of the 3 clades were inconsistent among the
four trees, the SH test did not support these differences except that the best
tree topology according to ACT sequences was significantly rejected by the TOP
data set. These results show that multiple gene analyses are necessary to more
precisely understand the phylogenetic relationships among these fungi.
PMID- 21891988
TI - [Chromoblastomycosis caused by Fonsecaea monophora].
AB - Fonsecaea species are major etiologic agents of Chromoblastomycosis (CBM). By
genetic analysis, the genus Fonsecaea has recently been revised and classified
into F. pedorosoi, F. monophora and F. nubica. Here we report a severe chronic
case of CBM caused by F. monophora. A 55-year-old Filipino male developed
progressive skin lesions on the left lateral ankle in 1973, when he worked at a
coconut plantation in the Philippines. In 1999, he received medical treatments
for enlarged, multiple lesions on the left lower limb. When he moved to Japan in
2005, the lesions were remarkably improved and he discontinued taking the
medicine. On our first examination in October 2008, a large, reddish, cicatricial
plaque was observed on the left lower aspect of his leg. Several tumorous lesions
surrounded the plaque, indicating that the therapies performed before had been
insufficient. In addition, there were many patchy scars scattered on the thigh
and the upper part of the lower leg. The diagnosis of CBM was made by the
presence of muriform cells. Black, pulverulent colonies were yielded in culture
of skin scrapings and tissues. Although the fungus could not be identified by
microscopic morphology, r-RNA ITS sequence analysis enabled identification of
Fonsecaea monophora. The patient responded well to oral voriconazole combined
with local thermotherapy using pocket warmers. The tumoral masses subsided in 6
months, leaving pink scars with negative fungal culture. Voriconazole treatment
was continued for 18 months. It seems that drugs are insufficiently delivered in
the cicatricial lesions because of the paucity of blood flow, suggesting that a
long-term follow-up is necessary for such a case.
PMID- 21891989
TI - [Recent advances in the study of the Helicobacter pylori CagA oncoprotein].
PMID- 21891990
TI - [New approach for prevention of gastric cancer].
PMID- 21891991
TI - [Recent advance of chemotherapy in gastric cancer].
PMID- 21891992
TI - [Current progress in gastric malignant lymphoma].
PMID- 21891993
TI - [Infliximab-induced lupus erythematosus in a case of ulcerative colitis].
AB - Infliximab is widely used in the treatment of inflammatory bowel disease, but
despite its good clinical efficacy and tolerance, drug-induced autoimmune
disorders have been reported as adverse reactions and are a matter of concern.
Here we report a patient with ulcerative colitis who developed drug-induced lupus
erythematosus after infliximab treatment. While this is a rare complication of
infliximab, early diagnosis and successful management are essential.
PMID- 21891994
TI - [Ileocecal resection for idiopathic intussusception in an adult].
AB - A 60-year-old woman presented with abdominal pain and bloody stools. On
examination, there was muscular guarding and rebound tenderness in the right
abdomen. Abdominal ultrasonography and CT showed a concentric structure in the
ascending colon and ascites. Emergency surgery was performed with a preoperative
diagnosis of idiopathic intussusception. At operation, an intussusception was not
seen, but ileocecal resection was performed to remove a possible tumor. No lesion
that could have caused intussusception was identified in the resected bowel
segment, so the condition was idiopathic.
PMID- 21891995
TI - [A case of ampullary cancer with pancreas divisum treated by endoscopic
papillectomy].
AB - A 60's man underwent a medical check-up and esophagogastroduodenoscopy revealed
an exposed-type tumor at the ampulla of Vater. Endoscopic ultrasonography and
intraductal ultrasonography showed a hypoechoic mass limited to the ampulla of
Vater. Endoscopic retrograde cholangiopancreatography (ERCP) revealed a slightly
dilated ventral pancreatic duct not connected to the dorsal duct. Endoscopic
papillectomy was performed without pancreatic stent placement and his
postprocedural course was uneventful. The specimen was histologically diagnosed
as well-differentiated adenocarcinoma limited to the mucosa of the ampulla of
Vater. Endoscopic papillectomy without pancreatic stent placement can be
performed without a risk of post-ERCP pancreatitis for ampullary tumor limited to
the mucosa of the ampulla of Vater associated with pancreas divisum.
PMID- 21891996
TI - [Two cases of ileocecal carcinoid found by total colonoscopy and a review of the
literature on 16 cases].
AB - We report 2 cases of ileocecal carcinoid with review of the literature recently
reported in Japan. Both cases were diagnosed as carcinoid by colonoscopic biopsy
after ileocecal tumors had been pointed out by computed tomography. We performed
curative operation with lymph node dissection. Since multiple lymph node
metastases were shown in both cases pathologically, they were closely followed
after surgery, but no recurrence has been shown. Since SSTR2a stain was strongly
positive in both cases, octreotide, the effectiveness of which was verified in
the PROMID study might be administered if necessary. As ileocecal carcinoid has a
tendency to metastasize to other organs, careful surveillance by colonoscopy and
early detection are required. Furthermore, development of effective drugs
following octreotide and further investigation including biological and
histopathological analysis of neuroendocrine tumors including carcinoid are
necessary.
PMID- 21891997
TI - [A case of true diverticulosis of the appendix with intussusception].
AB - A 50-year-old woman who was given a diagnosis of acute appendicitis was referred
to our hospital. Because an abdominal enhanced CT revealed a dilated and cystic
lesion in the appendix, operation was performed under the diagnosis of the
suspicion of acute appendicitis or appendiceal mucocele. We performed
laparoscopic cecal resection because of the intraoperative diagnosis of
intussusception of the appendix. On the resected specimen, an elevated lesion was
identified near the base of appendix. Histopathologically it was shown to be a
true diverticulum in which the proper muscle layer are intact. To the best of our
knowledge, this is the first report of true diverticulosis of the appendix with
intussusception in the Japanese literature.
PMID- 21891998
TI - [Autoimmune hemolytic anemia in a case of chronic hepatitis type C 56 weeks after
initiation of second line treatment with pegylated interferon alpha2b/ribavirin
combination therapy].
AB - A 49-year-old man with chronic type C hepatitis had agreed to undergo pegylated
interferon alpha2b/ribavirin (RBV) combination therapy during 48 weeks, but his
hepatitis relapsed. Despite of second line treatment with the same combination,
56 weeks later, his hemoglobin decreased and the dose of RBV was decreased. He
was then admitted to our hospital because of increasing anemia and this
combination therapy was stopped. The results of blood chemistry and immunological
examination revealed he had contracted autoimmune hemolytic anemia (AIHA). In
cases of deterioration of anemia during this combination, we must discuss about
not only RBV-induced hemolytic anemia but also AIHA.
PMID- 21891999
TI - [A case of metachronous invasive ductal carcinoma concomitant with intraductal
papillary-mucinous neoplasm (IPMN) of the pancreas, which could not be detected
in contrast-enhanced CT scan performed 3 months ago].
AB - A 61-year-old man had been followed up in another hospital under diagnosis of
branch duct type IPMN for 4 years. Contrast-enhanced CT scan for regular check
performed 3 months ago revealed no increase of IPMN and no pancreatic tumor.
However, he complained of back pain after that, MRI was performed. It revealed a
solid tumor in size of 25mm diameter at the head of pancreas. The tumor was
apparent from IPMN in several imaging modalities. Pancreatoduodenectomy was
performed under diagnosis of invasive ductal carcinoma concomitant with IPMN.
Post-operative pathological findings revealed IPMN was adenoma with mild atypia,
and solid tumor was diagnosed invasive ductal carcinoma with solitary minute
liver metastasis.
PMID- 21892000
TI - [Annular pancreas with high confluence of pancreaticobiliary ducts in an adult].
AB - A man in his 80's was admitted complaining of epigastralgia, and acute
pancreatitis was diagnosed. Abdominal CT and MRI showed enlargement of the
pancreatic head encircling the descending part of the duodenum and a duodenal
diverticulum. Endoscopic retrograde cholangio-pancreatography (ERCP) revealed
annular pancreas and high confluence of pancreaticobiliary ducts. Annular
pancreas had been reported to have associated with a broad spectrum of pancreatic
anomalies, few cases of annular pancreas coexisting with high confluence of
pancreaticobiliary ducts, or pancreaticobiliary maljunction have been reported.
Combination of both anomalies was interesting from the view point of embryology.
We report a rare case of annular pancreas with high confluence of
pancreaticobiliary ducts.
PMID- 21892001
TI - Clinical characteristics of seven patients with Aeromonas septicemia in a
Japanese hospital.
AB - The genus Aeromonas comprises flagellated gram-negative rods widely distributed
in freshwater, estuarine and marine environments. Aeromonas species may cause a
variety of illnesses in humans, such as enterocolitis and septicemia, especially
in warmer tropical or subtropical environments. To recognize the characteristics
of Aeromonas septicemia in Japan, we reviewed laboratory data and medical records
in our hospital. During 11 years (from 2000 to 2010), Aeromonas septicemia was
observed in seven patients involving six female subjects. Six patients were
observed in summer or fall. The incidence of Aeromonas septicemia was about 0.07
per 1000 admissions, and two out of the seven patients died. All patients had
underlying diseases such as malignancy (six patients) and choledocholithiasis
(one patient). Two patients developed septicemia within two days after ingesting
raw seafood. Five patients developed Aeromonas septicemia > 48 h after admission.
Fever was present in all patients, and four out of the seven patients developed
septic shock. All patients developed monomicrobial septicemia. A. hydrophila was
isolated from five patients, and A. caviae and A. veronii biovar sobria were
isolated from one patient each. Most antimicrobial agents had high activity
against the isolated strains. However, a carbapenem-resistant strain appeared in
one patient during treatment and led to death. Aeromonas septicemia is uncommon
in temperate areas but can occur particularly in warm seasons. Immunocompromised
conditions and recent ingestion of raw fish or shellfish are important
characteristics of developing Aeromonas septicemia.
PMID- 21892002
TI - Prenatal diagnosis of sirenomelia in the late second trimester with three
dimensional helical computed tomography.
AB - Sirenomelia is a rare congenital syndrome that is characterized by the anomalous
development of the caudal region of the body. The anomalies include bilateral
renal agenesis or dysgenesis and the absence of the sacrum and other vertebral
defects. Sirenomelia is also known as "mermaid syndrome," because of the one
lower extremity. It is usually associated with severe oligohydramnios, and its
prognosis is very poor due to pulmonary hypoplasia that is caused by severe
oligohydramnios. The patient referred to our hospital at the gestational age of
27 weeks with fetal growth restriction and oligohydramnios. The estimated fetal
body weight was 970 g (-4.9 S.D.). We could identify only one-side extremities,
and could not identify kidneys by ultrasound examination. Because a single lower
extremity and severe oligohydramnios are characteristics of the sirenomelia, we
suspected sirenomelia. However, it could not be confirmed by ultrasound
examination because of oligohydramnios. Therefore, we performed three-dimensional
helical computed tomography (3D-CT), which is more accurate than ultrasound
examinations for prenatal diagnosis of skeletal abnormalities. 3D-CT revealed an
only one lower extremity. At 36 weeks and 5 days of gestation, the woman went
into spontaneous labor and delivered an infant weighing 870 g. The infant has a
single upper extremity and a single lower extremity. We provided supportive care
for the neonate, who however died 1 hour 36 minutes after birth from severe
respiratory distress. In summary, we report the correct diagnosis of sirenomelia
with 3D-CT in the late second trimester.
PMID- 21892003
TI - Single nucleotide polymorphisms of ABCC5 and ABCG1 transporter genes correlate to
irinotecan-associated gastrointestinal toxicity in colorectal cancer patients: a
DMET microarray profiling study.
AB - Recent findings have disclosed the role of UDP-glucuronosyltransferase (UGT)
1A1*28 on the haematological toxicity induced by irinotecan (CPT-11), a drug
commonly used in the treatment of metastatic colorectal cancer (mCRC). We
investigated the pharmacogenomic profile of irinotecan-induced gastrointestinal
(GI) toxicity by the novel drug-metabolizing enzyme and transporter (DMET)
microarray genotyping platform. Twenty-six mCRC patients who had undergone to
irinotecan-based chemotherapy were enrolled in a case (patients experiencing >=
grade 3 gastrointestinal, (GI) toxicity) - control (matched patients without GI
toxicity) study. A statistically significant difference of SNP genotype
distribution was found in the case versus control group. The homozygous genotype
C/C in the (rs562) ABCC5 gene occurred in 6/9 patients with GI toxicity versus
1/17 patients without GI toxicity (P=0.0022). The homozygous genotype G/G in the
(rs425215) ABCG1 was found in 7/9 patients with GI toxicity versus 4/17 patients
without GI toxicity (P=0.0135). The heterozygous genotype G/A in the 388G>A
(rs2306283) OATP1B1/SLCO1B1 was found in 3/9 patients with grade >= 3 GI toxicity
vs. 14/17 patients without GI toxicity (P=0.0277). DNA extracted from peripheral
blood cells was genotyped by DMET Plus chip on Affymetrix array system. Genotype
association was calculated by Fisher's exact test (two tailed) and relevant SNPs
were further analyzed by direct sequencing. We have identified 3 SNPs mapping in
ABCG1, ABCC5 and OATP1B1/SLCO1B1 transporter genes associated with GI toxicity
induced by irinotecan in mCRC patients expanding the available knowledge of
irinogenomics. The DMET microarray platform is an emerging technology for easy
identification of new genetic variants for personalized medicine.
PMID- 21892004
TI - The use of Streptomyces for immunization against mycobacterial infections.
AB - Tuberculosis is one of the leading causes of mortality produced by an infectious
agent. Different strategies including bioinformatics are currently being tested
to identify and improve vaccines against tuberculosis. Comparative genome
analysis between Streptomyces coelicolor and Mycobacterium tuberculosis suggest
that both descend from a common Actinomycete ancestor. In this work, we suggest
the use of Streptomyces as a live vector and explore the capacity of Streptomyces
immunization to induce a protective response against mycobacterial infection.
First, we compared the theoretical proteomes of S. coelicolor A3(2) with those of
M. tuberculosis H37Rv and Mycobacterium bovis AF2122/97. This study showed a high
similarity at the level of individual genes sequences with both bacteria sharing
several membrane proteins. Then, we administered Streptomyces intraperitoneally
to mice and determined its distribution by histopathology and culture; we did not
find systemic dissemination. After administration of Streptomyces through
different routes, we identified the most immunogenic, inducing strong humoral
response, as denoted by the high serum antibody titers against this organism with
cross reactivity to mycobacterial antigens. Finally, we evaluated the level of
protection elicited by the inoculation of Streptomyces in Balb/c mice challenged
with BCG. In these animals, lung bacillary loads were significantly lower than
the control non-sensitized group.. These observations, along with Streptomyces'
potential for expressing foreign proteins, suggest that Streptomyces could be an
advantageous vector in the design of new tuberculosis vaccines.
PMID- 21892005
TI - Persistence of immune response to HPV-16/18 AS04-adjuvanted cervical cancer
vaccine in women aged 15-55 years.
AB - The HPV-16/18 AS04-adjuvanted vaccine (Cervarix(r), GlaxoSmithKline Biologicals)
has been shown to induce a robust immune response in women aged 15-55 years
(103514/NCT00196937). This follow-up study is the first report of persistence of
immune response and safety profile through 48 months after vaccination in women
aged 15-55 years. In this open-label, age-stratified Phase III study in Germany
and Poland (105882/NCT00196937), healthy women aged 15-55 years received 3 doses
of HPV-16/18 AS04-adjuvanted vaccine at 0, 1, and 6 months. Anti-HPV-16/18
seropositivity rates and geometric mean antibody titers (GMTs) were assessed by
enzyme-linked immunosorbent assay (ELISA) in women aged 15-25 (n=168), 26-45
(n=186) and 46-55 years (n=177) from the time of first vaccination through 48
months. At Month 48, all subjects were seropositive for anti-HPV-16 antibodies
and 99.4% were seropositive for anti-HPV-18. Antibody kinetics were as previously
reported, with peak response at Month 7 followed by a gradual decline tending
towards a plateau in all age groups. Anti-HPV-16/18 GMTs were sustained at Month
48 in all age groups, including women aged 46-55 years in whom GMTs were
respectively 11-fold and 5-fold higher than natural infection levels. The vaccine
exhibited a clinically acceptable safety profile in all age groups. In summary,
the HPV-16/18 AS04-adjuvanted vaccine induces high and sustained immune responses
in women aged 15-55 years, with antibody levels remaining several-fold higher
than natural infection levels for at least 4 years after the first vaccine dose.
PMID- 21892006
TI - Anti-HBs antibody persistence following primary vaccination with an
investigational AS02(v)-adjuvanted hepatitis B vaccine in patients with renal
insufficiency.
AB - BACKGROUND: Three doses of the investigational AS02(v)-adjuvanted hepatitis B
virus (HBV) vaccine HB-AS02 have been shown to induce more rapid seroprotection
and higher anti-HBs antibody concentrations in patients with renal insufficiency
than four doses of FENDrixTM (HB-AS04), an adjuvanted HBV vaccine licensed in
Europe for use in this population. This study evaluated persistence of immune
response up to 36 months after primary vaccination. METHODS: In this open,
international, Phase III follow-up study, 151 pre-dialysis, peritoneal dialysis
and hemodialysis patients >=15 years of age received HB-AS02 at 0, 1, 6 months
and 149 received HB-AS04 at 0, 1, 2, 6 months. Of these, 99 and 80 returned at
Month 36, 76 and 62 of whom were eligible for inclusion in the Long-Term
According-To-Protocol (LT-ATP) cohort for descriptive analysis of antibody
persistence (mean age: 65.6 years). RESULTS: At Month 36, 89.5% of subjects in
the HB-AS02 group and 72.6% of those in the HB-AS04 group had anti-HBs antibody
concentrations >=10 mIU/ml. Anti-HBs antibody concentrations were >=100 mIU/ml in
82.9% and 35.5% of subjects, respectively. Anti-HBs geometric mean antibody
concentrations were higher in the HB-AS02 group over the 36 months of follow-up.
An exploratory "time to boost" analysis confirmed that subjects who received HB
AS02 were 2.54 times more likely than those who received HB-AS04 to have anti-HBs
antibody concentrations >=10 mIU/ml at Month 36 (p=0.013 [95% CI: 1.22, 5.31]).
CONCLUSION: HB-AS02 candidate vaccine induces high and persistent anti-HBs
antibody levels in pre-dialysis, peritoneal dialysis and hemodialysis patients,
potentially reducing the need for booster doses in this population.
PMID- 21892008
TI - Mucosally-targeted HIV-1 vaccines.
PMID- 21892007
TI - The evaluation of the opinions and attitudes of healthcare personnel of the
province Diyarbakir against influenza A (H1N1) and the vaccination.
AB - In this study it was targeted aimed to evaluate the opinions and attitudes of
healthcare personnel of the province Diyarbakir against Influenza A(H1N1) and the
vaccination and to determine the undesired effects after the vaccination. In this
descriptive study, 1691 healthcare personnel participated. The participants were
asked about their opinions about being included in the risk group or not, status
of advising and having Influenza A(H1N1) vaccination, reasons of having or not
having the vaccination, their opinions and attitudes about the pandemic and
influenza vaccine and the practices of the press and the Ministry of Health. Of
participants, 49.6% stated that they advised pandemic influenza vaccination. Of
the healthcare personnel, 35.3% stated that they had pandemic influenza vaccine.
Age, sex, profession, having seasonal influenza vaccine , using Media and the
Ministry of Health as a source of information were important factors for the
status of advising and having Influenza A(H1N1)v vaccine. The healthcare
personnel should be informed about the purpose and practices of protection
programs. It might be suggested to use press, which is regarded as the most
important communication media, in accordance with the conditions in order to
achieve suitable risk communication with the society.
PMID- 21892011
TI - From immunology to vaccinology: a journey of trial and error towards more
rational design.
PMID- 21892013
TI - Canadian Academy of Sport and Exercise Medicine position paper: abuse,
harassment, and bullying in sport.
PMID- 21892012
TI - Chk1 inhibition and Wee1 inhibition combine synergistically to impede cellular
proliferation.
AB - Inhibition of the checkpoint kinase Chk1, both as a monotherapy and in
combination with DNA damaging cytotoxics, is a promising therapeutic approach for
the treatment of a wide array of human cancers. However, much remains to be
elucidated in regard to the patient populations that will respond best to a Chk1
inhibitor and the optimal therapeutics to combine with a Chk1 inhibitor. In an
effort to discover sensitizing mutations and novel combination strategies for
Chk1 inhibition, an siRNA screen was performed in combination with the selective
Chk1 inhibitor AR458323. This screen employed a custom made library of siRNAs
targeting 195 genes, most of which are involved in cell-cycle control or DNA
damage repair. One of the most prominent and consistent hits across runs of the
screen performed in three different cancer cell lines was Wee1 kinase. MK-1775 is
a small molecule inhibitor of Wee1 that is currently in early stage clinical
trials. In confirmation of the results obtained from the siRNA screen, AR458323
and MK-1775 synergistically inhibited proliferation in multiple cancer cell
types. This antiproliferative effect correlated with a synergistic induction of
apoptosis. In cellular mechanistic studies, the combination of the two molecules
resulted in dramatic decreases in inhibitory phosphorylation of cyclin-dependent
kinases, an increase in DNA damage, alterations in cell-cycle profile, and
collapse of DNA synthesis. In conclusion, the clinical combination of a Chk1
inhibitor and a Wee1 inhibitor holds promise as an effective treatment strategy
for cancer.
PMID- 21892014
TI - Attention deficit hyperactivity disorder and the athlete: an American Medical
Society for Sports Medicine position statement.
AB - Attention deficit hyperactivity disorder (ADHD) is an important issue for the
physician taking care of athletes since ADHD is common in the athletic
population, and comorbid issues affect athletes of all ages. The health care
provider taking care of athletes should be familiar with making the diagnosis of
ADHD, the management of ADHD, and how treatment medications impact exercise and
performance. In this statement, the term "Team Physician" is used in reference to
all healthcare providers that take care of athletes. These providers should
understand the side effects of medications, regulatory issues regarding stimulant
medications, and indications for additional testing. This position statement is
not intended to be a comprehensive review of ADHD, but rather a directed review
of the core issues related to the athlete with ADHD.
PMID- 21892015
TI - Does cervical muscle strength in youth ice hockey players affect head impact
biomechanics?
AB - OBJECTIVE: To evaluate the effect of cervical muscle strength on head impact
biomechanics. DESIGN: Prospective cohort. SETTING: Field setting. PARTICIPANTS:
Thirty-seven volunteer ice hockey players (age = 15.0 +/- 1.0 years, height =
173.5 +/- 6.2 cm, mass = 66.6 +/- 9.0 kg, playing experience = 2.9 +/- 3.7
years). INTERVENTIONS: Participants were equipped with accelerometer-instrumented
helmets to collect head impact biomechanics (linear and rotational acceleration)
throughout an entire playing season. Before the season, isometric cervical muscle
strength was measured for the anterior neck flexors, anterolateral neck flexors,
cervical rotators, posterolateral neck extensors, and upper trapezius. Data were
analyzed using random intercept general mixed linear models, with each individual
player as a repeating factor/cluster. MAIN OUTCOME MEASURES: Dependent variables
included linear and rotational head accelerations. Cervical strength data were
categorized into tertiles, creating groups with high, moderate, and low strength.
Strength measures were averaged and normalized to body mass. RESULTS: Significant
differences in cervical muscle strength existed across our strength groups (P <
0.05). No differences were observed in linear or rotational acceleration across
strength groups for the anterior neck flexors (PLin = 0.399; PRot = 0.060),
anterolateral neck flexors (PLin = 0.987; PRot = 0.579), cervical rotators (PLin
= 0.136; PRot = 0.238), posterolateral neck extensors (PLin = 0.883; PRot =
0.101), or upper trapezius (PLin = 0.892; PRot = 0.689). CONCLUSIONS: Our
hypothesis that players with greater static neck strength would experience lower
resultant head accelerations was not supported. This contradicts the notion that
cervical muscle strength mitigates head impact acceleration. Because we evaluated
cervical strength isometrically, future studies should consider dynamic (ie,
isokinetic) methods in the context of head impact biomechanics.
PMID- 21892016
TI - Web-based portrayal of platelet-rich plasma injections for orthopedic therapy.
AB - OBJECTIVE: Previous studies on Internet sites indicate that some Web-based
material on sport-related orthopedic disorders is biased, misleading, and
conveying inaccurate health messages. Our hypothesis was that Web-based content
on platelet-rich plasma (PRP) therapy from commercial sites is frequently
imbalanced, biased, and incorrect. DESIGN: In this descriptive epidemiological
study, an Internet search was performed using the search engine Google using
keywords "platelet-rich plasma" and "platelet-rich plasma therapy." Exclusion
criteria were medical or scientific journal, encyclopedia, or medical reference
articles. SETTING: Publicly available Internet resources were examined.
PARTICIPANTS: Web-based resources identified through an Internet search engine.
MAIN OUTCOMES MEASURES: Using content analysis, we assessed each reference for
factual accuracy, themes depicted, opinions, and recommendations. RESULTS: Our
search yielded a total of 44 articles, of which 26 unique articles met the
inclusion criteria. Twenty of 26 articles (77%) made inappropriate statements
regarding evidence, treatment, efficacy, or safety of PRP injections. Twenty
three articles (88%) were medically imbalanced, discussing only perspectives of
physicians favoring the treatment. Only 8 articles (31%) discussed alternative
treatment options. Twenty-two articles (85%) used individual dramatized patient
experiences to demonstrate the effectiveness of PRP. Nineteen articles (73%) made
unsubstantiated promises regarding health outcomes of PRP injections. Many
accessed Internet sites were from medical practices or institutions where PRP
therapy was used. CONCLUSIONS: Our data indicate that some Web-based references
to PRP therapy are biased and inaccurate. We are concerned that some readers will
misinterpret such easily available, but poorly controlled, information,
potentially leading to use of unproven therapies.
PMID- 21892017
TI - The impact of ST elevation on athletic screening.
AB - OBJECTIVE: To demonstrate the prevalence and patterns of ST elevation (STE) in
ambulatory individuals and athletes and compare the clinical outcomes. DESIGN:
Retrospective cohort study. ST elevation was measured by computer algorithm and
defined as >=0.1 mV at the end of the QRS complex. Elevation was confirmed, and J
waves and slurring were coded visually. SETTING: Veterans Affairs Palo Alto
Health Care System and Stanford University varsity athlete screening evaluation.
PATIENTS: Overall, 45 829 electrocardiograms (ECGs) were obtained from the
clinical patient cohort and 658 ECGs from athletes. We excluded inpatients and
those with ECG abnormalities, leaving 20 901 outpatients and 641 athletes.
INTERVENTIONS: Electrocardiogram evaluation and follow-up for vital status. MAIN
OUTCOME MEASURES: All-cause and cardiovascular mortality and cardiac events.
RESULTS: ST elevation in the anterior and lateral leads was more prevalent in men
and in African Americans and inversely related to age and resting heart rate.
Athletes had a higher prevalence of early repolarization even when matched for
age and gender with nonathletes. ST elevation greater than 0.2 mV (2 mm) was very
unusual. ST elevation was not associated with cardiac death in the clinical
population or with cardiac events or abnormal test results in the athletes.
CONCLUSIONS: Early repolarization is not associated with cardiac death and has
patterns that help distinguish it from STE associated with cardiac conditions,
such as myocardial ischemia or injury, pericarditis, and the Brugada syndrome.
PMID- 21892018
TI - The value of anterior inguinal exploration with local anesthesia for better
diagnosis of chronic groin pain in soccer players.
PMID- 21892021
TI - An hour of physical activity per day helps to keep weight gain at bay.
PMID- 21892020
TI - In response to: is there evidence for mandating electrocardiogram as part of the
pre-participation exam?
PMID- 21892022
TI - Type of running shoe, individual footprint, and risk of injury in Marine Corps
training.
PMID- 21892023
TI - Does the addition of a corticosteroid injection to exercise therapy improve
outcomes in subacromial impingement syndrome?
PMID- 21892024
TI - Manual therapy and home exercise for chronic rotator cuff disease.
PMID- 21892025
TI - Imaging of extranodal lymphoma with PET/CT.
AB - Hodgkin disease (HD) and non-Hodgkin lymphoma (NHL) represent a spectrum of
malignant neoplasms arising from the lymphoid system with an incidence of around
8% of all malignancies. Although they are generally known as tumors of lymph
nodes, 25% to 40% of HD/NHL tumors, especially NHL, arise at extranodal sites
along the gastrointestinal tract, head and neck, orbit, central and peripheral
nervous system, thorax, bone, skin, breast, testis, thyroid, and genitourinary
tract. Extranodal involvement is an important pretreatment prognostic factor for
patients with lymphoma and its incidence has increased in the past 2 decades.
Imaging plays an important role in the noninvasive pretreatment assessment of
patients with extranodal lymphoma. This involvement can be subtle and may be
overlooked during computed tomography (CT). Positron emission tomography/CT
(PET/CT) has evolved into an important imaging tool for evaluation of lymphomas,
facilitating the detection of affected extranodal sites even when CT shows subtle
or no obvious lesions. Familiarity with extranodal manifestations and suggestive
PET/CT features in different sites is important for accurate evaluation of
lymphoma. This article reviews the extranodal PET/CT imaging findings regarding
HD and NHL.
PMID- 21892026
TI - Progressive transformation of germinal centers in a pediatric patient: initial
evaluation and follow-up with serial F-18 FDG PET/CT imaging.
PMID- 21892027
TI - Huge tumor thrombus of chondrosarcoma on FDG PET/CT.
PMID- 21892028
TI - F-18 fluoride PET/CT in the detection of radiation-induced pelvic insufficiency
fractures.
PMID- 21892029
TI - Stress fracture of the first metatarsal in a fencer: typical appearance on bone
scan and pinhole imaging.
PMID- 21892030
TI - Bilateral cutaneous diffuse large B-cell lymphoma on FDG PET/CT.
PMID- 21892031
TI - Dynamic O-(2-[18F]fluoroethyl)-L-tyrosine (F-18 FET) PET for glioma grading:
assessment of individual probability of malignancy.
AB - PURPOSE: (1) To investigate the diagnostic value of some O-(2-[F]fluoroethyl)-L
tyrosine (F-18 FET) indices derived from the dynamic acquisition to differentiate
low-grade gliomas from high-grade; (2) to analyze the course of tumor time
activity curves (TACs); and (3) to calculate the individual probability of a high
grade glioma using the logistic regression. METHODS: Seventeen low-grade (WHO I
II) and 15 high-grade (WHO III-IV) gliomas were studied with dynamic F-18 FET
PET. Regions of interests were drawn over the tumor and contralateral brain, and
TACs were analyzed. We considered early standardized uptake value (SUV), middle
SUV, late SUV, early-to-middle SUV tumor ratio, early-to-late SUV tumor ratio;
time to peak (Tpeak), in minutes, from the beginning of the dynamic acquisition
up to the maximum SUV of the tumor; and SoD (sum of the frame-to-frame
differences). To assess the individual probability of high-grade, logistic
regression was also used. RESULTS: High-grade gliomas showed significantly (P <
0.0001) higher values when compared with low-grade gliomas in early SUV, early-to
middle ratio, early-to-late ratio, Tpeak, and SoD. For the grading of gliomas,
the best indices were early-to-middle ratio and Tpeak providing a diagnostic
accuracy of 94%. TACs analysis provided an 87% diagnostic accuracy. For
individual high-grade diagnosis, the logistic regression provided 93%
sensitivity, 100% specificity, and 97% accuracy. CONCLUSION: Early-to-middle SUV
tumor ratio and Tpeak were the best indices for assessing the grading of gliomas.
Since early-to-middle ratio derives from the first 35 minutes of the dynamic
acquisition, the PET study could last half an hour instead of 1 hour. By logistic
regression, it is possible to assess the individual probability of high-grade,
useful for prognosis and treatment.
PMID- 21892032
TI - Incidence and intensity of F-18 FDG uptake after vaccination with H1N1 vaccine.
AB - OBJECTIVE: To analyze the effect of H1N1 influenza A virus vaccination in
patients referred for staging or follow-up F-18 fluorodeoxyglucose (FDG) positron
emission tomography/computed tomography (PET/CT) for different malignant tumors.
METHOD AND MATERIALS: Medical history of all patients scheduled for FDG PET/CT
during the national vaccination campaign against H1N1 was evaluated for recent
vaccination. Site of injection and time between PET/CT and the date of
vaccination (dTime) was determined. A difference in the maximum SUV between ipsi-
and contralateral deltoid muscle or axillary lymph node of more than 0.5 was
determined as positive reaction. The best cut-off dTime for still visible
reaction was calculated. All patients with positive ipsilateral lymph node were
clinically followed. Institutional Review Board approval was waived. RESULTS: Of
269 patients, 58 (21.5%) were vaccinated for the H1N1 within 4 weeks prior to
PET/CT (mean, 14.5 +/- 8.7 days). Of them, 17 (29.3%) patients had FDG-positive
lymph nodes (mean SUV, 1.43 +/- 1.06), with a dTime range from 1 to 14 days. Only
2 of them had no increased FDG uptake in the ipsilateral deltoid muscle. The area
under the receiver operator characteristic curve revealed a strong relation
between time delay (dTime) and axillary activity (AUC, 0.9; 95% confidence
interval, 0.816-0.983) with a cutoff at 8 days (Youden Index). At follow-up
(mean, 183 days; range, 173-196 days), no patient was found to have required
treatment or signs of axillary lymphadenopathy. CONCLUSIONS: H1N1 vaccination can
cause false-positive FDG PET/CT findings, when administered less than 14 days
before the test, with the highest probability if the vaccination was administered
less than 8 days ago. Increased FDG activity in the ipsilateral deltoid muscle is
a key finding for accurate interpretation of increased FDG activity in axillary
lymph nodes.
PMID- 21892033
TI - F-18 FDG PET/CT contributes to more accurate detection of lymph nodal metastasis
from actively proliferating esophageal squamous cell carcinoma.
AB - PURPOSE: Evaluating the status of disease progression is critical for planning a
therapeutic strategy for esophageal cancer. In this regard, F-18
fluorodeoxyglucose-labeled positron emission tomography (PET) is one of the most
useful diagnostic modalities. However, there is room to improve its diagnostic
performance, such as distinguishing lymph nodal metastases from false positives.
In this study, we examined the diagnostic accuracy of fluorodeoxyglucose PET
accompanied by computed tomography imaging (PET/CT) to detect regional lymph
nodal metastasis from esophageal squamous cell carcinoma (ESCC). METHODS: A total
of 102 patients diagnosed as ESCC were subjected to this study. These patients
had a preoperative PET/CT examination to evaluate the existence of metastasis.
The values of maximum standardized uptake value (SUVmax) in primary tumors and in
metastasized lymph nodes were measured to analyze their relationship with various
clinicopathologic characteristics including the status of tumor cell
proliferation, which was assessed by immunohistochemistry for Ki-67. RESULTS: The
SUVmax of the primary tumor was positively correlated with tumor size and vessel
invasion, and was positively related with the SUVmax of lymph nodal metastasis,
especially in cases of poorly differentiated ESCC. The SUVmax of metastasized
lymph nodes was higher in larger-sized metastasized lymph nodes, whereas the Ki
labeling index of lymph nodal metastasis was positively related with the SUVmax
per unit area (SUVmax/mm). The diagnostic accuracy of PET/CT (87.3%) was higher
than that of conventional CT scans (78.4%). CONCLUSIONS: The improved diagnostic
accuracy of PET/CT can be explained by its ability to detect actively progressive
metastasis at an early phase regardless of size.
PMID- 21892034
TI - Prediction of the outcome of definitive chemoradiation by decrease in F-18 FDG
uptake in nonsurgical esophageal squamous cell cancer.
AB - PURPOSE: To analyze the predictive value of fluorine-18 fluorodeoxyglucose (F-18
FDG) uptake using positron emission tomography and computed tomography to assess
the outcome of definitive chemoradiation in nonsurgical esophageal squamous cell
carcinoma. MATERIALS AND METHODS: A retrospective review of 61 patients with
clinical stage T1-4, N0/1, and M0 was performed. Chemoradiation included
chemotherapy with fluorouracil plus cisplatin and irradiation with a total dose
of 5600 to 6400 centigray (cGy). Positron emission tomography combined with
computed tomography scans were acquired before and during the therapy. The
correlation between a decrease in FDG uptake and 5-year progression-free survival
(PFS) was analyzed by a receiver operating characteristic curve method to
determine a cutoff value. A 5-year overall survival (OS), PFS, and cancer
specific survival (CSS) were evaluated by Kaplan-Meier method. RESULTS: The mean
of standardized uptake value decreased significantly during chemoradiation (P =
0.001). Using 51% reduction of FDG uptake as a cutoff value provided a
sensitivity of 76.9% and a specificity of 79.2% in predicting PFS (P = 0.000).
The positive predictive value and negative predictive value were 50% and 95%,
respectively. PFS, CSS, and OS were significantly different when grouped by this
cutoff value (P < 0.05), and when dichotomized by stage T1-2 and T3-4 (P < 0.05),
simultaneously with a decrease of 51% or more in FDG uptake. CONCLUSIONS: This
study showed that a 51% decrease in FDG uptake during chemoradiation was a
sensitive and accurate cut-point for predicting PFS. Stage T and decrease in FDG
uptake were 2 independent predictive factors for 5-year PFS, CSS, and OS.
PMID- 21892035
TI - Underperformance of gallium-67 scan is greater in relapse than in initial
staging, compared with FDG PET.
AB - PURPOSE: The purpose of this study is to evaluate the performance of gallium-67
scan (GS) and F-18 fluorodeoxyglucose (FDG) PET scan in lymphoma staging and
recurrence detection by comparing the 2 imaging studies in the same patient.
MATERIALS AND METHODS: A total of 42 patients from the period between July 2002
and May 2006 were included in this study. Of the 42 patients, 6 had Hodgkin
disease and 36 had non-Hodgkin lymphomas. All of them underwent one or more FDG
PET scans and also underwent corresponding GS performed within 7 days of FDG PET,
for staging or detection of lymphoma recurrence. Among the non-Hodgkin lymphoma
cases, 18 were diffuse large B-cell lymphoma, 10 were follicular center cell
lymphoma, and 8 were of other types. Of the total 46 pairs of imaging performed
in these 42 patients, 27 were for staging, and 19 for restaging after recurrence.
RESULTS: In all these studies, FDG PET detected 230 lesion sites, whereas GS
detected 85 lesion sites. All of the lesions detected by GS were noted on FDG
PET, whereas GS detected only 37.0% of the lesions detected by FDG PET. Among the
27 studies for staging, FDG PET detected 120 lesions, whereas GS detected 68
lesions (56.7%). In the 19 images taken for relapse, FDG PET detected 110
lesions, whereas GS detected only 17 (15.5%). CONCLUSIONS: FDG PET is superior to
GS in staging and detecting all types of lymphoma. The difference is notably more
significant in recurrence detection.
PMID- 21892036
TI - Diagnostic performance of In-111 capromab pendetide SPECT/CT in localized and
metastatic prostate cancer.
AB - PURPOSE: To determine the diagnostic performance of In-111 capromab pendetide
single photon emission computed tomography/computed tomography (SPECT/CT), in the
prostate gland, seminal vesicles, and lymph nodes via correlation to a gold
standard of histopathology. MATERIALS AND METHODS: In this study, we
retrospectively reviewed all In-111 capromab pendetide SPECT/CT acquired at our
institution for dedicated histopathology within a 4-month period. Statistical
measures of performance were calculated in terms of glandular, seminal vesicle,
and lymph node activity. The accuracies of glandular and seminal vesicle activity
were then correlated to the indices of risk, including the stage, Gleason score,
and prostate-specific antigen level, as well as the treatment history. RESULTS:
Of the 200 scans meeting the criteria of our study, 197 had prostate gland
histopathology, 94 had bilateral seminal vesicle histopathology, and 5 had a
total of 43 resected lymph nodes for comparison. The overall accuracies of the
scan results were determined to be 77.7% (area under the receiver operating
characteristic curve [AUC] = 0.539) for the gland, 67.0% (AUC = 0.510) for the
seminal vesicles, and 93.0% (AUC = 0.787) for lymph nodes. For glandular activity
alone, scan accuracy was found to significantly improve with increasing Gleason
score (P < 0.0001), and in a setting prior to treatment (P = 0.0005). No
statistically significant differences were found between different scan groups
with regards to seminal vesicle activity. CONCLUSIONS: The results of this study
provide substantiating evidence In-111 capromab pendetide can be used to
accurately diagnose lymph node metastases from primary cancers of the prostate;
however, they also suggest the test may have limited utility in diagnosing tumors
within the prostate gland and seminal vesicles.
PMID- 21892037
TI - The value of F-18 FDG PET in patients with primary sclerosing cholangitis and
cholangiocarcinoma using visual and semiquantitative analysis.
AB - AIM: The aim of this study was to assess the value of 18F-fluorodeoxyglucose
positron emission tomography (F-18 FDG PET) in patients with suspected
cholangiocarcinoma (CC). METHODS: Sixty-five patients with suspected CC were
included in this retrospective study. All patients had whole-body FDG PET/CT
imaging at a mean time of 100 minutes after administration of FDG injection. PET
studies were analyzed using visual analysis and semiquantitative analysis.
Semiquantitative analysis was performed using maximum standardized uptake value
(SUVmax) and tumor-to-normal liver (T/N) ratio. Malignancy was defined using the
following criteria: (1) Visual analysis; (2) SUVmax >3.9; (3) T/N >1.6. Analysis
of variance test and receiver operating characteristic analysis were used in
statistical analysis. P < 0.05 was considered significant. RESULTS: Follow-up
revealed 47 patients with CC. The average SUVmax in CC tumors was 8 +/- 2.9
versus 3 +/- 1 in benign lesions (P < 0.0001). The average T/N in malignant
lesions was 3.5 +/- 1.8 versus 1.3 +/- 0.4 in benign lesions (P < 0.0001).
Sensitivity, specificity, and accuracy for the 3 criteria were as follows: (1)
96%, 67%, and 88%; (2) 94%, 83%, and 91%; (3) 89%, 78%, and 86%. CONCLUSION: F-18
FDG PET semiquantitative analysis using SUVmax >3.9 as criterion for malignancy
could improve the diagnostic accuracy in differentiating malignant from benign
lesions in patients with suspected CC.
PMID- 21892038
TI - F-18 FDG PET/CT in rectal carcinoma: where are we now?
AB - This short review aims at summarizing the available data pertaining to the
usefulness of F-18 FDG PET/CT in rectal cancer. It is specifically focused on the
emerging role of F-18 FDG PET/CT in assessing the response to neoadjuvant
combined radiochemotherapy in locally advanced rectal cancer. It also probes the
possibility of finding standardized PET/CT parameters that are capable of
differentiating responders from nonresponders in whom therapeutic approach could
be modified.
PMID- 21892039
TI - Noninvasive and invasive staging of ovarian cancer: review of the literature.
AB - The use of F-18 FDG PET/CT in the characterization of doubtful adnexal findings
and in the staging of ovarian cancer is being extensively evaluated. The purpose
of our article is to review the literature and to add our experience to the
published works. We concluded that F-18 FDG PET/CT could represent an important
method in addition to other imaging modalities (transvaginal ultrasound-, and
contrast-enhanced computed tomography) in the characterization of adnexal masses
and in the staging of ovarian cancer patients, particularly in assessing the
presence of extra-abdominal metastatic spread.
PMID- 21892040
TI - Preliminary study on the evaluation of olfactory neuroblastoma using PET/CT.
AB - PURPOSE: Because of paucity of data available regarding the utility of PET/CT in
the diagnosis and staging of patients with olfactory neuroblastoma (ONB), we
retrospectively analyzed the efficacy of PET/CT in 9 patients with ONB. MATERIALS
AND METHODS: Whole-body F-18 FDG PET/CT was performed in 7 patients with newly
diagnosed ONB, as well as in 1 patient with recurrence and in 1 patient with
remnant tumor. Regional C-11 choline (C-11 CHO) PET/CT was performed in 2
patients with negative F-18 FDG scans. The lesion with intense radiotracer uptake
was suggested as positive for tumor and the results of PET/CT were compared with
conventional staging examinations. RESULTS: F-18 FDG PET/CT was positive in 7/9
(77.7%) patients with ONB. In 2 patients with negative F-18 FDG, the lesions were
C-11CHO avid. Both the primary tumors and its invasions were clearly delineated
by F-18 FDG or C-11 CHO PET/CT. SUVmax of F-18 FDG in the primary tumor was 6.37
+/- 4.22 and did not correlate with lesion size (F-18 FDG/size: r = 0.097, P =
0.820). Whole-body F-18 FDG PET/CT detected parapharyngeal and cervical lymph
node metastases in 2 patients, lung metastases in 1 patient, liver metastases in
1 patients, and bone metastases in 2 patients. PET/CT altered the stages of 3 of
9 patients with upstaging in 2 patients and downstaging in 1 patient.
CONCLUSIONS: PET/CT may be useful for the diagnosis and staging of ONB.
PMID- 21892041
TI - Radioiodine dilution due to levothyroxine when using recombinant human thyroid
stimulating hormone: case report and discussion.
AB - Following thyroidectomy, a patient with papillary thyroid carcinoma was found to
have widespread radioiodine-avid metastatic lesions and was treated with I-131.
When follow-up scanning was anticipated, his 24-hour urinary iodine was found to
be 254 MUg. Because of the interim development of moderate renal failure, he was
considered to be a candidate for preparation using recombinant human thyroid
stimulating hormone (rhTSH), with continued administration of thyroid hormone. To
decrease the dilution effect of nonradioactive iodine, levothyroxine was replaced
with liothyronine, with a resultant decrease in 24-hour urinary iodine to less
than 110 MUg, followed by radioiodine imaging, dosimetry, and retreatment with I
131 for persistent, though improved disease. The dilution of radioiodine with
nonradioactive iodine from any source may degrade image quality and reduce the
effectiveness of therapy. The use of rhTSH has advantages in the evaluation and I
131 treatment of differentiated thyroid cancer. There is evidence that this
approach results in a longer effective half-time of radioiodine in remnants,
improved bone marrow dosimetry, and comparable remnant ablation efficacy as
compared with hormone withdrawal. However, it entails continued administration of
thyroid hormone, which is a source of nonradioactive iodine. Reduction of the
nonradioactive iodine burden of levothyroxine by conversion to liothyronine
warrants investigation as possibly enhancing the advantages of rhTSH.
PMID- 21892042
TI - Tips for leaders, part III: leadership during a time of change.
AB - Good leaders anticipate the need for change and manage change well. However, the
members of an organization generally oppose major change. There are many risks
for the people and the group during a period of significant change. It is
important that the leader communicates the reasons for the changes, involves as
many people as possible in the process, develops a clear plan for implementation,
and communicates well with the followers.
PMID- 21892043
TI - Neurocutaneous melanosis: assessment on F-18 FDG and [11C]-methionine PET/CT and
MRI.
PMID- 21892044
TI - Ga-68-DOTA-NOC PET/CT reveals active Graves' orbitopathy in a single extraorbital
muscle.
PMID- 21892045
TI - Lesions of multiple myeloma adjacent to the skull are better visualized on F-18
fluoroethyldimethyl-2-hydroxyethylammonium (FECH) PET images than on F-18 FDG PET
images.
PMID- 21892047
TI - A case of primary leptomeningeal melanoma evaluated on FDG PET/CT.
PMID- 21892046
TI - Alveolar rhabdomyosarcoma with neuroendocrine differentiation detected by Ga-68
DOTA-NOC PET/CT: a case report.
PMID- 21892048
TI - Lung cancer metastasis to an adrenal myelolipoma detected by PET/CT.
PMID- 21892049
TI - Sarcomatoid hepatocellular carcinoma diagnosed by FDG PET/CT.
PMID- 21892050
TI - Hypertrophic osteoarthropathy seen with NaF18 PET/CT bone imaging.
PMID- 21892051
TI - Rubidium-82 uptake in metastases from pheochromocytoma on PET myocardial
perfusion images.
PMID- 21892052
TI - F-18 FDG PET/CT findings of aggressive NK-cell leukemia.
PMID- 21892053
TI - F-18 FDG PET/CT of a uterine smooth-muscle tumor of unknown malignant potential.
PMID- 21892054
TI - Congenital triple kidney in a patient evaluated by F-18 FDG PET/CT for oncologic
reason.
PMID- 21892055
TI - PET appearance of tuberculous empyema necessitans.
PMID- 21892056
TI - F-18 FDG PET/CT findings of huge cholesterol granuloma in multiple ribs.
PMID- 21892057
TI - F-18 FDG PET/CT imaging of a hidradenocarcinoma of head and neck.
PMID- 21892058
TI - Simultaneous rectal schwannoma and prostatic adenocarcinoma detected on FDG
PET/CT.
PMID- 21892059
TI - Mediastinal teratoma with metastatic lymph node: misleading normal thymic uptake
of F-18 FDG.
PMID- 21892060
TI - Fibrodysplasia ossificans progressiva detected on FDG PET/CT.
PMID- 21892061
TI - Anthracotic solitary pulmonary nodule imitating lung malignancy on F-18 FDG
PET/CT imaging.
PMID- 21892062
TI - Incidental finding of multiple cavernous angiomas on FDG PET/CT.
PMID- 21892063
TI - Absence of FDG uptake in a trauma patient with compromised vasculature as
evidence of tissue nonviability.
PMID- 21892065
TI - False positive F-18 FDG PET/CT in neck and mediastinum lymph nodes due to
anthracosis in a buccal cancer patient.
PMID- 21892064
TI - FDG PET/CT demonstration of pancreatic metastasis from prostate cancer.
PMID- 21892067
TI - Current readings in nuclear medicine.
PMID- 21892066
TI - Incidental finding of appendiceal tubular adenoma by F-18 FDG PET/CT.
PMID- 21892068
TI - Pharmacotherapy and posttraumatic cephalalgia.
PMID- 21892070
TI - Holy grail or false idol-and how to tell the difference.
PMID- 21892071
TI - Ileal pouch microbial diversity.
PMID- 21892073
TI - Is preoperative serum albumin enough to ensure nutritional status in the
development of surgical site infection following gastrointestinal surgery?
PMID- 21892074
TI - Prediction of posthepatectomy recurrence of hepatocellular carcinoma by
circulating cancer stem cells: a prospective study.
AB - OBJECTIVE: To investigate whether circulating cancer stem cells (CSCs) of
hepatocellular carcinoma (HCC) can predict its recurrence after hepatectomy.
BACKGROUND: HCC recurrence frequently occurs within the first year after
hepatectomy, probably due to circulating tumor cells that have been shed from the
primary tumor before hepatectomy. Because CSCs are more likely to initiate tumor
growth than mature cancer cells, a high level of circulating CSCs may be a hint
for HCC recurrence. METHODS: Multicolor flow cytometry was used to detect the
number of circulating CSCs (CD45CD90CD44) in the peripheral circulation of 82 HCC
patients 1 day before hepatectomy. The patients were monitored by CT or MRI for
recurrence every 3 months. RESULTS: Forty-one (50%) patients had recurrence after
a median follow-up period of 13.2 months (range, 1.3-57.1 months). Patients with
recurrence had a higher median level of circulating CSCs than patients without
recurrence (0.02% vs. 0.01%; P < 0.0001). Circulating CSCs > 0.01% predicted
intrahepatic recurrence (relative risk 3.54; 95% CI, 1.41-8.88; P = 0.007) and
extrahepatic recurrence (relative risk 10.15; 95% CI, 3-34.4; P = 0.0002).
Patients with >0.01% circulating CSCs had a lower 2-year recurrence-free survival
rate (22.7% vs. 64.2%; P < 0.0001) and overall survival rate (58.5% vs. 94.1%; P
= 0.0005) than patients with <=0.01% circulating CSCs. On multivariable analysis,
circulating CSCs > 0.01%, tumor stage and tumor size were independent factors
predicting recurrence-free survival. CONCLUSIONS: Circulating CSCs predicted
posthepatectomy HCC recurrence with high accuracy. They may be the target of
eradication in the prevention of posthepatectomy HCC metastasis and recurrence.
PMID- 21892075
TI - Probiotics and prebiotics in the management of irritable bowel syndrome: a
review of recent clinical trials and systematic reviews.
AB - PURPOSE OF REVIEW: Irritable bowel syndrome (IBS) is a common disorder of the
gastrointestinal tract, about which there has been considerable recent research.
The aim of this article is to briefly review the aspects of IBS pathogenesis that
involve the gastrointestinal microbiota, and then to critically appraise the
recent and emerging evidence for the use of probiotics and prebiotics in its
management. RECENT FINDINGS: The increased risk of developing IBS following
gastroenteritis and the co-existence of dysbiosis, elevated luminal gas
production and immune activation, indicate that the gastrointestinal microbiota
may be a therapeutic target in IBS. Most systematic reviews indicate that
probiotics have a beneficial impact on global IBS symptoms, abdominal pain and
flatulence. However, recent trials indicate that different probiotics can
improve, have no effect, or even worsen symptoms, confirming that benefits are
likely to be strain and symptom-specific. There are no recent clinical trials of
prebiotics in IBS, although previous studies indicate potential benefit at lower
doses. SUMMARY: Clearly, some probiotics have considerable potential in the
management of IBS; however, the benefits are likely to be strain-specific.
Preliminary studies suggest low doses of prebiotics may improve symptoms of IBS,
although further robust clinical trials are required.
PMID- 21892076
TI - The thin-fat phenotype and global metabolic disease risk.
AB - PURPOSE OF REVIEW: There has been a great deal of interest in the thin-fat
phenotype evident in Asian Indians and its risk associations in the epidemic of
noncommunicable chronic disease associated with it. The cause of this phenotype
is probably related to lifestyle and environment; however, genotypic and
epigenetic modifications in utero also have been considered. RECENT FINDINGS: The
thin-fat phenotype occurs when fat is added to an already thin frame. This may
occur with rural-urban migration, when positive energy balance occurs in a
migrating population who were predominantly thin and physically active to begin
with. The role of the pre-existing skeletal muscle mass and its interaction with
newly deposited fat must be considered. The thin-fat phenotype may be programmed
during fetal growth, but the evidence for this phenomenon is still not completely
clear. Finally, although there is increased chronic disease morbidity at lower
BMI and younger age in south Asian populations, BMI-related mortality does not
appear to follow this trend. SUMMARY: At present, the weight of evidence appears
to link the thin-fat phenotype to an environmental and lifestyle phenomenon
occurring in previously thin people. This is particularly relevant in India,
given the pace of transition over the last two decades.
PMID- 21892077
TI - Update on micronutrients in bariatric surgery.
AB - PURPOSE OF REVIEW: Obesity is a growing worldwide epidemic. Obese patients are
often deficient in micronutrients despite macronutrient excess. Bariatric surgery
is an increasingly utilized modality in the treatment of obesity and obesity
related conditions. Bariatric surgery itself may cause or exacerbate
micronutrient deficiencies with serious sequelae. This review will focus on
perioperative strategies to detect, prevent and treat micronutrient deficiencies
in patients undergoing bariatric surgery, and will highlight practical and
clinical aspects of these nutritional problems. RECENT FINDINGS: Micronutrient
deficiency is common in obese patients undergoing bariatric surgery both
preoperatively and postoperatively. Bariatric procedures with a malabsorptive
component are more likely to result in postoperative micronutrient deficiency. A
system-based approach will facilitate clinical suspicion of specific or combined
micronutrient deficiencies, leading to appropriate laboratory tests for
confirmation. Supplementation by the oral route is always tried first, reserving
parenteral administration for specific situations. SUMMARY: Clinicians should be
aware that micronutrient deficiencies are common in obese patients who may have
macronutrient excess. Micronutrient deficiency may exist preoperatively or be
caused by bariatric procedures themselves. A systematic and team-based approach
will decrease morbidity associated with delays in diagnosis and treatment.
PMID- 21892078
TI - Iodine fortification: why, when, what, how, and who?
AB - PURPOSE OF REVIEW: To highlight current issues regarding the role of iodine
fortification in correcting and preventing iodine deficiency. Universal salt
iodization (USI) is recommended, wherein all salt is iodized; however, a more
graduated approach may be warranted. RECENT FINDINGS: Iodine deficiency is
widespread and has re-emerged in countries such as Australia, New Zealand and the
UK. As well as supplementation in groups such as pregnant and lactating women who
have increased iodine requirements, public health strategies to improve iodine
intakes include voluntary or mandatory fortification of the food supply. A key
player in the process of fortification is the food industry, wherein
misperceptions that the addition of iodized salt to foods alters taste and colour
still persist and legislation in some countries that prohibits its addition to
manufactured foods, can result in a reluctance to support USI. SUMMARY:
Ameliorating iodine deficiency on a population level in countries with mild-to
moderate deficiency is warranted. Risk of both inadequate and excess iodine
intakes requires regular monitoring to accompany fortification programmes as well
as strategies to address subpopulations at risk. More sensitive indicators of
recent iodine status are needed to rapidly assess the impact of fortification on
improving the status.
PMID- 21892079
TI - Impending therapies for Duchenne muscular dystrophy.
AB - PURPOSE OF REVIEW: As the first genetic disease for which the culpable gene was
identified by positional cloning, Duchenne muscular dystrophy has served as a
paradigm for therapeutic approaches to neuromuscular disease, in which role it
has proved especially testing. The large mass and broad distribution of the
target tissue, skeletal muscle, have stretched the patience and ingenuity of
those seeking therapeutic delivery of the largest known gene. The most promising
recent advances are summarized in this article. RECENT FINDINGS: The main
obstacle to genetic therapies has been the development of vectors able to
efficiently deliver large, potentially therapeutic, genetic constructs to the
large and widely dispersed mass of body musculature. Recombinant viral vectors
that efficiently transduce muscle are unable to carry the full-length construct.
Myogenic cells that are able both to carry full-length genes and to repair
muscles are technically challenging to produce in sufficient quantity. A recent
promising approach is the use of agents that obviate the mutation. SUMMARY:
Although genetic and cell-mediated approaches are currently showing genuine
promise in preclinical and clinical trials, there remains considerable interest
in the development of agents that ameliorate the downstream pathology. One
general challenge is the three-way tension between the interests of patients,
regulators, and the biotechnology industry.
PMID- 21892080
TI - Axonal Charcot-Marie-Tooth disease.
AB - PURPOSE OF REVIEW: The aim is to specify the genetic causes of dominantly and
recessively inherited axonal forms of Charcot-Marie-Tooth disease (CMT) and
review the biological basis for these disorders. RECENT FINDINGS: More than 10
genes that cause axonal CMT have been identified over the past decade. Many of
these genes express proteins that are ubiquitously expressed. Clinical phenotypes
of many of these disorders are being studied and animal and cellular models of
these neuropathies have been created. SUMMARY: Identification of these new
genetic causes of axonal neuropathy has not only been important for patients and
their families but it has also provided exciting new information about disease
mechanisms involved in neuronal degeneration. These mechanisms extend beyond the
field of axonal CMT and have relevance to sensory neuropathies and motor neuron
disorders. Therapeutic strategies for some of these are also provided. We hope
that this review will be of interest to clinicians and scientists interested in
axonal forms of CMT.
PMID- 21892081
TI - Challenges in diagnosis and treatment of late-onset Pompe disease.
AB - PURPOSE OF REVIEW: The first reports published in 2010 on enzyme replacement
therapy in late-onset Pompe disease (LOPD) allow us now to stand back and adapt
the strategies. In the meantime, substantial progress has been made in basic and
applied research on animal models to enhance the efficacy of treatments. This
brief review highlights the new concepts in a contemporary approach. RECENT
FINDINGS: Interest in LOPD rose since its acknowledgement as a treatable
myopathy. New insights from extensive analysis of injurious mechanisms resulted,
over the past years, in the development of enzyme replacement therapy and a
better understanding of its limits. SUMMARY: It seems reasonable to consider
Pompe disease as a large spectrum of a single ubiquitous lysosomal disease
resulting from an enzyme defect, more severe in newborns because of rapid
cardiopulmonary and hepatic failures, with a much better prognosis when
symptomatic after 12 months. This late-onset form demands therapy to avoid
progressive motor disability and pulmonary insufficiency. Diagnosis is easy to
confirm through rapid and reliable biochemical tests with sampling of blood dots
on filter paper. When started early, treatment would avoid serious irrevocable
damage to cells. Increasing precocity of diagnosis and efficacy of treatments are
the core challenges for the next few years.
PMID- 21892082
TI - Advances in acute lymphoblastic leukemia in adults.
AB - PURPOSE OF REVIEW: This review will be focused on the advances in adult acute
lymphoblastic leukemia (ALL) based on recently published articles. RECENT
FINDINGS: Progress in the understanding of the molecular basis of ALL has
contributed to a more precise definition of risk factors. The study of minimal
residual disease has re-defined the baseline prognostic factors and has opened
new strategies for postremission management. The use of pediatric-inspired
therapies for young adults with standard-risk ALL, the broadening of the number
of patients who are eligible for allogeneic stem cell transplantation, the
increasing use of targeted therapies (monoclonal antibodies, tyrosine kinase
inhibitors, and new purine analogs, etc.) in early phases of the disease,
together with the improvements in supportive therapy explain the increased
survival of young and middle-aged adult ALL. SUMMARY: These changes are improving
long-term outcome, which in ongoing studies is expected to be of close to 50%,
and are opening the door for future therapeutic advances.
PMID- 21892083
TI - Advances in the understanding and management of primary myelofibrosis.
AB - PURPOSE OF REVIEW: Identification of the JAK2V617F mutation in 2005 boosted basic
and clinical research in primary myelofibrosis (PMF) and other Philadelphia
negative chronic myeloproliferative neoplasms. We herein review the recent
contributions to the understanding and management of PMF. RECENT FINDINGS: In
addition to the JAK2V617F mutation, different genetic markers have recently been
discovered in PMF, the most relevant ones being the mutations in the
thrombopoietin (MPL), TET2, and EZH2 genes. From the clinical point of view,
attention has recently been paid to thrombosis as a relevant complication of PMF
and new prognostic models for this disease have been created and refined.
Regarding therapy, reduced intensity conditioning regimens have allowed the
possibility of performing allogeneic stem cell transplantation in older PMF
patients, whereas the first clinical trials with JAK2 inhibitors have shown their
efficacy in splenomegaly and constitutional symptoms. SUMMARY: The molecular
biology of PMF is more complex than initially believed. Due to its associated
mortality risk, stem cell transplantation should be restricted to patients with
poor prognostic features. The JAK2-inhibitors are promising as a palliative
treatment of PMF. In conclusion, the once neglected PMF has become a very active
field of research, which will hopefully soon translate into relevant therapeutic
advances.
PMID- 21892084
TI - Signal transduction inhibitors in chronic lymphocytic leukemia.
AB - PURPOSE OF REVIEW: Chronic lymphocytic leukemia (CLL) therapy has evolved over
the past few decades as modern chemo-immunotherapy significantly improved the
response and survival of CLL patients. However, treatment toxicity of the
intensive chemo-immunotherapy often limits its use in the mostly elderly
population of patients. Further, the disease eventually relapses and additional
therapy options are required. Of particular interest are molecular targeted
therapies that interfere with critical signal transduction pathways controlling
cell growth and survival. This review will provide an update on the most recent
preclinical and clinical development of signal transduction targeted therapy in
CLL. RECENT FINDINGS: Small molecular kinase inhibitors have been developed to
target the proximal B-cell receptor signaling pathway (e.g. spleen tyrosine
kinase inhibitors and Bruton's tyrosine kinase inhibitors) or the downstream
phosphatidylinositol-3 kinase/Akt/mammalian target of rapamycin pathway. These
agents showed unique in-vitro activities by inducing apoptosis and blocking
interaction of CLL cells and the protective microenvironment. They have also
shown promising clinical activity in early-phase clinical studies and appear to
alter lymphocyte trafficking. Inhibitors of the B-cell CLL/lymphoma 2 (BCL-2)
family of antiapoptotic proteins and Cdk inhibitors are in active clinical
development. Strategies modulating the CLL interaction with the microenvironment
niche are emerging. Further understanding of novel signaling pathways helps to
identify additional potential therapeutic targets. SUMMARY: Signal transduction
inhibitors are promising new strategy for targeted CLL treatment. Identification
of novel molecular targets and therapeutic agents will further expand our
therapeutic options.
PMID- 21892085
TI - Emerging roles for mammalian target of rapamycin inhibitors in the treatment of
solid tumors and hematological malignancies.
AB - PURPOSE OF REVIEW: The mammalian target of rapamycin (mTOR) is a key regulator of
cell growth and survival in mammalian cells. mTOR pathways are frequently
dysregulated in various malignancies, providing targets for new anticancer drugs
and therapeutic strategies. Here, we summarize the clinical experience of trials
using the first generation of mTOR inhibitors, the rapalogs, and highlight the
development of the next generation of catalytic inhibitors of the pathway. RECENT
FINDINGS: mTOR inhibitors have shown major clinical activity in the treatment of
renal cell carcinoma and two rapalogs have been approved for treatment of this
malignancy. Recently, clinically significant trials with these agents were
conducted in mantle cell lymphoma, pancreatic neuroendocrine tumors and
astrocytomas. There are also promising results emerging in sarcomas, breast
cancer and lung carcinoma. Multiple agents targeting mTOR, belonging to the new
class of catalytic inhibitors with activity against both mTORC1 and mTORC2, are
currently in various stages of preclinical and clinical development. SUMMARY: The
rapalogs are the first mTOR inhibitors to show promising, yet modest, antitumor
effects. To fully exploit the potential of targeting this pathway, it will be
important to better understand the mechanisms of action and precise targets of
the various inhibitors. Moreover, definition of biomarkers of susceptibility and
identification of predictors and/or correlates to drug resistance will
substantially advance this area.
PMID- 21892086
TI - Pediatric ependymomas: will molecular biology change patient management?
AB - PURPOSE OF REVIEW: Ependymomas remain a therapeutic challenge in pediatric neuro
oncology. These tumors are chemoresistant and rather radioresistant and until
recently little was known about their biology. RECENT FINDINGS: Histopathological
grading of ependymomas according to the WHO classification is neither
reproducible, nor correlated with outcome, especially in young children.
Characterization of molecular abnormalities in ependymomas offers now a better
understanding of their initiation and progression; different biological subtypes
of tumors have been described and would need further validation. The
identification of new prognostic biomarkers, such as tenascin-C overexpression or
chromosome 1q gain, will considerably help patient stratification in future
trials. Finally, the recent discovery of specific pathways involved in
ependymomas oncogenesis, such as Notch-1or EPHB2 offers new perspectives for the
development of targeted therapies. SUMMARY: A comprehensive biological work-out
including CGHarray and immunohistochemistry for specific biomarkers should now be
recommended for the current management of pediatric ependymoma, especially in
young children if radiotherapy has to be omitted in the first line of treatment.
PMID- 21892087
TI - Medulloblastomas: update on a heterogeneous disease.
AB - PURPOSE OF REVIEW: Medulloblastoma is the main primitive neuroectodermal tumour
of the posterior fossa in childhood. The classical therapeutic approach consists
of surgical resection, followed by craniospinal irradiation. Because of the good
overall survival (75%), the main recent research efforts focus on refining the
most relevant prognostic stratification and in decreasing the long-term sequelae.
RECENT FINDINGS: Thanks to the better understanding of the heterogeneity of
medulloblastomas, clinical, histological and biological markers have been clearly
identified and allow risk-adapted strategies. A subset of tumours of early
childhood (<3-5 years), frequently associated with a Sonic Hedgehog signalling,
might be cured without irradiation. In older children, several trials have
demonstrated the safety of reduced craniospinal irradiation in standard risk
tumours. Furthermore, the evidence of an excellent prognosis associated with a
subset of tumours characterized by an activation of the WNT pathway leads to
forthcoming de-escalating strategies. Reducing long-term sequelae also relies on
new surgical approaches aiming at reducing the cerebellar injuries. Tremendous
efforts have also been made in defining the most adapted irradiation doses and
fields. Intensity-modulated radiotherapy and proton beam therapy might also
influence the long-term neurological and endocrine defects of the patients.
SUMMARY: Histological and biological characteristics clearly define various
prognostic groups within medulloblastomas; confirming the overall good outcome
and reducing long-term sequelae are the main focus of current clinical trials.
PMID- 21892089
TI - Letter commenting on "risk-reducing salpingo-oophorectomy (RRSO) in BRCA mutation
carriers: experience with a consecutive series of 111 patients using a
standardized surgical-pathological protocol" in Int J Gynecol Cancer 2011;21: 846
851 by C. Bethan Powell et al.
PMID- 21892088
TI - Comparative study of tamoxifen and raloxifene on endometrial cell proliferation
of female rats in persistent estrus.
AB - OBJECTIVE: The objective of the study was to compare the effect of tamoxifen and
raloxifene on the endometrium of female rats in persistent estrus, by Ki-67
protein expression. METHODS: The study comprised 60 Wistar-Hannover female rats
in persistent estrus, induced by a single subcutaneous dose of 1.25 mg of
testosterone propionate on the second day of age. At 90 days of life, the animals
were randomly divided into 3 groups of 20 animals each. Group 1 (control),
received only placebo; group 2, the animals were treated with tamoxifen, 250
MUg/d; and group 3, the rats were treated with 750 MUg/d of raloxifene by gavage
during 30 days. Then, the animals were killed, and the endometrium was removed
for immunohistochemical analysis of Ki-67 antigen expression. Statistical
analysis was performed by beta regression model (P < 0.05). RESULTS: Mean
percentages of Ki-67 protein expression in the endometrium of rats in persistent
estrus were 43.21% +/- 3.39%, 7.36% +/- 0.95%, and 7.20% +/- 0.76% in groups 1, 2
and 3, respectively (P < 0.001). There was no statistical difference between
groups 2 and 3 (P = 0.7159). CONCLUSIONS: The present results indicate that, at
the doses and during the time of treatment used, both tamoxifen and raloxifene
induce atrophy in a similar way of endometrial epithelium of rats in persistent
estrus.
PMID- 21892090
TI - Clinical significance of nucleostemin expression and its correlation with cyclin
D1 expression in malignant ovarian tumors.
AB - OBJECTIVE: To investigate the expression of the nucleostemin (NS) gene in ovarian
tumors and its correlation with the expression of cyclin D1. METHODS: The
expression levels of nucleostemin and cyclin D1 proteins were measured by
immunohistochemical staining in ovarian tumors and normal ovarian tissues, and
the relationship between their levels was analyzed. RESULTS: Nucleostemin gene
and cyclin D1 expressions were detected in 28 and 27 specimens of malignant
ovarian tumors (93.7% and 90.0%), 4 and 8 specimens of ovarian borderline tumors
(40.0% and 80.0%), and in both specimens of benign ovarian tumors, respectively.
The expression of NS gene was seen in 5, 13, and 10 specimens of malignant
ovarian tumors of high, moderate, and low grade, respectively. The expression of
the nucleostemin gene was significantly related to the tumor grade (r = 0.786, P
< 0. 05), and a significant relationship between nucleostemin and cyclin D1
expression was found (r = 0.834, P < 0.05). The expression of the nucleostemin
gene was not detected in normal ovarian tissue. CONCLUSION: The expression of the
nucleostemin gene in ovarian tumors is closely correlated with origination,
progression, and grading of tumors and may serve as a marker in estimating the
malignancy of ovarian tumors. The overexpression of cyclin D1 might be correlated
with nucleostemin expression. Nucleostemin may have an impact on the passage of
cells through the G1/S checkpoint, and thus cell cycle progress, by regulating
cyclin D1 expression.
PMID- 21892091
TI - Extended-field irradiation and intracavitary brachytherapy combined with
cisplatin and amifostine for cervical cancer with positive para-aortic or high
common iliac lymph nodes: results of arm II of Radiation Therapy Oncology Group
(RTOG) 0116.
AB - OBJECTIVES: Radiation Therapy Oncology Group (RTOG) 0116 was designed to test the
ability of amifostine (Ethyol; MedImmune LLC, Gaithersburg, MD), a cytoprotective
agent, to reduce the acute toxicity of combined therapy with extended-field
irradiation, brachytherapy, and cisplatin chemotherapy in patients with cervical
cancer with para-aortic or high common iliac disease. This report presents the
results of part 2. MATERIALS AND METHODS: Radiation Therapy Oncology Group 0116
was a 2-part trial. Part 1 delivered extended-field irradiation, brachytherapy,
and cisplatin; part 2 added amifostine and required 16 evaluable patients to
assess an improved toxicity profile. Eligibility included evidence for high
common iliac or para-aortic metastasis. Patients were treated for a total dose of
45 Gy in 25 fractions with intracavitary irradiation. Intensity-modulated
radiation therapy was not allowed. The final point A dose was 85 Gy low-dose rate
equivalent. High-dose rate techniques were allowed. The positive para-aortic and
iliac nodes were to be boosted to 54 to 59.4 Gy. Amifostine at 500 mg was to be
delivered with every fraction of radiotherapy. RESULTS: The study opened on
August 1, 2001, and closed March 3, 2007, after accruing 45 patients, 18 for the
second part with amifostine. This analysis reports the primary end point for the
patients entered on part 2 of the study. Three patients were excluded, one was
ineligible, and 2 withdrew. The median follow-up was 22.9 months (range, 6.5-45.4
months). The median dose of amifostine delivered was 5000 mg (range, 500-13,500
mg). Thirteen patients (87%) experienced an acute grade 3/4 toxicity (excluding
grade 3 leukopenia). This compared to an 81% rate in part 1 of the trial. The
estimated median survival was 34.8 months with a 20% late grade 3/4 toxicity
rate. CONCLUSIONS: Amifostine, as delivered in this study, did not reduce acute
toxicity in this patient population.
PMID- 21892092
TI - Human papillomavirus genotype distribution and human papillomavirus 16 and human
papillomavirus 18 genomic integration in invasive and in situ cervical carcinoma
in human immunodeficiency virus-infected women.
AB - BACKGROUND: Women infected with human immunodeficiency virus (HIV) are at
increased risk of developing precancerous and cancerous lesions in cervix because
of persistence of oncogenic human papillomavirus (HPV) infection. Scarce
information about the HPV genotypes attributed to cervical cancer in the HIV
infected population is available, especially in countries with a low prevalence
of this pathology. OBJECTIVE: The objective of the study was to assess the
prevalence and distribution of HPV types, and the viral integration of HPV-16 and
HPV-18 in cervical squamous cell carcinoma of HIV-infected and HIV-negative
women. METHODS: A total of 140 formaldehyde-fixed paraffin-embedded specimens
from 31 HIV-infected and 109 matched HIV-negative women, with a diagnosis of in
situ or invasive cervical carcinoma, were identified between 1987 and 2010 from
different hospitals of the Barcelona area, Spain. Human papillomavirus genotyping
and integration were analyzed by standardized polymerase chain reaction. RESULTS:
Similar prevalence and distribution of HPV genotypes were detected in cervical
cancers (in situ and invasive) regardless of HIV condition. The most common types
were as follows: HPV-16 (58% in HIV-positive vs 72% in HIV-negative) and HPV-33
(16% vs 8%). In invasive cervical carcinoma, HPV-18 was significantly more
prevalent in HIV-positive women (14% vs 1%; P = 0.014). The proportion of samples
with integrated forms of HPV-16 (39% vs 45%) and HPV-18 (50% vs 50%) was similar
in both groups. CONCLUSIONS: The prevalence and distribution of principal HPV
types involved in the carcinogenesis process of the cervix were similar in HIV
infected and noninfected women, although a tendency toward a lower HPV-16 and a
higher HPV-18 prevalence in invasive cervical carcinoma was detected in HIV
positive women. Similar percentage of HPV-16 and HPV-18 viral integration was
found in formaldehyde-fixed paraffin-embedded specimens of cervical cancer
regardless of the HIV infection status.
PMID- 21892093
TI - Trop-2 overexpression in poorly differentiated endometrial endometrioid
carcinoma: implications for immunotherapy with hRS7, a humanized anti-trop-2
monoclonal antibody.
AB - OBJECTIVE: We evaluated the expression of human trophoblast cell surface marker
(Trop-2) in endometrial endometrioid carcinoma (EEC) and the potential
application of hRS7, a humanized monoclonal anti-Trop-2 antibody, as a
therapeutic agent against poorly differentiated EEC. METHODS: Trop-2 expression
was evaluated by immunohistochemistry in 131 EEC with different degrees of
differentiation and 32 normal endometrial controls (NEC). Trop-2 expression was
also evaluated by quantitative real-time polymerase chain reaction and flow
cytometry in 3 primary EEC cell lines derived from patients harboring poorly
differentiated EEC. Finally, the sensitivity of grade 3 EEC cell lines to hRS7
antibody-dependent cellular cytotoxicity was tested in standard 5-hour Cr release
assays. RESULTS: Trop-2 expression was detected in 126 (96.2%) of 131 EEC
samples. Tumor tissues showed markedly increased Trop-2 positivity compared with
NEC (P = 0.001). Trop-2 expression was significantly higher in all grades of EEC
versus NEC. Grade 3 tumors displayed significantly stronger Trop-2 immunostaining
compared with grade 1 EEC (P = 0.01). High Trop-2 expression by quantitative real
time polymerase chain reaction and flow cytometry was found in 1 grade 3 EEC
primary cell line (EEC-ARK-1). Unlike Trop-2-negative EEC cell lines, EEC-ARK-1
was found highly sensitive to hRS7-mediated antibody-dependent cellular
cytotoxicity in vitro (range of killing, 33.9%-50.6%; P = 0.004). Human serum did
not significantly inhibit hRS7-mediated cytotoxicity against EEC-ARK-1 (P =
0.773). CONCLUSIONS: Trop-2 is highly expressed in EEC, and its expression is
significantly higher in poorly differentiated EEC when compared with well
differentiated EEC. Primary grade 3 EECs overexpressing Trop-2 are highly
sensitive to hRS7-mediated cytotoxicity in vitro. hRS7 may represent a novel
therapeutic agent for the treatment of high-grade EEC refractory to standard
treatment modalities.
PMID- 21892094
TI - Risk factors for high-risk human papillomavirus infection and cofactors for high
grade cervical disease in Peru.
AB - OBJECTIVE: To evaluate the association between potential risk factors for high
risk human papillomavirus (HR-HPV) infection and cofactors for cervical
intraepithelial lesions grade 2 or worse (CIN2+) in women attending cervical
screening in Amazonian Peru. MATERIALS AND METHODS: Participants completed a risk
factor questionnaire before screening. High-risk human papillomavirus infection
was determined by Hybrid Capture II. Logistic regression was used to evaluate
associations between potential risk factors for HR-HPV infection and between
cofactors and risk of CIN2+ among women with HR-HPV infection. RESULTS: Screening
and questionnaires were completed by 5435 women aged 25 to 49 years. The
prevalence of HR-HPV was 12.6% (95% confidence interval [CI], 11.8%-13.6%) and
decreased by age. Early age at first sexual intercourse and several lifetime
sexual partners increased the risk of having HR-HPV (age-adjusted odds ratio
[AOR] of age at first sexual intercourse <18 vs >=20, 1.5; 95% CI, 1.2-2.0; AOR
of >=5 lifetime sexual partners vs 1, 2.1; 95% CI, 1.4-3.2). Among women with HR
HPV infection, those with no schooling (AOR relative to 1-5 years of schooling,
3.2; 95% CI, 1.3-8.3) and those with parity >=3 (AOR relative to parity <3, 2.6;
95% CI, 1.4-4.9) were at increased risk of CIN2+. The effect of parity was
stronger for cancer (AOR of parity >=3 vs <3, 8.3; 95% CI, 1.0-65.6). Further
analysis showed that the association between parity and CIN2+ was restricted to
women younger than 40. Most women (83%) had previously been screened. Sixty-four
percent of CIN2+ cases detected in this study occurred in women who reported
having had a Papanicolaou test in the previous 3 years. Only 4 of 20 cancers were
detected in women never screened before. Having had a previous abnormal
Papanicolaou test increased the risk of CIN2+ (OR, 16.1; 95% CI, 6.2-41.9).
CONCLUSION: Among women with HR-HPV, high parity (in young women), no schooling,
lack of good-quality screening and of adequate follow-up care are the main risk
factors for high-grade cervical disease in Peru.
PMID- 21892095
TI - Routine hysterectomy in the surgical management of ovarian cancer: a
retrospective case series, physician opinion survey, and review of the
literature.
AB - Current international guidelines recommend routine hysterectomy in the initial
surgical management of epithelial ovarian cancer. However, there seems to be
limited evidence to support these recommendations. We examined the data for a
series of women undergoing hysterectomy as part of surgical management of ovarian
cancer. Most of the women who underwent hysterectomy had no macroscopic uterine
involvement in the ovarian cancer. However, almost half of them had macroscopic
residual disease at completion of cytoreductive surgery. The incidence of
synchronous primary endometrial cancers was 5%, and preoperative ultrasound had a
sensitivity of 82% for predicting endometrial pathology. We also surveyed the
members of the Australian Society of Gynaecological Oncologists (ASGO) regarding
the role of hysterectomy in the management of ovarian cancer. Most of the
respondents indicated that they believe hysterectomy should be routinely
performed in the management of ovarian cancer but acknowledge that there is a
lack of evidence to support the practice.
PMID- 21892096
TI - Current clinical practice in cytoreductive surgery for advanced ovarian cancer: a
European survey.
AB - BACKGROUND: Surgical treatment of advanced ovarian cancer is a subject of fast
development. The aim of this survey was to collect data on current surgical
treatment from selected European gynecological oncology centers. METHODS: A
questionnaire has been sent to gynecological oncology centers from 18 countries
across Europe, which are presented on the ESGO Web site. Data were collected on
an anonymous basis. All questions were related to the cytoreductive surgery of
advanced ovarian cancer. RESULTS: Response rate reached 63%, and data from 17
European countries were analyzed. The median number of new patients with ovarian
cancer treated annually in a single centre was 95. Whereas 19% of centers perform
infracolic omentectomy only, 81% carry on total omentectomy. Approximately half
of the centers conduct appendectomy in all patients with advanced ovarian cancer,
1/3 only if the appendix is macroscopically involved. Lymphadenectomy is carried
out in 20% of centers in all cases but in 31% only if no residual disease is
achieved. Proportion of patients in whom colorectal resection is performed ranged
from less than 5% to more than 40%. Colorectal resection, splenectomy and liver
resection are conducted by gynecological oncologist in 27%, 46%, and 12%,
respectively. CONCLUSIONS: There were substantial differences in the spectrum and
complexity of procedures performed in patients with advanced ovarian cancer among
large European gynecologic oncology centers. Tendency to more complex surgery was
shown in centers with a higher number of cases. Selected bowel and upper
abdominal procedures are already performed by gynecological oncologists in large
proportion of centers, without existence of well-established postgraduate
training program.
PMID- 21892097
TI - Is a low dose of concomitant chemotherapy with extended-field radiotherapy
acceptable as an efficient treatment for cervical cancer patients with metastases
to the para-aortic lymph nodes?
AB - INTRODUCTION: Extended-field radiotherapy (EFRT) with the concomitant
administration of chemotherapy for patients with advanced cervical cancer has
problems regarding its feasibility. The goal of the present study was to assess
the tolerability and control rate of low-dose cisplatin with EFRT in patients
with imaging-confirmed positive para-aortic lymph nodes (PALs). METHODS: Sixteen
patients with cervical cancer metastatic to the PALs treated with EFRT were
evaluated. The patients included those with stages I to III disease according to
the International Federation of Gynecology and Obstetrics with positive PALs
diagnosed by computed tomographic imaging. The patients were treated with 25 to
30 mg/m weekly of cisplatin concurrently with radiation therapy. Doses of 48.6 to
51.0 Gy were delivered in 1.8-Gy fractions to the pelvis and included the PALs
field. In addition, boost doses for the involved nodes of PALs were delivered
contiguously for a total dose of 54 to 60 Gy. All patients were treated with a
high dose rate of intracavitary brachytherapy combined with external irradiation.
RESULTS: All patients completed the radiation therapy. Grade 3 or 4 acute
hematologic toxicity occurred in 7 patients, but there were no cases of grade 3
or 4 nonhematologic acute toxicity. As a late toxicity, 1 patient developed a
grade 3 small bowel obstruction. No grade 4 or worse late toxicity occurred. The
4-year overall survival rate was 56.3%. The 4-year distant metastasis-free
survival rate was 50%. Seven patients had no recurrence. Eight patients developed
distant failures, and another had an isolated local intrapelvic recurrence.
CONCLUSIONS: A dose greater than 54 Gy for positive PALs in EFRT, in combination
with intracavitary irradiation and low-dose weekly cisplatin administration, was
safely completed by all of our patients. However, half of the patients had
distant failure. This study provided relatively favorable local control and
survival. Further considering modifications of the treatment should therefore be
encouraged.
PMID- 21892098
TI - Previous conization on patient eligibility of sentinel lymph node detection for
early invasive cervical cancer.
AB - OBJECTIVE: Sentinel lymph node (SLN) detection has been accepted as a common
strategy to preserve the quality of life of the patients with gynecologic
cancers. However, the feasibility of SLN detection after conization is not yet
clarified. Accuracy of SLN after conization was evaluated. METHODS: Eighteen
cases with prior conization (cone group) and 32 cases without conization (noncone
group), all of which belonged to IB1 except 1 case in IA stage, underwent SLN
detection. Systemic pelvic and para-aortic lymphadenectomy was coincidently
performed for the estimation of negative and positive predictive values. RESULTS:
Detection rate in which at least unilateral nodes were identified or bilaterally
identified was 100% and 72.2% in the cone group, 90.6% and 71.9% in the noncone
group, respectively. The average number of the detected SLN was 2.4 in the cone
group and 2.1 in the noncone group. Negative and positive predictive value was
100% in both groups. On the distribution of sentinel node stations, most of the
detected nodes were internal iliac and obturator node in both groups. Less
frequent detection was observed in superficial common iliac node (5.4% in the
cone group, 3.1% in the noncone group), external iliac node (2.7% and 9.5%), and
parauterine artery node (5.4% and 1.6%).In both groups, no other lymph nodes were
identified as SLN except 1 case in the cone group with the node in cardinal
ligament. CONCLUSIONS: No significant difference was observed on detection rate,
predictive value, and the distribution of sentinel node between the cone and
noncone groups. Sentinel lymph node detection after conization can be performed
with a certain reliability.
PMID- 21892099
TI - Association of the expression of mutant epidermal growth factor receptor protein
as determined with mutation-specific antibodies in non-small cell lung cancer
with progression-free survival after gefitinib treatment.
AB - INTRODUCTION: Somatic mutations in the epidermal growth factor receptor (EGFR)
gene are associated with an increased response to EGFR tyrosine kinase inhibitors
(TKIs) such as gefitinib in patients with non-small cell lung cancer (NSCLC).
Although most NSCLC patients with EGFR mutations benefit from EGFR-TKI treatment,
the efficacy of such treatment varies among individuals. Molecular markers for
prediction of EGFR-TKI treatment efficacy in EGFR mutation-positive NSCLC have
not been well defined. METHODS: The expression of mutant EGFR proteins was
quantitated by immunohistochemical analysis with mutation-specific antibodies in
tumor specimens from 47 NSCLC patients with postoperative recurrent disease who
harbored activating EGFR mutations. The expression score was determined from both
the staining intensity and the proportion of tumor tissue expressing the mutant
EGFR. RESULTS: The median progression-free survival after the start of gefitinib
treatment was significantly longer in patients with a high score for mutant EGFR
expression than in those with a low score (12.2 versus 3.4 months, p < 0.001),
whereas no significant difference in median overall survival was apparent between
the two groups (24.9 versus 17.7 months, respectively, p = 0.144). This
association between the expression score for mutant EGFR and progression-free
survival was apparent both in patients with deletions in exon 19 of EGFR and in
those with the L858R mutation in exon 21. CONCLUSIONS: Quantitative analysis of
mutant EGFR expression by immunohistochemical analysis with mutation-specific
antibodies may predict the efficacy of gefitinib treatment for EGFR mutation
positive NSCLC.
PMID- 21892100
TI - Skin toxicities compromise prolonged pemetrexed treatment.
AB - INTRODUCTION: Pemetrexed is approved to treat non-small cell lung cancer and has
an overall favorable toxicity profile. A case of pemetrexed-induced cutaneous
adverse events (CAE), i.e., periorbital edema with conjunctivitis and edema of
the limbs, leading to severe fluid retention, was diagnosed in our unit. The aim
of this study was to evaluate the incidence and risk factors for CAEs. METHODS:
Patients treated with pemetrexed were identified from a prospective cohort. To
detect pemetrexed-associated CAEs, questionnaires were answered by patients and
the referring oncologist. RESULTS: Included were 107 patients treated with four
cycles or more of pemetrexed. Pemetrexed-induced CAEs were observed in 37 of 107
(35%) total patients (TPs) and 25 of 47 (53%) alive patients (APs).
Conjunctivitis was the most frequent CAE: 27 of 107 (25%) in TPs and 21 of 47
(44%) in APs. Periorbital edema occurred in 16 of 107 (15%) TPs and 14 of 47
(30%) APs. Limb edema was present in 14 of 107 (13%) TPs and 12 of 47 (25%) APs.
Only two cases of CAE influenced pemetrexed treatment. No significant differences
in age, body surface area, smoking status, and performance status were detected.
Patients with CAE had more cycles of pemetrexed (7 versus 5.5; p = 0.028). In
univariate and multivariate analyses, gender ratio was statistically different (p
= 0.031): 48% (12/25) of women in the CAE group versus only 18% (4/18) in the
control group. CONCLUSION: Pemetrexed induces frequent conjunctivitis, peripheral
edema, and edema of the limbs. Female gender seems to be an independent risk for
CAE. CAEs are frequently disabling and symptomatic treatment should be proposed.
PMID- 21892102
TI - Outcomes of stereotactic ablative radiotherapy for centrally located early-stage
lung cancer.
AB - INTRODUCTION: The use of stereotactic ablative radiotherapy (SABR) in centrally
located early-stage lung tumors has been associated with increased toxicity. We
studied outcomes after delivery of risk-adapted SABR of central tumors. METHODS:
SABR was delivered in eight fractions of 7.5 Gy to 63 such patients between 2003
and 2009. Of these, 37 patients had a tumor at a central hilar location, whereas
26 patients had tumors abutting the pericardium or mediastinal structures.
Survival outcomes were compared with patients with peripheral tumors treated
during the same time period using fewer fractions of SABR. RESULTS: Median follow
up was 35 months. Late grade III toxicity was limited to chest wall pain (n = 2)
and increased dyspnoea (n = 2). No grade IV/V toxicity was observed, but grade V
toxicity could not be excluded with certainty in nine patients who died of
cardiopulmonary causes. Distant metastases were the predominant cause of death;
cardiovascular deaths were not associated with a paracardial tumor location. No
significant differences in outcomes were observed between these 63 patients and
445 other SABR patients treated for peripheral early-stage lung tumors. Three
year local control rates were 92.6% and 90.2% (p = 0.9). Three-year overall
survival rates were 64.3% and 51.1% with median survival rates of 47 and 36
months, in favor of the group of patients with central tumors (p = 0.09).
CONCLUSIONS: Use of risk-adapted SABR delivered in eight fractions of 7.5 Gy did
not result in excess toxicity for centrally located early-stage lung tumors, and
clinical outcomes were comparable with those seen for peripheral lesions.
PMID- 21892101
TI - Phase II trials of imatinib mesylate and docetaxel in patients with metastatic
non-small cell lung cancer and head and neck squamous cell carcinoma.
AB - PURPOSE: Two phase II clinical trials in the aerodigestive tumors were undertaken
to evaluate the efficacy of imatinib mesylate-docetaxel. We hypothesized that
imatinib mesylate would inhibit platelet-derived growth factor receptor (PDGFR)
on pericytes and increase docetaxel uptake into tumor cells for an additive
antitumor effect. Baseline tumor specimens, serum, and perfusion computed
tomography (CT) scans were obtained for supportive evaluation. MATERIALS AND
METHODS: Eligible patients with metastatic non-small cell lung cancer (NSCLC)
treated with 1 prior therapy and chemonaive patients with head and neck squamous
cell carcinoma (HNSCC) were enrolled in separate trials, which administered both
docetaxel (60 mg/m every 3 weeks) and oral imatinib mesylate (400 mg daily). Both
trials used interim analyses for efficacy and safety. RESULTS: Twenty-two
patients with NSCLC and seven patients with HNSCC were enrolled. Both trials were
closed early due to lack of efficacy, significant toxicity, and a potential
antagonistic effect. In the NSCLC study, the response rate was 4.5%, median
progression-free survival (PFS) 7.9 weeks, and overall survival 35.6 weeks. The
HNSCC trial yielded a response rate 0%, PFS 8.8 weeks, and overall survival 34.7
weeks. Baseline NSCLC tumor immunohistochemical biomarker analyses indicated that
lower expression of stromal PDGFRbeta correlated with a better PFS, whereas
stromal PDGFRalpha and tumor cell PDGFRbeta were associated with a worse clinical
outcome when treated with imatinib mesylate-docetaxel. CONCLUSION: We do not
recommend further investigation of this regimen in the aerodigestive tumors.
Future investigations in PDGFR tyrosine kinase inhibitors should be used with
caution in combination with taxanes and validation of the potential predictive or
prognostic biomarkers stromal PDGFRalpha/beta, and tumor cell PDGFRbeta are
needed.
PMID- 21892103
TI - Combination chemotherapy with doxorubicin, vincristine, cyclophosphamide, and
platinum compounds for advanced thymic carcinoma.
AB - INTRODUCTION: Thymic carcinoma is a rare epithelial neoplasm that tends to be
aggressive and metastasize widely. The optimal chemotherapy for unresectable
advanced thymic carcinoma has not yet been established because of its rare
occurrence. The purpose of this study was to evaluate the efficacy and
tolerability of combination chemotherapy with doxorubicin, vincristine,
cyclophosphamide, and platinum compounds for advanced thymic carcinoma. METHODS:
A retrospective analysis of 34 patients with untreated and unresectable thymic
carcinoma who received chemotherapy with doxorubicin, vincristine,
cyclophosphamide, and platinum compounds between 1996 and 2010 was conducted.
Twenty-nine patients were treated with a combination of cisplatin (50 mg/m(2))
and doxorubicin (40 mg/m(2)) on day 1, vincristine (0.6 mg/m(2)) on day 3, and
cyclophosphamide (700 mg/m(2)) on day 4. Five patients were treated with
carboplatin (area under the curve of 3.0 minutes . mg/ml) instead of cisplatin.
RESULTS: The responses of all 34 patients to the current regimen were assessed.
The median number of treatment cycles for the present chemotherapy was 4. The
overall response rate and disease control rate were 50.0% and 88.2%,
respectively. The median survival was 21.3 months (95% confidence interval [CI],
15.0-37.2 months), and the 1-year and 3-year survival rates were 72.7% (95% CI,
56.8-88.6%) and 34.4% (95% CI, 16.2-52.6%), respectively. The most common adverse
event was leukopenia/neutropenia, and nonhematological toxicities were mild.
CONCLUSIONS: Combination chemotherapy with doxorubicin, vincristine,
cyclophosphamide, and platinum compounds is an effective and well-tolerated
treatment for unresectable advanced thymic carcinoma.
PMID- 21892104
TI - Functional and clinical characterization of the putative tumor suppressor WWOX in
non-small cell lung cancer.
AB - INTRODUCTION: The oxidoreductase WWOX was initially described as a putative tumor
suppressor in breast cancer. Non-small cell lung cancers (NSCLCs) frequently show
aberrant WWOX expression. Herein, we characterized WWOX at a functional level in
preclinical NSCLC models and in primary NSCLC biopsies. METHODS: The human wild
type (wt) WWOX complementary DNA and a mutant WWOX with structurally disrupted
short-chain dehydrogenase/reductase domain were conditionally expressed at
physiological levels in several human NSCLC models. Resulting transgenic cell
populations were analyzed with respect to clonogenic survival and apoptosis
sensitivity in vitro and tumor growth in immune-deficient mice. Tissue
microarrays prepared from surgically resected primary human NSCLC tumors were
studied to correlate intratumoral WWOX expression with patient outcomes. RESULTS:
Conditional expression of wt WWOX, but not mutant WWOX, suppressed clonogenic
survival of NSCLC cells in vitro and tumor growth in vivo. In addition, preserved
intratumoral WWOX expression was associated with improved outcome in a cohort of
85 patients with surgically resected NSCLC. Unexpectedly, wt WWOX failed to
sensitize NSCLC cells to various apoptotic stimuli but robustly protected against
apoptosis induced by inhibitors of growth factor signal transduction.
CONCLUSIONS: WWOX acts as a tumor suppressor in human NSCLC models in a short
chain dehydrogenase/reductase domain-dependent manner. This activity is
independent of sensitization to apoptotic cell death. WWOX expression as detected
by immunohistochemistry may be a prognostic biomarker in surgically resected,
early-stage NSCLC.
PMID- 21892105
TI - Cost-effectiveness of computed tomography screening for lung cancer in the United
States.
AB - INTRODUCTION: A randomized trial has demonstrated that lung cancer screening
reduces mortality. Identifying participant and program characteristics that
influence the cost-effectiveness of screening will help translate trial results
into benefits at the population level. METHODS: Six U.S. cohorts (men and women
aged 50, 60, or 70 years) were simulated in an existing patient-level lung cancer
model. Smoking histories reflected observed U.S. patterns. We simulated lifetime
histories of 500,000 identical individuals per cohort in each scenario. Costs per
quality-adjusted life-year gained ($/QALY) were estimated for each program:
computed tomography screening; stand-alone smoking cessation therapies (4-30% 1
year abstinence); and combined programs. RESULTS: Annual screening of current and
former smokers aged 50 to 74 years costs between $126,000 and $169,000/QALY
(minimum 20 pack-years of smoking) or $110,000 and $166,000/QALY (40 pack-year
minimum), when compared with no screening and assuming background quit rates.
Screening was beneficial but had a higher cost per QALY when the model included
radiation-induced lung cancers. If screen participation doubled background quit
rates, the cost of annual screening (at age 50 years, 20 pack-year minimum) was
below $75,000/QALY. If screen participation halved background quit rates,
benefits from screening were nearly erased. If screening had no effect on quit
rates, annual screening costs more but provided fewer QALYs than annual cessation
therapies. Annual combined screening/cessation therapy programs at age 50 years
costs $130,500 to $159,700/QALY, when compared with annual stand-alone cessation.
CONCLUSIONS: The cost-effectiveness of computed tomography screening will likely
be strongly linked to achievable smoking cessation rates. Trials and further
modeling should explore the consequences of relationships between smoking
behaviors and screen participation.
PMID- 21892106
TI - A randomized phase II trial of two regimens of moderate dose chemoradiation
therapy for patients with non-small cell lung cancer not suitable for curative
therapy: Trans Tasman Radiation Oncology Study TROG 03.07.
AB - BACKGROUND: There are patients with stage I-III non-small cell lung cancer
(NSCLC) who are not suitable for curative radical chemoradiation therapy. There
are patients with an isolated solitary extracranial metastasis who have improved
outcomes compared with those with cranial or multiple metastases. Patients of
good performance status receiving moderate dose radiation therapy have improved
survival. Two regimens of moderate dose chemoradiation therapy for such patients
were compared in a randomized phase II trial. METHODS: Patients were eligible if
they had stage I-IIIB NSCLC, unsuitable for curative therapy, or stage IV with a
PET-detected extracranial solitary metastasis. Patients were randomized to the
following groups-arm A: 40 Gy/20 fractions/4 weeks with concurrent weekly
vinorelbine 25 mg/m + cisplatin 20 mg/m or arm B: 30 Gy/15 fractions/3 weeks with
concurrent weekly gemcitabine 200 mg. Primary end points were feasibility,
response rates, and toxicity. Secondary end points were progression-free
survival, overall survival, and quality of life. RESULTS: Eighty-four patients
were randomized. Compliance was above 90% for both arms. The overall response
rate was 51% in arm A and 38% in arm B (p = 0.147). Grade 3/4 toxicity in both
arms was acceptable. There was no difference in median progression-free survival
between the two arms (5.5 versus 5.0 months, p = 0.19). Patients in arm A had
longer median survival but this did not reach statistical significance (13.1
versus 8.3 months, p = 0.25). No difference in quality of life was observed.
CONCLUSIONS: Arm A was chosen for a future phase II comparison with radiation
therapy alone as it demonstrated a response rate greater than 50%, and data
suggested that arm A had superior survival to arm B.
PMID- 21892107
TI - Lung cancer incidence and survival in England: an analysis by socioeconomic
deprivation and urbanization.
AB - INTRODUCTION: Most previous studies have investigated either socioeconomic
deprivation or urbanization in relationship to lung cancer incidence or survival.
We investigated the association between socioeconomic deprivation, urbanization,
and lung cancer incidence and survival in England. METHODS: We extracted data on
patients diagnosed with lung cancer (ICD-10 C33-C34) between 2003 and 2007 and
who were resident in England. We assigned each patient to an urbanization score
and to a socioeconomic quintile based on their postcode of residence. We
calculated age-specific and age-standardized incidence rates (per 100,000
European standard population) by urbanization, sex, and socioeconomic deprivation
group. We used Kaplan-Meier survival analysis to compare the survival of patients
from urban and rural areas by socioeconomic deprivation. RESULTS: A high
proportion of urban areas in England were classified as deprived and rural areas
were mostly affluent. The incidence of lung cancer was higher in urban areas than
in rural areas. In the more affluent areas, the incidence of lung cancer in urban
and rural areas was very similar. Survival from lung cancer was slightly higher
in affluent areas than in deprived areas. Survival from lung cancer in urban and
rural areas was similar across all socioeconomic deprivation quintiles.
CONCLUSIONS: The difference in incidence between urban and rural areas can be
explained by the differences in the distribution of socioeconomic deprivation
quintiles in the two urbanization categories. When socioeconomic deprivation is
taken into account, little difference is seen between both the incidence and
survival of lung cancer in urban and rural areas.
PMID- 21892108
TI - Survival benefits from follow-up of patients with lung cancer: a systematic
review and meta-analysis.
AB - INTRODUCTION: The burden of lung cancer is high for patients and carers. Care
after treatment may have the potential to impact on this. We reviewed the
published literature on follow-up strategies intended to improve survival and
quality of life. METHODS: We systematically reviewed studies comparing follow-up
regimes in lung cancer. Primary outcomes were overall survival (comparing more
intensive versus less intensive follow-up) and survival comparing symptomatic
with asymptomatic recurrence. Quality of life was identified as a secondary
outcome measure. Hazard ratios (HRs) and 95% confidence intervals from eligible
studies were synthesized. RESULTS: Nine studies that examined the role of more
intensive follow-up for patients with lung cancer were included (eight
observational studies and one randomized controlled trial). The studies of
curative resection included patients with non-small cell lung cancer Stages I to
III disease, and studies of palliative treatment follow-up included limited and
extensive stage patients with small cell lung cancer. A total of 1669 patients
were included in the studies. Follow-up programs were heterogeneous and
multifaceted. A nonsignificant trend for intensive follow-up to improve survival
was identified, for the curative intent treatment subgroup (HR: 0.83; 95%
confidence interval: 0.66-1.05). Asymptomatic recurrence was associated with
increased survival, which was statistically significant HR: 0.61 (0.50-0.74) (p <
0.01); quality of life was only assessed in one study. CONCLUSIONS: This meta
analysis must be interpreted with caution due to the potential for bias in the
included studies: observed benefit may be due to systematic differences in
outcomes rather than intervention effects. Some benefit was noted from intensive
follow-up strategies. More robust data, in the form of randomized controlled
trials, are needed to confirm these findings as the review is based primarily on
observational studies. Future research should also include patient-centered
outcomes to investigate the impact of follow-up regimes on living with lung
cancer and psychosocial well-being.
PMID- 21892109
TI - Intercalated erlotinib-docetaxel dosing schedules designed to achieve
pharmacodynamic separation: results of a phase I/II trial.
AB - INTRODUCTION: Epidermal growth factor receptor tyrosine kinase inhibitors given
concurrently with chemotherapy do not improve patient outcomes compared with
chemotherapy alone in advanced non-small cell lung cancer (NSCLC). On the basis
of preclinical models, we hypothesized pharmacodynamic separation, achieved by
intermittent delivery of epidermal growth factor receptor tyrosine kinase
inhibitors intercalated with chemotherapy, as a reasonable strategy to deliver
combination therapy. METHODS: A phase I dose-escalating trial using two
scheduling strategies (arms A and B) was conducted in patients with advanced
solid tumors to determine the feasibility of intermittent erlotinib and
docetaxel. Phase II efficacy evaluation was conducted in an expanded cohort of
patients with previously treated advanced NSCLC using arm B scheduling. Docetaxel
was given every 21 days (70-75 mg/m intravenously) in both arms. In arm A,
erlotinib was administered on days 2, 9, and 16 (600-1000 mg); in arm B,
erlotinib was delivered on days 2 through 16 (150-300 mg). Patients without
progression or unacceptable toxicity after six cycles continued erlotinib alone.
RESULTS: Eighty-one patients were enrolled in this study (17 arm A; 25 arm B; and
39 at phase II dose). Phase I patients had advanced solid tumors and 22 with
NSCLC (10 and 12 patients for arms A and B, respectively). Treatment was well
tolerated for both arms, with dose-limiting toxicities including grade 3
infection and febrile neutropenia in arm A (maximum tolerated dose [MTD] of
erlotinib 600 mg/docetaxel 70 mg/m) and grade 4 rash, febrile neutropenia, grade
3 mucositis, and grade 3 diarrhea in arm B (MTD of erlotinib 200 mg/docetaxel 70
mg/m). The MTD for arm B was chosen for phase II evaluation given the feasibility
of administration, number of responses (one complete response and three partial
responses), and achievement of pharmacodynamic separation. The response rate for
patients treated at the phase II dose was 28.2%, and the disease control rate was
64.1%. Median progression-free and overall survival were 4.1 and 18.2 months,
respectively. Common grade >=3 toxicities were neutropenia (36%) and diarrhea
(18%). CONCLUSIONS: Pharmacodynamic separation using intercalated schedules of
erlotinib delivered on an intermittent basis together with docetaxel chemotherapy
is feasible and tolerable. Further studies using this approach together with
interrogation of relevant molecular pathways are ongoing.
PMID- 21892110
TI - Renal stones, timing hypothesis, and eu-estrogenemia.
AB - OBJECTIVE: The aim of this study was to apply the theory of the timing hypothesis
to the data presented on the incidence of renal stones in the Women's Health
Initiative and the theory of eu-estrogenemia. METHODS: The study is a review of
the literature on the theory of renal stone formation and postmenopausal women,
including data from the Nurses' Health Study and the Women's Health Initiative.
RESULTS: The analysis of the hazard ratios and CIs of renal stones in the Women's
Health Initiative shows that specific subgroups are affected. The CIs of the
hazard ratios did not overlap 1.0 in the 60- to 64-year-old age group and in
women whose time since menopause at study entry was 6 to 10 years. The CIs of all
other age groups and years from menopause overlapped 1.0. Hormone therapy use by
women described as "current users" at time of entry into the study in the
treatment had a hazard ratio of 0.99. CONCLUSIONS: The timing hypothesis of
Clarkson (Menopause 14:373-384; 2007) seems to explain the hazard ratio and CI of
renal stones in the Women's Health Initiative. A closer analysis of the subgroups
of women who had a higher incidence of renal stones suggests that the timing
hypothesis may explain the results from the Women's Health Initiative versus
previous studies such as the Nurses' Health Study. The CIs of the hazard ratios
of the subgroups that did not overlap 1.0 included women 6 to 10 years beyond
menopause, those who were aged from 60 to 64 years, and "never users" of hormone
therapy. The hazard ratio for renal stones among "current users" in the Women's
Health Initiative was 0.99. This analysis suggests that the timing hypothesis may
affect estrogen receptor-alpha-mediated processes in the kidney. Furthermore,
Clarkson's work may support the vascular etiology of renal stones.
PMID- 21892112
TI - Tear menisci after overnight contact lens wear.
AB - PURPOSE: To determine the effect of overnight wear of contact lenses (CL) on tear
meniscus, tear film stability, and subjective comfort. METHODS: Sixty
asymptomatic subjects were divided into three groups. The control group was
composed of 20 non-CL wearers. Twenty inexperienced and 20 experienced CL wearers
were enrolled as group 1 and group 2. For each subject in groups 1 and 2, a
hydrogel and a silicone hydrogel CL were randomly assigned to each eye. Optical
coherence tomography was used to determine volumes of the upper and lower tear
menisci. Tear film stability was determined by non-invasive tear break-up time
(NITBUT) measured by tearscope. A previously used questionnaire assessed dry eye
symptoms. Subjects were evaluated during an 11-h period, including immediately
before and after sleeping. RESULTS: Compared with the presleep levels, tear
meniscus volume in the control group increased immediately after awakening and
recovered by 1 hour later (p < 0.05). In contrast, tear meniscus volume in lens
wearers increased on eye opening and recovered by 10 min later (p < 0.05). Tear
meniscus volumes in CL wearers were less than those in controls at eye opening.
NITBUT and comfort scores decreased immediately after CL insertion (p = 0.00).
After eye opening, NITBUT was larger than the presleep levels until 10 min later.
The comfort score was lower than the presleep values until 5 min later.
CONCLUSIONS: Dynamic changes in the tear menisci, NITBUT, and comfort level were
evident in both inexperienced and experienced CL wearers during and after
overnight wear. Decreased tear meniscus volumes and tear film stability may
contribute to lower eye comfort for extended CL wearers. The tearing on eye
opening may facilitate improvement of ocular comfort and tear refreshment for a
reconditioning of the tear system and the CL.
PMID- 21892113
TI - Wolfram Syndrome: a rare optic neuropathy in youth with type 1 diabetes.
AB - Wolfram Syndrome (WS) is a rare, autosomal recessive disorder that causes non
autoimmune type 1 diabetes. The etiology involves a single gene mutation of the
wolframin protein inducing endoplasmic reticulum stress and apoptosis in selected
cell types with resultant diabetes insipidus, diabetes mellitus, optic atrophy,
and sensory-neural deafness. Symptoms are initially absent and signs within the
posterior segment of the eye are usually the earliest indicator of WS.These cases
characterize unusual and poorly described findings of pigmentary maculopathy in
WS and illustrate the importance of collaboration between diabetes and eye care
providers; especially in cases of non-autoimmune type 1 diabetes exhibiting
atypical human leukocyte-associated antigen haplotypes.
PMID- 21892111
TI - C-reactive protein is associated with aortic stiffness in a cohort of African
American and white women transitioning through menopause.
AB - OBJECTIVE: Arterial stiffness is a marker of cardiovascular health. Arterial
stiffness and C-reactive protein (CRP) are linked to cardiovascular outcomes.
Increases in both inflammation and arterial stiffness are known to occur with
menopause. The association between CRP and arterial stiffness is well accepted;
however, no study has determined whether there are differences in this
association by menopause status and race, independent of age. METHODS: The cross
sectional association between CRP and aortic pulse wave velocity (PWV), a
validated measure of central arterial stiffening, was evaluated in 307 African
American and white women enrolled in an ancillary study to the Study of Women's
Health Across the Nation. Women were categorized into premenopausal or early
perimenopausal (n = 185) and late perimenopausal or postmenopausal (n = 122).
RESULTS: Natural log-transformed CRP was not associated with PWV in a linear
regression model adjusted for age and cardiovascular risk factors (beta = 15.9, P
= 0.11). Moreover, models stratified by menopause status showed a linear
relationship between CRP and PWV among late perimenopausal or postmenopausal
women (beta = 36.2, P = 0.049) but not for premenopausal or early perimenopausal
women (beta = 5.9, P = 0.61). The menopause status * log-transformed CRP and
menopause status * race interactions were significant in their respective models
adjusted for age and risk factors (P = 0.03 for both); however, when combined
into one model, the two interactions were slightly attenuated (P = 0.063 and
0.052, respectively). CONCLUSIONS: Menopause is strengthening the association
between CRP and PWV, independent of age, and this effect seems to be stronger
among African American women. This study provides a potential mechanism for the
increased risk of cardiovascular disease among postmenopausal women.
PMID- 21892114
TI - Prescribing for hyperopia in childhood and teenage by academic optometrists.
AB - PURPOSE: The purpose of this study was to examine the prescribing patterns of
academic optometrists for infants, children, and teenagers with hyperopia and the
factors that affected the decision to prescribe. A comparison was made to
published guidelines for prescribing for hyperopia in children. METHODS: The
Waterloo Eye Study (WatES) database is a database of all patients attending the
Primary Care Clinic or the Pediatric Clinic at the School of Optometry,
University of Waterloo, between February 2007 and January 2008. Records for 698
patients aged from birth to 19 years with hyperopia but without strabismus or
significant anisometropia were extracted. They were analyzed to determine the
factors that predicted whether a child was prescribed spectacles and the 50%
prescribing points for hyperopia and astigmatism according to age. RESULTS:
Univariate analysis showed that the level of hyperopia, astigmatism, age,
distance, and near phoria and presence of symptoms were associated with the
prescription of spectacles (p < 0.05). Multivariate analysis showed that the
prescription of spectacles was predicted by age, highest sphere (either right or
left eye), highest cylinder, the presence of symptoms, and distance phoria. Among
0 to 3 year olds, all the children with 5 D or more of hyperopia had been
prescribed spectacles. Among the 4 to 6 year olds, this point was 3.25 D; and for
the 7 to 19 year olds, it was 2.25 D. The levels at which 50% of the population
had been prescribed spectacles was 3.7, 1.8, and 1.1 D for the 0 to 3 year olds,
4 to 6 year olds, and 7 to 19 year olds, respectively. There was frequently a
difference between the refraction and the prescription such that the younger
children, in particular, were often under corrected for both hyperopia and
astigmatism. CONCLUSIONS: The optometrists in this academic setting appear to
follow the available optometric guidelines for prescribing for hyperopia. They
tend to prescribe for lower levels of hyperopia than U.S. ophthalmologists.
PMID- 21892115
TI - Eye for modeling visual distortion in central serous retinopathy.
AB - PURPOSE: To present a simple mathematical eye model capable of modeling the
metamorphopsia (visual distortion) reported by patients with central serous
retinopathy (CSR). METHODS: A computer program was developed to perform the
modeling. A simple wide-angle eye model was adapted to include a spherical edema
added at the retina. The visual impression was taken as the projection of the
retinal pattern back into object space, after the edema had been deflated to its
undistorted state. The deflation of the edema was facilitated by assuming a
simplified "spreading of photoreceptors" rule. Numerical examples have been
included to demonstrate the use of the model. In particular, an Amsler grid was
projected to the retina with CSR, to illustrate the associated geometrical
distortion. RESULTS: The eye model predicted a pincushion-like distortion of an
Amsler grid, that depended (for given height) on the radius of curvature of the
CSR. A larger radius of curvature resulted in less pincushion distortion
extending over a larger area. CONCLUSIONS: An eye model has been developed that
simulates distortion because of CSR. The predicted visual impression of a
spherical edema is a pincushion-like distortion. This model may have applications
in benchmarking alternate chart designs for detecting CSR, investigating optical
aberrations over the edema as well as simulating the visual effect of
metamorphopsia in other retinal conditions.
PMID- 21892116
TI - Non-invasive collection and examination of human corneal epithelial cells.
AB - PURPOSE: To report the development of a new apparatus for non-invasive collection
of human corneal epithelial cells. METHODS: Previous methods of non-invasive,
irrigative corneal cell collection resulted in low cell yields limiting potential
analysis. A new ocular surface cell collection apparatus (OSCCA) was designed to
collect more epithelial cells from direct irrigation of the corneal surface to
allow for clinical comparisons. Forty-five samples were obtained (unilateral or
bilateral over seven visits) from five human participants. Cell yield, size,
phenotype, and corneal staining (prior and post eye wash) were examined. RESULTS:
On average 364 +/- 230 epithelial cells were collected from the cornea per eye.
Epithelial cell sizes ranged from 8.21 to 51.69 MUm in diameter, and 67.30 to
2098.85 MUm area. The proportion of corneal specific cells collected per sample
was 75 +/- 14% as determined by positive K3 expression with AE5. On average, 77
+/- 0.2% of epithelial cells harvested were nucleated, the remainder were non
nucleated ghost cells. Corneal staining was reduced in the OSCCA-washed vs.
contralateral non-washed eyes (p = 0.02). CONCLUSIONS: The OSCCA allows
collection of human corneal epithelial cells with significantly higher yields,
and greater specificity than previously reported. Reduced corneal staining
observed post eye-wash demonstrated the safety of the technique, and its ability
to remove cells directly from the corneal surface. The OSCCA could provide an
objective non-invasive method of investigating pathological changes, effects of
topical therapeutics, and impact of contact lenses and care-solutions of the
cells of the ocular surface.
PMID- 21892117
TI - Continuous facial nerve stimulating burr for otologic surgeries.
AB - OBJECTIVE: To evaluate a continuous facial nerve (FN) stimulating burr (the
StimBurGard) during otologic/neurotologic procedures in terms of safety and
reliability when drilling in contact with the Fallopian canal (FC) of the mastoid
segment of the FN. STUDY DESIGN: Prospective clinical trial. SETTING: Tertiary
referral center. PATIENTS: Thirty-five patients operated through
translabyrinthine (TL) approach for vestibular schwannoma removal were divided
into 3 groups. Group 1 (5 patients): the stimulation current was set at 3 and
then at 2 mA visualizing the localization of the burr when the first response at
100-MUV threshold was obtained in the mastoid cavity. Group 2 (15 patients):
exposure of the FC in the mastoid segment during TL approach was stopped when the
first response was obtained at 1-mA stimulation; FC thickness in the second genu
and mastoid segment of the FC was evaluated on a postoperative computed
tomographic (CT) scan, and FC dehiscence observed on CT scan was compared with
surgical observation. Group 3 (15 patients), exposure of the FC was performed as
routinely done during a TL approach and surgical observation of FC dehiscence;
stimulation values in mA at the 100 MUV threshold and FC thickness on
postoperative CT scan were evaluated. In all cases, the stimulation value at the
cerebellopontine angle root of the FN with a 100-MUV response threshold was
measured before tumor resection. RESULTS: Group 1: stimulation at 3 mA occurred
in aditus ad antrum and at 2 mA near the FC. Group 2: mean thickness of 1.09 +/-
0.69 mm with 2 cases of radiologic dehiscence of the FN. Group 3: the stimulation
threshold was 0.6 +/- 0.37 mA, and the thickness was 0.41 +/- 0.56 mm with 9
cases of uncovered FN (p = 0.0082). In all patients, FN at brainstem was
stimulated at 0.03 mA before VS dissection. CONCLUSION: Continuous FN stimulating
burr by means of the StimBurGard system is a safe and effective tool for FN
stimulation and identification. The integrity of FC is preserved in most cases
when the stimulation intensity is 1 mA.
PMID- 21892118
TI - Length of nerve gap defects correlates with incidence of nerve regeneration but
not with recovery of taste function in patients with severed chorda tympani
nerve.
AB - OBJECTIVE: To evaluate the relationship between the length of nerve gap defects,
incidence of nerve regeneration, and recovery of gustatory function after
severing the chorda tympani nerve (CTN). STUDY DESIGN: Retrospective study.
SETTING: University hospital. PATIENTS: Eighty-eight consecutive patients whose
CTNs were severed during primary surgery and who underwent secondary surgery were
included. Proximal and distal stumps of severed nerves were readapted or
approximated during surgery. INTERVENTION: Therapeutic. MAIN OUTCOME MEASURES:
Before and after surgery, the taste function was periodically evaluated using
electrogustometry. Nerve gaps were classified into 4 groups: readaptation (Group
1), 1 to 3 mm (Group 2), 4 to 6 mm (Group 3), and more than 7 mm (Group 4).
RESULTS: Regenerated nerves in the tympanic segment were detected in 36 (41%) of
the 88 patients during secondary surgery. The incidence of nerve regeneration was
100% (10/10) in Group 1, 45% (10/22) in Group 2, 47% (9/19) in Group 3, and 19%
(7/37) in Group 4. There was a significant difference between the length of nerve
gap defects and incidence of nerve regeneration (p < 0.001). In the 36 patients
with a regenerated CTN, the incidence of gustatory function recovery was 60%
(6/10) in Group 1, 50% (5/10) in Group 2, 56% (5/9) in Group 3, and 43% (3/7) in
Group 4. There was no significant difference between the length of nerve gap
defects and incidence of taste function recovery. CONCLUSION: Reconstruction of a
severed CTN is very important for regeneration. However, the regenerated CTN in
the tympanic segment does not always reinnervate the fungiform papillae.
PMID- 21892119
TI - The impact of platelet-derived growth factor on closure of chronic tympanic
membrane perforations: a randomized, double-blind, placebo-controlled study.
AB - OBJECTIVE: Patients with tympanic membrane (TM) perforations often have
infections, and repetitive topical treatment may be required. These infections
can be prevented by permanent closure of the TM perforation. Different surgical
treatment options have been described, but noninvasive techniques may be
preferred as they carry less risk than surgery. One noninvasive approach is to
induce wound healing by application of growth factors. The effect and clinical
use of applying topical platelet-derived growth factor (PDGF) for decrease of
size and closure of chronic TM perforations is evaluated. STUDY DESIGN:
Prospective, randomized, placebo-controlled, double-blind study. SETTING:
Tertiary referral center. PATIENTS: Twenty patients with chronic suppurative
otitis media without cholesteatoma for more than 3 months. INTERVENTION: Topical
treatment with PDGF or placebo applied weekly to the TM for 6 weeks. MAIN OUTCOME
MEASURES: Success rate, defined as a reduction of perforation size of 50% or more
to determine relative changes of the perforation size; effect of initial size and
location of TM perforation on success rate; and air and bone conduction
thresholds to determine air-bone gap measured before treatment. RESULTS:
Randomization made matching pretreatment perforation size of the 2 study groups
impossible, and the initial rate perforation/TM was significantly smaller in the
PDGF group. No difference between the 2 groups was found for perforation/TM less
than 10%. However, success rate did not differ significantly between the 2 groups
(power = 0.8), and the effect of PDGF was found to be small (-2%; standard
deviation, +/-49%). Initial size and position of the TM perforation were not
significant factors determining success. Mean air-bone gap for the frequencies of
0.5, 1, 2, and 4 kHz was 22.5 dB. CONCLUSION: The topical application of PDGF as
an office treatment for chronic otitis media is not a favorable alternative to
surgery.
PMID- 21892120
TI - Involvement of the anterior semicircular canal in posttraumatic benign paroxysmal
positioning vertigo.
AB - OBJECTIVE: To study the involvement of the different semicircular canals in
posttraumatic benign paroxysmal positioning vertigo (BPPV) with special reference
to the anterior canal (AC). STUDY DESIGN: Retrospective review. SETTING: Tertiary
referral center. PATIENTS: Seventy-four BPPV patients. INTERVENTIONS:
Neurotologic assessment with video-oculography; treatment of BPPV with the
canalith repositioning procedure appropriate for the affected semicircular canal.
MAIN OUTCOME MEASURES: Number of patients with AC, posterior canal (PC),
horizontal canal (HC), and multiple-canal involvement in posttraumatic versus
idiopathic BPPV. RESULTS: 85.1% of patients were classified as idiopathic BPPV,
whereas 14.9% had a history of posttraumatic BPPV. The prevalence of AC BPPV was
significantly higher in the posttraumatic group (27.3%) compared with that in the
idiopathic group (3.2%; Fisher's exact test: p = 0.021). Multiple-canal
(combined) BPPV was observed more frequently after head trauma (27.3%) compared
with the idiopathic form of the disorder (1.6%; p = 0.009). In particular, the
risk for combined AC/PC BPPV was greater in posttraumatic than idiopathic cases
(odds ratio, 13.78; 95% confidence interval, 1.13-167.8). No significant
differences were observed for the involvement of the PC and HC between the two
groups. Two cases of combined AC/PC BPPV are presented with particular respect to
the underlying trauma mechanism. CONCLUSION: Head trauma is a risk factor for AC
and combined BPPV, in particular AC/PC BPPV. Involvement of the AC should
especially be considered in patients who experienced head trauma resulting in a
nonupright position of the body.
PMID- 21892121
TI - The modified Romberg Balance Test: normative data in U.S. adults.
AB - OBJECTIVE: To generate normative values for performance on the modified Romberg
Test of Standing Balance on Firm and Compliant Support Surfaces stratified by
age, sex, and race/ethnicity and to determine fall risk associated with different
levels of performance. STUDY DESIGN: National cross-sectional survey. SETTING:
Ambulatory examination centers. PATIENTS: U.S. adults 40 years and older who
participated in the 2001-2004 National Health and Nutrition Examination Survey (n
= 5,086). INTERVENTIONS: Time to failure on the modified Romberg Test of Standing
Balance on Firm and Compliant Support Surfaces. MAIN OUTCOME MEASURES: History of
falling in the previous 12 months. RESULTS: We observed that the time to failure
decreased with increasing age across all sex and race/ethnicity categories. We
found that once individuals went below a time to failure of 20 seconds, there was
a significant greater than 3-fold increase in the odds of falling. In general,
participants crossed the 20-second threshold at the age of 60 to 69 years.
CONCLUSION: We established nationally representative normative values for
performance on the modified Romberg test and noted differences in the rates of
change across demographic groups. In addition, we demonstrated the fall risk
associated with different levels of performance. These data will aid the
clinician in interpreting and risk stratifying their patient's performance on
this postural test.
PMID- 21892122
TI - Melanocytic nevus of the external auditory canal.
PMID- 21892123
TI - New bone formation in patients with cochlear implants and otosclerosis.
PMID- 21892124
TI - Antibiotic treatment of Escherichia coli O157 infection and the risk of hemolytic
uremic syndrome, Minnesota.
AB - BACKGROUND: Infection with Escherichia coli O157 (O157) can lead to the
development of hemolytic uremic syndrome (HUS). Treating O157 infections with
antibiotics is a possible risk factor for HUS development; however, previous
studies evaluating this relationship have yielded conflicting results. The
objective of this study was to further evaluate this issue. METHODS: An age
matched case-case comparison study comprising Minnesota residents less than 20
years of age with culture-confirmed O157 infection who did (n = 66) or did not (n
= 129) subsequently develop HUS was conducted. Subjects were identified through
statewide surveillance activities by the Minnesota Department of Health from 1996
to 2002. RESULTS: Overall antibiotic treatment was not associated with the
development of HUS. Self-reported vomiting and female gender were significantly
associated with the development of HUS. After adjustment for illness severity and
gender, subjects who developed HUS were more likely to have been treated only
with bactericidal antibiotics within the first 3 days (adjusted matched odds
ratio [OR], 12.4; 95% confidence interval [CI], 1.4-110.3) or within the first 7
days (OR, 18.0; 95% CI, 1.9-170.9) after the onset of diarrhea. In particular,
the use of beta-lactams (penicillins or cephalosporins) in the first 3 days after
diarrhea onset was also significant after adjustment (OR, 11.3; 95% CI, 1.2
106.7). CONCLUSIONS: Individuals infected with O157 infection presenting with a
more severe illness were at an increased risk of developing HUS. The use of
bactericidal antibiotics, particularly beta-lactams, to treat O157 infection was
associated with the subsequent development of HUS.
PMID- 21892126
TI - Application of preparative high-speed counter-current chromatography for the
separation of two alkaloids from the roots of Tabernaemontana catharinensis
(Apocynaceae).
AB - The methanolic extract of Tabernaemontana catharinensis (Apocynaceae) roots,
which contains alkaloids with several biological activities, was separated on a
preparative scale using high-speed counter-current chromatography. The optimum
solvent system was found to be a mixture of CHCl(3)-MeOH-H(2)O [5:10:6 (v/v/v)]
and led to a successful separation of two monoterpenic indole alkaloids,
voachalotine (1) and 12-methoxy-N(b)-methylvoachalotine (2) in approximately 4.0
hours. The alkaloids were all isolated at purities over 95%, and their structures
were established on the basis of spectroscopic methods, including 1D and 2D NMR
and EI/MS.
PMID- 21892125
TI - t-Bu2SiF-derivatized D2-receptor ligands: the first SiFA-containing small
molecule radiotracers for target-specific PET-imaging.
AB - The synthesis, radiolabeling and in vitro evaluation of new silicon-fluoride
acceptor (SiFA) derivatized D(2)-receptor ligands is reported. The SiFA
technology simplifies the introduction of fluorine-18 into target specific
biomolecules for Positron-Emission-Tomography (PET). However, one of the
remaining challenges, especially for small molecules such as receptor-ligands, is
the bulkiness of the SiFA-moiety. We therefore synthesized four Fallypride SiFA
conjugates derivatized either directly at the benzoic acid ring system (SiFA
DMFP, SiFA-FP, SiFA-DDMFP) or at the butyl-side chain (SiFA-M-FP) and tested
their receptor affinities. We found D(2)-receptor affinities for all compounds in
the nanomolar range (K(i(SiFA-DMFP)) = 13.6 nM, K(i(SiFA-FP)) = 33.0 nM, K(i(SiFA
DDMFP)) = 62.7 nM and K(i(SiFA-M-FP)) = 4.21 nM). The radiofluorination showed
highest yields when 10 nmol of the precursors were reacted with
[(18)F]fluoride/TBAHCO(3) in acetonitrile. After a reversed phased cartridge
purification the desired products could be isolated as an injectable solution
after only 10 min synthesis time with radiochemical yields (RCY) of more than 40%
in the case of SiFA-DMFP resulting in specific activities >41 GBq/umol (>1,100
Ci/mmol). Furthermore, the radiolabeled products were shown to be stable in the
injectable solutions, as well as in human plasma, for at least 90 min.
PMID- 21892127
TI - Thermal and rheological properties of a family of botryosphaerans produced by
Botryosphaeria rhodina MAMB-05.
AB - Differential scanning calorimetry (DSC), thermogravimetry (TG) and Fourier
transform infra-red spectroscopy (FT-IR) analyses were performed to investigate
changes in the physico-chemical properties of botryosphaerans, a family of
exopolysaccharides (EPS) produced by the fungus Botryosphaeria rhodina MAMB-05
grown on glucose (EPS(GLC)), sucrose (EPS(SUC)) and fructose (EPS(FRU)). A slight
endothermic transition and small mass loss attributable to the removal of water
of hydration were observed in the DSC and TG analyses, respectively, for the
three EPS samples. The FT-IR spectra confirmed no structural changes occurred
during thermal treatment. Viscometry was utilized to obtain information on the
rheological behaviour of the EPS in aqueous solutions. The Power Law and Cross
Equations determined the natural pseudoplastic characteristics of the EPS.
Comparatively, results obtained for EPS produced when B. rhodina MAMB-05 was
grown on each of the three carbohydrate sources demonstrated similar apparent
viscosity values for EPS(GLC) and EPS(SUC), while EPS(FRU) displayed the lowest
apparent viscosity of the three botryosphaerans, suggesting a higher degree of
ramification and lower Mw. EPS(GLC) and EPS(SUC) possessed similar degrees of
ramification. The slight differences found in their viscosities can be explained
by the differences in the type of branching among the three botryosphaerans, thus
varying the strength of intermolecular interactions and consequently, consistency
and viscosity. The physico-chemical studies of botryosphaerans represent the
originality of this work, and the knowledge of these properties is an important
criterion for potential applications.
PMID- 21892128
TI - Bioactivity of Carica papaya (Caricaceae) against Spodoptera frugiperda
(Lepidoptera: Noctuidae).
AB - The composition of a chloroform seed extract of C. papaya was determined by GC
MS. Nineteen compounds were identified, with oleic (45.97%), palmitic (24.1%) and
stearic (8.52%) acids being the main components. The insecticidal and
insectistatic activities of the extract and the three main constituents were
tested. Larval duration increased by 3.4 d and 2.5 d when the extract was used at
16,000 and 9,600 ppm, respectively, whereas the pupal period increased by 2.2 d
and 1.1 d at the same concentrations. Larval viability values were 0%, 29.2%, and
50% when the extract was applied at 24,000, 16,000, and 9,600 ppm, respectively;
pupal viability was 42.9% and 66.7% at 16,000 and 9,600 ppm; and pupal weight
decreased by 25.4% and 11.5% at 16,000 and 9,600 ppm. The larval viability of the
main compounds was 33.3%, 48.5%, and 62.5% when exposed to 1,600 ppm of palmitic
acid, oleic acid, or stearic acid, respectively.
PMID- 21892129
TI - IBD: UV protection and dermatological screening needed for IBD patients exposed
to thiopurines.
PMID- 21892130
TI - Liver: Successful conversion of fibroblasts into liver cells.
PMID- 21892132
TI - Colorectal cancer: Telomere length and risk of CRC.
PMID- 21892133
TI - Gastrointestinal bleeding: PPIs shown to exacerbate NSAID injury in rats through
dysbiosis.
PMID- 21892134
TI - Barrett esophagus: Blood group linked to risk of cancer in patients with Barrett
esophagus.
PMID- 21892135
TI - Liver: Potential of resistance exercise as a lipid-lowering treatment for NAFLD
that is independent of weight loss.
PMID- 21892136
TI - Obesity: Orlistat 60 mg reduces levels of visceral adipose tissue in obese
individuals.
PMID- 21892137
TI - Orientation-specific signalling by thrombopoietin receptor dimers.
AB - Ligand binding to the thrombopoietin receptor is thought to stabilize an active
receptor dimer that regulates megakaryocyte differentiation and platelet
formation, as well as haematopoietic stem cell renewal. By fusing a dimeric
coiled coil in all seven possible orientations to the thrombopoietin receptor
transmembrane (TM)-cytoplasmic domains, we show that specific biological effects
and in vivo phenotypes are imparted by distinct dimeric orientations, which can
be visualized by cysteine mutagenesis and crosslinking. Using functional assays
and computational searches, we identify one orientation that represents the
inactive dimeric state and another similar to a physiologically activated
receptor. Several other dimeric orientations are identified that induce
proliferation and in vivo myeloproliferative and myelodysplastic disorders,
indicating the receptor can signal from several dimeric interfaces. The set of
dimeric thrombopoietin receptors with different TM orientations may offer new
insights into the activation of distinct signalling pathways by a single receptor
and suggests that subtle differences in cytokine receptor dimerization provide a
new layer of signalling regulation that is relevant for disease.
PMID- 21892139
TI - What's wrong with correlative experiments?
AB - Here, we make a case for multivariate measurements in cell biology with minimal
perturbation. We discuss how correlative data can identify cause-effect
relationships in cellular pathways with potentially greater accuracy than
conventional perturbation studies.
PMID- 21892138
TI - Crystal structures of an archaeal class II DNA photolyase and its complex with UV
damaged duplex DNA.
AB - Class II photolyases ubiquitously occur in plants, animals, prokaryotes and some
viruses. Like the distantly related microbial class I photolyases, these enzymes
repair UV-induced cyclobutane pyrimidine dimer (CPD) lesions within duplex DNA
using blue/near-UV light. Methanosarcina mazei Mm0852 is a class II photolyase of
the archaeal order of Methanosarcinales, and is closely related to plant and
metazoan counterparts. Mm0852 catalyses light-driven DNA repair and
photoreduction, but in contrast to class I enzymes lacks a high degree of binding
discrimination between UV-damaged and intact duplex DNA. We solved crystal
structures of Mm0852, the first one for a class II photolyase, alone and in
complex with CPD lesion-containing duplex DNA. The lesion-binding mode differs
from other photolyases by a larger DNA-binding site, and an unrepaired CPD lesion
is found flipped into the active site and recognized by a cluster of five water
molecules next to the bound 3'-thymine base. Different from other members of the
photolyase-cryptochrome family, class II photolyases appear to utilize an
unusual, conserved tryptophane dyad as electron transfer pathway to the catalytic
FAD cofactor.
PMID- 21892140
TI - Visualizing branched actin filaments in lamellipodia by electron tomography.
PMID- 21892143
TI - Wnt: what's needed to maintain pluripotency?
AB - A precise role for the canonical Wnt pathway in maintaining pluripotency in mouse
embryonic stem cells (mESCs) has been debated. Four recent reports add pieces to
the puzzle and together these results may help establish a robust model.
PMID- 21892142
TI - The AMPK signalling pathway coordinates cell growth, autophagy and metabolism.
AB - One of the central regulators of cellular and organismal metabolism in eukaryotes
is AMP-activated protein kinase (AMPK), which is activated when intracellular ATP
production decreases. AMPK has critical roles in regulating growth and
reprogramming metabolism, and has recently been connected to cellular processes
such as autophagy and cell polarity. Here we review a number of recent
breakthroughs in the mechanistic understanding of AMPK function, focusing on a
number of newly identified downstream effectors of AMPK.
PMID- 21892144
TI - Coupling mitochondrial and cell division.
AB - The mitochondrial network fragments during mitosis to allow proper segregation of
the organelles between daughter cells. Two mitotic kinases, the cyclin B-CDK1
complex and Aurora A, are now shown to cooperate with the small G protein RALA
and its effector RALBP1 to promote DRP1 phosphorylation and mitochondrial
fission.
PMID- 21892149
TI - Believe it or not: how much can we rely on published data on potential drug
targets?
PMID- 21892150
TI - FaST linear mixed models for genome-wide association studies.
AB - We describe factored spectrally transformed linear mixed models (FaST-LMM), an
algorithm for genome-wide association studies (GWAS) that scales linearly with
cohort size in both run time and memory use. On Wellcome Trust data for 15,000
individuals, FaST-LMM ran an order of magnitude faster than current efficient
algorithms. Our algorithm can analyze data for 120,000 individuals in just a few
hours, whereas current algorithms fail on data for even 20,000 individuals
(http://mscompbio.codeplex.com/).
PMID- 21892152
TI - Firefly luciferase mutants as sensors of proteome stress.
AB - Maintenance of cellular protein homeostasis (proteostasis) depends on a complex
network of molecular chaperones, proteases and other regulatory factors.
Proteostasis deficiency develops during normal aging and predisposes individuals
for many diseases, including neurodegenerative disorders. Here we describe sensor
proteins for the comparative measurement of proteostasis capacity in different
cell types and model organisms. These sensors are increasingly structurally
destabilized versions of firefly luciferase. Imbalances in proteostasis manifest
as changes in sensor solubility and luminescence activity. We used EGFP-tagged
constructs to monitor the aggregation state of the sensors and the ability of
cells to solubilize or degrade the aggregated proteins. A set of three sensor
proteins serves as a convenient toolkit to assess the proteostasis status in a
wide range of experimental systems, including cell and organism models of stress,
neurodegenerative disease and aging.
PMID- 21892151
TI - Toward the blood-borne miRNome of human diseases.
AB - In a multicenter study, we determined the expression profiles of 863 microRNAs by
array analysis of 454 blood samples from human individuals with different cancers
or noncancer diseases, and validated this 'miRNome' by quantitative real-time
PCR. We detected consistently deregulated profiles for all tested diseases;
pathway analysis confirmed disease association of the respective microRNAs. We
observed significant correlations (P = 0.004) between the genomic location of
disease-associated genetic variants and deregulated microRNAs.
PMID- 21892153
TI - Induced pluripotent stem cells from highly endangered species.
AB - For some highly endangered species there are too few reproductively capable
animals to maintain adequate genetic diversity, and extraordinary measures are
necessary to prevent extinction. We report generation of induced pluripotent stem
cells (iPSCs) from two endangered species: a primate, the drill, Mandrillus
leucophaeus and the nearly extinct northern white rhinoceros, Ceratotherium simum
cottoni. iPSCs may eventually facilitate reintroduction of genetic material into
breeding populations.
PMID- 21892154
TI - Experience-dependent expression of miR-132 regulates ocular dominance plasticity.
AB - miR-132 is a CREB-induced microRNA that is involved in dendritic spine
plasticity. We found that visual experience regulated histone post-translational
modifications at a CRE locus that is important for miR-212 and miR-132 cluster
transcription, and regulated miR-132 expression in the visual cortex of juvenile
mice. Monocular deprivation reduced miR-132 expression in the cortex
contralateral to the deprived eye. Counteracting this miR-132 reduction with an
infusion of chemically modified miR-132 mimic oligonucleotides completely blocked
ocular dominance plasticity.
PMID- 21892155
TI - miR-132, an experience-dependent microRNA, is essential for visual cortex
plasticity.
AB - Using quantitative analyses, we identified microRNAs (miRNAs) that were
abundantly expressed in visual cortex and that responded to dark rearing and/or
monocular deprivation. The most substantially altered miRNA, miR-132, was rapidly
upregulated after eye opening and was delayed by dark rearing. In vivo inhibition
of miR-132 in mice prevented ocular dominance plasticity in identified neurons
following monocular deprivation and affected the maturation of dendritic spines,
demonstrating its critical role in the plasticity of visual cortex circuits.
PMID- 21892156
TI - Constructing scenes from objects in human occipitotemporal cortex.
AB - We used functional magnetic resonance imaging (fMRI) to demonstrate the existence
of a mechanism in the human lateral occipital (LO) cortex that supports
recognition of real-world visual scenes through parallel analysis of within-scene
objects. Neural activity was recorded while subjects viewed four categories of
scenes and eight categories of 'signature' objects strongly associated with the
scenes in three experiments. Multivoxel patterns evoked by scenes in the LO
cortex were well predicted by the average of the patterns elicited by their
signature objects. By contrast, there was no relationship between scene and
object patterns in the parahippocampal place area (PPA), even though this region
responds strongly to scenes and is believed to be crucial for scene
identification. By combining information about multiple objects within a scene,
the LO cortex may support an object-based channel for scene recognition that
complements the processing of global scene properties in the PPA.
PMID- 21892157
TI - Engrailed protects mouse midbrain dopaminergic neurons against mitochondrial
complex I insults.
AB - Mice heterozygous for the homeobox gene Engrailed-1 (En1) display progressive
loss of mesencephalic dopaminergic (mDA) neurons. We report that exogenous
Engrailed-1 and Engrailed-2 (collectively Engrailed) protect mDA neurons from 1
methyl-4-phenyl-1,2,3,6-tetrahydropyridine (MPTP), a mitochondrial complex I
toxin used to model Parkinson's disease in animals. Engrailed enhances the
translation of nuclearly encoded mRNAs for two key complex I subunits, Ndufs1 and
Ndufs3, and increases complex I activity. Accordingly, in vivo protection against
MPTP by Engrailed is antagonized by Ndufs1 small interfering RNA. An association
between Engrailed and complex I is further confirmed by the reduced expression of
Ndufs1 and Ndufs3 in the substantia nigra pars compacta of En1 heterozygous mice.
Engrailed also confers in vivo protection against 6-hydroxydopamine and alpha
synuclein-A30P. Finally, the unilateral infusion of Engrailed into the midbrain
increases striatal dopamine content, resulting in contralateral amphetamine
induced turning. Therefore, Engrailed is both a survival factor for adult mDA
neurons and a regulator of their physiological activity.
PMID- 21892158
TI - Mutations in GATA2 cause primary lymphedema associated with a predisposition to
acute myeloid leukemia (Emberger syndrome).
AB - We report an allelic series of eight mutations in GATA2 underlying Emberger
syndrome, an autosomal dominant primary lymphedema associated with a
predisposition to acute myeloid leukemia. GATA2 is a transcription factor that
plays an essential role in gene regulation during vascular development and
hematopoietic differentiation. Our findings indicate that haploinsufficiency of
GATA2 underlies primary lymphedema and predisposes to acute myeloid leukemia in
this syndrome.
PMID- 21892159
TI - Oncogenic IL7R gain-of-function mutations in childhood T-cell acute lymphoblastic
leukemia.
AB - Interleukin 7 (IL-7) and its receptor, formed by IL-7Ralpha (encoded by IL7R) and
gammac, are essential for normal T-cell development and homeostasis. Here we show
that IL7R is an oncogene mutated in T-cell acute lymphoblastic leukemia (T-ALL).
We find that 9% of individuals with T-ALL have somatic gain-of-function IL7R exon
6 mutations. In most cases, these IL7R mutations introduce an unpaired cysteine
in the extracellular juxtamembrane-transmembrane region and promote de novo
formation of intermolecular disulfide bonds between mutant IL-7Ralpha subunits,
thereby driving constitutive signaling via JAK1 and independently of IL-7, gammac
or JAK3. IL7R mutations induce a gene expression profile partially resembling
that provoked by IL-7 and are enriched in the T-ALL subgroup comprising TLX3
rearranged and HOXA deregulated cases. Notably, IL7R mutations promote cell
transformation and tumor formation. Overall, our findings indicate that IL7R
mutational activation is involved in human T-cell leukemogenesis, paving the way
for therapeutic targeting of IL-7R-mediated signaling in T-ALL.
PMID- 21892160
TI - Germline deletion of the miR-17~92 cluster causes skeletal and growth defects in
humans.
AB - MicroRNAs (miRNAs) are key regulators of gene expression in animals and plants.
Studies in a variety of model organisms show that miRNAs modulate developmental
processes. To our knowledge, the only hereditary condition known to be caused by
a miRNA is a form of adult-onset non-syndromic deafness, and no miRNA mutation
has yet been found to be responsible for any developmental defect in humans. Here
we report the identification of germline hemizygous deletions of MIR17HG,
encoding the miR-17~92 polycistronic miRNA cluster, in individuals with
microcephaly, short stature and digital abnormalities. We demonstrate that
haploinsufficiency of miR-17~92 is responsible for these developmental
abnormalities by showing that mice harboring targeted deletion of the miR-17~92
cluster phenocopy several key features of the affected humans. These findings
identify a regulatory function for miR-17~92 in growth and skeletal development
and represent the first example of an miRNA gene responsible for a syndromic
developmental defect in humans.
PMID- 21892161
TI - Genomic sequencing of colorectal adenocarcinomas identifies a recurrent VTI1A
TCF7L2 fusion.
AB - Prior studies have identified recurrent oncogenic mutations in colorectal
adenocarcinoma and have surveyed exons of protein-coding genes for mutations in
11 affected individuals. Here we report whole-genome sequencing from nine
individuals with colorectal cancer, including primary colorectal tumors and
matched adjacent non-tumor tissues, at an average of 30.7* and 31.9* coverage,
respectively. We identify an average of 75 somatic rearrangements per tumor,
including complex networks of translocations between pairs of chromosomes. Eleven
rearrangements encode predicted in-frame fusion proteins, including a fusion of
VTI1A and TCF7L2 found in 3 out of 97 colorectal cancers. Although TCF7L2 encodes
TCF4, which cooperates with beta-catenin in colorectal carcinogenesis, the fusion
lacks the TCF4 beta-catenin-binding domain. We found a colorectal carcinoma cell
line harboring the fusion gene to be dependent on VTI1A-TCF7L2 for anchorage
independent growth using RNA interference-mediated knockdown. This study shows
previously unidentified levels of genomic rearrangements in colorectal carcinoma
that can lead to essential gene fusions and other oncogenic events.
PMID- 21892162
TI - Heritable GATA2 mutations associated with familial myelodysplastic syndrome and
acute myeloid leukemia.
AB - We report the discovery of GATA2 as a new myelodysplastic syndrome (MDS)-acute
myeloid leukemia (AML) predisposition gene. We found the same, previously
unidentified heterozygous c.1061C>T (p.Thr354Met) missense mutation in the GATA2
transcription factor gene segregating with the multigenerational transmission of
MDS-AML in three families and a GATA2 c.1063_1065delACA (p.Thr355del) mutation at
an adjacent codon in a fourth MDS family. The resulting alterations reside within
the second zinc finger of GATA2, which mediates DNA-binding and protein-protein
interactions. We show differential effects of the mutations on the
transactivation of target genes, cellular differentiation, apoptosis and global
gene expression. Identification of such predisposing genes to familial forms of
MDS and AML is critical for more effective diagnosis and prognosis, counseling,
selection of related bone marrow transplant donors and development of therapies.
PMID- 21892163
TI - Nanopore-based detection of circulating microRNAs in lung cancer patients.
AB - MicroRNAs are short RNA molecules that regulate gene expression, and have been
investigated as potential biomarkers because their expression levels are
correlated with various diseases. However, detecting microRNAs in the bloodstream
remains difficult because current methods are not sufficiently selective or
sensitive. Here, we show that a nanopore sensor based on the alpha-haemolysin
protein can selectively detect microRNAs at the single molecular level in plasma
samples from lung cancer patients without the need for labels or amplification of
the microRNA. The sensor, which uses a programmable oligonucleotide probe to
generate a target-specific signature signal, can quantify subpicomolar levels of
cancer-associated microRNAs and can distinguish single-nucleotide differences
between microRNA family members. This approach is potentially useful for
quantitative microRNA detection, the discovery of disease markers and non
invasive early diagnosis of cancer.
PMID- 21892164
TI - Graphene plasmonics for tunable terahertz metamaterials.
AB - Plasmons describe collective oscillations of electrons. They have a fundamental
role in the dynamic responses of electron systems and form the basis of research
into optical metamaterials. Plasmons of two-dimensional massless electrons, as
present in graphene, show unusual behaviour that enables new tunable plasmonic
metamaterials and, potentially, optoelectronic applications in the terahertz
frequency range. Here we explore plasmon excitations in engineered graphene micro
ribbon arrays. We demonstrate that graphene plasmon resonances can be tuned over
a broad terahertz frequency range by changing micro-ribbon width and in situ
electrostatic doping. The ribbon width and carrier doping dependences of graphene
plasmon frequency demonstrate power-law behaviour characteristic of two
dimensional massless Dirac electrons. The plasmon resonances have remarkably
large oscillator strengths, resulting in prominent room-temperature optical
absorption peaks. In comparison, plasmon absorption in a conventional two
dimensional electron gas was observed only at 4.2 K (refs 13, 14). The results
represent a first look at light-plasmon coupling in graphene and point to
potential graphene-based terahertz metamaterials.
PMID- 21892165
TI - Experimental demonstration of a single-molecule electric motor.
AB - For molecules to be used as components in molecular machines, methods that couple
individual molecules to external energy sources and that selectively excite
motion in a given direction are required. Significant progress has been made in
the construction of molecular motors powered by light and by chemical reactions,
but electrically driven motors have not yet been built, despite several
theoretical proposals for such motors. Here we report that a butyl methyl
sulphide molecule adsorbed on a copper surface can be operated as a single
molecule electric motor. Electrons from a scanning tunnelling microscope are used
to drive the directional motion of the molecule in a two-terminal setup.
Moreover, the temperature and electron flux can be adjusted to allow each
rotational event to be monitored at the molecular scale in real time. The
direction and rate of the rotation are related to the chiralities of both the
molecule and the tip of the microscope (which serves as the electrode),
illustrating the importance of the symmetry of the metal contacts in atomic-scale
electrical devices.
PMID- 21892166
TI - Gold nanoparticles for high-throughput genotyping of long-range haplotypes.
AB - Completion of the Human Genome Project and the HapMap Project has led to
increasing demands for mapping complex traits in humans to understand the
aetiology of diseases. Identifying variations in the DNA sequence, which affect
how we develop disease and respond to pathogens and drugs, is important for this
purpose, but it is difficult to identify these variations in large sample sets.
Here we show that through a combination of capillary sequencing and polymerase
chain reaction assisted by gold nanoparticles, it is possible to identify several
DNA variations that are associated with age-related macular degeneration and
psoriasis on significant regions of human genomic DNA. Our method is accurate and
promising for large-scale and high-throughput genetic analysis of susceptibility
towards disease and drug resistance.
PMID- 21892167
TI - The Rad50 coiled-coil domain is indispensable for Mre11 complex functions.
AB - The Mre11 complex (Mre11, Rad50 and Xrs2 in Saccharomyces cerevisiae) influences
diverse functions in the DNA damage response. The complex comprises the globular
DNA-binding domain and the Rad50 hook domain, which are linked by a long and
extended Rad50 coiled-coil domain. In this study, we constructed rad50 alleles
encoding truncations of the coiled-coil domain to determine which Mre11 complex
functions required the full length of the coils. These mutations abolished
telomere maintenance and meiotic double-strand break (DSB) formation, and
severely impaired homologous recombination, indicating a requirement for long
range action. Nonhomologous end joining, which is probably mediated by the
globular domain of the Mre11 complex, was also severely impaired by alteration of
the coiled-coil and hook domains, providing the first evidence of their influence
on this process. These data show that functions of Mre11 complex are integrated
by the coiled coils of Rad50.
PMID- 21892168
TI - Spliceosome assembly is coupled to RNA polymerase II dynamics at the 3' end of
human genes.
AB - In the nucleus of higher eukaryotes, maturation of mRNA precursors involves an
orderly sequence of transcription-coupled interdependent steps. Transcription is
well known to influence splicing, but how splicing may affect transcription
remains unclear. Here we show that a splicing mutation that prevents recruitment
of spliceosomal snRNPs to nascent transcripts causes co-transcriptional retention
of unprocessed RNAs that remain associated with polymerases stalled predominantly
at the 3' end of the gene. In contrast, treatment with spliceostatin A, which
allows early spliceosome formation but destabilizes subsequent assembly of the
catalytic complex, abolishes 3' end pausing of polymerases and induces leakage of
unspliced transcripts to the nucleoplasm. Taken together, the data suggest that
recruitment of splicing factors and correct assembly of the spliceosome are
coupled to transcription termination, and this might ensure a proofreading
mechanism that slows down release of unprocessed transcripts from the
transcription site.
PMID- 21892169
TI - Apo and InsP3-bound crystal structures of the ligand-binding domain of an InsP3
receptor.
AB - We report the crystal structures of the ligand-binding domain (LBD) of a rat
inositol 1,4,5-trisphosphate receptor (InsP(3)R) in its apo and InsP(3)-bound
conformations. Comparison of these two conformations reveals that LBD's first
beta-trefoil fold (beta-TF1) and armadillo repeat fold (ARF) move together as a
unit relative to its second beta-trefoil fold (beta-TF2). Whereas apo LBD may
spontaneously transition between gating conformations, InsP(3) binding shifts
this equilibrium toward the active state.
PMID- 21892170
TI - Structural analysis of the interaction between Hsp90 and the tumor suppressor
protein p53.
AB - In eukaryotes, the essential dimeric molecular chaperone Hsp90 is required for
the activation and maturation of specific substrates such as steroid hormone
receptors, tyrosine kinases and transcription factors. Hsp90 is involved in the
establishment of cancer and has become an attractive target for drug design. Here
we present a structural characterization of the complex between Hsp90 and the
tumor suppressor p53, a key mediator of apoptosis whose structural integrity is
crucial for cell-cycle control. Using biophysical methods, we show that the human
p53 DNA-binding domain interacts with multiple domains of yeast Hsp90. p53 binds
to the Hsp90 C-terminal domain in its native-like state in a charge-dependent
manner, but it also associates weakly with binding sites in the middle and the N
terminal domains. The fine-tuned interplay between several Hsp90 domains provides
the interactions required for efficient chaperoning of p53.
PMID- 21892171
TI - Defects in RNA quality control factors reveal RNAi-independent nucleation of
heterochromatin.
AB - Heterochromatin assembly at Schizosaccharomyces pombe centromeres involves a self
reinforcing loop mechanism wherein chromatin-bound RNAi factors facilitate
targeting of Clr4-Rik1 methyltransferase. However, the initial nucleation of
heterochromatin has remained elusive. We show that cells lacking Mlo3, a protein
involved in mRNP biogenesis and RNA quality control, assemble functional
heterochromatin in RNAi-deficient cells. Heterochromatin restoration is linked to
RNA surveillance because loss of Mlo3-associated TRAMP also rescues
heterochromatin defects of RNAi mutants. mlo3Delta, which causes accumulation of
bidirectional repeat-transcripts, restores Rik1 enrichment at repeats and
triggers de novo heterochromatin formation in the absence of RNAi. RNAi
independent heterochromatin nucleation occurs at selected euchromatic loci that
show upregulation of antisense RNAs in mlo3Delta cells. We find that the exosome
RNA degradation machinery acts parallel to RNAi to promote heterochromatin
formation at centromeres. These results suggest that RNAi-independent mechanisms
exploit transcription and non-coding RNAs to nucleate heterochromatin.
PMID- 21892172
TI - The inflammasome adaptor ASC regulates the function of adaptive immune cells by
controlling Dock2-mediated Rac activation and actin polymerization.
AB - The adaptor ASC contributes to innate immunity through the assembly of
inflammasome complexes that activate the cysteine protease caspase-1. Here we
demonstrate that ASC has an inflammasome-independent, cell-intrinsic role in
cells of the adaptive immune response. ASC-deficient mice showed defective
antigen presentation by dendritic cells (DCs) and lymphocyte migration due to
impaired actin polymerization mediated by the small GTPase Rac. Genome-wide
analysis showed that ASC, but not the cytoplasmic receptor NLRP3 or caspase-1,
controlled the mRNA stability and expression of Dock2, a guanine nucleotide
exchange factor that mediates Rac-dependent signaling in cells of the immune
response. Dock2-deficient DCs showed defective antigen uptake similar to that of
ASC-deficient cells. Ectopic expression of Dock2 in ASC-deficient cells restored
Rac-mediated actin polymerization, antigen uptake and chemotaxis. Thus, ASC
shapes adaptive immunity independently of inflammasomes by modulating Dock2
dependent Rac activation and actin polymerization in DCs and lymphocytes.
PMID- 21892173
TI - Invariant natural killer T cells recognize glycolipids from pathogenic Gram
positive bacteria.
AB - Natural killer T cells (NKT cells) recognize glycolipid antigens presented by
CD1d. These cells express an evolutionarily conserved, invariant T cell antigen
receptor (TCR), but the forces that drive TCR conservation have remained
uncertain. Here we show that NKT cells recognized diacylglycerol-containing
glycolipids from Streptococcus pneumoniae, the leading cause of community
acquired pneumonia, and group B Streptococcus, which causes neonatal sepsis and
meningitis. Furthermore, CD1d-dependent responses by NKT cells were required for
activation and host protection. The glycolipid response was dependent on vaccenic
acid, which is present in low concentrations in mammalian cells. Our results show
how microbial lipids position the sugar for recognition by the invariant TCR and,
most notably, extend the range of microbes recognized by this conserved TCR to
several clinically important bacteria.
PMID- 21892174
TI - The helicase DDX41 senses intracellular DNA mediated by the adaptor STING in
dendritic cells.
AB - The recognition of pathogenic DNA is important to the initiation of antiviral
responses. Here we report the identification of DDX41, a member of the DEXDc
family of helicases, as an intracellular DNA sensor in myeloid dendritic cells
(mDCs). Knockdown of DDX41 expression by short hairpin RNA blocked the ability of
mDCs to mount type I interferon and cytokine responses to DNA and DNA viruses.
Overexpression of both DDX41 and the membrane-associated adaptor STING together
had a synergistic effect in promoting Ifnb promoter activity. DDX41 bound both
DNA and STING and localized together with STING in the cytosol. Knockdown of
DDX41 expression blocked activation of the mitogen-activated protein kinase TBK1
and the transcription factors NF-kappaB and IRF3 by B-form DNA. Our results
suggest that DDX41 is an additional DNA sensor that depends on STING to sense
pathogenic DNA.
PMID- 21892175
TI - Human cytomegalovirus microRNA miR-US4-1 inhibits CD8(+) T cell responses by
targeting the aminopeptidase ERAP1.
AB - Major histocompatibility complex (MHC) class I molecules present peptides on the
cell surface to CD8(+) T cells, which is critical for the killing of virus
infected or transformed cells. Precursors of MHC class I-presented peptides are
trimmed to mature epitopes by the aminopeptidase ERAP1. The US2-US11 genomic
region of human cytomegalovirus (HCMV) is dispensable for viral replication and
encodes three microRNAs (miRNAs). We show here that HCMV miR-US4-1 specifically
downregulated ERAP1 expression during viral infection. Accordingly, the trimming
of HCMV-derived peptides was inhibited, which led to less susceptibility of
infected cells to HCMV-specific cytotoxic T lymphocytes (CTLs). Our findings
identify a previously unknown viral miRNA-based CTL-evasion mechanism that
targets a key step in the MHC class I antigen-processing pathway.
PMID- 21892176
TI - Large variation of vacancy formation energies in the surface of crystalline ice.
AB - Resolving the atomic structure of the surface of ice particles within clouds,
over the temperature range encountered in the atmosphere and relevant to
understanding heterogeneous catalysis on ice, remains an experimental challenge.
By using first-principles calculations, we show that the surface of crystalline
ice exhibits a remarkable variance in vacancy formation energies, akin to an
amorphous material. We find vacancy formation energies as low as ~0.1-0.2 eV,
which leads to a higher than expected vacancy concentration. Because a vacancy's
reactivity correlates with its formation energy, ice particles may be more
reactive than previously thought. We also show that vacancies significantly
reduce the formation energy of neighbouring vacancies, thus facilitating pitting
and contributing to pre-melting and quasi-liquid layer formation. These surface
properties arise from proton disorder and the relaxation of geometric
constraints, which suggests that other frustrated materials may possess unusual
surface characteristics.
PMID- 21892177
TI - Digitally tunable physicochemical coding of material composition and topography
in continuous microfibres.
AB - Heterotypic functional materials with compositional and topographical properties
that vary spatiotemporally on the micro- or nanoscale are common in nature.
However, fabricating such complex materials in the laboratory remains
challenging. Here we describe a method to continuously create microfibres with
tunable morphological, structural and chemical features using a microfluidic
system consisting of a digital, programmable flow control that mimics the silk
spinning process of spiders. With this method we fabricated hydrogel microfibres
coded with varying chemical composition and topography along the fibre, including
gas micro-bubbles as well as nanoporous spindle-knots and joints that enabled
directional water collection. We also explored the potential use of the coded
microfibres for tissue engineering applications by creating multifunctional
microfibres with a spatially controlled co-culture of encapsulated cells.
PMID- 21892178
TI - Gas detection by structural variations of fluorescent guest molecules in a
flexible porous coordination polymer.
AB - The development of a new methodology for visualizing and detecting gases is
imperative for various applications. Here, we report a novel strategy in which
gas molecules are detected by signals from a reporter guest that can read out a
host structural transformation. A composite between a flexible porous
coordination polymer and fluorescent reporter distyrylbenzene (DSB) selectively
adsorbed CO2 over other atmospheric gases. This adsorption induced a host
transformation, which was accompanied by conformational variations of the
included DSB. This read-out process resulted in a critical change in DSB
fluorescence at a specific threshold pressure. The composite shows different
fluorescence responses to CO2 and acetylene, compounds that have similar
physicochemical properties. Our system showed, for the first time, that
fluorescent molecules can detect gases without any chemical interaction or energy
transfer. The host-guest coupled transformations play a pivotal role in
converting the gas adsorption events into detectable output signals.
PMID- 21892179
TI - An artificial biomineral formed by incorporation of copolymer micelles in calcite
crystals.
AB - Biominerals exhibit morphologies, hierarchical ordering and properties that
invariably surpass those of their synthetic counterparts. A key feature of these
materials, which sets them apart from synthetic crystals, is their nanocomposite
structure, which derives from intimate association of organic molecules with the
mineral host. We here demonstrate the production of artificial biominerals where
single crystals of calcite occlude a remarkable 13 wt% of 20 nm anionic diblock
copolymer micelles, which act as 'pseudo-proteins'. The synthetic crystals
exhibit analogous texture and defect structures to biogenic calcite crystals and
are harder than pure calcite. Further, the micelles are specifically adsorbed on
{104} faces and undergo a change in shape on incorporation within the crystal
lattice. This system provides a unique model for understanding biomineral
formation, giving insight into both the mechanism of occlusion of
biomacromolecules within single crystals, and the relationship between the
macroscopic mechanical properties of a crystal and its microscopic structure.
PMID- 21892180
TI - A recombinant Mycobacterium smegmatis induces potent bactericidal immunity
against Mycobacterium tuberculosis.
AB - We report the involvement of an evolutionarily conserved set of mycobacterial
genes, the esx-3 region, in evasion of bacterial killing by innate immunity.
Whereas high-dose intravenous infections of mice with the rapidly growing
mycobacterial species Mycobacterium smegmatis bearing an intact esx-3 locus were
rapidly lethal, infection with an M. smegmatis Deltaesx-3 mutant (here designated
as the IKE strain) was controlled and cleared by a MyD88-dependent bactericidal
immune response. Introduction of the orthologous Mycobacterium tuberculosis esx-3
genes into the IKE strain resulted in a strain, designated IKEPLUS, that remained
susceptible to innate immune killing and was highly attenuated in mice but had a
marked ability to stimulate bactericidal immunity against challenge with virulent
M. tuberculosis. Analysis of these adaptive immune responses indicated that the
highly protective bactericidal immunity elicited by IKEPLUS was dependent on
CD4(+) memory T cells and involved a distinct shift in the pattern of cytokine
responses by CD4(+) cells. Our results establish a role for the esx-3 locus in
promoting mycobacterial virulence and also identify the IKE strain as a
potentially powerful candidate vaccine vector for eliciting protective immunity
to M. tuberculosis.
PMID- 21892181
TI - Isolation and in vitro expansion of human colonic stem cells.
AB - Here we describe the isolation of stem cells of the human colonic epithelium.
Differential cell surface abundance of ephrin type-B receptor 2 (EPHB2) allows
the purification of different cell types from human colon mucosa biopsies. The
highest EPHB2 surface levels correspond to epithelial colonic cells with the
longest telomeres and elevated expression of intestinal stem cell (ISC) marker
genes. Moreover, using culturing conditions that recreate the ISC niche, a
substantial proportion of EPHB2-high cells can be expanded in vitro as an
undifferentiated and multipotent population.
PMID- 21892182
TI - p38 MAPK-mediated regulation of Xbp1s is crucial for glucose homeostasis.
AB - Here we show that p38 mitogen-activated protein kinase (p38 MAPK) phosphorylates
the spliced form of X-box binding protein 1 (Xbp1s) on its Thr48 and Ser61
residues and greatly enhances its nuclear migration in mice, whereas mutation of
either residue to alanine substantially reduces its nuclear translocation and
activity. We also show that p38 MAPK activity is markedly reduced in the livers
of obese mice compared with lean mice. Further, we show that activation of p38
MAPK by expression of constitutively active MAP kinase kinase 6 (MKK6Glu) greatly
enhances nuclear translocation of Xbp1s, reduces endoplasmic reticulum stress and
establishes euglycemia in severely obese and diabetic mice. Hence, our results
define a crucial role for phosphorylation on Thr48 and Ser61 of Xbp1s in the
maintenance of glucose homeostasis in obesity, and they suggest that p38 MAPK
activation in the livers of obese mice could lead to a new therapeutic approach
to the treatment of type 2 diabetes.
PMID- 21892183
TI - Adaptive braking by Ase1 prevents overlapping microtubules from sliding
completely apart.
AB - Short regions of overlap between ends of antiparallel microtubules are central
elements within bipolar microtubule arrays. Although their formation requires
motors, recent in vitro studies demonstrated that stable overlaps cannot be
generated by molecular motors alone. Motors either slide microtubules along each
other until complete separation or, in the presence of opposing motors, generate
oscillatory movements. Here, we show that Ase1, a member of the conserved
MAP65/PRC1 family of microtubule-bundling proteins, enables the formation of
stable antiparallel overlaps through adaptive braking of Kinesin-14-driven
microtubule-microtubule sliding. As overlapping microtubules start to slide
apart, Ase1 molecules become compacted in the shrinking overlap and the sliding
velocity gradually decreases in a dose-dependent manner. Compaction is driven by
moving microtubule ends that act as barriers to Ase1 diffusion. Quantitative
modelling showed that the molecular off-rate of Ase1 is sufficiently low to
enable persistent overlap stabilization over tens of minutes. The finding of
adaptive braking demonstrates that sliding can be slowed down locally to
stabilize overlaps at the centre of bipolar arrays, whereas sliding proceeds
elsewhere to enable network self-organization.
PMID- 21892184
TI - A genetically incorporated crosslinker reveals chaperone cooperation in acid
resistance.
AB - Acid chaperones are essential factors in preserving the protein homeostasis for
enteric pathogens to survive in the extremely acidic mammalian stomach (pH 1-3).
The client proteins of these chaperones remain largely unknown, primarily because
of the exceeding difficulty of determining protein-protein interactions under low
pH conditions. We developed a genetically encoded, highly efficient protein
photocrosslinking probe, which enabled us to profile the in vivo substrates of a
major acid-protection chaperone, HdeA, in Escherichia coli periplasm. Among the
identified HdeA client proteins, the periplasmic chaperones DegP and SurA were
initially found to be protected by HdeA at a low pH, but they subsequently
facilitated the HdeA-mediated acid recovery of other client proteins. This
unique, ATP-independent chaperone cooperation in the ATP-deprived E. coli
periplasm may support the acid resistance of enteric bacteria. The crosslinker
would be valuable in unveiling the physiological interaction partners of any
given protein and thus their functions under normal and stress conditions.
PMID- 21892185
TI - High-frequency transposition for determining antibacterial mode of action.
AB - Connecting bacterial growth inhibitors to molecular targets at the whole-cell
level is a major impediment to antibacterial development. Herein we report the
design of a highly efficient and versatile bacteriophage-based mariner transposon
delivery system in Staphylococcus aureus for determining inhibitor mode of
action. Using bacteriophage-mediated delivery of concatameric minitransposon
cassettes, we generated nonclonal transposant libraries with genome-wide
insertion-site coverage in either laboratory or methicillin-resistant strain
backgrounds and screened for drug resistance in situ on a single agar plate in
one step. A gradient of gene-target expression levels, along with a
correspondingly diverse assortment of drug-resistant phenotypes, was achieved by
fitting the transposon cassette with a suite of outward-facing promoters. Using a
panel of antibiotics, we demonstrate the ability to unveil not only an
inhibitor's molecular target but also its route of cellular entry, efflux
susceptibility and other off-target resistance mechanisms.
PMID- 21892186
TI - Lymphadenoma of the salivary gland: clinicopathological and immunohistochemical
analysis of 33 tumors.
AB - Lymphadenomas (LADs) are rare salivary gland tumors. Their clinicopathologic
characteristics and etiopathogenesis are poorly understood. We examined 33 LADs
in 31 patients (17 women and 14 men) aged 11-79 years (median 65 years). There
were 22 sebaceous LADs in 21 patients (9 women and 12 men) and 11 non-sebaceous
LADs in 10 patients (8 women and 2 men). Two patients had synchronous double
tumors. Twenty-six tumors (79%) arose in parotid, three in the neck, and two each
in submandibular gland and oral cavity. Extraparotid tumors were seen in 2 of 21
(10%) patients with sebaceous and 4 of 10 (40%) patients with non-sebaceous LADs.
Seven of twenty-three (30%) patients had immunosuppressive therapy for unrelated
diseases. The tumors were well circumscribed, encapsulated (n=28, 84%) painless
masses, varying in size from 0.6 to 6 cm (median 2.2). The cut surfaces were gray
tan to yellow, homogeneous and multicystic (n=24, 72%). The epithelial cells were
basaloid, squamous and glandular, forming solid nests, cords, tubules, and cysts.
Sebaceous differentiation was restricted to sebaceous lymphadenoma. The
epithelial cells expressed basal cell markers (p63, 34BE12, and/or CK5/6, 18/18,
100%) and the luminal glandular cells expressed CK7 (12/12, 100%). Myoepithelial
cells were absent (n=10/16, 63%) or focal. The lymphoid stroma was reactive, with
germinal centers in 28 (84%). There was no evidence of HPV (0/11), EBV (0/7), and
HHV-8 (0/8). Malignant transformation to sebaceous and basal cell adenocarcinoma
was seen in one patient each. None of the 11 patients with follow-up (1-8 years)
recurred. In summary, sebaceous and non-sebaceous LADs are benign, encapsulated,
solid and cystic tumors affecting older adults. Non-sebaceous LADs affect women
and extraparotid sites more frequently than sebaceous LADs. Altered immune status
may have a role in their etiopathogenesis. Multiple synchronous tumors, origin in
buccal mucosa, and malignant transformation may rarely occur.
PMID- 21892187
TI - Transcriptomic analysis of avian digits reveals conserved and derived digit
identities in birds.
AB - Morphological characters are the result of developmental gene expression. The
identity of a character is ultimately grounded in the gene regulatory network
directing development and thus whole-genome gene expression data can provide
evidence about character identity. This approach has been successfully used to
assess cell-type identity. Here we use transcriptomic data to address a long
standing uncertainty in evolutionary biology, the identity of avian wing digits.
Embryological evidence clearly identifies the three wing digits as developing
from digit positions 2, 3 and 4 (ref. 6), whereas palaeontological data suggest
that they are digits I, II and III. We compare the transcriptomes of the wing and
foot digits and find a strong signal that unites the first wing digit with the
first foot digit, even though the first wing digit develops from embryological
position 2. Interestingly, our transcriptomic data of the posterior digits show a
higher degree of differentiation among forelimb digits compared with hindlimb
digits. These data show that in the stem lineage of birds the first digit
underwent a translocation from digit position 1 to position 2, and further
indicate that the posterior wing digits have unique identities contrary to any
model of avian digit identity proposed so far.
PMID- 21892188
TI - The structure and catalytic mechanism of a poly(ADP-ribose) glycohydrolase.
AB - Post-translational modification of proteins by poly(ADP-ribosyl)ation regulates
many cellular pathways that are critical for genome stability, including DNA
repair, chromatin structure, mitosis and apoptosis. Poly(ADP-ribose) (PAR) is
composed of repeating ADP-ribose units linked via a unique glycosidic ribose
ribose bond, and is synthesized from NAD by PAR polymerases. PAR glycohydrolase
(PARG) is the only protein capable of specific hydrolysis of the ribose-ribose
bonds present in PAR chains; its deficiency leads to cell death. Here we show
that filamentous fungi and a number of bacteria possess a divergent form of PARG
that has all the main characteristics of the human PARG enzyme. We present the
first PARG crystal structure (derived from the bacterium Thermomonospora
curvata), which reveals that the PARG catalytic domain is a distant member of the
ubiquitous ADP-ribose-binding macrodomain family. High-resolution structures of
T. curvata PARG in complexes with ADP-ribose and the PARG inhibitor ADP-HPD,
complemented by biochemical studies, allow us to propose a model for PAR binding
and catalysis by PARG. The insights into the PARG structure and catalytic
mechanism should greatly improve our understanding of how PARG activity controls
reversible protein poly(ADP-ribosyl)ation and potentially of how the defects in
this regulation are linked to human disease.
PMID- 21892189
TI - The role of Tet3 DNA dioxygenase in epigenetic reprogramming by oocytes.
AB - Sperm and eggs carry distinctive epigenetic modifications that are adjusted by
reprogramming after fertilization. The paternal genome in a zygote undergoes
active DNA demethylation before the first mitosis. The biological significance
and mechanisms of this paternal epigenome remodelling have remained unclear. Here
we report that, within mouse zygotes, oxidation of 5-methylcytosine (5mC) occurs
on the paternal genome, changing 5mC into 5-hydroxymethylcytosine (5hmC).
Furthermore, we demonstrate that the dioxygenase Tet3 (ref. 5) is enriched
specifically in the male pronucleus. In Tet3-deficient zygotes from conditional
knockout mice, paternal-genome conversion of 5mC into 5hmC fails to occur and the
level of 5mC remains constant. Deficiency of Tet3 also impedes the demethylation
process of the paternal Oct4 and Nanog genes and delays the subsequent activation
of a paternally derived Oct4 transgene in early embryos. Female mice depleted of
Tet3 in the germ line show severely reduced fecundity and their heterozygous
mutant offspring lacking maternal Tet3 suffer an increased incidence of
developmental failure. Oocytes lacking Tet3 also seem to have a reduced ability
to reprogram the injected nuclei from somatic cells. Therefore, Tet3-mediated DNA
hydroxylation is involved in epigenetic reprogramming of the zygotic paternal DNA
following natural fertilization and may also contribute to somatic cell nuclear
reprogramming during animal cloning.
PMID- 21892190
TI - Phylogenomics reveals deep molluscan relationships.
AB - Evolutionary relationships among the eight major lineages of Mollusca have
remained unresolved despite their diversity and importance. Previous
investigations of molluscan phylogeny, based primarily on nuclear ribosomal gene
sequences or morphological data, have been unsuccessful at elucidating these
relationships. Recently, phylogenomic studies using dozens to hundreds of genes
have greatly improved our understanding of deep animal relationships. However,
limited genomic resources spanning molluscan diversity has prevented use of a
phylogenomic approach. Here we use transcriptome and genome data from all major
lineages (except Monoplacophora) and recover a well-supported topology for
Mollusca. Our results strongly support the Aculifera hypothesis placing
Polyplacophora (chitons) in a clade with a monophyletic Aplacophora (worm-like
molluscs). Additionally, within Conchifera, a sister-taxon relationship between
Gastropoda and Bivalvia is supported. This grouping has received little
consideration and contains most (>95%) molluscan species. Thus we propose the
node-based name Pleistomollusca. In light of these results, we examined the
evolution of morphological characters and found support for advanced
cephalization and shells as possibly having multiple origins within Mollusca.
PMID- 21892192
TI - Effects of the histamine H(1) receptor antagonist hydroxyzine on hERG K(+)
channels and cardiac action potential duration.
AB - AIM: To investigate the effects of hydroxyzine on human ether-a-go-go-related
gene (hERG) channels to determine the electrolphysiological basis for its
proarrhythmic effects. METHODS: hERG channels were expressed in Xenopus oocytes
and HEK293 cells, and the effects of hydroxyzine on the channels were examined
using two-microelectrode voltage-clamp and patch-clamp techniques, respectively.
The effects of hydroxyzine on action potential duration were examined in guinea
pig ventricular myocytes using current clamp. RESULTS: Hydroxyzine (0.2 and 2
MUmol/L) significantly increased the action potential duration at 90%
repolarization (APD(90)) in both concentration- and time-dependent manners.
Hydroxyzine (0.03-3 MUmol/L) blocked both the steady-state and tail hERG
currents. The block was voltage-dependent, and the values of IC(50) for blocking
the steady-state and tail currents at +20 mV was 0.18+/-0.02 MUmol/L and 0.16+/
0.01 MUmol/L, respectively, in HEK293 cells. Hydroxyzine (5 MUmol/L) affected
both the activated and the inactivated states of the channels, but not the closed
state. The S6 domain mutation Y652A attenuated the blocking of hERG current by ~6
fold. CONCLUSION: The results suggest that hydroxyzine could block hERG channels
and prolong APD. The tyrosine at position 652 in the channel may be responsible
for the proarrhythmic effects of hydroxyzine.
PMID- 21892193
TI - Interaction between Galpha12 and Galpha13 protein subunits and dopamine receptors
in renal proximal tubules.
PMID- 21892191
TI - Antidiabetic actions of a non-agonist PPARgamma ligand blocking Cdk5-mediated
phosphorylation.
AB - PPARgamma is the functioning receptor for the thiazolidinedione (TZD) class of
antidiabetes drugs including rosiglitazone and pioglitazone. These drugs are full
classical agonists for this nuclear receptor, but recent data have shown that
many PPARgamma-based drugs have a separate biochemical activity, blocking the
obesity-linked phosphorylation of PPARgamma by Cdk5. Here we describe novel
synthetic compounds that have a unique mode of binding to PPARgamma, completely
lack classical transcriptional agonism and block the Cdk5-mediated
phosphorylation in cultured adipocytes and in insulin-resistant mice. Moreover,
one such compound, SR1664, has potent antidiabetic activity while not causing the
fluid retention and weight gain that are serious side effects of many of the
PPARgamma drugs. Unlike TZDs, SR1664 also does not interfere with bone formation
in culture. These data illustrate that new classes of antidiabetes drugs can be
developed by specifically targeting the Cdk5-mediated phosphorylation of
PPARgamma.
PMID- 21892195
TI - Preparation and immunogenicity of tag-free recombinant human eppin.
AB - Human epididymal protease inhibitor (eppin) may be effective as a male
contraceptive vaccine. In a number of studies, eppin with an engineered His(6)
tag has been produced using prokaryotic expression systems. For production of
pharmaceutical-grade proteins for human use, however, the His(6)-tag must be
removed. This study describes a method for producing recombinant human eppin
without a His(6)-tag. We constructed plasmid pET28a (+)-His(6)-tobacco etch virus
(TEV)-eppin for expression in Escherichia coli. After purification and refolding,
the fusion protein His(6)-TEV-eppin was digested with TEV protease to remove the
His(6)-tag and was further purified by NTA-Ni(2+) affinity chromatography. Using
this procedure, 2 mg of eppin without a His(6)-tag was isolated from 1 l of
culture with a purity of >95%. The immunogenicity of the eppin was characterized
using male Balb/c mice.
PMID- 21892196
TI - A systematic review of the effects and mechanisms of preoperative 5alpha
reductase inhibitors on intraoperative haemorrhage during surgery for benign
prostatic hyperplasia.
AB - 5alpha-reductase inhibitors (5alpha-RIs), including finasteride and dutasteride,
are commonly used medical therapies for benign prostatic hyperplasia (BPH). Many
studies reported that preoperative 5alpha-RI had impact on intraoperative
haemorrhage during surgery for BPH, but it was still in controversial. So, we
conducted a systematic review of the effects and mechanisms of 5alpha-RIs on
intraoperative bleeding for BPH. MEDLINE, EMBASE, the Cochrane Controlled Trail
Register of Controlled Trials and the reference lists of retrieved studies were
searched in the analysis. Sixteen publications involving 15 different randomized
controlled trials (RCTs) and a total of 1156 patients were used in the analysis,
including 10 RCTs for finasteride and five RCTs for dutasteride. We found that
preoperative finasteride treatment decreases microvessel density (MVD) in
resected prostate specimens. Total blood loss, blood loss per gram of resected
prostate tissue and decreases in haemoglobin were all greatly reduced in the
finasteride group as compared to controls. Dutasteride appeared to have no effect
on bleeding. This meta-analysis shows that preoperative finasteride treatment
could decrease intraoperative haemorrhage during surgery for BPH. Preoperative
dutasteride had no effect on intraoperative haemorrhage, but further high-quality
prospective studies are still needed to confirm this observation.
PMID- 21892197
TI - Association of two polymorphisms within and near SOCS3 gene with obesity in three
nationalities in Xinjiang province of China.
AB - AIM: SOCS3 gene plays an important role in the pathogenesis of obesity in animal
models, but the data from human studies are relatively limited. To address this
issue, a genetic association analysis on nationalities with different genetic
background living in the similar environmental conditions was performed. METHODS:
Two thousand seven hundred eleven subjects were randomly recruited from the
Kazakh, Uygur and Han nationalities in Xinjiang of China. SNP polymorphisms
rs4969168 and rs9892622 within or near the SOCS3 gene were genotyped using TaqMan
MGBTM assay. Association study between the two polymorphisms and obesity-related
traits (body mass index [BMI]; waist-to-hip ratio [WHR]; weight; height, waist,
and hip measurements) was conducted. RESULTS: Significant association was found
between rs4969168 and the obesity-related traits, including BMI (25.32 +/- 3.49
kg/m(2) for AA, 24.60 +/- 3.70 kg/m(2) for AG, 24.39 +/- 3.42 kg/m(2) for GG,
P=0.042), weight (65.58 +/- 11.42 kg for AA, 63.50 +/- 11.30 kg for AG, 62.00 +/-
10.78 kg for GG, P=0.011) in the Han nationality, but not in the Kazakh or Uygur
nationalities. Rs9892622 was significantly associated with BMI, WHR, and WAIST in
the Uygur males. Rs9892622 was also associated with BMI in Kazakh males. Linear
regression analysis verified the above findings. However, neither of the two
polymorphisms was associated with obesity-related traits in the total population.
CONCLUSION: The polymorphism rs4969168 within or near the SOCS3 gene has a
significant effect in the Han nationality, while rs9892622 was associated with
obesity in Uygur and Kazakh nationalities in Xinjiang of China.
PMID- 21892198
TI - Genetic polymorphisms in Kawasaki disease.
AB - Kawasaki disease (KD) is an acute febrile systemic vasculitis, and the cause of
KD is not well understood. It is likely due to multiple interactions between
genes and environmental factors. The development of genetic association and
genome-wide association studies (GWAS) has opened an avenue to better
understanding the molecular mechanisms underlying KD. A novel ITPKC signaling
pathway was recently found to be responsible for the susceptibility to KD.
Furthermore, the GWAS demonstrated the functionally related susceptibility loci
for KD in the Caucasian population. In the last decade, the identification of
several genomic regions linked to the pathogenesis of KD has made a major
breakthrough in understanding the genetics of KD. This review will focus on
genetic polymorphisms associated with KD and describe some of the possible
clinical implications and molecular mechanisms that can be used to explain how
genetic variants regulate the pathogenesis in KD.
PMID- 21892199
TI - Platonin inhibited PDGF-BB-induced proliferation of rat vascular smooth muscle
cells via JNK1/2-dependent signaling.
AB - AIM: To examine the inhibitory actions of the immunoregulator platonin against
proliferation of rat vascular smooth muscle cells (VSMCs). METHODS: VSMCs were
prepared from the thoracic aortas of male Wistar rats. Cell proliferation was
examined using MTT assays. Cell cycles were analyzed using flow cytometry. c-Jun
N-terminal kinase (JNK)1/2, extracellular signal-regulated kinase (ERK)1/2, AKT,
and c-Jun phosphorylation or p27 expression were detected using immunoblotting.
RESULTS: Pretreatment with platonin (1-5 MUmol/L) significantly suppressed VSMC
proliferation stimulated by PDGF-BB (10 ng/mL) or 10% fetal bovine serum (FBS),
and arrested cell cycle progression in the S and G(2)/M phases. The same
concentrations of platonin significantly inhibited the phosphorylation of JNK1/2
but not ERK1/2 or AKT in VSMCs stimulated by PDGF-BB. Furthermore, platonin also
attenuated c-Jun phosphorylation and markedly reversed the down-regulation of p27
expression after PDGF-BB stimulation. CONCLUSION: Platonin inhibited VSMC
proliferation, possibly via inhibiting phosphorylation of JNK1/2 and c-Jun, and
reversal of p27 down-regulation, thereby leading to cell cycle arrest at the S
and G(2)/M phases. Thus, platonin may represent a novel approach for lowering the
risk of abnormal VSMC proliferation and related vascular diseases.
PMID- 21892200
TI - Modulation of pharmacokinetics of theophylline by antofloxacin, a novel 8-amino
fluoroquinolone, in humans.
AB - AIM: To evaluate the pharmacokinetic interactions between theophylline and
antofloxacin in vivo and in vitro. METHODS: A randomized, 5-day treatment and 3
way crossover design was documented in 12 healthy subjects. The subjects were
orally administered with antofloxacin (400 mg on d 1 and 200 mg on d 2 to 5),
theophylline (100 mg twice a day and morning dose 200 mg on d 1 and 5), or
theophylline plus antofloxacin. The plasma and urinary pharmacokinetics of
antofloxacin and theophylline were characterized after the first and last dose.
The effect of antofloxacin on theophylline metabolism was also investigated in
pooled human liver microsomes. RESULTS: The 5-day treatment with antofloxacin
significantly increased the area of the plasma concentration-time curve and peak
plasma concentration of theophylline, accompanied by a decrease in the excretion
of theophylline metabolites. On the contrary, theophylline did not affect the
pharmacokinetics of antofloxacin. In vitro studies using pooled human hepatic
microsomes demonstrated that antofloxacin was a weak reversible and mechanism
based inhibitor of CYP1A2. The clinical interaction between theophylline and
antofloxacin was further validated by the in vitro results. CONCLUSION: The
results showed that antofloxacin increases the plasma theophylline concentration,
partly by acting as a mechanism-based inhibitor of CYP1A2.
PMID- 21892201
TI - Comparison between cerebral state index and bispectral index as measures of
electroencephalographic effects of sevoflurane using combined sigmoidal E(max)
model.
AB - AIM: The cerebral state index (CSI) was recently introduced as an
electroencephalographic monitor for measuring the depth of anesthesia. We
compared the performance of CSI to the bispectral index (BIS) as
electroencephalographic measures of sevoflurane effect using two combined
sigmoidal E(max) models. METHODS: Twenty adult patients scheduled for laparotomy
were studied. After induction of general anesthesia, sevoflurane concentrations
were progressively increased and then decreased over 70 min. An analysis of the
BIS and CSI with the sevoflurane effect-site concentration was conducted using
two combined sigmoidal E(max) models. RESULTS: The BIS and CSI decreased over the
initial concentration range of sevoflurane and then reached a plateau in most
patients. A further increase in sevoflurane concentration produced a secondary
plateau in the pharmacodynamic response. The CSI was more strongly correlated
with effect-site sevoflurane concentration (R(2)=0.95+/-0.04) than the BIS was
(R(2)=0.87+/-0.07) (P<0.05). The individual E(max) and C(eff50) (effect-site
concentration associated with 50% decrease from baseline to plateau) values for
the upper and lower plateaus were significantly greater for BIS (12.7+/-7.3,
1.6+/-0.4, and 4.2+/-0.5, respectively) than for CSI (3.4+/-2.2, 1.2+/-0.4, and
3.8+/-0.5, respectively) (P<0.05). The remaining pharmacodynamic parameters for
the BIS and CSI were similar. CONCLUSION: The overall performance of the BIS and
CSI during sevoflurane anesthesia was similar despite major differences in their
algorithms. However, the CSI was more consistent and more sensitive to changes in
sevoflurane concentration, whereas the measured BIS seemed to respond faster. The
newly developed combined E(max) model adequately described the clinical data,
including the pharmacodynamic plateau.
PMID- 21892202
TI - Reactive oxygen species contribute to oridonin-induced apoptosis and autophagy in
human cervical carcinoma HeLa cells.
AB - AIM: To investigate the role of reactive oxygen species (ROS) in oridonin-induced
apoptosis and autophagy in HeLa cells. METHODS: The cell viability was measured
using MTT assay. Morphological changes of apoptosis and autophagy were examined
using Hoechst 33258 staining and monodansylcadaverine (MDC) staining,
respectively. The mitochondrial membrane potential (DeltaPsim) was measured using
fluorescent dye rhodamine 123. DCF-induced fluorescence was used to measure the
intracellular ROS level. Protein expression was examined using Western blot.
RESULTS: Treatment of HeLa cells with oridonin (20-160 MUmol/L) inhibited the
cell growth in time- and concentration-dependent manners. The cells treated with
oridonin (80 MUmol/L) for 24 h displayed marked DNA fragmentation and MDC
positive autophagosomes. In the presence of the specific autophagy inhibitor 3-MA
(2 mmol/L), the oridonin-induced apoptosis was significantly enhanced. Treatment
of HeLa cells with oridonin (20-120 MUmol/L) induced intracellular ROS generation
in a concentration-dependent manner. In the presence of the ROS scavenger NAC (5
mmol/L), the oridinin-induced ROS generation was markedly reduced. NAC (5 mmol/L)
or non-thiol antioxidant catalase (1000 U/mL) significantly reduced the oridonin
induced inhibition of cell growth and apoptosis. Furthermore, oridonin
significantly reduced DeltaPsim, which was blocked by NAC. Oridonin markedly
increased Bax expression in mitochondria, and decreased Bcl-2 expression in both
the cytosol and mitochondria. Oridonin also markedly increased the
phosphorylation of Bcl-2 in the cytosol. All the effects were blocked by NAC.
Oridonin increased the levels of caspase-3 and caspase-8, and decreased the
expression of pro-caspase 3 and pro-caspase 9, which were blocked by NAC.
CONCLUSION: ROS plays a critical role in oridonin-induced apoptosis and
autophagy.
PMID- 21892203
TI - Cytokines: Structuring the type I IFN response.
PMID- 21892204
TI - Molecular mechanisms of cisplatin resistance.
AB - Platinum-based drugs, and in particular cis-diamminedichloroplatinum(II) (best
known as cisplatin), are employed for the treatment of a wide array of solid
malignancies, including testicular, ovarian, head and neck, colorectal, bladder
and lung cancers. Cisplatin exerts anticancer effects via multiple mechanisms,
yet its most prominent (and best understood) mode of action involves the
generation of DNA lesions followed by the activation of the DNA damage response
and the induction of mitochondrial apoptosis. Despite a consistent rate of
initial responses, cisplatin treatment often results in the development of
chemoresistance, leading to therapeutic failure. An intense research has been
conducted during the past 30 years and several mechanisms that account for the
cisplatin-resistant phenotype of tumor cells have been described. Here, we
provide a systematic discussion of these mechanism by classifying them in
alterations (1) that involve steps preceding the binding of cisplatin to DNA (pre
target resistance), (2) that directly relate to DNA-cisplatin adducts (on-target
resistance), (3) concerning the lethal signaling pathway(s) elicited by cisplatin
mediated DNA damage (post-target resistance) and (4) affecting molecular
circuitries that do not present obvious links with cisplatin-elicited signals
(off-target resistance). As in some clinical settings cisplatin constitutes the
major therapeutic option, the development of chemosensitization strategies
constitute a goal with important clinical implications.
PMID- 21892205
TI - Insulin-like growth factor-binding protein-1 (IGFBP-1) regulates human schwannoma
proliferation, adhesion and survival.
AB - Merlin is a tumour suppressor involved in the development of a variety of tumours
including mesotheliomas. Neurofibromatosis type 2 (NF2), a dominantly inherited
tumour disease, is also caused by loss of merlin. NF2 patients suffer from
multiple genetically well-defined tumours, schwannomas are most frequent among
those. Using our in vitro model for human schwannoma, we found that schwannoma
cells display enhanced proliferation because of the overexpression/activation of
platelet-derived growth factor receptor and ErbB2/3, increased cell-matrix
adhesion because of the overexpression of integrins, and decreased apoptosis.
Mechanisms underlying schwannomas basal proliferation and cell-matrix adhesion
are not understood. Here, we investigated insulin-like growth factor-binding
protein-1 (IGFBP-1), which is expressed and released from central nervous system
tumours and strongly overexpressed in schwannoma at the mRNA level. IGFBP-1 acts
via beta1-integrin and focal-adhesion-kinase (FAK), which are strongly
overexpressed and basally activated in schwannoma. Using short hairpin RNA
knockdown, small inhibitors and recombinant IGFBP-1, we demonstrate that
schwannoma cells, in contrast to Schwann cells, release IGFBP-1 that activates
the Src/FAK pathway, via integrin beta1, potentiating schwannoma's proliferation
and cell-matrix adhesion. We show that FAK localizes to the nucleus and Src
triggers IGFBP-1 production. Further, we observed downregulation of the tumour
suppressor phosphatase and tensin homolog in schwannoma cells leading to
increased activity of anti-apoptotic AKT. Thus, IGFBP-1/integrin beta1/Src/FAK
pathway has a crucial role in merlin-related tumourigenesis and therefore
represents an important therapeutic target in the treatment of merlin-deficient
tumours.
PMID- 21892206
TI - Different phenotypic consequences of simultaneous versus stepwise Apc loss.
AB - APC is considered a gatekeeper for colorectal cancer (CRC). Cells with
heterozygous APC mutations have altered expression profiles suggesting that the
first APC hit may help set the stage for subsequent transformation. Therefore, we
measured transformation efficiency following what we have designated as
'simultaneous' versus 'stepwise' Apc loss. We combined a conditional Apc allele
(Apc(CKO)) with a Cre reporter gene and an out-of-frame Cre allele (Pms2(cre))
that stochastically becomes functional by a frameshift mutation in single cells.
Loss of one Apc allele (Apc(CKO/+)) had little consequence, whereas simultaneous
loss of both Apc alleles (Apc(CKO/CKO)) resulted in increased clonal expansion
(crypt fission), consistent with the gatekeeper function of Apc. Interestingly,
our analyses showed that most of the Apc-deficient crypts in Apc(CKO/CKO) mice
appeared normal, with morphological transformation, including beta-catenin
deregulation, occurring in only 17% of such crypts. To determine whether
transformation efficiency was different following stepwise Apc loss, we combined
Apc(CKO) with a germline mutant allele, either Apc(Min) or Apc(1638N).
Transformation efficiency following stepwise Apc loss (Apc(Min/CKO) or
Apc(1638N/CKO)) was increased five-fold and essentially all of the Apc-deficient
cells were dysplastic. In summary, our data suggest that the gatekeeper function
of Apc consists of two roles, clonal expansion and morphological transformation,
because simultaneous Apc loss frequently leads to occult clonal expansion without
morphological transformation, whereas stepwise Apc loss more often results in
visible neoplasia. Finally, that Apc-deficient cells in certain scenarios can
retain a normal phenotype is unexpected and may have clinical implications for
surveillance strategies to prevent CRC.
PMID- 21892207
TI - c-Abl and Arg are activated in human primary melanomas, promote melanoma cell
invasion via distinct pathways, and drive metastatic progression.
AB - Despite 35 years of clinical trials, there is little improvement in 1-year
survival rates for patients with metastatic melanoma, and the disease is
essentially untreatable if not cured surgically. The paucity of chemotherapeutic
agents that are effective for treating metastatic melanoma indicates a dire need
to develop new therapies. Here, we found a previously unrecognized role for c-Abl
and Arg in melanoma progression. We demonstrate that the kinase activities of c
Abl and Arg are elevated in primary melanomas (60%), in a subset of benign nevi
(33%) and in some human melanoma cell lines. Using siRNA and pharmacological
approaches, we show that c-Abl/Arg activation is functionally relevant because it
is requiredfor melanoma cell proliferation, survival and invasion. Significantly,
we identify the mechanism by which activated c-Abl promotes melanoma invasion by
showing that it transcriptionally upregulates matrix metalloproteinase-1 (MMP-1),
and using rescue approaches we demonstrate that c-Abl promotes invasion through a
STAT3 -> MMP-1 pathway. Additionally, we show that c-Abl and Arg are not merely
redundant, as active Arg drives invasion in a STAT3-independent manner, and
upregulates MMP-3 and MT1-MMP, in addition to MMP-1. Most importantly, c-Abl and
Arg not only promote in vitro processes important for melanoma progression, but
also promote metastasis in vivo, as inhibition of c-Abl/Arg kinase activity with
the c-Abl/Arg inhibitor, nilotinib, dramatically inhibits metastasis in a mouse
model. Taken together, these data identify c-Abl and Arg as critical, novel, drug
targets in metastatic melanoma, and indicate that nilotinib may be useful in
preventing metastasis in patients with melanomas harboring active c-Abl and Arg.
PMID- 21892208
TI - GATA3 inhibits lysyl oxidase-mediated metastases of human basal triple-negative
breast cancer cells.
AB - Discovery of mechanisms that impede the aggressive and metastatic phenotype of
human basal triple-negative-type breast cancers (BTNBCs) could provide novel
targets for therapy for this form of breast cancer that has a relatively poor
prognosis. Previous studies have demonstrated that expression of GATA3, the
master transcriptional regulator of mammary luminal differentiation, can reduce
the tumorigenicity and metastatic propensity of the human BTNBC MDA-MB-231 cell
line (MB231), although the mechanism for reduced metastases was not elucidated.
We demonstrate through gene expression profiling that GATA3 expression in 231
cells resulted in the dramatic reduction in the expression of lysyl oxidase
(LOX), a metastasis-promoting, matrix-remodeling protein, in part, through
methylation of the LOX promoter. Suppression of LOX expression by GATA3 was
further confirmed in the BTNBC Hs578T cell line. Conversely, reduction of GATA3
expression by small interfering RNA in luminal BT474 cells increased LOX
expression. Reconstitution of LOX expression in 231-GATA3 cells restored
metastatic propensity. A strong inverse association between LOX and GATA3
expression was confirmed in a panel of 51 human breast cancer cell lines.
Similarly, human breast cancer microarray data demonstrated that high LOX/low
GATA3 expression is associated with the BTNBC subtype of breast cancer and poor
patient prognosis. Expression of GATA3 reprograms BTNBCs to a less aggressive
phenotype and inhibits a major mechanism of metastasis through inhibition of LOX.
Induction of GATA3 in BTNBC cells or novel approaches that inhibit LOX expression
or activity could be important strategies for treating BTNBCs.
PMID- 21892209
TI - An integrated genomic approach identifies ARID1A as a candidate tumor-suppressor
gene in breast cancer.
AB - Tumor-suppressor genes (TSGs) have been classically defined as genes whose loss
of function in tumor cells contributes to the formation and/or maintenance of the
tumor phenotype. TSGs containing nonsense mutations may not be expressed because
of nonsense-mediated RNA decay (NMD). We combined inhibition of the NMD process,
which clears transcripts that contain nonsense mutations, with the application of
high-density single-nucleotide polymorphism arrays analysis to discriminate
allelic content in order to identify candidate TSGs in five breast cancer cell
lines. We identified ARID1A as a target of NMD in the T47D breast cancer cell
line, likely as a consequence of a mutation in exon-9, which introduces a
premature stop codon at position Q944. ARID1A encodes a human homolog of yeast
SWI1, which is an integral member of the hSWI/SNF complex, an ATP-dependent,
chromatin-remodeling, multiple-subunit enzyme. Although we did not find any
somatic mutations in 11 breast tumors, which show DNA copy-number loss at the
1p36 locus adjacent to ARID1A, we show that low ARID1A RNA or nuclear protein
expression is associated with more aggressive breast cancer phenotypes, such as
high tumor grade, in two independent cohorts of over 200 human breast cancer
cases each. We also found that low ARID1A nuclear expression becomes more
prevalent during the later stages of breast tumor progression. Finally, we found
that ARID1A re-expression in the T47D cell line results in significant inhibition
of colony formation in soft agar. These results suggest that ARID1A may be a
candidate TSG in breast cancer.
PMID- 21892210
TI - Disabling the mitotic spindle and tumor growth by targeting a cavity-induced
allosteric site of survivin.
AB - Survivin is a member of the inhibitor of apoptosis protein family and has an
essential role in mitosis. Survivin is overexpressed in a large variety of human
cancers and represents an attractive target for cancer therapy. Epidermal growth
factor receptor and Her/neu-transformed human tumors in particular exhibit high
levels of survivin. The survivin protein forms dimers through a conserved region
that is critical for subcellular localization and biological functions of the
protein. We identified small molecules that target a specific cavity adjacent to
the survivin dimerization surfaces. S12, a lead compound identified in the
screen, can bind to the survivin protein at the intended target site. Moreover,
S12 alters spindle formation, causing mitotic arrest and cell death, and inhibits
tumor growth in vitro and in vivo. Cell death occurs in premetaphase stage
following mitotic arrest and is not a consequence of general toxicity. Thus, the
study validates a novel therapeutic target site in the survivin protein and
provides a promising strategy to develop a new class of therapeutic small
molecules for the treatment of human cancers.
PMID- 21892211
TI - Silencing of Kruppel-like factor 2 by the histone methyltransferase EZH2 in human
cancer.
AB - The Kruppel-like factor (KLF) proteins are multitasked transcriptional regulators
with an expanding tumor suppressor function. KLF2 is one of the prominent members
of the family because of its diminished expression in malignancies and its growth
inhibitory, pro-apoptotic and anti-angiogenic roles. In this study, we show that
epigenetic silencing of KLF2 occurs in cancer cells through direct
transcriptional repression mediated by the Polycomb group protein Enhancer of
Zeste Homolog 2 (EZH2). Binding of EZH2 to the 5'-end of KLF2 is also associated
with a gain of trimethylated lysine 27 histone H3 and a depletion of
phosphorylated serine 2 of RNA polymerase. Upon depletion of EZH2 by RNA
interference, short hairpin RNA or use of the small molecule 3-Deazaneplanocin A,
the expression of KLF2 was restored. The transfection of KLF2 in cells with EZH2
associated silencing showed a significant anti-tumoral effect, both in culture
and in xenografted nude mice. In this last setting, KLF2 transfection was also
associated with decreased dissemination and lower mortality rate. In EZH2
depleted cells, which characteristically have lower tumorigenicity, the induction
of KLF2 depletion 'rescued' partially the oncogenic phenotype, suggesting that
KLF2 repression has an important role in EZH2 oncogenesis. Most importantly, the
translation of the described results to human primary samples demonstrated that
patients with prostate or breast tumors with low levels of KLF2 and high
expression of EZH2 had a shorter overall survival.
PMID- 21892212
TI - IgG antibodies to ATG early after pediatric hematopoietic SCT increase the risk
of acute GVHD.
AB - Anti-thymocyte globulin (ATG), raised in rabbits, is frequently used in
allogeneic hematopoietic SCT (HSCT), to prevent graft rejection and acute GVHD.
In solid organ transplant patients, antibodies to rabbit IgG result in an
enhanced clearance of ATG. The occurrence of such antibodies in HSCT recipients
and their clinical impact is unknown. Concentrations of ATG and anti-ATG
antibodies were measured in 72 pediatric HSCT recipients treated with ATG as part
of the conditioning. Anti-ATG antibodies were detected in 20 children (28%), all
transplanted with a non-depleted graft. IgG anti-ATG, alone or combined with IgM
and/or IgA anti-ATG, appeared in 10 children. Four patients developed IgG anti
ATG antibodies early (before day 22) post-HSCT. They had steep drops in ATG
levels and showed rapid T-cell recovery, which was associated with a
significantly increased risk of acute GVHD. In six patients IgG anti-ATG
responses occurred later (range 28-46 days) after HSCT without an increased risk
of GVHD. A total of 10 children only mounted an IgM (and IgA) anti-ATG response,
which was without major impact on ATG levels. These results indicate that early
development of IgG anti-ATG antibodies has a major impact on acute GVHD. Routine
analysis ATG/anti-ATG Ab measurement should be considered.
PMID- 21892213
TI - Thorough work-up and new diagnostic criteria needed for CJD.
PMID- 21892214
TI - Stroke: Consensus on evidence-based implementation of early supported discharge.
PMID- 21892215
TI - [The AVERROES study].
PMID- 21892217
TI - [Inferior vena cava filters: any indications anymore?].
AB - Inferior vena cava filters (IVCFs) are metal alloy devices that mechanically trap
fragmented thromboemboli from the deep leg veins en route to the pulmonary
circulation. Filters are introduced (and in the case of retrievable filters,
removed) percutaneously. Although their deployment seems of theoretical benefit,
their clinical efficacy and adverse event profile are unclear because there are
very few controlled and randomized data. IVCFs are most frequently used in
patients with contraindications to anticoagulation and in anticoagulated patients
with recurrent pulmonary embolism, but few data are available in the literature
about their efficacy. The indications for filters are based largely on custom,
historical practice patterns and physician preferences, and their use is
increasing: more trials are needed to confirm their benefit and accurately assess
their safety. The care of patients with or at risk of venous thromboembolism is
as variable and challenging as the range of patients who suffer from venous
thrombosis. Careful, individualized decision regarding IVCF placement will be
required for many years as we strive to learn more about these devices.
PMID- 21892216
TI - [Thromboembolic prophylaxis 2011: is warfarin on the wane?].
AB - Warfarin has been the effective treatment in the prophylaxis of cardioembolism,
in particular in patients with atrial fibrillation, for more than 50 years.
Nevertheless, many patients with atrial fibrillation are not currently treated
because of the numerous limits of oral anticoagulation and in those treated the
quality of anticoagulation is often poor. Novel oral anticoagulant drugs, the
direct thrombin antagonist dabigatran and factor Xa inhibitors such as
rivaroxaban, apixaban, edoxaban, and betrixaban are more predictable and
convenient anticoagulants in comparison with warfarin, mainly because of the non
requirement of regular laboratory monitoring and dose adjustments. Current data
from phase III clinical trials are available for dabigatran, rivaroxaban and
apixaban, which show to be at least noninferior in efficacy to warfarin for the
prevention of stroke in patients with atrial fibrillation. This review focuses on
the potential of novel anticoagulants to replace warfarin in patients with atrial
fibrillation. Also the place in therapy and the potential limitations of the new
agents in clinical practice represent important issues to be considered. The
promise of new oral anticoagulants gives us the hope that warfarin will finally
be replaced in a near future, but more importantly that anticoagulant
undertreatment of atrial fibrillation will be partially overcome.
PMID- 21892218
TI - [Treatment of acute high-risk pulmonary embolism].
AB - At present, high-risk pulmonary embolism represents a cardiovascular emergency
burdened with high in-hospital mortality and characterized by acute right
ventricular dysfunction and hemodynamic impairment. In addition to circulatory
support and anticoagulation, thrombolytic therapy has become the cornerstone of
the treatment in patients presenting with this condition. Despite the
recommendations, a consistent proportion of patients does not currently receive
thrombolytic therapy. Although performed in a limited number of patients,
transcatheter and surgical embolectomy procedures are an alternative or
synergistic therapeutic strategy to thrombolysis, enabling a prompt resolution of
right ventricular volume overload. In this review, data from the literature are
discussed with the aim of defining an algorithm for the treatment of high-risk
patients.
PMID- 21892219
TI - [Differences among beta-blockers for the treatment of patients with heart failure
and chronic obstructive pulmonary disease].
AB - Although current guidelines support the use of beta-blockers (BB) in all patients
with symptomatic heart failure (HF) and left ventricular systolic dysfunction,
unless contraindicated or not tolerated, they are still underused, especially in
patients with chronic obstructive pulmonary disease (COPD). BB are associated
with a potential risk for lung function decline and airway hyperresponsiveness,
and reluctance still exists to prescribe these agents in COPD patients. However,
a large body of evidence indicates that these patients tolerate well selective
beta-blockade, and BB should not be denied to HF patients with concomitant COPD.
Current guidelines and recent reports recommend the use of selective BB in all
patients with stable COPD and irreversible airway obstruction, to be administered
at the lowest dose and at a low titration rate. Close monitoring of lung function
by spirometry is strongly encouraged to guide and enhance a safe BB use in
everyday practice. Pneumologists and cardiologists should develop shared
strategies with the aim to implement selective BB therapy in clinical practice
and improve the prognosis of both HF and COPD.
PMID- 21892220
TI - [Praise for a conscious ethical choice].
PMID- 21892221
TI - [Spinal cord stimulation for refractory chronic angina pectoris: 100 patients
treated in our 12-year experience].
AB - BACKGROUND: The aim of this study was to evaluate the quality of life (QoL)
benefit of patients with chronic refractory angina treated with spinal cord
stimulation (SCS) in our experience. METHODS: Between February 1998 and August
2010, 100 patients with chronic refractory angina who could not benefit from
myocardial revascularization underwent SCS at the San Raffaele Scientific
Institute of Milan (Italy). All patients were prospectively followed up at our
outpatient clinic. The Spitzer index was used to evaluate QoL of patients before
the procedure and at follow-up. RESULTS: Mean age was 66 +/- 8 years (range 46
79). Ninety-four patients (94%) were in CCS class III-IV (mean CCS class 3.5 +/-
0.1) and 62 patients (62%) were in NYHA class III-IV (mean NYHA class 2.6 +/-
0.1). The preoperative Spitzer index was 4.4 +/- 1.4. Mean angina episodes per
week were 12.6 +/- 9.3 and mean consumption of sublingual nitrates was 11.0 +/-
9.4. At follow-up, overall mortality was 11% (n = 11), and cardiovascular death
was 3%. A reduction in angina episodes per week >50% was observed in 88% of
patients (from 12.6 +/- 9.3 to 2.7 +/- 1.13; p<0.0001). Mean consumption of
sublingual nitrates decreased from 11.0 +/- 9.4 to 2.3 +/- 0.1 (p<0.0001). The
Spitzer index significantly improved (from 4.4 +/- 0.1 to 2.3 +/- 0.1; p<0.0001).
NYHA class and CCS class decreased from 2.6 +/- 0.1 to 2.0 +/- 0.1 and from 3.5
+/- 0.1 to 1.7 +/- 0.1, respectively (both p<0.0001). CONCLUSIONS: SCS is an
effective and safe therapeutic option for patients with chronic refractory angina
and it is associated with improved functional status and QoL at follow-up.
PMID- 21892222
TI - [Psycho-emotional impact of acute coronary syndromes].
AB - BACKGROUND: Acute coronary syndromes can substantially disrupt the lives of our
patients. However, patients' view of their illness is usually scarcely considered
in routine medical practice. We aimed to investigate the psycho-emotional impact
of acute coronary syndromes. METHODS: We studied 30 patients with acute coronary
syndrome, aged <70 years. Before discharge, each patient was interviewed by a
psychologist using a semi-structured interview; data were collected in the form
of verbal reports. RESULTS: After learning that they had had a heart attack, 16
patients (53%) reported a feeling of fear linked to the idea of death, sometimes
real despair; 7 patients (23%) showed a weak emotional response, 4 (13%) reacted
with denial, and 3 responded evasively. During the interview, 17 patients (57%)
reported events relating to family life and 9 (30%) to work; all these events
were negative and related to suffering, conflict, and stress. When compared to
pre-admission status, 30% of patients experienced negative psychological changes,
such as a sense of fragility, uncertainty and a redefinition of self-image, while
50% reported no change. Surprisingly, 6 patients (20%) referred positive
psychological changes. This positive restructuring was related to the patients'
ability/need to change their lifestyle. This process entails a different vision
of the past and the future, and is associated with a feeling of rebirth and
physical wellbeing. CONCLUSIONS: The psycho-emotional effects of acute coronary
syndromes may be immense, and may radically change the way the patient relates to
himself and to the world. We often remain detached from these changes, and are
sometimes even unaware of them.
PMID- 21892223
TI - [Major epidemiological changes and clinical variables in patients undergoing a
program of heart rehabilitation after cardiac surgery - MEPHISTOPHELES].
AB - BACKGROUND: Recent observational studies show an increase of more complex and
critically ill patients referred to Italian cardiac rehabilitation (CR)
departments; the exact mechanisms underlying this phenomenon, however, have not
been clearly identified. The aim of our study was to evaluate the epidemiological
and clinical changes that occurred over the last decade in patients hospitalized
in CR departments with high admittance rates. METHODS: We have retrospectively
evaluated all patients admitted between 2002 and 2009 to our division of CR (n =
3340, 1155 female, mean age 66.4 +/- 11 years) after recent cardiac surgery. The
study population was divided into two homogeneous groups: the four-year period
2002-2005, group A (n = 1614, 540 female, mean age 66.1 +/- 10 years) and the
four-year period 2006-2009, group B (n = 1726, 615 female, mean age 67.4 +/- 11
years). Data were compared using specific indicators of clinical complexity.
RESULTS: Patients aged >75 years were more in group B compared to A (26.3 vs
19.8%, p<0.0001), as well as patients with recent complex surgical interventions,
such as combined coronary artery bypass grafting and heart valve surgery (16.4 vs
10.2%, p<0.0001). An increased incidence of cardiovascular death (1.4 vs 0.6%,
p=0.02), acute coronary syndrome (1.5 vs 0.7%, p=0.02) and persistent atrial
fibrillation/flutter (13.5 vs 7.1%, p<0.0001) was observed in group B, as well as
an increased prevalence of systolic heart failure (18.3 vs 9.0%, p<0.0001).
Similarly, the incidence of acute respiratory failure episodes (1.0 vs 0.4%,
p=0.05), the prevalence of patients admitted with a tracheostomy tube (2.6 vs
0.2%, p<0.0001) and the incidence of acute renal failure (1.1 vs 0.5%, p=0.05)
were significantly increased in group B. Postoperative infections and surgical
wound complications were 4-fold higher in group B (13.9 vs 3.1%, p<0.0001, and
12.8 vs 2.3%, p<0.0001, respectively). Compared to group A, patients of group B
showed a significantly lower physical performance, as expressed by the Rivermead
motility index (3.8 +/- 1.1 vs 5.2 +/- 0.8, p<0.001); moreover, the number of
subjects able to perform an incremental training program was significantly lower
in group B than group A (14.8 vs 60.6%, p<0.0001). Mean hospital stay was longer
in group B than group A (25.4 +/- 13 vs 22.1 +/- 9 days, p<0.001). CONCLUSIONS:
Our study, by collecting data from a CR division in northern Italy with high
admittance rates, demonstrates a dramatic increase in clinical complexity over
the last few years. This points to the need for new expertise and major resources
to be allocated to CR departments.
PMID- 21892224
TI - [Massive pulmonary embolism treated with selective infusion of tenecteplase].
AB - Massive pulmonary embolism (PE) is a cardiovascular emergency due to a
substantial obstruction of the pulmonary vascular bed, resulting in rapid right
heart failure with a potentially fatal outcome.We present the case of a 50-year
old woman with massive PE and recent trans-sphenoid surgery because of pituitary
adenoma. An occluding embolus, arising from deep venous thrombosis of the lower
limbs, was demonstrated in the right pulmonary artery with selective angiography
and treated with selective loco-regional infusion of low-dose tenecteplase. To
the best of our knowledge, this is the first case of selective administration of
low-dose tenecteplase in the pulmonary artery with successful resolution of PE
without the need for adjunctive interventional procedures.
PMID- 21892225
TI - [How to expand the indications for an interventional procedure without comparing
it with the best proven medical therapy: the case of carotid artery stenting in
the CREST trial].
PMID- 21892226
TI - Effect of Process Variables on the Microstructure of Hollow Hydroxyapatite
Microspheres Prepared by a Glass Conversion Method.
AB - Solid microspheres (diameter = 106-150 MUm) of a Li(2)O-CaO-B(2)O(3) glass were
reacted in a K(2)HPO(4) solution to form hollow hydroxyapatite (HA) microspheres.
The effect of the temperature (25 degrees -60 degrees C), K(2)HPO(4)
concentration (0.01-0.25M), and pH (9-12) of the solution on the diameter (d) of
the hollow core normalized to the diameter (D) of the HA microspheres, the
surface area, and the pore size of the microsphere wall was studied. The
statistically significant process variables that influenced these microstructural
characteristics were evaluated using a factorial design approach. While the pH
had little effect, the concentration of the solution had a marked effect on d/D,
surface area, and pore size, whereas temperature markedly influenced d/D and pore
size, but not the surface area. The largest hollow core size (d/D value ~ 0.6)
was obtained at the lowest temperature (25 degrees C) or the lowest K(2)HPO(4)
concentration (0.02M), while microspheres with the highest surface area (140
m(2)/g), with pores of size 10-12 nm were obtained at the highest concentration
(0.25M). The consequences of these results for potential application of these
hollow HA microspheres as devices for local delivery of proteins, such as drugs
or growth factors, are discussed.
PMID- 21892227
TI - Enhanced Inter-helical Residue Contact Prediction in Transmembrane Proteins.
AB - In this paper, based on a recent work by McAllister and Floudas who developed a
mathematical optimization model to predict the contacts in transmembrane alpha
helical proteins from a limited protein data set [1], we have enhanced this
method by 1) building a more comprehensive data set for transmembrane alpha
helical proteins and this enhanced data set is then used to construct the
probability sets, MIN-1N and MIN-2N, for residue contact prediction, 2) enhancing
the mathematical model via modifications of several important physical
constraints and 3) applying a new blind contact prediction scheme on different
protein sets proposed from analyzing the contact prediction on 65 proteins from
Fuchs et al. [2]. The blind contact prediction scheme has been tested on two
different membrane protein sets. Firstly it is applied to five carefully selected
proteins from the training set. The contact prediction of these five proteins
uses probability sets built by excluding the target protein from the training
set, and an average accuracy of 56% was obtained. Secondly, it is applied to six
independent membrane proteins with complicated topologies, and the prediction
accuracies are 73% for 2ZY9A, 21% for 3KCUA, 46% for 2W1PA, 64% for 3CN5A, 77%
for 3IXZA and 83% for 3K3FA. The average prediction accuracy for the six proteins
is 60.7%. The proposed approach is also compared with a support vector machine
method (TMhit [3]) and it is shown that it exhibits better prediction accuracy.
PMID- 21892228
TI - Enhanced mechanical performance and biological evaluation of a PLGA coated beta
TCP composite scaffold for load-bearing applications.
AB - Porous beta-tricalcium phosphate (beta-TCP) has been used for bone repair and
replacement in clinics due to its excellent biocompatibility, osteoconductivity,
and biodegradability. However, the application of beta-TCP has been limited by
its brittleness. Here, we demonstrated that an interconnected porous beta-TCP
scaffold infiltrated with a thin layer of poly (lactic-co-glycolic acid) (PLGA)
polymer showed improved mechanical performance compared to an uncoated beta-TCP
scaffold while retaining its excellent interconnectivity and biocompatibility.
The infiltration of PLGA significantly increased the compressive strength of beta
TCP scaffolds from 2.90 MPa to 4.19 MPa, bending strength from 1.46 MPa to 2.41
MPa, and toughness from 0.17 MPa to 1.44 MPa, while retaining an interconnected
porous structure with a porosity of 80.65%. These remarkable improvements in the
mechanical properties of PLGA-coated beta-TCP scaffolds are due to the
combination of the systematic coating of struts, interpenetrating structural
characteristics, and crack bridging. The in vitro biological evaluation
demonstrated that rat bone marrow stromal cells (rBMSCs) adhered well,
proliferated, and expressed alkaline phosphatase (ALP) activity on both the PLGA
coated beta-TCP and the beta-TCP. These results suggest a new strategy for
fabricating interconnected macroporous scaffolds with significantly enhanced
mechanical strength for potential load-bearing bone tissue regeneration.
PMID- 21892229
TI - "An Old Way to Solve an Old Problem": Provider Perspectives on Recovery-Oriented
Services and Consumer Capabilities in New Mexico.
AB - The goal of recovery has emerged as a core value in the reformation of public and
private mental health services in the last twenty years. However, definitions of
recovery remain as varied as methods of implementation. Through an ethnographic
lens, we examine meanings of recovery in the context of a major statewide reform
of mental health services in New Mexico, focusing specifically on provider-voiced
concerns regarding recovery and recovery-oriented care. We argue that the concept
of recovery functions as a symbol that seemingly reconciles the long-standing
tension between biological and social explanations of mental illness. Drawing
upon provider perspectives, we also discuss concerns that popular rhetoric about
recovery may mask some needed fundamental changes to transform the mental health
system to a recovery orientation. Finally, we consider recovery from a
capabilities standpoint and discuss how this view lends itself to addressing both
individual and social components of mental illness.
PMID- 21892230
TI - Quantum Chemical Study of the Mechanism of Action of Vitamin K Carboxylase in
Solvent.
AB - We investigate the post-translational generation of Gla (gamma-carboxy glutamic
acid) from Glu (glutamic acid) by vitamin K carboxylase (VKC) in solvent. VKC is
thought to convert vitamin K, in the vitamin K cycle, to an alkoxide-epoxide
form, which then reacts with CO(2) to generate an essential ingredient in blood
coagulation, gamma-carboxyglutamic acid (Gla). The generation of Gla from Glu is
found to be exergenic (-15 kcal/mol) in aqueous solution with the SM6 method. We
also produced the free energy profile for this model biochemical process with
other solvent methods (polarizable continuum model, dielectric polarizable
continuum model) and different dielectric constants. The biological implications
are discussed.
PMID- 21892231
TI - Reported Contact with the Dead, Religious Involvement, and Death Anxiety in Late
Life.
AB - The purpose of this study is to examine the relationship between contact with the
dead and death anxiety. The data come from an ongoing nationwide survey of older
adults. A conceptual model is developed that contains the following theoretical
linkages: (1) making contact with the dead instills a deeper appreciation of the
connection that exists among all people; (2) this fundamental sense of
connectedness with others fosters a deeper sense of religious meaning in life;
and (3) individuals with a deeper sense of religious meaning in life are less
likely to experience feelings of death anxiety than people who have not been able
to find meaning in life through religion. The findings from this study provide
support for each of these relationships. The theoretical implications of these
hypotheses are discussed.
PMID- 21892232
TI - AND/R: Advanced neutron diffractometer/reflectometer for investigation of thin
films and multilayers for the life sciences.
AB - An elastic neutron scattering instrument, the advanced neutron
diffractometer/reflectometer (AND/R), has recently been commissioned at the
National Institute of Standards and Technology Center for Neutron Research. The
AND/R is the centerpiece of the Cold Neutrons for Biology and Technology
partnership, which is dedicated to the structural characterization of thin films
and multilayers of biological interest. The instrument is capable of measuring
both specular and nonspecular reflectivity, as well as crystalline or
semicrystalline diffraction at wave-vector transfers up to approximately 2.20 A(
1). A detailed description of this flexible instrument and its performance
characteristics in various operating modes are given.
PMID- 21892233
TI - The Unintended Significance of Race: Environmental Racial Inequality in Detroit.
AB - This article addresses shortcomings in the literature on environmental inequality
by (a) setting forth and testing four models of environmental inequality and (b)
explicitly linking environmental inequality research to spatial mismatch theory
and to the debate on the declining significance of race. The explanatory models
ask whether the distribution of blacks and whites around environmental hazards is
the result of black/white income inequality, racist siting practices, or
residential segregation. The models are tested using manufacturing facility and
census data from the Detroit metropolitan area. It turns out that the
distribution of blacks and whites around this region's polluting manufacturing
facilities is largely the product of residential segregation which,
paradoxically, has reduced black proximity to manufacturing facility pollution.
PMID- 21892234
TI - Population Growth in High-Amenity Rural Areas: Does it Bring Socioeconomic
Benefits for Long-Term Residents?
AB - OBJECTIVE: A widely noted concern with amenity-driven rural population growth is
its potential to yield only low-wage service-sector employment for long-term
residents, while raising local costs of living. This research examines change in
socioeconomic status during the 1990s for long-term residents of high-amenity,
high-growth rural counties in the United States. METHODS: Using longitudinal data
from the Panel Study of Income Dynamics, in combination with county-level
information, we estimate growth-curve models to examine the extent to which the
socioeconomic status of long-term residents is associated with amenity-related in
migration. RESULTS: We find that, on average, residents in high-growth, amenity
rich rural areas have higher income growth over time and higher levels of initial
occupational prestige compared to those from other rural areas, but that
socioeconomic gains are primarily for individuals with low baseline prestige.
CONCLUSIONS: The socioeconomic gains made by long-term residents of high-growth,
amenity-rich rural areas associated with net in-migration may be limited to
individuals with low initial prestige and growth may be due to low-skill service
sector jobs.
PMID- 21892235
TI - Effect of a non-ionic surfactant, Merpol, on dye decolorization of Reactive blue
19 by laccase.
PMID- 21892236
TI - Anatomical Contributions to Hylobatid Taxonomy and Adaptation.
AB - Compared with the great apes, the small-bodied hylobatids were treated
historically as a relatively uniform group with 2 genera, Hylobates and the
larger-bodied Symphalangus. Four genera are now recognized, each with a different
chromosome number: Hoolock (hoolock) (38), Hylobates (44), Nomascus (crested
gibbon) (52), and Symphalangus (siamang) (50). Previous morphological studies
based on relative bone lengths, e.g., intermembral indices; molar tooth sizes;
and body masses did not distinguish the 4 genera from each other. We applied
quantitative anatomical methods to test the hypothesis that each genus can be
differentiated from the others using the relative distribution of body mass to
the forelimbs and hind limbs. Based on dissections of 13 hylobatids from captive
facilities, our findings demonstrate that each of the 4 genera has a distinct
pattern of body mass distribution. For example, the adult Hoolock has limb
proportions of nearly equal mass, a pattern that differentiates it from species
in the genus Hylobates, e.g., H. lar (lar gibbon), H. moloch (Javan gibbon), H.
pileatus (pileated gibbon), Nomascus, and Symphalangus. Hylobates is distinct in
having heavy hind limbs. Although Symphalangus has been treated as a scaled up
version of Hylobates, its forelimb exceeds its hind limb mass, an unusual primate
pattern otherwise found only in orangutans. This research provides new
information on whole body anatomy and adds to the genetic, ecological, and
behavioral evidence for clarifying the taxonomy of the hylobatids. The research
also underscores the important contribution of studies on rare species in
captivity.
PMID- 21892237
TI - The Equivocal Relationship Between Territoriality and Scent Marking in Wild
Saddleback Tamarins (Saguinus fuscicollis).
AB - Researchers have often assumed that scent marking serves a territorial function
in callitrichines, although some controversy exists. To fulfill such a function,
scent marks should 1) prevent intrusions, 2) ensure access to feeding resources,
3) enable avoidance of intergroup encounters, or 4) play an important role in the
aggressive encounters between groups. We studied 13 saddleback tamarins (Saguinus
fuscicollis) belonging to 3 free-ranging groups, which formed mixed-species
troops with moustached tamarins (S. mystax) in the Amazonian rain forest of Peru.
None of the predictions were confirmed. The tamarins used a border-marking
strategy, marking more on the periphery of their territory. However, feeding
trees in overlap and encounter areas received more scent marking but were still
visited by neighboring groups. Intergroup encounters occurred more often than
expected, and scent-marking frequency was not higher during them than when no
other group was present. It appears that instead of defending a territory in the
classic sense, the tamarins are optimizing signal transmission by depositing
their scents where the probability of detection by neighbors is higher.
Saddleback tamarins may use shared areas of their home ranges to exchange
information with neighboring groups, perhaps regarding reproductive
opportunities. ELECTRONIC SUPPLEMENTARY MATERIAL: The online version of this
article (doi:10.1007/s10764-011-9516-9) contains supplementary material, which is
available to authorized users.
PMID- 21892238
TI - Estrogen and Progestogen Correlates of the Structure of Female Copulation Calls
in Semi-Free-Ranging Barbary Macaques (Macaca sylvanus).
AB - Females of many Old World primates produce conspicuous vocalizations in
combination with copulations. Indirect evidence exists that in Barbary macaques
(Macaca sylvanus), the structure of these copulation calls is related to changes
in reproductive hormone levels. However, the structure of these calls does not
vary significantly around the timing of ovulation when estrogen and progestogen
levels show marked changes. We here aimed to clarify this paradox by
investigating how the steroid hormones estrogen and progesterone are related to
changes in the acoustic structure of copulation calls. We collected data on semi
free-ranging Barbary macaques in Gibraltar and at La Foret des Singes in
Rocamadour, France. We determined estrogen and progestogen concentrations from
fecal samples and combined them with a fine-grained structural analysis of female
copulation calls (N = 775 calls of 11 females). Our analysis indicates a time lag
of 3 d between changes in fecal hormone levels, adjusted for the excretion lag
time, and in the acoustic structure of copulation calls. Specifically, we found
that estrogen increased the duration and frequency of the calls, whereas
progestogen had an antagonistic effect. Importantly, however, variation in
acoustic variables did not track short-term changes such as the peak in estrogen
occurring around the timing of ovulation. Taken together, our results help to
explain why female Barbary macaque copulation calls are related to changes in
hormone levels but fail to indicate the fertile phase.
PMID- 21892239
TI - New and revisited species in Aspergillus section Nigri.
AB - Four new species, Aspergillus eucalypticola, A. neoniger, A. fijiensis and A.
indologenus are described and illustrated. Aspergillus eucalypticola was isolated
from Eucalyptus leaf from Australia, and is related to A. tubingensis and A.
costaricaensis, but could clearly be distinguished from them based on either beta
tubulin or calmodulin sequence data. Aspergillus eucalypticola produced
pyranonigrin A, funalenone, aurasperone B and other naphtho-gamma-pyrones.
Aspergillus neoniger is also a biseriate species isolated from desert sand in
Namibia, and mangrove water in Venezuela, which produces aurasperone B and
pyranonigrin A. Aspergillus fijiensis is a uniseriate species related to A.
aculeatinus, and was isolated from soil in Fiji, and from Lactuca sativa in
Indonesia. This species is able to grow at 37 degrees C, and produces
asperparalines and okaramins. Aspergillus indologenus was isolated from soil,
India. This species also belongs to the uniseriate group of black aspergilli, and
was found to be related to, but clearly distinguishable from A. uvarum based on
beta-tubulin, calmodulin and ITS sequence data. Aspergillus indologenus produced
the insecticidal compounds okaramins A, B, H, and two types of indol-alkaloids
which have not been structure elucidated. Two other species, A. violaceofuscus
and A. acidus, are revalidated based on molecular and extrolite data. Aspergillus
violaceofuscus was found to be related to A. japonicus, and produced some of the
same interesting indol-alkaloids as A. indologenus, and also produced several
families of partially characterised extrolites that were also found in A.
heteromorphus. Aspergillus acidus (previously known as A. foetidus var. pallidus
and A. foetidus var. acidus) is also a valid species, while A. foetidus is a
synonym of A. niger based on molecular and physiological data. Two other species
described previously, A. coreanus and A. lacticoffeatus, were found to be colour
mutants of A. acidus and A. niger, respectively. Methods which could be used to
distinguish the two closely related and economically important species A. niger
and A. awamori are also detailed. Although these species differ in their
occurrence and several physiological means (elastase activities, abilities to
utilise 2-deoxy-D-glucose as sole carbon source), our data indicate that only
molecular approaches including sequence analysis of calmodulin or beta-tubulin
genes, AFLP analysis, UP-PCR analysis or mtDNA RFLP analysis can be used reliably
to distinguish these sibling species. Aspergillus section Nigri now includes 26
taxa.
PMID- 21892240
TI - Growth and hydrolase profiles can be used as characteristics to distinguish
Aspergillus niger and other black aspergilli.
AB - Wild type Aspergillus niger isolates from different biotopes from all over the
world were compared to each other and to the type strains of other black
Aspergillus species with respect to growth and extracellular enzyme profiles. The
origin of the A. niger isolate did not result in differences in growth profile
with respect to monomeric or polymeric carbon sources. Differences were observed
in the growth rate of the A. niger isolates, but these were observed on all
carbon sources and not specific for a particular carbon source. In contrast,
carbon source specific differences were observed between the different species.
Aspergillus brasiliensis is the only species able to grow on D-galactose, and A.
aculeatus had significantly better growth on Locus Bean gum than the other
species. Only small differences were found in the extracellular enzyme profile of
the A. niger isolates during growth on wheat bran, while large differences were
observed in the profiles of the different black aspergilli. In addition,
differences were observed in temperature profiles between the black Aspergillus
species, but not between the A. niger isolates, demonstrating no isolate-specific
adaptations to the environment.These data indicate that the local environment
does not result in stable adaptations of A. niger with respect to growth profile
or enzyme production, but that the potential is maintained irrespective of the
environmental parameters. It also demonstrates that growth, extracellular protein
and temperature profiles can be used for species identification within the group
of black aspergilli.
PMID- 21892241
TI - Analysis of regulation of pentose utilisation in Aspergillus niger reveals
evolutionary adaptations in Eurotiales.
AB - Aspergilli are commonly found in soil and on decaying plant material. D-xylose
and L-arabinose are highly abundant components of plant biomass. They are
released from polysaccharides by fungi using a set of extracellular enzymes and
subsequently converted intracellularly through the pentose catabolic pathway
(PCP).In this study, the L-arabinose responsive transcriptional activator (AraR)
is identified in Aspergillus niger and was shown to control the L-arabinose
catabolic pathway as well as expression of genes encoding extracellular L
arabinose releasing enzymes. AraR interacts with the D-xylose-responsive
transcriptional activator XlnR in the regulation of the pentose catabolic
pathway, but not with respect to release of L-arabinose and D-xylose.AraR was
only identified in the Eurotiales, more specifically in the family Trichocomaceae
and appears to have originated from a gene duplication event (from XlnR) after
this order or family split from the other filamentous ascomycetes. XlnR is
present in all filamentous ascomycetes with the exception of members of the
Onygenales. Since the Onygenales and Eurotiales are both part of the subclass
Eurotiomycetidae, this indicates that strong adaptation of the regulation of
pentose utilisation has occurred at this evolutionary node. In Eurotiales a
unique two-component regulatory system for pentose release and metabolism has
evolved, while the regulatory system was lost in the Onygenales. The observed
evolutionary changes (in Eurotiomycetidae) mainly affect the regulatory system as
in contrast, homologues for most genes of the L-arabinose/D-xylose catabolic
pathway are present in all the filamentous fungi, irrespective of the presence of
XlnR and/or AraR.
PMID- 21892242
TI - New species in Aspergillus section Terrei.
AB - Section Terrei of Aspergillus was studied using a polyphasic approach including
sequence analysis of parts of the beta-tubulin and calmodulin genes and the ITS
region, macro- and micromorphological analyses and examination of extrolite
profiles to describe three new species in this section. Based on phylogenetic
analysis of calmodulin and beta-tubulin sequences seven lineages were observed
among isolates that have previously been treated as A. terreus and its subspecies
by Raper & Fennell (1965) and others. Aspergillus alabamensis, A. terreus var.
floccosus, A. terreus var. africanus, A. terreus var. aureus, A. hortai and A.
terreus NRRL 4017 all represent distinct lineages from the A. terreus clade.
Among them, A. terreus var. floccosus, A. terreus NRRL 4017 and A. terreus var.
aureus could also be distinguished from A. terreus by using ITS sequence data.
New names are proposed for A. terreus var. floccosus, A. terreus var. africanus,
A. terreus var. aureus, while Aspergillus hortai is recognised at species level.
Aspergillus terreus NRRL 4017 is described as the new species A. pseudoterreus.
Also included in section Terrei are some species formerly placed in sections
Flavipedes and Versicolores. A. clade including the type isolate of A. niveus
(CBS 115.27) constitutes a lineage closely related to A. carneus. Fennellia
nivea, the hypothesized teleomorph is not related to this clade. Aspergillus
allahabadii, A. niveus var. indicus, and two species originally placed in section
Versicolores, A. ambiguus and A. microcysticus, also form well-defined lineages
on all trees. Species in Aspergillus section Terrei are producers of a diverse
array of secondary metabolites. However, many of the species in the section
produce different combinations of the following metabolites: acetylaranotin,
asperphenamate, aspochalamins, aspulvinones, asteltoxin, asterric acid,
asterriquinones, aszonalenins, atrovenetins, butyrolactones, citreoisocoumarins,
citreoviridins, citrinins, decaturins, fulvic acid, geodins, gregatins,
mevinolins, serantrypinone, terreic acid (only the precursor 3,6
dihydroxytoluquinone found), terreins, terrequinones, terretonins and territrems.
The cholesterol-lowering agent mevinolin was found in A. terreus and A.
neoafricanus only. The hepatotoxic extrolite citrinin was found in eight species:
A. alabamensis, A. allahabadii, A. carneus, A. floccosus, A. hortai, A.
neoindicus, A. niveus and A. pseudoterreus. The neurotoxic extrolite
citreoviridin was found in five species: A. neoafricanus, A. aureoterreus, A.
pseudoterreus, A. terreus and A. neoniveus. Territrems, tremorgenic extrolites,
were found in some strains of A. alabamensis and A. terreus.
PMID- 21892243
TI - Two new aflatoxin producing species, and an overview of Aspergillus section
Flavi.
AB - Aspergillus subgenus Circumdati section Flavi includes species with usually
biseriate conidial heads, in shades of yellow-green to brown, and dark sclerotia.
Several species assigned to this section are either important mycotoxin producers
including aflatoxins, cyclopiazonic acid, ochratoxins and kojic acid, or are used
in oriental food fermentation processes and as hosts for heterologous gene
expression. A polyphasic approach was applied using morphological characters,
extrolite data and partial calmodulin, beta-tubulin and ITS sequences to examine
the evolutionary relationships within this section. The data indicate that
Aspergillus section Flavi involves 22 species, which can be grouped into seven
clades. Two new species, A. pseudocaelatus sp. nov. and A. pseudonomius sp. nov.
have been discovered, and can be distinguished from other species in this section
based on sequence data and extrolite profiles. Aspergillus pseudocaelatus is
represented by a single isolate collected from Arachis burkartii leaf in
Argentina, is closely related to the non-aflatoxin producing A. caelatus, and
produces aflatoxins B & G, cyclopiazonic acid and kojic acid, while A.
pseudonomius was isolated from insects and soil in the USA. This species is
related to A. nomius, and produces aflatoxin B(1) (but not G-type aflatoxins),
chrysogine and kojic acid. In order to prove the aflatoxin producing abilities of
the isolates, phylogenetic analysis of three genes taking part in aflatoxin
biosynthesis, including the transcriptional regulator aflR, norsolonic acid
reductase and O-methyltransferase were also carried out. A detailed overview of
the species accepted in Aspergillus section Flavi is presented.
PMID- 21892244
TI - New taxa in Aspergillus section Usti.
AB - Based on phylogenetic analysis of sequence data, Aspergillus section Usti
includes 21 species, inclucing two teleomorphic species Aspergillus
heterothallicus (= Emericella heterothallica) and Fennellia monodii. Aspergillus
germanicus sp. nov. was isolated from indoor air in Germany. This species has
identical ITS sequences with A. insuetusCBS 119.27, but is clearly distinct from
that species based on beta-tubulin and calmodulin sequence data. This species is
unable to grow at 37 degrees C, similarly to A. keveii and A. insuetus.
Aspergillus carlsbadensis sp. nov. was isolated from the Carlsbad Caverns
National Park in New Mexico. This taxon is related to, but distinct from a clade
including A. calidoustus, A. pseudodeflectus, A. insuetus and A. keveii on all
trees. This species is also unable to grow at 37 degrees C, and acid production
was not observed on CREA. Aspergillus californicus sp. nov. is proposed for an
isolate from chamise chaparral (Adenostoma fasciculatum) in California. It is
related to a clade including A. subsessilis and A. kassunensis on all trees. This
species grew well at 37 degrees C, and acid production was not observed on CREA.
The strain CBS 504.65 from soil in Turkey showed to be clearly distinct from the
A. deflectus ex-type strain, indicating that this isolate represents a distinct
species in this section. We propose the name A. turkensis sp. nov. for this
taxon. This species grew, although rather restrictedly at 37 degrees C, and acid
production was not observed on CREA. Isolates from stored maize, South Africa, as
a culture contaminant of Bipolaris sorokiniana from indoor air in Finland proved
to be related to, but different from A. ustus and A. puniceus. The taxon is
proposed as the new species A. pseudoustus. Although supported only by low
bootstrap values, F. monodii was found to belong to section Usti based on
phylogenetic analysis of either loci BLAST searches to the GenBank database also
resulted in closest hits from section Usti. This species obviously does not
belong to the Fennellia genus, instead it is a member of the Emericella genus.
However, in accordance with the guidelines of the Amsterdam Declaration on fungal
nomenclature (Hawksworth et al. 2011), and based on phylogenetic and
physiological evidence, we propose the new combination Aspergillus monodii comb.
nov. for this taxon. Species assigned to section Usti can be assigned to three
chemical groups based on the extrolites. Aspergillus ustus, A. granulosus and A.
puniceus produced ustic acid, while A. ustus and A. puniceus also produced
austocystins and versicolorins. In the second chemical group, A. pseudodeflectus
produced drimans in common with the other species in this group, and also several
unique unknown compounds. Aspergillus calidoustus isolates produced drimans and
ophiobolins in common with A. insuetus and A. keveii, but also produced austins.
Aspergillus insuetus isolates also produced pergillin while A. keveii isolates
produced nidulol. In the third chemical group, E. heterothallica has been
reported to produce emethallicins, 5'-hydroxyaveranthin, emeheterone,
emesterones, 5'-hydroxyaveranthin.
PMID- 21892245
TI - Contributions of Maternal Adult Attachment to Socialization of Coping.
AB - This research examined whether maternal adult attachment predicted the coping
suggestions mothers made to their children. A sample of 157 youth (M age = 12.42,
SD = 1.20) and their maternal caregivers completed semi-structured interviews and
questionnaires in a two-wave longitudinal study. Results revealed that maternal
insecure attachment predicted fewer engagement coping suggestions (orienting
toward stress) and heightened disengagement coping suggestions (avoiding or
denying stress) both concurrently and over time. These associations were found
after adjusting for other relevant characteristics of the child, mother, and
family context. This study contributes to our understanding of the implications
of adult attachment for parenting behavior, suggesting that insecure attachment
undermines a parent's ability to provide adaptive coping guidance to their
children.
PMID- 21892246
TI - Preparation and optical properties of novel bioactive photonic crystals obtained
from core-shell poly(styrene/alpha-tert-butoxy-omega-vinylbenzyl-polyglycidol)
microspheres.
AB - Optical properties of polymer microspheres with polystyrene cores and
polyglycidol-enriched shells poly(styrene/alpha-tert-butoxy-omega-vinylbenzyl
polyglycidol) (P(S/PGL) particles with number average diameters D(n) determined
by scanning electron microscopy equal 237 and 271 nm), were studied before and
after immobilization of ovalbumin. The particles were synthesized by emulsifier
free emulsion copolymerization of styrene and polyglycidol macromonomer
(poly(styrene/alpha-tert-butoxy-omega-vinylbenzyl-polyglycidol)) initiated with
potassium persulfate. Molar fraction of polyglycidol units in the interfacial
layer of the microspheres determined by XPS was equal 42.6 and 34.0%, for the
particles with D(n) equal 137 and 271 nm, respectively. Colloidal crystals from
the aforementioned particles were prepared by deposition of particle suspensions
on the glass slides and subsequent evaporation of water. It was found that
optical properties of colloidal crystals from the P(S/PGL) microspheres strongly
depend on modification of their interfacial layer by covalent immobilization of
ovalbumin. The coating of particles with ovalbumin resulted in decreasing their
refractive index from 1.58 to 1.52.
PMID- 21892247
TI - Effect of hydrophobic and hydrophilic additives on sol-gel transition and release
behavior of timolol maleate from polycaprolactone-based hydrogel.
AB - The objective of this work was to delineate the effect of hydrophilic and
hydrophobic polymeric additives on sol-gel transition and release profile of
timolol maleate (TM) from poly (ethylene glycol)-poly (epsilon-caprolactone)-
poly (ethylene glycol) (PEG-PCL-PEG)-based thermosensitive hydrogel.
Polycaprolactone (hydrophobic additive) and polyvinyl alcohol (PVA) (hydrophilic
additive) reduced critical gel concentration of PEG-PCL-PEG triblock polymer. The
effect of PCL on sol-gel transition was more pronounced than PVA. However, with
PCL no statistically significant difference in release profile was observed. The
effect of PVA on release profile was more pronounced, which reduced the
cumulative percentage release of TM from 86.4+/-0.8% to 73.7+/-1.8% over 316 h.
Moreover, cytotoxicity of the hydrogel was also investigated utilizing rabbit
primary corneal epithelial culture cells. No significant cytotoxicity of hydrogel
alone or in presence of additives was observed. So, polymeric additive strategy
serves as a valuable tool for optimizing TM release kinetics from PEG-PCL-PEG
hydrogel matrix.
PMID- 21892248
TI - Threat of allergenic airborne grass pollen in Szczecin, NW Poland: the dynamics
of pollen seasons, effect of meteorological variables and air pollution.
AB - The dynamics of Poaceae pollen season, in particularly that of the Secale genus,
in Szczecin (western Poland) 2004-2008 was analysed to establish a relationship
between the meteorological variables, air pollution and the pollen count of the
taxa studied. Consecutive phases during the pollen season were defined for each
taxon (1, 2.5, 5, 25, 50, 75, 95, 97.5, 99% of annual total), and duration of the
season was determined using the 98% method. On the basis of this analysis, the
temporary differences in the dynamics of the seasons were most evident for Secale
in 2005 and 2006 with the longest main pollen season (90% total pollen). The
pollen season of Poaceae started the earliest in 2007, when thermal conditions
were the most favourable. Correlation analysis with meteorological factors
demonstrated that the relative humidity, mean and maximum air temperature, and
rainfall were the factors influencing the average daily pollen concentrations in
the atmosphere; also, the presence of air pollutants such as ozone, PM(10) and
SO(2) was statistically related to the pollen count in the air. However, multiple
regression models explained little part of the total variance. Atmospheric
pollution induces aggravation of symptoms of grass pollen allergy.
PMID- 21892249
TI - The pollen season dynamics and the relationship among some season parameters
(start, end, annual total, season phases) in Krakow, Poland, 1991-2008.
AB - The dynamics of 15 taxa pollen seasons in Krakow, in 1991-2008 was monitored
using a Burkard volumetric spore trap of the Hirst design. The highest daily
pollen concentrations were achieved in the first half of May, and they were
caused mainly by Betula and Pinus pollen. The second period of the high
concentrations took place from the middle of July to the end of August (mainly
Urtica pollen). Tree pollen seasons were shorter (18-24 days) in comparison with
the most herbaceous pollen seasons (73-89 days), except at Artemisia and Ambrosia
seasons (30 and 24 days, respectively). The season phases (percentyles) of the
spring and late-summer taxa were the most variable in the consecutive years. The
highest annual sums were noted for Urtica, Poaceae (herbaceous pollen seasons)
and for Betula, Pinus, Alnus (tree pollen seasons), and the highest variability
of annual totals was stated for Urtica, Populus, Fraxinus and the lowest for
Ambrosia, Corylus, Poaceae. For the plants that pollinate in the middle of the
pollen season (Quercus, Pinus and Rumex), the date of the season start seems not
to be related to the season end, while for late pollen seasons, especially for
Ambrosia and Artemisia, the statistically negative correlation between the start
and the end season dates was found. Additionally, for the most studied taxa, the
increase in annual pollen totals was observed. The presented results could be
useful for the allergological practice and general botanical knowledge.
PMID- 21892250
TI - Involuntary movements and their correlates in first-episode psychoses.
PMID- 21892251
TI - Individual and ethnic aspects of preoperative planning for posttraumatic
rhinoplasty.
AB - The aim of this study was to compare the aesthetic results of post traumatic
rhinoplasty among Europeans with populations of healthy Caucasians described in
earlier reports, and to collate correct parameters of nasal shape in healthy
representatives of both sexes and various races for improvement in aesthetic
results of surgery. 3-D scanning of the face was performed in 54 patients after
posttraumatic septorhinoplasty. Analysis of 3D model was based on two indices of
the nasal proportions and four angles of the region from 18 anthropological
points. Parameters of the nasal shape in addition to gender were compared to
average values of healthy Caucasian population, described before. Normal
characteristics of the nose among individuals of three races from previous
studies were also compared to one another. In females, mean height and width of
the nose as well as length of both nostrils was smaller. Neither were there any
significant differences in width of the nostrils and length of the nasal pyramid
nor nasal prominence. Nasal proportions were similar in both sexes. Some of the
nasal angles differed in addition to gender. Posttraumatic rhinoplasty resulted
in correct shape of the nose similar to the healthy Caucasian population. The
aesthetic nose differ among healthy individuals of the three races analysed.
While preoperative planning is important, knowledge of the normal values of
parameters characterising shape in both genders is equally important as the
individual differences in relation to the whole face.
PMID- 21892252
TI - A study of cleft lip/palate in a community in the South East of Ghana.
AB - The previous study in Wudoaba villages suggested that cleft lip and cleft palate
(CL/CP) may be endemic in the Wudoaba cluster of villages in the Ketu South
District of the Volta Region in South East Ghana. The study was to detect the
prevalence of CL/CP in the Wudoaba communities and to investigate the factors
associated with the causes of this malformation in the area. Two different
interview-based questionnaires were designed to collect data over a period of 3
days from March 27 to 29, 2006. A purposive and accidental random sampling
technique was used in the administering of the various questionnaires to the
respondents. Data collected were recorded and analyzed with SPSS version 17.0. A
total 99 respondents, with a mean age of 55.0 years, were interviewed. Out of it,
57.6% (n = 57) were related to their spouses: 54 first cousins and three other
family relations. The prevalence of CL/CP is at least 6.3 per 1,000 people (i.e.,
25/4,000). Majority (56.0%, n = 14) of the cleft cases were unilateral.
Interviews revealed that genetic homogeneity and vitamin deficiencies in this
community may be a causal factor for the high prevalence of CL/CP. This community
provides clues suggesting that the magnitude of CL/CP may be larger than other
studies and identifies the Wudoaba population as one that could be further
studied to explore the underlying factors causing this congenital malformation.
PMID- 21892253
TI - Oxalic acid, versatile peroxidase secretion and chelating ability of Bjerkandera
fumosa in rich and limited culture conditions.
AB - Efficient ligninolytic systems of wood-degrading fungi include not only oxidizing
enzymes, but also low-molecular-weight effectors. The ability of Bjerkandera
fumosa to secrete oxalic acid and versatile peroxidase (VP) in nitrogen-rich and
nitrogen-limited media was studied. Higher activity of VP was determined in the
nitrogen-limited media but greater concentration of oxalic acid was observed in
the cultures of B. fumosa without nitrogen limitation. Ferric ions chelating
ability of Bjerkandera fumosa studied in ferric ions limited media was correlated
with the increased level of oxalic acid. The presence of hydroxamate-type
siderophores in B. fumosa media were also detected. Oxalate decarboxylase was
found to be responsible for regulation of oxalic acid concentration in the tested
B. fumosa cultures.
PMID- 21892254
TI - Ultrafiltrative separation of rhamnolipid from culture medium.
AB - Classic methods of biosurfactant separation are difficult and require large
amounts of organic solvents, thus generate high amounts of waste. This work
presents and discusses in detail an original procedure to separate rhamnolipid
from fermentation broth using high performance membrane techniques. Due to the
unique properties of surface active agents, such as capability of forming
aggregates above the critical micelle concentration, it is possible to easily
purify the biosurfactant with high efficacy using inexpensive and commonly used
membranes. In this article, two-stage ultrafiltration is proposed as a method for
separating and purifying rhamnolipid from the culture medium. The obtained
purified rhamnolipid solution was capable of reducing surface tension of water
down to 28.6 mN/m at critical micelle concentration of 40 mg/l. Separation of
rhamnolipid was confirmed by HPLC; three types of rhamnolipids were identified
(RL1, RL2, RL4), with considerable predominance of RL2.
PMID- 21892255
TI - Near-IR imaging of Erbium Laser Ablation with a Water Spray.
AB - Near-IR (NIR) imaging can be used to view the formation of ablation craters
during laser ablation since the enamel of the tooth is almost completely
transparent near 1310-nm(1). Laser ablation craters can be monitored under
varying irradiation conditions to assess peripheral thermal and transient-stress
induced damage, measure the rate and efficiency of ablation and provide insight
into the ablation mechanism. There are fundamental differences in the mechanism
of enamel ablation using erbium lasers versus carbon dioxide laser systems due to
the nature of the primary absorber and it is necessary to have water present on
the tooth surface for efficient ablation at erbium laser wavelengths. In this
study, sound human tooth sections of approximately 2-3-mm thickness were
irradiated by free running and Q-switched Er:YAG & Er:YSGG lasers under varying
conditions with and without a water spray. The incision area in the interior of
each sample was imaged using a tungsten-halogen lamp with a band-pass filter
centered at 1310-nm combined with an InGaAs area camera with a NIR zoom
microscope. Obvious differences in the crater evolution were observed between
CO(2) and erbium lasers. Ablation stalled after a few laser pulses without a
water spray as anticipated. Efficient ablation was re-initiated by resuming the
water spray. Micro-fractures were continuously produced apparently driven along
prism lines during multi-pulse ablation. These fractures or fissures appeared to
merge together as the crater evolved to form the leading edge of the ablation
crater. These observations support the proposed thermo-mechanical mechanisms of
erbium laser involving the strong mechanical forces generated by selective
absorption by water.
PMID- 21892256
TI - Dentin bond strength after ablation using a CO(2) laser operating at high pulse
repetition rates.
AB - Pulsed CO(2) lasers show great promise for the rapid and efficient ablation of
dental hard tissues. Our objective was to demonstrate that CO(2) lasers operated
at high repetition rates can be used for the rapid removal of dentin without
excessive thermal damage and without compromising adhesion to restorative
materials. Human dentin samples (3*3mm(2)) were rapidly ablated with a pulsed
CO(2) laser operating at a wavelength of 9.3-MUm, pulse repetition rate of 300-Hz
and an irradiation intensity of 18-J/cm(2). The bond strength to composite was
determined by the modified single plane shear test. There were 8 test groups each
containing 10 blocks: negative control (non-irradiated non-etched), positive
control (non-irradiated acid-etched), and six laser treated groups (three etched
and three non-etched sets). The first and second etched and non-etched sets were
ablated at a speed of 25 mm/sec and 50 mm/sec with water, respectively. The third
set was also ablated at 50 mm/sec without application of water during laser
irradiation. Minimal thermal damage was observed on the dentin surfaces for which
water cooling was applied. Bond strengths exceeded 20 MPa for laser treated
surfaces that were acid-etched after ablation (25-mm/sec: 29.9-MPa, 50-mm/sec:
21.3-MPa). The water-cooled etched laser groups all produced significantly
stronger bonds than the negative control (p<0.001) and a lower bond strength than
the positive control (p<0.05). These measurements demonstrate that dentin
surfaces can be rapidly ablated by a CO(2) lasers with minimal peripheral thermal
damage. Additional studies are needed to determine if a lower bond strength than
the acid-etched control samples is clinically significant where durability of
these bonded restoration supersedes high bond strength.
PMID- 21892257
TI - Imaging Simulated Secondary Caries Lesions with Cross Polarization OCT.
AB - The clinical diagnosis of secondary caries has been found to account for the
replacement of the majority of intra-coronal restorations. Current methods to
diagnose the presence of these lesions at early stages are considered
insufficient due to their low sensitivity. Polarization-sensitive optical
coherence tomography (PS-OCT) imaging studies have confirmed its effectiveness
for imaging carious subsurface lesions in enamel and dentin. The objective of
this study was to determine if PS-OCT can be used to nondestructively image
demineralization through resin restorations on extracted teeth with both
simulated and natural lesions. Simulated secondary caries lesions were created by
exposing cavity preparations made in extracted human teeth to a demineralizing
solution for 48 hours and subsequently restoring with resin. Negative control
restorations were also prepared on each tooth. Optical changes in demineralized
versus control preparations beneath restorations were measured as a function of
depth using PS-OCT. PS-OCT images indicated that a significant increase in
reflectivity and depth occurred in the simulated lesions compared with the
control preparations. This study suggests that PS-OCT is well-suited to
nondestructively detect early caries lesions in enamel beneath composite
restorations.
PMID- 21892258
TI - Endotracheal intubation skill acquisition by medical students.
AB - BACKGROUND: During the course of their training, medical students may receive
introductory experience with advanced resuscitation skills. Endotracheal
intubation (ETI--the insertion of a breathing tube into the trachea) is an
example of an important advanced resuscitation intervention. Only limited data
characterize clinical ETI skill acquisition by medical students. We sought to
characterize medical student acquisition of ETI procedural skill. METHODS: The
study included third-year medical students participating in a required
anesthesiology clerkship. Students performed ETI on operating room patients under
the supervision of attending anesthesiologists. Students reported clinical
details of each ETI effort, including patient age, sex, Mallampati score, number
of direct laryngoscopies and ETI success. Using mixed-effects regression, we
characterized the adjusted association between ETI success and cumulative ETI
experience. RESULTS: ETI was attempted by 178 students on 1,646 patients (range 1
23 patients per student; median 9 patients per student, IQR 6-12). Overall ETI
success was 75.0% (95% CI 72.9-77.1%). Adjusted for patient age, sex, Mallampati
score and number of laryngoscopies, the odds of ETI success improved with
cumulative ETI encounters (odds ratio 1.09 per additional ETI encounter; 95% CI
1.04-1.14). Students required at least 17 ETI encounters to achieve 90% predicted
ETI success. CONCLUSIONS: In this series medical student ETI proficiency was
associated with cumulative clinical procedural experience. Clinical experience
may provide a viable strategy for fostering medical student procedural skills.
PMID- 21892259
TI - Automatic Alignment of Myocardial Perfusion Images with Contrast Cardiac
Tomography.
AB - Explicit fusion of perfusion data from Positron Emission Tomography (PET) or
Single Photon Emission Computed Tomography (SPECT) with coronary artery anatomy
from Computed Tomographic Coronary Angiography (CTCA) has been shown to improve
the diagnostic yield for coronary artery disease (CAD) compared to either
modality alone. However, most clinically available methods were developed for
multimodal scanners or require interactive alignment prior to display and
analysis. A new approach was developed to register and display the two
distributions obtained either from a single multimodal imager or from separate
scanners, and a preliminary validation was undertaken using interactive alignment
by experts.
PMID- 21892260
TI - Palestinian children in the hemato-oncology ward of an israeli hospital.
AB - PURPOSE: An encounter between Palestinian parents of children with cancer and
Israeli medical staff is a very special situation where "potential enemies"
interact in a caring, trusting and intimate relationship for long periods of
time. Our aim was to study the psychological and cultural encounter in order to
understand the dynamics involved. METHOD: The study is a qualitative one. Data
was collected by way of structured in-depth interviews. Participants were
physicians and nurses employed in the department, and Palestinian parents
accompanying their children who were hospitalized during the research period.
RESULTS: SIX MAIN THEMES EMERGED FROM THE ANALYSIS OF THE INTERVIEWS: (1) The
decision to come to Israel for treatment. (2) The "meeting points" of the two
peoples: the Israeli check points and the Palestinian Authority permits. (3)
Encounter with the Israeli hospital. (4) Relationship between medical staff and
parents. (5) Language and cultural barriers. (6) Emotions, thoughts and behaviors
during high security tension. CONCLUSION: The interviews depict a poignant
picture of the unique encounter between Israeli Doctors and nurses and
Palestinian parents. The psychological mechanism used by parents and doctors is
"splitting"-having a dichotomized, simple emotional-perceptual picture of a
situation with no conflicts. Nurses use another psychological mechanism in
addition which enables them to contain the paradox and the conflict.
PMID- 21892262
TI - Late Relapse and Follow-up Protocols in Testicular Germ Cell Tumours: The
Edinburgh Cancer Centre Experience and Review of the Literature.
AB - AIMS: To identify clinicopathological features and outcomes in patients with late
relapse (LR) of testicular germ cell tumours (GCTs) in order to guide follow-up
policy. MATERIALS AND METHODS: The Edinburgh Cancer Centre (ECC) database
identified all patients diagnosed with testicular GCT between 1988 and 2002. Of
703 patients, six relapsed more than 24 months after their initial treatment. A
retrospective casenote review was performed to extract clinical, pathological,
treatment and outcome data. RESULTS: Six patients (0.85%) underwent late relapse.
All patients presented initially with stage I disease and five were classified as
good risk (International Germ Cell Consensus Classification, IGCCC). Median time
to LR was 31 months. Two patients had previously relapsed less than 24 months
from initial diagnosis. Markers at the time of relapse were normal in all
patients. In all cases of late relapse disease was confined to axial
lymphadenopathy. Three patients were treated with chemotherapy alone, two
patients underwent surgical resection and one patient received combined
treatment. All patients obtained a complete response and all remain disease free
with a median follow-up of 52 months. CONCLUSIONS: The incidence of late relapse
in this series is low. Chemo-naive patients with LR were successfully salvaged
with chemotherapy alone and patients previously exposed to cisplatin-based
chemotherapy were salvaged with complete surgical excision. The optimal length of
follow-up in patients with testicular germ cell tumours is not known and practice
varies widely. In this cohort of 703 patients, only one patient who relapsed was
picked up by additional clinic follow-up between 5 and 10 years. Thus, on the
basis of this small series, the authors suggest that follow-up after five years
may not be justified.
PMID- 21892261
TI - Biological Markers Predictive of Invasive Recurrence in DCIS.
AB - DCIS is a heterogeneous group of non-invasive cancers of the breast characterized
by various degrees of differentiation and unpredictable propensity for
transformation into invasive carcinoma. We examined the expression and prognostic
value of 9 biological markers with a potential role in tumor progression in 133
patients with pure DCIS treated with breast conserving surgery alone, between
1982-2000. Histology was reviewed and immunohistochemical staining was performed.
Pearson correlation coefficient was used to determine the associations between
markers and histopathological features. Univariate and multivariate analysis
examined associations between time to recurrence and clinicopathologic features
and biological markers.Median age at diagnosis was 55 years (25-85). With a
median follow up of 8.91 years, 41/133 patients recurred (21 as invasive
recurrence). In this cohort 13.5% had low, 43% intermediate and 42% high nuclear
grade. Comedo necrosis was found in 65% of cases. Expression of ER (62.4%), PR
(55.6%), HER2/neu (31.6%), MIB1 (39.8%), p53 (22.6%), p21 (39.8%), Cyclin D1
(95.5%) calgranulin (20.5%), psoriasin (12%), was found in DCIS. HER2/neu was
overexpressed in 45% that recurred as DCIS and 42.9% that recurred as invasive
cancer, and only in 26.1% in cases that never recurred. On univariate analysis,
HER2/neu overexpression was the only marker associated with an increased risk for
any recurrence (p = 0.044). The hazard ratio for recurrence for HER2/neu positive
DCIS was 1.927 (confidence interval 1.016-3.653) compared to HER2 negative DCIS.
On multivariate analysis, HER2/neu overexpression remained the only independent
variable significantly associated with any recurrence (p = 0.014) and with
invasive recurrence (p = 0.044).This data suggest that HER2/neu testing may
become an important parameter in the management of DCIS and the treatment of
cases with positive HER2/neu status could be modified accordingly, similar to the
current approach for HER2/neu positive invasive disease.
PMID- 21892263
TI - Expression levels of thymidylate synthase, thymidylate phosphorylase and
dihydropyrimidine dehydrogenase in head and neck squamous cell carcinoma:
preliminary study.
AB - INTRODUCTION: Pharyngo-laryngeal tumors classified as T3-4, N0-3, M0, are
conventionally treated by mutilating surgery (total (pharyngo)-laryngectomy). Neo
adjuvant chemotherapy with 5-FU/platinum salt can be proposed in an attempt to
preserve the larynx. The level of the response to chemotherapy ranges from 36 to
54% of cases. Thus, a large number of patients receive chemotherapy that is
ineffective and not free from adverse effects. Three main enzymes are involved in
the metabolism of 5-FU: thymidylate synthase (TS), thymidylate phosphorylase (TP)
and dihydropyrimidine dehydrogenase (DPD). Several studies suggest that a high
level of expression of these three genes correlates with a poor clinical response
to 5-FU. The main purpose of our study was to look for a correlation between the
levels of expression of the genes for sensitivity to 5-FU (TS, TP, DPD) within
the tumor and the clinical response observed after three courses of chemotherapy
combining 5-FU/platinum salt in patients presenting with advanced cancer of the
pharyngo-larynx. METHODS: This was a prospective genetic study that had required
approval from the Ethics Committee. The main assessment criterion was based on
the assessment of the clinical response by an ENT panendoscopy and a cervical CT
scan, after three courses of chemotherapy. The expression of the genes was
determined by quantitative RT-PCR, using total RNA extracted from tumor biopsies
taken during the initial panendoscopy. RESULTS: The means calculated, in our
study, for the three genes of interest (TS, TP, DPD) were lower in the responder
group than those in the non-responder group. DISCUSSION: Our preliminary findings
reveal trends that confirm the hypothesis that the lower the level of expression
of the sensitivity genes, the better the clinical response to chemotherapy. They
now form part of a larger study that is currently in progress.
PMID- 21892264
TI - Acute visual loss induced by dexamethasone during neoadjuvant docetaxol.
AB - We present a case of a female patient who developed acute onset of visual loss
due to central serous retinopathy as a consequence of steroid premedication for
docetaxol given as second line neoadjuvant chemotherapy for breast cancer.
Central serous retinopathy is a recognised association with steroids but has not
been previously reported in association with the management of solid tumours.
Reduction in steroid dose and duration permitted recovery of her visual acuity
while allowing completion of the prescribed chemotherapy regimen. An overview of
the presentation, pathogenesis, aetiologies and management of central serous
retinopathy is given.
PMID- 21892265
TI - Primary osteosarcoma of the right heart ventricle and atrium; a case report.
AB - Most primary malignancies of the heart, among them also osteosarcoma are found in
the left and very uncommonly in the right ventricle. We report a 75-year-old
patient with a primary osteosarcoma sited in the right ventricle occluding the
pulmonary outflow. The diagnosis was made when the patient was alive, using
echocardiography and computerized scan tomography examinations. Like in previous
reports on such malignancies, it was far too late for surgical or other
therapeutic interventions, and the histological diagnosis was made post mortem.
PMID- 21892266
TI - Molecular Mechanisms that Regulate Epidermal Growth Factor Receptor Inactivation.
AB - The Epidermal Growth Factor Receptor (EGFR) is the prototypical receptor tyrosine
kinase (RTK). These cell surface receptors are integral membrane proteins that
bind ligands on their extracellular domain and relay that information to within
the cell. The activated EGFR regulates diverse cell fates such as growth,
proliferation, differentiation, migration, and apoptosis. These signaling
properties are important for the appropriate development and maintenance of an
organism. However, when inappropriately controlled, due to EGFR overexpression or
hyperactivation, these signaling events are characteristic of many cancers. It
remains unclear whether the uncontrolled EGFR activity leads to cell
transformation or is a consequence of cell transformation. Regardless of the
cause, increased EGFR activity serves both as a biomarker in the diagnosis of
some cancers and is a molecular target for anti-cancer therapies. The promising
results with current anti-EGFR therapies suggest that the receptor is a viable
molecular target for a limited number of applications. However, to become an
effective therapeutic target for other cancers that have elevated levels of EGFR
activity, current approaches for inhibiting EGFR signaling will need to be
refined. Here we describe the molecular mechanisms that regulate EGFR
inactivation and discuss their potential as therapeutic targets for inhibiting
EGFR signaling.
PMID- 21892267
TI - Recent advances in proteomics and cancer biomarker discovery.
AB - Early diagnosis and prevention is a key factor in reducing the mortality and
morbidity of cancer. However, currently available screening tools lack enough
sensitivity for early diagnosis. It is important to develop noninvasive
techniques and methods that can screen and identify asymptomatic patients who
have cancer. Biomarkers of cancer status can also serve as powerful tools in
monitoring the course of cancer and in determining the efficacy and safety of
novel therapies. Thus, discovery of novel specific biomarkers are needed that may
provide informative clues for early diagnosis and treatment of cancer. Recently,
remarkable progress has been made in the development of new proteomics
technology. The progress that has been made in this field is helpful in
identifying biomarkers that can be used for early diagnosis of cancer and
improving the understanding of the molecular etiological mechanism of cancer.
This article describes the current state of the art in this field.
PMID- 21892268
TI - Molecular Cloning and Functional Characterization of Mouse alpha3(IV)NC1.
AB - Non-collagenous alpha3 chain of type IV collagen or alpha3(IV)NC1, a 28 kDa C
terminal domain of collagen type IV is a specific inhibitor of endothelial cell
translation and angiogenesis. In the present study we have cloned and expressed
mouse alpha3(IV)NC1 in baculovirus system. The recombinant protein was expressed
in soluble form and tested for several of its biological functions. We identified
that this recombinant mouse alpha3(IV)NC1 specifically inhibited proliferation,
translation and tube formation of endothelial cells. Also, we show that
alpha3(IV)NC1 treatment results in apoptosis specifically in proliferating
endothelial cells. In addition we report for the first time that mouse
alpha3(IV)NC1 inhibits migration and p38 MAPK phosphorylation in addition to
inhibition of FAK/Akt/mTOR/4E-BP1 signaling. In mice alpha3(IV)NC1 treatment
reduced tumor growth and CD-31 positive endothelial vasculature in tumors.
Collectively, our data demonstrate the expression of biologically active form of
mouse alpha3(IV)NC1 in Sf-9 cells and provide important mechanistic insights on
alpha3(IV)NC1 antiangiogenic actions in endothelial cells.
PMID- 21892270
TI - Oxaliplatin for metastatic colon cancer in a patient with renal failure.
AB - OBJECTIVE: Oxaliplatin, a key part of the standard regimen for colorectal cancer
in Western countries, has become available in Japan. In a hemodialysis patient
with cecal cancer, we investigated the efficacy, safety, pharmacokinetics, and
dialysability of oxaliplatin. METHODS: A 65-year-old man who had cecal cancer was
treated with oxaliplatin (40 mg/m(2)) and l-leucovorin(l-LV) (200 mg/m(2)), which
were administered simultaneously over 120 min via the side and main arms of a Y
tube, respectively. Then 5-FU (400 mg/m(2)) was administered rapidly via the side
tube, followed by 5-FU (2,000 mg/m(2)) over 46 hours via the main tube. The
patient had chronic renal failure due to diabetic nephropathy and hemodialysis
was performed 3 times a week. Blood samples were collected from the dialyzer
before and after each hemodialysis session to examine platinum clearance.
RESULTS: The patient received 3 courses of oxaliplatin before he died of cancer.
During hemodialysis, the platinum level fell from 0.32 MUg/mL to 0.15 MUg/mL.
CONCLUSION: Since patients with renal failure have various associated disorders
and oxaliplatin has a long half-life, it is necessary to obtain more
pharmacokinetic data to investigate its accumulation and dialysability during
long-term treatment. Such data will assist in treating the rapidly increasing
number of hemodialysis patients with colorectal cancer.
PMID- 21892271
TI - Long survival in a patient with brain metastases from breast cancer.
AB - The incidence of brain metastases (BMs) is apparently rising in patients with
advanced breast cancer, possibly due to better therapeutic approaches for control
of metastatic growth in other organs. Occurrence of BMs severely affects quality
of life and is associated with dire prognosis. In this short report we describe
the clinical case of a 47 year old woman, with BMs from breast cancer diagnosed
in May 2001. The patient was treated with whole brain irradiation and
radiosurgery, with initial control of BMs. Due to previous radiotherapy fields
and doses, further local treatments are not feasible anymore. Since September
2006, the patient has been receiving systemic therapy with Lapatinib at the dose
of 1500 mg/die continuously, with a good control of cerebral, liver and nodal
metastasis after one year of treatment (September 2007). Her quality of life is
acceptable, her Karnofsky Performance Status (KPS) is more than 70%, and she
takes care of her family, and has not experienced neuro-cognitive dysfunction.
PMID- 21892269
TI - Oncolytic viruses: do they have a role in anti-cancer therapy?
AB - Oncolytic viruses are replication competent, tumor selective and lyse cancer
cells. Their potential for anti-cancer therapy is based upon the concept that
selective intratumoral replication will produce a potent anti-tumor effect and
possibly bystander or remote cell killing, whilst minimizing normal tissue
toxicity. Viruses may be naturally oncolytic or be engineered for oncolytic
activity, and possess a host of different mechanisms to provide tumor
selectivity. Clinical use of live replicating viruses is associated with a unique
set of safety issues. Clinical experience has so far provided evidence of limited
efficacy and a favourable toxicity profile. The interaction with the host immune
system is complex. An anti-viral immune response may limit efficacy by rapidly
clearing the virus. However, virally-induced cell lysis releases tumor associated
antigens in a 'dangerous' context, and limited evidence suggests that this can
lead to the generation of a specific anti-tumor immune response. Combination
therapy with chemotherapy or radiotherapy represents a promising avenue for
ongoing translation of oncolytic viruses into clinical practice. Obstacles to
therapy include highly effective non-specific host mechanisms to clear virus
following systemic delivery, immune-mediated clearance, and intratumoral barriers
limiting virus spread. A number of novel strategies are now under investigation
to overcome these barriers. This review provides an overview of the potential
role of oncolytic viruses, highlighting recent progress towards developing
effective therapy and asks if they are a realistic therapeutic option at this
stage.
PMID- 21892272
TI - Signet-ring cell carcinoma arising in the gastric stump after
duodenopancreatectomy for ductal adenocarcinoma of the pancreas: a case report.
AB - The development of malignancy in the gastric stump following surgery for peptic
ulcer disease is well recognized. There are also few reports on carcinomas
occurring after surgery for malignant gastric disease. However, carcinoma of the
gastric stump after duodenopancreatectomy is extremely rare. We describe what we
believe to be an unusual case of signet-ring cell carcinoma of the gastric stump
developing at the anastomotic site 5 years after duodenopancreatectomy for ductal
adenocarcinoma of the pancreatic head. We performed remnant gastrectomy and Roux
en-Y gastrojejunostomy as a curative resection. This experience clearly underlies
that g astric stump carcinoma (GSC) may mimic metastatic disease recurrence
leading to diagnostic confusion after surgery for malignancy. Although an
increased risk of gastric stump carcinoma after pancreatoduodenectomy for
pancreatic cancer has not been established, the possibility of such a
complication should be kept in mind when evaluating patients after gastric
resection who present with symptoms of metastatic disease recurrence years after
the primary operation. Investigations should be independent of the entity of the
primary disease or its localization, since GSC may well be amenable to surgical
cure as demonstrated in the presented case. Outpatient follow up results of the
last four years indicated no recurrence in this case.
PMID- 21892273
TI - A hybrid lesion of lung cancer and aspergillosis.
AB - A 74-year-old man presented with gradual wall thickening of a cystic lung lesion.
Serologic tests indicated Aspergillus infection, but neither fungal organisms nor
evidence of malignant disease were recovered from repeated sputum collections, a
bronchoscopic lung biopsy specimen, or bronchial washings. Treatment with
antifungal agents did not result in clinical improvement. Surgical resection of
the lesion demonstrated both squamous cell carcinoma and aspergillosis. These
distinct disorders share common radiologic manifestations that can present a
diagnostic challenge, as in the present case.
PMID- 21892275
TI - Thyroid carcinoma with bone metastases: a prognostic factor study.
AB - OBJECTIVE: Occult clinical presentations usually hinder the early detection and
management of patients with bone metastases from thyroid carcinoma. We
retrospectively investigated the clinical outcomes of such patients from 1993 to
2004 and analyzed the prognostic parameters. DESIGN: The basic demographic data
and manifestations of 44 patients who had thyroid carcinoma with bone metastases
were reviewed. We studied the gender, age, locations of metastases, histological
types, treatment methods, hypercalcemic episodes and results of treatments. We
used Kaplan-Meier survival analysis and log-rank tests to access the statistical
significance. MAIN OUTCOME: The incidence of bone metastasis from thyroid
carcinomas in this series was 5.0%. Twenty patients (45.4%) had follicular, 16
(36.3%) had papillary, 3 (6.8%) had anaplastic, 3 (6.8%) had medullary, and 2
(4.5%) had Hurthel cell carcinomas. Twelve patients had hypercalcemic episodes,
ranging from 2.6 to 2.9 mmolL(-1) (mean +/- SD: 2.68 +/- 0.15 mmolL(-1)).
Survival time after bone metastases ranged from 2 months to 8 years (mean +/- SD:
5.3 +/- 1.3 years). The 5-year survival rate was 79.4% and the 10-year survival
rate was 52.9%. Regarding the histological cancer type, patients with papillary
and follicular cancers survived significantly longer than those with anaplastic
and medullary cancers (p < 0.05). In addition, the patients presenting with
hypercalcemia had the worst survival (p < 0.05). CONCLUSIONS: Thyroid carcinoma
can present with bone metastases in its early stage. We found that both tumor
type and hypercalcemia were significant prognostic factors for survival time.
PMID- 21892274
TI - Atypical fibroxanthoma.
AB - Atypical fibroxanthoma (AFX) is a nodular dermal ulcerative lesion with a
favorable prognosis. AFX most commonly occurs on sun-exposed skin in elderly
individuals. AFX is characterized by its association with ultraviolet radiation,
not only from a clinical aspect, but also from a molecular aspect. Making a
diagnosis of AFX is challenging, and it is important to differentiate it from
squamous cell carcinoma and malignant melanoma. Histological features and
combined immunohistochemical markers are necessary for a definitive diagnosis
(i.e., an absence of immunostaining for cytokeratins, S100 and HMB45 in AFX is
helpful for excluding both squamous cell carcinoma and malignant melanoma). AFX,
as well as MFH (malignant fibrous histiocytoma), is a fibrohistiocytic lesion
with myofibroblastic differentiation. AFX is considered to be a different lesion
from MFH. AFX and MFH might share the same pathway which determines their
morphology. However, they may have different pathways in development which
determine their biological behavior.
PMID- 21892276
TI - Successful downstaging of high rectal and recto-sigmoid cancer by neo-adjuvant
chemo-radiotherapy.
AB - PURPOSE: The benefit of neoadjuvant therapy for tumours above the peritoneal
reflection is not clear. The purpose of this study is to demonstrate the
feasibility and downstaging of treating locally advanced tumours from high rectum
to distal sigmoid with preoperative chemoradiotherapy (CRT). METHODS AND
MATERIALS: Seventeen patients with high rectal, rectosigmoid or distal sigmoid
tumours above the peritoneal reflection received neoadjuvant CRT, selected on MRI
findings indicating T4 disease or threatened circumferential resection margin.
All patients were administered neoadjuvant chemotherapy, with Oxaliplatin or
Mitomycin C and a Fluoropyrimidine. The pelvis received long-course CT-planned
conformal RT, 45 Gy in 25 fractions, with a boost of 5.4-9 Gy in 3-5 fractions.
Thirteen patients were treated with concomitant oral or intravenous
Fluoropyrimidine chemotherapy. RESULTS: Median follow-up was 37 months. Overall
survival was 82.35% (95% Confidence Interval (CI) 54.7-93.9) and disease free
survival 81.25% (95% CI 52.5-93.5). Only 1 patient suffered loco-regional
relapse. Chemotherapy regimens were well tolerated, though some patients required
dose reductions. Nine patients (52.9%) lowered pathologic disease AJCC stage,
i.e. 'downstaged'. Six patients (35.3%) achieved complete pathological response.
Clear margins were attained in all but 1 patient. Three patients were converted
from cT4 to ypT3. No patient required a gap during CRT. One patient suffered a
grade III acute toxicity, but no grade IV (RTOG). There were 3 grade III and 3
grade IV late toxicities (LENT-SOMA). CONCLUSIONS: Locally advanced high rectal
and recto-sigmoid tumours may be treated with pre-operative CRT with acceptable
toxicity, impressive down-staging, and clear surgical margins.
PMID- 21892277
TI - Malignant struma ovarii: good response after thyroidectomy and I ablation
therapy.
AB - BACKGROUND: Malignant struma ovarii is a rare malignant germ cell tumor of the
ovary. Due to the rarity of this disease, treatment has not been uniform
throughout the published literature. CASES: We present three cases of malignant
struma ovarii. Following primary surgery, all were subsequently treated with
thyroidectomy and (131)I ablation therapy, two patients as first line management,
one following the occurrence of metastatic disease. CONCLUSION: Histological
diagnosis of malignant struma ovarii is similar to that of well differentiated
thyroid carcinoma (WDTC). In line with the latest advice on treatment of WDTC, we
believe that the best option for patients with malignant struma ovarii is
surgical removal of the ovarian lesion followed by total thyroidectomy which
allows the exclusion of primary thyroid carcinoma, and in addition, allows
radioiodine ((131)I) ablation therapy for (micro) metastasis. After
thyroidectomy, thyroglobulin can be used as a tumor marker for follow-up.
Moreover, nuclear medicine imaging using radioiodine ((123)I) can be performed to
demonstrate metastatic carcinoma. A multidisciplinary approach is essential.
PMID- 21892278
TI - Primary MALT Type Skin Lymphoma-Is 'Wait and See' a Possible Strategy?
AB - Primary cutaneous lymphomas are the second most common site of extranodal non
Hodgkin lymphoma. A specifically type named extranodal marginal zone B-cell
lymphomas are indolent low-grade neoplasma.We report a case of a 42-year-old
white man with multiple subcutaneous tumors located on the trunk and neck. The
histopathological exam showed a non-epidermotropic, dense lymphocytic infiltrate.
Histologic, immunohistochemical and cytologenetic analysis diagnosed primary
cutaneous B-cell lymphoma MALT type. Investigation for other extranodal MALT
lymphoma gastrointestinal tract, lung, salivary and thyroid glands was negative.
The patient refused radiotherapy, but he accepted every 6 months close follow-up.
Over a seven years period, we noticed a progressively disappearance of the skin
lesions.The necessity of aggressive treatment of this disease with excellent
prognosis is discussed.The treatment necessity of primary cutaneous B-cell
lymphoma MALT type is discussed.
PMID- 21892279
TI - Integrated FDG PET/CT: Utility and Applications in Clinical Oncology.
AB - Accurate diagnosis and staging are essential for an optimal management of cancer
patients. Positron emision tomography with 2-deoxy-2-fluorine-18-fluoro-D-glucose
((18)FDG-PET) and, more recently, (18)FDG-PET/computed tomography ((18)FDG
PET/CT) have emerged as powerful imaging tools in oncology, because of the
valuable functional information they provide. The combined acquisition of PET and
CT has synergistic advantages over its isolated constituents and minimizes their
limitations. It decreases examination times by 25%-40%, leads to a higher patient
throughput and unificates two imaging procedures in a single session. There is
evidence that (18)FDG-PET/CT is a more accurate test than either of its
components for the evaluation of various tumors. It is a particularly valuable
tool for detection of recurrence, especially in asymptomatic patients with rising
tumor markers and those with negative or equivocal findings on conventional
imaging tests. Yet, there are some limitations and areas of uncertainty, mainly
regarding the lack of specificity of the (18)FDG uptake and the variable (18)FDG
avidity of some cancers. This article reviews the advantages, limitations and
main applications of (18)FDG-PET/CT in oncology, with especial emphasis on lung
cancer, colorectal cancer, lymphomas, melanoma and head and neck cancers.
PMID- 21892280
TI - Review: mitochondrial defects in breast cancer.
AB - Mitochondria play important roles in cellular energy metabolism, free radical
generation, and apoptosis. Mitochondrial DNA has been proposed to be involved in
carcinogenesis because of its high susceptibility to mutations and limited repair
mechanisms in comparison to nuclear DNA. Breast cancer is the most frequent
cancer type among women in the world and, although exhaustive research has been
done on nuclear DNA changes, several studies describe a variety of mitochondrial
DNA alterations present in breast cancer. In this review article, we to provide a
summary of the mitochondrial genomic alterations reported in breast cancer and
their functional consequences.
PMID- 21892282
TI - Molecular determinants of glioblastoma response to epidermal growth factor
receptor kinase inhibitors.
PMID- 21892281
TI - Signal transduction involving the dmp1 transcription factor and its alteration in
human cancer.
AB - Dmp1 (cyclin D-interacting myb-like protein 1; also called Dmtf1) is a
transcription factor that has been isolated in a yeast two-hybrid screen through
its binding property to cyclin D2. Dmp1 directly binds to and activates the Arf
promoter and induces Arf-p53-dependent cell cycle arrest in primary cells. D-type
cyclins usually inhibit Dmp1-mediated transcription in a Cdk-independent fashion;
however, Dmp1 shows synergistic effects with D-cyclins on the Arf promoter. Ras
or Myc oncogene-induced tumor formation is accelerated in both Dmp1(+/-) and
Dmp1(-/-) mice with no significant differences between Dmp1(+/-) and Dmp1(-/-).
Thus, Dmp1 is haplo-insufficient for tumor suppression. Tumors from Dmp1(-/-) or
Dmp1(+/-) mice often retain wild-type Arf and p53, suggesting that Dmp1 is a
physiological regulator of the Arf-p53 pathway. The Dmp1 promoter is activated by
oncogenic Ras-Raf signaling, while it is repressed by physiological mitogenic
stimuli, overexpression of E2F proteins, and genotoxic stimuli mediated by NF
kappaB. The human DMP1 gene (hDMP1) is located on chromosome 7q21 and is
hemizygously deleted in approximately 40% of human lung cancers, especially those
that retain normal INK4a/ARF and P53 loci. Thus, hDMP1 is clearly involved in
human carcinogenesis, and tumors with hDMP1 deletion may constitute a discrete
disease entity.
PMID- 21892283
TI - Pemetrexed, Carboplatin, and Concomitant Radiation followed by Surgery for
Locally Advanced Esophageal Cancer: Results of a Planned Interim Toxicity
Analysis of North Central Cancer Treatment Group Study N044E.
AB - PURPOSE: This brief report describes a planned, interim, 6-patient toxicity
analysis that confirms the safety of pemetrexed, carboplatin, radiation with
subsequent surgery, as prescribed in the North Central Cancer Treatment Group
trial N044E, in patients with locally advanced esophageal cancer. METHODS: Six
patients with locally advanced, potentially resectable esophageal cancer received
pemetrexed 500 mg/m(2) and carboplatin AUC = 6 on days 1 and 22 with 5040
centigray of concomitant radiation in 28 fractions over 5.5 weeks followed by
esophagectomy as a prelude to a phase II multi-institutional trial. RESULTS: Only
1 of the 6 patients experienced a grade 4 adverse event (neutropenia). This
patient also experienced a grade 3 depression. Of the remaining 5 patients, three
experienced at least one grade 3 adverse event (neutropenia, nausea/vomiting, and
esophagitis). There were no deaths. Incidentally, one patient manifested a
complete pathologic response, three a partial pathologic response, and one stable
disease. CONCLUSION: These preliminary observations on safety suggest that this
regimen can be further studied in this clinical setting.
PMID- 21892284
TI - Pre-operative Concomitant Radio-chemotherapy in Bulky Carcinoma of the Cervix: A
Single Institution Study.
AB - OBJECTIVE: To evaluate the treatment results of patients (pts) with FIGO stage
IB2, IIA, IIB cervical carcinoma (CC) treated with pre-operative radio
chemotherapy, followed by extended radical hysterectomy. METHODS: Retrospective
study of 148 women treated to the Institut Curie for operable FIGO Stage IB2 to
IIB, biopsy proved CC. Among them, 70 pts, median age 46 years, were treated
using the same regimen associating primary radio-cisplatinum based chemotherapy,
intracavitary LDR brachytherapy, followed by extended radical hysterectomy.
Kaplan-Meier estimates were used to draw survival curves. Comparisons of survival
distribution were assessed by the log-rank test. RESULTS: Complete histological
local-regional response was obtained in 56% of the pts (n = 39). Residual
macroscopic or microscopic disease in the cervix was observed in 28 pts (40%).
All but one had in-situ microscopic residual CC. Lateral residual disease in the
parametria was also present in 9 pts, all with residual CC. Pelvic lymph nodes
were free from microscopic disease in 56 pts (80%). Eight of 55 (11%)
radiological N0 patients had microscopic nodal involvement, as compared to 6/15
(40%) radiological N1 (p = 0.03). Seventeen pts (25%) had residual cervix disease
but negative nodes. After median follow-up of 40 months (range, 8-141), 38/70
patients (54.1%) are still alive and free of disease, 6 (8.6%) alive with
disease, and 11 (15.8%) patients were lost for follow-up but free of disease. IN
CONCLUSION: The treatment of locally advanced CC needs a new multidisciplinary
diagnostic and treatment approach using new therapeutic arms to improve the
survival and treatment tolerance among women presenting this disease.
PMID- 21892285
TI - Therapeutic anti-tumor vaccines: from tumor inhibition to enhancement.
AB - Numerous immunization trials have proved successful in preventing the growth of
experimental animal tumors and human hepatocarcinomas induced by hepatitis B
virus. These results have prompted researchers and physicians to use vaccines in
a therapeutic mode but the results have, in general, been disappointing even when
strongly immunogenic murine tumors were concerned. Data presented herein suggest
that immunotherapy induced by a single dose of a dendritic cell-based vaccine
against a murine established tumor or against residual tumor cells after
debulking the primary tumor, can render not only inhibitory or null but also
stimulatory effects on tumor growth. These different effects might be dependent
on where the system is located in the immune response curve that relates the
quantity of the immune response to the quantity of target tumor cells. We suggest
that high ratios render tumor inhibition, medium and very low ratios render null
effects and low ratios-between medium and very low ones-render tumor stimulation.
Since the magnitude of these ratios would depend on the antigenic profile of the
tumor, the immunogenic strength of the vaccine used and the immunological state
of the host, studies aimed to determine the magnitude of these variables in each
particular case, seem to be necessary as a pre-condition to design rational
immunotherapeutic approaches to cancer. In contrast, if these studies are
neglected, the worst thing that an immunotherapist could face is not merely a
null effect but enhancement of tumor growth.
PMID- 21892286
TI - Renal Cell Carcinoma with IVC Thrombi; Current Concepts and Future Perspectives.
AB - The incidence of venous extension to the inferior vena cava (IVC) in renal cell
carcinoma (RCC) is markedly increased recently mostly due to the advances in
diagnostic modalities. Such vascular invasion implies a heightened biologic
behavior and a surgical challenge during the course of treatment. In this study,
we reviewed the classification guidelines, recent diagnostic tools and up-to-date
therapeutic modalities for RCC with IVC tumor thrombi added to the prognostic
significance regarding the pathologic nature of vascular invasion; cephalad
extent of thrombi and any associated distant metastasis. Also, we are providing
our suggestion regarding the use of angioscopy for removal of IVC thrombi in a
relatively bloodless field without aggressive surgical manipulations or shunt
techniques for maintenance of hemodynamic stability.
PMID- 21892287
TI - Bead-selected antitumor genetic cell vaccines.
AB - Cancer vaccines have always been in the scope of gene therapy research. One of
the most successful approaches has been working with genetically modified tumor
cells. However, to become a clinical reality, tumor cells must suffer a long and
risky process from the extraction from the patient to the reimplantation as a
vaccine. In this work, we explain our group's approach to reduce the cell number
required to achieve an immune response against a melanoma murine model, employing
bead-selected B16 tumor cells expressing GM-CSF and B7.2.
PMID- 21892288
TI - The Relationship Between MR Demonstration of Extramural Venous Invasion and Nodal
Disease in Rectal Cancer.
AB - PURPOSE: To investigate the relationship between extramural venous invasion
(EMVI) detected at T2-weighted MRI and nodal disease rectal cancer compared with
histopathology. MATERIALS AND METHODS: The MR imaging of 79 consecutive patients
with rectal cancer who underwent primary rectal surgery without neoadjuvant
treatment were reviewed. MR images were scored by an expert radiologist for the
presence and degree of EMVI using a five point scale blinded to pathological
findings. Receiver operating characteristic curve analyses were performed to
determine the sensitivity and specificity of MRI scoring in predicting EMVI and
nodal disease at histopathology. RESULTS: Compared with histology, an MR score of
>2 was found to have 100% sensitivity (95% CI: 77%-100%) and 89% specificity (95%
CI: 79%-96%) in identifying EMVI involving veins >3 mm in diameter. An EMVI score
of >2 was had a sensitivity of 56% (95% CI: 30%-80%) and specificity of 81% (95%
CI: 69%-90%) for identifying patients with stage N2 disease. CONCLUSIONS: EMVI
score of >2 on T2-weighted MR imaging has a high sensitivity and specificity for
histopathologically proven extramural venous invasion involving venules >=3 mm in
diameter. However, EMVI scores have only moderate sensitivity in the predicting
nodal involvement.
PMID- 21892290
TI - Evaluation of Image Enhancement Method on Target Registration Using Cone Beam CT
in Radiation Therapy.
AB - An intensity based six-degree image registration algorithm between cone-beam CT
(CBCT) and planning CT has been developed for image-guided radiation therapy
(IGRT). CT images of an anthropomorphic chest phantom were acquired using
conventional CT scanner and corresponding CBCT was reconstructed based on
projection images acquired by an on-board imager (OBI). Both sets of images were
initially registered to each other using attached fudicial markers to achieve a
golden standard registration. Starting from this point, an offset was applied to
one set of images, and the matching result was found by a gray-value based
registration method. Finally, The registration error was evaluated by comparing
the detected shifts with the known shift. Three window-level (WL) combinations
commonly used for image enhancement were examined to investigate the effect of
anatomical information of Bony only (B), Bone+Tissue (BT), and Bone+Tissue+Air
(BTA) on the accuracy and robustness of gray-value based registration algorithm.
Extensive tests were performed in searching for the attraction range of
registration algorithm. The widest attraction range was achieved with the WL
combination of BTA. The average attraction ranges of this combination were 73.3
mm and 81.6 degree in the translation and rotation dimensions, respectively, and
the average registration errors were 0.15 mm and 0.32 degree. The WL combination
of BT shows the secondary largest attraction ranges. The WL combination of B
shows limited convergence property and its attraction range was the smallest
among the three examined combinations (on average 33.3 mm and 25.0 degree). If
two sets of 3D images in original size (512 * 512) were used, registration could
be accomplished within 10~20 minutes by current algorithm, which is only
acceptable for off-line reviewing purpose. As the size of image set reduced by a
factor of 2~4, the registration time would be 2~4 minutes which is feasible for
on-line target localization.
PMID- 21892289
TI - Multiple Myeloma Includes Phenotypically Defined Subsets of Clonotypic CD20+ B
Cells that Persist During Treatment with Rituximab.
AB - Potential progenitor B cell compartments in multiple myeloma (MM) are clinically
important. MM B cells and some circulating MM plasma cells express CD20,
predicting their clearance by treatment with anti-CD20. Here we describe two
types of clonotypic CD20+ B cell in peripheral blood of myeloma patients,
identified by their expression of CD19 and CD20 epitopes, their expression of
CD45RA and their light scatter properties. Thus, the circulating component of the
MM clone includes at least two distinct CD19+ CD20+ B cell compartments, as well
as CD138+ CD20+ plasma cells. To determine whether either or both B cell subsets
and the CD20+ plasma cell subset were depleted by anti-CD20 therapy, they were
evaluated before, during and after treatment of patients with rituximab (anti
CD20), followed by quantifying B cell subsets over a 5 month period during and
after treatment. Overall, all three types of circulating B lineage cells persist
despite treatment with rituximab. The inability of rituximab to prolong survival
in MM may result from this failure to deplete CD20+ B and plasma cells in MM.
PMID- 21892291
TI - The effect of docetaxel (taxotere) on human gastric cancer cells exhibiting low
dose radiation hypersensitivity.
AB - Low-dose radiation hypersensitivity (HRS) describes a phenomenon of excessive
sensitivity to X ray doses <0.5 Gy. Docetaxel is a taxane shown to arrest cells
in the G(2)/M phase of the cell cycle. Some previous studies suggested that HRS
might result from the abrogation of the early G(2) checkpoint arrest. First we
tested whether HRS occurs in gastric cancer-derived cells, and whether pre
treatment of cells with low docetaxel concentrations can enhance the magnitude of
HRS in gastric cancer cells. The results demonstrated HRS at ~0.3 Gy and the
synergy between 0.3 Gy and docetaxel (3 nM for 24 h), and the additivity of other
drug/dose combinations. The synergistic effect was associated with a significant
docetaxel-induced G(2) accumulation. Next, we evaluated in time-course
experiments ATM kinase activity and proteins associated with the induction and
maintenance of the early G(2) checkpoint. The results of multi-immunoblot
analysis demonstrate that HRS does not correlate with the ATM-dependent early
G(2) checkpoint arrest. We speculate that G(2) checkpoint adaptation, a
phenomenon associated with a prolonged cell cycle arrest, might be involved in
HRS. Our results also suggest a new approach for the improvement the
effectiveness of docetaxel-based radiotherapy using low doses per fraction.
PMID- 21892292
TI - A unique complication associated with concurrent chemoradiation for the treatment
of locally advanced head and neck cancer.
AB - BACKGROUND: Concurrent chemoradiation is becoming an increasingly popular
treatment for patients with locally advanced head and neck cancer. The full
extent of treatment related complications has not been completely documented in
the literature. METHODS: We present the case of a patient treated with definitive
intensity modulated radiation therapy and concurrent carboplatin and fluorouracil
for a locally advanced oral cavity and base of tongue cancer. RESULTS: The
patient suffered acute grade 4 dermatitis and mucositis during treatment. One
month after completion of treatment, the patient was found to have permanent
adherence of the tongue to the buccal mucosa as a result of severe scar tissue
formation. CONCLUSIONS: As more patients undergo chemoradiation for the treatment
of locally advanced head and neck cancer, the full extent of treatment related
complications are being identified. To our knowledge, this is the first report of
chemoradiation for head and neck cancer resulting in adherence of the tongue to
the buccal mucosa.
PMID- 21892293
TI - Radionuclide Imaging of Apoptosis in Malignancies: Promise and Pitfalls of Tc
Hynic-rh-Annexin V Imaging.
AB - Radionuclide detection of apoptosis with of (99m)Tc-Hynic-rh-Annexin V
scintigraphy is an effective tool for in vivo visualisation and monitoring of
apoptosis in various malignant tumour. Early therapy-induced increase of the
tumour tracer uptake correlates with favourable outcome, whereas stable or
decreased uptake correlates with stable disease or tumour progression. Therefore
sequential (99m)Tc-Hynic-rh-Annexin V scintigraphy could be used to predict
therapy outcome on a patient-to-patient basis within 48 hours after the start of
treatment. However, moderate tumour-to-background ratio and therapy-induced
changes in normal tissues could confound image analysis. To assure accurate
interpretation of Annexin V scans, the awareness of the biophysiological and
biochemical properties contributing to the tracer distribution is essential. In
with manuscript we discuss the patterns of Annexin V tumour uptake and illustrate
the most frequent pitfalls associated with Annexin V imaging in correlation with
CT and MRI imaging.
PMID- 21892294
TI - A comparison of merkel cell carcinoma and melanoma: results from the california
cancer registry.
AB - INTRODUCTION: Melanoma and Merkel cell carcinoma (MCC) are both aggressive skin
malignancies associated with immunosuppression and possible UV exposure. Both
tumors get similar surgical treatment; however, MCC is a relatively rare tumor in
which less is known about prognosis and clinical behavior. METHODS: The
California Cancer Registry (CCR), a population-based registry, was reviewed from
the years 1988-2003. Merkel cell carcinoma and melanoma were compared with
relation to gender, age, ethnicity, disease stage, site, and survival. RESULTS: A
total of 113,187 cases of melanoma and 1,878 cases of MCC were identified in the
CCR. Though both cancers are more common in men than in women, MCC had a higher
incidence in men than melanoma (63% vs 57% p < 0.005). MCC occurs in the more
elderly, with 73.6% of cases occurring in people over 70 years. In contrast, 69%
of melanoma cases occurred in people younger than 70 years (p < 0.005). MCC shows
a predilection for the head and neck compared to melanoma (47% vs 25.8%)
Additionally, melanoma occurs more frequently on the trunk than MCC (30% vs
8.7%). Finally, the 10-year cumulative survival is lower for MCC than for
melanoma (17.7% vs 61.3%, p < 0.005). CONCLUSION: Many clinicians assume MCC and
melanoma behave similarly. However, MCC occurs in an older population, more
frequently on the head and neck, in a higher percentage of men. Additionally, MCC
has a higher rate of regional metastasis and thus may have more of a benefit from
regional staging procedures. Overall, MCC has a worse prognosis.
PMID- 21892296
TI - A comparison of clinicopathological features and molecular markers in british and
nigerian women with breast cancer.
AB - BACKGROUND: Some studies have suggested that breast cancer in black women is more
aggressive than in white women. This study's aim was to look for evidence of
differences in tumour biology between the two cohorts. METHODS: This study
compared the stage, grade and pathological expression of five immunohistochemical
markers (oestrogen receptor [ER], progesterone receptor [PR], ERBB2, P53 and
cyclin D1 [CCND1]) in tumour biopsies from age-matched cohorts of patients from
Nigeria and England. Sixty-eight suitable samples from Nigerian (n = 34) and
British (n = 34) breast cancer patients were retrieved from histology tissue
banks. RESULTS: There were significant differences between the two cohorts in the
expression of ER and CCND1; and stark differences in the clinical stage at
presentation. But no significant differences were observed for tumour grade.
CONCLUSION: There was a significantly, low ER expression in the Nigerian cases
which also predicts a poor response to hormonal therapy as well as a poorer
prognosis. Differences in clinical stage at presentation will most likely
influence prognosis between Nigerian and British women with breast cancer.
PMID- 21892295
TI - HSP70s: From Tumor Transformation to Cancer Therapy.
AB - Heat shock proteins (HSPs) are a defined set of chaperones for maintaining proper
functions of proteins. The HSP70 family, one of the most inducible families in
response to stress, protects cells from stress-induced cell death. It has been
documented that HSP70s are highly expressed in various types of cancer cells and
make the cells resistant to adverse microenvironments, such as hypoxia and
glucose starvation, which are common features in malignant progression. Over
expression of HSP70s is thus associated with tumor transformation and eventually
results in a decrease of chemotherapy efficacy. Notably, the distribution of
HSP70s is deregulated in cancer cells. It has been reported that HSP70s localize
distinct organelles or are exported to humoral circulation during cancer
development. Either surface or exported HSP70s play danger signals and trigger
immune response to destroy the tumor cells. In this review, we lay out recent
advances in the HSP70s-mediated cancer diagnosis and therapy. This review would
be enlightening for clinical cancer medicine.
PMID- 21892297
TI - Primary adrenal leiomyosarcoma: a case report and literature review.
AB - The case presented here illustrates a 75 year old female patient who underwent
surgical resection of a right adrenal mass of uncertain nature. The final
histological diagnosis was consistent with leiomyosarcoma arising from the
adrenal anatomic site.PRIMARY LEIOMYOSARCOMA OF THE ADRENAL GLAND IS A VERY RARE
MALIGNANT MESENCHYMAL NEOPLASM: to our knowledge, this is only the twelfth case
reported in literature.We describe the clinical course and a brief review of
clinical and histological features, biologic behaviour, diagnostic approaches and
therapeutic strategies.
PMID- 21892298
TI - The 'Pokemon' (ZBTB7) Gene: No Evidence of Association with Sporadic Breast
Cancer.
AB - It has been proposed that the excess of familiar risk associated with breast
cancer could be explained by the cumulative effect of multiple weakly
predisposing alleles. The transcriptional repressor FBI1, also known as Pokemon,
has recently been identified as a critical factor in oncogenesis. This protein is
encoded by the ZBTB7 gene. Here we aimed to determine whether polymorphisms in
ZBTB7 are associated with breast cancer risk in a sample of cases and controls
collected in hospitals from North and Central Spanish patients. We genotyped 15
SNPs in ZBTB7, including the flanking regions, with an average coverage of 1
SNP/2.4 Kb, in 360 sporadic breast cancer cases and 402 controls. Comparison of
allele, genotype and haplotype frequencies between cases and controls did not
reveal associations using Pearson's chi-square test and a permutation procedure
to correct for multiple test. In this, the first study of the ZBTB7 gene in
relation to, sporadic breast cancer, we found no evidence of an association.
PMID- 21892300
TI - Octreotide in Palliative Treatment of Unresectable Cholangiocarcinoma: Is it
Effective for Disease Stabilization?
PMID- 21892299
TI - Should we consider cancers as embryonic diseases or as consequences of stem-cell
deregulation?
AB - Cancers have long been described as the result of successive selections of
somatic cells progressively acquiring growth and survival advantages. Such a
model was hardly compatible with the obvious heterogeneity of the cancer cell
population present in tumors. This heterogeneity rather suggests that mutations
hint multipotent cells that, in addition to the resulting proliferation and
survival advantages, display differentiation capabilities. Adult stem cells or
progenitors display similar properties, supporting the concept that cancers
actually originate from these cells. The recent observation that differentiated
cells can dedifferentiate and acquire stemness properties suggests an alternative
and additional explanation for the origin of "cancer-initiating" cells and
reopens the debate of the contribution of somatic cells to cancer progression.
PMID- 21892301
TI - Leptomeningeal metastases in a patient with an extragonadal germ cell tumor.
AB - We present a case of leptomeningeal metastases in a 30-year-old man with an
extragonadal germ cell tumor. The patient was referred to our hospital for
treatment of an occipital brain metastasis. This lesion was resected, followed by
whole brain radiotherapy and further chemotherapy, and a temporary complete
remission was achieved. However, leptomeningeal recurrence developed, and despite
salvage chemotherapy, the patient died of disease. Although multidisciplinary
treatment is given to treat brain metastases of germ cell tumors, the patients'
prognosis has been unsatisfactory. The identification of a standard/effective
treatment is required.
PMID- 21892302
TI - Phase II Study of S-1 Monotherapy as a First-line, Combination Therapy of S-1
plus Cisplatin as a Second-line, and Weekly Paclitaxel Monotherapy as a Third
line Therapy in Patients with Advanced Gastric Carcinoma: Phase II Study of S-1,
S-1 plus Cisplatin, and Weekly Paclitaxel in Patients with Advanced Gastric
Carcinoma.
AB - BACKGROUND: We conducted a pilot phase II study to evaluate the efficacy and
safety of S-1 as a first-line, S-1 plus cisplatin as a second-line, and weekly
paclitaxel as a third-line therapy for advanced gastric cancer. PATIENTS AND
METHODS: Between 2002 and 2005, 19 patients were enrolled in this study.
Chemotherapy consisted of either 60 mg/m(2) of S-1 for 4 weeks at 6 weeks
interval, a combination of 60 mg/m(2) S-1 for 3 weeks and 60 mg/m(2) cisplatin on
day 8 at 5 weeks interval, or 60 mg/m(2) paclitaxel at day 1, 8, 15, at 4 weeks
interval. The regimen was repeated until the occurrence of unacceptable
toxicities, disease progression, or patient refusal. The primary end point was
the overall survival. RESULTS: The response rates were 33.3%, 12.5%, and 0% after
the first, second, and third line chemotherapy, respectively. The mean overall
survival time was 994 days. The median survival time could not be calculated
because 12 out of 19 patients were still alive when the study was concluded.
Regarding hematological toxicity, the major adverse effect was leukopenia, which
reached grades 3-4 in all lines of chemotherapy investigated. In addition,
regarding non-hematological toxicities, the major adverse effect was anorexia,
which reached grade 3-4 in the second line chemotherapy, and no deaths were
attributable to the adverse effects of the drugs. CONCLUSION: This sequential
therapy was an effective treatment for advanced gastric cancer with acceptable
toxic side-effects. We considered this sequential therapy to be effective because
of the smooth switch to the next regimen.
PMID- 21892303
TI - Multidisciplinary approach in managing orbital tumors in ibadan, Nigeria.
PMID- 21892304
TI - Unusual malignant transformation of recurrent sebaceoma. A case report.
AB - Sebaceoma is a benign tumor composed of incompletely differentiated sebaceous
cells of varying degrees of maturity. Sebaceomas was never reported as a known
premalignant lesion.This is a report of a sixteen year old boy who presented with
a malignant transformation of a recurrent sebaceoma which was excised twice by
Moh's surgery. Excision was done with a free margin of 1 cm down to the parotid
fascia. Reconstruction was performed on the same set by using cervicofascial flap
extending down to the supra-clavicular area. The patient had an uneventful
postoperative period apart from distal marginal necrosis of the flap, which
healed nicely with conservative measures and daily dressing and was sent to our
cancer centre to start his adjuvant radiotherapy.Previous literature stated that
sebaceoma is a distinctive benign tumor. We have presented a case of an unusual
malignant transformation of a preauricular recurrent sebaceoma. This indicates
that sebaceoma does have a potential risk of malignant transformation. We believe
that managing recurrent sebaceoma more aggressively with wide local excision and
postoperative adjuvant radiotherapy would provide better prognosis.
PMID- 21892305
TI - Darbepoetin versus epoetin alfa for the correction of anemia in cancer patients
receiving radiotherapy or chemoradiotherapy treatment.
AB - INTRODUCTION: Anemia is the most frequent hematological disturbance in cancer
patients, with prevalence between 30% and 90%, depending on the type of tumor,
the antitumor treatment, and other factors (infection, malnutrition, bleeding,
tumor infiltration of the bone marrow). A number of erythropoietic agents have
shown to be effective in increasing the hemoglobin (Hb) levels, reducing the
requirements for transfusion, and improving quality of life. The objective of
this study is to compare darbepoetin alfa and epoetin alfa when used to correct
anemia in cancer patients who are receiving radiotherapy or radiochemotherapy.
MATERIAL AND METHODS: A prospective study of 125 consecutive patients with anemia
(Hb <13 g/dL in males or <12 g/dL in females) who were undergoing treatment with
radiotherapy (RT) or radiochemotherapy (RCT) in our department were enrolled
between March 2003 and March 2005. The treatment for the anemia was either
darbepoetin alfa 150 mcg/week (62 patients, group 1) or epoetin alfa 40,000
IU/week (63 patients, group 2). Patients received iron supplements in both
groups. Treatment was administered in a consecutive manner depending on tumor
type. If the increase in Hb was <1 g/dL after 4 weeks of treatment, the dose was
increased to 300 mcg/week in group 1 or to 60,000 IU/week in group 2. The
treatment was terminated when a Hb value of >=15 g/dL was reached during RT
treatment, a Hb value of >=14 g/dL was reached if the RT had been completed, or
after 16 weeks of treatment whatever the Hb value. The mean age of patients was
63.36 +/- 11.27 years, 67% were male. No significant differences were observed
between the 2 groups in tumor type or stage, previous treatments, or intent to
treat with RT or RCT. RESULTS: Comparing group 1 and group 2 by intent to treat,
the mean Hb at the start of treatment with the study drug was 12.1 g/dL vs 11.8
g/dL, the proportion of patients whose dose was increased was 19.7% vs 24.6%, the
need for transfusion was 3.2% in each group, the duration of erythropoietic
treatment was 6.5 weeks in both groups, and 2 patients in group 2 restarted
treatment with epoetin alfa. The percentage of patients who responded (defined as
an increase in the Hb >= 2 g/dL in the absence of transfusions) was of 72.6% and
66.7%, respectively. Four vascular adverse events were observed, 2 in each group.
No significant differences were observed with respect to the baseline, week 4,
and week 12 levels of endogenous erythropoietin, serum iron,% saturation, and
ferritin. The increase in Hb 1 month after the final administration of the study
drug was 2.21 g/dL in group 1 and 2.46 g/dL in group 2 (p = ns). CONCLUSIONS: The
results of our study demonstrate that both treatments are equally effective in
correcting anemia in cancer patients undergoing RT or RCT.
PMID- 21892306
TI - Octreotide in Palliative Treatment of Hepatic Metastases: Is it Effective for
Clinical Stabilization?
PMID- 21892308
TI - Response of cutaneous metastases from breast cancer to capecitabine.
PMID- 21892307
TI - Pancreas cancer survival in the gemcitabine era.
AB - After multiple positive studies, gemcitabine, approved for the treatment of
pancreas cancer by the FDA in 1977, became standard of care. Whether this
therapeutic advance has translated into longer survival for pancreas cancer
patients in general has not been established. This study, derived from SEER
(Surveillance, Epidemiology, and End Results (SEER) Program of the National
Cancer Institute) data, compared the survival experiences of the gemcitabine
(1998-2004) and pre-gemcitabine (1988-1997) eras for 7,151 patients who had
metastatic disease and did not undergo extirpative surgery, 14,369 patients who
had not undergone surgery and had metastases, 5,042 patients who had undergone
surgery and did not have metastases, and 5,011 patients who had undergone surgery
and had metastases. Calculated survival time ratios (TR) were adjusted for
radiotherapy history, grade, nodal status, loco-regional extent of disease, age,
race, and gender. For those who did not undergo extirpative surgery, improvements
in survival in the gemcitabine era (1998-2004) versus the prior time period (1988
1997) seen for patients with metastatic cancer (TR = 1.20, 95% c.i. 1.15-1.25)
were not seen for those without metastatic cancer (TR = 1.05, 95% c.i. 1.00
1.15). For those who did undergo extirpative surgery, improvements were much more
dramatic for those with metastatic cancer (TR = 1.61, 95% c.i. 1.45-1.80) than
those without metastases (TR = 1.23, 95% c.i. 1.15-1.31). The results are
consistent with the notion that the promising findings with respect to
gemcitabine in the controlled clinical trials have found expression in the
general population of patients with pancreas cancer.
PMID- 21892309
TI - Chromosome 1p and 11q deletions and outcome in neuroblastoma-a critical review.
PMID- 21892310
TI - Radiotherapy plus concomitant and adjuvant temozolomide for glioblastoma-a
critical review.
PMID- 21892311
TI - Effect of thiazolidinediones on the erythropoeitic and germinal cells in the male
wistar rats.
AB - Hyperglycemia is the main determinant of long term diabetic complications mainly
through induction of oxidative stress responsible for secondary defects including
cancer, infertility etc. Thiazolidinediones (TZDs) are known to posses the
antioxidant potential against the reactive oxygen species. The ability of
clinically used TZDs like Rosiglitazone (RSG) and Pioglitazone (PIO) in diabetic
complications is still need to be studied extensively in the literature. In this
study, the role of RSG and PIO on the frequency of nuclear and germinal cell
damage was studied using bone marrow micronucleus (MN) test, sperm shape
abnormality and sperm count in normal animals. The drugs were tested in the three
doses (1, 10 and 100 mg/kg) after acute (48 hrs and 72 hrs) and chronic (4 weeks)
treatment. The results indicated that RSG has produced significant (p < 0.01)
decrease in P/N (polychromatic and normochromatic erythrocytes) ratio at 10 and
100 mg/kg without affecting the frequency of micronucleated erythrocytes, sperm
shape morphology and sperm count. PIO in the tested doses did not induce any
change in P/N ratio and sperm count but the higher dose (100 mg/kg) showed
suppression of MN in normochromatic erythrocytes and % sperm shape abnormality
compared to the control group.
PMID- 21892312
TI - Experimental antioxidant therapy in ataxia telangiectasia.
AB - Ataxia telangiectasia (AT) is a rare genetic disorder characterized by
immunodeficiency, early onset neurological degeneration, hypersensitivity to
ionizing radiation and a high incidence of lymphoid cancers. The disease results
from bi-allelic mutations in the AT mutated (ATM) gene involved in cell cycle
checkpoint control and repair of DNA double-strand breaks. Evidence has been
accumulating that oxidative stress is associated with AT and may be involved in
the pathogenesis of the disease. This led to a hypothesis that antioxidant
therapy may mitigate the symptoms of AT, especially neurological degeneration and
tumorigenesis. Consequently, several studies examined the effect of antioxidants
in Atm deficient mice used as an animal model of AT. N-acetyl-L-cysteine (NAC),
EUK-189, tempol and 5-carboxy-1,1,3,3-tetramethylisoindolin-2-yloxyl (CTMIO) have
been tested for their chemopreventive properties and had some beneficial effects.
In addition to antioxidants, cancer therapeutic agent dexamethasone was examined
for cancer prevention in Atm deficient mice. Of the tested antioxidants, only NAC
has wide clinical applications due to safety and efficacy and is available as an
over-the-counter dietary supplement. In this article, we review chemoprevention
studies in Atm deficient mice and, in more detail, our findings on the effect of
NAC. The short-tem study showed that NAC suppressed genome rearrangements linked
to cancer. The long-term study demonstrated that NAC reduced both the incidence
and multiplicity of lymphoma.
PMID- 21892313
TI - The presence of precursors of benign pre-B lymphoblasts (hematogones) in the bone
marrow of a paediatric patient with cytomegalovirus infection.
AB - Hematogones are normal B-lymphoid precursors that multiply in the bone marrow of
small children and of adults with ferropenic anaemia, neuroblastoma or idiopathic
thrombocytopenic purpura. They are not normally found in peripheral blood, and
the immunophenotype is virtually indistinguishable from that of B lymphoblasts.
We discuss the case of a 3-month infant with an active cytomegalovirus infection,
with hepatitis and pancytopenia associated with 13% hematogones in the bone
marrow.
PMID- 21892314
TI - Multicentric Adenocarcinomas in a Long-Segment of Barrett's Esophagus.
AB - This report describes a complicated course of a 58-year-old patient with
multicentric Barrett's carcinoma within a long-segment of Barrett metaplasia.
After abdominal-thoracic resection of the cancer, with incomplete removal of the
long-segment metaplastic lesion, invasive carcinoma was diagnosed in the remnant
Barrett's segment. Endoscopic mucosal resection was done, but Barrett's mucosa
was left in situ again. Recurrent tumor growth was diagnosed only few months
later. Finally, transthoracic complete resection on the remnant Barrett's segment
was performed. Thus, our case demonstrates impressively the appearance of
multicentric adenocarcinomas in Barrett's esophagus and underlines the necessity
of resection of the complete Barrett mucosa.
PMID- 21892315
TI - B-cell lymphoma of the mandible: a case report.
AB - INTRODUCTION: The mandible is an infrequent localisation of primary osseous non
Hodgkin's lymphomas. Few cases of mandibular non-Hodgkin's lymphomas (NHL) have
been reported. CASE REPORT: A rare condition of primary malignant non-Hodgkin's
lymphoma of the mandible in 53-year-old man, was reported at the Department of
Maxillofacial and Plastic Surgery in Charles Nicolle Hospital (Tunis, Tunisia).
Histologic and Immunohistochemical (IHC) examination Confirmed a B-Cell lymphoma.
DISCUSSION: The purpose of this report is to describe this rare case of NHL of
the mandible, explore the diagnosis and workup, and discuss treatment strategies.
In this localisation, neither the clinical features nor the radiologic
appearances are often pathognomonic. CONCLUSION: Particular care must be taken to
consider lymphoma in the differential diagnosis because this uncommon lesion can
pose significant diagnostic problems and is frequently misdiagnosed.
PMID- 21892316
TI - Potential of neural stem cells for the treatment of brain tumors.
AB - Neural stem cells (NSCs) are self-renewing multipotent cells that generate the
main phenotypes of the nervous system, neurons, astrocytes and oligodendrocytes.
As such they hold the promise to treat a broad range of neurological diseases and
injuries. Neural progenitor and stem cells have been isolated and characterized
in vitro, from adult, fetal and post-mortem tissues, providing sources of
material for cellular therapy. However, NSCs are still elusive cells and remain
to be unequivocally identified and characterized, limiting their potential use
for therapy. Neural progenitor and stem cells, isolated and cultured in vitro,
can be genetically modified and when transplanted migrate to tumor sites in the
brain. These intrinsic properties of neural progenitor and stem cells provide
tremendous potential to bolster the translation of NSC research to therapy. It is
proposed to combine gene therapy and cellular therapy to treat brain cancers.
Hence, neural progenitor and stem cells provide new opportunities for the
treatment of brain cancers.
PMID- 21892317
TI - A Single Institution's Experience with Bevacizumab in Combination with Cytotoxic
Chemotherapy in Progressive Malignant Glioma.
AB - BACKGROUND: Bevacizumab and irinotecan may represent one of the most active
treatments in progressive malignant glioma. Limited published experience with
bevacizumab in patients with CNS tumors raises concerns regarding toxicity,
particularly in regards to hemorrhage and thromboembolism. METHODS: We
retrospectively reviewed 36 patients with progressive malignant glioma after
prior resection, chemotherapy and radiation who were treated with bevacizumab at
our institution. Patients were evaluated for bevacizumab-related adverse events,
time to treatment failure (TTF) and overall survival (OS). Two patients who
progressed or died prior to completion of 4 cycles of therapy were analyzed for
adverse events only. RESULTS: Patients were treated with bevacizumab alone (1),
bevacizumab plus irinotecan (31), or bevacizumab plus carboplatin (4). In 34
patients who received >4 cycles of bevacizumab, median TTF and OS were 16 and 32
weeks, respectively. Toxicities included 1 arterial thrombosis, 4 venous
thromboses, and 3 clinically significant CNS hemorrhages. CONCLUSION: Overall,
our results confirm the efficacy and safety of bevacizumab in combination with
chemotherapy in patients with progressive malignant glioma. Although the TTF and
OS were less than previously reported with the combination of bevacizumab and
irinotecan, this was an unselected patient population with 50% of patients having
received >1 prior chemotherapy regimen.
PMID- 21892318
TI - Exvivo experiments of human ovarian cancer ascites-derived exosomes presented by
dendritic cells derived from umbilical cord blood for immunotherapy treatment.
AB - OBJECTIVES: Exosomes, a type of membrane vesicles, released from tumor cells have
been shown to be capable of transferring tumor antigens to dendritic cells and
activating specific cytotoxic T-lymphocytes. Recent work has demonstrated the
presence of high numbers of exosomes in malignant effusions. Umbilical cord blood
(UCB) is a rich source of hematopoietic stem cells and from which a significant
number of dendritic cells can be produced. We hypothesized that the exosomes
released from metastatic ovarian carcinoma were able to present tumor specific
antigen to dendritic cells derived from unrelated umbilical cord blood, then
could stimulate resting T cells to differentiate and induce effective
cytotoxicity. STUDY DESIGN: Exosomes were isolated by ultracentrifugation of
malignant ascites from ovarian cancer patients (n = 10). Purified exosomes were
further characterized by Western blot analyses and immunoelectronic microscopy.
Dendritic cells were collected from unrelated umbilical cord blood and cultured
in the presence of GM-CSF, IL-4 and TNF-alpha. Resting T cells were mixed with
dentritic cells previously primed with exosomes and the cytotoxicity were
measured by MTT method. T cells were activated by DCs presented with exosomes.
RESULTS: 1) the exosomes isolated from the ascites were membrane vesicles of
about 30-90nm in diameter; 2) the exosomes expressed MHC class I molecules,
HSP70, HSP90, Her2/Neu, and Mart1; and 3)umbilical cord blood-derived DCs
previously exosome-primed stimulated resting T cells to differentiate and produce
effective cytotoxicity. CONCLUSIONS: These results suggested that tumor-specific
antigens present on exosomes can be presented by DCs derived from unrelated
umbilical cord blood to induce tumor specific cytotoxicity and this may represent
as a novel immunotherapy for ovarian cancer.
PMID- 21892319
TI - Documentation of complete response in metastatic breast cancer to liver and bone
achieved with trastuzumab and pegylated liposomal Doxorubicin.
PMID- 21892320
TI - Phase II Clinical Trial of GM-CSF Treatment in Patients with Hormone-Refractory
or Hormone-Naive Adenocarcinoma of the Prostate.
AB - The objective of this Phase II clinical trial was to determine the effects of
chronic GM-CSF dosing on PSA levels in men with hormone-refractory or hormone
naive prostate cancer. Six hormone-refractory and 10 hormone-naive patients were
recruited from an institutional practice and were treated with 250 and 125
MUg/m(2) of GM-CSF, respectively, 3 times per week for continuous 12-week
treatment cycles until evidence of disease progression, as indicated by 2
consecutive rising PSA levels. PSA levels were measured every 6 weeks. Of the 6
hormone-refractory patients, 2 were classified with progressive disease after 4
months and 1 after 1.75 months. The best PSA responses for the remaining 3
patients were 3%, 12%, and 32% declines which lasted from 1.75 to 8.5 months. Of
the 10 hormone-naive patients, 2 were classified with progressive disease after 3
and 12 months, and 1 patient met the criteria for stable disease after 7.75
months. The best PSA response for the remaining 7 patients ranged from 7% to 42%
declines which lasted from 0.5 to 10 months. These results indicate that further
study of GM-CSF administration is not warranted for hormone-refractory patients
but is recommended for hormone-naive patients using a chronic dosing regimen.
PMID- 21892321
TI - Case report: thymic carcinoma metastatic to small bowel.
PMID- 21892322
TI - Recurrent Respiratory Papillomatosis (RRP)-Juvenile Onset.
AB - In this article, we describe the treatment of long standing juvenile-onset
recurrent respiratory papillomatosis (JORRP) with eventual transformation to
carcinoma in a patient who lived to the age of 73. Treatment modalities consisted
of bronchoscopy and local excision initially. Later, YAG and CO2 laser debulking
were used. Radiotherapy, chemotherapy with carboplatin (300 mg/m2) and 5-FU (600
mg/m2), oral methotrexate (5-7.5 mg/week), pegylated Interferon, indole-3
carbamide, and intralesional cidofovir were also utilized in the treatment of
this patient. Except for methotrexate, each of the treatment regimens used in
this patient, initially decreased growth of the papillomas and improved symptoms
experienced by the patient. Interestingly, we found that this patient's long
standing JORRP initially responded to a chemotherapy regimen of 4 cycles of
carboplatin (300 mg/m2) and 5-FU (600 mg/m2) as well. Ultimately, the disease
became resistant to all forms of treatment and progressed. The patient eventually
succumbed to the disease after an approximate 77 year course.
PMID- 21892323
TI - Bone Marrow Micrometastases in Breast Cancer Patients: A Long-Term Follow-up
Study.
AB - In 125 early breast cancer patients who underwent multiple bone marrow aspirates,
there was no significant difference in terms of disease-free and overall survival
after a median follow-up of 163 months between the patients with or without
micrometastasis at the time of primary surgery. However, when the time-dependent
evolution of the bone marrow aspirates was taken into account, some evidence for
a longer disease-free and overall survival was found for the patients with
negative bone marrow.
PMID- 21892324
TI - Targeted chemotherapy using a cytotoxic somatostatin conjugate to inhibit tumor
growth and metastasis in nude mice.
AB - The major problems of traditional chemotherapy are non-selectivity and non
specificity, resulting in severe toxic side effects. Peptides are a new
generation of drug-delivery vector to increase efficacy of this therapy and avoid
the resulting damage. The cytotoxic somatostatin (SST) conjugate JF-10-81 was
developed by coupling camptothecin (CPT) to the N-terminus of a SST analog (JF-07
69) using an activated carbamate linker. This conjugate selectively targets
somatostatin receptor subtype 2 (SSTR2) and also retains high binding affinity
and rapid internalization as well as anti-proliferative activity towards various
tumor cells. JF-10-81 was tested for its inhibitory activity against the growth
of human tumors which included neuroblastoma (IMR32), pancreatic cancer (CFPAC
1), leukemia (MOLT-4), pancreatic carcinoid (BON) and prostate cancer (PC-3).
Both SSTR2 mRNAs and proteins were detected in all these tumor cell lines. The
conjugate displayed potent in vivo inhibitory activity, although some of the
potency measured in in vitro experiments was lost. JF-10-81 was found to
significantly inhibit growth of these SSTR-positive tumors, resulting in 87%
tumor reduction in neuroblastoma IMR32 and 97% in leukemia MOLT-4 bearing
animals, even inducing regression of CFPAC-1 tumors. SSTR-overexpressing BON
tumors were unfortunately relatively CPT-insensitive in vitro, however, JF-10-81
again exhibited in vivo potency presumably by specifically increasing CPT
concentrations inside the tumor cells so that the inhibition rate for JF-10-81
was 85%. Also, JF-10-81 was used to treat highly invasive PC-3 tumors where s.c.
injections inhibited both tumor growth (almost 60% reduction) and tumor
metastasis (over 70%). This conjugate demonstrated its broad and excellent anti
tumor activity by targeting SSTR2-specific tumor tissues, supporting that short
peptides and their analogs may be applied as ideal drug-delivery carriers to
improve the traditional chemotherapy.
PMID- 21892325
TI - A phantom study on target localization accuracy using cone-beam computed
tomography.
AB - The purpose of this study is to evaluate the 3-dimensional target localization
accuracy of cone-beam computed tomography (CBCT) using an on-board imager (OBI).
An anthropomorphic pelvis phantom was used to simulate a range of offsets in the
three translational directions and rotations around each of the three axes. After
a translational or rotational offset was applied, a CBCT scan of the phantom was
followed by image registration to detect the offsets in six degrees. The detected
offsets were compared to the offset actually applied to give the detection error
of the phantom position. Afterwards, the phantom was positioned by automatically
moving the couch based on the detected offsets. A second CBCT scan followed by
image registration was performed to give the residual error of the phantom
positioning. On the average the detection errors and their standard deviations
along the lateral, longitudinal and vertical axis are 0.3 +/- 0.1, 0.3 +/- 0.1
and 0.4 +/- 0.1 mm respectively with respect to translational shifts ranging from
0 to 10 mm. The corresponding residual errors after positioning are 0.3 +/- 0.1,
0.5 +/- 0.1 and 0.3 +/- 0.1 mm. For simulated rotational shifts ranging from 0 to
5 degrees, the average detection error and their standard deviation around
lateral, longitudinal, and vertical axes are 0.1 +/- 0.0, 0.2 +/- 0.0, and 0.2 +/
0.0 degrees respectively. The residual errors after positioning are 0.4 +/- 0.1,
0.6 +/- 0.1, and 0.3 +/- 0.1 mm along the lateral, longitudinal and vertical
directions. These results indicate that target localization based on CBCT is
capable of achieving sub-millimeter accuracy.
PMID- 21892326
TI - Serum messenger RNA as a biomarker and its clinical usefulness in malignancies.
AB - A number of biomarkers are used clinically and many protein-based assay methods
are available. Improvements in the method to utilize specific antibodies have led
to remarkable progress in clinical diagnosis using biomarkers. Proteomics studies
to identify better biomarkers have been performed worldwide by using a protein
based comprehensive method. The detection rate of conventional biomarkers can not
improve further. Now is a time that a breakthrough is needed. We previously
proposed mRNA, which is circulating in the body, as a novel material for
biomarkers. mRNA is an unexpectedly useful molecule, not only because it can
detect genes with a low expression level in protein, but also because it can
detect the expression from non-coding RNA precursor genes or gene products with
limited secretion from the cells. Circulating mRNA has been thought to be
unstable in blood containing RNase. We confirm that mRNA remains at the same
level for 24 hours after blood sampling. Unlike DNA, the RNA molecule can reflect
events in the human body which occurred within a day, resulting in an early
diagnosis of diseases. We report the possibility to detect and quantify cancer
derived mRNAs circulating in human vessels. We introduce the detection of serum
mRNA as a useful biomarker of human malignancies.
PMID- 21892327
TI - Adenocarcinoma Arising within a Colonic Diverticulum in a Patient with Recurrent
Diverticulitis.
AB - In 2006, while admitted in our hospital for surgical treatment of recurrent
diverticulitis, a 54-year-old man was found to have an adenocarcinoma arising
within a colonic diverticulum. Computed tomography, during this episode of
diverticulitis, showed a thickened wall of the sigmoid and inflammatory
induration of the pericolonic fat. Colonoscopy could be performed up to no more
then 25 cm from the anus due to mucosal edema. A sigmoid resection was performed.
Histopathological examination of the resected specimen showed an inflamed
diverticulum with a submucosal adenocarcinoma of the intestinal type within its
wall. The surrounding flat colonic mucosa was not involved by the cancerous
process. Due to lymph node involvement the patient received adjuvant chemotherapy
and remained disease free during follow up.
PMID- 21892328
TI - Paclitaxel, Epirubicin and Capecitabine (TEX) as First-Line Treatment for
Metastatic Breast Cancer: a Pilot Phase I/II Feasibility Study.
AB - Thirteen patients with untreated metastatic breast cancer received epirubicin 60
mg/m(2), paclitaxel 155 mg/m(2) (both day 1) and capecitabine 665 mg/m(2) twice
daily (days 1-14) every 21 days, with intra-patient dose escalation/reduction.
Grade 3/4 events were infrequent. Nine patients (69%) achieved an objective
response. Median time to progression and overall survival were 6.6 and 23.5
months, respectively.
PMID- 21892330
TI - Increased incidence of loco-regional recurrences among african american women
with terminal stage breast cancer.
AB - A prospective analysis of women with terminal breast cancer admitted to CHNE from
November 2006-August 2007 evaluated anecdotal observations that African American
(AA) women are likelier than Caucasian women to evidence loco-regional
recurrences (LRR). Women with terminal breast cancer who were admitted to CHNE, a
not-for-profit hospice serving over 90% of Northeast Florida hospice patients,
were eligible for participation. 134 terminal breast cancer patients were
assessed by hospice nurses for LRR presence via chest wall examination. 80% of
them (107) were Caucasian, 17% (23) were AA and 3% (4) were of other ethnicities.
Evidence of LRR were noted in 13% of the women (17/134). The proportion of
patients with LRR was higher in AA women than Caucasian women (26% vs. 10%, 6/23
vs. 11/107, respectively), although this difference was not statistically
significant (p = 0.08). The majority of Caucasian women with LRR consented to a
medical record review, but a minority of AA women consented (8/11 vs. 2/6,
respectively, p = 0.16). CONCLUSION: Evaluating disparities in breast cancer care
outcomes is possible by reviewing data from patients served by hospice programs
that aid a majority of patients within a community. This pilot data suggests that
AA women with breast cancer have a higher incidence of loco-regional failure as a
component of their terminal breast cancer disease than Caucasian women. A smaller
proportion of AA patients and families agreed to participate in a medical record
review study than Caucasians. Larger studies are necessary to confirm these
findings, to elucidate factors contributing to disparities and to develop
potential solutions.
PMID- 21892329
TI - Nef as a Proliferative Factor for Kidney Epithelial Cells in HIV-Associated
Nephropathy.
PMID- 21892331
TI - Observational study of topical imiquimod immunotherapy in the treatment of
difficult lentigo maligna.
PMID- 21892332
TI - Docetaxel as salvage therapy in highly pretreated and drug resistant
gastrointestinal carcinomas.
AB - INTRODUCTION: Despite many efforts to develop new chemotherapies for metastatic
upper gastrointestinal (GI) cancer, overall prognosis continues to be fatal,
particularly in gastric and pancreatic cancer. Many of these patients deserve
second-or third-line treatment after failure of first-line chemotherapy.
Therefore, we analysed toxicity and response rate of weekly docetaxel after
failed upfront regimes in these upper GI cancer patients. PATIENTS AND METHODS:
Between 2001 and 2006, 18 patients received docetaxel based regimes (35 mg/m(2)
weekly) after informed consent. Response rates were determined using RECIST
criteria or tumor progression if clinically evident. Toxicities were graded based
on NCI CTC criteria (version 2). Most patients had gastric cancer (13/18). The
remaining entities comprised of bilio-pancreatic cancer (5/18). RESULTS:
Docetaxel was administered as 2nd line therapy in 28% (5/18), 3rd line therapy in
56% (10/18) and 4th or 5th line therapy in 17% (3/18). The average docetaxel dose
was 38 mg/m(2) (Median: 35 mg/m(2)) once weekly. Over a treatment duration of
14.7 weeks, the average dosage was 58 gr per patient and week. Overall, docetaxel
was well tolerated with only few chemotherapy-associated toxicities (Grade 3/4),
including nausea (17%), polyneuropathy (17%), anorexia (11%), neutropenia (6%)
and leukopenia (17%). Docetaxel administration did not achieve any complete
responses (CR) and one (5.6%) partial response (PR) was seen (1/18). In addition
5 patients (27.8%) had stable disease (SD), thus inducing a tumor control rate of
33.3% (6/18). Median progression-free survival was 2.4 months for all patients,
2.1 months in the gastric-cancer and 2.4 months in the bilio-pancreatic cancer
subgroups respectively. After first docetaxel administration median survival for
all patients was 4.5 months, patients with gastric cancer survived for 4.9 months
whereas patients suffering from bilio-pancreatic carcinoma survived for 4.2
months. However, taken together 27% (5/18) had a remarkable overall survival of
more than 2.5 years. DISCUSSION: In severely pretreated patients, with documented
chemoresistant GI tumors, weekly docetaxel was well tolerated, presented good
tumor control rate and overall survival. Therefore, this regimen may be used as
salvage treatment in individual patients with upper GI cancers.
PMID- 21892333
TI - Psychological Distress among Prostate Cancer Patients: Fact Or Fiction?
AB - Although the detrimental effect upon psychological well-being of receiving a
diagnosis of, or treatment for, cancer has been demonstrated across many
different types of cancer, three recent reviews of the psychological health of
prostate cancer patients have produced contradictory conclusions. In order to
elucidate the reasons for these apparent different conclusions, each of these
reviews is described, with principal methods and findings summarised. Actual
data, methodology used to select/reject research studies for inclusion in
reviews, plus the validity of strict methodological culling of some research
studies are discussed. Several extra studies and commentaries are also described,
and a resolution of the apparent contradictory review conclusions is offered.
PMID- 21892334
TI - Current and emerging treatments for uterine myoma - an update.
AB - Uterine myomas, the most common benign, solid, pelvic tumors in women, occur in
20%-40% of women in their reproductive years and form the most common indication
for hysterectomy. Various factors affect the choice of the best treatment
modality for a given patient. Asymptomatic myomas may be managed by reassurance
and careful follow up. Medical therapy should be tried as a first line of
treatment for symptomatic myomas, while surgical treatment should be reserved
only for appropriate indications. Hysterectomy has its place in myoma management
in its definitiveness. However, myomectomy, rather than hysterectomy, should be
performed when subsequent childbearing is a consideration. Preoperative
gonadotropin-releasing hormone analog treatment before myomectomy decreases the
size and vascularity of the myoma but may render the capsule more fibrous and
difficult to resect. Uterine artery embolization is an effective standard
alternative for women with large symptomatic myomas who are poor surgical risks
or wish to avoid major surgery. Its effects on future fertility need further
evaluation in larger studies. Serial follow-up without surgery for growth and/or
development of symptoms is advisable for asymptomatic women, particularly those
approaching menopause. The present article is incorporated with multiple clear
clinical photographs and simplified elaboration of the available management
options for these tumors of uterine smooth muscle to facilitate clear
understanding.
PMID- 21892335
TI - The role of corifollitropin alfa in controlled ovarian stimulation for IVF in
combination with GnRH antagonist.
AB - Corifollitropin alfa is a synthetic recombinant follicle-stimulating hormone
(rFSH) molecule containing a hybrid beta subunit, which provides a plasma half
life of ~65 hours while maintaining its pharmocodynamic activity. A single
injection of corifollitropin alfa can replace daily FSH injections for the first
week of ovarian stimulation for in vitro fertilization. Stimulation can be
continued with daily FSH injections if the need arises. To date, more than 2500
anticipated normoresponder women have participated in clinical trials with
corifollitropin alfa. It is noteworthy that one-third of women did not require
additional gonadotropin injections and reached human chorionic gonadotropin
criterion on day 8. The optimal corifollitropin dose has been calculated to be
100 MUg for women with a body weight <=60 kg and 150 MUg for women with a body
weight >60 kg, respectively. Combination of corifollitropin with daily
gonadotropin-releasing hormone antagonist injections starting on stimulation day
5 seems to yield similar or significantly higher numbers of oocytes and good
quality embryos, as well as similar ongoing pregnancy rates compared with women
stimulated with daily rFSH injections. Stimulation characteristics, embryology,
and clinical outcomes seem consistent with repeated corifollitropin-stimulated
assisted reproductive technologies cycles. Multiple pregnancy or ovarian
hyperstimulation syndrome rates with corifollitropin were not increased over
daily FSH regimen. The corifollitropin alfa molecule does not seem to be
immunogenic and does not induce neutralizing antibody formation. Drug
hypersensitivity and injection-site reactions are not increased. Incidence and
nature of adverse events and serious adverse events are similar to daily FSH
injections. Current trials do not provide information regarding use of
corifollitropin alfa in anticipated hyper- and poor responders to gonadotropin
stimulation. Although corifollitropin alfa is unlikely to be teratogenic, at the
moment data on congenital malformations is missing.
PMID- 21892336
TI - The relationship of psychosocial factors to mammograms, physical activity, and
fruit and vegetable consumption among sisters of breast cancer patients.
AB - This study examined the relationship of psychosocial factors to health-promoting
behaviors in sisters of breast cancer patients. One hundred and twenty sisters of
breast cancer patients completed questionnaires assessing response efficacy of
mammography screenings, physical activity, and fruit and vegetable consumption on
decreasing breast cancer risk, breast cancer worry, involvement in their sister's
cancer care, mammography screenings, physical activity, and fruit and vegetable
consumption. Results indicate that greater perceived effectiveness for mammograms
was associated with a 67% increase in odds of yearly mammograms. Greater
involvement in the patient's care was associated with a 7% decrease in odds of
yearly mammograms. Greater perceived effectiveness for physical activity was
significantly related to greater physical activity. There was a trend for greater
perceived effectiveness for fruits and vegetables to be associated with consuming
more fruits and vegetables. Breast cancer worry was not significantly associated
with the outcomes. While perceived effectiveness for a specific health behavior
in reducing breast cancer risk was consistently related to engaging in that
health behavior, women reported significantly lower perceived effectiveness for
physical activity and fruits and vegetables than for mammograms. Making women
aware of the health benefits of these behaviors may be important in promoting
changes.
PMID- 21892337
TI - A review of management of infertility in Nigeria: framing the ethics of a
national health policy.
AB - Infertility has recently been construed to be a serious problem in sub-Saharan
Africa. This problem seems to be viewed as of low priority with reference to the
effective and efficient allocation of available health resources by national
governments as well as by international donors sponsoring either research or
service delivery in the public health sector. In this paper the problem of
infertility in Nigeria is surveyed with a view to assessing the ethical dimension
of proposals to manage infertility as a public sector priority in health care
delivery. The population/individual and public/private distinction in the
formulation of health policy has ethical implications that cannot simply be
ignored and are therefore engaged in critically assessing the problem of
infertility. Cost-utility analysis (such as Quality Adjusted Life-Year composite
index) in the management of infertility in Nigeria entails the need for caution
relevant to the country's efforts to achieve Millennium Development Goals. This
should remain the case whether the ethical evaluation appeals to utilitarian or
contractarian (Rawlsian) principles. The "worst off " category of Nigerians
includes (1) underweight children less than 5 years of age, with special concern
for infants (0-1 years of age) and (2) the proportion of the population below a
minimum level of dietary consumption. The Rawlsian ethic implies that any Federal
Ministry of Health policy aimed at establishing public programs for infertility
management can be considered a "fair" allocation and expenditure if, and only if,
the situation for these two cohorts is not thereby made worse. Nigerian health
policy cannot assume this type of increased allocation of its resources to
infertility care without it being hard pressed to warrant defensible moral or
rational argument.
PMID- 21892338
TI - Transvaginal ultrasonography compared with Bishop score for predicting cesarean
section after induction of labor.
AB - BACKGROUND: Reproductive health researchers are interested in finding better
methods for predicting an unwanted type of delivery after induction of labor. The
aim of this study was to compare the value of transvaginal ultrasonography
findings and the Bishop score in predicting cesarean section after induction of
labor. METHODS: Two hundred women with singleton pregnancies undergoing induction
of labor at 37-42 weeks were enrolled in this prospective study. Transvaginal
investigation was done for all participants prior to induction. To compare the
predictive value of the methods, receiver-operating characteristic (ROC) curves
were plotted and equality of the area under curve (AUC) was tested. RESULTS: The
mean age of the participants was 29.9 years, mean gestational age was 39.6 weeks,
and mean gravid was 1.5. The AUC calculated for Bishop score was 0.39 (95%
confidence interval [CI] 0.3-0.48). The AUC for cervical length measured by
ultrasonography was 0.69 (95% CI 0.6-0.77). The AUC for the posterior cervical
angle measured by ultrasonography was 0.38 (95% CI 0.29-0.47). Testing equality
of the ROC curves for these three methods showed the ROC for cervical length to
be statistically different from both Bishop score and posterior cervical angle (P
< 0.001). However, the difference in ROC area compared between Bishop score and
posterior cervical angle was not statistically significant. CONCLUSION: Based on
our findings and available information in the literature, it seems that cervical
length measured by transvaginal ultrasonography has the potential to replace the
traditional Bishop score, provided that such a facility is available when needed.
PMID- 21892339
TI - Estradiol valerate and dienogest: a new approach to oral contraception.
AB - Most combination oral contraceptives contain ethinyl estradiol and a progestin. A
new and novel oral contraceptive formulation combines estradiol valerate (E2V)
with dienogest (DNG) in a four-phase dosing regimen. 17beta-estradiol is a
naturally-occurring estrogen, and a contraceptive pill containing such an
estrogen offers potential benefits with regard to metabolic side effects and
adverse events. Dienogest is derived from 19-nortestosterone and exerts profound
progestational effects on the endometrium, but it differs from other progestins
in its class by its antiandrogenic activity. Estradiol valerate plus dienogest
(E2V/DNG) is now available in a four-phasic regimen that integrates an estrogen
stepdown and progestin stepup dosing approach along with a short two-day hormone
free interval. This regimen offers safe, reliable contraception and has been
shown to be an effective treatment for heavy menstrual bleeding. Metabolic
effects and adverse events appear similar to those reported with oral
contraceptives containing ethinyl estradiol.
PMID- 21892341
TI - Similarities Among the Shapes of Writing and Their Effects on Learning.
AB - Writing systems are usually studied in terms of the level of language that they
represent, with little attention to the shapes that are used to do so. Those
shapes are not random or accidental, however. They tend to be similar to one
another within a script. Many of the Latin letters have a roughly vertical stem
or hasta with an appendage or coda to the right. This arrangement is more common
than one with the coda on the left of the hasta. We present data to show that
young children are generally better at copying and writing from memory shapes
such as and , which have the typical arrangement with the coda on the
right, than those such as and , which do not. The results suggest that
children start to learn about the statistics of the letter shapes before they
know how or that these shapes represent language.
PMID- 21892340
TI - Randomized clinical trial evaluating the frequency of membrane sweeping with an
unfavorable cervix at 39 weeks.
AB - BACKGROUND: Despite the widely accepted use of membrane sweeping to prevent
postmaturity pregnancies, the optimal frequency for this procedure has not been
established. AIM: To determine if the frequency of membrane sweeping in women
with an unfavorable cervix at term results in fewer labor inductions. METHODS:
This was a randomized trial of women with an unfavorable cervix (Bishop's score
of <=4) at 39 weeks randomized into three groups: control, once-weekly membrane
sweeping, and twice-weekly membrane sweeping. RESULTS: Between January 2005 and
June 2008, 350 women were randomized into the study (groups: control [n = 116],
once weekly [n = 117], and twice weekly [n = 117]). Randomization of Bishop's
score was different between groups (P = 0.019), with 67%, 71%, and 83% of
control, once-, and twice-weekly groups, respectively, having scores of 3-4.
There was no difference in the unadjusted rate of labor induction between the
groups (35% versus 27% versus 23%, P = 0.149), and after the adjustment for the
randomization of Bishop's score (adjusted odds ratio [OR] = 0.73, 95% confidence
interval [CI] 0.41-1.29 and OR = 0.65, 95% CI 0.36-1.18 for once- and twice
weekly groups, respectively). A Bishop's score of 3-4 at randomization was the
only statistically significant factor that decreased the likelihood of induction
at 41 weeks (OR = 0.42, 95% CI 0.25-0.69). CONCLUSION: Frequency of membrane
sweeping does not influence the likelihood of remaining undelivered at 41 weeks
of pregnancy. The Bishop's score at around 39 weeks is the important factor as a
predictor of the duration of pregnancy, and further studies would be required to
determine whether membrane sweeping influences pregnancy duration.
PMID- 21892342
TI - Differentially Private Empirical Risk Minimization.
AB - Privacy-preserving machine learning algorithms are crucial for the increasingly
common setting in which personal data, such as medical or financial records, are
analyzed. We provide general techniques to produce privacy-preserving
approximations of classifiers learned via (regularized) empirical risk
minimization (ERM). These algorithms are private under the epsilon-differential
privacy definition due to Dwork et al. (2006). First we apply the output
perturbation ideas of Dwork et al. (2006), to ERM classification. Then we propose
a new method, objective perturbation, for privacy-preserving machine learning
algorithm design. This method entails perturbing the objective function before
optimizing over classifiers. If the loss and regularizer satisfy certain
convexity and differentiability criteria, we prove theoretical results showing
that our algorithms preserve privacy, and provide generalization bounds for
linear and nonlinear kernels. We further present a privacy-preserving technique
for tuning the parameters in general machine learning algorithms, thereby
providing end-to-end privacy guarantees for the training process. We apply these
results to produce privacy-preserving analogues of regularized logistic
regression and support vector machines. We obtain encouraging results from
evaluating their performance on real demographic and benchmark data sets. Our
results show that both theoretically and empirically, objective perturbation is
superior to the previous state-of-the-art, output perturbation, in managing the
inherent tradeoff between privacy and learning performance.
PMID- 21892343
TI - Nurse empowerment from a middle-management perspective: nurse managers' and
assistant nurse managers' workplace empowerment views.
AB - BACKGROUND: Researchers have explored empowerment as an important condition for
nursing staff but little current research focuses on empowerment from a middle
management perspective. AIMS AND OBJECTIVES: The purpose of this study was to
assess the empowerment of a middle-management group made up of only nurse
managers (NMs) and assistant nurse managers (ANMs) in an acute-care hospital
setting. METHODS: A questionnaire was distributed online to a convenience sample
of NMs (n = 11) and ANMs (n = 31) working in an ethnically diverse acute-care
hospital. RESULTS: Overall, this middle-management group did not feel empowered.
CONCLUSIONS: Empowerment as perceived by middle management is crucial for
carrying out leadership duties and, in turn, empowering frontline staff. Even
though the work is challenging, resources and support, among other constructs of
empowerment, must be improved to increase the empowerment of middle management.
Nursing administration must understand the importance of an empowered middle
management so that middle management can lead effectively and facilitate the
delivery of safe, high-quality patient care.
PMID- 21892344
TI - Maintaining optimal oxygen saturation in premature infants.
AB - INTRODUCTION: Advances in technology have resulted in increasing survival rates
for premature infants. Oxygen therapy is commonly used in neonatal units as part
of respiratory support. The number of premature infants in our institution
surviving with severe (stage >=3) retinopathy of prematurity (ROP) prompted a
review of oxygen therapy as a contributing factor. Prolonged exposure to high
concentrations of oxygen may cause irreversible damage to the eyes of very-low
birth-weight preterm infants and is a potential cause of blindness. OBJECTIVE: We
developed strategies to reduce incidence of severe ROP requiring laser surgery in
premature infants. METHODS: We studied 37 preterm infants who were born at a
gestational age of <32 weeks, with a birth weight of <1500 g, receiving
supplemental oxygen, and had been admitted to our neonatal intensive care unit.
Infants received oxygen via mechanical ventilator, nasal continuous positive
airway pressure (CPAP), or intranasal (I/N) and titration of oxygen was based on
each infant's measured oxygen saturation (Spo(2)). For each infant, we monitored
the Spo(2) trend, Spo(2) alarm limit, and the percentage of time that the alarm
limit was set incorrectly. We implemented a Spo(2) targeting protocol and
developed an algorithm for titrating fraction of inspired oxygen (Fio(2)).
RESULTS: After phase 1 of implementation, the percentage of time that Spo(2)
readings were >95% was reduced to between 20% and 50%. However, our findings
raised concern regarding the wide fluctuation of Spo(2) readings because of
inconsistency in Fio(2) titration, which can contribute to deviation from the
optimal target range. Accordingly, we developed an algorithm for titrating Fio(2)
aimed at maintaining each infant's Spo(2) within the optimal target range. After
phase 2 of implementation, the percentage of Spo(2) readings >95% was markedly
reduced to between 0% and 15%. The incidence of infants with severe ROP requiring
laser surgery decreased from 5 to 1. CONCLUSIONS: A change in clinical practice
aimed at maintaining oxygen within the target range to avoid a high Spo(2) was
associated with a significant decrease in the incidence of both severe ROP and
the need for laser surgery, thus reducing hospital costs and length of hospital
stays for premature infants.
PMID- 21892345
TI - Neglected Food Bubbles: The Espresso Coffee Foam.
AB - Coffee beverage known as espresso, must be topped by a velvety thick, reddish
brown foam called crema, to be considered properly prepared and to be appreciated
by connoisseurs. In spite of the relevant role played by crema as a quality
marker, espresso coffee foam has not yet been the subject of detailed
investigations. Only recently, some aspects of the Physics and Chemistry behind
the espresso coffee foam have attracted the attention of scientists. In addition
to sharing several characteristics with other food foams like beer foam, for
instance, the espresso coffee foam may contain solid particles (minute coffee
cell-wall fragments), it is subjected to a remarkable temperature gradient and
its continuous phase is an oil in water emulsion rendering it a very complex
system to be studied. Moreover, in the typical regular espresso coffee cup volume
(serving) of 25-30 mL, crema represents at least 10% of the total volume, and
this is a limitation in obtaining experimental data by conventional instruments.
The present work is aimed at reviewing the literature on espresso coffee foam.
The traditional espresso brewing method will be briefly described with emphasis
on the steps particularly relevant to foam formation and stabilization. In
addition to present up-dated experimental data on surface properties at
solid/beverage and air/beverage interface, recent advances on the espresso foam
formation mechanism, as well as on foam stability, will be critically examined.
The key role played by carbon dioxide generated by roasting and the effects of
low and high-molecular-weight coffee compounds in promoting/inhibiting the
espresso coffee foam will be discussed and emphasized.
PMID- 21892346
TI - New cembranolides from the Dongsha Atoll soft coral Lobophytum durum.
AB - Chemical investigations of the Dongsha Atoll soft coral Lobophytum durum resulted
in the isolation of five new cembranolides, durumolides M-Q (1-5). The structures
of compounds 1-5 were characterized by the interpretation of extensive
spectroscopic analysis. Compound 4 exhibited cytotoxicity against P-388 (mouse
lymphocytic leukemia) cell line with an ED50 of 3.8 MUg/mL. Moreover, compound 5
showed significant antiviral activity against human cytomegalovirus with an IC50
of 5.2 MUg/mL.
PMID- 21892347
TI - Lobocrassins A-E: new cembrane-type diterpenoids from the soft coral Lobophytum
crassum.
AB - Five new cembrane-type diterpenoids, lobocrassins A-E (1-5), were isolated from
the soft coral Lobophytum crassum. The structures of cembranes 1-5 were
established by spectroscopic and chemical methods and by comparison of the
spectral data with those of known cembrane analogues. Lobocrassin A (1) is the
first cembranoid possessing an alpha-chloromethyl-alpha-hydroxy-gamma-lactone
functionality and is the first chlorinated cembranoid from soft corals belonging
to the genus Lobophytum. Lobocrassins B (2) and C (3) were found to be the
stereoisomers of the known cembranes, 14-deoxycrassin (6) and pseudoplexaurol
(7), respectively. Lobocrassin B (2) exhibited modest cytotoxicity toward K562,
CCRF-CEM, Molt4, and HepG2 tumor cells and displayed significant inhibitory
effects on the generation of superoxide anion and the release of elastase by
human neutrophils.
PMID- 21892349
TI - Evaluation of marine brown algae and sponges from Brazil as anticoagulant and
antiplatelet products.
AB - The ischemic disorders, in which platelet aggregation and blood coagulation are
involved, represent a major cause of disability and death worldwide. The
antithrombotic therapy has unsatisfactory performance and may produce side
effects. So, there is a need to seek molecules with antithrombotic properties.
Marine organisms produce substances with different well defined ecological
functions. Moreover, some of these molecules also exhibit pharmacological
properties such as antiviral, anticancer, antiophidic and anticoagulant
properties. The aim of this study was to evaluate, through in vitro tests, the
effect of two extracts of brown algae and ten marine sponges from Brazil on
platelet aggregation and blood coagulation. Our results revealed that most of the
extracts were capable of inhibiting platelet aggregation and clotting measured by
plasma recalcification tests, prothrombin time, activated partial thromboplastin
time, and fibrinogenolytic activity. On the other hand, five of ten species of
sponges induced platelet aggregation. Thus, the marine organisms studied here may
have molecules with antithrombotic properties, presenting biotechnological
potential to antithrombotic therapy. Further chemical investigation should be
conducted on the active species to discover useful molecules for the development
of new drugs to treat clotting disorders.
PMID- 21892348
TI - Aqueous and methanolic extracts of Caulerpa mexicana suppress cell migration and
ear edema induced by inflammatory agents.
AB - The regulation of the inflammatory response is essential to maintaining
homeostasis. Several studies have investigated new drugs that may contribute to
avoiding or minimizing excessive inflammatory process. The aim of this study was
to evaluate the effect of extracts of green algae Caulerpa mexicana on models
inflammation. In mice, the inflammatory peritonitis model is induced by zymosan.
Previous treatment of mice with aqueous and methanolic extracts of C. mexicana
was able to suppress the cell migration to the peritoneal cavity, in a time
dependent but not in a dose-dependent manner. The treatment of mice with C.
mexicana extracts also decreased the xylene-induced ear edema, exerting strong
inhibitory leukocyte migration elicited by zymosan into the air pouch. We
concluded that administration of the extracts resulted in a reduction of cell
migration to different sites as well as a decrease in edema formation induced by
chemical irritants. This study demonstrates for the first time the anti
inflammatory effect of aqueous and methanolic extracts from the green marine
algae Caulerpa mexicana.
PMID- 21892350
TI - Fucoidan from marine brown algae inhibits lipid accumulation.
AB - In this study, we elucidated the inhibitory effect of fucoidan from marine brown
algae on the lipid accumulation in differentiated 3T3-L1 adipocytes and its
mechanism. The treatment of fucoidan in a dose-dependent manner was examined on
lipid inhibition in 3T3-L1 cells by using Oil Red O staining. Fucoidan showed
high lipid inhibition activity at 200 MUg/mL concentration (P < 0.001). Lipolytic
activity in adipocytes is highly dependent on hormone sensitive lipase (HSL),
which is one of the most important targets of lipolytic regulation. Here, we
examined the biological response of fucoidan on the protein level of lipolysis
pathway. The expressed protein levels of total hormone sensitive lipase (HSL) and
its activated form, phosphorylated-HSL were significantly increased at
concentration of 200 MUg/mL fucoidan. Furthermore, insulin-induced 2-deoxy-D-[3H]
glucose uptake was decreased up to 51% in fucoidan-treated cells as compared to
control. Since increase of HSL and p-HSL expression and decrease of glucose
uptake into adipocytes are known to lead to stimulation of lipolysis, our results
suggest that fucoidan reduces lipid accumulation by stimulating lipolysis.
Therefore, these results suggest that fucoidan can be useful for the prevention
or treatment of obesity due to its stimulatory lipolysis.
PMID- 21892351
TI - Three new compounds from Aspergillus terreus PT06-2 grown in a high salt medium.
AB - To investigate the structurally novel and bioactive natural compounds from marine
derived microorganisms under high salinity, the fungus Aspergillus terreus PT06-2
was isolated from the sediment of the Putian Sea Saltern, Fujian, China. Three
new compounds, terremides A (1) and B (2) and terrelactone A (3), along with
twelve known compounds (4-15) were isolated and identified from the fermentation
broth of A. terreus PT06-2 at 10% salinity. Among these metabolites, compounds 4
and 15 only produced in the 10% salinity culture, were identified as methyl 3,4,5
trimethoxy-2-(2-(nicotinamido) benzamido) benzoate, and (+)-terrein,
respectively. The new compounds 1 and 2 exhibited antibacterial activity against
Pseudomonas aeruginosa and Enterobacter aerogenes with MIC values of 63.9 and
33.5 MUM, respectively. Compounds 5 showed moderate anti-H1N1 activity and lower
cytotoxicity with IC50 and CC50 values of and 143.1 and 976.4 MUM, respectively.
PMID- 21892352
TI - Diversity and antibacterial activities of fungi derived from the Gorgonian
Echinogorgia rebekka from the South China Sea.
AB - The diversity of symbiotic fungi associated with the gorgonian coral Echinogorgia
rebekka from the Weizhou coral reef in the South China Sea was investigated.
Combined with morphologic traits, ITS-rDNA sequences revealed 18 fungal strains
from this gorgonian. All of the 18 fungi belonged to the phylum Ascomycota and
were distributed among seven genera in five orders: Eurotiales (Aspergillus and
Penicillium), Pleosporales (Alternaria), Capnodiales (Cladosporium),
Trichosphaeriales (Nigrospora) and Hypocreales (Hypocrea and Nectria).
Antibacterial activities of these fungal strains were investigated with five
pathogenic bacteria. All of the 18 fungal strains displayed different levels of
antibacterial activities, most of which exhibited moderate to high antibacterial
activities to the Gram-positive pathogens Staphylococcus aureus and Micrococcus
tetragenus, and showed relatively low bioactivities to other three pathogenic
bacteria. Several fungal strains in the genera Penicillium and Cladosporium with
strong antibacterial activities provide potential for further research on
isolation of bioactive secondary metabolites.
PMID- 21892353
TI - Identification of the antibacterial compound produced by the marine epiphytic
bacterium Pseudovibrio sp. D323 and related sponge-associated bacteria.
AB - Surface-associated marine bacteria often produce secondary metabolites with
antagonistic activities. In this study, tropodithietic acid (TDA) was identified
to be responsible for the antibacterial activity of the marine epiphytic
bacterium Pseudovibrio sp. D323 and related strains. Phenol was also produced by
these bacteria but was not directly related to the antibacterial activity. TDA
was shown to effectively inhibit a range of marine bacteria from various
phylogenetic groups. However TDA-producers themselves were resistant and are
likely to possess resistance mechanism preventing autoinhibition. We propose that
TDA in isolate D323 and related eukaryote-associated bacteria plays a role in
defending the host organism against unwanted microbial colonisation and,
possibly, bacterial pathogens.
PMID- 21892354
TI - Bioactive (3Z,5E)-11,20-epoxybriara-3,5-dien-7,18-olide diterpenoids from the
South China Sea gorgonian Dichotella gemmacea.
AB - Six new (3Z,5E)-11,20-epoxybriara-3,5-dien-7,18-olide diterpenoids, gemmacolides
N-S (1-6), were isolated together with four known analogues, juncenolide D, and
juncins R, S and U (7-10), from the South China Sea gorgonian Dichotella
gemmacea. The structures of the new compounds were elucidated by the detailed
analysis of spectroscopic data in combination with the comparison with reported
data. The absolute configuration of 1 was determined by a TDDFT calculation of
its solution ECD spectrum, affording the determination of absolute configuration
of other analogues by simply comparing their ECD spectra with that of 1. The
cytotoxic and antimicrobial activities of these compounds were evaluated. In
preliminary in vitro bioassays, compounds 4, 5, 6, 8 and 9 showed cytotoxicity
against A549 and MG63, while compounds 1, 2, 4, 7-10 showed antimicrobial
activity against the fungus Septoria tritici and the bacterium Escherichia coli.
PMID- 21892355
TI - Carotenoids in marine invertebrates living along the Kuroshio current coast.
AB - Carotenoids of the corals Acropora japonica, A. secale, and A. hyacinthus, the
tridacnid clam Tridacna squamosa, the crown-of-thorns starfish Acanthaster
planci, and the small sea snail Drupella fragum were investigated. The corals and
the tridacnid clam are filter feeders and are associated with symbiotic
zooxanthellae. Peridinin and pyrrhoxanthin, which originated from symbiotic
zooxanthellae, were found to be major carotenoids in corals and the tridacnid
clam. The crown-of-thorns starfish and the sea snail D. fragum are carnivorous
and mainly feed on corals. Peridinin-3-acyl esters were major carotenoids in the
sea snail D. fragum. On the other hand, ketocarotenoids such as 7,8
didehydroastaxanthin and astaxanthin were major carotenoids in the crown-of
thorns starfish. Carotenoids found in these marine animals closely reflected not
only their metabolism but also their food chains.
PMID- 21892357
TI - Survival and growth of seedlings of 19 native tree and shrub species planted in
degraded forest as part of a forest restoration project in Madagascar's
highlands.
AB - Percentage survival and mean percentage change in height were compared for 19
native tree and shrub species planted at Ankafobe Forest, a degraded fragment of
highland forest, at ten months after planting. The species varied considerably in
both, survival and growth. Best performers included Macaranga alnifolia
(Euphorbiaceae), Harungana madagascariensis (Clusiaceae), Filicium decipiens
(Sapindaceae) and Dodonaea madagascariensis (Sapindaceae). A comparison of
survival between relatively short seedlings compared to relatively tall seedlings
revealed no significant difference. This information will be used to increase the
efficiency of forest restoration at this site.
PMID- 21892356
TI - Pseudonocardians A-C, new diazaanthraquinone derivatives from a deap-sea
actinomycete Pseudonocardia sp. SCSIO 01299.
AB - Pseudonocardians A-C (2-4), three new diazaanthraquinone derivatives, along with
a previously synthesized compound deoxynyboquinone (1), were produced by the
strain SCSIO 01299, a marine actinomycete member of the genus Pseudonocardia,
isolated from deep-sea sediment of the South China Sea. The structures of
compounds 1-4 were determined by mass spectrometry and NMR experiments (1H, 13C,
HSQC, and HMBC). The structure of compound 1, which was obtained for the first
time from a natural source, was confirmed by X-ray analysis. Compounds 1-3
exhibited potent cytotoxic activities against three tumor cell lines of SF-268,
MCF-7 and NCI-H460 with IC50 values between 0.01 and 0.21 MUm, and also showed
antibacterial activities on Staphylococcus aureus ATCC 29213, Enterococcus
faecalis ATCC 29212 and Bacillus thuringensis SCSIO BT01, with MIC values of 1-4
MUg mL-1.
PMID- 21892358
TI - Comparing different scientific approaches to personalized medicine: research
ethics and privacy protection.
AB - In this article, two different scientific approaches to personalized medicine are
compared. Biorepository at Vanderbilt University (BioVU) is a genomic
biorepository at Vanderbilt University Medical Center in Nashville, TN, USA.
Genetic biosamples are collected from leftover clinical blood samples; medical
information is derived from an electronic medical records. Greifswald Approach to
Individualized Medicine is a research resource at the University of Greifswald,
Germany, comprised of clinical records combined with biosamples collected for
research. We demonstrate that although both approaches are based on the
collection of clinical data and biosamples, different legal milieus present in
the USA and Germany as well as slight differences in scientific goals have led to
different 'ethical designs'. While BioVU can successfully operate with an 'opt
out' mechanism, an informed consent-based 'opt-in' model is indispensable to
allow GANI_MED to reach its scientific goals.
PMID- 21892359
TI - Early Language Learning and Literacy: Neuroscience Implications for Education.
AB - The last decade has produced an explosion in neuroscience research examining
young children's early processing of language that has implications for
education. Noninvasive, safe functional brain measurements have now been proven
feasible for use with children starting at birth. In the arena of language, the
neural signatures of learning can be documented at a remarkably early point in
development, and these early measures predict performance in children's language
and pre-reading abilities in the second, third, and fifth year of life, a finding
with theoretical and educational import. There is evidence that children's early
mastery of language requires learning in a social context, and this finding also
has important implications for education. Evidence relating socio-economic status
(SES) to brain function for language suggests that SES should be considered a
proxy for the opportunity to learn and that the complexity of language input is a
significant factor in developing brain areas related to language. The data
indicate that the opportunity to learn from complex stimuli and events are vital
early in life, and that success in school begins in infancy.
PMID- 21892360
TI - Developing Mechanisms of Self-Regulation in Early Life.
AB - Children show increasing control of emotions and behavior during their early
years. Our studies suggest a shift in control from the brain's orienting network
in infancy to the executive network by the age of 3-4 years. Our longitudinal
study indicates that orienting influences both positive and negative affect, as
measured by parent report in infancy. At 3-4 years of age, the dominant control
of affect rests in a frontal brain network that involves the anterior cingulate
gyrus. Connectivity of brain structures also changes from infancy to toddlerhood.
Early connectivity of parietal and frontal areas is important in orienting; later
connectivity involves midfrontal and anterior cingulate areas related to
executive attention and self-regulation.
PMID- 21892361
TI - Assessment of Transport Mechanisms Underlying the Bicoid Morphogen Gradient.
AB - Morphogen gradients dictate the spatial patterning of multicellular organisms and
are established via transport mechanisms. One of the best-characterized
morphogens, Bicoid, acts as a polarity determinant in the Drosophila embryo
through spatial-temporal control of gap gene expression. The prevailing model for
establishment of the gradient has been localized anterior translation, subsequent
diffusion, and spatially uniform degradation, consistent with the observed
exponential anterior-posterior decay. However, a recent direct measurement of the
Bicoid diffusion coefficient via fluorescence recovery after photobleaching
(FRAP) resulted in a surprisingly low estimate, which challenged the prevailing
model and led to more complicated active transport models. Here, we reassessed
this conclusion using a detailed computational model of the FRAP experiment and
analysis. In our model, we found disagreement between the input diffusion
coefficient and the resulting estimated diffusion coefficient, as measured by
previous methods. By using the model to reproduce the original data, we estimate
that Bicoid's mitotic diffusion coefficient is 3-fold larger than the originally
reported value. Thus, the long-standing diffusive transport model still holds.
PMID- 21892362
TI - The proof for new oral anticoagulants: clinical trial evidence.
AB - INTRODUCTION: Patients undergoing elective total hip or total knee replacement
surgery are at increased risk of venous thromboembolism in the post-operative
period and are recommended to receive thromboprophylaxis for 10-35 days. Although
several thromboprophylactic agents are available, these are associated with well
recognized limitations. For the low molecular weight heparins (LMWHs) such as
enoxaparin, these limitations include parenteral administration, indirect mode of
action, inability to inhibit clot-bound thrombin and association with
complications such as heparin-induced thrombocytopenia. These limitations make
post-operative thromboprophylaxis challenging. Several new oral anticoagulants
are in the advanced stages of clinical development. These agents have been
designed to target either thrombin (dabigatran etexilate) or factor Xa
(rivaroxaban and apixaban), which are key coagulation cascade enzymes. METHODS
AND RESULTS: This review will present the published phase III clinical trial
evidence of the efficacy and safety of dabigatran etexilate, rivaroxaban and
apixaban, compared with the LMWH enoxaparin for the prevention of venous
thromboembolism in patients who have undergone elective total hip or total knee
replacement surgery. All three agents have shown comparable or superior efficacy
compared with the European dose regimen of enoxaparin (40 mg once daily), and
comparable rates of major bleeding events. Dabigatran etexilate and rivaroxaban
are currently licensed for use following elective hip and knee replacement
surgery in many countries, but no direct comparative data exist upon which to
base the choice of agent. CONCLUSION: A thorough assessment of each individual
patient's thromboembolic and bleeding risks should be the basis of selecting the
agent in order to balance efficacy and safety.
PMID- 21892363
TI - Innovations in total knee replacement: new trends in operative treatment and
changes in peri-operative management.
AB - The human knee joint can sustain damage due to injury, or more usually
osteoarthritis, to one, two or all three of the knee compartments: the medial
femorotibial, the lateral femorotibial and the patellofemoral compartments. When
pain associated with this damage is unmanageable using nonsurgical techniques,
knee replacement surgery might be the most appropriate course of action. This
procedure aims to restore a pain-free, fully functional and durable knee joint.
Total knee replacement is a well-established treatment modality, and more
recently, partial knee replacement-more commonly known as bi- or unicompartmental
knee replacement-has seen resurgence in interest and popularity. Combined with
the use of minimally invasive surgery (MIS) techniques, gender-specific
prosthetics and computer-assisted navigation systems, orthopaedic surgeons are
now able to offer patients knee replacement procedures that are associated with
(1) minimal risks during and after surgery by avoiding fat embolism, reducing
blood loss and minimising soft tissue disruption; (2) smaller incisions; (3)
faster and less painful rehabilitation; (4) reduced hospital stay and faster
return to normal activities of daily living; (5) an improved range of motion; (6)
less requirement for analgesics; and (7) a durable, well-aligned, highly
functional knee. With the ongoing advancements in surgical technique, medical
technology and prosthesis design, knee replacement surgery is constantly
evolving. This review provides a personal account of the recent innovations that
have been made, with a particular emphasis on the potential use of MIS techniques
combined with computer-assisted navigation systems to treat younger, more
physically active patients with resurfacing partial/total implant knee
arthroplasty.
PMID- 21892364
TI - Prolonged exposure to isoflurane ameliorates infarction severity in the rat pup
model of neonatal hypoxia-ischemia.
AB - The neonatal hypoxia-ischemia rat model referred to as the Rice-Vannucci model is
extensively used to study perinatal hypoxia-ischemia and child brain injury. One
of the major weaknesses of this model is its inconsistency of brain infarction
among animals. We hypothesize that the inconsistency of infarction is caused by
prolonged operation time and therefore isoflurane exposure. Neonatal hypoxia
ischemia was induced in postnatal days 7 and 10 rat pups by unilateral right
common carotid ligation followed by 2.5 h of hypoxia (8% oxygen). The incision-to
ligation (ITL) was defined as the amount of time from initial incision (4 min
after 2% isoflurane exposure) to completion of carotid ligation (at which point
isoflurane exposure was also terminated). In the first part of the study, the ITL
of each group was designated to be 5, 13, and 21 min. In the second part of the
study, the ITL is designated to 4 min; however, continued isoflurane was used to
make 5, 13, and 21 min isoflurane exposure for each group. Percentages of brain
infarction were assessed at 48 h following surgery. Motor deficits were accessed
by Rotarod test. Marked brain infarction was observed in the 5-min ITL group and
a decrease of brain infarction observed in the 13-and 21-min groups (P<0.05). In
the second part of the study, marked brain infarction was observed in the 5-min
isoflurane exposure group, and a decrease of brain infarction was observed in
each of the 13- and 21-min isoflurane exposure groups (P<0.05). Similar
tendencies were observed in Rotarod tests than 5-min ITL and 5-min isoflurane
groups showed more marked deficits (P<0.05). This study demonstrated that brain
infarction inconsistency of the neonatal hypoxia-ischemia rat pup model is
related to the operation time. The observed time-dependent decrease of brain
infarction is correlated to the isoflurane exposure time. Shorter operation and
isoflurane exposure improves this model consistency of brain infarction and motor
deficits.
PMID- 21892365
TI - Variables Affecting the Gingival Embrasure Space in Aesthetically Important
Regions: Differences between Central and Lateral Papillae.
AB - This study evaluated different variables to determine their role in the
appearance of the central and lateral upper jaw papillae. 292 interdental
embrasures were examined. Personal variables were: age, smoke, and use of
interproximal hygiene devices. The clinical characteristics were: tooth shape,
periodontal biotype and papilla appearance. Radiographic measurements were: root
to root distance at the cemento-enamel junction (horizontal distance), and bone
crest to interdental contact point distance (vertical distance). The papilla
recession increased with patient age. The horizontal distance of the central
papilla was always greater (up to 1 mm) than that of the other papillae. The
vertical distance of the central papilla was greater (up to 2 mm) than that of
the other papillae for each class except for the normal one (Nordland & Tarnow
classification). For vertical distances <=5 mm, papillae were almost always
present; for distances up to 6 mm, the lateral papillae belonging to the normal
class disappeared, while the central papilla remained in 11% of cases; central
papillae of class 1 were present in larger proportions until a vertical distance
of 8 mm. The present observational study shows that differences on clinical and
radiographic determinants do exist between central and lateral papillae. This
variance should be strictly taken in account for a harmonious and stable
treatment outcome on this highly aesthetic area.
PMID- 21892366
TI - Oral characteristics of trisomy 8 and monosomy 18: a case report.
AB - Several reports described various mosaic chromosomal syndromes characterized by
alterations originated by either an excess or deficit in the number of
chromosomes. A case of mosaic trisomy 8 and monosomy 18 with significant
involvement of the oral cavity is described, both in terms of general medicine
and from a dental-oral perspective, and the treatment plan was planned and
discussed.Regular follow-up visits enabled to verify significant improvement in
all parameters of the patient's oral health, which urged us to press on with our
quest to protect the right to health of patients affected by disabilities.
PMID- 21892367
TI - Subpathotypes of Avian Pathogenic Escherichia coli (APEC) Exist as Defined by
their Syndromes and Virulence Traits.
AB - Avian pathogenic Escherichia coli (APEC) strains cause different types of
systemic extraintestinal infections in poultry, collectively termed
colibacillosis, which can cause significant economic losses in the poultry
industry. To date, there have been no descriptions of genes or characteristics
that allow for the classification of avian strains pathotypes responsible for
causing specific diseases in their hosts. In this study we aimed to characterize
avian E. coli strains representing 4 groups, including one of commensal strains
(AFEC - Avian Fecal Escherichia coli) and 3 groups of APEC strains, where each
group is responsible for causing a different disease syndrome in their respective
hosts (septicemia, omphalitis and swollen head syndrome). We chose to examine
several biological characteristics of these strains including: adhesion to
eukaryotic cells, pathogenicity levels according to the lethal dose (50%) assay,
phylogenetic group and virulence gene profiles. The comparison of strains based
on these genotypic and phenotypic traits, using multivariate statisticals tools
and complex networks, allowed us to infer information about the population
structure of the studied groups. Our results indicate that APEC strains do not
constitute a unique homogeneous group, but rather a structured set of subgroups,
where each one is associated with a specific infectious syndrome which can
possibly be used to define pathotypes or subpathotypes within APEC strains. These
results offer new possibilities with which to study the genes responsible for
various pathogenetic processes within APEC strains, and for vaccine development.
It may be important to consider these subgroups when developing a vaccine in an
effort for obtain cross protection, which has not yet been successfully
accomplished when working with APEC strains.
PMID- 21892368
TI - Advances and developments in the use of human mesenchymal stem cells - a few
considerations.
AB - One less visited area in musculoskeletal stem cell research is the effects of
donor age on quality of stem cells. The prevalence of degenerative orthopaedic
conditions is large, and the older population is likely to receive great benefit
from stem cell therapies. There are many known growth factors involved in
controlling and influencing stem cell growth which are also related to cell
senescence. Of which, expressions are found to be altered in mesenchymal stem
cells from older donors. Considerations must also be taken of these mechanisms
which also have a role in cell cycle and tumour suppression.
PMID- 21892369
TI - Integration of medical images into the digital hospital.
PMID- 21892370
TI - Micro soft tissues visualization based on x-ray phase-contrast imaging.
AB - The current imaging methods have a limited ability to visualize microstructures
of biological soft tissues. Small lesions cannot be detected at the early stage
of the disease. Phase contrast imaging (PCI) is a novel non-invasive imaging
technique that can provide high contrast images of soft tissues by the use of X
ray phase shift. It is a new choice in terms of non-invasively revealing soft
tissue details. In this study, the lung and hepatic fibrosis models of mice and
rats were used to investigate the ability of PCI in microstructures observation
of soft tissues. Our results demonstrated that different liver fibrosis stages
could be distinguished non-invasively by PCI. The three-dimensional morphology of
a segment of blood vessel was constructed. Noteworthy, the blood clot inside the
vessel was visualized in three dimensions which provided a precise description of
vessel stenosis. Furthermore, the whole lung airways including the alveoli were
obtained. We had specifically highlighted its use in the visualization and
assessment of the alveoli. To our knowledge, this was the first time for non
invasive alveoli imaging using PCI. This finding may offer a new perspective on
the diagnosis of respiratory disease. All the results confirmed that PCI will be
a valuable tool in biological soft tissues imaging.
PMID- 21892371
TI - Automatic detection and classification of breast tumors in ultrasonic images
using texture and morphological features.
AB - Due to severe presence of speckle noise, poor image contrast and irregular lesion
shape, it is challenging to build a fully automatic detection and classification
system for breast ultrasonic images. In this paper, a novel and effective
computer-aided method including generation of a region of interest (ROI),
segmentation and classification of breast tumor is proposed without any manual
intervention. By incorporating local features of texture and position, a ROI is
firstly detected using a self-organizing map neural network. Then a modified
Normalized Cut approach considering the weighted neighborhood gray values is
proposed to partition the ROI into clusters and get the initial boundary. In
addition, a regional-fitting active contour model is used to adjust the few
inaccurate initial boundaries for the final segmentation. Finally, three textures
and five morphologic features are extracted from each breast tumor; whereby a
highly efficient Affinity Propagation clustering is used to fulfill the
malignancy and benign classification for an existing database without any
training process. The proposed system is validated by 132 cases (67 benignancies
and 65 malignancies) with its performance compared to traditional methods such as
level set segmentation, artificial neural network classifiers, and so forth.
Experiment results show that the proposed system, which needs no training
procedure or manual interference, performs best in detection and classification
of ultrasonic breast tumors, while having the lowest computation complexity.
PMID- 21892372
TI - The research of sequential images: rebuilding of gray (position) ~ time function
on direction lines and their applications.
AB - Contrasted with other information carriers, such as speech and text, images
contains larger amount of information, especially in sequential images, that is
waiting to be exploited, in particular the dynamic information of correlation,
difference, and temporal relationship between different frames. This dynamic
information contributes a great deal in analysis of 4D images. This paper
proposes a method for detecting dynamic information from sequential images, based
on the rebuilding of their gray (position)~time function on direction lines, an
approach that has been analyzed and studied extensively on the setting of various
direction lines. This method is based on motion that is presented on sequential
images. In particular, the method, Omni directional M-mode Echocardiography
system, which we have studied extensively, will be described leading to a robust
way of diagnosing heart diseases.
PMID- 21892373
TI - Application study of vascular interventional robotic mechanism for remote
steering.
AB - BACKGROUND: Recently, robotic systems have been introduced as a useful method for
surgical procedures. But in the field of vascular interventional therapy, the
development of robotic system is slower. OBJECTIVE: The purpose of the study is
to verify the reliability and safety of vascular interventional robotic system
used in angiography, by the way of in vitro preliminary experiments and animal
experiments. METHOD: The approach is to employ a proprietary vascular
interventional robot system to complete glass vessel models and animal angiogram
experiments. This robot system consists of a console port (remote steering
system), an assistant port (propelled and rotation system) and a hydraulic fixing
device, upon which surgeons control remotely to make go forward and rotate in the
glass vessel models and animal vessels, on the 3D operation interface.
Consequently, the operation time and success rate are counted and evaluated.
RESULT: In the glass vessel model experiments, the Catheter can enter various
kinds of vessel models with inside diameter length greater than 3mm and angle
less than 90(o). In the animal (adult dogs) experiments, surgeons can accomplish
smoothly the angiogram of the renal artery, the vertebral renal and the arteria
carotis communis, without any complications of surgery. CONCLUSION: The angiogram
by using vascular interventional robot system is safe and reliable. Surgeons can
finish the angiogram in part by remote operation, and the result of angiogram can
meet a number of simple expectations. However without wire control and force
feedback systems, the applicability of this kind of robot system is not flexible
enough and need to be improved in the future.
PMID- 21892375
TI - Autism and metabolic cytopathy.
AB - LETTER TO THE EDITOR: Autism is a wide spectrum disorder and a lot of factors
play role in the etiology. Autism may accompany some genetic disorders such as
fragile X, tuberosclerosis, neurofibromatosis and phenylketonuria [1]. However,
the absence of sufficient evidence on the etiological roles of environmental,
neuroanatomical and biochemical factors has shifted the direction of research to
genetics and cytology [2].
PMID- 21892374
TI - Prototypes for content-based image retrieval in clinical practice.
AB - Content-based image retrieval (CBIR) has been proposed as key technology for
computer-aided diagnostics (CAD). This paper reviews the state of the art and
future challenges in CBIR for CAD applied to clinical practice.We define
applicability to clinical practice by having recently demonstrated the CBIR
system on one of the CAD demonstration workshops held at international
conferences, such as SPIE Medical Imaging, CARS, SIIM, RSNA, and IEEE ISBI. From
2009 to 2011, the programs of CADdemo@CARS and the CAD Demonstration Workshop at
SPIE Medical Imaging were sought for the key word "retrieval" in the title. The
systems identified were analyzed and compared according to the hierarchy of gaps
for CBIR systems.In total, 70 software demonstrations were analyzed. 5 systems
were identified meeting the criterions. The fields of application are (i) bone
age assessment, (ii) bone fractures, (iii) interstitial lung diseases, and (iv)
mammography. Bridging the particular gaps of semantics, feature extraction,
feature structure, and evaluation have been addressed most frequently.In specific
application domains, CBIR technology is available for clinical practice. While
system development has mainly focused on bridging content and feature gaps,
performance and usability have become increasingly important. The evaluation must
be based on a larger set of reference data, and workflow integration must be
achieved before CBIR-CAD is really established in clinical practice.
PMID- 21892376
TI - Normal Range of CD4 Cell Counts and Temporal Changes in Two HIVNegative Malawian
Populations.
AB - Longitudinal studies were carried out to determine trends in CD4 cell counts over
a four year period in healthy HIV-negative adults in a rural (134 individuals)
and an urban (80 individuals) site in Malawi, using TruCountTM and FACScountTM
platforms. At baseline, median counts and 95% ranges were 890 (359-1954) cells
per microlitre (MUl) and 725 (114-1074) cells/MUl respectively. 1.5% and 6%
respectively had baseline counts below 350 cells/MUl and 1.5% and 2.5% below 250
cells per MUl. Transient dips to below 250 cells/MUl were observed in seven
individuals, with two individuals having persistently low CD4 counts over more
than one year. Women and individuals from the urban site were significantly more
likely to have "low CD4 count" (< 500 cells/MUl) even when adjusted for other
factors. In common with neighbouring countries, HIV-negative populations in
Malawi have CD4 counts considerably lower than European reference ranges, and
healthy individuals may have persistently or transiently low counts. Within
Malawi, ranges differ according to the selected population.
PMID- 21892377
TI - Erosion in the Healthcare Safety Net: Impacts on Different Population Groups.
AB - Safety net hospitals (SNHs) have played a critical role in the U.S. health system
providing access to health care for vulnerable populations, in particular the
Medicaid and uninsured populations. However, little research has examined how
access for these populations changes when contraction of the safety net occurs.
Institutional policies, such as hospital closure or ownership conversion, could
affect the supply of minority health care providers, thus exacerbating
disparities in outcomes. We use multilevel logistic modeling of person-level
hospital discharge data to examine the effects of contractions in the California
safety net over the period of 1990-2000 on access to care as measured by changes
in ambulatory care sensitive condition (ACSC) admissions, using geographic
methods to characterize proximity to a contraction event. We found that presence
of a contraction event was associated with a statistically significant increase
in the predicted probability of impeded access, with an increase of about 1% for
Medicaid-insured populations and about 4-5% for the uninsured. The Medicaid
insured group also maintained the highest rates of ACSC admissions over time,
suggesting persistent access problems for this vulnerable group. This research is
timely given continued budget problems in many states, where rising unemployment
has increased the number of Medicaid enrollees by 6 million and uninsured
individuals by 1.5 million, increasing pressure on remaining SNHs.
PMID- 21892378
TI - Stem Cell Transplantation for Hematological Malignancies: Prospects for
Personalized Medicine and Co-therapy with Mesenchymal Stem Cells.
AB - Bone marrow transplantation is a form of cell therapy that has been in practice
for decades for the treatment of hematological disorders and solid tumors.
Immunosuppressive therapy has been a mainstay for treatment, but the severity of
the adverse effects has made it an undesirable choice. Mesenchymal stem cells
(MSCs), which reside in the vascular regions of the bone marrow, have been shown
to serve as cellular support for the hematopoietic stem cell (HSC) niche.
Furthermore, the immune suppressive properties of MSCs have been explored in the
treatment of inflammatory and autoimmune disorders. Thus, co-therapy with MSCs
has been shown to facilitate engraftment of hematopoietic cells by suppressive
graft versus host disease (GvHD). Although the mechanism by which MSCs suppress
GvHD is unclear, the experimental evidence suggests that this partly occurs by
modulation of immune response such as the induction of regulatory T cells. This
paper discusses the role of MSCs as co-therapy for the future of stem cell
transplantation, with the overarching theme of personalized medicine for cell
based health interventions.
PMID- 21892380
TI - STRUCTURED, SPARSE REGRESSION WITH APPLICATION TO HIV DRUG RESISTANCE.
AB - We introduce a new version of forward stepwise regression. Our modification finds
solutions to regression problems where the selected predictors appear in a
structured pattern, with respect to a predefined distance measure over the
candidate predictors. Our method is motivated by the problem of predicting HIV-1
drug resistance from protein sequences. We find that our method improves the
interpretability of drug resistance while producing comparable predictive
accuracy to standard methods. We also demonstrate our method in a simulation
study and present some theoretical results and connection.
PMID- 21892381
TI - A joint model of longitudinal and competing risks survival data with
heterogeneous random effects and outlying longitudinal measurements.
AB - This article proposes a joint model for longitudinal measurements and competing
risks survival data. The model consists of a linear mixed effects sub-model with
t-distributed measurement errors for the longitudinal outcome, a proportional
cause-specific hazards frailty sub-model for the survival outcome, and a
regression sub-model for the variance-covariance matrix of the multivariate
latent random effects based on a modified Cholesky decomposition. A Bayesian MCMC
procedure is developed for parameter estimation and inference. Our method is
insensitive to outlying longitudinal measurements in the presence of non
ignorable missing data due to dropout. Moreover, by modeling the variance
covariance matrix of the latent random effects, our model provides a useful
framework for handling high-dimensional heterogeneous random effects and testing
the homogeneous random effects assumption which is otherwise untestable in
commonly used joint models. Finally, our model enables analysis of a survival
outcome with intermittently measured time-dependent covariates and possibly
correlated competing risks and dependent censoring, as well as joint analysis of
the longitudinal and survival outcomes. Illustrations are given using a real data
set from a lung study and simulation.
PMID- 21892379
TI - Overview of Basic Mechanisms of Cardiac Arrhythmia.
PMID- 21892382
TI - SkinScan(c): A PORTABLE LIBRARY FOR MELANOMA DETECTION ON HANDHELD DEVICES.
AB - We have developed a portable library for automated detection of melanoma termed
SkinScan(c) that can be used on smartphones and other handheld devices. Compared
to desktop computers, embedded processors have limited processing speed, memory,
and power, but they have the advantage of portability and low cost. In this study
we explored the feasibility of running a sophisticated application for automated
skin cancer detection on an Apple iPhone 4. Our results demonstrate that the
proposed library with the advanced image processing and analysis algorithms has
excellent performance on handheld and desktop computers. Therefore, deployment of
smartphones as screening devices for skin cancer and other skin diseases can have
a significant impact on health care delivery in underserved and remote areas.
PMID- 21892383
TI - Impact of Absolute Stereochemistry on the Antiangiogenic and Antifungal
Activities of Itraconazole.
AB - Itraconazole is used clinically as an antifungal agent and has recently been
shown to possess antiangiogenic acitivity. Itraconazole has three chiral centers
that give rise to eight stereoisomers. The complete role of stereochemistry in
the two activities of itraconazole, however, has not been addressed adequately.
For the first time, all eight stereoisomers of itraconazole (1a-1h) have been
synthesized and evaluated for activity against human endothelial cell
proliferation and for antifungal activity against five fungal strains. Distinct
antiangiogenic and antifungal activity profiles of the trans- stereoisomers,
especially 1e and 1f, suggest different molecular mechanisms underlying the anti
angiogenic and anti-fungal activities of itraconazole.
PMID- 21892384
TI - In vitro Selection of DNA Aptamers to Glioblastoma Multiforme.
AB - Aptamer probes for specific recognition of glioblastoma multiforme were generated
using a repetitive and broad cell-SELEX-based procedure without negative
selection. The 454 sequencing technology was used to monitor SELEX, and
bioinformatics tools were used to identify aptamers from high throughput data. A
group of aptamers were generated that can bind to target cells specifically with
dissociation constants (K(d)) in the nanomolar range. Selected aptamers showed
high affinity to different types of glioblastoma cell lines, while showing little
or no affinity to other cancer cell lines. The aptamers generated in this study
have potential use in different applications, such as probes for diagnosis and
devices for targeted drug delivery, as well as tools for molecular marker
discovery for glioblastomas.
PMID- 21892385
TI - The Prevalence of Undetected Vertebral Fracture in Patients with Back Pain by
Dual-Energy X-ray Absorptiometry (DXA) of the Lateral Thoracic and Lumbar Spine.
AB - STUDY DESIGN: This is a prospective study. PURPOSE: This study is conducted to
determine the prevalence of unrecognized vertebral fracture (VF) in patients who
present with back pain. OVERVIEW OF LITERATURE: VF is often unrecognized, and
significantly increases the risk of further fractures. Unfortunately, the
patients at a high risk for VF usually do not receive adequate therapy to reduce
the fracture risk. METHODS: This is a prospective study of 344 patients who
presented with back pain from April 2008 to May 2009. The patients underwent dual
energy X-ray absorptiometry (DXA) evaluation and vertebral fracture assessment
from T4 to L4 using a hologic densitometer. RESULTS: Three hundred forty four of
386 patients who presented with back pain were included. Forty two patients were
excluded because of a prior history of VF or the lack of written consent. Most of
the patients were female (95.3%). The mean age of the patients was 58.21 +/-
11.74 years. According to the World Health Organization definition (based on the
T-score), 13.4% of the patients had normal lumbar spine bone mineral density
(BMD). 27.9% of them were osteopenic and 58.7% were osteoporotic. The overall
prevalence of VF, as established by lateral vertebral assessment, was 39% (n =
134). Moreover, 62.6% (n = 84) of the patients with VF had more than one fracture
and 64.1% (n = 86) of them had Grade 2 or 3 fracture. CONCLUSIONS: We recommend
performing not only DXA scanning for BMD evaluation, but also VFA by DXA in old
patients with back pain.
PMID- 21892386
TI - Posterior direct decompression and fusion of the lower thoracic and lumbar
fractures with neurological deficit.
AB - STUDY DESIGN: A retrospective study. PURPOSE: To analyze the treatment outcome of
patients with lower thoracic and lumbar fractures combined with neurological
deficits. OVERVIEW OF LITERATURE: Although various methods of the surgical
treatment for lower thoracic and lumbar fractures are used, there has been no
surgical treatment established as a superior option than others. METHODS: Between
March 2001 and August 2009, this study enrolled 13 patients with lower thoracic
and lumbar fractures who underwent spinal canal decompression by removing
posteriorly displaced bony fragments via the posterior approach and who followed
up for more than a year. We analyzed the difference between the preoperative and
postoperative extents of canal encroachment, degrees of neurologic deficits and
changes in the local kyphotic angle. RESULTS: The average age of the patients was
37 years. There were 10 patients with unstable burst factures and 3 patients with
translational injuries. Canal encroachment improved from preoperative average of
84% to 9% postoperatively. Local kyphosis also improved from 20.5 degrees to 1.5
degrees . In 92% (12/13) of the patients, neurologic deficit improved more than
Frankel grade 1 and an average improvement of 1.7 grade was observed.
Deterioration of neurologic symptoms was not observed. Although some loss of
reduction of kyphotic deformity was observed at the final follow-up, serious
complications were not observed. CONCLUSIONS: When posteriorly displaced bony
fragments were removed by the posterior approach, neurological recovery could be
facilitated by adequate decompression without serious complications. The
posterior direct decompression could be used as one of treatments for lower
thoracic and lumbar fractures combined with neurologic injuries.
PMID- 21892387
TI - The use of titanium mesh cages in the reconstruction of anterior column defects
in active spinal infections: can we rest the crest?
AB - STUDY DESIGN: Retrospective clinical series. PURPOSE: To assess whether titanium
cages are an effective alternative to tricortical iliac crest bone graft for
anterior column reconstruction in patients with active pyogenic and tuberculous
spondylodiscitis. OVERVIEW OF LITERATURE: The use of metal cages for anterior
column reconstruction in patients with active spinal infections, though
described, is not without controversy. METHODS: Seventy patients with either
tuberculous or pyogenic vertebral osteomyelitis underwent a single staged
anterior debridement, reconstruction of the anterior column with titanium mesh
cage and adjuvant posterior instrumentation. The lumbar spine was the predominant
level of involvement. Medical co-morbidities were seen in 18 (25.7%) patients. A
significant neurological deficit was seen in 32 (45.7%) patients. At follow up
patients were assessed for healing of disease, bony fuson, and clinical outcome
was assessed using Macnab's criteria. RESULTS: Final follow up was done on 64
(91.4%) patients at a mean average of 25 months (range, 12 to 110 months).
Pathologic organisms could be identified in 42 (60%) patients. Forty two (60%)
patients had histopathological findings consistent with tuberculosis. Thirty of
32 (93.7%) patients showed neurological recovery. The surgical wound healed
uneventfully in 67 (95.7%) patients. Bony fusion was seen in 60 (93.7%) patients.
At final follow up healing of infection was seen in all patients. As per Macnab's
criteria 61 (95.3%) patients reported a good to excellent outcome. CONCLUSIONS:
Inspite of the theoretical risks, titanium cages are a suitable alternative to
autologous tricortical iliac crest bone graft in patients with active spinal
infections.
PMID- 21892388
TI - Efficacy of unipedicular baloon kyphoplasty for treatment of multiple myeloma
vertebral lesions.
AB - STUDY DESIGN: A retrospective cohort study. PURPOSE: To analyze differences in
between the unipedicular vs. bipedicular balloon kyphoplasty for the treatment of
multiple myeloma lesions. OVERVIEW OF LITERATURE: Both vertebroplasty and
kyphoplasty are reported to be effective for the treatment of vertebral
compression fractures in multiple myeloma patients. Kyphoplasty is often
performed with a bipedicular approach while vertebroplasty with a monopedicular
approach. Monopedicular kyphoplasty is investigated as a viable surgical
technique alternatively in comparison with the bipedicular method. METHODS: We
performed 37 vertebral body augmentation procedures, 18 vertebroplasty (group A)
and 19 kyphoplasty, 9 unipedicular approaches (group B1) and 10 bipedicular
approaches (group B2), on 14 patients affected by multiple myeloma with a mean
clinical and radiographic follow up of more than 12 months. RESULTS: Both
kyphoplasty techniques lead to a better postoperative improvement of the
vertebral height and kyphotic deformity if compared with the vertebroplasty, with
a statistical significance for the body height restoration only (p = 0.0066). The
unipedicular and the bipedicular kyphoplasty have similar results in term of
kyphotic deformity correction and height restoration. The 85.7% (12/14) of the
patients had an immediate improvement of the pain and no difference between the
vertebroplasty and kyphoplasty groups were observed regarding the pain. We
observed a 24.3% of cement leakage in all groups with no clinical symptoms and
noticed that the risk of extravasations was higher in multilevel treatment, in
bipedicular kyphoplasty procedures and in patients not treated previously with a
bone marrow transplant. CONCLUSIONS: Both vertebroplasty and kyphoplasty are
effective in treating vertebral compression fracture due to multiple myeloma.
Unipedicular kyphoplasty could give equivalent results as with bipedicular
kyphoplasty in multilevel disease, aiming only to restore the sagittal alignment
of the spine and the height of the vertebral body especially at the thoracolumbar
spinal segment.
PMID- 21892389
TI - Comparative study of clinical outcomes of anterior cervical discectomy and fusion
using autobone graft or cage with bone substitute.
AB - STUDY DESIGN: A retrospective study. PURPOSE: To compare the clinical and
radiological outcomes of autogenous bone graft and cage with bone substitute for
anterior cervical discectomy and fusion. OVERVIEW OF LITERATURE: The clinical
outcomes of cage with bone substitute for anterior cervical discectomy and fusion
is satisfactory. METHODS: Eighty four patients who underwent cervical spine
surgery between February 2004 and April 2009 were included. Fifty-nine patients
were approached anteriorly and underwent anterior cervical discectomy and fusion
by the Smith-Robinson method (Group A), and 25 patients underwent fusion by
decompression of the cervical spine and cage with bone substitute (Group B). We
measured and evaluated the postoperative period until patients were able to
ambulate, for pre- and postoperative symptomatic improvement, postoperative
complications, pre- and postoperative change of lordosis, degree of endplate
collapse or subsidence, and fusion rate and period of union. RESULTS: By
Robinson's criteria, respectively 45, 10 and 4 patients in Group A experienced
excellent, good and fair symptomatic improvement, and respectively 19, 5 and 1
patients in Group B experienced excellent, good and fair symptomatic improvement.
The postoperative period in which patients became ambulant and the period of
hospital stay was significantly shorter in Group B. Increase of lordosis at final
follow up after surgery was significantly larger in Group A, as was the fusion
period. Significantly more endplate collapse occurred in Group B. CONCLUSIONS: Of
patients who had anterior cervical discectomy and fusion, results of both groups
were both satisfactory.
PMID- 21892390
TI - A levering technique for open reduction of traumatic unilateral locked facets of
cervical spine: technical note.
AB - Reduction of traumatic unilateral locked facets of the cervical spine can be
accomplished by closed or open means. If closed reduction is unsuccessful, then
open reduction is indicated. The previously described techniques of open
reduction of a unilateral locked facets of the cervical spine in the literature
included drilling facet, forceful manipulation or using special equipment. We
describe a reduction technique that uses a basic spinal curette, in a forceless
manner, and it does not need facet drilling. We have successfully used this
technique in 5 consecutive patients with unilateral locked facets. There have
been no complications related to this technique.
PMID- 21892391
TI - Risk factors of new compression fractures in adjacent vertebrae after
percutaneous vertebroplasty.
AB - STUDY DESIGN: Retrospective study. PURPOSE: To evaluate risk factors related to
the development of new fractures in adjacent vertebrae after percutaneous
vertebroplasty. OVERVIEW OF LITERATURE: Recent reports indicate that undue
numbers of new fractures in adjacent vertebral bodies occur after percutaneous
vertebroplasty. METHODS: One hundred four of 369 patients who underwent
percutaneous vertebroplasty were followed for over 1 year. Fifty-four patients
(51.9%) subsequently suffered from adjacent vertebral fractures. Age, lumbar
lordotic angle, sacral slope, pelvic tilt, pelvic incidence, bone mineral
density, amounts of cement injected, the restoration of vertebral height,
kyphotic angle differences preexisting fracture, and intradiscal cement leakage
were noted. RESULTS: Average bone mineral density was -3.52 in the fracture group
and -2.91 in the fracture-free group; the risk of adjacent vertebral fracture
increased as bone mineral density decreased (p < 0.05). Intradiscal cement
leakage occurred in 18 patients (33.3%) in the fracture group, indicating that
the risk of adjacent vertebral fracture increased with intradiscal cement
leakage. In addition, 36 patients (66.7%) in the fracture group had a pre
existing fracture; thus, the presence of a preexisting fracture was found to be
significantly associated with an increased risk of an adjacent vertebral fracture
(p < 0.05). Higher restoration rates are associated with a greater likelihood of
developing adjacent vertebral fractures (p < 0.05). CONCLUSIONS: The factors
found to contribute significantly to new fractures in adjacent vertebral bodies
after percutaneous vertebroplasty were a lower bone mineral density, a greater
restoration rate of vertebral height, a pre-existing fracture, and intradiscal
cement leakage.
PMID- 21892392
TI - Successful Bone Union Following Calcium Phosphate Cement-Assisted Percutaneous
Transpedicular Balloon Kyphoplasty of a Large Interbody Cleft on Long-term
Hemodialysis Patient.
AB - A 68-year-old diabetic man, who had been on dialysis for 3 years, suffered a five
week history of severe back pain that was unresponsive to bed rest, analgesics,
and bracing. The vertebral cleft formed by an injury gradually increased in size
on sequential plain films. Hence, he underwent calcium phosphate cement-assisted
percutaneous transpedicular balloon kyphoplasty to treat a painful interbody
vacuum cleft. Immediate pain relief and firm bone union were obtained.
PMID- 21892393
TI - Primary Lumbo-sacral Spinal Epidural Non-Hodgkin's Lymphoma: A Case Report and
Review of Literature.
AB - We present a case of 24-year-old male presented with low back pain radiating to
the left lower limb, tingling numbness and weakness of 6 months duration.
Magnetic resonance imaging scan with contrast reveals an extradural mass at
lumbosacral region. Patient was operated with laminectomy and complete excision
of the lesion was done. Patient's radicular pain relieved following the surgery
and weakness also improved. Histopathology was suggestive of non-Hodgkin's
lymphoma. Patient received chemotherapy which was followed by radiotherapy.
Primary Non-Hodgkin's lymphoma of the lumbosacral spinal epidural tissue is an
uncommon lesion. Lymphoma involves the central nervous system in 5-11% of cases
either at presentation of the disease or during its course. The spinal epidural
tissue is involved primarily in 0.1-3.3% of cases with spinal cord compression
being the commonest presentation. Excision of the lesion followed by chemotherapy
and radiotherapy is required to achieve cure.
PMID- 21892394
TI - Hemorrhagic facet cyst in the lumbar spine causing contralateral leg symptoms: a
case report.
AB - Here we present a case of hemorrhagic lumbar facet cyst presenting with
progressive radiculopathy only on the contralateral side. If a patient has
previous back pain or neuropathy for several months and then suddenly
deteriorates, hemorrhagic facet cyst of the lumbar spine should be part of the
differential diagnosis. However, as in the present case, we should be aware that
there is a possibility of a contralateral lesion.
PMID- 21892395
TI - Selected abstracts from the annual meeting of the scandinavian society of
periodontology, bergen, june 9-12 2011.
PMID- 21892396
TI - Thromboxane A2 synthetase inhibitor plus low dose aspirin : can it be a salvage
treatment in acute stroke beyond thrombolytic time window.
AB - OBJECTIVE: There is no proven regimen to reduce the severity of stroke in
patients with acute cerebral infarction presenting beyond the thrombolytic time
window. Ozagrel sodium, a selective thromboxane A2 synthetase inhibitor, has been
known to suppress the development of infarction. The antiplatelet effect is
improved when aspirin is used together with a thromboxane synthetase inhibitor.
METHODS: Patients with non-cardiogenic acute ischemic stroke who were not
eligible for thrombolysis were randomly assigned to two groups; one group
received ozagrel sodium plus 100 mg of aspirin (group 1, n=43) and the other 100
mg of aspirin alone (group 2, n=43). Demographic data, cardiovascular risk
factors, initial stroke severity [National Institute of Health Stroke Scale
(NIHSS) and motor strength scale] and stroke subtypes were analyzed in each
group. Clinical outcomes were analyzed by NIHSS and motor strength scale at 14
days after the onset of stroke. RESULTS: There were no significant differences in
the mean age, gender proportion, the prevalence of cardiovascular risk factors,
stroke subtypes, and baseline neurological severity between the two groups.
However, the clinical outcome for group 1 was much better at 14 days after the
onset of stroke compared to group 2 (NIHSS score, p=0.007, Motor strength scale
score, p<0.001). There was one case of hemorrhagic transformation in group 1, but
there was no statistically significant difference in bleeding tendency between
two groups. CONCLUSION: In this preliminary study, thromboxane A2 synthetase
inhibitor plus a low dose of aspirin seems to be safe and has a favorable outcome
compared to aspirin alone in patients with acute ischemic stroke who presented
beyond the thrombolytic time window.
PMID- 21892397
TI - Clinical features of acute subdural hematomas caused by ruptured intracranial
aneurysms.
AB - OBJECTIVE: Spontaneous acute subdural hematomas (aSDH) secondary to ruptured
intracranial aneurysms are rarely reported. This report reviews the clinical
features, diagnostic modalities, treatments, and outcomes of this unusual and
often fatal condition. METHODS: We performed a database search for all cases of
intracranial aneurysms treated at our hospital between 2005 and 2010. Patients
with ruptured intracranial aneurysms who presented with aSDH on initial computed
tomography (CT) were selected for inclusion. The clinical conditions, radiologic
findings, treatments, and outcomes were assessed. RESULTS: A total of 551
patients were treated for ruptured intracranial aneurysms during the review
period. We selected 23 patients (4.2%) who presented with spontaneous aSDH on
initial CT. Ruptured aneurysms were detected on initial 3D-CT angiography in all
cases. All ruptured aneurysms were located in the anterior portion of the circle
of Willis. The World Federation of Neurosurgical Societies grade on admission was
V in 17 cases (73.9%). Immediate decompressive craniotomy was performed 22 cases
(95.7%). Obliteration of the ruptured aneurysm was achieved in all cases. The
Glasgow outcome scales for the cases were good recovery in 5 cases (21.7%),
moderate disability to vegetative in 7 cases (30.4%), and death in 11 cases
(47.8%). CONCLUSION: Spontaneous aSDH caused by a ruptured intracranial aneurysm
is rare pattern of aneurysmal subarachnoid hemorrhage. For early detection of
aneurysm, 3D-CT angiography is useful. Early decompression with obliteration of
the aneurysm is recommended. Outcomes were correlated with the clinical grade and
CT findings on admission.
PMID- 21892398
TI - Predictable factors for dural tears in lumbar burst fractures with vertical
laminar fractures.
AB - OBJECTIVE: The purpose of the present study was to determine the incidence of
dural tears and predictable factors suggesting dural tears in patients who had
lumbar burst fractures with vertical laminar fractures. METHODS: A retrospective
review was done on thirty-one patients who underwent operative treatment for
lumbar burst fractures with vertical laminar fractures between January 2003 and
December 2008. All patients were divided into two groups according to existence
of dural tears, which were surgically confirmed; 21 patients with dural tears and
10 patients without dural tears. Clinical and radiographic findings were analyzed
for their association with dural tears. RESULTS: Among a total of 31 patients,
dural tears were detected in 21 (67%) patients. A preoperative neurological
deficits and mean separation distances of the edges in laminar fractures were
found to be the reliable factors of dural tears (p=0.001 and 0.002,
respectively). Decreased ratio of the central canal diameter and interpedicular
distance were also the reliable factors suggesting dural tears (p=0.006 and
0.015, respectively). However, dural tears showed no significant association with
age, sex, level of injury, absence of a posterior fat pad signal, the angle of
retropulsed segment, or site of laminar fracture. CONCLUSION: Our study of lumbar
burst fracture combined laminar fracture revealed that dural tears should be
ruled out in cases of a preoperative neurological deficits, wide separation of
the laminar fracture, severe canal encroachment, and wider interpedicular
distance.
PMID- 21892399
TI - Clinical outcomes of halo-vest immobilization and surgical fusion of odontoid
fractures.
AB - OBJECTIVE: In the present study, authors retrospectively reviewed the clinical
outcomes of halo-vest immobilization (HVI) versus surgical fixation in patients
with odontoid fracture after either non-surgical treatment (HVI) or with surgical
fixation. METHODS: From April 1997 to December 2008, we treated a total of 60
patients with upper cervical spine injuries. This study included 31 (51.7%)
patients (22 men, 9 women; mean age, 39.3 years) with types II and III odontoid
process fractures. The average follow-up was 25.1 months. We reviewed digital
radiographs and analyzed images according to type of injury and treatment
outcomes, following conservative treatment with HVI and surgical management with
screw fixation. RESULTS: There were a total of 31 cases of types II and III
odontoid process fractures (21 odontoid type II fractures, 10 type III
fractures). Fifteen patients underwent HVI (10 type II fractures, 5 type III
fractures). Nine (60%) out of 15 patients who underwent HVI experienced
successful healing of odontoid fractures. The mean period for bone healing was
20.2 weeks. Sixteen patients underwent surgery including anterior screw fixation
(6 cases), posterior C1-2 screw fixation (8), and transarticular screw fixation
(2) for healing the odontoid fractures (11 type II fractures, 5 type III
fractures). Fifteen (93.8%) out of 16 patients who underwent surgery achieved
healing of cervical fractures. The average bone healing time was 17.6 weeks.
CONCLUSION: The overall healing rate was 60% after HVI and 93.8% with surgical
management. Patients treated with surgery showed a higher fusion rate and shorter
bony healing time than patients who received HVI. However, prospective studies
are needed in the future to define better optimal treatment and cost-effective
perspective for the treatment of odontoid fractures.
PMID- 21892400
TI - Indocyanine green videoangiography for confirmation of bypass graft patency.
AB - OBJECTIVE: The aim of the study is to determine the efficacy of indocyanine green
(ICG) videoangiography for confirmation of vascular anastomosis patency in both
extracranial-intracranial and intracranial-intracranial bypasses. METHODS:
Intraoperative ICG videoangiography was used as a surgical adjunct for 56
bypasses in 47 patients to assay the patency of intracranial vascular
anastomosis. These patients underwent a bypass for cerebral ischemia in 31
instances and as an adjunct to intracranial aneurysm surgery in 25. After
completion of the bypass, ICG was administered to assess the patency of the
graft. The findings on ICG videoangiography were then compared to intraoperative
and/or postoperative imaging. RESULTS: ICG provided an excellent visualization of
all cerebral arteries and grafts at the time of surgery. Four grafts were
determined to be suboptimal and were revised at the time of surgery. Findings on
ICG videoangiography correlated with intraoperative and/or postoperative imaging.
CONCLUSION: ICG videoangiography is rapid, effective, and reliable in determining
the intraoperative patency of bypass grafts. It provides intraoperative
information allowing revision to reduce the incidence of technical errors that
may lead to early graft thrombosis.
PMID- 21892401
TI - Morphometric study of the upper thoracic sympathetic Ganglia.
AB - OBJECTIVE: Morphometric data for the sympathetic ganglia (SG) of the upper
thoracic spine was investigated to identify the exact location of the SG in order
to reduce normal tissue injury in the thoracic cavity during thoracoscopic
sympathectomy. METHODS: In 46 specimens from 23 formalin-fixed adult cadavers,
the authors measured the shortest distance from the medial margin of the T1, T2
and T3 SG to the most prominent point and medial margin of the corresponding rib
heads, and to the lateral margin of the longus colli muscle. In addition, the
distance between the most prominent point of the rib head and the lateral margin
of longus colli muscle and the width of each SG were measured. RESULTS: The
shortest distance from the medial margin of the SG to the prominent point of
corresponding rib head was on average 1.9 mm on T1, 4.2 mm, and 4.1 mm on T2, T3.
The distance from the medial margin of the SG to the medial margin of the
corresponding rib head was 4.2 mm on T1, 5.9 mm, and 6.3 mm on T2, T3. The mean
distance from the medial margin of the SG to the lateral margin of the longus
colli muscle was 6.7 mm on T1, 8.8 mm, 9.9 and mm on T2, T3. The mean distance
between the prominent point of the rib head and the lateral margin of the longus
colli muscle was 4.8 mm on T1, 4.6 mm, and 5.9 mm on T2, T3. The mean width of SG
was 6.1 mm on T1, 4.1 mm, and 3.1 mm on T2, T3. CONCLUSION: We present
morphometric data to assist in surgical planning and the localization of the
upper thoracic SG during thoracoscopic sympathectomy.
PMID- 21892402
TI - The efficacy of scalene injection in thoracic outlet syndrome.
AB - OBJECTIVE: To evaluate the efficacy of scalene injection in patients with
thoracic outlet syndrome. METHODS: We selected 142 patients diagnosed with
thoracic outlet syndrome between January 2005 and October 2009. We performed a
series of scalene injection with conservative treatment in all cases. Patients
rated their pain degrees using a visual analogue scale. We also evaluated the
time to return to everyday life and work, and patients' functional capacity.
RESULTS: There were no complications or instances of inadvertent somatic or
sympathetic ganglionic blockade after scalene injection. Overall, 111 patients
(76.5%) experienced improved symptoms after the first set of scalene injection
and 128 patients (88.2%) improved after scalene injection followed by
conservative treatment. Of the 68 patients who returned to work during the study
period, 54 returned within 1 week, and 62 within 2 weeks. Of those who returned
to work, 61 reported nearly full functional capacity. We found that scalene
injection was more effective in cases of thoracic outlet syndrome related to
trauma than in those related to work-related repetitive stress. CONCLUSION: In
patients with thoracic outlet syndrome, scalene injection effectively reduces
pain. We recommend scalene injection as an adjunct to conservative treatment.
PMID- 21892403
TI - Significance of clopidogrel resistance related to the stent-assisted angioplasty
in patients with atherosclerotic cerebrovascular disease.
AB - OBJECTIVE: To evaluate the prevalence and risk factors of clopidogrel resistance,
and association between thromboembolic complications and clopidogrel resistance
in patient with stent-assisted angioplasty for atherosclerotic cerebrovascular
disease. METHODS: Between September 2006 and June 2008, clopidogrel resistance
test was performed on 41 patients who underwent stent-assisted angioplasty for
atherosclerotic cerebrovascular disease. It was performed before drug
administration and about 12 hours after drug administration (loading dose : 300
mg, maintain dose : 75 mg). Two patients were excluded, and 41 patients were
included (mean : 67.59+/-7.10 years, age range : 41-79). Among 41 patients, 18
patients had intracranial lesions, and 23 had extracranial lesions. We evaluated
the prevalence, risk factors and complications related to clopidogrel resistance.
RESULTS: Twenty-one patients (51.2%) showed clopidogrel resistance [intracranial
: 10 patients (55.6%), extracranial : 11 patients (47.8%)] and no clopidogrel
resistance was seen in 20 patients. Hypercholesterolemia was an indepedent risk
factor of clopidogrel resistance. Stent-assisted angioplasty was technically
successful in all patients, but acute in-stent thrombosis occurred in 5 patients
with intracranial lesions (4 patients with clopidogrel resistance and 1 without
clopidogrel resistance). Acute thrombi were completely lysed after intra-arterial
infusion of abciximab. CONCLUSION: There was relatively high prevalence of
clopidogrel resistance in patients with atherosclerotic cerebrovascular disease.
Hypercholesterolemia was an independent predictive factor of clopidogrel
resistance. Acute in-stent thrombosis was more frequently seen in the clopidogrel
resistant group. Therefore, clopidogrel resistance test should be performed to
avoid thromboembolic complications related to stent-assisted angioplasty for
atherosclerotic cerebrovascular disease, especially patients with
hypercholeterolemia and intracranial lesion.
PMID- 21892404
TI - Serious Blood-Brain Barrier Disruption after Coil Embolization of Unruptured
Intracranial Aneurysm : Report of Two Cases and Role of Immediate
Postembolization CT Scan.
AB - Abnormal contrast enhancement on brain computed tomography (CT) scan after
diagnostic or interventional angiography is not rare, and has known to be induced
by temporary blood-brain barrier (BBB) disruption from contrast media.
Furthermore, it has been regarded as clinically subtle, but reported to have no
symptom or mild transient symptoms. However, we recently experienced two cases of
serious BBB disruption during the acute period after coiling of an unruptured
intracranial aneurysm. One patient presented with an unruptured paraclinoid
internal carotid artery (ICA) aneurysm on the right and the other with an
unruptured right supraclinoid ICA aneurysm. Both patients showed similar findings
on immediate postembolization CT scan and clinical courses after coiling. Typical
radiological, clinical characteristics of BBB disruption were described. In
addition, the role of immediate postembolization CT scan are also discussed.
PMID- 21892406
TI - Medulloblastoma manifesting as sudden sensorineural hearing loss.
AB - We present a rare case of medulloblastoma which presented with unilateral sudden
sensorineural hearing loss as an initial symptom. A 19-year-old man was admitted
to our hospital with a chief complaint of dizziness and facial numbness on the
right side. His illness had begun two years previously with sudden hearing loss
on the right side, for which he had been treated as an idiopathic sudden hearing
loss. Magnetic resonance imaging demonstrated abnormal signals located mainly in
the right middle cerebellar peduncle. We performed partial resection of the tumor
by suboccipital craniotomy. The histopathological diagnosis was medulloblastoma.
Intrinsic brain tumor is an extremely rare cause of sudden sensorineural hearing
loss and is therefore easily overlooked as was in the present case. The present
case highlights not only the need to evaluate patients with sudden sensorineural
hearing loss by magnetic resonance imaging but also the importance of paying
attention to intrinsic lesions involving the brainstem. Although this condition
like the presented case might be rare, intrinsic brain tumor should be considered
as a potential cause of sudden sensorineural hearing loss, as it may be easily
missed leading to a delay in appropriate treatment.
PMID- 21892405
TI - Endovascular treatment using graft-stent for pseudoaneurysm of the cavernous
internal carotid artery.
AB - A 57-year-old man presented with a 2-day history of left oculomotor palsy.
Digital subtraction angiography revealed a pseudoaneurysm of the left cavernous
internal carotid artery (ICA) measuring 37*32 mm. The pseudoaneurysm was treated
with a balloon expandable graft-stent to occlude the aneurysmal neck and preserve
the parent artery. A post-procedure angiogram confirmed normal patency of the ICA
and complete sealing of the aneurysmal neck with no opacification of the sac.
After the procedure, the oculomotor palsy improved gradually, and had completely
resolved 3 months after the procedure. A graft-stent can be an effective
treatment for a pseudoaneurysm of the cavernous ICA with preservation of the
parent artery.
PMID- 21892407
TI - Foraminal synovial cyst associated with ankylosing spondylitis.
AB - Ankylosing spondylitis (AS) is frequently associated with inflammatory lesions of
the spine and continuous fatigue stress fractures; however, an association with
an intraspinal synovial cyst has not been previously reported. A 55-year-old man
with a five year history of AS who presented with back pain and a right
radiculopathy was admitted to the hospital. Five years previously, he underwent a
percutaneous vertebroplasty for an osteoporotic L1 compression fracture, and was
diagnosed with AS at that time. Plain radiographs showed aggravated kyphosis and
a stress fracture through the ossified posterior element, below the prior
vertebroplasty. Magnetic resonance images revealed a right foraminal cystic
lesion at the L2-L3 level with effacement of the nerve root. A 1.6 cm cystic
lesion that appeared to arise from the L2-L3 facet joint without direct
communication was excised from the L2-L3 foramen. Pathological examination
confirmed synovial cyst. The patient's symptoms resolved immediately after
surgery except for a mild dysesthesia of the right leg. We report herein a rare
case of foraminal synovial cyst associated with AS accompanying posterior element
fracture with a review of literature.
PMID- 21892408
TI - Primary extramedullary ependymoma of the cervical spine : case report and review
of the literature.
AB - Intradural extramedullary (IDEM) ependymomas occur very rarely and little has
been reported about their clinical characteristics. The authors present a case of
a 57-year-old woman with an IDEM ependymoma. She was referred for the evaluation
of a 4-month history of increasing neck pain and muscular weakness of the left
extremities. Magnetic resonance imaging (MRI) of the cervical spine demonstrated
an IDEM tumor with spinal cord compression. At the time of surgery, an
encapsulated IDEM tumor without a dural attachment or medullary infiltration was
noted, but the tumor capsule adherent to the spinal cord and root was left in
place to minimize the risk of neurological sequelae. Histologic examination
revealed a benign classic ependymoma. The post-operative course was uneventful
and radiotherapy was performed. The patient showed an excellent clinical
recovery, with no recurrence after 5 years of follow-up.
PMID- 21892409
TI - Unexpected seizure attack in a patient with spinal metastasis diagnosed as
posterior reversible encephalopathy syndrome.
AB - Seizure is a foreseeable risk in patients with brain lesion. However, seizure
during treating non-brain lesion is not a familiar situation to neurosurgeon.
Posterior reversible encephalopathy syndrome (PRES) is a relatively common
situation after systemic chemotherapy. The aim of this study is to make
neurosurgeons aware of this potential medical problem. A 52-year-old woman with
advanced gastric cancer, presented with low back pain due to spinal metastasis at
the 4th lumbar vertebra. Ten cycles of chemotherapy with FOLFOX (5
Fluoruracil/Oxaliplatin) had been completed 23 days ago. Two days before the
planned operation, a generalized tonic clonic seizure occurred. She did not have
a history of hypertension or seizure. The seizure was stopped with lorazepam 4mg.
The brain magnetic resonance (MR) imaging showed high signal changes in both
parieto-occipital lobes on the T2-weighted images, and these were partially
enhanced, suggesting PRES. The surgery was preceded by treatment with an
antiepileptic drug. The MR images, taken 1.5 months after the seizure, showed
that the lesion was no longer present. At 3 month follow-up, no additional
seizure attack occurred without any seizure medication. The possibility of a
seizure attack should be considered if the patient has a history of chemotherapy.
PMID- 21892410
TI - A case of broncho-paraspinal fistula induced by metallic devices: delayed
complication of thoracic spinal surgery.
AB - We present a case report of a 45-year-old woman with spontaneous pneumocephalus
accompanied by pneumorrhachis of the thoracic spine, which is a very rare
condition generally associated with trauma and thoracic or spinal surgery. The
patient had undergone an operation about 10 years earlier to treat a giant cell
tumor of the thoracic spine. During the operation, a metallic device was
installed, which destroyed the bronchus and caused the formation of a broncho
paraspinal fistula. This is the suspected cause of her pneumocephalus and
pneumorrhachis. To our knowledge, this is a very rare case of pneumocephalus
accompanied by pneumorrhachis induced by metallic device, and when considering
the length of time after surgery these complications presented are also
exceptional.
PMID- 21892411
TI - Rapid Atypical Progression of Neuro-Behcet's Disease Involving Whole Brainstem
and Bilateral Thalami.
AB - We present a case of Neuro-Behcet's disease with an unpredictable clinical
course. A 47-year-old man was admitted to the neurosurgery department of our
hospital with a mild headache. Three days after admission, his consciousness
suddenly decreased and respiratory distress progressed rapidly. A brain MRI
revealed that the previously observed abnormal signal had extended markedly to
both the thalamic areas and the entire brain stem, and the surrounding brain
parenchyma were compressed by cerebral edema. Based on the patient's symptoms of
recurrent oral and genital ulcers, skin lesions, and uveitis, a rheumatologist
made a diagnosis of Behcet's disease with CNS involvement. The patient was
treated with high-dose methylprednisolone with respiratory assistance in the
intensive care unit for 9 days and his neurologic symptoms improved remarkably.
Neuro-Behcet's disease must be considered in the differential diagnosis in
rapidly deteriorated young neurological patients along with a stroke, low-grade
glioma, multiple sclerosis, and occlusive venous disease.
PMID- 21892412
TI - Expression profiling and pathway analysis of Kruppel-like factor 4 in mouse
embryonic fibroblasts.
AB - BACKGROUND: Kruppel-like factor 4 (KLF4) is a zinc-finger transcription factor
with diverse regulatory functions in proliferation, differentiation, and
development. KLF4 also plays a role in inflammation, tumorigenesis, and
reprogramming of somatic cells to induced pluripotent stem (iPS) cells. To gain
insight into the mechanisms by which KLF4 regulates these processes, we conducted
DNA microarray analyses to identify differentially expressed genes in mouse
embryonic fibroblasts (MEFs) wild type and null for Klf4. METHODS: Expression
profiles of fibroblasts isolated from mouse embryos wild type or null for the
Klf4 alleles were examined by DNA microarrays. Differentially expressed genes
were subjected to the Database for Annotation, Visualization and Integrated
Discovery (DAVID). The microarray data were also interrogated with the Ingenuity
Pathway Analysis (IPA) and Gene Set Enrichment Analysis (GSEA) for pathway
identification. Results obtained from the microarray analysis were confirmed by
Western blotting for select genes with biological relevance to determine the
correlation between mRNA and protein levels. RESULTS: One hundred and sixty three
up-regulated and 88 down-regulated genes were identified that demonstrated a fold
change of at least 1.5 and a P-value < 0.05 in Klf4-null MEFs compared to wild
type MEFs. Many of the up-regulated genes in Klf4-null MEFs encode proto
oncogenes, growth factors, extracellular matrix, and cell cycle activators. In
contrast, genes encoding tumor suppressors and those involved in JAK-STAT
signaling pathways are down-regulated in Klf4-null MEFs. IPA and GSEA also
identified various pathways that are regulated by KLF4. Lastly, Western blotting
of select target genes confirmed the changes revealed by microarray data.
CONCLUSIONS: These data are not only consistent with previous functional studies
of KLF4's role in tumor suppression and somatic cell reprogramming, but also
revealed novel target genes that mediate KLF4's functions.
PMID- 21892413
TI - An Etiological Model for Myalgic Encephalomyelitis/Chronic Fatigue Syndrome.
AB - Kindling might represent a heuristic model for understanding the etiology of
Myalgic Encephalomyelitis/chronic fatigue syndrome (ME/CFS). Kindling occurs when
an organism is exposed repeatedly to an initially sub-threshold stimulus
resulting in hypersensitivity and spontaneous seizure-like activity. Among
patients with ME/CFS, chronically repeated low-intensity stimulation due to an
infectious illness might cause kindling of the limbic-hypothalamic-pituitary
axis. Kindling might also occur by high-intensity stimulation (e.g., brain
trauma) of the limbic-hypothalamic-pituitary axis. Once this system is charged or
kindled, it can sustain a high level of arousal with little or no external
stimulus and eventually this could lead to hypocortisolism. Seizure activity may
spread to adjacent structures of the limbic-hypothalamic-pituitary axis in the
brain, which might be responsible for the varied symptoms that occur among
patients with ME/CFS. In addition, kindling may also be responsible for high
levels of oxidative stress, which has been found in patients with ME/CFS.
PMID- 21892414
TI - Alzheimer's risk variants in the clusterin gene are associated with alternative
splicing.
AB - Genetic variation in CLU encoding clusterin has been associated with Alzheimer's
disease (AD) through replicated genome-wide studies, but the underlying
mechanisms remain unknown. Following earlier reports that tightly regulated CLU
alternative transcripts have different functions, we tested CLU single nucleotide
polymorphisms (SNPs) including those associated with AD for quantitative effects
on individual alternative transcripts. In 190 temporal lobe samples without
pathology we found that the risk allele of the AD associated SNP rs9331888
increases the relative abundance of transcript NM_203339 (P=4.3*10(-12)). Using
an independent set of 115 AD and control samples, we replicated this result
(p=0.0014) and further observed that multiple CLU transcripts are at higher
levels in AD compared to controls. The AD SNP rs9331888 is located in the first
exon of NM_203339 and therefore, it is a functional candidate for the observed
effects. We tested this hypothesis by in vitro dual luciferase assays using SK-N
SH cells and mouse primary cortical neurons and found allelic effects on enhancer
function, consistent with our results on post-mortem human brain. These results
suggest a biological mechanism for the genetic association of CLU with AD risk
and indicate that rs9331888 is one of the functional DNA variants underlying this
association.
PMID- 21892415
TI - Relationships among Shoplifting, Compulsive Buying, and Borderline Personality
Symptomatology.
PMID- 21892416
TI - The challenging and complex nature of primary health care.
PMID- 21892417
TI - Beyond the prescription pad.
PMID- 21892418
TI - Effectiveness of problem-solving treatment by general practice registrars for
patients with emotional symptoms.
AB - INTRODUCTION: In general practice many patients present with emotional symptoms.
Both patients and physicians desire effective non-pharmacological treatments.
AIM: To study the effectiveness of problem-solving treatment (PST) delivered by
trained general practice registrars (GP registrars) for patients with emotional
symptoms. METHODS: In a controlled clinical trial we compared the effectiveness
of PST versus usual care for patients with emotional symptoms. Dutch GP
registrars provided either PST or usual care, according to their own preference.
Patients were included if they (a) had presented for three or more consultations
with emotional symptoms in the past six months; and (b) scored four or more on
the 12-item General Health Questionnaire. Outcomes at three- and nine-month
follow-up were standard measures of depression, anxiety and quality of life.
RESULTS: Thirty-eight GP registrars provided PST and included 98 patients; 43
provided usual care and included 104 patients. PST patients improved
significantly more than usual care patients: at nine-month follow-up, recovery
rates for somatoform disorder and anxiety were higher in the PST group (OR 6.50,
p=0.01 respectively OR 11.25, p=0.03). PST patients had improved significantly
more on the domains social functioning, role limitation due to emotional problems
and general health perception. DISCUSSION: Patients with emotional symptoms
improved significantly more after PST delivered by motivated GP registrars than
after usual care by GP registrars. Further research, with randomisation of
interested registrars or interested GPs, is needed.
PMID- 21892419
TI - Online access and literacy in Maori New Zealanders with diabetes.
AB - INTRODUCTION: Online web-based interventions can be effective ancillary tools for
managing diabetes. There is a high prevalence of diabetes in New Zealand Maori,
and yet this group has generally been a low priority for web-based interventions
due to perceptions of low Internet access and Internet literacy. AIM: To assess
Internet access and literacy in New Zealanders with diabetes, especially high
risk Maori. METHODS: A telephone survey of all patients with diabetes in an urban
general practice. Internet access is assessed by Internet presence in the home,
and Internet literacy by the ability to use email and the World Wide Web.
RESULTS: One hundred percent response rate with 68 participants, including 38%
Maori. Internet access for Maori was 70% and Internet literacy 41%. DISCUSSION:
Internet access and literacy for Maori with diabetes may be higher than
previously thought. Health policies may wish to focus effective and cost
efficient web-based interventions on this high diabetes risk group.
PMID- 21892420
TI - New Zealand health disparities-pharmacists' knowledge gaps and training needs.
AB - INTRODUCTION: Reducing health disparities is a priority for the New Zealand (NZ)
Government and the health care sector and, although not mandatory until 2012,
Competence Standard 1 for NZ pharmacists has been revised to reflect this. AIM:
The main aim was to understand the information needs of pharmacists in the
context of reducing health inequalities in NZ through undertaking a baseline
study of pharmacists' knowledge of health status disparities, identifying
relevant resources most commonly read by pharmacists, soliciting ideas on how the
profession can contribute to reducing health disparities and gauging pharmacists'
willingness to undergo further training. METHOD: An anonymous, semi-structured
questionnaire was posted out to 500 randomly selected practising pharmacists
registered in NZ. RESULTS: A 27% response rate was achieved. In general,
responding pharmacists had good health disparity knowledge about asthma and the
prevalence of cardiovascular disease, but were less knowledgeable about
differences in life expectancies and some mortality rates. Responding pharmacists
made a variety of achievable suggestions where pharmacists can contribute to
decreasing health disparities. Eighty percent of respondents indicated they were
interested in learning more about cultural competence and health disparities in
their community. DISCUSSION: Our results indicate a need for pharmacists to up
skill in the area of health disparities and cultural competence and for more
effective promotion of the resources available to pharmacists to improve their
knowledge in this area. The pharmacists in this study appear willing to undertake
such study; however, due to the low response rate generalisations to all
pharmacists practising in New Zealand cannot be made.
PMID- 21892421
TI - Disposal practices for unused medications in New Zealand community pharmacies.
AB - INTRODUCTION: One of the recommended methods for households to dispose of unused
medications in many countries is to return them to community pharmacies. However,
such a practice will only reduce the environmental levels of pharmaceuticals if
the medications are also disposed of and destroyed properly by the pharmacies.
AIM: This study reports the results of a questionnaire sent to New Zealand
community pharmacists regarding disposal practices for unused or expired
medications in their workplaces. METHODS: A pre-tested, self-administered
questionnaire was sent to 500 randomly selected community pharmacies from all
areas of New Zealand. The participants were asked how they disposed of a variety
of medications. In addition, participants were also asked about whether they knew
how unused medications were destroyed if their pharmacy used a third-party
contractor or distributor to dispose of them. RESULTS: Of the 265 respondents,
80.4% and 61.1% respectively reported that solid and semi-solid medications were
removed by contractors. However liquid and Class B controlled drugs were
predominantly disposed of down the pharmacy sink. Over 60% of the participating
pharmacists indicated that they believed the contractors incinerated the
collected pharmaceutical waste, and over 90% of the participating pharmacists
indicated their wish for a state-run disposal and destruction system. DISCUSSION:
Liquid medications and Class B controlled drugs, which were commonly reported to
be disposed of down the sewage system, may increase the potential for
environmental pollution by pharmaceuticals in New Zealand. There is a need for
increased environmental awareness amongst community pharmacists in New Zealand.
PMID- 21892422
TI - Recruitment and retention of primary care patients into a research study
investigating medication adherence.
AB - INTRODUCTION: General practitioners (GPs) and patients are key sources of
information for investigating primary health care. However, recruiting these into
health care studies has been reported to be difficult. AIM: This study aimed to
determine the recruitment and retention rates of GP practices and patients into a
research project in the primary health care setting. METHODS: All general
practices in Dunedin, New Zealand, with three or more practitioners were invited
to participate in a study investigating medication adherence. In practices that
agreed to participate, 100 patients were recruited from waiting rooms and
followed up by telephone over six months. The main outcome measures included
recruitment rates of GPs and patients, the level of retention and loss to follow
up of patients over a six-month period, the drop out and reasons for this drop
out. RESULTS: Only two of the 15 practices agreed to participate. To recruit 100
patients, 203 people were approached. Reasons for not wanting to participate were
recorded where possible. Of those that agreed to participate, 86% of doctor
consultations resulted in a prescription and, of these prescriptions, 87% were
reported to be collected as prescribed. At the end of six months, 68 patients
still remained in the study. DISCUSSION: Patients were interested in being
involved in this type of study and were recruited at a rate of 82% and 56%
depending on the practice. After the initial drop out, most patients remained in
the study until the conclusion. Recruitment of general practices remains
challenging.
PMID- 21892423
TI - Exploring resilience in families living with addiction.
AB - INTRODUCTION: Information about the impact of addiction on New Zealand (NZ)
families is scarce. A good understanding of the nature and extent of family
problems is essential to help families become more resilient and minimise the
consequences. This study aimed to explore experiences of NZ families living with
addiction, identify impacts on non-addicted family members, their coping
strategies and barriers to help seeking. METHODS: Literature and key stakeholder
interviews informed the development of an interview schedule for 29 family
participants recruited through health and social services. Interviews were
recorded for analysis of central themes and critical elements that underpin
those. Key stakeholders and informal informants were again consulted to discuss
findings and interpretation. FINDINGS: Addiction has widespread effects on NZ
families. The coping strategies described by the participants in this project
lacked the positive connotations of resilience, namely positive adaptation under
significant adversity. CONCLUSION: Family impacts of addiction are complex, and
similar family problems arise regardless of the substance(s) involved. This small
exploratory study indicates that the implications for NZ families deserve further
investigation. Future research is also required to further characterise the
impact of behavioural addictions on families, addiction in particular ethnic
groupings and the implications of the findings for clinical practice, other
social and health services, and for public health and social policy.
PMID- 21892424
TI - The educational value of peer groups from a general practitioner perspective.
AB - INTRODUCTION: Peer review groups are compulsory for New Zealand (NZ) general
practitioners (GPs) but little is known about how they function. This study aimed
to understand the educational value of peer group meetings to general
practitioners and explore methods of increasing value. METHODS: A qualitative
study was undertaken comprising a single meeting involving 22 NZ GPs with an
interest in education and subsequent thematic analysis on the raw data. FINDINGS:
Respondents indicated a strong belief in the educational value of peer groups.
Pastoral care was also perceived as a valuable outcome of peer groups that was
somewhat separate from the educational value. It would appear that the majority
of peer groups work on the basis of internally driven contemporaneous learning
needs based on difficult work experiences. There was limited concern over the
wide interpretation of what constitutes acceptable topics for discussion as well
as the informal nature of training and structuring the meetings. DISCUSSION: The
interactive nature of peer group learning with subject matter of 'real life'
problems would suggest peer groups have the potential to make significant change
in the performance of doctors. A broad and differing range of experience in the
group is more likely to generate an educationally valuable environment. It would
appear that there may be a limited role for assisting peer groups with methods of
structuring content and increasing effectiveness.
PMID- 21892425
TI - Outcomes of an interdisciplinary rehabilitation programme for the management of
chronic low back pain.
AB - BACKGROUND AND CONTEXT: The health and economic costs associated with chronic low
back pain (CLBP) have increased substantially over the past few decades. Despite
extensive research, a consistently valid, reliable and effective diagnostic and
treatment regime for CLBP is yet to be determined. ASSESSMENT OF PROBLEM: This
paper presents an established interdisciplinary rehabilitation model for CLBP
initially developed by the Canadian Back Institute. An audit describes the
symptomatic, functional and vocational outcomes achieved for patients who
attended the programme over three years throughout a national network of primary
health care facilities in New Zealand. RESULTS: Over a three-year period, 899
patients with CLBP completed their rehabilitation programme at one of eight
affiliated clinics. Of the 899 patients discharged, 780 (86.8%) reported that
their back pain had gone or reduced at the end of their rehabilitation. There was
a statistically significant, and clinically relevant, improvement in both average
pain and subjective functional scores from baseline assessment levels to
discharge and follow-up scores (p<0.001). STRATEGIES FOR IMPROVEMENT: Previous
studies have shown that functional rehabilitation can improve outcomes in
individuals with CLBP. This audit provides further support for the development of
interdisciplinary functional rehabilitation programmes to help manage CLBP within
the community. LESSONS: The routine measurement of symptomatic, functional and
vocational outcomes throughout the rehabilitation process can assist in
quantifying the effect of treatment and providing evidence of value for patients,
stakeholders and funding groups.
PMID- 21892427
TI - Co-payments must go! No.
PMID- 21892426
TI - Co-payments must go! Yes.
PMID- 21892428
TI - Pacific SUDI and stillbirth prevention.
PMID- 21892429
TI - Ginger--Zingiber officinale Roscoe, Zingiberaceae.
PMID- 21892430
TI - Disclosure of genetic information to at-risk relatives: privacy law and
professional guidance in New Zealand.
PMID- 21892431
TI - Medicines adherence--evidence for any intervention is disappointing.
AB - Interventions to improve adherence with medicines have been studied for many
years. The outcomes, both for improved adherence and clinical indicators, have
not been as positive as expected or hoped for. Any improvement in adherence that
may occur has not necessarily translated to improved clinical outcomes. The
studies are heterogeneous, often of poor quality, with different outcomes and
measures of outcome, and with ill-defined interventions, such as a lack of
information on specific content of an 'educational' intervention. It appears that
interventions are very resource intensive with no cost-effectiveness studies.
More research is required into interventions to improve medicines adherence
before more health care funding is invested in labour-intensive interventions
that appear logical but are not supported by evidence from quality research.
PMID- 21892432
TI - Community pharmacy does not appear as part of the collaboration discourse within
New Zealand primary care.
PMID- 21892433
TI - An enhanced primary health care role following psychological trauma: the
Christchurch earthquakes.
AB - Following the February 2011 earthquake in Christchurch, New Zealand (NZ), the
authors participated in counselling local residents, and debriefing and
supervising support teams. Indications were that risk for mental health
disorders, including Post-Traumatic Stress Disorder (PTSD), may be elevated in
residents, and that this risk may continue for some time. Patients may be de
prioritising their mental health issues when these become normalised throughout
the city's population. The authors recommend that primary care patients are
assessed using a brief, comprehensive tool (for example, the Case-finding and
Help Assessment Tool) that targets many health and behavioural issues identified
as increasing in the city following the earthquake. Anxiety and mood disorder
symptoms may indicate assessment is appropriate to reduce harm arising from
increased risk for PTSD. Concern also is raised for primary health care providers
who may have experienced the trauma and additionally may be vicariously affected
by patients' reported trauma.
PMID- 21892434
TI - Response to the Back to back debate about the effects of shaking a baby, in the
absence of any impact trauma.
PMID- 21892435
TI - Response to the Back to back debate about the effects of shaking a baby, in the
absence of any impact trauma.
PMID- 21892436
TI - Response to the Back to back debate about the effects of shaking a baby, in the
absence of any impact trauma.
PMID- 21892437
TI - NZ Medical Council's position on the Four Principles.
PMID- 21892443
TI - Tunable full-color-emitting Ca3Sc2Si3O12:Ce3+, Mn2+ phosphor via charge
compensation and energy transfer.
AB - A tunable full-color-emitting Ca(3)Sc(2)Si(3)O(12):Ce(3+), Mn(2+)
(CSS:Ce(3+),Mn(2+)) phosphor is obtained by addition of doped ions as charge
compensation. White LEDs with high R(a) (> 90) are achieved using the single
CSS:Ce(3+),Mn(2+) phosphor.
PMID- 21892444
TI - Oxidative properties of a nonheme Ni(II)(O2) complex: Reactivity patterns for C-H
activation, aromatic hydroxylation and heteroatom oxidation.
AB - Density functional theory calculations on the reactivity of a Ni(II)-superoxo
complex in C-H bond activation, aromatic hydroxylation and heteroatom oxidation
reactions have been explored; the Ni(II)-superoxo complex is able to react with
substrates with weak C-H bonds and PPh(3).
PMID- 21892445
TI - Redox reactivity of photogenerated osmium(II) complexes.
AB - Powerful reductants [Os(II)(NH(3))(5)L](2+) (L = OH(2), CH(3)CN) can be generated
upon ultraviolet excitation of relatively inert [Os(II)(NH(3))(5)(N(2))](2+) in
aqueous and acetonitrile solutions. Reactions of photogenerated Os(II) complexes
with methyl viologen to form methyl viologen radical cation and
[Os(III)(NH(3))(5)L](3+) were monitored by transient absorption spectroscopy.
Rate constants range from 4.9 * 10(4) M(-1) s(-1) in acetonitrile solution to 3.2
* 10(7) (pH 3) and 2.5 * 10(8) M(-1) s(-1) (pH 12) in aqueous media.
Photogeneration of five-coordinate Os(II) complexes opens the way for mechanistic
investigations of activation/reduction of CO(2) and other relatively inert
molecules.
PMID- 21892446
TI - Modulation of an IDP binding mechanism and rates by helix propensity and non
native interactions: association of HIF1alpha with CBP.
AB - Intrinsically disordered proteins that acquire their three dimensional structures
only upon binding to their targets are very important in cellular signal
regulation. While experimental studies have been made on the structures of both
bound (structured) and unbound (disordered) states, less is known about the
actual folding-binding transition. Coarse grained simulations using native
centric (i.e. Go) potentials have been particularly useful in addressing this
problem, given the large search space for IDP binding, but have well-known
deficiencies in reproducing the unfolded state structure and dynamics. Here, we
investigate the interaction of HIF1alpha with CBP using a hierarchy of coarse
grained models, in each case matching the binding affinity at 300 K to the
experimental value. Starting from a pure Go-like model based on the native
structure of the complex we go on to consider a more realistic model of helix
propensity in the HIF1alpha, and finally the effect of non-native interactions
between binding partners. We find structural disorder (i.e."fuzziness") in the
bound state of HIF1alpha in all models which is supported by the results of
atomistic simulations. Correcting the over-stabilized helices in the unbound
state gives rise to a more cooperative folding-binding transition (destabilizing
partially bound intermediates). Adding non-native contacts lowers the free energy
barrier for binding to an almost barrierless scenario, leading to higher
binding/unbinding rates relative to the other models, in better agreement with
the near diffusion-limited binding rates measured experimentally. Transition
state structures for the three models are highly disordered, supporting a fly
casting mechanism for binding.
PMID- 21892447
TI - Polymorphism in spin-crossover systems.
AB - The occurrence of spin-crossover (SCO) highly depends on external influences,
i.e. temperature, pressure, light irradiation or magnetic field, this electronic
switching phenomenon is accompanied by drastic changes in magnetic and optical
properties, dielectric constants, colour and structures. Thus, SCO materials are
particularly attractive for potential applications in molecular sensing,
switching, data storage, display, and other electronic devices at nanometric
scale. Polymorphism is widely encountered in the studies of crystallization,
phase transition, materials synthesis, biomineralization, and in the manufacture
of drugs. Because different crystal forms of the same substance can possess very
different properties and behave as different materials, so they are particularly
meaningful for investigating SCO phenomena. Studying polymorphism of SCO
compounds is therefore important for better understanding the structural factors
contributing to spin transition and the structure-function relationship. This
critical review is aimed to provide general readers with a comprehensive view of
polymorphism in SCO systems. The article is generally structured according to
specific metal ions and the dimensionality of compounds in the field. This paper
is addressed to readers who are interested in multifunctional materials and
tuning magnetic properties through supramolecular chemistry principles (129
references).
PMID- 21892448
TI - In situ analysis of agrochemical residues on fruit using ambient ionization on a
handheld mass spectrometer.
AB - We describe a rapid in situ method for detecting agrochemicals on the surface or
in the tissue of fruit using a portable mass spectrometer equipped with an
ambient ionization source. Two such ionization methods, low temperature plasma
(LTP) and paper spray (PS), were employed in experiments performed at a local
grocery store. LTP was used to detect diphenylamine (DPA) directly from the skin
of apples in the store and those treated after harvest with DPA were recognized
by MS and MS/MS. These data therefore allowed ready distinction between organic
and non-organic apples. DPA was also found within the internal tissue of
purchased apples and its distribution was mapped using LTP. Similarly,
thiabendazole residues were detected on the skin of treated oranges in a grocery
store experiment in which paper spray was performed by wiping the orange surface
with a moist commercial lens wipe and then applying a high voltage to ionize the
chemicals directly from the wipe. The handheld mass spectrometer used in these
measurements is capable of performing several stages of tandem mass spectrometry
(up to MS(5)); the compounds on the fruit were identified by their MS/MS
fragmentation patterns. Protonated DPA (m/z 170) produced a characteristic MS(2)
fragment ion at m/z 92, while thiabendazole was identified by MS(3) using
precursor to fragment ion transitions m/z 202 ->m/z 175 ->m/z 131. These
particular examples exemplify the power of in situ analysis of complex samples
using ambient ionization and handheld mass spectrometers.
PMID- 21892449
TI - A graphene oxide-peptide fluorescence sensor tailor-made for simple and sensitive
detection of matrix metalloproteinase 2.
AB - A graphene oxide-peptide based fluorescence sensor has been developed for matrix
metalloproteinase 2 (MMP2), and its applicability has been demonstrated by
monitoring the concentration of MMP2 secreted by HeLa cells, revealing that HeLa
cells with a density of 5.48 * 10(5) cells per mL can produce 22 nM in cell
culture media in 24 h.
PMID- 21892450
TI - Thienopyrrolyl dione end-capped oligothiophene ambipolar semiconductors for thin
film- and light emitting transistors.
AB - The design, synthesis and structure-property investigation of a new
thienopyrrolyl dione substituted oligothiophene material showing reduced band gap
energy, low lying LUMO energy level and ambipolar semiconducting behaviour is
described.
PMID- 21892452
TI - Preface for the themed issue of Chemical Society Reviews.
PMID- 21892451
TI - A Mn(III)-superoxo complex of a zwitterionic calix[4]arene with an unprecedented
linear end-on Mn(III)-O2 arrangement and good catalytic performance for alkene
epoxidation.
AB - Reactions of [H(4)L][PF(6)](4) with 4 equiv. of Mn(OAc)(2).4H(2)O in the presence
of air gave rise to a mononuclear Mn(III)-superoxo complex
[Mn(III)L(O(2))(H(2)O)](PF(6))(2), which contains a bowl-shaped cationic
structure with a D(4d) symmetry. It has an unprecedented linear end-on Mn(III)
O(2) unit and exhibited good efficiency and selectivity in the catalytic
oxidation of alkenes with O(2) plus isobutyraldehyde under mild conditions.
PMID- 21892453
TI - Exploring the potential of the beta-thiolactones in bioorganic chemistry.
AB - A series of novel peptide-based beta-thiolactones were synthesized and assayed
for cytotoxicity against several human cancer cell lines, where they showed
greater activity than the corresponding beta-lactones and beta-lactams. Several
of the beta-thiolactones prepared showed strong inhibitory activity in vitro
against human cathepsins B and L.
PMID- 21892454
TI - Highly sensitive electrical detection of TCNE on chemically passivated silicon-on
insulator.
AB - Adsorption of tetracyanoethylene (TCNE) onto hydrogen terminated, n-type silicon
on-insulator is shown to cause significant depletion of majority carriers.
Employing an ambient pseudo-MOSFET, ppm levels of TCNE vapour rapidly decrease
the n-channel saturation current by at least two orders of magnitude. Covalent
passivation with a decyl monolayer improves the reversibility of the response
while only slightly decreasing the sensitivity.
PMID- 21892455
TI - One-pot synthesis of hybrid TiO2-polyaniline nanoparticles by self-catalyzed
hydroamination and oxidative polymerization from TiO2-methacrylic acid
nanoparticles.
AB - A simple self-catalyzed hydroamination method for creating hybrid TiO(2)
polyaniline core-shell nanoparticles (NP) has been shown. Hybrid NPs with a range
of possible sizes are afforded in high yield under mild reaction conditions and
simultaneously show improved charge transport and electrochromic behavior
compared to either polyaniline alone or physically blended with TiO(2).
PMID- 21892456
TI - 13C-NMR quantification of proton exchange at LewisX hydroxyl groups in water.
AB - NMR-based analysis of glycans by directly observing hydroxyl protons has been
difficult because of their inherently fast exchange with water. We observed
hydroxyl proton exchanges in a LewisX-LewisX interaction by using deuterium
isotope shifts on (13)C-NMR. This strategy is suitable for analyzing weak
interactions by identifying involved protons.
PMID- 21892457
TI - Reverse orthogonal strategy for oligosaccharide synthesis.
AB - Herein, we report the invention of a novel expeditious concept for
oligosaccharide synthesis. Unlike the classic orthogonal strategy based on
leaving groups, the reverse approach is based on orthogonal protecting groups,
herein p-methoxybenzyl and 4-pentenoyl, which allows for efficient
oligosaccharide assembly in the reverse direction.
PMID- 21892458
TI - Selective Diels-Alder cycloaddition on semiconducting single-walled carbon
nanotubes for potential separation application.
AB - Selectivity for the Diels-Alder cycloaddition reaction of the electron-rich diene
with single-walled carbon nanotubes was first investigated. This chemistry is a
complete departure from the curvature-dependent reactivity based on the carbon
pyramidalization angle.
PMID- 21892459
TI - Twisted molecular magnets.
AB - The use of derivatised salicylaldoximes in manganese chemistry has led to the
synthesis of a family of approximately fifty hexanuclear ([Mn(III)(6)]) and
thirty trinuclear ([Mn(III)(3)]) Single-Molecule Magnets (SMMs). Deliberate,
targeted structural distortion of the metallic core afforded family members with
increasingly puckered configurations, leading to a switch in the pairwise
magnetic exchange from antiferromagnetic to ferromagnetic. Examination of both
the structural and magnetic data revealed a semi-quantitative magneto-structural
correlation, from which the factors governing the magnetic properties could be
extracted and used for predicting the properties of new family members and even
more complicated structures containing analogous building blocks. Herein we
describe an overview of this extensive body of work and discuss its potential
impact on similar systems.
PMID- 21892460
TI - A novel pH-sensitive (+/-)-alpha-tocopherol-5-fluorouracil adduct with
antioxidant and anticancer properties.
AB - A novel pH-sensitive (+/-)-alpha-tocopherol-5-fluorouracil (VE-5-FU) adduct with
antioxidant and anticancer properties for antioxidant-based cancer
chemoprevention was synthesized and utilized for selective drug release in the
stomach.
PMID- 21892461
TI - Reducing the conformational flexibility of carbohydrates: locking the 6-hydroxyl
group by cyclopropanes.
AB - The 6-hydroxyl group of hexopyranosides was stereochemically locked by the
spiroannelation of a cyclopropane unit at C-5. The corresponding glucose and
mannose derivatives were prepared and their behaviour in glycosidation reactions
was studied.
PMID- 21892462
TI - Hydrogen-bond driven assembly of a molecular capsule facilitated by
supramolecular chelation.
AB - Resorcinarene-based cavitands functionalized with acetamido groups capable of
self-complementary hydrogen-bond interactions, were synthesized in order to
construct supramolecular capsules. The 1,3-bifunctionalized cavitand produced a
polymeric assembly, whereas the tetra-functionalized analogue yielded a discrete
capsule held together via N-H...O hydrogen bonds. The ethynyl species attached to
the rim of these host molecules deepen each cavitand and expands the volume of
the resulting capsule.
PMID- 21892463
TI - Titanium nitride catalyst cathode in a Li-air fuel cell with an acidic aqueous
solution.
AB - TiN was used as an oxygen reduction reaction (ORR) catalyst in a Li-air fuel cell
with a non-aqueous/acidic aqueous hybrid electrolyte. The electrochemical
properties of the TiN based catalyst and the single cell were extensively
investigated. It is suggested that TiN has considerable electrochemical catalytic
activity for Li-air fuel cells in a weak acidic solution.
PMID- 21892464
TI - Palladium nanoparticles in carbon thin film-lined SBA-15 nanoreactors: efficient
heterogeneous catalysts for Suzuki-Miyaura cross coupling reaction in aqueous
media.
AB - Embedding Pd nanoparticles in carbon thin film-lined SBA-15 nanoreactors provides
highly efficient catalysts for heterogeneous cross coupling reactions in aqueous
media. No leaching or aggregation of Pd nanoparticles was found in these
nanoreactors after reusing them several times. The carbon thin film lining of
these nanoreactors was further confirmed with small molecular arene probing
experiments.
PMID- 21892465
TI - Thioflavin T forms a non-fluorescent complex with alpha-helical poly-L-glutamic
acid.
AB - Thioflavin T (ThT) is a molecular-rotor-type fluorophore reputed for the
selective binding to amyloid fibrils. Using induced circular dichroism, here we
show that ThT binds in an orderly manner to alpha-helical poly-L-glutamic acid
(PLGA) implying that neither stacked beta-sheets nor pi-pi stacking interactions
are necessary for the binding between the dye and proteins.
PMID- 21892466
TI - Chemical and light triggering of peptide networks under partial thermodynamic
control.
AB - The kinetics of novel dynamic libraries that operate via reversible replication
is described. In these systems, selective product formation is governed by
peptides autocatalytic efficiency and by differences in their unfolding
stability. We suggest ways to significantly alter the network behavior by
chemical inputs (templates) or physical triggers (light).
PMID- 21892467
TI - syn-Selective asymmetric cross-aldol reactions between aldehydes and glyoxylic
acid derivatives catalyzed by an axially chiral amino sulfonamide.
AB - syn-Selective asymmetric cross-aldol reactions of aldehydes with tert-butyl
glyoxylate and glyoxamide were realized by the use of an axially chiral amino
sulfonamide (S)-1. The cross-aldol products obtained are densely functionalized
and readily converted to synthetically useful and important chiral building
blocks such as gamma-lactone and gamma-lactam.
PMID- 21892468
TI - Luminescence on-off switching via reversible interconversion between inter- and
intramolecular aurophilic interactions.
AB - Reversible interconversion between inter- and intramolecular AuAu interactions
induces luminescence on/off switching in solid state. For the gold(I)
photoluminescence system, intermolecular aurophilic interaction is a more
significant factor than intramolecular aurophilic interaction.
PMID- 21892469
TI - Enhancement of visible light photocatalysis by grafting ZnO nanoplatelets with
exposed (0001) facets onto a hierarchical substrate.
AB - A ZnO nanocatalyst with a high percentage exposure of (0001) facets embedded on a
hierarchical flower-like matrix has been prepared by an in situ topotactic
transformation of a layered double hydroxide precursor, and exhibits
significantly higher visible light photocatalytic performance than other ZnO
nanomaterials with fewer exposed (0001) facets.
PMID- 21892470
TI - Early-late heterobimetallic Rh-Ti and Rh-Zr complexes via addition of early metal
chlorides to mono- and divalent rhodium.
AB - Addition of TiCl(4) or ZrCl(4) to (PNP)Rh(CH(2)==CH(t)Bu) (1) rapidly gives
complexes (PNP)Rh(MCl(3))(Cl) (M = Ti, 2; Zr, 3) in 75-77% yield (PNP = (4-Me-2
((i)Pr(2)P)-C(6)H(3))(2)N). Compound 2 can also be synthesized via a reaction of
(PNP)RhCl with TiCl(3) or of (PNP)TiCl(3) with 1/2 [(cod)RhCl](2).
PMID- 21892471
TI - Ancillary nitrile substituents as convenient IR spectroscopic reporters for self
assembly of mercapto- and isocyanoazulenes on Au(111).
AB - Synthesis and self-assembly of structurally related mercapto- and
isocyanoazulenes, including novel 2-mercapto-1,3-dicyanoazulene (4) and 2
isocyano-1,3-dicyanoazulene (5), are reported. Exposing 5 adsorbed on Au(111) to
a solution of 4 displaces the isocyanoazulene monolayer with that of the
mercaptoazulene as judged by nu(C=N) signatures of these films.
PMID- 21892472
TI - A molecular Mo4Bi4 framework composed exclusively of unsupported metal-metal
bonds.
AB - Reaction of [Cp(2)MoH(2)] with bismuth allyloxide, [Bi{OCH(CH(3))CH==CH(2)}(3)],
gave rise to an extended octanuclear complex wherein two cyclic Mo(2)Bi(2) units
composed of four Mo-Bi bonds are linked by a Bi-Bi bond. The fact that the
construction of such an assembly could be accomplished only in the case of a
monomethylation of the parent allyl residue demonstrates a subtle substituent
effect.
PMID- 21892473
TI - Intercalation and grafting of benzene derivatives into zinc-aluminum and copper
chromium layered double hydroxide hosts: an XPS monitoring study.
AB - We report an original strategy to describe, via X-ray photoelectron spectroscopy
(XPS) measurements, the interactions between the organic and the mineral sub
systems within a multifunctional hybrid material. A tunable layered double
hydroxide (LDH) host system, either a Zn(2)Al- or Cu(2)Cr-hydrotalcite like
compound, is modified with the insertion of the organic guest entities, 4-phenol
sulfonate (HBS) or -carboxylate (HBC). The resulting interactions are studied at
two levels: after the organic molecules' insertion in the host LDH (ionic
exchange between the LDH counter-ions and the organic anions) and after the
condensation (grafting) of the organic species onto the mineral layers when
thermally treated. For the inserted material, the main XPS results show a
stabilization of the organic molecules within the mineral sheets via H bonding as
found elsewhere with FTIR study, the mineral matrix being unchanged. The XPS
signal of the organic molecules slightly changes with a widening of core peaks,
attesting to some local surrounding modifications. When heating up the Zn(2)Al
hybrid material, stronger interactions between organic and inorganic systems
appeared from around 80 degrees C with some obvious electronic changes as
monitored with the XPS S2p signal of the HBS guest molecules. At the same time,
the PXRD pattern clearly shows a decrease of the basal spacing according to a two
step contraction process which could be interpreted as a progressive organic
molecule condensation onto the inorganic layers via iono-covalent bonds. A copper
chromium LDH is also studied to probe the same kind of interactions with the HBS
molecules. The ability of distortion of such mineral material involves a peculiar
process of contraction from 40 degrees C with the immediate and effective
anchorage of organic molecules.
PMID- 21892474
TI - Interaction strength between proteins and polyelectrolyte brushes: a small angle
X-ray scattering study.
AB - We present an investigation of beta-lactoglobulin adsorption onto spherical
polyelectrolyte brushes (SPBs) by small angle X-ray scattering (SAXS). The SPB
consists of a polystyrene core onto which long chains of poly(styrene sulfonate)
are grafted. The amount and the distribution of proteins adsorbed in the brush
layer at low ionic strength can be derived from SAXS. The analysis of the SAXS
data reveals additionally that some of the protein molecules form aggregates of
about six monomers in the adsorbed state. Furthermore, the position and the
amount of slightly bound protein can be detected by the combination of the SAXS
results and the SPB loading after extensive ultrafiltration. The total amount of
adsorbed protein is compared to data derived from isothermal titration
calorimetry. The comparison of both sets of data demonstrates that the protein
molecules in the inner layers of the spherical polyelectrolyte brush are firmly
bound. Proteins located in the outer layers are only weakly bound and can be
washed out by prolonged ultrafiltration.
PMID- 21892475
TI - Electron solvation and solvation-induced crystallization of an ammonia film on
Ag(111) studied by 2-photon photoemission.
AB - Intermolecular interaction plays a crucial role in electron solvation in the
condensed phase. Here, we present a femtosecond time-resolved and angle-resolved
2-photon photoemission (2PPE) study on the dynamics of electron solvation in a 2
dimensional ammonia film on a metal substrate. While the weakly chemisorbed first
monolayer (ML) supports delocalized image-potential (IP) states that resemble
those of the bare Ag(111) substrate, an additional monolayer localizes the IP
state with a larger binding energy obtained through a pre-solvation process.
Structural disorder in the metastable ammonia films (>2 ML) leads to a prominent
photoelectron peak that is attributed to the long-lived trapped electron state
(e(T)) located at 1.5 eV above the Fermi level. Photoinduced crystallization of
the metastable phase, verified by the recovery of a delocalized IP state, is
suggested to result from inelastic scattering between interfacial electrons and
disordered ammonia molecules.
PMID- 21892476
TI - Molecular dynamics effects on luminescence properties of oligothiophene
derivatives: a molecular mechanics-response theory study based on the CHARMM
force field and density functional theory.
AB - CHARMM force field parameter values for a class of oligothiophene derivatives
have been derived with reference to density functional theory/B3LYP potential
energy surfaces. The force field parametrization of these luminescent conjugated
polyelectrolytes includes the electronic ground state as well as the strongly
light absorbing first excited state. In conjunction with quantum chemical
response theory calculations of transition state properties, a molecular
dynamical model of the Stokes shift is obtained. The theoretical model is
benchmarked against experimental data recorded at room temperature which refer to
sodium salts of p-HTAA and p-FTAA with distilled water as a solvent. For p-HTAA
the theoretically predicted Stokes shift of 112 nm is in good agreement with the
experimental result of 124 nm, given the approximations about exciton
localization that were introduced to obtain a force field for the excited state.
PMID- 21892477
TI - Association of ionic liquids in solution: a combined dielectric and conductivity
study of [bmim][Cl] in water and in acetonitrile.
AB - Ion association of the ionic liquid [bmim][Cl] in acetonitrile and in water was
studied by dielectric spectroscopy for salt concentrations c <= 1.3 M at 298.15 K
and by measurement of molar electrical conductivities, Lambda, of dilute
solutions (c <= 0.006 M) in the temperature range 273.15 ? T/K <= 313.15. Whilst
acetonitrile solutions of [bmim][Cl] exhibit moderate ion pairing, with an
association constant of K degrees (A) ~ 60 M(-1) and increasing with temperature,
[bmim][Cl] is only weakly associated in water (K degrees (A) ~ 6 M(-1)) and ion
pairing decreases with rising temperature. Only contact ion pairs were detected
in both solvents. Standard-state enthalpy, entropy and heat capacity changes of
ion association were derived, as well as the activation enthalpy of charge
transport and the limiting conductivity of the cation, lambda(infinity)
([bmim](+)). These data, in conjunction with effective solvation numbers obtained
from the dielectric spectra, suggest that the solvation of [bmim](+) is much
weaker in water than in acetonitrile.
PMID- 21892478
TI - Double template effect in [4 + 4] Schiff base macrocycle formation; an ESI-MS
study.
AB - The mechanism of self-assembly of a polynuclear complex of a [4 + 4] Schiff base
iminomethylenediphenolate macrocycle [BaCu(4)(4 + 4)](2+) via a non-macrocyclic
dialdehyde intermediate has been followed using ESI-MS of the reaction solutions.
Both assembly of the intermediate and Schiff-base condensation with diamine give
rise to single products; formation of the intermediate metallacycle is fast but
Schiff-base condensation is much slower. Both intermediate complex and
macrocyclic product have been structurally characterised.
PMID- 21892479
TI - Spatiotemporal classification of environmental monitoring data in the Yeongsan
River basin, Korea, using self-organizing maps.
AB - Environmental monitoring data for planning, implementing and evaluating the Total
Maximum Daily Loads (TMDL) management system have been measured at about 8-day
intervals in a number of rivers in Korea since 2004. In the present study, water
quality parameters such as Suspended Solids (SS), Biochemical Oxygen Demand
(BOD), Dissolved Oxygen (DO), Total Nitrogen (TN), and Total Phosphorus (TP) and
the corresponding runoff were collected from six stations in the Yeongsan River
basin for six years and transformed into monthly mean values. With the primary
objective to understand spatiotemporal characteristics of the data, a
methodologically systematic application of a Self-Organizing Map (SOM) was made.
The SOM application classified the environmental monitoring data into nine
clusters showing exclusively distinguishable patterns. Data frequency at each
station on a monthly basis identified the spatiotemporal distribution for the
first time in the study area. Consequently, the SOM application provided useful
information that the sub-basin containing a metropolitan city is associated with
deteriorating water quality and should be monitored and managed carefully during
spring and summer for water quality improvement in the river basin.
PMID- 21892480
TI - Improving suspended sediment measurements by automatic samplers.
AB - Suspended solids either as total suspended solids (TSS) or suspended sediment
concentration (SSC) is an integral particulate water quality parameter that is
important in assessing particle-bound contaminants. At present, nearly all
stormwater runoff quality monitoring is performed with automatic samplers in
which the sampling intake is typically installed at the bottom of a storm sewer
or channel. This method of sampling often results in a less accurate measurement
of suspended sediment and associated pollutants due to the vertical variation in
particle concentration caused by particle settling. In this study, the
inaccuracies associated with sampling by conventional intakes for automatic
samplers have been verified by testing with known suspended sediment
concentrations and known particle sizes ranging from approximately 20 MUm to 355
MUm under various flow rates. Experimental results show that, for samples
collected at a typical automatic sampler intake position, the ratio of sampled to
feed suspended sediment concentration is up to 6600% without an intake strainer
and up to 300% with a strainer. When the sampling intake is modified with
multiple sampling tubes and fitted with a wing to provide lift (winged arm
sampler intake), the accuracy of sampling improves substantially. With this
modification, the differences between sampled and feed suspended sediment
concentration were more consistent and the sampled to feed concentration ratio
was accurate to within 10% for particle sizes up to 250 MUm.
PMID- 21892481
TI - Rounded multi-level microchannels with orifices made in one exposure enable
aqueous two-phase system droplet microfluidics.
AB - Exposure of a negative photoresist-coated glass slide with diffused light from
the backside through a mask with disconnected features provides multi-level
rounded channels with narrow orifices in one exposure. Using these structures, we
construct microfluidic systems capable of creating aqueous two-phase system
droplets where one aqueous phase forms droplets and the other aqueous phase forms
the surrounding matrix. Unlike water-in-oil droplet systems, aqueous two-phase
systems can have very low interfacial tensions that prevent spontaneous droplet
formation. The multi-level channels fabricated by backside lithography satisfy
two conflicting needs: (i) the requirement to have narrowed channels for
efficient valve closure by channel deformation and (ii) the need to have wide
channels to reduce the flow velocity, thus reducing the capillary number and
enhancing droplet formation.
PMID- 21892483
TI - Rhenium-catalyzed allylation of C-H bonds of benzoic and acrylic acids.
AB - We have succeeded in the allylation of aromatic and olefinic C-H bonds of benzoic
and acrylic acids using a rhenium catalyst, Re(2)(CO)(10). In this reaction,
isomerization of the introduced allyl group to the 1-propenyl group did not
occur.
PMID- 21892484
TI - Iridium(III) soft salts from dinuclear cationic and mononuclear anionic complexes
for OLED devices.
AB - Two iridium(III) soft salts based on ion-paired dinuclear cationic and
mononuclear anionic complexes were designed and investigated as phosphorescent
emitters for solution processed OLEDs. New dinuclear cationic complexes were
prepared with two different bridging ligands, a carbazole and a phenylene spacer.
Best devices were designed with the soft salt bearing a carbazole moiety.
PMID- 21892485
TI - One-pot synthesis of luminol functionalized silver nanoparticles with
chemiluminescence activity for ultrasensitive DNA sensing.
AB - A novel one-pot method for the synthesis of chemiluminescence functionalized
AgNPs has been reported and an ECL sensor has been developed for the detection of
M. tuberculosis DNA.
PMID- 21892486
TI - Spin-label ESR with nanochannels to improve the study of backbone dynamics and
structural conformations of polypeptides.
AB - Nanochannels of mesoporous silica materials were previously found useful for
reducing the tumbling motion of encapsulated biomolecules while leaving the
biomolecular structure undisturbed. Here we show that experiments of cw-ESR
distance measurement in nano-confinement can benefit immediately from the above
mentioned features of sufficiently slow molecular tumbling, enabling more
accurate determination of interspin distances throughout the temperature range,
from 200 to 300 K. A 26-residue prion protein peptide, which can fold into either
a helical or hairpin structure, as well as its variants, are studied by using
ESR. By comparing the spectra obtained in vitrified bulk solutions vs. mesopores,
the spectra from the latter display typical slow-motional lineshapes, thereby
enabling dipolar anisotropy to be unambiguously revealed throughout the
temperature range, whereas the spectra from the former are dominated by the
disordering of the side chain and the rotational tumbling of the peptide. The
spectral changes regarding the two secondary structures in nano-confinement are
found to show a strong correlation with the dynamic properties of the backbones.
The effect of viscosity agent perturbation on the motion of an R1 nitroxide side
chain, a commonly employed probe, could be substantial in a bulk solution
condition, though it is absolutely absent in nanochannels. Under nano
confinement, the probe is proven sufficiently sensitive to the backbone motions.
Overall, the distance distributions determined from the mesopore studies not only
describe the conformational structures (by average distances), but also the
backbone dynamics (by distribution widths) of the spin-labeled peptides.
PMID- 21892487
TI - Quantitative analysis of energy transfer between fluorescent proteins in CFP-GBP
YFP and its response to Ca2+.
AB - This article reports the full characterisation of the optical properties of a
biosynthesised protein consisting of fused cyan fluorescent protein, glucose
binding protein and yellow fluorescent protein. The cyan and yellow fluorescent
proteins act as donors and acceptors for intramolecular fluorescence resonance
energy transfer. Absorption, fluorescence, excitation and fluorescence decays of
the compound protein were measured and compared with those of free fluorescent
proteins. Signatures of energy transfer were identified in the spectral
intensities and fluorescence decays. A model describing the fluorescence
properties including energy transfer in terms of rate equations is presented and
all relevant parameters are extracted from the measurements. The compound protein
changes conformation on binding with calcium ions. This is reflected in a change
of energy transfer efficiency between the fluorescent proteins. We track the
conformational change and the kinetics of the calcium binding reaction from
fluorescence intensity and decay measurements and interpret the results in light
of the rate equation model. This visualisation of change in protein conformation
has the potential to serve as an analytical tool in the study of protein
structure changes in real time, in the development of biosensor proteins and in
characterizing protein-drug interactions.
PMID- 21892488
TI - Time-dependent intermolecular interaction during protein reactions.
AB - A recently developed method to monitor reaction kinetics of intermolecular
interaction is presented in this perspective. This method is based on time
dependent diffusion coefficient measurements using the pulsed laser induced
transient grating technique. Using this method, time dependent biomolecular
interactions, such as transient association and dissociation reactions in
solution, have been successfully detected in real time. The principles and
particular applications are described. In particular, unique features of this
time-dependent diffusion coefficient method are emphasized by comparison with
other techniques.
PMID- 21892489
TI - On the PES for the interaction of an H atom with an H chemisorbate on a graphenic
platelet.
AB - Motivated by the problem of H(2) formation in diffuse clouds of the interstellar
medium (ISM), we study the effect of including van der Waals-type corrections in
DFT calculations on the entrance PES of the Eley-Rideal reaction H(b) + H(a)-GR
> H(b)-H(a) + GR for a graphenic surface GR. The present calculations make use of
the PBE-D3 dispersion corrected functional of Grimme et al. (2010) and are
carried out on cluster models of graphenic surfaces: C(24)H(12) and C(54)H(18).
To assess the soundness of the chosen functional we start by revisiting the H-GR
adsorption potential. We find a satisfactory on top physisorption well (43-48
meV) correctly located at an H-GR distance of 3 A. We then revisit the H(b)-H(a)
GR system using both the PW91 and PBE functionals. Our calculations do not
reproduce the tiny potential barrier reported earlier for large H(b)distances
from the surface. The barrier in the calculations of Sidis et al. (2000) and
Morisset et al. (2003, 2004) has been traced to their previous use of an LSDA +
POSTSCF PW91 procedure rather than the genuine PW91 one. The new PBE-D3 PES for
the H(b)-H(a)-GR system is reported as a function of the H(b) distance to the
surface and its impact parameter relative to the H(a) chemisorbate for the so
called "fixed puckered" ("diabatic" or "sudden") approach. The results are
discussed in relation to recent experimental and theoretical work.
PMID- 21892490
TI - Lipidology and lipidomics--quo vadis? A new era for the physical chemistry of
lipids.
AB - Our picture of lipid membranes has come a long way since Gorter and Grendel in
1925 formulated the lipid bilayer hypothesis. Most modern textbook models of
membranes are based on the Singer-Nicolson model from 1972, although we have in
recent years seen significant amendments to this model, not least fuelled by the
finding of lipid membrane domains and the subsequent 'raft rush'. The science of
lipids, lipidology, has now become an established discipline, acknowledging that
lipids organize in space and time and display emergent physico-chemical
properties that are beyond the chemical nature of the individual molecules and
which collectively control membrane function. Recently, lipidomics has been
followed as a new discipline in the omics-sequel, characterized by an explosion
in detailed data for lipid profiles of tissues, cells, and subcellular
components. The focus is now swinging toward enumerating individual lipid
species, determining their identity, and quantitating their amount. Time is ripe
to marry the two disciplines, both in order to take lipidomics beyond the stage
of 'stamp collection' and in order to incorporate into the lipidology approach
the new knowledge about the individual lipid species. As an important matchmaker
for this marriage, the physical chemistry of lipids in lipid bilayers and
membranes is entering a new era of renaissance.
PMID- 21892491
TI - Studies of the reactions of tripodal pyridine-containing ligands with Re(CO)5Br
leading to rheniumtricarbonyl complexes with potential biomedical applications.
AB - The complexes formed from the reaction of N-acylated tris-(pyridin-2
yl)methylamine (LH) with [Re(CO)(5)Br] depend on the structure of the ligand and
the reaction conditions. Thus, while N-[1,1,1-tris-(pyridin-2-yl)methyl]acetamide
coordinates through the three pyridine nitrogens to give a stable cationic
complex [LHRe(CO)(3)Br], the analogous N-benzoyl ligand reacts under similar
conditions to give a neutral complex [LRe(CO)(3)] with coordination through two
pyridine nitrogens and a deprotonated amide. To try to explain these different
outcomes, the reactions of some structurally related N-acylated [1,1-bis(pyridin
2-yl)]methylamines (L'H) with [Re(CO)(5)Br] have been studied and the reaction
pathways identified. These studies indicate that a neutral complex
[L'HRe(CO)(3)Br] is initially formed in which the amide portion of the ligand is
uncoordinated, but that this complex under appropriate conditions then rearranges
to give a cationic complex [L'HRe(CO)(3)]Br in which the coordinated amide
nitrogen either remains protonated or is present in its imidic acid tautomeric
form. Elimination of HBr from these complexes either thermally or in the presence
of base then gives stable neutral complexes [L'Re(CO)(3)]. The impact of the N
acyl group and any substituent at the apex of the tripodal ligands (L''H) on the
relative stabilities of intermediate complexes on the reaction pathway helps
provide an explanation for the observed difference in behaviour of the N-acylated
tris(pyridin-2-yl)methylamines (LH).
PMID- 21892492
TI - Mesoporous silica nanoparticles loading doxorubicin reverse multidrug resistance:
performance and mechanism.
AB - Multidrug resistance (MDR) is one of the major obstacles for successful
chemotherapy in cancer. One of the effective approaches to overcome MDR is to use
nanoparticle-mediated drug delivery to increase drug accumulation in drug
resistant cancer cells. In this work, we first report that the performance and
mechanism of an inorganic engineered delivery system based on mesoporous silica
nanoparticles (MSNs) loading doxorubicin (DMNs) to overcome the MDR of MCF-7/ADR
(a DOX-resistant and P-glycoprotein (P-gp) over-expression cancer cell line). The
experimental results showed that DMNs could enhance the cellular uptake of
doxorubicin (DOX) and increase the cell proliferation suppression effect of DOX
against MCF-7/ADR cells. The IC(50) of DMNs against MCF-7/ADR cells was 8-fold
lower than that of free DOX. However, an improved effect of DOX in DMNs against
MCF-7 cells (a DOX-sensitive cancer cell line) was not found. The increased
cellular uptake and nuclear accumulation of DOX delivered by DMNs in MCF-7/ADR
cells was confirmed by confocal laser scanning microscopy, and could result from
the down-regulation of P-gp and bypassing the efflux action by MSNs themselves.
The cellular uptake mechanism of DMNs indicated that the macropinocytosis was one
of the pathways for the uptake of DMNs by MCF-7/ADR cells. The in vivo
biodistribution showed that DMNs induced a higher accumulation of DOX in drug
resistant tumors than free DOX. These results suggested that MSNs could be an
effective delivery system to overcome multidrug resistance.
PMID- 21892493
TI - Silica nanoparticles functionalised with cation coordination sites and
fluorophores for the differential sensing of anions in a quencher displacement
assay (QDA).
AB - In conjunction with quenching metal ions, silica nanoparticles carrying
terpyridine coordination sites and sulforhodamine B signalling units were
employed for the differential fluorometric recognition of anions.
PMID- 21892494
TI - Precise engineering and visualization of signs and magnitudes of DNA writhe on
the basis of PNA invasion.
AB - It is demonstrated that the right and left handedness of DNA supercoils can be
engineered precisely and readily at the molecular level in vitro through
utilization of the invading property of peptide nucleic acid.
PMID- 21892495
TI - Homoleptic tris(methoxydimethylsilyl)silanides of the alkaline earth metals:
first zwitterionic silanides with two naked silyl anions.
AB - The first zwitterionic alkaline earth metal silanides featuring two naked silyl
anions were synthesized and a combined structural and computational study on
these zwitterions revealed a correlation between the energy of the HOMO and the
degree of negative charge of the naked silyl anions.
PMID- 21892496
TI - Direct electronic communication at bio-interfaces assisted by layered-metal
hydroxide slab arrays with controlled nano-micro structures.
AB - The electronic transfer (eT) at bio-interfaces has been achieved by orientating
2D inorganic slabs in a regular arrangement with the slab ab-planes vertical to
the electrode substrate. The eT rate is effectively promoted by tuning the nano
micro scale structures of perpendicular LDH arrays.
PMID- 21892497
TI - Facile synthesis of thermosensitive magnetic nanoparticles as "smart" draw
solutes in forward osmosis.
AB - Thermosensitive superparamagnetic nanoparticles were synthesized by a one-step
thermal decomposition method and successfully recycled as a 'smart' draw solute
in forward osmosis processes for water reuse without losing performance
efficiency.
PMID- 21892498
TI - A gram scale synthesis of a multi-13C-labelled anthocyanin, [6,8,10,3',5'
13C5]cyanidin-3-glucoside, for use in oral tracer studies in humans.
AB - The major dietary anthocyanin, cyanidin-3-glucoside, was prepared on a 4 g scale
from three units of diethyl [2-(13)C]malonate and one unit of [1,3
(13)C(2)]acetone, such that five isotope locations were distributed throughout
the molecule to provide a penta-(13)C(5)-labelled anthocyanin, [6,8,10,3',5'
(13)C(5)]cyanidin-3-glucoside chloride, for use in human stable-isotope tracer
studies.
PMID- 21892499
TI - Use of alkyl 2,4,6-triisopropylbenzoates in the asymmetric homologation of
challenging boronic esters.
AB - (-)-Sparteine induced lithiation of primary 2,4,6-triisopropylbenzoates and
subsequent homologation of boronic esters is reported. A comparative study with
lithiated N,N-diisopropylcarbamates has demonstrated the superiority of the
hindered benzoate.
PMID- 21892501
TI - Asymmetric Bronsted acid catalyzed carbonyl activation--organocatalytic domino
electrocyclization-halogenation reaction.
AB - A highly efficient Bronsted acid catalyzed enantioselective Nazarov cyclization
bromination reaction has been developed. The protocol gives access to highly
functionalized trans-4,5-substituted 5-bromocyclopentenone derivatives in good
yields and with excellent enantioselectivities.
PMID- 21892500
TI - Optically pure bulky (hetero)arylalkyl carbinols via kinetic resolution.
AB - Planar chiral nucleophilic catalyst Fc-PIP was employed in the kinetic resolution
of bulky (hetero)arylalkyl carbinols delivering unreacted alcohols with extremely
high enantiomeric excess (>99.0% ees) in ideal conversions ranging from 50.4
56.7%.
PMID- 21892502
TI - Use of 6-methylpyridine-2-carbaldehydeoxime in nickel(II) carboxylate chemistry:
synthetic, structural and magnetic properties of penta and hexanuclear complexes.
AB - The use of 6-methylpyridine-2-carbaldehydeoxime ligand (6-mepaoH), in nickel(II)
chemistry has been investigated and three new clusters isolated in mild
conditions. Depending on the nature of the metal starting salts and the reaction
conditions, the Ni(II)/6-mepaoH system has provided access to the complexes
[Ni(6)(O(2)CPh)(6)(6-mepao)(6)] (1), [Ni(6)(O(2)CMe)(6)(6-mepao)(6)] (2) and
[Ni(5)(3-Cl-BzO)(4)(6-mepao)(4)(6-mepaoH)(2)(N(3))(2)] (3), where 3-Cl-BzO(-) is
the 3-chlorobenzoate anion. Compounds 1 and 2 are two new members of the
[Ni(6)(O(2)C-R)(oximato)(6)] family of hexanuclear complexes whereas 3 exhibits
an unusual irregular bowtie topology including end-on azido bridges. The
structures of the three compounds have been determined by single-crystal X-ray
crystallography. Variable-temperature dc magnetic susceptibility studies were
carried out for 1-3. The data indicate antiferromagnetic exchange for complexes 1
and 2 and ferrimagnetic interaction for complex 3.
PMID- 21892503
TI - Distribution of procyanidins and their metabolites in rat plasma and tissues
after an acute intake of hazelnut extract.
AB - Procyanidins are present in a wide range of dietary foods and their metabolism is
well known. Nevertheless, the biological target and their distribution are topics
lacking information. The purpose of the present work was to study the metabolism
and distribution of procyanidins and their metabolites in rat plasma and
different tissues, such as liver, brain, lung, kidney, intestine, testicle,
spleen, heart and thymus, after 2 h of an acute intake of hazelnut extract rich
in procyanidins (5 g kg(-1) of rat body weight). The interest of an acute intake
of procyanidins instead of repeated low doses from daily ingestion of is to
achieve a concentration of metabolites in the tissues that allows their detection
and quantification. The results showed that catechin and epicatechin-glucuronide,
methyl catechin and epicatechin-glucuronide and methyl catechin and epicatechin
sulphate were detected in plasma samples at the MUmol level. On the other hand,
catechin-glucuronide, methyl catechin-glucuronide and methyl catechin-sulphate
were identified in some tissues, such as thymus, intestine, lung, kidney, spleen
and testicle at the nmol level. Procyanidins with a low grade of polymerization
(dimers and trimers) were detected in plasma samples and the intestine.
Additionally, a wide range of simple aromatic acids from fermentation by the
colonic microflora was detected in all tissues studied.
PMID- 21892504
TI - Asymmetric anatase TiO2 nanocrystals with exposed high-index facets and their
excellent lithium storage properties.
AB - In this work, we demonstrate a unique organic solvent system to synthesize
asymmetric anatase TiO(2) nanocrystals with a bipyramidal structure, where the
upper pyramid is bound by (201) facets, and the lower pyramid is bound by (401)
surfaces. Due to the high surface energy of these (401) high-index facets, the
nanocrystals tend to assemble on these facets to minimize the free energy,
leading to the formation of a dandelion-like hierarchical structure.
PMID- 21892505
TI - New synthesis of spirocycles by utilizing in situ forming hypervalent iodine
species.
AB - A very effective spirocyclization procedure for installing nucleophiles (Nu =
N(3), NO(2), SCN, SO(2)Tol, and halogens) via iodonium(III) salts has been
developed using the combination of iodoarene and mCPBA. The high-yielding
syntheses of the cyclohexadienone-type spirocyclic compounds 2 having varied
functionalities in the skeletons have been achieved from the aryl alkynes 1 with
the optimized bis(iodoarene) 3h.
PMID- 21892506
TI - Acyl hydrazides as peptoid sub-monomers.
AB - The use of acyl hydrazides as peptoid sub-monomers is investigated. We
demonstrate here that azapeptoids derived entirely from acyl hydrazides can be
made conveniently and efficiently using standard peptoid sub-monomer chemistry.
Structural studies reveal that the main chain amide bond in these molecules
predominantly adopts a trans conformation. A high-quality one bead one compound
library of tetramers was made by split and pool synthesis and we found that the
identity of the molecule on a single bead could be determined by tandem MALDI
mass spectrometry.
PMID- 21892507
TI - Potassium tert-butoxide mediated Heck-type cyclization/isomerization-benzofurans
from organocatalytic radical cross-coupling reactions.
AB - A transition metal-free Heck-type cyclization/isomerization reaction has been
developed. Mediated by potassium tert-butoxide and phenanthroline a variety of
benzofuran derivatives have been synthesized.
PMID- 21892508
TI - CoFe2O4 magnetic nanoparticles as a peroxidase mimic mediated chemiluminescence
for hydrogen peroxide and glucose.
AB - This communication presents a new peroxidase mimic of CoFe(2)O(4) nanoparticles
evaluated by the luminol-based chemiluminescent (CL) reaction. This offers a new
method for evaluation and screening of the nanoparticles-based enzyme mimetics.
PMID- 21892509
TI - When Cu4I4 cubane meets Cu3(pyrazolate)3 triangle: dynamic interplay between two
classical luminophores functioning in a reversibly thermochromic coordination
polymer.
AB - A supramolecular dual emissive system incorporating two classical copper(I)
cluster-based luminophores, namely, Cu(4)I(4) and Cu(3)Pz(3) (Pz = pyrazolate),
is reported. The targeted luminescent coordination polymer exhibits reversible
thermochromism spanning from green to orange-red.
PMID- 21892510
TI - Dissociation of energy selected Sn(CH3)4(+), Sn(CH3)3Cl+, and Sn(CH3)3Br+ ions:
evidence for isolated excited state dynamics.
AB - The dissociation dynamics of Sn(CH(3))(4)(+), Sn(CH(3))(3)Cl(+), and
Sn(CH(3))(3)Br(+) were investigated by threshold photoelectron photoion
spectrometry using an electron imaging apparatus (iPEPICO) at the Swiss Light
Source. The tetramethyltin ion was found to dissociate via Sn(CH(3))(4)(+) ->
Sn(CH(3))(3)(+) + CH(3) -> Sn(CH(3))(2)(+) + 2CH(3), while the trimethyltin
halide ions dissociated via methyl loss at low energies, and a competitive
halogen loss at somewhat higher energies. The 0 K methyl loss onset for the three
ions was found to be 9.410 +/- 0.020 eV, 10.058 +/- 0.020 eV, and 9.961 +/- 0.020
eV, respectively. Statistical theory could not reproduce the observed onsets for
the halogen loss steps in the halotrimethyltin ions. The halide loss signal as a
function energy mimicked the excited state threshold photoelectron spectrum, from
which we conclude that the halide loss from these ions takes place on an isolated
excited state potential energy surface, which we describe by time dependent
density functional calculations. The sequential loss of a second methyl group in
the Sn(CH(3))(4)(+) ion, observed at about 3 eV higher energies than the first
one, is also partially non-statistical. The derived product energy distribution
resulting from the loss of the first methyl group is two-component with about 50%
being statistical and the remainder associated with high translational energy
products that peak at 2 eV. Time dependent DFT calculations show that a
dissociative B state lies in the vicinity of the experimental measurements. We
thus propose that 50% of the Sn(CH(3))(4)(+) ions produced in this energy range
internally convert to the X state, on which they dissociate statistically, while
the remainder dissociate directly from the repulsive B state leading to high
kinetic energy products.
PMID- 21892511
TI - Hydrogen bond dynamics in heavy water studied with quantum dynamical simulations.
AB - The structure and dynamics of the hydrogen-bond network in heavy water (D(2)O) is
studied as a function of the temperature using quantum dynamical simulations. Our
approach combines an ab initio-based representation of the water interactions
with an explicit quantum treatment of the molecular motion. A direct connection
between the calculated linear and nonlinear vibrational spectra and the
underlying molecular dynamics is made, which provides new insights into the
rearrangement of the hydrogen-bond network in heavy water. A comparison with
previous calculations on liquid H(2)O suggests that tunneling does not
effectively contribute to the dynamics of the water hydrogen-bond network above
the melting point. However, the effects of nuclear quantization are not
negligible at all temperatures and become increasingly important near the melting
point, which is in agreement with recent experimental analysis of the structural
properties of liquid water as well as of the proton momentum distribution in
supercooled water.
PMID- 21892512
TI - Mechanochemistry: opportunities for new and cleaner synthesis.
AB - The aim of this critical review is to provide a broad but digestible overview of
mechanochemical synthesis, i.e. reactions conducted by grinding solid reactants
together with no or minimal solvent. Although mechanochemistry has historically
been a sideline approach to synthesis it may soon move into the mainstream
because it is increasingly apparent that it can be practical, and even
advantageous, and because of the opportunities it provides for developing more
sustainable methods. Concentrating on recent advances, this article covers
industrial aspects, inorganic materials, organic synthesis, cocrystallisation,
pharmaceutical aspects, metal complexes (including metal-organic frameworks),
supramolecular aspects and characterization methods. The historical development,
mechanistic aspects, limitations and opportunities are also discussed (314
references).
PMID- 21892513
TI - Sulfo-click reaction via in situ generated thioacids and its application in
kinetic target-guided synthesis.
AB - Herein, we describe a practical, one-pot variant of the sulfo-click reaction, in
which 9-fluorenylmethyl-protected thioesters are rapidly deprotected and reacted
further with sulfonylazides to give N-acyl sulfonamides.
PMID- 21892514
TI - Rapid determination of enantiomeric excess: a focus on optical approaches.
AB - High-throughput screening (HTS) methods are becoming increasingly essential in
discovering chiral catalysts or auxiliaries for asymmetric transformations due to
the advent of parallel synthesis and combinatorial chemistry. Both parallel
synthesis and combinatorial chemistry can lead to the exploration of a range of
structural candidates and reaction conditions as a means to obtain the highest
enantiomeric excess (ee) of a desired transformation. One current bottleneck in
these approaches to asymmetric reactions is the determination of ee, which has
led researchers to explore a wide range of HTS techniques. To be truly high
throughput, it has been proposed that a technique that can analyse a thousand or
more samples per day is needed. Many of the current approaches to this goal are
based on optical methods because they allow for a rapid determination of ee due
to quick data collection and their parallel analysis capabilities. In this
critical review these techniques are reviewed with a discussion of their
respective advantages and drawbacks, and with a contrast to chromatographic
methods (180 references).
PMID- 21892515
TI - Uterine pseudotumors.
AB - Pseudotumoral uterine lesions include benign reactive and artefactual changes,
epithelial and mesenchymal, which occasionally are incorrectly interpreted as
malignant or even premalignant lesions. Although some of these changes may have
architectural or cytological abnormality, they are different from those observed
in premalignant and malignant uterine lesions. The most common pseudotumoral
lesions of the endometrium include various types of epithelial and stromal
metaplasia, pseudolymphomas, inflammatory pseudotumor, adenomyosis, post-therapy
surgical changes, artefactual changes, etc. Most of these changes may coexist
with endometrial hyperplasia or endometrial carcinoma, and also with some benign
conditions such as polyps or in combination with hormonal therapy or even in
normal cyclic endometrium. These associated endometrial changes may raise
important issues regarding the diagnosis and subsequent therapy.
PMID- 21892516
TI - Clinical, histopathological and therapeutic considerations in non-neoplastic
abnormal uterine bleeding in menopause transition.
AB - With the decline of ovarian hormonal function, from the fifth decade of life,
women enter the menopause transition, during which bleeding becomes irregular in
duration and time of occurrence. Secondary to ovarian dysfunction, developmental
and maturation endometrial anomalies occur, which are clinically translated by
abnormal uterine bleeding, which in many cases at this age can be caused by
organic lesions (fibroma, polyps, endometritis, endometrial hyperplasia,
adenomyosis, etc.). The retrospective study included a total of 256 patients with
abnormal uterine bleeding in menopause transition. Statistics showed that the
incidence of these types of bleeding increases with age (64.5%) and parity
(30.5%), with symptoms consisting mostly in different clinical forms of abnormal
uterine bleeding (62.1%), and leiomyomas prevailing at histopathological
examination (49.6%). Progesterone replacement therapy was the first therapeutic
choice for correcting these types of bleeding. Progesterone therapy is useful not
only for therapeutic purposes to amend the bleeding, but also as a precaution
against the development of endometrial carcinoma. Progestogens cancel the
proliferative and mitogenic effect of estrogens, even when administered in
sequential regimen 10-12 days per month.
PMID- 21892517
TI - Molecular pathways and pathomorphology of colorectal cancers.
AB - Colorectal carcinomas (CRCs) evolve through multiple pathways. These pathways may
be defined based on two molecular features: (1) chromosomal instability and (2)
chromosomal stability. Tumors showing chromosomal stability evolve through the so
called microsatellite instability pathway. These types of tumors show different
clinico-pathological features and need different therapy so very important to
separate them. As Hematoxylin-Eosin (HE) based histology is influenced by the
different genetic alterations of a tumor, it is reasonable that different gene
expression profiles result in different HE morphology. Our aim was to find
specific histomorphological features specific for colorectal tumors showing
different molecular features. We analyzed the clinicopathological parameters of
324 colorectal carcinomas, 26 hereditary non-polyposis colorectal cancers, 32
sporadic high-level microsatellite-instable (MSI-H) cancers and 266
microsatellite-stable or low-level microsatellite-instable (MSI-L) cancers among
them. Our results showed that we could recognize different genetic types of
tumors on the base of clinicopathological features like patient's age, tumor
localization and histological characteristics of CRCs. Main histological
parameters help in differentiation are inflammatory background, nuclear features
and pattern of infiltration. Clinical parameters like clinical stage and
localization and careful histological analysis helps to select molecular method
to define molecular features and to select the most appropriate therapy of a
given tumor.
PMID- 21892518
TI - Clinical relevance of vascular endothelial growth factor-A in colorectal cancer.
AB - PURPOSE: To study the expression status and clinical relevance of vascular
endothelial growth factor-A (VEGF-A) in colorectal cancer (CRC) tissues.
EXPERIMENTAL DESIGN: VEGF-A expression was investigated by immunohistochemistry
in 89 cases with CRC. Some demographic and histopathological variables were
compared with VEGF-A expression to determine the prognostic significance in CRC.
RESULTS: VEGF-A (-) was found in 24 cases; (+), (++) and (+++) stainings were
detected in 24, 35 and six cases, respectively. VEGF-A (-) was found in 20 of 58
cases with left colon cancer, while only four of 31 cases with right colon cancer
were VEGF-A (-) (p=0.024). There was a trend for lower tumor grade and lesser
serosal invasion in cases with VEGF-A (-) samples (p=0.07 and p=0.079,
respectively). Although the correlation was not statistically significant, there
was a trend for lower death rate in cases with VEGF-A (-) tumor (p=0.087). The
longest survival was found in cases with VEGF-A (-) tumor and the shortest
survival was found in cases with VEGF-A (+++) tumor. Median survival for patients
with VEGF-A (-), (+), (++) and (+++) tumors was 59, 47, 35 and 11 months,
respectively (p=0.02). The Cox proportional hazards model identified stage IV
disease and VEGF-A (+++) tumor as having the most important influences upon
overall survival (odds ratio: 5.1, 95% confidence interval: 2.0-13.0 and odds
ratio: 3.6, 95% confidence interval: 1.0-12.7, respectively), followed by serosal
invasion (odds ratio: 2.4, 95% confidence interval: 1.0-5.9). CONCLUSIONS: This
study shows that VEGF-A is a poor prognostic factor in cases with CRC, but the
relatively small size of the study group precluded the correlation with the
entire known prognostic indicator.
PMID- 21892519
TI - Mucinous adenocarcinoma of the colon - a histochemical study.
AB - Colorectal carcinoma is a major cause of cancer associated with a high rate of
morbidity and mortality in the western world. One of the pathologic features
considered to be important for prognostic is mucin production. Many authors
confirmed that colon carcinomas with high mucin content tend to re-occur locally
and carry a poor prognosis. For histochemical evaluation of mucin content, we
investigated 149 patients who underwent surgical resection of sporadic colon
adenocarcinomas, all over a 5-year period. For histological classification we
used the WHO recommendation (2000) and to be more accurate we sub-classified
mucinous adenocarcinomas by morphometrical analysis in three categories: pure
mucinous, with extracellular mucin more than 80% of the tumoral volume; mixed
type, with 50-80% extracellular mucin; and mixed type with less than 50%
extracellular mucin. For histochemical investigation, we used stains such as:
mucicarmine, PAS / Alcian Blue and High Iron Diamine / Alcian Blue. Our study
proved the predominance of mixed mucinous adenocarcinomas with less than 50%
extracellular mucin, followed by the pure mucinous type. From the biochemical
composition's point of view, the predominant cases were those with acidic mucins,
especially in pure mucinous adenocarcinomas (>90%), while those with mixtures of
acidic and neutral mucins were present in 62% of the cases. In addition, our
study showed the prevalence of sialomucins over sulphomucins (68%), particularly
in pure mucinous adenocarcinomas (77%). Clinical pure mucinous forms were
detected mainly in advanced stages, but in terms of lymph node metastasis rate,
they were secondary after mixed type with 50-80% extracellular mucin.
PMID- 21892520
TI - The association of the rs1049353 polymorphism of the CNR1 gene with
hypoadiponectinemia.
AB - The endocannabinoid system (ECS) is an important physiological system that
modulates appetite, food intake, energy homeostasis, substance addiction. It is
comprised of the cannabinoid receptors (CB1 and CB2), the endogenous lipid
ligands of these receptors and the enzymes that mediate the endogenous ligands'
biosynthesis and degradation. CB1 receptor is expressed in the brain, adipose
tissue, liver, skeletal muscle, gastrointestinal tract and pancreas. The CB1
receptor is encoded by CNR1 gene located at 6q14-q15 level. The aim of our study
was to investigate the possible correlation between rs1049353 polymorphism of the
CNR1 gene with levels of adiponectin in a group of subjects from Romania. The
study included 305 subjects divided in two groups according to their fasting
adiponectin levels. Fasting adiponectin levels were determined using ELISA
technique. The genotyping of the rs1049353 polymorphism of the CNR1 gene was made
using the Real-Time PCR technique. The statistical analysis was performed using
De Finetti's program. The differences between the allelic frequencies indicated
that the presence of G-wild allele seems to confer risk for expressing low levels
of adiponectin (OR=1.917; 95%C.I.=1.353-2.715; p=0.00023) and A-mutant allele
seems to be protective (OR=0.522; 95%C.I.=0.368-0.739; p=0.00023). At the test of
allelic positivity, the presence of the G-allele conferred risk of
hypoadiponectinemia (OR=2.113; 95%C.I.=1.324-3.373). In conclusion, this study
indicates that the rs1049353 polymorphism of the CNR1 gene is associated with
decreased levels of adiponectin. Further research is needed in order to elucidate
the link between the polymorphisms of the CNR1 gene and adiponectin levels.
PMID- 21892521
TI - Hyperplastic polyps and serrated adenomas: precancerous lesions with mixed
immunophenotype.
AB - Our immunohistochemical study wants to be a contribution to clarifying the
adenoma-carcinoma sequence and serrated pathway of colorectal carcinogenesis.
Thus, we performed immunohistochemical analysis of hyperplastic polyps (HP),
serrated adenomas (SA), and classical adenomas (tubular adenomas - TA and
tubulovillous adenomas - TVA) and carcinomas developed from adenomas (CA) using
expression of p53, Ki-67, c-myc, APC, MSH2 and Ets-1 proteins. Because of
correlation of the expression of these proteins, we propose several
immunophenotypes, which show modifications along the known carcinogenetic
mechanisms. Along the adenoma-carcinoma sequence we noted an increase in the
expression of p53, Ki-67, c-myc and Ets-1, and a decrease in APC expression. The
majority of TAs and TVAs are characterized by p53+/Ki-67+, p53+/c-myc+,
p53+/APC+, and Ets-/p53+, Ets-/Ki-67+ immunophenotypes. The majority of HPs and
SAs are Ets-/p53-, Ets-/Ki-67+, Ets-/c-myc+, APC+/MSH2-. In approximately 1/3 of
the hyperplastic polyps and serrated adenomas, we noted that the decrease in
expression of MSH2 is associated with an increase in the expression of p53, c
myc, Ki-67, and Ets-1. Thus, we can conclude that a group of hyperplastic polyps
and serrated adenomas display similar immunohistochemical characteristics to
tubular and tubulovillous adenomas, which delineates a group of precancerous
lesions that can develop via mixed carcinogenic pathways.
PMID- 21892522
TI - Isolation and characterization of chorionic mesenchyal stem cells from the
placenta.
AB - The aim of the study was the isolation and characterization of mesenchymal stem
cells from the placental chorion from a genotypical and phenotypical point of
view. The placentas included in the study were derived from term pregnancies with
a normal evolution. Along with the placentas, umbilical cord blood, maternal and
newborn peripheral blood samples were taken. The isolation and culture of
chorionic and, incidentally, trophoblastic cells was followed by the
determination of markers of the former cells. They expressed proteins and genes
characteristic of stem cells. Immunofluorescence and evaluation of gene
expression evidenced the pluripotential properties of these cells and also their
higher position on the differentiation pathway. HLA expression provides
information that might help explain the immunological mechanisms of tolerance
between the maternal organism and fetal structures.
PMID- 21892523
TI - Neuroimaging parameters in early open spina bifida detection. Further benefit in
first trimester screening?
AB - OBJECTIVE: Morphological investigation of the central nervous system (CNS) in
fetuses with positive markers for open spina bifida (OSB) detection, visualized
by ultrasound during the first trimester of pregnancy. MATERIALS AND METHODS:
Data from fetuses that underwent routine first trimester ultrasound scan in our
center during September 2007-March 2011 and presented abnormal aspects of the
fourth ventricle, also referred as intracranial translucency (IT), provided the
morphological support to evaluate CNS features. A neuro-histological study of
posterior cerebral fossa illustrated anatomical features of the structures
involved in the sonographic first trimester detection of neural tube defects.
RESULTS: Abnormal IT aspects were found in OSB cases examined in the first
trimester, but also in other severe cerebral abnormalities. Brain stem antero
posterior diameter (BS) and brain stem to occipital bone (BSOB) ratio may be more
specific for OSB detection. Correlations between histological aspects of
posterior brain fossa and ultrasound standard assessment have been made;
highlighting the anatomical features involved by the new techniques developed for
OSB early detection. CONCLUSIONS: Preliminary results show that modern
sonographic protocols are capable to detect abnormalities in the morphometry of
the posterior brain. First trimester fourth ventricle abnormalities should be
followed by careful CNS evaluation because are likely to appear in OSB affected
fetuses, but also in other CNS severe anomalies; in such cases, normal BS and
BSOB ratio may serve as indirect argument for spine integrity, if specificity is
confirmed in large series of fetuses.
PMID- 21892524
TI - The effect of distraction rate on bone histological and histomorphometrical
properties in an ovine mandible model.
AB - Lengthening the mandible by distraction osteogenesis (DO) is nowadays a well
recognized technique in maxillofacial surgery. This study compared two different
distraction rates and evaluated histological and histomorphometrical properties
of the distracted bone in an experimental ovine mandible model with the goal of
elaborating a universally accepted distraction protocol. STUDY DESIGN: Tissue
blocks of regenerated bone were harvested from twelve young adult sheep. DO was
performed on the mandibular midline after five days of latency period. The sheep
were divided into two groups. The first group underwent activation of 0.8 mm/day
during 12 days resulting in 9.6 mm of new bone while the second group followed a
geometric rate pattern of 0.2 mm - three days, 0.4 mm - three days, 0.8 mm -
three days and 1.6 mm - three days resulting in 9 mm of new bone. The regenerated
bone was histologically and histomorphometrically analyzed after 30, 45 and 60
days of consolidation. The relative osteoid volume (OV/TTV) was significantly
increased in the geometric rate distraction group (p=0.015) comparing with linear
distraction group while the relative bone volume (BV/TTV) was significantly
increased in the linear distraction group (p=0.019) compared to the geometric
distraction group.
PMID- 21892525
TI - Cone beam computed tomography observations of the lingual foramina and their bony
canals in the median region of the mandible.
AB - PURPOSE: To investigate by means of CBCT the presence of lingual foramina and
their bony canals in the midline of the mandible and to describe their anatomical
characteristics. MATERIALS AND METHODS: CBCT examinations of 36 patients were
carefully examined in the median region of the mandible in order to detect the
lingual foramina and their corresponding vascular canals. Their presence, number,
position, diameter and trajectory were established. RESULTS: Lingual foramina in
the midline of the mandible were observed in all 36 subjects. The number of
canals varied from one to four, with the following distribution: one canal in
71.9% of the cases, two canals in 9.4%, three in 15.6%, and four canals in 3.1%
of the cases. The average diameter of the canals was 0.84 mm. The average
distance from the foramina to the base of the mandible was of 11.2 mm. 19.4% of
the vascular canals penetrated only the lingual third of the width, 52.8% reached
the middle third of the mandible and 27.8% of the canals spread to the buccal
third. CONCLUSIONS: CBCT is a useful tool in planning an implant treatment. It
can reveal multiple anatomic features of the mandible, including the presence and
the morphology of the lingual foramina and their vascular canals in the median
region of the mandible. Clinicians should acknowledge the presence of these
anatomical structures and should be aware of their possible implications.
PMID- 21892526
TI - Histopathological aspects of benign epithelial tumors located in areas of
friction or chronic irritation of the tongue.
AB - Besides lesions considered to be premalignant (such as erythroplasia, Bowen
disease), a number of other potentially malignant lesions with higher or lower
degree of epithelial dysplasia depending on the keratinization degree or
determined by the action of carcinogens and irritants substances are described in
the oral mucosa. Although they are practically considered the most harmless
formations located on the oral mucosa, papillomas and condylomas are also the
most frequent. In this study (conducted on a total of 38 cases with benign
neoplasia) we planned a histopathological evaluation of surgical excision samples
obtained from interventions on lingual neoplasias and harvested from the areas
most exposed to chronic trauma or the areas in direct contact with mechanical
irritants, in order to determine the histopathological pattern of the different
types of histopathological lesions, the possible presence of keratosis-type
changes or even possible dysplasias.
PMID- 21892527
TI - Angiogenesis in the pathogenesis of pterygium.
AB - Pterygium represents an epithelial hyperplasia associated with fibro-vascular
growth. It is an active process, associate with cellular proliferation,
remodeling of the connective tissue, angiogenesis and inflammation. The aim of
this study consists of emphasizing angiogenesis involvement in the pterygium
pathogeny. The material used for this study consisted of 21 pterygion fragments
surgically removed in the Ophthalmology Clinic of the Emergency County Hospital,
Craiova. Nine patients were men, 22 were women, and they were aged between 58 and
81 years. Ten fragments of epibulbar conjunctiva from the vicinity of the sclero
corneal limbus were used as control tissue. They were initially histological
processed by paraffin inclusion. The immunohistochemical processing was made in
the Histological, Histopathological and Immunohistochemical Techniques Laboratory
of the University of Medicine and Pharmacy of Craiova. The working technique used
was ABC/HRP (Avidin complexed with biotinylated peroxidase). Angiogenesis in the
pterygion was investigated with CD31 marker that allows the identification of the
vascular endothelium and the establishment of the vascular microdensity and with
VEGF, which allowed the identification of the main source of proangiogenic
factors in pterygium. Our study emphasized the existence of a much richer
vascularization at the level of the pterygium, compared with the one of the
normal conjunctiva. The respective blood vessels were best represented in the
subepithelial conjunctive, due to the increased necessities of the proliferating
pterygium epithelium. The morphology of the blood vessels is specific for the
neoformation vessels, which have a small caliber, are branched and have a rarely
visible lumen. The investigation of the vascular microdensity has shown the
existence of an intense angiogenesis process at the level of the pterygium and
the overexpression of the VEGF, mainly in the proliferating structures of the
pterygium, plead for the pathogenic involvement of this growth factor in the
development of the pterygium.
PMID- 21892528
TI - Fractal analysis differentiation of nuclear and vascular patterns in
hepatocellular carcinomas and hepatic metastasis.
AB - Hepatocellular carcinoma (HCC) currently represents the fifth most common cancer
worldwide, while being the third leading cause of cancer death. Fractal analysis
is a novel tool used in quantitative and qualitative image assessment. Vascular
patterns and cellular nuclei particularities in tumoral pathology make ideal
candidates for this technique. Our aim was to apply fractal analysis in
quantifying nuclear chromatin patterns and vascular axels in order to identify
differences between images of primary HCC, liver metastasis (LM) and surrounding
normal liver tissue. Formalin-fixed, paraffin-embedded tissue sections from 40
cases of HCC and 40 LM of various origins were used. We performed Hematoxylin
staining for nuclear chromatin as well as immunohistochemical staining for
vascular patterns. High-resolution images were captured; nuclear and vascular
morphologies were assessed on binarized skeleton masks using the fractal box
counting method. Analysis was performed using the free, public domain Java-based
image processing tool, ImageJ, which provided the fractal dimensions (FDs) for
each studied element. Statistical analysis was performed using the ANOVA test
with Bonferroni post-tests and t-tests for paired samples. Fractal analysis of
vascular patterns clearly differentiated between tumoral tissue and normal
surrounding tissue (p<0.01). Further analysis of nuclear FDs improved the
specificity of these results, providing clear differentiation between
pathological and normal tissue (p<0.01). When comparing primary HCC images with
metastatic formations, we encountered statistically significant differences in
nuclear chromatin assessment. However, blood vessels had a higher FD in primary
tumors when compared with liver metastasis (p<0.05) and also allowed for a
differentiation between primary liver tumors with and without
neurodifferentiation. Fractal analysis represents a potent tool for
discriminating between tumoral and non-tumoral tissue images. It provides
accurate, quantifiable data, which can be easily correlated with the pathology at
hand. Primary and metastatic liver tissue can be differentiated to some extent,
however further studies, possibly including other variables (cellular matrix for
instance) are needed in order to validate the method.
PMID- 21892529
TI - Vascular anatomical variants in renal surgery: classic and robotic approach.
AB - INTRODUCTION AND OBJECTIVES: Conservative renal surgery is based on the nephron
sparing principle. Renal arterial vascularization is of terminal type and the
occlusion of an artery generates the necrosis of the corresponding region. The
aim of this research is to analyze the anatomic particularities of the renal
vascular system as they are highlighted in the course of standard and robotic
surgeries. PATIENTS AND METHODS: Between May 2006 and November 2010 we have
performed 35 partial nephrectomies out of which 30 cases (85.7%) were performed
by standard surgical approach and the other five (14%) were robot-assisted. In
the same interval, we have done 103 pyeloplasties to obstruct the pyeloureteral
junction: 65 (63%) were carried through by standard surgical approach, 32 (31%)
by laparoscopic approach and six cases (6%) were robot-assisted. RESULTS AND
DISCUSSION: In 20 (54.3%) of cases, nine (25.7%) had two renal arteries (both
superior and inferior), five (14.2%) had early ramifications of the renal artery
outside the renal sinus, four (11.4%) patients showed two renal veins, one
patient lacked the prepyelic venous plane, and in one patient we have found
duplicate abdominal vena cava. Out of all the pyeloplasty cases, 31 (30%) showed
an obstruction of the renal collecting system by crossing with a segmental artery
or with a vein. CONCLUSIONS: Efficient renal surgery implies good knowledge of
anatomical particularities of the renal vascular. The minimally invasive approach
by robotic laparoscopy remains an essential coordinate in renal surgery and
allows an efficient preparing of the vascular capital.
PMID- 21892530
TI - Morphological and topographical anatomy of nutrient foramina in human upper limb
long bones and their surgical importance.
AB - OBJECTIVES: To study the morphology and topography of nutrient foramina and to
determine the foraminal index of the upper limb long bones. MATERIALS AND
METHODS: The study comprised 243 upper limb long bones, which included humeri,
radii, and ulnae. The nutrient foramina were identified macroscopically in all
the bones and an elastic rubber band was applied around these foramina. The bones
were photographed with the digital camera and foramen index was calculated. Each
bone was divided into five equal parts and was analyzed topographically. RESULTS:
From our observations, 93.8% of the humeri had single nutrient foramen. The
double foramen was observed in 3.1% of the cases and the foramen was found absent
in 3.1% of the humeri. In case of radius, 94.4% had single foramen, 1.4% had
double foramen, and in 4.2% of the cases it was absent. With respect to ulna, all
the 75 bones had single foramen. The mean foraminal index was 57.6 for the
humerus, 34.4 for both the ulna and radius. The majority (70%) of the foramina in
humerus were located at the 3/5th part, 83.6% of the ulnae foramina at the 2/5th
part and 87.7% of the radii foramina at the 2/5th part. CONCLUSIONS: The study
has provided additional information on the morphology and topography of nutrient
foramina in upper limb long bones. The knowledge about these foramina is useful
in certain surgical procedures to preserve the circulation. As microvascular bone
transfer is becoming more popular, a convention for the anatomical description of
these foramina is important.
PMID- 21892531
TI - Correlation between albuminemia, natremia and survival rates in patients with
hepatorenal syndrome.
AB - A two years prospective study was developed, based on the monitoring of 84
patients with cirrhosis and elevated serum creatinine; 33 patients met the
diagnostic criteria for the hepatorenal syndrome. In these 33 patients, survival
rate has been studied in correlation with hepatorenal syndrome types, serum
albumin and natremia.
PMID- 21892532
TI - Histological evaluation of pure NOTES - related complications in a survival
animal study.
AB - Considered as an extension of both laparoscopic surgery and interventional
endoscopy, natural orifice transluminal endoscopic surgery (NOTES) is emerging as
a new alternative of minimal invasive surgery. Literature on the gastrointestinal
complications of this novel technique is sparse. The goal of this study was the
histopathologic evaluation of postoperative complications in a NOTES experimental
animal group. Ten female pigs (Sus scrofus domesticus) underwent transgastric
endoscopic oophorectomy and tubectomy followed by gastric closure using OTSC
clips. Fourteen days after surgery, the animals were sacrificed. Based on the
gross examination during necropsy focused excisional biopsies were performed.
Gross and microscopic evidence of gastric ulcer distal to the puncture site and
perigastric lymph node abscess were found on one animal. Histological evaluation
plays a determinant role in the correct evaluation of postoperative complications
of pure NOTES procedures.
PMID- 21892534
TI - Enhanced dendritic arborization of hippocampal CA3 neurons by Bacopa monniera
extract treatment in adult rats.
AB - OBJECTIVE: Bacopa monniera (BM), a traditional Ayurvedic medicine has been used
in treatment for a number of disorders, particularly those involving anxiety,
intellect and poor memory. The current study examined the effects of standardized
extract of Bacopa monniera on the dendritic morphology in adult rats of
hippocampal CA3 neurons, one of the regions concerned with learning and memory.
MATERIALS AND METHODS: Adult Wistar (2.5-month-old) rats were designated into 2-,
4- and 6-week treatment groups. Rats in each of these groups were divided into 20
mg/kg, 40 mg/kg and 80 mg/kg dose groups (n=8 for each dose). These rats along
with age-matched control rats were then subjected to spatial learning (T-maze)
and passive avoidance tests. Subsequent to the T-maze and passive avoidance
tests, these rats were killed by decapitation, brains were removed and
hippocampal neurons were impregnated with silver nitrate (Golgi staining).
Hippocampal CA3 neurons were traced using camera lucida. Dendritic branching
points (a measure of dendritic arborization) and dendritic intersections (a
measure of dendritic length) were quantified. These data were compared with
control rats. RESULTS AND CONCLUSIONS: The results showed improvement in spatial
learning performance and enhanced memory retention in rats treated with BM
extract. There was a significant increase in the dendritic intersections and
dendritic branching points along the length of both apical and basal dendrites in
rats treated with BM extract for four and six weeks. However, the rats treated
with BM extract for two weeks did not show any significant change in hippocampal
CA3 neuronal dendritic arborization. We conclude that constituents present in BM
extract have neuronal dendritic growth stimulating properties.
PMID- 21892533
TI - Morphometry and CT measurements of useful bony landmarks of skull base.
AB - OBJECTIVE: Aim of this study was to determine the distance between Henle's spine
(HS) on the temporal bone to the clinically important bony landmarks on the dry
skulls that will act as a guide in various surgical procedures on skull base.
Distances from the head of malleus (HOM) to surgically relevant landmarks were
also studied on CT images. MATERIALS AND METHODS: Thirty-nine adult preserved dry
skulls were studied bilaterally. The parapetrosal triangle bounded by
spinopterygoidal, bispinal and the midsagittal lines was identified. The location
of the HS and its distance from the various important anatomical structures were
measured. In addition, five CT images, where distances from the HOM to various
anatomical landmarks were measured. RESULTS: The mean and range of distances from
the HS to various important anatomical landmarks on the spinopterygoidal line,
bispinal line and in the parapetrosal triangle were tabulated. The mean and range
of CT-based measurements of distances from HOM to other anatomical landmarks were
also noted. CONCLUSIONS: The knowledge of unvarying relationship of the HS and
the HOM to the various structures of the skull would assume significance while
planning surgeries around the temporal bone by guiding the direction and degree
of bone removal. Statistical differences between the two genders showed
significant difference only in the distance between the HS to the medial margin
of the external orifice of carotid canal. Therefore, these landmarks can also be
applied as references for various surgeries of middle cranial fossa, as well as
transpetrosal and transmastoid approaches.
PMID- 21892535
TI - Angiogenesis assessment in experimental third degree skin burns: a histological
and immunohistochemical study.
AB - In the past 30 years, after the discovery of vascular proliferation factors,
angiogenesis is one of the most intensively studied fields. Restoring the
vascular network after burn injury is essential for healing, as it brings oxygen
and nutrients to injured tissues, improves the contribution of inflammatory cells
and prepares the damaged area for repair and tissue regeneration. To study the
process of angiogenesis we used seven groups of five animals, each of adult
Wistar rats, which were inflicted with third degree skin burns. From each group
of animals, we sampled at successive intervals of three days the entire burnt
wound with a ring of surrounding normal skin. Sampled skin fragments were
processed for paraffin inclusion, sectioned with a microtome and stained with
Hematoxylin-Eosin or Masson trichrome. The samples were also analyzed using
single chromogenic immunohistochemistry or double immunofluorescence for the
presence of CD34 and alpha smooth muscle actin (alpha-SMA). Angiogenesis process
started at about three days after the burn infliction, with the appearance of
tubular structures lined by CD34-positive cells. Subsequently, these cells showed
intense proliferative activity that generated a network that included progressive
neovascularization around the wound surface. Maximum vascular proliferation
occurred at 9-15 days after injury, when the number of capillaries reached
229/mm2, and the total area of capillary angiogenesis at 100.27 MUm2 (about 10%
of the section area). Subsequently, the process of angiogenesis was gradually
reduced, but remained at moderate levels after wound healing. During the process
of angiogenesis, there was a very close relationship between CD34-positive cells
and pericytes (as alpha-SMA-positive).
PMID- 21892536
TI - Vertebral bone metastasis in breast cancer: a case report.
AB - BACKGROUND: We report here a case of a 66-year-old woman with a very aggressive
form of breast carcinoma, having both liver and bone dissemination points. CASE
DESCRIPTION: The patient was admitted for a rapid onset disk-herniation-like
syndrome, but which on further investigation proved to be in fact a metastatic
case of breast cancer. We found evidence of disseminations at least in the lumbar
vertebral bodies and the liver. Pathological analysis of the available vertebral
metastasis revealed a HER2+ molecular pattern, accordingly to the newly evolving
molecular typing of breast cancers. Despite a rapid treatment instauration, the
patient reacted poorly to taxanes and octeoclast inhibitors, and died after less
than 11 months from admitting to the hospital. CONCLUSIONS: This is a rare case
of an aggressive breast carcinoma identified initially after the vertebral
metastases themselves that induced a non-specific symptomatology.
PMID- 21892537
TI - Follow-up of childhood chronic myelogenous leukemia with monitoring the BCR-ABL
fusion gene expression in peripheral blood.
AB - Chronic myelogenous leukemia (CML) accounts for 15-20% of adult leukemias but is
very rare in children (2%). Fewer than 10% of CML patients are younger than 20
years. CML is a myeloproliferative disorder characterized by the presence of the
Philadelphia chromosome or the BCR-ABL fusion oncogene. The objective of this
paper is to present the monitoring of imatinib therapy in two children with CML
by the BCR-ABL fusion gene expression assessment from peripheral blood with
quantitative real-time polymerase chain reaction (PCR) method. PATIENTS AND
METHODS: The 18 and six months follow-up of the patients included clinical
examination, routine laboratory tests, bone marrow aspirate investigation
including cytogenetic tests and the major BCR-ABL fusion gene expression
measurement with qRT-PCR method from the peripheral blood. RESULTS: Patient No. 1
diagnosed with chronic phase CML showed excellent adherence to daily 400 mg
imatinib treatment and achieved complete hematologic (CHR) and cytogenetic
response (CCR) by three months and major molecular response (MMR) by 12 months,
with lack of side effects due to imatinib. Patient No. 2 experienced severe
hematologic toxicity, which necessitated temporary withdrawal of the drug.
Transient non-compliance together with imatinib dose reduction has driven to
treatment failure. In this case, mutational analysis is warranted. CONCLUSIONS:
BCR-ABL fusion gene expression level measurement from peripheral blood with qRT
PCR method is an excellent tool in the follow-up of CML patients.
PMID- 21892538
TI - Sinusoidal hemangioma of the arm: case report and review of literature.
AB - Sinusoidal hemangioma is a rare variant of cavernous hemangioma that develops
more frequently subcutaneous, often in the extremities. We report the case of a
19-year-old patient three times operated for a recurrent soft tissue tumor,
located in the distal third of the antero-medial face of the right arm. Treatment
was surgical and consisted of microsurgical excision of a tumor with dermal and
intramuscular location. Histopathological analysis showed a nodular proliferation
of interconnected thin-walled blood vessels, sinusoidal growth pattern and the
presence of pseudopapillary structures. Immunohistochemical investigations were
positive for CD31, CD34 and Ki67 index decreased, confirming the benign nature of
vascular proliferation.
PMID- 21892539
TI - Dystrophic epidermolysis bullosa: two case reports.
AB - We identified the mutations in two patients with different phenotypes of
dystrophic epidermolysis bullosa (DEB). We performed molecular diagnosis to a
patient aged 45 years who showed the typical severe generalized autosomal
recessive DEB signs when admitted to the hospital. The other patient is a 4-month
old boy who showed a moderate clinical aspect of DEB, dominated by nail
dystrophy. The molecular diagnosis disclosed in the first patient the presence of
a heterozygous mutation consisting of a nucleotide substitution that lead to a
splice site mutation, namely 425-2 A>G, associated to a premature termination
codon, in exon 5, namely c.553 C>T, p.R185X and in the second patient a
heterozygous substitution at nucleotide position 6100 that converts a glycine
amino acid to arginine (6100G>A). The mutation is designated G2034R. We conclude
that molecular diagnosis is the conclusive EBD investigation, maps the phenotype
of a patient with his genotype and thus allows a better understanding of the
disease mechanism and the development of gene therapy. Molecular diagnosis also
enables genetic counseling and prenatal diagnosis.
PMID- 21892540
TI - Endodontic treatment of a mandibular canine with two roots.
AB - Lower canine is a tooth with a robust, long root and very good implantation,
making it a valuable abutment tooth for any type of prosthetic treatment. In
order to treat it conservatively and to prevent its loss from the dental arch it
is necessary to accomplish a correct and complete endodontic treatment, which
involves knowledge of all its morphological variation. The mandibular canine
usually presents one root with a single large canal centrally located. The
possible anatomical variations are the existence of a single root with two canals
and of two different roots, each having a canal. The incidence of lower canines
with two roots is usually low, as described in various studies, but their
presence in everyday practice shows that the clinician must consider them if he
wants to prevent dental endodontic treatment failure. This article presents a
relatively rare case of a patient whose right mandibular canine has two roots and
two canals. Although the frequency of mandibular canines with two roots is very
low, we must not forget that we can deal with such cases, which can obviously
occur even in patients in our country, as the ones described in foreign specialty
literature.
PMID- 21892541
TI - Idiopathic orbital inflammatory pseudotumor: case report and review of the
literature.
AB - Idiopathic orbital inflammatory pseudotumor is a chronic neoplasm-like
inflammatory reaction, usually affecting the orbital tissues of both eyes and
orbit. Isolated optic nerve involvement by the idiopathic inflammatory process is
a rare finding and very few reports are available. Here a case of an isolated
orbital nerve inflammatory pseudotumor presenting with gradually progressive
unilateral loss of vision is described. It showed dramatic response to surgery
and steroid treatment and its differential diagnoses are discussed.
PMID- 21892542
TI - More actors, different play: sphenoethmoid cell intimately related to the
maxillary nerve canal and cavernous sinus apex.
AB - The sphenoid sinus is one of the most morphologically variable and surgically
important structures of the skull base. Located below the sella turcica,
neighbored by parasellar regions, such as the orbital apex, pterygopalatine fossa
and lateral sellar region (cavernous sinus), it is clinically related to these
and surgically relevant as corridor for various approaches. Moreover, at the
sphenoethmoidal junction, important variations occur, most of these related to
the presence of the Onodi cells and the intrasinusal protrusions of the optic
nerve. That is why any identified and previously undescribed morphological
variation at that level must be added to the well-established protocols, clinical
and surgical. During a retrospective CT study of the sphenoid sinus anatomical
features a previously unreported morphology was encountered and is reported here.
It refers to a unilateral sphenoethmoid cell (SEC), Onodi-positive, not only
overriding the superior aspect of the sphenoid but also its lateral side to get
intimately related to the maxillary nerve. As that SEC expanded medially to the
cavernous sinus apex, it altered the usual endosinusal morphological correlations
and also added itself within the limits of the Mullan's triangle. It appears so
that such postero-infero-lateral extended pneumatization of an Onodi cell alters
the surgical landmarks and also can blur clinical pictures, by adding maxillary
and pterygopalatine signs and symptoms.
PMID- 21892543
TI - Undesirable dental hard tissue effects hypothetically linked to orthodontics - a
microscopic study.
AB - Like any other medical intervention, the orthodontic treatment may have, besides
the positive effects, also unwanted secondary consequences. The aim of this study
was to evaluate the changes present in dental hard tissue associated with
orthodontic treatment. The stereo-microscopic ex vivo analysis was performed on
two sets of maxillary first premolars undergoing orthodontic treatment for a long
period of time (12 and 23 months); five teeth with other clinical situations were
used as controls. By analyzing the teeth undergoing orthodontics, enamel color
alterations were mainly found adjacent to the bracket, more pronounced in the
gingival area, suggesting the need for a correct oral hygiene around it.
Roughness was higher on the enamel surface corresponding to the bracket's base,
aspect linked to the resign impregnation during bonding procedures. At the apical
part, changes regarding contour, appearance and surface roughness were noticed.
These modifications were suggestive for the presence of apical root resorption.
The severity of root resorption was not correlated with the duration of
treatment. In conclusion, through microscopic analysis changes that may be
associated with orthodontic treatment have been observed in both crown and apical
level.
PMID- 21892544
TI - Secondary involvement of lymph nodes in Kaposi sarcoma.
AB - Kaposi sarcoma is a low-grade neoplasm first described by Moricz Kaposi in 1872.
Although many attempts have been made to explain its pathogenesis, its etiology
still remains obscure. In this regard, many aspects of the disease's genetic,
epidemiological and histopathological backgrounds are even today unclear. We
present the case of a 57-year-old male patient, constant HIV negative, with a
history of plaque-like lesions on his right foot approximately two years ago.
Following surgical removal, a diagnosis of Kaposi sarcoma, plaque stage was
settled. One year after, the patient was admitted to the hospital for pain in the
right ankle and foot, associated to paresthesis and trophic lesions at this
level. Similar lesions developed in the popliteal fossa. Biopsy and subsequent
histological and immunohistochemical examination revealed a KS at that level. The
most recent hospital admission revealed the appearance of an indolent
lymphadenopathy in the groin. Our case represents a rare occurrence of Kaposi
sarcoma at a HIV-negative patient, which, after several local recurrences and
progressive behavior, produced a lymph node involvement at the groin level. The
immunohistochemical assessments have confirmed the diagnosis.
PMID- 21892545
TI - The lateral incisive canals of the adult hard palate - aberrant anatomy of a
minor form of clefting?
AB - Except the oral clefts and their associated dental development disturbances, no
other discrete morphologies are reported in the literature as related to altered
fusions of the fetal maxilla and premaxilla. We report here two cases related by
the persistence in adult of an aberrant canal at the fusion site of the fetal
premaxilla and maxilla. The first case presents an anastomosis of the superior
anterior alveolar and greater palatine nerves, encountered during the dissection
of a human adult male cadaver; that anastomosis, bilaterally present, projected
on the aforementioned fusion site and traversed the hard palate to continue
within the maxillary sinus wall. The second case evidenced on CT the unilateral
presence of aberrant lateral incisive canals (LIC) at the level of the fetal
premaxilla and maxilla fusion site; those canals, external (1.5 mm diameter) and
internal (1.07 mm diameter), were corresponding as location to that one traversed
by the aberrant anastomosis in the first case. Both LIC opened inferiorly but not
superiorly, rather seeming to communicate with the bony canals within the nasal
fossa floor at that level. We consider that such aberrant canals and nerves may
represent very rare forms of clefting, previously undescribed; the possible
anastomoses of the superior anterior alveolar and greater palatine nerves can be
altered during a Le Fort I fracture and may be the morphology that can explain
aberrant clinical nervous distributions at the level of the upper dentoalveolar
arch and hard palate.
PMID- 21892546
TI - Letter to the editor. Variant hepatic portal vein and incomplete celiac trunk:
the anatomical context.
PMID- 21892547
TI - [Evolution of the prison population mortality after introduction antiretroviral
therapy (ART)].
PMID- 21892548
TI - [Intervention of the case management nurse in hospital admissions of patients
with HIV infection].
AB - In countries with access to antiretroviral treatment such as ours, HIV is a
chronic disease both characterized for being treated only in hospitals and for
the aging of the affected population, the persistence of opportunist infections,
the complexity of the antiretroviral treatment, the frequency of adverse effects
due to therapies, drug interactions and the high costs of this disease for the
Healthcare System. This could justify the need for the creation of the position
of nurse case manager to monitor patients with HIV infection, admitted to
conventional hospital wards, as a unifying element of the individual's needs and
coordinate resources through proper planning and care management.
PMID- 21892549
TI - [Causes and trends of mortality in a Spanish prison (1994-2009)].
AB - BACKGROUND: There are a few mortality researches in prisons. To know this measure
can to be important for take decisions of Public Health. The aim of the paper is
to describe mortality and its trend in our prison. METHODS: This is a descriptive
and retrospective study of the deaths between 01/01/1994 and 31/12/2009. Two
periods of 8 years have been compared through exact test of Fisher in order to
detect changes in causes of mortality. First of all, we made an indirect
standardization of rates and compare mortality in our institution with other
Spanish prisons. Through linear regression model we have settled in trends of
mortality rates. RESULTS: Had 59 deaths, 58 in men with a median age 34.9 years
old (28.7- 40.4). 64,4% were HIV+ . From 1994 to 2001 the main cause of mortality
was VIH infection (48.6%) the second one was cardiovascular event (10.8%), while
that between 2002 and 2009 this trend have change, cardiovascular event caused
(31.8%) and VIH infection (22.07%) (p=0.026).The annual crude mortality rate
decreased -0.485 deaths 0/00 inmates/year (IC 95%: -0.864 to -0.107).
Standardizing rates, we get 42 deaths expected for the wholly period, with
Standarized Mortality Ratio of 1.407 (I.C. 95%: 1.071 to 1.816). CONCLUSIONS: The
main cause of mortality has been no-HIV diseases, among these mainly
cardiovascular events. The trend of mortality rate has been decreasing although
we observe 40.7% plus of deaths than we would expect.
PMID- 21892550
TI - [Relationship between the number of hours of informal care and the mental health
status of caregivers].
AB - BACKGROUND: Mental health of caregivers is damaged by caregiving tasks. Studies
are needed to determine the influence of several variables on the association
between informal care and mental health. The aim of this paper is to analyse the
effects of the time devoted to informal caregiving on the mental health of women
and men in relation to the type of dependents. METHODS: National Health Survey
2006, sample 29,478. VARIABLES: mental health state (GHQ-12), number of hours
devoted to caregiving, age, social class, and functional support (Duke-UNC). A
logistic regression analysis was performed to determine the probability of having
poorer mental health according to the number of hours of caregiving, adjusted for
age, social class and functional support. RESULTS: Women show poorer mental
health when they spend more than 97 hours per week taking care of children
[OR=1,372], more than 25 hours caring for persons over 74 years of age [OR=1,602
between 25 and 48 hours; OR=1,467 49-96h.; OR=1,874 97-168h.], and when they
devote some hours to provide care to adults with disabilities [OR=1,996 0-24h.;
OR=2,507 25-48h.; OR=3,016 49-96h.; OR=1,651 97-168h.]. Men show deterioration in
mental health when they devote a high number of hours to caring for persons over
74 years [OR=2,810 97-168h.] and adults with disabilities [OR=3,411 97-168h.],
and when they devote some hours to childcare [OR=1,595 0-24h.]. CONCLUSIONS: The
effect of the number of hours devoted to caregiving on the mental health of
caregivers is influenced by the type of dependents and the gender of the
caregiver.
PMID- 21892551
TI - [Variability in the control of oral anticoagulant therapy between primary care
and hospital in Albacete (2009)].
AB - BACKGROUND: After taking control of oral anticoagulant therapy in our health
center it became necessary to detect possible differences with regard to hospital
monitoring. The aim of our study was to determine the variability in the
International Normalized Ratio (INR) values of patients on oral anticoagulant
therapy (OAT), and the possible relation to control in primary care or hospital.
METHODS: We analyzed the last 6 controls of 291 patients in an urban health
centre in routine control by OAT. In order to analyze the variability, we
calculated the standard deviation (SD) of natural logarithm (ln) of INR values,
comparing by Student t test their mean between patients whose treatment had been
scheduled in primary care and those on some occasions ruled by them and others in
hospital. We compared also the proportion of controls within range in each group
(chi2). RESULTS: 153 (52.6%) patients were women, and the mean age was 73.8 years
(SD: 11.3). We analyzed a total of 1710 INR controls, of which 1412 have been
validated by family physicians and 298 by haematologists; there were no
significant differences in INR values. Patients whose treatment had been
scheduled by professionals of the health centre had mean values of the SD of the
ln of the INR of 6 controls analyzed significantly lower (p <0.0001) than in
those who had taken a mixed control. Also, a higher proportion of controls within
range (68.0% vs 38.6%, p <0.0001). CONCLUSIONS: Patients who are followed only by
professionals in the health centre have less variability and more appropriate INR
control than those with a mixed control health centre / hospital.
PMID- 21892552
TI - [Trends in prevalence of risk factors and global cardiovascular risk in general
population of albacete, Spain (1992-94 a 2004-06)].
AB - BACKGROUND: To establish strategies for prevention of cardiovascular disease
implies to know its epidemiology and evolution in time. The objective of this
study is to know the prevalence of risk factors and cardiovascular risk in two
moments during the following of a grownup general population. METHODS: Study of
cohorts, followed at random selected general population during 12 years (1992-94
to 2204-06). Two transversal studies were made, one at the beginning and the
other one at the end of this follow-up. The population in this study was 18 years
and older registered in the province of Albacete. Random sampling, stratified and
two-stage. The sample size for the first cut was 2121 subjects and for second one
1577. One specific anamnesis was made, physical examination, measurement of blood
pressure, electrocardiogram and extraction of venous blood. The studied variables
were: age, sex, personal and familiar antecedents, risk factors and global
cardiovascular risk. RESULTS: 1322 subjects went to the appointment for the first
examination (mean age 48.2 years. 53.6% women) and 997 for the second (mean age
52.8 years. 56.7% women). Has Increased the prevalence of hypertension (32.7% to
41,2%), diabetes (9,8 to 11,4%), obesity (27,8 to 34,3%) and hypercolesterolemia
(47,5 to 53,5%), whereas smokers have decreased (32,6 to 23,7%) and have
handicapped the average values of arterial pressure (132/81 to 129/73 mmHg),
glycaemia (100,8 to 92,8 mg/dl) and LDL-cholesterol (128,7 to 116,7 mg/dl) and
also a lowering of cardiovascular risk with Framingham (10,8% to 8,2%) and Score
(2,3% to 1,6%). CONCLUSIONS: In the last years an increasing prevalence of risk
factors has been seen (hypertension, diabetes and hypercolesterolemia), a better
control of them, and lower prevalence of smoking and cardiovascular risk in the
population has also be seen.
PMID- 21892553
TI - [Public health service prescriptions of vaccines not included in systematic
vaccination programs in Valencian community, Spain, during the period 2004-2009].
AB - BACKGROUND: In the context of the policies of rational use of medicine, and in
order to achieve an efficient management of the vaccinations programs, we expect
to know the number of packings and cost of prescribed vaccines not included in
the vaccination programs of Valencian Community and its departments during 2009
and to analyze its evolution since 2004, focusing on an analysis of Heptavalent
pneumococcal conjugate vaccine in children under two years old. METHODS:
Retrospective descriptive study to analyze the prescriptions of vaccines in
Valencian Community during 2009 and its evolution since 2004. VARIABLES: vaccine
availability, number of packings, group of beneficiary (actives/pensioners),
department, and cost of prescriptions. DATA SOURCES: Gestor de Prestacion
Farmaceutica (GAIA) and Sistema Informacion Poblacional (SIP). RESULTS: In 2009
prescribed vaccines on official national health system prescription forms that
are not included in vaccination programs, supposed a cost of 683.445,71 ?
corresponding to 17.353 packings (87% of the total prescribed vaccines).
Heptavalent pneumococcal conjugate vaccine generated 72% of the total cost of
vaccines not included in the vaccination programs. The trend from 2004 to 2009
shows an increase in expenditure of 735.334 ? (24,66%) in 2005 from which there
takes place a marked and gradual decrease that reaches 1.562.650,67 ? (-228.64%).
The cost by departments of prescriptions per 1000 children under two years old of
pneumococcal conjugate vaccine ranges between 17.377 and 324 ?. CONCLUSIONS: The
declining trend of prescriptions, mainly of pneumococcal conjugate vaccines,
continues during 2009. A great interdepartmental variability is observed,
nevertheless, in rates of prescription that should be corrected.
PMID- 21892554
TI - [Impact of a PET/CT facility in its community environment].
AB - BACKGROUND: The PET/CT (Positron Emission Tomography/Computed Tomography)
technique is currently expanding, with new facilities and indications appearing
every year. Being mostly an outpatient technique, patients leave the facility
when the study has been performed, although they still retain a certain amount of
radiopharmaceutical. Therefore, setting up a PET/CT facility might involve a risk
increase for the population. This study aims at estimating this risk. METHODS:
Comprehensive measurements to estimate dose levels have been carried out in the
PET/CT facility at Hospital General Universitario Gregorio Maranon. The
population has been distributed into five categories according to their
involvement in the studies and their radiation exposure. A quantitative dose
estimation has been carried out. RESULTS: The risk associated with a PET/CT
facility has been assessed and no risk increase has been detected. Staff dose is
shown to be less than 2 MUSv per patient, ~20 MUSv for an accompanying person and
~40 MUSv for a relative. Citizens walking along with patients or sharing public
transportation with them receive a dose that is below Madrid's background
radiation. CONCLUSIONS: Values obtained are well below annual dose limits.
Moreover, these results support the outpatient care of this kind of studies,
because accompanying persons' and relatives' risk is negligible. The impact of a
PET/CT facility does not involve an increase in risk for the general population.
PMID- 21892555
TI - [Comorbidity and cardiovascular risk in subjects with initial diagnosis of
hypercholesterolemia].
AB - BACKGROUND: For a proper approach to the subjects, in which the presence of
hypercholesterolemia is identified for the first time, is important to consider
simultaneously both cardiovascular risk factors and the presence of other
diseases. The purpose of our study was to describe the lipid profile of patients
in which the presence of hypercholesterolemia is detected for the frist time and
to determine their cardiovascular risk and comorbidity. METHODS: Observational
cross-sectional study in a Primary Care setting. In 274 subjects with a plasma
cholesterol level higher or equal to 200 mg / dL ("limit" hypercholesterolemia),
selected by consecutive sampling, we assessed: lipid profile, cardiovascular risk
factors and cardiovascular risk (SCORE and Castelli's atherogenic index),
comorbidity (Charlson's Index) and sociodemographic characteristics. RESULTS: The
mean cholesterol level was 232.9 mg/dl. Hypercholesterolaemia was reported
"definite" (>= 250 mg / dl) in 21.1% (95% CI: 16.2 to 26.1). A 9.5% showed a
cardiovascular risk >= 5%. Lipoprotein ratio of total cholesterol/HDL cholesterol
was higher in men than in women (4.4 vs. 3.8, p <0.001) in subjects with
Charlson's Comorbidity Index > = 1 (4.1 vs. 3.9, p = 0.04), in smokers (4.3 vs.
3.9, p = 0.04) and in hypertensive subjects (4.2 vs. 3.9, p = 0.03), obese (4.2
vs 3 , 7, p <0.05) or with the metabolic syndrome (4.4 vs 3.9, p = 0.02). We
observed a higher proportion of subjects with moderate cardiovascular risk / high
or cardiovascular disease in those with comorbidity (87.3% vs 42.3%, p <0.01).
CONCLUSIONS: More than a third of the subjects in which "limit" cholesterol was
identifiyed for the first time presents comorbidity, being "defined"
hypercholesterolemia in 21.1% of the cases. Takeing in consideration the Score
function assessment, one outif 10 subjects presents high cardiovascular mortality
risk after 10 years. Both lipoprotein ratio and cardiovascular risk are markedly
higher in subjects with comorbidity.
PMID- 21892556
TI - [Patients' satisfaction with communication with their family doctors: comparison
of three methods for assessing unmet needs].
AB - BACKGROUND: Different aspects of the doctor-patient relationship were associated
with satisfaction and other outcomes of the consultation. However, measurements
of the perception of communication quality are often limited by the "ceiling
effect". To evaluate the relationship and differences between three ways to
obtain information regarding patient satisfaction and perception of unmet needs
in communication aspects with your doctor. METHODS: An observational descriptive
study was design. Patient perception regarding four communicational domains when
attending family doctors measured by means of three different type of questions.
RESULTS: PARTICIPATION: 658 (91%) patients attending the consultation of 97
physicians in 58 Health Centres. 401 (62%) of them were females. Average age 52
years (TD:17,4; CI95%: 50,6-53,3 years), 401 females (62%: CI95%: 58,7-66,4%). In
close ended questions, patients declared high rates of satisfaction for all the
communicative domains studied: relationship 99,7% (CI95%: 98,8-99,9%), explaining
reasons for consultation (CI95%: 94,4-97,7%), information regarding causes 96,2%
(CI95%: 89,9- 94,3%), and therapeutic plan 97,9% (CI95%:96,7-99,1%). Patients
declared as insatisfied gave high number of suggestions or showed more
discrepancy of frequency (p<0.05); nevertheless, up to 28,9% of satisfied
patients made suggestions for improving communication (p<0,001). CONCLUSIONS:
[corrected] Designing open-ended questions where patient can make suggestions,
seems to be a good method for detecting unmet needs in doctor patient
communication that close-ended question about satisfaction or those exploring
possible discrepancy on the frequency of some behaviours.
PMID- 21892557
TI - Physical excercises on glycemic control in type 1 diabetes mellitus.
AB - Type 1 diabetes is a metabolic diseases characterized by hyperglycemia, results
from the destruction of insulin-producing pancreatic beta cells. Diabetes
management usually by insulin, dietary and physical activity. AIM: Assess the
relationship between physical activity and glycemic control in type 1 diabetes
subjects. METHODS: The literature search conducted in Pubmed and ScienceDirect
databases and was initially identified 24 articles and we applied the inclusion
criteria that considered original, full-text, remaining thirteen articles
published between 1992 and 2009. RESULTS AND DISCUSSION: Two studies found a
positive association between physical exercises and adequacy of glycemic control
on long-term, determining by glycated hemoglobin (HbAlc) and increase the insulin
sensitivity, whereas three articles didn't found relations between exercises and
glucose, insulin sensitivity and formation of ketone bodies. CONCLUSION: There
are positive influences of exercise of long-term glycemic control in type 1
diabetes, however results are contradictory with respect to insulin sensitivity
and fasting glucose. Glycemic control in diabetes should be based on HbA1c
values, self-monitoring of blood glucose and reduction of insulin requirement,
such as have been demonstrated in several studies. Thus physical exercise, along
with dietary therapy and medication, are important to control diabetes.
PMID- 21892558
TI - Energy expenditure: components and evaluation methods.
AB - INTRODUCTION: The determination of energy expenditure, considering the physical
activity level and health status, is very important to adjust the individuals'
nutritional supply. Energy expenditure can be determined by using indirect
calorimetry, bioelectrical impedance, doubly labeled water, predictive equations,
among others. All these methods have been used in clinical and research areas.
However, considering the inconsistence in several research results, there is no
consensus yet about the applicability of many of these methods. OBJECTIVES: The
aim of this review is to describe the components of energy expenditure and the
methods for its determination and estimation, summarizing their main advantages
and limitations. RESULTS AND DISCUSSION: Indirect calorimetry and doubly labeled
water are considered more accurate methods, but expensive. On the other hand,
even though other methods present limitations, they are convenient and less
expensive, and can be used with some caution.
PMID- 21892559
TI - Molecular mechanisms of steatosis in nonalcoholic fatty liver disease.
AB - Nonalcoholic fatty liver disease (NAFLD) is the most important cause of chronic
liver disease and is considered the hepatic manifestation of the metabolic
syndrome associated with diabetes mellitus type 2. The prevalence of NAFLD in the
general population reaches 15-20%. It is also estimated that nonalcoholic
steatohepatitis (NASH) affects 3% of the population. NAFLD refers to a wide
spectrum of liver damage, which ranges from simple steatosis or intracellular
triglyceride accumulation, to inflammation (NASH), fibrosis and cirrhosis. The
mechanisms involved in the accumulation of triglycerides in the liver and
subsequent hepatocellular damage are multifactorial and are not completely
understood. However, metabolic changes such as insulin resistance (IR) are
developed, being a common factor in the retention of fatty acids (FA) within the
hepatocytes with oxidation and production of free radicals at the mitochondrial
level, which are capable of causing lipid peroxidation, cytokine production, and
necrosis. In addition, there are alterations in the hepatic bioavailability of
long chain n-3 polyunsaturated fatty acids, conditions that alter the expression
of a series of transcriptional factors involved in lipolytic and lipogenic
processes in the liver. A greater knowledge of the etiopathogenic mechanisms of
NAFLD is fundamental for the development of future effective therapeutic
strategies. The pathophysiological fundamentals of liver steatosis are analyzed
in this study.
PMID- 21892560
TI - Systematic review of the clinical efficacy of sibutramine and orlistat in weigth
loss, quality of life and its adverse effects in obese adolescents.
AB - INTRODUCTION: The prevalence of obesity, a serious public health problem, is
increasing among teenagers and thus also increases cardiovascular morbidity and
mortality in adulthood. OBJECTIVE: To provide a systematic review of the best
evidence about the effect of sibutramine and orlistat in weight loss, quality of
life and its adverse effects in adolescents diagnosed with obesity. METHODS: We
searched electronic databases and bibliographies of selected articles were
inspected for any further reference. We included only randomized controlled
trials that met a set of predefined criteria. The studies were reviewed by a
narrative synthesis. RESULTS: We included 6 randomized controlled trials of
sibutramine and 3 of orlistat. The majority reached a moderate to high
methodological quality. Sibutramine and orlistat showed a reduction in body mass
index (BMI) that was significantly higher compared with the placebo group. We
also found a variation of weight with these drugs significantly better than
placebo. Only one trial evaluated the quality of life. The incidence of adverse
effects was similar for sibutramine and placebo, except for tachycardia. The most
common adverse reactions associated with orlistat were gastrointestinal, mild to
moderate. CONCLUSIONS: Sibutramine and orlistat in combination with a hypocaloric
diet and changes in lifestyle in obese adolescents achieve a short-term loss of
weight greater than that achieved through the dietary-behavioral therapy alone.
PMID- 21892561
TI - Comparison of bioelectrical impedance with skinfold thickness and X-ray
absorptiometry to measure body composition in HIV-infected with lipodistrophy.
AB - INTRODUCTION: Human immunodeficiency virus (HIV)-associated lipodystrophy
syndrome (LS) includes body composition and metabolic alterations. Lack of
validated criteria and tools make difficult to evaluate body composition in this
group. OBJECTIVE: The aim of the study was to compare different methods to
evaluate body composition between Brazilians HIV subjects with (HIV+LIPO+) or
without LS (HIV+LIPO-) and healthy subjects (Control). METHODS: in a cross
sectional analyses, body composition was measured by bioelectrical impedance
analysis (BIA), skinfold thickness (SF) and dual-energy x-ray absorptiometry
(DXA) in 10 subjects from HIV+LIPO+ group; 22 subjects from HIV+LIPO- group and
12 from Control group. RESULTS: There were no differences in age and body mass
index (BMI) between groups. The fat mass (FM) (%) estimated by SF did not
correlate with DXA in HIV+LIPO+ group (r = 0,46/ p > 0,05) and had fair agreement
in both HIV groups (HIV+LIPO+ =0,35/ HIV+ LIPO- = 0,40). BIA had significant
correlation in all groups (p < 0,05) and strong agreement, meanly in HIV groups,
for FM (HIV+LIPO+ = 0,79/ HIV+LIPO- = 0,85 / Control = 0,60) and for fat free
mass (FFM) (HIV+LIPO+ = 0,93 / HIV+LIPO- = 0,92 / Control = 0,73). DISCUSSION:
Total fat mass can be measured by BIA with good precision, but not by SF in HIV
infected patients with LS. Segmental BIA, triciptal SF, circumferences of arms,
waist and legs maybe alternatives that need more studies.
PMID- 21892562
TI - Comparison of NCHS, CDC and WHO growth charts in the nutritional assessment of
hospitalized children up to five years old.
AB - AIMS: This study evaluated the agreement of growth charts proposed by the
National Center for Health Statistics (NCHS/1977), Centers for Disease Control
and Prevention (CDC/2000) and World Health Organization (WHO/2006). METHODS: Were
assessed children between 0 and 5 years old, hospitalized in the pediatric wards
of a Brazilian school hospital. Z-score indexes: stature/age (S/A), weight/age
(W/A) and weight/stature (W/S) was evaluated, in each of the three references
(NCHS, CDC and WHO). ANOVA and test Bland & Altman and Lin plots were used in the
comparison of the 3 charts. The agreement of the nutritional state categories was
also evaluated, through kappa coefficient. The study was approved by the
Institution's Research Ethics Committee. RESULTS: The study analyzed 337
children, whose median age was 0.52 (IQR: 0.21-1.65) years, 65.3% of them were
below 1 year old, 60.2% were male and 50% hospitalized due to acute respiratory
disease. Lower Z-scores of W/A and S/A were obtained with the WHO charts and
lower W/S with the CDC chart. High correlation and agreement were observed among
the criteria, but more patients were classified as presenting shortness through
the WHO criteria. CDC and WHO criteria were more rigorous than the NCHS criteria
for the diagnosis of underweight (W/A) and malnutrition (W/S). CONCLUSION:
Despite the strong agreement of the 3 charts, the adoption of the WHO charts
seems to be more helpful for the children's nutritional screening for admission,
as it enables to detect a higher number of malnourished children or at
nutritional risk, who will benefit from an early intervention.
PMID- 21892563
TI - [Agreement between the self-perception on the body image and the nutritional
status in college students from Orense].
AB - The aim of this study was to detect the possible changes in dietary behavior
among college students from the University Campus of Orense by means of self
perception of their body image. 145 college students participated, 107 women (74%
of the study population with a mean age of 25.2 +/- 2.9 years) and 38 men (26%,
with a mean age of 25.3 +/- 3.3 years). This is a descriptive cross-sectional
study using questionnaires and assessing the body mass index (BMI), the
subjective weight and using two sub-scales of the Eating Disorders Inventory 2:
the body dissatisfaction (EDI-IC) sub-scale and the slimness obsession (EDI-OD)
sub-scale. The results show that most of the population had normal weight, there
exists no obesity among the female population and there were no underweight cases
among the male population. More than half of the population (55% of women and 63%
of men) that a distorted judgment of their body as compared to the BMI values,
with men underestimating their weight and, among women, there are cases
underestimating or overestimating their weight. The women more unsatisfied with
their body image were those having overweight or low weight, and those more
obsessed with losing weight are those in the upper limit of the normal weight.
Among men, those having overweight or obesity are the most unsatisfied and most
obsessed with losing weight.
PMID- 21892564
TI - Agreement and association between the phase angle and parameters of nutritional
status assessment in surgical patients.
AB - BACKGROUND & AIMS: To assess the agreement and the association between phase
angle (PA) and parameters of nutritional status in surgical patients. METHODS:
This was a cross-sectional study that involved 98 patients admitted for elective
gastrointestinal or hernia repair surgery. The risk and nutritional status were
evaluated through Nutritional Risk Screening 2002 (NRS 2002), Subjective Global
Assessment (SGA), Body Mass Index (BMI) and Total Lymphocytes Count (TLC). These
assessments were compared with the mean standardized PA (SPA), obtained by
Bioelectrical Impedance Analysis (BIA). Statistical analysis included kappa
coefficient, Student's t-test, Mann-Whitney test, and the construction of a ROC
Curve. RESULTS: The highest kappa agreement was obtained between the SPA and the
SGA (0.27; CI95% 0.06-0.48). Malnourished patients diagnosed by NRS 2002, SGA and
TLC had a significantly lower mean SPA as compared to those who were well
nourished. A cut-off point of 0.8 for SPA showed 82.6% (CI95% 65.0-100.0%)
sensitivity and 40.6% (CI95% 23.0-58.2%) specificity. CONCLUSION: The SPA
presented weak agreement with the methods of nutritional assessment, as well as
low specificity, and could not be recommended as a marker of nutritional status,
despite the fact that the lowest values for SPA were found in malnourished
patients.
PMID- 21892565
TI - Determination of temperature variation during the individual steps of the
production of hospital diets of modified consistency.
AB - BACKGROUND & AIM: Many disease outbreaks of food origin are caused by foods
prepared in Food Service and Nutrition Units of hospitals, affecting hospitalized
patients who, in most cases, are immunocompromised and therefore at a higher risk
of severe worsening of their clinical status. The aim of this study was to
determine the variations in temperature and the time-temperature factor of
hospital diets. METHODS: The time and temperature for the preparation of 4 diets
of modified consistency were determined on 5 nonconsecutive days in a hospital
Diet and Nutrition Unit at the end of preparation and during the maintenance
period, portioning and distribution at 3 sites, i.e., the first, the middle and
the last to receive the diets. RESULTS AND DISCUSSION: All foods reached an
adequate temperature at the end of cooking, but temperature varied significantly
from the maintenance period to the final distribution, characterizing critical
periods for microorganism proliferation. During holding, temperatures that
presented a risk were reached by 16.7% of the meats and 59% of the salads of the
general diet, by 16.7% of the garnishes in the bland diet and by 20% of the meats
and garnishes in the viscous diet. The same occurred at the end of distribution
for 100% of the hot samples and of the salads and for 61% of the desserts. None
of the preparations remained at risk temperature for a time exceeding that
established by law. CONCLUSION: The exposure to inadequate temperature did not
last long enough to pose risks to the patient.
PMID- 21892566
TI - Dynamics of the components of energy intake between Spanish and Mexican preschool
children: energy density and food volume in two contexts.
AB - OBJECTIVE: To determine the differences in the dynamics of dietary energy density
(ED), food volume (FV) and energy intake (EI) between two groups of healthy
children, in normal conditions, from Spain and Mexico. METHODS: Crossectional
study which analyses the habitual diet of two healthy children groups, 1-4 years
old, from Reus (Spain, n = 203) and Guadalajara (Mexico, n = 147). Dietary intake
was assessed using the 24-hour recall. Anthropometric data were also obtained. We
estimated Z-score of weight, height and BMI, and EI (kcal/day), ED (kcal/g), FV
(g/day), El/kg body weight (kcal/kg/day) and FV/kg body weight (g/kg/day).
RESULTS: The Spanish children consumed significantly more cereals (p < 0.05),
vegetables, meat, fish and eggs than the Mexican children (p < 0.001), while the
latter consumed significantly more sweets (p < 0.001). The mean El/kg body weight
was 107.7 +/- 36.2 kcal/kg/day in the children from Reus, and 102.4 +/- 38.8
kcal/kg/day in the children from Guadalajara, without significant differences.
While the ED was significantly higher (p < 0.001) in the Spanish sample (1.41 +/-
0.35 kcal/g) that in the Mexican one (1.19 +/- 0.37 kcal/g), we observed the
contrary on FV per kilogram of weight: it was significantly (p < 0.001) greater
in Mexicans (91.0 +/- 36.1 g/kg/day) than in Spanish (79.5 +/- 27.5 g/kg/day).
CONCLUSION: In two populations with different contexts, the balance between
energy intake and energy requirements is achieved in different ways, allowing
energy intake per unit of weight and growth to be adequate. Future studies are
needed to clarify the factors of a possible alteration of this equilibrium
through time, in such a way, that it would probably contribute to the development
of overweight and obesity in several environments.
PMID- 21892567
TI - [Oropharyngeal dysphagia in elderly inpatients in a unit of convalescence].
AB - MAIN OBJECTIVE: To describe the prevalence of oropharyngeal dysphagia at hospital
discharge in elderly patients admitted to a Subacute Care Unit (SACU) using the
Volume-Viscosity Swalow Test (V-VST) and an adapted version for severe dementia
(V-VST-G). METHODOLOGY AND DESIGN: Descriptive cross-sectional study; duration;
50 days. Data gathered from the clinical chart at hospital discharge:
demographical, clinical, risk factors, and complications of dysphagia, functional
course, and V-VCAM and V-VCAM-G outcomes. The results are described comparing the
data of the groups with and without dysphagia. RESULTS: 86 Patients (60% women),
mean age 83.8 +/- 6.7 years. The specific clinical history detected previous
oropharyngeal dysphagia in 23 patients (26%). The V-VCAM detected oropharyngeal
dysphagia in 46 patients (53.5%). Of them, 30 patients (65.21%) had mixed
swallowing disorder, 15 (32.6%) had isolated efficacy disorder, and 1 (2.17%) had
isolated safety disorder. Those patients with a positive dysphagia test had a
statistically significant higher prevalence of cognitive disorder, higher age,
and more positive history of previous dysphagia, worse functional course and
mobility impairment, and more complications during their staying at the SACU.
CONCLUSIONS: Dysphagia is highly prevalent among this group of elderly patients.
Only half of the cases are diagnosed through the specific anamnesis. The V-VCAM
detected a high prevalence of dysphagia so that its routine use is recommended
specially in patients at risk taking into account the peculiarities of using it
in the elderly. This at-risk population would be defined by characteristics such
as higher age, cognitive and/or functional impairment.
PMID- 21892568
TI - [Nitrogen and protein content analysis of human milk, diurnality vs
nocturnality].
AB - Breast milk is changing with the progression of lactation and during a 24-h
period. To determine the effect of diurnality or nocturnality on total nitrogen
and protein content of the breast milk. We collected human milk samples from
health mothers living throughout Community of Extremadura (Spain) from January
2008 to December 2008 with less than two months of lactation. We divided the
samples in three groups: calostral group (1-5 days postpartum), transitional
group (6-15 days postpartum) and mature group (> 15 days postpartum). All samples
were stored in a freezer at -80 oC. We considered as day period between 08:00
20:00 h and night period 20:00-08:00 h. Analysis of the human milk samples was
based on the Kjeldahl method. Protein contents were calculated from total
nitrogen x 6,25. The statistical analysis of the data was descriptive (mean +/-
standard deviation) and inferential (T-Student test). No differences (P > 0,05)
were found to exist among the contents of individual human milk samples. The mean
contents of each component were as follows: Total nitrogen of calostral,
transitional and mature group was 0,30 +/- 0,06 g/dL (night period), 0,29 +/-
0,05 g/dL (day period); 0,26 +/- 0,04 g/dL (night period), 0,25 +/- 0,04 g/dL
(day period); 0,22 +/- 0,05 g/dL (night period), 0,20 +/- 0,04 g/dL (day period)
respectively, in this mature group with a statistical variation (P < 0,05).
Protein content of calostral, transitional and mature group was 1,88 +/- 0,4 g/dL
(night period), 1,81 +/- 0,3 g/dL (day period); 1,62 +/- 0,3 g/dL (night period),
1,59 +/- 0,3 g/dL (day period); 1,35 +/- 0,3 g/dL (night period), 1,26 +/- 0,3
g/dL (day period) respectively, in this mature group with a statistical variation
(P < 0,05). Although we observed differences in the nitrogen and protein content
during the individual stages of lactation, it is just in the population of mature
lactating women, where the components analyzed varied significantly between day
and night.
PMID- 21892569
TI - [Effect of nutritional support on survival in patients with amyotrophic lateral
sclerosis].
AB - INTRODUCTION: Malnutrition affects morbidity and mortality of patients with ALS.
The nutrition unit should evaluate these patients early and regularly providing
the necessary steps in the evolution of the disease. METHODS: A retrospective
cohort study in which we analyzed 46 patients diagnosed with ALS, 21 of them
received nutritional therapy. We studied age, mode of onset, date of entry into a
nutritional protocol, placement of PEG and survival. We performed a test of
Breslow comparing patients who were at nutritional protocol with those not
receiving nutritional support, and those who received early nutritional therapy
with those with delayed nutrition. RESULTS: There was an increase in median
survival for patients in nutritional therapy in bulbar ALS (452 vs 55 days) and
in spinal ALS (1,798 vs 357 days) (p = 0.002). The median delay in the initiation
of nutritional therapy in spinal ALS was 557 days while in bulbar ALS was 230
days. The survival in the spinal ALS of those who entered into nutritional
protocol before the median survival was 325 days to 181 days (p = 0.09) while in
bulbar ALS those who entered before had a median survival of 435 days to 177 days
(p = 0.38). CONCLUSIONS: The entry of patients with ALS in a nutritional protocol
increases survival. There is an advantage in the evolution of patients with early
nutritional treatment.
PMID- 21892570
TI - [Stability study of paediatric extemporaneous parenteral nutrition with lipids].
AB - Stability of extemporaneous parenteral nutrition is a critical aspect of these
formulations, with impact in patient safety and quality of service. In lipid
emulsions physical stability can be assessed by the increase in the number of
lipid globules of size superior than 500 nm, generated by coalescence of small
globules during time. OBJECTIVES: To determine medium size of the lipid globules
that compose the internal phase of TNA, in order to evaluate its stability and
establish beyond-use date of the parenteral nutrition. To evaluate distribution
profile of the lipid globules in the parenteral nutrition and compare it with
this of the lipid emulsion used as raw material. METHOD: Globule size assessment
by dynamic light scattering in a paediatric extemporaneous parenteral nutrition
formula of frequent use, stored in different periods of time and temperatures.
RESULTS: Medium globule size of the parenteral nutrition analyzed samples did not
exceed the limit recommended by literature. Medium size and distribution of the
lipid globules in the original lipid emulsion did not have significative changes
after the compounding of the parenteral nutrition. DISCUSSION: Obtained data
allow to consider that the extemporaneous parenteral nutrition evaluated would
have a beyond-use date superior than the one now in use. This research must be
deepened by the study of other formulas of parenteral nutrition in order to
optimize the setting of beyond-use date.
PMID- 21892571
TI - Factors associated with oxidative stress in women with breast cancer.
AB - OBJECTIVE: To assess the association between physiological, physical, lifestyle
and nutritional variables and oxidative stress biomarkers in women with breast
cancer. METHODS: This cross-sectional study was conducted on 55 women newly
diagnosed with breast cancer. The extent of oxidative stress was analyzed by the
measurement of plasma lipid hydroperoxides (LH), thiobarbituric acid reactive
substances (TBARS), protein carbonyl, whole blood reduced glutathione (GSH) and
serum antioxidant capacity (AC). Diet data were obtained from food frequency
questionnaire. Linear regression was used to determine the association between
the variables studied and oxidative stress biomarkers. The protein carbonyl data
was not included in the linear regression analyses since the data did not show a
normal distribution, even after logarithmic and other transformations. RESULTS:
After adjusting for energy intake, the intake of chicken and high-fat dairy
products was associated with increased levels of LH, while vitamin E intake was
associated with decreased LH levels (R2 = 23.8%). Intake of oils was associated
with increased levels of TBARS (R2 = 6.82%). Positive axillary lymph node status
was associated with decreased levels of GSH (R2 = 9.31%). Increasing age was
directly associated with levels of AC, while animal fat, dairy product, and sweet
food intakes were associated with low levels of AC (R2 = 41.42%). CONCLUSION:
Intake of chicken, vitamin E, dairy products (particularly high-fat dairy
products), oils, animal fat, and sweet foods, along with axillary lymph node
status and age, may be important determinants of oxidative stress in women with
breast cancer.
PMID- 21892573
TI - Glycemic acute changes in type 2 diabetics caused by low and high glycemic index
diets.
AB - INTRODUCTION: Low-glycemic index diets may improve the glycemic control in type 2
diabetes but the debate over their effectiveness continues. OBJECTIVES: To test
the effects of low-glycemic index diets on acute glycemic control (2 days) by
measuring capillary blood glucose in patients with type 2 diabetes. METHODS: This
was a crossover randomized clinical trial with 12 type 2 diabetics which were
randomly divided into 2 groups and targeted the following draft diets for low and
high glycemic index (LGI and HGI) for 2 consecutive days in 2 consecutive weeks.
Group 1 followed an LGI diet in week 1 and an HGI diet in week 2, group 2 adopted
the contrary. They were oriented to maintain medication and lifestyle and to
follow the recommendations. Measurements were made of glycemia capillaries in 2
days (fasting, before lunch, post-prandial lunch and before dinner) and one last
in fasting on day 3. A food record during the days and the counting of
carbohydrates meals was made. The software SigmaStat (version 2.03) was used,
with a statistical significance criterion of p < 0.05. RESULTS AND DISCUSSION:
The amount of carbohydrates ingested by the LGI group was lower (p < 0.01),
showing that the adoption of this diet reduces the intake of carbohydrates, being
favorable for diabetics. Mean blood glucose on the first day was lower in the LGI
group (p < 0.05).
PMID- 21892572
TI - [Incidence of nutritional support complications in critical patients: multicenter
study].
AB - INTRODUCTION: Nutritional support (NS) leads complications that must be detected
and prompt treated. OBJECTIVE: To estimate the incidence of some complications of
nutritional support in critically ill patients. MATERIALS AND METHODS: A
multicenter, descriptive, prospective study in patients with NS in intensive care
units. Studied variables included medical diagnosis, nutritional status, length
of NS, path, type of formula and ten complications. RESULTS: 419 patients
evaluated, 380 received enteral nutrition (EN) and 39 parenteral nutrition (PN).
The high gastric residue was the most incident complication in the ENS (24.2%),
followed by diarrhea (14%) and withdrawal tube (6.6%). The high gastric residue
and diarrhea were associated with the duration of the NS (p < 0.05). For the PNS
the complication most incidents were hypophosphatemia (38.5%), followed by
catheter sepsis (15.4%). The duration of the NS was associated with cholestasis,
sepsis and hypophosphatemia (p < 0.05). CONCLUSIONS: complications of highest
incidence were the high gastric residue for EN and hypophosphatemia for the PN;
the withdrawal of the tube is a complication that claims further monitoring. The
duration of the NS was the variable that showed greater association with the
complications studied. Is a must to get consensus on complications definitions
for comparisons establishment and best international standards target,
furthermore propose protocols in order to decrease complications incidence of NS
to fulfill the critical ill patient requirements.
PMID- 21892574
TI - Lipid profile and cardiovascular risk factors among first-year Brazilian
university students in Sao Paulo.
AB - BACKGROUND/AIMS: The surveillance of cardiovascular risk factors has been
recommended worldwide. The current study is aimed to estimate the prevalence of
cardiovascular risk factors among first-year students from a public university in
the city of Sao Paulo, Brazil. METHODS: A cross-sectional study of 56 first-year
students, of both genders, was performed. Information about demographic
characteristics, family history of chronic diseases, smoking, and physical
activity was obtained by means of a standardised questionnaire. Anthropometrical
parameters (BMI, waist circumference, body fat percentage), metabolic parameters
(glycaemia, serum lipid profile), and dietary data (total energy intake,
percentage of total energy from macronutrients, cholesterol and dietary fiber)
were assessed. RESULTS: The risk of cardiovascular diseases was characterised by
family history of cardiovascular diseases (44.6%), smoking (10.7%), physical
inactivity (35.7%), borderline high total cholesterol and LDL-c levels (16.1% and
5.4, respectively), decreased HDL-c levels (8.9%), increased triglyceride levels
(8.9%), and overweight and obesity (17.8% and 7.1%, respectively). The diet of
the students was inadequate: it was high in fat and protein, and low in
carbohydrate and dietary fibre. CONCLUSIONS: The prevalence of risk factors for
cardiovascular diseases in young adults draws attention to the need to adopt
preventive plans in the university setting.
PMID- 21892575
TI - [Changes on dietary habits of the late-breakfast in a school population].
AB - BACKGROUND: The establishment of healthy eating habits in children at school and
in family life is very important for preventing obesity in children. AIMS: To
know the habits of late-breakfast in a scholar population, the prevalence of
overweight and obesity, and establish healthy dietary habits through the late
breakfast. METHODS: A prospective interventional study was performed in a school
group. Children from 10 to 13 years-old were included. The study had three
phases: pre-intervention (anthropometric assessment and questionnaire of late
breakfast frequency), intervention (parents and teachers received information
about "the importance of proper nutrition in school" focused on the transcendence
of a healthy and balanced diet that includes a suitable late-breakfast every day
at school, and the students received the late-breakfast during two weeks that
included milk, fruit and a traditional sandwich), and post-intervention
(questionnaire of late-breakfast frequency). RESULTS: Frequency of overweight was
10.6% and obesity 2.6%. After the intervention the proportion of children who had
late-breakfast increased by 9.2%, and the kind of food which they ate changed.
CONCLUSION: Dietary habits can be modified in a scholar population with an easy
nutritional intervention.
PMID- 21892576
TI - [Micronutrient supplementation in parenteral nutrition in Spanish hospitals].
AB - Several years ago, it was recommended not to add vitamins or oligoelements to
parenteral nutrition (PN) solutions and to administer them immediately after the
addition of the micronutrients to avoid their decay. Nowadays, it has been
observed that with multilayer bags, ternary mixtures and sunlight protection
vitamins degradation is minimal. Daily intake of micronutrients is necessary in
the critically ill, malnourished or long-term PN patients. Aiming at knowing the
schedules of use of micronutrients in PN in Spanish hospitals and the way PN bags
are prepared regarding the factors conditioning their stability, we undertook a
telephone survey to the pharmacists in charge of PN at the different hospitals.
We compared the data obtained with those from other surveys performed in 2001 and
2003. Pharmacists from 97 hospitals answered the questionnaire (answer rate 88%).
The hospital sizes ranged 104-1728 beds. As compared to the data form preceding
years, we observed a better adequacy to the current recommendations, although
there are still 30% of the hospitals that administer micronutrients on an every
other day basis independent of the clinical situation of the patients. In most of
the hospitals, multilayer bags are used and/or sunlight protection and ternary
mixtures. According to these results showing the different criteria for
administering vitamins and oligoelements in PN solutions, it seems necessary to
elaborate consensus documents that adapt to the reality of the diverse practices
besides promoting the performance of well-designed clinical studies establishing
the requirements under special clinical situations.
PMID- 21892577
TI - Percentile values for aerobic performance running/walking field tests in children
aged 6 to 17 years: influence of weight status.
AB - The aim of this study was to provide percentiles values for four different
aerobic performance tests in 2752 (1,261 girls) Spanish children aged 6 to 17.9
years. Aerobic performance was assessed by the shuttle run test (20 mSRT), 1
mile, 1/2-mile and 1/4-mile run/walk tests. Height and weight were measured, and
body mass index was calculated. Boys had significantly better score than girls in
the studied tests in all age groups, except in 1/4-mile test in 6-7 year old
children. Underweight children had similar performance than their normalweight
counterparts, and underweight boys had better performance than their obese
counterparts. Overweight and obese children had lower performance than their
normalweight counterparts. Having percentile values of the most used field tests
to measure aerobic performance in youth may help to identify children and
adolescents at risk for the major chronic diseases, as well as to evaluate the
effects of alternative interventions.
PMID- 21892578
TI - [Home-Based Parenteral Nutrition (HBPN)-associated hepatobiliary complications].
AB - Home-based parenteral nutrition (HBPN) allows recovering or maintaining the
nutritional status of patients with chronic intestinal failure that cannot afford
their nutritional requirements through the digestive route. Frequently, liver
function impairments develop along the treatment, which in the most severe cases,
and especially in premature and low-weight infants, may lead to an irreversible
liver failure. The proper composition of the parenteral nutrition bag, avoiding
an excess of energy intake, together with the use of new types of lipid emulsions
(with lower content in -6 fatty acids and voided of phytosterols) as well as the
use, although being minimal, of the enteral route, may contribute to a decrease
in the occurrence of HBPN-associated liver disease. It is necessary to perform
monthly clinical and biochemical checks to early detect liver function
impairments in order to perform the appropriate changes in the treatment and
assess the indication of a potential bowel transplant before the liver damage
becomes irreversible.
PMID- 21892579
TI - Increased resting energy expenditure by fat-free mass in children and teenagers
with constitutional leanness.
AB - BACKGROUND/OBJECTIVES: To compare the resting energy expenditure (REE) and the
REE/Fat-free-mass (FFM) quotient in children with constitutional leanness (CL)
and children with normal body weight, and to describe the within-family
clustering of CL. SUBJECTS/METHODS: We have studied 18 children and teenagers
with CL, 10 girls and 8 boys, and 18 gender and age matched normal controls, with
the same pubertal stage. All were recruited from the outpatient pediatric clinic
nutrition unit. None of the children with CL showed symptoms of chronic illness,
they had normal laboratory results, they had a normal caloric food intake, and
they did not agree with the DSM-IV-TR criteria for anorexia nervosa. We describe
the body mass index (BMI) of children and their parents. The children were
classified according to Cole's recently published BMI cut-offs for thinness:
under 18.5 points in CL group, stable at least in the last year, and between 18.5
and 25 cutt-offs in the control group. The body composition was calculated by
anthropometric methods (skinfold thickness measurements). In addition REE was
measured using fasting indirect calorimetry. RESULTS: The CL group had a higher
mean percentage of FFM, and a mean FM significantly less, relative to controls (p
< 0.001). The average absolute REE was significantly lower in the CL group
(1,106.55 +/- 240.72 kcal) than the control group (1,353.33 +/- 270.01 kcal/dia)
(p < 0.01). However, the REE adjusted for FFM showed a mean significantly greater
in the CL group (41.39 +/- 2.26 kcal/kg FFM) (Mean confidence interval (CI) 95 %:
40.33-42.45) than the controls (37.37 +/- 3.06 kcal/kg FFM) (Mean CI 95 %: 35.93
38.81) (p < 0.001). Finally, in the family study, the mean BMI of fathers of CL
group was significantly lower (p < 0.01), but there were not any differences in
the mean BMI of mothers. Among parents with BMI known, 8 of 35 parents of CL
group had an BMI lower 18.5, and only 2 of 36 parents in the control group (p <
0.05). CONCLUSIONS: This increased energy expenditure-to-FFM ratio differentiates
between CL and controls. These metabolic differences are probably genetically
determined.
PMID- 21892580
TI - The use of biochemical and immunological parameters in nutritional screening and
assessment.
AB - OBJECTIVE: To evaluate the relationship between serum albumin, total cholesterol
and total lymphocyte count with two nutritional assessment methods, to verify if
their use is justified in nutritional screening tools. METHODS: 101 patients
admitted to medical/surgical wards underwent the SGA and the Full Nutritional
Assessment (FNA). Blood test which included serum albumin, total cholesterol and
total lymphocyte count (TLC), were made. Percentage of weight loss and BMI were
calculated. An Anova test was done to measure the differences in the mean levels
of the three parameters for the nutritional status evaluated by SGA and FNA. The
probability of a patient being malnourished in the four ranges established for
each parameter was calculated, as well as the relationship between the ranges and
the percentage of weight loss and BMI. Sensitivity and specificity were
calculated and the corresponding ROC curves, using SGA as gold standard. RESULTS:
Prevalence of undernutrition is 43.6% and 44.6% for SGA and FNA respectively.
Mean levels of the three parameters decrease as the undernutrition degree
increases (p < 0.005 for all cases). The probability of a patient being
malnourished gets higher as parameter lowers (p = 0.000 for all cases). Total
cholesterol shows a relationship with BMI < 18.5 and presence/absence of weight
loss (p = 0.074 and p = 0.002 respectively). The area under ROC curves are
albumin (0.823), cholesterol (0.790) and TLC (0.758) respectively. CONCLUSIONS:
The analytical parameters analyzed show a statistically significant relationship
with the nutritional status. Therefore, they are suitable for use in nutritional
screening.
PMID- 21892581
TI - [Adherence to a Mediterranean diet in a college population].
AB - OBJECTIVE: To determine the adherence to the Mediterranean diet of a university
population and to analyze several factors that may condition its nutritional
quality. MATERIAL AND METHODS: Distribution of the Kidmed test to a random sample
of 570 university students. The Kidmed index (0-12) indicated whether the
adherence to the Mediterranean diet was low (0-3), intermediate (4-7) or high (8
12). The gender, age, weight, height, and body mass index were gathered from each
participant, as well as the type of residence and the province of origin.
RESULTS: The sample comprised 217 men and 353 women aged 18-25 years. 9.5% of the
university students had a low Kidmed index, 62.1% intermediate, and 28.4% high.
Those students living at their parental home had a high percentage of adherence
(35.6%), significantly higher (p < 0.05) to that of those living at a student's
residence (11.1%) or at a student's apartment (11.2%). Overweighed students had a
low percentage of adherence (15.5%), significantly higher (p < 0.05) to those
with a normal nutritional situation (8.5%). CONCLUSIONS: 71.6% of university
students need to improve their dietary pattern (low to intermediate adherence to
the Mediterranean diet), and we could observe a certain family factor of
preservation of the traditional dietary habits. Those university students with
low adherence had a higher risk for being overweighed. It would be convenient to
develop nutritional education programs in the university curricula.
PMID- 21892582
TI - [Comparative analysis of vitamin D status and solar exposition habits in
adolescent and elderly Spanish women. The Five Countries Study (OPTIFORD
Project)].
AB - INTRODUCTION: Vitamin D deficiency is known to be very common in adolescent girls
and elderly women. AIM: To analyze vitamin D status, vitamin D intake and solar
exposure of Spanish participants in The Five Countries Study of Optiford Project.
METHODS: Questionnaires approved and validated of lifestyle and food consumption
frequency applied. The biochemical analysis of 25-hidroxivitamin D and PTH and
the evaluation of the solar exposure (dosymeter UV VioSpor) were carried out.
RESULTS: The average solar exposure of adolescent girls (1,519 J/m2) was double
than elderly women (740 J/m2). The vitamin D status of adolescent girls in summer
and winter was better than elderly women. CONCLUSIONS: Solar exposure habits are
different between the two age groups. This fact, jointly to the lower body's
capacity to synthesize Vitamin D through exposure to the sun in the elderly, may
justify the worse vitamin D status in this age group.
PMID- 21892583
TI - Mineral and/or milk supplementation of fruit beverages helps in the prevention of
H2O2-induced oxidative stress in Caco-2 cells.
AB - INTRODUCTION: Fruit beverages are commonly supplemented with milk, vitamins
and/or minerals in order to improve their healthy effects by providing some
bioactive components that can act additively or synergistically against oxidative
stress. AIMS: To test whether iron, zinc, and milk added to fruit beverages do
not affect the cytoprotective effect against oxidative damage to Caco-2 cells
through GSH-related enzymes induction and cell cycle progression preservation, in
comparison with non-supplemented fruit beverage. METHODS: Caco-2 cells were
incubated 24 h with the bioaccessible fraction (BF) of eight fruit beverages
with/without iron and/or zinc, and/or milk, and then challenged with H2O2 (5 mmol
L-1 -2 h). Mitochondrial enzyme activities (MTT test), GSH-Rd and GSH-Px enzyme
activities, cell cycle progression and caspase-3 activity were measured. RESULTS
AND DISCUSSION: Fruit beverages prevented the deleterious effect of H2O2 on cell
viability, with almost all samples reaching control basal levels. Only
independent iron or zinc supplementation with/without milk exerted positive
effects upon GSH-Rd activity. Both minerals with milk, afforded improved
preservation of GSH-Px activity. All samples prevented the decrease in the G1
phase of cell cycle induced by H2O2, except iron supplemented samples
with/without milk, but none of them avoided the increase in sub-G1 phase.
However, this fact was not associated to caspase-3 activity, with a probable
positive effect of zinc upon this parameter. CONCLUSION: Mineral and/or milk
supplementation of fruit beverages helps in the prevention of oxidative stress in
Caco-2 cells based on cell viability maintenance, GSH-related enzymes activation,
cell cycle distribution preservation and inhibition of caspase-3 activation.
PMID- 21892584
TI - [Blood glucose levels in the first 24 hours of admission is not a risk factor for
mortality in critical care patients].
AB - INTRODUCTION: Glycemic alterations are known as a risk condition of death in
several diseases, such as ischemic cardiovascular and neurological disorders. The
fact that its tight control under narrow normality levels decreases mortality and
morbidity have led to further studies seeking to confirm the results and expand
them to other disease areas. OBJECTIVES: To determine whether glycemic changes by
themselves are a mortality risk factor in a sample of patients within an
Intensive Care Unit (ICU), among which predominates traumatic-surgical patients.
METHODS: Demographic and analytical characteristics were revised, as well as
common monitoring variables in an ICU, among a sample of 2,554 patients from
admissions between 1st January 2004 and 31 December 2008. Data were obtained from
a database which endorsed records compiled with the monitoring ICU patients
program "Carevue". They were processed with dynamics sheets included in the Excel
software with the following variables: initial glycemia, mean glycemia during the
first 24 hours and number of determinations performed. We used the mean value in
the admission day of the remaining analytical and monitoring variables and the
number of test performed on this first day. The sample was stratified in two
groups for the statistical analysis: a) General Sample (MG) and b) sample
excluding patients admitted after a programmed surgery (EQP). In both cases the
effect of initial and averaged glycemia was checked. Group b was divided in two,
according to the number of determinations b1) a single blood glucose
determination group (EQP1) and b2) a multiple determination group (EQPM). From
this group of non-programmed surgical patients the study was repeated in those
patients who stayed at the ICU 3 or more days (EQP3D). Chi-square and Mantel
Haenzel test for the ODD ratio determination were performed for qualitative
variables; quantitative variables were examined with the Mann-Whitney test. At
each analysis level, logistic regression was performed using mortality as the
dependent variable, including those variables with p-values < 0.05 which
represented more than 60% of the data. An initially saturated model with backward
till the final equation was used. A p-value of 0.05 (i.e. p < 0.05) was set as
the significant threshold for all statistical analysis. They were performed with
SPSS and GSTAT 2 statistical software. RESULTS AND DISCUSSION: A total of 2,165
of the 2,554 admitted patients during the study period were included (96.5%).
Exclusion criteria were absence of plasma glucose determinations. In the
bivariate analysis, first and mean glucose blood levels showed significant
differences in mortality rates in absolute figures and also when data were
classified stratified in three levels (< 60 mg/dl; 60-110 mg/dl or > 110 mg/dl)
or in two (normal values 60 to 110 mg/dl and unusual figures < 60 mg/dl or > 110
mg/dl). These significant differences were lost when a logistic model was
applied. From the remaining variables, renal function and NEMS showed to be
mortality risks factors in this sample. CONCLUSIONS: Hyperglycemia is a
predominant phenomenon in critically ill patients. Hypoglycemia is less frequent
and is associated with higher mortality rates. Initial glucose blood level, by
itself, was not a mortality risk factor in the multivariate study and at none of
the studied levels. Average glycemia did not add any prediction power. The
changes in glucose blood levels seemed to be an adaptation process, which
determined by itself a risk for the patient's discharge, at least in the first 24
hours period after ICU admission.
PMID- 21892585
TI - [Obesity in a school children population from Granada: assessment of the efficacy
of an educational intervention].
AB - OBJECTIVES: The objective of this research was to study the prevalence of obesity
and excess weight in a population of school children and adolescents, and to
verify the effectiveness of an educational intervention, as reflected in the
variation of their body mass index values. MATERIALS: The population sample was
composed of 977 school children and adolescents from 9 to 17 years of age,
belonging to 13 public elementary schools and high schools in the city and
province of Granada (Spain). METHODOLOGY: This longitudinal cohort study was
analytical, muticentric, and observational. It was carried out in three phases.
The first phase involved the evaluation of the nutritional state of the sample
population by means of anthropometric measurements (weight, height, body mass
index, six skin folds and four body perimeters) as well as arterial blood
pressure. The second phase entailed an educational intervention focusing on good
nutritional habits and physical exercise. The third and final phase evaluated the
effectiveness of the intervention. RESULTS: A higher obesity prevalence (15.1%)
was found in school girls between 12 and 13. In the case of boys, obesity
prevalence was lower up to age 13 though afterwards, it progressively increased
(12.6%). The educational intervention produced an important reduction in body
mass index values in both sexes though this reduction was more significant in
young females. CONCLUSIONS: There is a currently an alarming increase in obesity
and overweight prevalence among the population evaluated in this study. The
significant reduction in body mass index values resulting from this research
confirmed the effectiveness of the educational intervention to reduce excess
weight.
PMID- 21892586
TI - [Consumption of the probiotic Lactobacillus planctarum CECT 7315/7316 improves
general health in the elderly subjects].
AB - INTRODUCTION: Ageing induces changes in gut microbiota that may affect the
quality of life. In this work we analyze the effect of Lactobacillus plantarum
CECT 7315/7316 on the regulation of intestinal transit and on nutritional status.
METHODS: We carried out a double-blind, randomized and controlled by placebo
clinical trial. We evaluated the evolution of the weekly defecation frequency and
blood levels of total proteins, albumin, cholesterol and reactive C-protein.
RESULTS: Lactobacillus plantarum CECT 7315/7316 helps to regulate intestinal
transit and improves the nutritional status in elderly. CONCLUSIONS: Consumption
of functional foods containing L. plantarum CECT 7315/7316 improves the quality
of life in elderly subjects.
PMID- 21892587
TI - [Wilkie syndrome: report of a case].
AB - Wilkie syndrome is an unusual form of high gastrointestinal obstruction resulting
from compression of the duodenum between the abdominal aorta and the superior
mesenteric artery (SMA). The conditions that cause this syndrome can be
classified into five categories: severe wasting diseases, severe injuries,
diseases, deformity or trauma to the spine, dietary disorders and posoperative
state. The symptoms include nausea, vomiting, distention postprandrial,
epigastric pain and weight loss. Barium meal and arteriography were used as
diagnostic tools, now CT-angiography is being used and shown higher diagnostic
sensitivity. The diagnostic criteria are: dilated duodenum, compression of the
duodenum by the SMA and aortomesenteric angle <20 degrees. Patients with acute
syndrome often respond to conservative treatment (decompression, correction of
dehydration and electrolyte imbalance and nutrition support). Most of the
patients with chronic syndrome require surgical intervention. Duodenojejunostomy
is the most effective surgical option, with a success rate of 90%.
PMID- 21892588
TI - Biomarkers of metabolic syndrome and its relationship with the zinc nutritional
status in obese women.
AB - INTRODUCTION: Obesity is a chronic disease that induces risk factors for
metabolic syndrome and, is associated with disturbances in the metabolism of the
zinc. Therefore, the aim of this study was to investigate the existence of
relationship between the biomarkers of metabolic syndrome and the zinc
nutricional status in obese women. METHOD: Seventy-three premenopausal women,
aged between 20 and 50 years, were divided into two groups: case group, composed
of obese (n = 37) and control group, composed of no obese (n = 36). The
assessment of the body mass index and waist circumference were carried out using
anthropometric measurements. The plasmatic and erythrocytary zinc were analyzed
by method atomic absorption spectrophotometry (lambda = 213.9 nm). RESULTS: In
the study, body mass index and waist circumference were higher in obese women
than control group (p < 0.05). The mean plasmatic zinc was 72.2 +/- 9.0 MUg/dl in
obese women and 73.4 +/- 8.5 MUg/dl in control group (p > 0.05). The mean
erythrocytary zinc was 36.4 +/- 15.0 MUg/gHb and 45.4 +/- 14.3 MUg/gHb in the
obese and controls, respectively (p < 0.05). Regression analysis showed that the
body mass index (t =-2.85) and waist circumference (t = -2.37) have a negative
relationship only with the erythrocytary zinc (R2 = 0.32, p < 0.05). CONCLUSIONS:
The study shows that there are alterations in biochemical parameters of zinc in
obese women, with low zinc concentrations in erythrocytes. Regression analysis
demonstrates that the erythrocytary zinc is influenced by biomarkers of the
metabolic syndrome, presenting an inverse relationship with the waist
circumference and body mass index.
PMID- 21892589
TI - [Ceruloplasmin and its clinical relevance as an indactor of cardiovascular risk
factor in a school population of Granada].
AB - Also known as ferroxidase ceruloplasmin, belongs to the family of inflammation
sensitive proteins, and its main function to transport copper in the blood.
Although, in addition to this transport function, at present, there are numerous
studies that have attempted to use the determination of serum concentrations as a
predictive indicator of cardiovascular risk in patients who are overweight or
obese. The results of this study confirm the existence of a significant
correlation between serum ceruloplasmin and nutritional status of the subjects,
which means that for the population of students assessed, serum levels of this
protein are an important predictor the risk of cardiovascular disease.
PMID- 21892590
TI - Effects of fish oil and Tamoxifen on preneoplastic lesion development and
biomarkers of oxidative stress in the early stages of N-methyl-N-nitrosourea
induced rat mammary carcinogenesis.
AB - Epidemiologic studies on the protective role of omega-3 fatty acids (n:3) on
breast cancer prevention remain inconclusive but studies in preclinical models
provide more positive outcome. However, the mechanisms accounting for the
protective effect of n:3 are not defined. In the present study, conducted in the
N-methyl-N-nitrosourea-induced rat mammary carcinogenesis model, we examined the
effects of n:3 individually and in combination with the anti-estrogen Tamoxifen
(Tam) on a comprehensive panel of systemic and preneoplastic mammary gland
restricted biomarkers which may be critical in the progression to invasive
cancer. We observed that fish oil (FO) rich diets significantly reduced Ki67
expression in hyperplastic lesions, while cleaved caspase-3 expression was not
affected. Dietary FO and/or Tam did not have major effects on systemic oxidative
stress biomarkers, based on oxidative damage to DNA measured as 8-hydroxy-2
deoxyguanosine (8-OH-dG) and lipid peroxidation assessed as thiobarbituric acid
reactive substances (TBARS). Tissue levels of 8-isoprostane, on the other hand,
were markedly reduced (p<0.0001) in FO-fed rats, possibly as a result of FO
induced depletion of arachidonic acid in the mammary gland. These results suggest
that the protective effect of n:3 in this experimental system is not mediated by
changes in the levels of oxidative stress but may result from suppression of
arachidonic acid-specific pathways.
PMID- 21892591
TI - Establishment and evaluation of three necrotizing enterocolitis models in
premature rats.
AB - Necrotizing enterocolitis (NEC) is a gastrointestinal disease that usually
affects premature infants and has high morbidity and mortality rates. Reliable
animal models aid further study of the etiological factors, pathogenesis,
prevention and treatment of NEC. The present study aimed to establish NEC models
in premature rats using three common methods, and to determine the optimal model
establishment method. The study consisted of six groups; in group A, rats were
raised with simulated milk and subjected to inhalation of 100% nitrogen gas
(hypoxia) for 90 sec, followed by exposure to cold ambient conditions (4C) for 10
min twice daily for 3 days. In group B, rats were exposed to 100% nitrogen gas
for 5 min and 100% oxygen for 5 min twice daily for 3 days. Group C rats were
intraperitoneally administered 5 mg/kg lipopolysaccharide. Group D and E rats did
not receive any intervention. Group F rats were intraperitoneally administered 1
ml/kg physiological saline. Groups D-F served as the control groups corresponding
to groups A-C, respectively. Following hematoxylin and eosin staining, intestinal
tract, liver, lung and kidney tissues were observed under optical microscopy and
were scored. Successful NEC induction was measured by a score of >=2. Rats from
groups A-C exhibited reduced movement, abdominal distention, diarrhea, intestinal
tract expansion, and congestion to varying degrees. The pathological scores of
intestinal injury in groups A-F were 3.13+/-0.64, 1.40+/-0.52, 2.00+/-0.42,
0.30+/-0.48, 0.30+/-0.48, and 0.40+/-0.52 points, respectively. Significant
differences were found between the model groups and their corresponding control
groups (p<0.01). Among the model groups, the histological score of group A was
higher than that of groups B (p<0.01) and C (p<0.05). The morbidity rate of NEC
in groups A-C was 75, 20 and 50%, respectively. There was no morbidity in groups
D-F. Compared with groups A and B, injury to the liver, kidney and lung was more
severe in group C. Similar symptoms were not observed in groups D-F. Compared
with methods of simple hypoxia-reoxygenation or intraperitoneal administration of
lipopolysaccharide, the combination of artificial feeding and hypoxia plus cold
stimulation most resembles the pathological causes of neonatal NEC. This method
resulted in high morbidity, reproducibility and specificity, and was therefore
considered an ideal model for establishing NEC.
PMID- 21892592
TI - Direct absorption of methyl mercury by lymph.
AB - Methyl mercury is contained in fish and seafood products and is taken up into the
body in food. While the central nervous system is known as a target organ, methyl
mercury also induces autoimmunity and acts as a potent immunosuppressor. The aim
of the present study is to know whether methyl mercury is directly absorbed by
lymph. Conscious rats were infused with methyl mercury (4 mg/kg) via duodenal
tubing as a single pulse infusion, followed by the continuous infusion of saline,
and lymphatic fluids were continuously collected from the thoracic lymph duct
every 30 min until 360 min after infusion. Mercury was detected immediately after
infusion, and total mercury contents in lymph gradually increased until 90-120
min, remained steady, and then gradually decreased until 360 min; however, the
amount of mercury collected during 330-360 min was about twofold higher than
during 0-30 min. The amount of cumulative mercury in lymph at 360 min was 1.4
MUg. In contrast, blood mercury concentration was 2.4 MUg/ml 5 min after
infusion, with the value at 360 min being 12.6 times higher than at 5 min. Plasma
mercury concentration was 56 ng/ml at 5 min, with hundreds of nanograms per
milliliter of mercury detected until 360 min. From the present study, it is
concluded that some methyl mercury is directly absorbed by lymph and remains
steady 6 h after infusion.
PMID- 21892593
TI - Accumulation of calcium and phosphorus in the coronary arteries of Thai subjects.
AB - To clarify the manner of accumulation of Ca and P in the coronary arteries, the
authors divided the coronary arteries into many segments based on arterial
ramification and investigated the element contents of the segments by direct
chemical analysis. After ordinary dissection at Chiang Mai University was
finished, the left coronary (LC) and the right coronary (RC) arteries were
removed successively from the hearts of Thai subjects. The Thai subjects
consisted of seven men and five women, ranging in age from 42 to 87 years
(average age = 73.9 +/- 13.5 years). The LC and the RC arteries were divided into
19 segments based on arterial ramification. After incineration with nitric acid
and perchloric acid, element contents of the segments were analyzed by
inductively coupled plasma-atomic emission spectrometry. In two cases, a
significant content of Ca and P was contained only in the left anterior
descending (LAD) artery (type I). In four cases, a significant content of Ca and
P was contained in both the LAD and the RC arteries (type II). In five cases, a
significant content of Ca and P was contained in all the LAD, the RC, and the
circumflex (CF) arteries (type III). In the other one case, no significant
content of Ca and P was contained in the coronary arteries. The manner of
accumulation of Ca and P in the coronary arteries was classified into the three
types, I, II, and III. Regarding the average content of elements in 12 cases, the
average content of Ca was the highest in the segment of the LAD artery ramifying
the first left diagonal artery and was higher in the proximal and distal adjacent
segments of the LAD artery ramifying the first left diagonal artery, the proximal
segment of the RC artery, and the proximal segment of the CF artery. To examine
an effect of arterial ramification on accumulation of Ca and P, the differences
in the Ca and P content between artery-ramifying and non-ramified proximal or
distal segments of the coronary arteries were analyzed with Student's t test. It
was found that there were no significant differences in the Ca and P content
between the artery-ramifying and non-ramified proximal or distal segments of the
coronary arteries.
PMID- 21892594
TI - A randomized trial of cognitive behaviour therapy and cognitive therapy for
children with posttraumatic stress disorder following single-incident trauma.
AB - The present study compared the efficacy of trauma-focused cognitive behavior
therapy (CBT) with trauma-focused cognitive therapy (without exposure; CT) for
children and youth with posttraumatic stress disorder (PTSD). Children and youth
who had experienced single-incident trauma (N = 33; 7-17 years old) were randomly
assigned to receive 9 weeks of either CBT or CT which was administered
individually to children and their parents. Intent-to-treat analyses demonstrated
that both interventions significantly reduced severity of PTSD, depression, and
general anxiety. At posttreatment 65% of CBT and 56% of the CT group no longer
met criteria for PTSD. Treatment completers showed a better response (CBT: 91%;
CT: 90%), and gains were maintained at 6-month follow-up. Maternal depressive
symptoms and unhelpful trauma beliefs moderated children's outcome. It is
concluded that PTSD secondary to single-incident trauma can be successfully
treated with trauma-focused cognitive behavioural methods and the use of exposure
is not a prerequisite for good outcome.
PMID- 21892595
TI - Multi-wave prospective examination of the stress-reactivity extension of response
styles theory of depression in high-risk children and early adolescents.
AB - The current study tested the stress-reactivity extension of response styles
theory of depression (Nolen-Hoeksema Journal of Abnormal Psychology 100:569-582,
1991) in a sample of high-risk children and early adolescents from a
vulnerability-stress perspective using a multi-wave longitudinal design. In
addition, we examined whether obtained results varied as a function of either age
or sex. During an initial assessment, 56 high-risk children (offspring of
depressed parents; ages 7-14) completed measures assessing rumination and
depressive symptoms. Children were subsequently given a handheld personal
computer which signalled them to complete measures assessing depressive symptoms
and negative events at six randomly selected times over an 8-week follow-up
interval. In line with hypotheses, higher levels of rumination were associated
with prospective elevations in depressive symptoms following the occurrence of
negative events. Sex, but not age, moderated this association. Rumination was
more strongly associated with elevations in depressive symptoms following the
occurrence of negative events in girls than in boys.
PMID- 21892596
TI - Medicare managed care and primary care quality: examining racial/ethnic effects
across states.
AB - The study assesses the role of Medicare Advantage (MA) plans in providing quality
primary care in comparison to FFS Medicare in three states, New York, California,
Florida, across three racial ethnic groups. The performance is measured in terms
of providing better quality primary care, as defined by lowering the risks of
preventable hospital admissions. Using 2004 hospital discharge data (HCUP-SID) of
Agency for Healthcare Research and Quality for three states, a multivariate cross
sectional design is used with individual admission as the unit of analysis. The
study found that MA plans were associated with lower preventable hospitalizations
relative to marker admissions. The benefit also spilled over to different racial
and ethnic subgroups and in some states, e.g. CA and FL, MA enrollment was
associated with significantly lower odds of minority admissions than of white
admissions. These results may indicate a potentially favorable role of MA plans
in attenuating racial/ethnic inequalities in primary care in some states.
PMID- 21892597
TI - Development of fluorescent probes for the detection of fucosylated N-glycans
using an Aspergillus oryzae lectin.
AB - The alpha(1,6)-fucose attached to the core N-glycan (core fucose) of
glycoproteins has been known to play essential roles in various
pathophysiological events, including oncogenesis and metastasis. Aspergillus
oryzae lectin (AOL) encoded by the fleA gene has been reported to bind to N
glycans containing core fucose. The fleA gene encoding AOL was cloned into an
Escherichia coli expression vector and then fused with genes of fluorescent
proteins for production of fusion proteins. The resulting FleA-fluorescent fusion
proteins were expressed well in E. coli and shown to detect glycoproteins
containing N-glycans with core fucose by lectin blot assay. It was also shown to
bind to the surface of cancer cells highly expressing the fucosyltransferase VIII
for attachment of core fucose. Surprisingly, we found that FleA-fluorescent
fusion proteins could be internalized into the intracellular compartment, early
endosome, when applied to live cells. This internalization was shown to occur
through a clathrin-mediated pathway by endocytosis inhibitor assay. Taken
together, these results suggest that FleA-fluorescent fusion proteins can be
employed as a valuable fluorescent probe for the detection of fucosylated glycans
and/or a useful vehicle for delivery of substances to the inside of cells.
PMID- 21892598
TI - Purification and characterization of heterologously expressed nitrilases from
filamentous fungi.
AB - Nitrilases from Aspergillus niger CBS 513.88, A. niger K10, Gibberella
moniliformis, Neurospora crassa OR74A, and Penicillium marneffei ATCC 18224 were
expressed in Escherichia coli BL21-Gold (DE3) after IPTG induction. N. crassa
nitrilase exhibited the highest yield of 69,000 U L(-1) culture. Co-expression of
chaperones (GroEL/ES in G. moniliformis and P. marneffei; GroEL/ES and trigger
factor in N. crassa and A. niger CBS 513.88) enhanced the enzyme solubility.
Specific activities of strains expressing the former two enzymes increased
approximately fourfold upon co-expression of GroEL/ES. The enzyme from G.
moniliformis (co-purified with GroEL) preferred benzonitrile as substrate (K(m)
of 0.41 mM, V(max) of 9.7 MUmol min(-1) mg(-1) protein). The P. marneffei enzyme
(unstable in its purified state) exhibited the highest V(max) of 7.3 MUmol min(
1) mg(-1) protein in cell-free extract, but also a high K(m) of 15.4 mM, for 4
cyanopyridine. The purified nitrilases from A. niger CBS 513.88 and N. crassa
acted preferentially on phenylacetonitrile (K(m) of 3.4 and 2.0 mM, respectively;
V(max) of 10.6 and 17.5 MUmol min(-1) mg(-1) protein, respectively), and
hydrolyzed also (R,S)-mandelonitrile with higher K(m) values. Significant amounts
of amides were only formed by the G. moniliformis nitrilase from
phenylacetonitrile and 4-cyanopyridine.
PMID- 21892600
TI - PET in T-Cell Lymphoma.
AB - Most non-Hodgkin lymphomas (NHL) are of B-cell origin; only about 10% are T-cell
or NK-cell lymphomas. The clinical features of T/NK-cell lymphomas differ from
those of B-cell lymphomas: advanced stage and extranodal disease are more common
and the prognosis is worse. Several studies have confirmed that 2-[fluorine
18]fluoro-2-deoxy-D-glucose (18FDG) uptake varies among different subtypes of
lymphoma, a disparity that can be explained by the differences in histology,
proliferation of tumor cells, and the ratio of viable tumor and reactive cells in
the environment. These observations are based on investigation of B-cell
lymphomas. Positron emission tomography (PET)/computed tomography (CT) was found
to be useful both at staging and at measuring the therapeutic outcome after two
to three cycles of chemotherapy (interim PET/CT). Several meta-analyses have
confirmed the role of PET in evaluating the viability of the residual tumor mass
after treatment. 18FDG-PET has been proved to have an excellent negative
predictive value. Conversely, only a few studies have investigated the role of
FDG-PET in T/NK-cell lymphomas. This paper summarizes the current information
regarding the potential use of PET/CT in patients with T-cell lymphoma.
PMID- 21892599
TI - A type of voltage-dependent Ca2+ channel on Vicia faba guard cell plasma membrane
outwardly permeates K+.
AB - The fine regulation of stomatal aperture is important for both plant
photosynthesis and transpiration, while stomatal closing is an essential plant
response to biotic and abiotic stresses such as drought, salinity, wounding, and
pathogens. Quick stomatal closing is primarily due to rapid solute loss.
Cytosolic free calcium ([Ca(2+)](cyt)) is a ubiquitous second messenger, and its
elevation or oscillation plays important roles in stomatal movements, which can
be triggered by the opening of Ca(2+)-permeable channels on the plasma membrane.
For Ca(2+)-permeable channel recordings, Ba(2+) is preferred as a charge-carrying
ion because it has higher permeability to Ca(2+) channels and blocks K(+) channel
activities to facilitate current recordings; however, it prevents visualization
of Ca(2+) channels' K(+) permeability. Here, we employed Ca(2+) instead of Ba(2+)
in recording Ca(2+)-permeable channels on Vicia faba guard cell plasma membrane
to mimic physiological solute conditions inside guard cells more accurately.
Inward Ca(2+) currents could be recorded at the single-channel level, and these
currents could be inhibited by micromolar Gd(3+), but their reversal potential is
far away from the theoretical equilibrium potential for Ca(2+). Further
experiments showed that the discrepancy of the reversal potential of the recorded
Ca(2+) currents is influenced by cytosolic K(+). This suggests that voltage
dependent Ca(2+) channels also mediate K(+) efflux at depolarization voltages. In
addition, a new kind of high-conductance channels with fivefold to normal Ca(2+)
channel and 18-fold to normal outward K(+) conductance was found. Our data
presented here suggest that plants have their own saving strategies in their
rapid response to stress stimuli, and multiple kinds of hyperpolarization
activated Ca(2+)-permeable channels coexist on plasma membranes.
PMID- 21892601
TI - The construction of an oxalate-degrading intestinal stem cell population in mice:
a potential new treatment option for patients with calcium oxalate calculus.
AB - About 80% of all urological stones are calcium oxalate, mainly caused by
idiopathic hyperoxaluria (IH). The increased absorption of oxalate from the
intestine is the major factor underlying IH. The continuous self-renewal of the
intestinal epithelium is due to the vigorous proliferation and differentiation of
intestinal stem cells. If the intestinal stem cell population can acquire the
ability to metabolize calcium oxalate by means of oxc and frc transgenes, this
will prove a promising new therapy option for IH. In our research, the oxalate
degrading genes of Oxalobacter formigenes (Oxf)-the frc gene and oxc gene-were
cloned and transfected into a cultured mouse-derived intestinal SC population to
give the latter an oxalate-degrading function. Oxf was isolated and cultivated
and the oxalate-degrading genes-frc and oxc-were cloned. The dicistronic
eukaryotic expression vector pIRES-oxc-frc was constructed and transferred into
the mouse stem cell population. After selection with G418, the expression of the
genes was identified. The oxalate-degrading function of transfected cells was
determined by transfection into the intestinal stem cell population of the mouse.
The change in oxalate concentration was determined with an ion chromatograph. The
recombinant plasmid containing oxc and frc genes was transfected into the stem
cell population of the mouse and the expression of the genes found normal. The
cell population had acquired an oxalate-degrading function. The oxc and frc genes
could be transfected into the intestinal stem cell population of the mouse and
the cells acquired an oxalate-degrading function.
PMID- 21892602
TI - Two- and three-dimensional culture of keratinocyte stem and precursor cells
derived from primary murine epidermal cultures.
AB - In the skin, multipotent keratinocyte stem cells (KSC) are localised in the hair
follicle bulge region. Although, KSC can be cultivated and grown in two
dimensional (2D) culture they rapidly lose stem cell markers when isolated from
their niche. Currently, there is no KSC culture method available which
recapitulates an environment similar to the KSC niche in the hair follicle. Here
we describe the successful establishment of an in vitro 3D stem cell culture
model developed from clonally growing keratinocyte lines derived from neonatal
mice using culture conditions previously established for human keratinocytes.
After 20 passages, keratinocyte lines showed a stable ratio of holoclones (stem
cells), meroclones (stem and precursor cells) and paraclones (differentiating
cells), with approximately 29% holoclones, 54% meroclones and 17% paraclones, and
were thus termed keratinocyte stem and precursor cell (KSPC) cultures. In high
calcium medium, KSPC cultures grown at the air-liquid interphase differentiated
and formed epidermal equivalents. Notably, and in contrast to primary
keratinocytes, keratinocytes from KSPC cultures were able to aggregate and form
spherical clusters in hanging drops, a characteristic hallmark shared with other
stem cell types. Similar to the in vivo situation in the hair follicle bulge,
KSPC aggregates also showed low proliferation, down-regulation of keratin 6,
absence of keratin 1, and expression of the KSC markers keratin 15, Sox9, NFATc1
and Zfp145. KSPC aggregates therefore provide an optimal in vitro 3D environment
for the further characterisation and study of normal and genetically modified
KSPC.
PMID- 21892604
TI - Navigated laparoscopic ultrasound in abdominal soft tissue surgery: technological
overview and perspectives.
AB - PURPOSE: Two-dimensinal laparoscopic ultrasound (LUS) is commonly used for many
laparoscopic procedures, but 3D LUS and navigation technology are not
conventional tools in the clinic. Navigated LUS can help the user understand and
interpret the ultrasound images in relation to the laparoscopic view and
preoperative images. When combined with information from MRI or CT, navigated LUS
has the potential to provide information about anatomic shifts during the
procedure. In this paper, we present an overview of the ongoing technological
research and development related to LUS combined with navigation technology, The
purpose of this overview is threefold: (1) an introduction for those new to the
field of navigated LUS; (2) an overview for those working in the field and; and
(3) as a reference for those searching for literature on technological
developments related to navigation in ultrasound-guided laparoscopic surgery.
METHODS: Databases were searched to identify relevant publications from the last
10 years. RESULTS: We were able to identify 18 key papers in the area of
navigated LUS for the abdomen, originating from about 10-11 groups. We present
the literature overview, including descriptions of our own experience in the
field, and a discussion of the important clinical and technological aspects
related to navigated LUS. CONCLUSIONS: LUS integrated with miniaturized tracking
technology is likely to play an important role in guiding future laparoscopic
surgery.
PMID- 21892605
TI - The characterization of functional disturbances in Chinese patients with Bietti's
crystalline dystrophy at different fundus stages.
AB - PURPOSE: The aim of this study was to characterize the functional and clinical
disturbances and screen the optimal functional tests in assessing Bietti's
crystalline dystrophy (BCD) patients by a cross-sectional method. METHODS: The
clinical characteristics of BCD were studied in 15 Chinese patients using
fundoscopy, fundus fluorescein angiography (FFA), and autofluorescence (AF). The
functional features were evaluated by full-field electroretinography (fERG), 85o
and 30o perimetry, multifocal ERG (mERG), and chromatic pupillometry. RESULTS:
The 15 patients were separated into three clinical stages according to their
fundus features. fERG- and mERG- showed reduced reponses in the early stages.
Substages could be further defined according to the fERG results in the
intermediate stages. Reduced pupillary light reflex (PLR) activities with blue
and white-light stumili existed in all patients. The most reduced PLR activities
were elicited in the advanced stage of patients who had other nonresponsive
functional tests. CONCLUSIONS: This study identified the most sensitive
functional methods for assessing BCD patients, and the significance of PLR in the
advanced stages. In addition, the defined-substages can help us understand the
disease more clearly.
PMID- 21892606
TI - Sperm carriers in Silurian sea scorpions.
AB - Invasion of the land by arachnids required adaptations of numerous organs, such
as gills evolving into lungs, as well as mechanisms facilitating sperm transfer
in a terrestrial environment. Many modern arachnids use spermatophores for this
purpose, i.e. sperm transmitters detached from the body. Exceptionally preserved
Silurian (423 Ma) fossils of Eurypterus tetragonophthalmus Fischer, 1839
(Chelicerata: Eurypterida) preserve so-called 'horn organs' which we here
demonstrate as being equivalent to the spermatophore-producing parts of the
genital tract in certain modern arachnids. This clarifies a long-running debate
about sexing eurypterids based on the shape of the median abdominal (or genital)
appendage. To our knowledge this is also the oldest direct evidence for
spermatophore-mediated sperm transfer in the fossil record and suggests that
eurypterids had evolved mating techniques using spermatophores as early as the
Silurian, a valuable prerequisite for life on land. Spermatophores are absent in
sea spiders (Pycnogonida) and horseshoe crabs (Xiphosura); thus the shared
presence of sclerotized sperm-transfer devices in eurypterids and arachnids is a
novel character, newly elucidated here, which offers explicit support for
(Eurypterida + Arachnida). For this clade the name Sclerophorata n. nov. is
proposed. Arachnida can be further defined by fusion of the originally paired
genital opening.
PMID- 21892603
TI - Immunosuppressive properties of mesenchymal stem cells.
AB - Mesenchymal stem cells (MSC) can be isolated from different adult tissues
including bone marrow, adipose tissue, cord blood and placenta. MSCs modulate the
immune function of the major immune cell populations involved in alloantigen
recognition and elimination, including antigen presenting cells, T cells, B cells
and natural killer cells. Many clinical trials are currently underway that employ
MSCs to treat human immunological diseases. However, the molecular mechanism that
mediates the immunosuppressive effect of MSCs is still unclear and the safety of
using MSC in patient needs further confirmation. Here, we review the cytokines
that activate MSCs and the soluble factors produced by MSCs, which allow them to
exert their immunosuppressive effects. We review the mechanism responsible, at
least in part, for the immune suppressive effects of MSCs and highlight areas of
research required for a better understanding of MSC immune modulation.
PMID- 21892608
TI - Extraperitoneal vs. intraperitoneal route for permanent colostomy: a meta
analysis of 1,071 patients.
AB - BACKGROUND: Parastomal hernia is a common complication after colostomy
construction. Whether an extraperitoneal route for colostomy creation can reduce
the risk of parastomal hernia remains controversial. OBJECTIVE: A meta-analysis
was performed to evaluate the value of extraperitoneal route in the prevention of
parastomal hernia and other postoperative complications related to colostomy.
DATA SOURCES: A literature search of Medline, Embase, Ovid, and Cochrane
databases from the years 1966 to 2010 was performed. STUDY SELECTION: Studies
comparing extraperitoneal colostomy with intraperitoneal colostomy were
identified. INTERVENTION: Extraperitoneal colostomy was performed to prevent
colostomy-related complications. MAIN OUTCOME MEASURES: Data on the following
outcomes were sought: incidence of postoperative colostomy complications
including parastomal hernia, prolapse, and bowel obstruction. RESULTS: Seven
retrospective studies with a combined total of 1,071 patients (250
extraperitoneal colostomy and 821 intraperitoneal colostomy) were identified.
There was a significantly lower rate of parastomal hernia (odds ratio, 0.41; 95%
confidence interval, 0.23-0.73, p = 0.002) in the extraperitoneal colostomy
group. However, the occurrences of bowel obstruction and prolapse were not
significantly different between the two groups. LIMITATIONS: A limitation of the
study lies on the meta-analysis of observational studies. CONCLUSION:
Extraperitoneal colostomy is associated with a lower rate of postoperative
parastomal hernia as compared to intraperitoneal colostomy. Prospective
randomized controlled trial is warranted to further determine the role of
extraperitoneal route in the prevention of parastomal hernia.
PMID- 21892607
TI - Downregulation of Notch-1/Jagged-2 in human colon tissues from Hirschsprung
disease patients.
AB - PURPOSE: Recent studies have shown that the Notch pathways play important roles
in the differentiation and development of neurons. Hirschsprung disease (HSCR) is
characterized by the absence of intramural ganglion cells in the nerve plexuses
of the distal gut. However, putative Notch function in enteric nervous system
(ENS) development and the etiology of HSCR is unknown. MATERIALS AND METHODS: The
aganglionosis segments of 30 HSCR patients were introduced to investigate the
expression pattern of Notch-1 and Jagged-2 using immunohistochemical staining,
reverse transcriptase polymerase chain reaction (RT-PCR), and Western blot
analysis. RESULTS: Intensive Notch-1 and Jagged-2 staining was detected in the
submucosal and the myenteric plexuses in normal or oligoganglionosis segments.
Aganglionosis segments from HSCR patients contained no plexuses and thus not
labeled with Notch-1 and Jagged-2. Western blot analysis revealed reduced Notch-1
and Jagged-2 protein levels, and RT-PCR revealed reduced Notch-1 and Jagged-2
mRNA in the aganglionosis segments of HSCR patients. CONCLUSIONS: This study is
the first illustration of Notch-1 and Jagged-2 expression in human tissues from
non-cancerous disease and sets up the base for further investigations of Notch
function in ENS development and intestinal motility.
PMID- 21892609
TI - Benzyl isothiocyanate inhibits basal and hepatocyte growth factor-stimulated
migration of breast cancer cells.
AB - Benzyl isothiocyanate (BITC), which is found in cruciferous vegetables, has been
shown to have anti-carcinogenic properties. Hepatocyte growth factor (HGF) has
the ability to stimulate dissociation, migration, and invasion in various tumor
cells, and abnormally increased expressions of HGF and its transmembrane tyrosine
kinase receptor, c-Met, have previously been detected in human breast cancer, and
are associated with high tumor grade and poor prognosis. In this study, in order
to assess the mechanisms relevant to the BITC-induced regulation of breast cancer
cell migration and invasion, MDA-MB-231 human breast cancer cells and 4T1 murine
mammary carcinoma cells were cultured in the presence of 0-4 MUmol/l BITC with or
without 10 MUg/l of HGF. BITC inhibited both the basal and HGF-induced migration
of MDA-MB-231 and 4T1 cells in a dose-dependent manner. In MDA-MB-231 cells, BITC
reduced both basal and HGF-induced secretion and activity of urokinase-type
plasminogen activator (uPA). In addition, BITC increased the protein levels of
plasminogen activator inhibitor-1. HGF stimulated c-Met and Akt phosphorylation,
but did not affect the phosphorylation of extracellular signal-regulated kinase
1/2 or stress-activated protein/c-jun N-terminal kinase. BITC suppressed NF
kappaB activity and reduced the HGF-induced phosphorylation of c-Met and Akt in a
dose-dependent manner. LY294002, a specific Akt inhibitor, reduced both basal and
HGF-induced uPA secretion and migration of MDA-MB-231 cells. In this study, we
demonstrated that BITC profoundly inhibits the migration and invasion of MDA-MB
231 cells, which is associated with reduced uPA activity, and also that these
phenomena are accompanied by the suppression of Akt signaling.
PMID- 21892610
TI - Computed tomographic angiography identification of intramural segments in
anomalous coronary arteries with interarterial course.
AB - Certain coronary anomalies are associated with high risk features. We sought to
determine the diagnostic accuracy of coronary computed tomographic angiography
(CTA) in determining high-risk features, particularly intramural segments.
Anomalous coronary arteries can be associated with adverse clinical events.
Anomalous coronaries that course between the great vessels (interarterial) have
been associated with sudden death. High-risk features of interarterial vessels
described in the literature include; a slit-like orifice, acute angle of origin,
and intramural segments (within the wall of the aorta). Although computed
tomography (CT) findings of acute angle and slit like orifice have been described
previously no prior evaluations regarding CT identification of an intramural
segment have been reported. An intramural segment has distinct surgical
management implications. All interarterial anomalous coronary arteries do not
have an intramural segment. Since October 2004, 15 patients were diagnosed by CTA
as having an anomalous coronary artery with an interarterial course, which were
then confirmed by intraoperative examination of their coronary origins and course
during aortic root/coronary artery surgery. The CTA images were retrospectively
analyzed for the presence of high-risk features by a radiologist blinded to the
surgical findings. Comparison of these findings was made to the findings at
surgery. The anomalous coronary was the right coronary artery in 10 patients and
the left coronary artery in 5. Eleven patients had an intramural segment
identified at surgery. Pre-operative coronary CTA showed that all patients with
an intramural course of the anomalous artery, had slit-like orifice, an acute
angle of origin (mean 18.4 +/- 3.4 degrees ), and an elliptical shaped cross
section throughout the intramural segment of the anomalous vessel. The average
vessel height/width ratio for anomalous coronary vessels without an intramural
segment was 1.03; compared to a ratio of 2.19 for anomalous vessels with an
intramural segment (P = 0.003). Coronary CTA can identify an intramural segment
of an anomalous interarterial coronary artery by its elliptical shape.
Identifying an intramural segment has important clinical and surgical
implications.
PMID- 21892611
TI - Salivary enzymes and exhaled air affect Streptococcus salivarius growth and
physiological state in complemented artificial saliva.
AB - To better understand the phenomena governing the establishment of the oral
bacterium Streptococcus salivarius in the mouth, the effect of some environmental
factors has been studied in complemented artificial saliva, under oral pH and
temperature conditions. Three salivary enzymes at physiological concentrations
were tested: peroxidase, lysozyme and amylase, as well as injection of exhaled
air. Injection of air containing 5% CO2 and 16% O2 induced a deleterious effect
on S. salivarius K12, mainly by increasing redox potential. Addition of lysozyme
slightly affected the physiological state of S. salivarius by altering membrane
integrity. In contrast, peroxidase was not detrimental as it made it possible to
decrease the redox potential. The addition of amylase reduced the specific growth
rate of S. salivarius by formation of a complex with amylase and mucins, but led
to high final biomass, as a result of enzymatic degradation of some nutrients.
Finally, this work demonstrated that salivary enzymes had a slight impact on S.
salivarius behaviour. It can thus be concluded that this bacterium was well
adapted to in-mouth conditions, as it was able to resist certain salivary
enzymes, even if tolerance to expired air was affected, as a result of an
increased redox potential.
PMID- 21892612
TI - Resveratrol attenuates apoptosis of pulmonary microvascular endothelial cells
induced by high shear stress and proinflammatory factors.
AB - Endothelial injury usually underlies the initial pathologic step of
cardiovascular diseases. Primary endothelial cell (EC) apoptosis and secondary
hyperproliferation both contribute to the development of atherosclerosis and
luminal occlusion. In order to investigate the effects of resveratrol (RSV) on EC
apoptosis, we applied high shear stress (HSS) with proinflammatory factors [tumor
necrosis factor alpha (TNF-alpha) plus cycloheximide] to human pulmonary
microvascular ECs (PMVECs) through an artificial capillary system. Intracellular
reactive oxygen species (ROS) was measured by spectrofluorometry using
dihydrorhodamine 123 fluorescent probe. Apoptosis and proliferation was
determined by flow cytometric analysis. Protein expression was examined by
Western blot. HSS plus inflammation significantly raised the ROS and the
apoptosis level of PMVECs, which could be diminished by RSV pretreatment. In a 7
days incubation assay, RSV effectively inhibited the initial increase in
apoptosis and thereby prevented subsequent PMVEC hyperproliferation induced by
HSS plus inflammation. Mercaptosuccinate, a glutathione peroxidase (GPx-1)
inhibitor or nicotinamide, a silent information regulator 2/sirtuin 1 (SIRT1)
inhibitor could attenuate the antiapoptotic action of RSV on PMVECs; and RSV
treatment upregulated GPx-1 and SIRT1 expression in PMVECs. In conclusion, RSV,
probably by activating SIRT1 signaling pathway, inhibits the oxidative-stress
dependent phenotypical shift of ECs induced by HSS and proinflammatory factors in
vitro.
PMID- 21892613
TI - Saccharothrix yanglingensis sp. nov., an antagonistic endophytic actinomycete
isolated from cucumber plant.
AB - An endophytic actinomycete strain, designated Hhs.015(T), was isolated from roots
of cucumber seedlings. The endophytic isolate was identified by means of a
polyphasic taxonomic approach. On the basis of 16S rRNA gene sequence
similarities, strain Hhs.015(T) was closely related to members of the genus
Saccharothrix. DNA-DNA hybridization with the four closest relatives,
Saccharothrix longispora NRRL B-16116(T), Saccharothrix xinjiangensis NRRL B
24321(T), Saccharothrix autraliensis CGMCC 4.1355(T) and Saccharothrix
espanaensis CGMCC 4.1714(T), gave similarity values of 33.8, 28.2, 44.1 and
29.5%, respectively, which indicated that strain Hhs.015(T) represents a novel
species of the genus Saccharothrix. This is consistent with the morphological,
physiological and chemotaxonomic data. As a whole, these results suggest that
strain Hhs.015(T) represents a novel Saccharothrix species. The name
Saccharothrix yanglingensis sp. nov. is proposed, with the type strain Hhs.015(T)
(=CGMCC 4.5627(T) = KCTC 19722(T)).
PMID- 21892614
TI - Molecular characterization of a rice metal tolerance protein, OsMTP1.
AB - Rice (Oryza sativa L. 'Nipponbare') cDNA subtractive suppression hybridization
(SSH) libraries constructed using cadmium (Cd)-treated seedling roots were
screened to isolate Cd-responsive genes. A cDNA clone, encoding the rice homolog
of Metal Tolerance Protein (OsMTP1), was induced by Cd treatment. Plant MTPs
belong to cation diffusion facilitator (CDF) protein family, which are widespread
in bacteria, fungi, plants, and animals. OsMTP1 heterologous expression in yeast
mutants showed that OsMTP1 was able to complement the mutant strains'
hypersensitivity to Ni, Cd, and Zn, but not other metals including Co and Mn.
OsMTP1 expression increased tolerance to Zn, Cd, and Ni in wild-type yeast BY4741
during the exponential growth phase. OsMTP1 fused to green fluorescent protein
was localized in onion epidermal cell plasma membranes, consistent with an OsMTP1
function in heavy metal transporting. OsMTP1 dsRNAi mediated by transgenic assay
in rice seedlings resulted in heavy metal sensitivity and changed the heavy metal
accumulation in different organs of mature rice under low-concentration heavy
metal stress. Taken together, our results show that OsMTP1 is a bivalent cation
transporter localized in the cell membrane, which is necessary for efficient
translocation of Zn, Cd and other heavy metals, and maintain ion homeostasis in
plant.
PMID- 21892615
TI - Assessment of radiological hazard of NORM in Margalla Hills limestone, Pakistan.
AB - Studies on naturally occurring radioactive material (NORM) in the limestone from
the Margalla Hills have been carried out by measuring gamma activity and to
access its radiological implications if any. For data acquisition, a High-Purity
Germanium detector was employed. The activity concentrations of (226)Ra, (232)Th,
and (40)K were found to be 14.32 +/- 0.24, 2.05 +/- 0.04, and 13.80 +/- 0.20 Bq
kg(-1), respectively. These values are relatively lower as compared to that in
the limestone of other countries and much lower than the values reported for the
natural building stones. The average specific activities due to (226)Ra were
found to be higher when compared with (40)K and (232)Th. Indices of radium
equivalent activity (Ra(eq)), internal hazard (H(in)), indoor absorbed gamma dose
rate (D(R,)), and corresponding annual effective dose (E(eff)) were also
determined for the limestone-made rooms. All of these indices were found to be in
the lower ranges. The Margalla Hills limestone does not pose any excessive
radiological health hazard as a building material and in industrial uses for a
common man.
PMID- 21892616
TI - Isolation of phlorotannins from Eisenia bicyclis and their hepatoprotective
effect against oxidative stress induced by tert-butyl hyperoxide.
AB - Eisenia bicyclis (Kjellman) Setchell is a common brown alga that inhabits the
middle Pacific coast around Korea and Japan. In this study, the ethanol extract
and its serial solvent fractions were prepared from fresh E. bicyclis, and their
hepatoprotective effects were investigated against hepatotoxicity in tert-butyl
hyperoxide(t-BHP)-injured HepG2 cells. When these samples were used at a dose of
10-40 MUg/mL-1, they significantly protected the t-BHP-induced cell death in
HepG2 cells. Among fractions, ethyl acetate fraction (EF) and n-butanol extract
(BF) exhibited potent hepatoprotective activities (62.60% for EF and 64.86% for
BF) in t-BHP-injured HepG2 cells at a concentration of 10 MUg/mL-1. To find the
potential factors for this activity, the samples were characterized on total
phenolics, chlorophylls, carotenoids, and radical scavenging activity. Among
them, EF showed the highest content of total phenolics and the strongest
antioxidant activity both in on- and offline assays. Five phlorotannin compounds,
oligomers of phloroglucinol, were isolated chromatographically from this fraction
and structurally identified by (1)H-NMR and liquid chromatography-electrospray
ionization-mass spectrometry analyses as eckol(1), 6,6'-bieckol(2), 8,8'
bieckol(3), dieckol(4), and phlorofucofuroeckol A(5). Compound 5 among five
purified compounds showed the strongest protective activity (45.54%) at a
concentration of 10 MUM. At the high dose (40 MUM), the protective activities of
three compounds (compound 2, 4, and 5) were higher than that of quercetin treated
with 10 MUM concentration. Therefore, we can speculate that they can be developed
as potential candidates for natural hepatoprotective agents.
PMID- 21892617
TI - Resection of liver metastases is beneficial in patients with gastric cancer:
report on 15 cases and review of literature.
AB - BACKGROUND: Although up to 9% of patients with gastric cancer develop liver
metastases, liver resection is rarely performed because of co-existing non
curative factors. Furthermore, the benefit of liver resection is still
controversially discussed. Our goal was to investigate the outcome of patients
who underwent liver resection or radiofrequency ablation (RFA) for hepatic
metastasized gastric cancer. METHODS: Retrospectively collected data from a
prospectively maintained database were analyzed from 15 patients who underwent
liver resection or RFA for liver metastases from gastric cancer. RESULTS: Overall
5-year survival and median survival were 27% and 48 months for resected/RFA
patients. The peri-operative complication rate was low. One patient has been
tumor-free for 90 months now. CONCLUSIONS: Liver resection in patients with
hepatic metastasized gastric cancer is beneficial and safe if an R0 situation can
be achieved. RFA might be a useful alternative in those patients where surgery is
not feasible.
PMID- 21892618
TI - HRD1 levels increased by zonisamide prevented cell death and caspase-3 activation
caused by endoplasmic reticulum stress in SH-SY5Y cells.
AB - Zonisamide, which is commonly prescribed at high doses (200-400 mg/day) for the
treatment of partial seizures, has recently been used at a low dose (25 mg/day)
for improving parkinsonian syndrome. However, the molecular mechanisms that
underlie the antiparkinsonian effects of zonisamide have not been clarified. Here
we show that low micromolar concentrations of zonisamide prevented cleavage of
caspase-3 and cell death in human dopaminergic SH-SY5Y neuroblastoma cells that
were subjected to endoplasmic reticulum stress induced by tunicamycin or 6
hydroxydopamine. Hypodense zonisamide increased the expression levels of SEL1L,
which is known to stabilize the ubiquitin ligase HRD1. Indeed, upregulation of
HRD1 protein was observed. Thus, the results of this study strongly suggest that
low concentrations of zonisamide inhibit neuronal cell death by increasing HRD1
protein levels in patients with Parkinson's disease. Consequently, in addition to
the treatment of Parkinson's disease, the therapeutic potential of zonisamide
should be considered for the treatment of several neurodegenerative disorders
with pathophysiological mechanisms involving endoplasmic reticulum stress.
PMID- 21892619
TI - Parkinsonism and frontotemporal dementia: the clinical overlap.
AB - Frontotemporal dementia is commonly associated with parkinsonism in several
sporadic (i.e., progressive supranuclear palsy, corticobasal degeneration) and
familial neurodegenerative disorders (i.e., frontotemporal dementia associated
with parkinsonism and MAPT or progranulin mutations in chromosome 17). The
clinical diagnosis of these disorders may be challenging in view of overlapping
clinical features, particularly in speech, language, and behavior. The motor and
cognitive phenotypes can be viewed within a spectrum of clinical, pathologic, and
genetic disorders with no discrete clinicopathologic correlations but rather
lying within a dementia-parkinsonism continuum. Neuroimaging and cerebrospinal
fluid analysis can be helpful, but the poor specificity of clinical and imaging
features has enormously challenged the development of biological markers that
could differentiate these disorders premortem. This gap is critical to bridge in
order to allow testing of novel biological therapies that may slow the
progression of these proteinopathies.
PMID- 21892620
TI - The multiple faces of valosin-containing protein-associated diseases: inclusion
body myopathy with Paget's disease of bone, frontotemporal dementia, and
amyotrophic lateral sclerosis.
AB - Inclusion body myopathy associated with Paget's disease of bone and
frontotemporal dementia (IBMPFD) is a progressive, fatal genetic disorder with
variable penetrance, predominantly affecting three main tissue types: muscle
(IBM), bone (PDB), and brain (FTD). IBMPFD is caused by mutations in the
ubiquitously expressed valosin-containing protein (VCP) gene, a member of the AAA
ATPase superfamily. The majority of individuals who develop IBM have progressive
proximal muscle weakness. Muscle biopsies reveal rimmed vacuoles and inclusions
that are ubiquitin- and TAR DNA binding protein-43 (TDP-43)-positive using
immunohistochemistry. PDB, seen in half the individuals, is caused by overactive
osteoclasts and is associated clinically with pain, elevated serum alkaline
phosphatase, and X-ray findings of coarse trabeculation and sclerotic lesions.
FTD diagnosed at a mean age of 55 years in a third of individuals is
characterized clinically by comprehension deficits, dysnomia, dyscalculia, and
social unawareness. Ubiquitin- and TDP-43-positive neuronal inclusions are also
found in the brain. Genotype-phenotype correlations are difficult with marked
intra-familial and inter-familial variations being seen. Varied phenotypes within
families include frontotemporal dementia, amyotrophic lateral sclerosis,
Parkinsonism, myotonia, cataracts, and anal incompetence, among others. Cellular
and animal models indicate pathogenetic disturbances in IBMPFD tissues including
altered protein degradation, autophagy pathway alterations, apoptosis, and
mitochondrial dysfunction. Currently, mouse and drosophila models carrying VCP
mutations provide insights into the human IBMPFD pathology and are useful as
tools for preclinical studies and testing of therapeutic strategies. In this
review, we will explore the pathogenesis and clinical phenotype of IBMPFD caused
by VCP mutations.
PMID- 21892622
TI - Quantification of receptor-ligand binding with [18F]fluciclatide in metastatic
breast cancer patients.
AB - PURPOSE: The purpose of the study was to estimate the receptor-ligand binding of
an arginine-glycine-aspartic acid (RGD) peptide in somatic tumours. To this aim,
we employed dynamic positron emission tomography (PET) data obtained from breast
cancer patients with metastases, studied with the alpha(v)beta(3/5) integrin
receptor radioligand [(18)F]fluciclatide. METHODS: First, compartmental modelling
and spectral analysis with arterial input function were performed at the region
of interest (ROI) level in healthy lung and liver, and in lung and liver
metastases; compartmental modelling was also carried out at the pixel level. The
selection of the most appropriate indexes for tumour/healthy tissue
differentiation and for estimation of specific binding was then assessed.
RESULTS: The two-tissue reversible model emerged as the best according to the
Akaike Information Criterion. Spectral analysis confirmed the reversibility of
tracer kinetics. Values of kinetic parameters, estimated as mean from parametric
maps, correlated well with those computed from ROI analysis. The volume of
distribution V(T) was on average higher in lung metastases than in the healthy
lung, but lower in liver metastases than in the healthy liver. In agreement with
the expected higher alpha(v)beta(3/5) expression in pathology, k(3) and k(3)/k(4)
were both remarkably higher in metastases, which makes them more suitable than
V(T) for tumour/healthy tissue differentiation. The ratio k(3)/k(4), in
particular, appeared a reasonable measure of specific binding. CONCLUSION:
Besides establishing the best quantitative approaches for the analysis of
[(18)F]fluciclatide data, this study indicated that the k(3)/k(4) ratio is a
reasonable measure of specific binding, suggesting that this index can be used to
estimate alpha(v)beta(3/5) receptor expression in oncology, although further
studies are necessary to validate this hypothesis.
PMID- 21892623
TI - Peptide receptor radionuclide therapy with 177Lu-DOTATATE: the IEO phase I-II
study.
AB - PURPOSE: Peptide receptor radionuclide therapy (PRRT) is used in tumours
expressing type 2 somatostatin receptors (sst(2)), mainly neuroendocrine. The aim
of this prospective phase I-II study was to evaluate the toxicity and efficacy of
(177)Lu-DOTATATE in multiple cycles. METHODS: Fifty-one consecutive patients with
unresectable/metastatic sst(2)-positive tumours, divided into two groups,
received escalating activities (3.7-5.18 GBq/cycle, group 1; 5.18-7.4 GBq/cycle,
group 2) of (177)Lu-DOTATATE. Cumulative activities ranged from 3.7 to 29.2 GBq
(median 26.4 GBq in median 6 cycles, group 1, 21 patients) and 5.55 to 28.9 GBq
(median 25.2 GBq in 4 cycles, group 2, 30 patients), based on dosimetry. RESULTS:
No major acute or delayed renal or haematological toxicity occurred (one grade 3
leukopenia and thrombocytopenia). Cumulative renal absorbed doses were 8-37 Gy (9
41 Gy bioeffective doses). A median decrease of creatinine clearance of 21.7% 6
months after PRRT, 23.9% after 1 year and 27.6% after 2 years was observed.
Higher losses (>20%) occurred in patients with risk factors for renal toxicity,
particularly hypertension and diabetes. Cumulative bone marrow doses were <1.5
Gy. Blood elements showed a progressive mild drop during cycles and recovered
during follow-up (median 30 months). Thirty-nine patients were progressive at
enrolment. Partial and complete responses occurred in 15 of 46 (32.6%) assessable
patients. The median time to progression was 36 months. Overall survival was 68%
at 36 months. Non-responders and patients with extensive tumour involvement had
lower survival. CONCLUSION: (177)Lu-DOTATATE was well tolerated up to 29 GBq
cumulative activity (up to 7.4 GBq/cycle). The maximum tolerated dose/cycle was
not reached. However, considering the individual bone marrow function and the
presence of risk factors for kidney toxicity, it seems safer to divide cumulative
activities into lower activity cycles.
PMID- 21892624
TI - Current approaches to micro-RNA analysis and target gene prediction.
AB - It is becoming increasingly evident that micro-RNAs (miRNA) play a significant
role in regulating the cellular machinery. These ~22-nt non-coding RNAs function
as negative regulators of gene expression. Since their discovery, considerable
information has been obtained on miRNA biology and the mechanism of their action.
Guidelines have been established for miRNA nomenclature and databases have been
built to house all miRNA from many species. A number of methodologies are
available for miRNA analysis. There is a lot of interest in developing
bioinformatics approaches to predict miRNA target genes. This article will bring
together the information on our current knowledge of miRNA biology, the
approaches for miRNA analysis, and computational strategies to gain insight in
miRNA functional roles.
PMID- 21892625
TI - Spinocerebellar ataxia: a rational approach to aetiological diagnosis.
AB - The objective of this study was to determine the main causal diagnosis for
spinocerebellar ataxia (SCA) in a geographically defined population of ataxia
patients and to suggest a rational basis for choosing appropriate clinical and
paraclinical assessments. Given the many aetiologies responsible for SCA, the
diagnosis requires the performance of a wide range of paraclinical analyses. At
present, there is no consensus on the diagnostic value of these examinations.
Furthermore, most of the currently available data gathered by reference centres
suffer from selection bias. We performed a prospective study of consecutive
cerebellar ataxia patients referred by their family doctors to a university
hospital in northern France. Multiple system atrophy and obvious secondary causes
(e.g. alcoholism) were excluded by our screening process. The patient's family
members were also assessed. Of the 204 patients examined, 47% presented autosomal
dominant ataxia and 33% presented sporadic ataxia. Autosomal recessive ataxia was
rare (8%) and age at onset was significantly earlier for this condition than for
other forms. An aetiological diagnosis was established in 44% of patients, a
plausible hypothesis could be formed in 13% of cases, and no diagnosis was made
in the remaining 44%. Established diagnoses included SCA1, SCA2, SCA3 and SCA6
mutations, Friedreich's ataxia, and one rare case of ataxia associated with anti
glutamic acid decarboxylase antibodies. Two families presented ataxia associated
with autosomal, dominant, optic atrophy with an OPA1 mutation. Mitochondrial
diseases were suspected in about 10% of patients. In SCA, reliable determination
of the transmission mode always requires the assessment of family members.
Mitochondrial disease may be an emerging cause of ataxia. Metabolite assays
appeared to be of little value when systematically performed and so should be
prescribed only by metabolic disorder specialists in selected cases of sporadic
and recessive ataxia. Ophthalmological examination was the most helpful
physiological assessment.
PMID- 21892626
TI - Cartilage repair in the rabbit knee: mosaic plasty resulted in higher degree of
tissue filling but affected subchondral bone more than microfracture technique: a
blinded, randomized, controlled, long-term follow-up trial in 88 knees.
AB - PURPOSE: Discrepancies and variances in outcome following different surgical
techniques for cartilage repair are poorly understood. Successful repair relies
on proper tissue filling without initiating degenerative processes in the
cartilage-bone unit. Consequently, the objective of the current study was to
compare two available techniques for cartilage repair, i.e., microfracture
technique and mosaic plasty, regarding tissue filling and subchondral bone
changes in an experimental model. METHODS: A 4-mm pure chondral defect was
created in the medial femoral condyle of both knees in New Zealand rabbits, aged
22 weeks. A stereomicroscope was used to optimize the preparation of the defects.
In one knee (randomized), the defect was treated with microfracture technique
whereas in the other with mosaic plasty. The animals were killed at 12, 24 and 36
weeks after surgery. Defect filling, new bone formation above the level of the
tidemark and the density of subchondral mineralized tissue were estimated by
histomorphometry. RESULTS: Mosaic plasty resulted in a significantly 34% higher
degree of tissue filling than microfracture technique at 36 weeks, SD of mean
difference being 34%. Mosaic plasty resulted in significantly more new bone
formation and reduced subchondral mineralized tissue density compared to
microfracture technique. The differences between the two techniques were apparent
mainly at the long-term follow-up. CONCLUSION: Tissue filling is a limiting
factor regarding microfracture technique when compared to mosaic plasty, whereas
mosaic plasty resulted in more bone changes than microfracture technique-the
implications of the latter remain to be settled. This study underlines the
difficulty in predicting outcome in the single case with any of these two
techniques, particularly in a long-term perspective.
PMID- 21892627
TI - Neonatal exposure to high doses of 17beta-estradiol results in inhibition of
heparanase-1 expression in the adult prostate.
AB - Heparanase-1 (HPSE-1) is an endoglycosidase that cleaves heparan sulfate. The
physiological functions of HPSE-1 include embryo development, hair growth, wound
healing, tumor growth, angiogenesis, metastasis, and inflammation. HPSE-1
expression was found to increase temporarily in the rat ventral prostate (VP)
after castration. The promoter region of the Hpse-1 gene has estrogen-responsive
elements, suggesting that the gene is regulated by estrogens. In this study, we
investigated the expression of HPSE-1 in the VP of 90-day-old rats after neonatal
exposure to a high dose of 17beta-estradiol. HPSE-1 was not found by
immunohistochemistry in the epithelium of estrogenized animals. To determine
whether inhibition of Hpse-1 expression in the epithelium was due to pre- or post
transcriptional regulation, epithelial cells were isolated by centrifugation in
Percoll gradient and the presence of Hpse-1 mRNA was investigated by RT-PCR. Hpse
1 mRNA was not detected in the estrogenized animals. Considering that Hpse-1
transcription could be inhibited by DNA methylation, we used the methylation
sensitive restriction enzyme HpaII and PCR to show that a single CCGG site at
position +185 was more frequently methylated in the epithelium of estrogenized
than in control animals. Immunohistochemistry for 5-methylcytidine revealed that
the epithelial cell nuclei in estrogenized animals were heavily methylated. These
results suggest that Hpse-1 expression was blocked in the epithelial cells of the
VP, by estrogen imprinting by a pre-transcriptional mechanism involving DNA
methylation.
PMID- 21892629
TI - Multistep liquid-phase lithography for fast prototyping of microfluidic free-flow
electrophoresis chips.
AB - We present a fast and versatile method to produce functional micro free-flow
electrophoresis chips. Microfluidic structures were generated between two glass
slides applying multistep liquid-phase lithography, omitting troublesome bonding
steps or cost-intensive master structures. Utilizing a novel spacer-less approach
with the photodefinable polymer polyethyleneglycol dimethacrylate (PEG-DA),
microfluidic devices with hydrophilic channels of only 25 MUm in height were
generated. The microfluidic chips feature ion-permeable segregation walls between
the electrode channels and the separation bed and hydrophilic surfaces. The
performance of the chip is demonstrated by free-flow electrophoretic separation
of fluorescent xanthene dyes and fluorescently labeled amino acids.
PMID- 21892628
TI - Transcription suppression of SARI (suppressor of AP-1, regulated by IFN) by BCR
ABL in human leukemia cells.
AB - The BCR-ABL tyrosine kinase has been implicated in the dysregulation of oncogenes
and tumor suppressor genes involved in chronic myelogenous leukemia (CML).
Suppressor of activator protein-1, regulated by interferon (SARI), is a recently
identified tumor suppressor gene whose expression has been reported to be
suppressed in several malignant neoplasms. However, the expression of SARI in
leukemia and the underlying regulatory mechanism remain elusive. In this study,
we demonstrated that SARI mRNA expression was low in CML patients. In vitro, BCR
ABL kinase inhibitor imatinib mesylate or siRNA specific to BCR-ABL upregulated
SARI mRNA expression in human leukemia cells. In addition, JAK/STAT signaling
inhibitor AG490 and RAS/MAPK signaling inhibitor PD98059 upregulated SARI mRNA
expression, but PI3K/AKT pathway inhibitor LY294002 had no such effect.
Functionally, silencing of SARI in CML-derived cell line K562 partially decreased
imatinib mesylate-induced apoptosis. Taken together, these data demonstrate that
SARI mRNA expression is suppressed by BCR-ABL through the downstream signaling
pathways, suggesting SARI as a potential therapeutic target in CML.
PMID- 21892630
TI - Prevalence of obesity among patients with congenital and acquired heart disease.
AB - Overweight and obesity rates have risen dramatically in the United States, with
subsequent detrimental comorbidity risks. The rates for obesity among children
with congenital and acquired heart disease have rarely been reported. A
retrospective cross-sectional study was conducted to determine the prevalence of
overweight and obesity in children with heart disease and to identify subgroups
at increased risk. A total of 795 cases were identified from a chart review of
patients presenting to an urban center's Pediatric Cardiology Program between 1
January and 31 December 2006. A body mass index (BMI) at the 85th percentile or
higher was defined as overweight, and a BMI at the 95th percentile or higher was
defined as obese. Subjects with comorbidities affecting body habitus were
excluded from the study. Overall, overweight and obesity rates were similar to
national data. No significant differences in overweight or obesity rates were
detected between heart disease and non-heart disease groups (P = 0.50). According
to multivariate analysis, Hispanic ethnicity and male gender were the only
predictors of obesity. This study shows that children with heart disease are not
immune to the common predictors of obesity such as gender and ethnicity and that
the future care of children with heart disease should include general discussions
about the risks for obesity.
PMID- 21892631
TI - Effects of motion sickness on thermoregulatory responses in a thermoneutral air
environment.
AB - Motion sickness (MS) has been identified as a non-thermal factor that can
moderate autonomic thermoregulatory responses. It has been shown that MS
exaggerates core cooling during immersion in cold (15 degrees C) and luke-warm
(28 degrees C) water by attenuating cold-induced vasoconstriction. The aim of
the present study was to investigate whether MS affects thermal balance in a
thermoneutral air environment. Eleven subjects were exposed to rotation in two
conditions, control (CN) and MS. In the CN condition subjects refrained from head
movements, whereas in the MS condition they performed a sequence of maximal head
movements (left, right, up, down) at 15-s intervals until they were very
nauseous. Sweating rate, rectal temperature (T(re)), the difference in
temperature between the right forearm and tip of the second finger (T(ff)) as an
index of cutaneous vasomotor tone, perceived MS, thermal comfort and temperature
perception were recorded before and during rotation, and during 90-min post
rotation. During the post-rotation period, T(re) dropped and sweating rate
increased in the MS but not in the CN condition. The T(ff) response suggests that
MS-induced peripheral vasodilatation which, together with the sweating resulted
in increased heat loss. During rotation, subjects perceived temperature to be
uncomfortably high, suggesting that MS may also affect thermoregulatory
behaviour. It thus appears that also in a thermoneutral air environment MS may
substantially affect thermal balance.
PMID- 21892632
TI - Radioprotection by the histone deacetylase inhibitor phenylbutyrate.
AB - The histone deacetylase inhibitor (HDAC), phenylbutyrate (PB), is a novel anti
tumor agent. Studies have demonstrated that HDAC inhibitors can suppress
cutaneous radiation syndrome and stimulate hematopoiesis. The objective of this
study was to test the ability of PB treatment to protect against acute gamma
radiation-induced lethality in the DBA/2 mouse model. A 30-day radiation
lethality study was used to assess radioprotective capability of PB. Mechanisms
were evaluated using western blots, flow cytometry, and the single-cell gel
electrophoresis assay. Western blot studies showed that PB treatment acetylated
histones in vivo. For radiation protection studies, prophylactic administration
of PB (24 h preradiation; 1-50 mg/kg) provided radioprotection against gamma
radiation (8-9.5 Gy) and PB demonstrated a DRF of 1.31 (P = 0.001; 95% confidence
interval: 1.27, 1.36). When PB (10 mg/kg) was administered post-radiation (4 h),
it also provided significant radioprotection at 8.0 Gy radiation (P = 0.022). PB
treatment before radiation was associated with significant elevations in
neutrophils and platelets following radiation. Results from single-cell gel
electrophoresis of peripheral blood leukocytes demonstrated that PB treatment
before radiation can attenuate DNA damage and inhibit radiation-induced
apoptosis. These results indicate that an HDAC inhibitor like PB has potential as
a radiation protector and that mechanisms of action include attenuation of DNA
damage and inhibition of apoptosis.
PMID- 21892634
TI - Emergent carotid artery stenting using a flow reversal system for acute
atherosclerotic occlusion of the internal carotid artery.
PMID- 21892633
TI - A gyrB-targeted PCR for rapid identification of Salmonella.
AB - Salmonella causes the majority of infections in humans and homeothermic animals.
This article describes a specific polymerase chain reaction (PCR) method
developed for a rapid identification of Salmonella. A gyrB-targeted species
specific primer pair, S-P-for (5'-GGT GGT TTC CGT AAA AGT A-3') and S-P-rev (5'
GAA TCG CCT GGT TCT TGC-3'), was successfully designed. PCR with all the
Salmonella strains produced a 366- bp DNA fragment that was absent from all the
non-Salmonella strains tested. The detection limit of the PCR was 0.01 ng with
genomic DNA or 3.2 cells per assay. Good specificity was also demonstrated by
fecal samples, from which only the gyrB gene of Salmonella was amplified. Using
the culture-PCR method, 27 isolates on Salmonella-Shigella (SS) medium were
rapidly identified as Salmonella, which was confirmed by the sequencing of the
gyrB gene.
PMID- 21892635
TI - Bilateral primary optic nerve sheath meningiomas with pneumosinus dilatans.
PMID- 21892636
TI - Pilocytic astrocytoma originating at the cavernous sinus.
AB - We report a case of histologically proven pilocytic astrocytoma arising within
the cavernous sinus, confirmed radiographically and at operation. We discuss the
implications in the context of previous reports of ectopic glioma origin. In
particular, the possiblity of glioma development within glial cell islands in the
peripheral segment of cranial nerves is explored.
PMID- 21892637
TI - Accuracy of external ventricular drainage catheter placement.
AB - BACKGROUND: External ventricular drainage (EVD) is a freehand neurosurgical
procedure performed routinely using the anatomical landmarks. OBJECTIVE: The aim
of this study was to determine the accuracy of EVD catheter freehand placement.
MATERIALS AND METHODS: Pre-operative and post-operative computed tomography scans
for 66 consecutive EVDs performed in 56 adult patients (26 men, 30 women) in 2008
were retrospectively reviewed. Etiologies of acute hydrocephalus were
subarachnoid or intraventricular haemorrhage (43 cases) or miscellaneous (13
cases). Pre-operative lateral ventricular volume, position of the burr hole,
length of the catheter and its sagittal and coronal angular variations from a
theoretical trajectory were measured. RESULTS: The EVD was placed on the right
(53 cases) or left (13 cases) side. The mean pre-operative lateral ventricular
volume was 51 cc (10-118 cc). The average distance from the burr hole to the
midline was 28 mm (10-49 mm) and to the supra-orbital ridge was 101 mm (75-125
mm). The mean intracranial catheter length was 60 mm (from 39-102 mm). Only 50%
of the EVDs in the coronal plane and 40% in the sagittal plane were placed with
an angular variation of +/-5 degrees to the target. The tip was placed outside
of the ventricles in three cases; 13 catheters crossed the midline, and five
intracranial minor haemorrhages were detected. CONCLUSION: Freehand placement of
EVDs does not have sufficient accuracy and may lead to drainage dysfunctions.
This data suggests that a guidance system for EVD's would be required.
PMID- 21892638
TI - Measurement of 3-hydroxyisovaleric acid in urine from marginally biotin-deficient
humans by UPLC-MS/MS.
AB - Measurement of 3-hydroxyisovaleric acid (3HIA) in human urine has been shown to
be a useful indicator of biotin status for a variety of clinical situations,
including pregnancy. The work described herein presents a novel UPLC-MS/MS method
for accurate and precise quantitation of urinary 3HIA. This method utilizes
sample preparation prior to quantitation that has been simplified compared to the
previous GC-MS method. To demonstrate the suitability of the UPLC-MS/MS method
for human bio-monitoring, this method was used to measure 3-HIA in 64 human urine
samples from eight healthy adults in whom marginal biotin deficiency had been
induced experimentally by egg white feeding. 3HIA was detected in all specimens;
the mean concentration [+/-standard deviation (SD)] was 80.6 +/- 51 MUM prior to
inducing biotin deficiency. Mean excretion rate for 3HIA (expressed per mol
urinary creatinine) before beginning the biotin-deficient diet was 8.5 +/- 3.2
mmol 3HIA per mol creatinine and the mean increased threefold with deficiency.
These specimens had been previously analyzed by GC-MS; the two data sets showed
strong linear relationship with a correlation coefficient of 0.97. These results
provide evidence that this method is suitable for bio-monitoring of biotin status
in larger populations.
PMID- 21892639
TI - Simultaneous LC-MS/MS determination of aflatoxin M1, ochratoxin A,
deoxynivalenol, de-epoxydeoxynivalenol, alpha and beta-zearalenols and fumonisin
B1 in urine as a multi-biomarker method to assess exposure to mycotoxins.
AB - Humans and animals can be simultaneously exposed through the diet to different
mycotoxins, including aflatoxins, ochratoxin A, deoxynivalenol, zearalenone, and
fumonisins, which are the most important. Evaluation of the frequency and levels
of human and animal exposure to these mycotoxins can be performed by measuring
the levels of the relevant biomarkers in urine. Available data on the
toxicokinetics of these mycotoxins in animals suggest that aflatoxin M(1)
(AFM(1)), ochratoxin A (OTA), deoxynivalenol (DON)/de-epoxydeoxynivalenol (DOM
1), alpha-zearalenol (alpha-ZOL)/beta-zearalenol (beta-ZOL), and fumonisin B(1)
(FB(1)) can be used as urinary biomarkers. A liquid chromatographic-tandem mass
spectrometric method has been developed for simultaneous determination of these
mycotoxin biomarkers in human or animal urine. Urine samples were purified and
concentrated by a double cleanup approach, using a multitoxin immunoaffinity
column and a reversed-phase SPE Oasis HLB column. Separation of the biomarkers
was performed by reversed-phase chromatography using a multi-step linear methanol
water gradient containing 0.5% acetic acid as mobile phase. Detection and
quantification of the biomarkers were performed by triple quadrupole mass
spectrometry (LC-ESI-MS/MS). The clean-up conditions were optimised to obtain
maximum analyte recovery and high sensitivity. Recovery from spiked samples was
performed at four levels in the range 0.03-12 ng mL(-1), using matrix-matched
calibration curves for quantification. Mean recoveries of the biomarkers tested
ranged from 62 to 96% with relative standard deviations of 3-20%. Enzymatic
digestion with beta-glucuronidase/sulfatase resulted in increased concentrations
of the biomarkers, in both human and pig urine, in most samples containing
measurable concentrations of DON, DOM-1, OTA, alpha-ZOL, or beta-ZOL. A highly
variable increase was observed between individuals. Co-occurrence of OTA and DON
in human urine is reported herein for the first time.
PMID- 21892640
TI - Ca(2+)-triggered coelenterazine-binding protein from Renilla as an enzyme
dependent label for binding assay.
AB - The recombinant Ca(2+)-triggered coelenterazine-binding protein (CBP) from
Renilla muelleri was investigated as a biospecifically labeled molecule for in
vitro assay applications. The protein was shown to be stable in solutions in the
frozen state, as well as stable under heating and to chemical modifications.
Conjugates with biotin, oligonucleotide, and proteins were obtained and applied
as biospecific molecules in a solid-phase microassay. CBP detection was performed
with intact (no modifications were made) Renilla luciferase in the presence of
calcium, and the detection limit was found to be 75 amol. Model experiments
indicate that this approach shows much promise, especially with regard to the
development of multianalytical systems.
PMID- 21892641
TI - Quantitative analysis of eletriptan in human plasma by HPLC-MS/MS and its
application to pharmacokinetic study.
AB - Authors developed a simple, sensitive, selective, rapid, rugged, and reproducible
liquid chromatography-tandem mass spectrometry method for the quantification of
eletriptan (EP) in human plasma using naratriptan (NP) as an internal standard
(IS). Chromatographic separation was performed on Ascentis Express C18, 50 * 4.6
mm, 2.7 MUm column. Mobile phase was composed of 0.1% formic acid: methanol
(40:60 v/v), with 0.5 mL/min flow rate. Drug and IS were extracted by liquid
liquid extraction. EP and NP were detected with proton adducts at m/z 383.2->84.3
and 336.2->97.8 in multiple reaction monitoring (MRM) positive mode,
respectively. The method was validated with the correlation coefficients of
(r(2)) >= 0.9963 over a linear concentration range of 0.5-250.0 ng/mL. This
method demonstrated intra- and inter-day precision within 1.4-9.2% and 4.4-5.5%
and accuracy within 96.8-103% and 98.5-99.8% for EP. This method is successfully
applied in the bioequivalence study of 24 human volunteers.
PMID- 21892642
TI - Electrochemical sensor for blood deoxyribonucleases: design and application to
the diagnosis of autoimmune thyroiditis.
AB - We designed an electrochemical sensor based on a carbon nanotube modified
electrode (ME) to analyze DNA-cleaving activity. The cleavage of high molecular
weight DNA resulted in an increase in the oxidation current from DNA guanine
nucleotides due to a change in DNA adsorptive behavior on the surface of the ME.
DNA digestion with DNAse I was accompanied by a linear increase in the DNA signal
in proportion to the enzyme activity. We then proposed an assay based on the
sensor for the direct assessment of the total deoxyribonuclease activity of blood
serum as well as the separate detection of DNAse I and DNA abzymes. The assay was
applied to analyze deoxyribonucleases in sera from 21 healthy donors and 17
patients with autoimmune thyroiditis. Our results show that the response of the
sensor to DNA cleavage by blood deoxyribonucleases is a promising diagnostic
criterion for autoimmune thyroiditis. This sensor can be implemented in a
disposable screen-printed electrode format for application in clinical
laboratories.
PMID- 21892643
TI - The impact of obesity on cardiac troponin levels after prolonged exercise in
humans.
AB - Elevated cardiac troponin I (cTnI), a marker for cardiac damage, has been
reported after high-intensity exercise in healthy subjects. Currently, little is
known about the impact of prolonged moderate-intensity exercise on cTnI release,
but also the impact of obesity on this response. 97 volunteers (55 men and 42
women), stratified for BMI, performed a single bout of walking exercise (30-50
km). We examined cTnI-levels before and immediately after the exercise bout in
lean (BMI < 25 kg/m(2), n = 30, 57 +/- 19 years), overweight (25 <= BMI < 30
kg/m(2), n = 29, 56 +/- 11 years), and obese subjects (BMI >= 30 kg/m(2), n = 28,
53 +/- 9 years). Walking was performed at a self-selected pace. cTnI was assessed
using a high-sensitive cTnI-assay (Centaur; clinical cut-off value >= 0.04
MUg/L). We recorded subject characteristics (body weight, blood pressure,
presence of cardiovascular risk) and examined exercise intensity by recording
heart rate. Mean cTnI-levels increased significantly from 0.010 +/- 0.006 to
0.024 +/- 0.046 MUg/L (P < 0.001). The exercise-induced increase in cTnI was not
different between lean, overweight and obese subjects (two-way ANOVA interaction;
P = 0.27). In 11 participants, cTnI was elevated above the clinical cut-off value
for myocardial infarction. Logistic regression analysis identified exercise
intensity (P < 0.001), but not BMI, body fat percentage or waist circumference to
significantly relate to positive troponin tests. In conclusion, prolonged,
moderate-intensity exercise results in a comparable increase in cTnI-levels in
lean, overweight and obese subjects. Therefore, measures of obesity unlikely
relate to the magnitude of the post-exercise elevation in cTnI.
PMID- 21892644
TI - Cognitive function following treadmill exercise in thermal protective clothing.
AB - Occupational injuries are common among firefighters who perform strenuous
physical exertion in extreme heat. The thermal protective clothing (TPC) worn by
firefighters inhibits normal thermoregulation, placing the firefighter at risk of
hypohydration and hyperthermia that may result in cognitive decline. We tested
whether cognitive function changes after treadmill exercise in TPC. In an initial
study (Cog 1), ten healthy volunteers performed up to 50 min of treadmill
exercise while wearing TPC in a heated room. A battery of neurocognitive tests
evaluating short-term memory, sustained and divided attention, and reaction time
was administered immediately before and after exercise. In a follow-up study (Cog
2), 19 healthy volunteers performed a similar exercise protocol with the battery
of cognitive tests administered pre-exercise, immediately post-exercise, and
serially up to 120 min after exercise. Subjects performed 46.4 +/- 4.6 and 48.1
+/- 3.6 min of exercise in the Cog 1 and Cog 2, respectively. In both studies
heart rate approached age predicted maximum, body mass was reduced 1.0-1.5 kg,
and body core temperature increased to levels similar to what is seen after fire
suppression. Neurocognitive test scores did not change immediately after
exercise. Recall on a memory test was reduced 60 and 120 min after exercise. The
mean of the 10 slowest reaction times increased in the 120 min after exercise.
Fifty minutes of treadmill exercise in TPC resulted in near maximal physiologic
strain but alterations in neurocognitive performance were not noted until an hour
or more following exercise in TPC.
PMID- 21892645
TI - [Monitoring a melanocytic tumor. When is excision indicated?].
AB - Follow-up examination with comparison of dermoscopic images was performed over 24
months on an initially unimpressive, distinctly asymmetrical melanocytic tumor in
a 39-year-old woman. She did not follow the recommendation for an excision after
19 months and 5 months later she came back for the next follow-up image. At this
stage she was pregnant. The dermoscopic suspicion of a melanoma in-situ was
confirmed by histology. This case is instructive in the view of follow-up, self
examination, self- detection of a melanoma, asymmetric changes of melanocytic
lesion and evaluation of changes during pregnancy.
PMID- 21892646
TI - [Immunology of contact allergy].
AB - Contact allergy is a skin disease that is caused by the reaction of the immune
system to low molecular weight chemicals. A hallmark of contact allergens is
their chemical reactivity, which is not exhibited by toxic irritants. Covalent
binding of contact allergens to or complex formation with proteins is essential
for the activation of the immune system. As a consequence antigenic epitopes are
formed, which are recognized by contact allergen-specific T cells. The generation
of effector and memory T cells causes the high antigen specificity and the
repeated antigen-specific skin reaction of contact allergy. New findings reveal
that the less specific reaction of the innate immune system to contact allergens
closely resembles the reaction to an infection. Therefore, contact allergy can be
viewed as an immunologic misunderstanding since the skin contact with chemical
allergens is interpreted as an infection. The growing understanding of the
molecular and cellular pathologic mechanisms of contact allergy can aid the
development of specific therapies and of in vitro alternatives to animal testing
for the identification of contact allergens.
PMID- 21892647
TI - Diet-induced obesity blunts the behavioural effects of ghrelin: studies in a
mouse-progressive ratio task.
AB - RATIONAL: The ghrelinergic system is implicated in the development of obesity and
in modulating central reward systems. It has been reported that diet-induced
obesity causes blunted responding on food intake to ghrelin administration,
associated with central ghrelin resistance. Here we investigate whether the
stimulatory effects of ghrelin on the reward system are altered in diet-induced
obese mice. METHODS: Obesity was induced in C57BL/6J mice by feeding high-fat
diet for 13 weeks. Mice were trained in an operant fixed and exponential
progressive ratio task to respond for sucrose rewards. In an ad libitum fed
state, ghrelin and a ghrelin receptor antagonist were administered in the
progressive ratio. Alterations in the central ghrelin system in diet-induced
obese mice were assessed. RESULTS: Obese mice showed attenuated acquisition and
performance in the fixed and progressive ratio paradigm. Most importantly, diet
induced obesity inhibited the stimulatory effects of ghrelin (2 nmol, 3 nmol/10
g) on progressive ratio responding whereas lean animals presented with increased
responding. Administration of the ghrelin-receptor antagonist (D-Lys(3))-GHRP-6
(66.6 nmol/10 g) decreased performance in lean but not obese mice. This
insensitivity to ghrelin receptor ligands in mice on high-fat diet was further
supported by decreased mRNA expression of the ghrelin receptor in the
hypothalamus and the nucleus accumbens in obese mice. CONCLUSIONS: This study
demonstrates that the modulatory effects of ghrelin receptor ligands are blunted
in a mouse model of diet-induced obesity in a progressive ratio task. Thereby,
our data extend the previously described ghrelin resistance in these mice from
food intake to reward-associated behaviours.
PMID- 21892648
TI - Cryo-balloon angioplasty for pulmonary vein stenosis in pediatric patients.
AB - This study sought to determine the safety and effectiveness of cryo-balloon
angioplasty (CbA) for pulmonary vein stenosis (PVS) in pediatric patients.
Current therapy options for PVS are less than satisfactory due to recurrent
progressive restenosis and neointimal proliferation. Catheterization database,
hospital records, imaging studies, and pathologic specimens were reviewed for
procedural-related and outcomes data in all patients who underwent pulmonary vein
(PV) CbA using the Boston Scientific PolarCath Peripheral Dilation System between
August 2006 and June 2009. Thirteen patients (19 PVs; median age 13 months [range
3.5 months to 18.5 years] and weight 7.9 kg [range 3.8 to 47.7]) underwent CbA.
Mean PVS diameter after CbA increased from 2.19 (+/- 0.6) to 3.77 (+/- 1.1) mm (p
< 0.001). Mean gradient decreased from 14 (+/- 7.4) to 4.89 (+/- 3.2) mm Hg (p <
0.001). Mean stenosis-to-normal vein diameter ratio increased from 0.52 (+/-
0.15) to 0.89 (+/- 0.33) (p < 0.001). Eight patients underwent repeat
catheterization a mean of 5.6 months (+/- 3.66) later. Improved PVS diameter was
maintained in 2 PVs. Four veins had restenosis but maintained diameters greater
than that before initial CbA. In 11 PVs, the diameter decreased from 4.28 (+/-
1.14) to 2.53 (+/- 0.9) mm (p = 0.001). Mean gradient increased from 3.55 (+/-
3.0) to 14.63 (+/- 9.6) mm Hg (p = 0.011). All vessels underwent repeat
intervention with acute relief of PVS. Stroke occurred within 24 h of CbA in 1
patient. CbA of PVS is safe and results in acute relief of stenosis. However, CbA
appears minimally effective as the sole therapy in maintaining long-term relief
of PVS.
PMID- 21892649
TI - Near infrared spectroscopy describes physiologic payback associated with excess
postexercise oxygen consumption in healthy controls and children with complex
congenital heart disease.
AB - Exercise creates a physiologic burden with recovery from such effort crucial to
adaptation. Excess postexercise oxygen consumption (EPOC) refers to the body's
increased metabolic need after work. This investigation was designed to determine
the role of near infrared spectroscopy (NIRS) in the description of exercise
recovery in healthy controls (NL) and children with congenital heart disease
(CHD). Subjects were recruited with exercise testing performed to exhaustion.
Exercise time (EXT), heart rate (HR), and oxygen consumption (VO(2)) were
measured. Four-site NIRS (brain, kidney, deltoid, and vastus lateralis) were
measured during exercise and into recovery to establish trends. Fifty individuals
were recruited for each group (NL = 26 boys and 24 girls; CHD = 33 boys and 17
girls). Significant differences existed between EXT, VO(2), and peak HR (P <
0.01). NIRS values were examined at four distinct intervals: rest, peak work, and
2 and 5 min after exercise. Significant cerebral hyperemia was seen in children
with CHD post exercise when compared to normal individuals in whom redistribution
patterns were directed to somatic muscles. These identified trends support an
immediate compensation of organ systems to re-establish homeostasis in peripheral
beds through enhanced perfusion. Noninvasive NIRS monitoring helps delineate
patterns of redistribution associated with EPOC in healthy adolescents and
children with CHD.
PMID- 21892650
TI - Multiple risk factors before pediatric cardiac transplantation are associated
with increased graft loss.
AB - Identification of heart transplant recipients at highest risk for a poor outcome
could lead to improved posttransplantation survival. A chart review of primary
heart transplantations from 1993 to 2006 was performed. Analysis was performed to
evaluate the risk of graft loss for those with a transplantation age less than 1
year, congenital heart disease (CHD), elevated pulmonary vascular resistance
(index > 6), positive panel reactive antibody or crossmatch, liver or renal
dysfunction, mechanical ventilation, or mechanical circulatory support (MCS).
Primary transplantation was performed for 189 patients. Among these patients, 37%
had CHD, 23% had mechanical ventilation, and 6% had renal dysfunction. Overall
graft survival was 82% at 1 year and 68% at 5 years. The univariate risk factors
for graft loss included mechanical ventilation (hazard ratio [HR], 1.9; 95%
confidence interval [CI], 1.15-3.18), CHD (HR, 1.68; 95% CI, 1.04-2.70), and
renal dysfunction (HR, 3.05; 95% CI, 1.34-6.70). The multivariate predictors of
graft loss were CHD (HR, 1.8; 95% CI, 1.02-2.64), mechanical ventilation (HR,
1.9; 95% CI, 1.13-3.10), and the presence of two or more statistically
significant univariate risk factors (SRF) (HR, 3.8; 95% CI, 2.00-7.32).
Mechanical ventilation, CHD, and the presence of two or more SRFs identify
pediatric patients at higher risk for graft loss and should be considered in the
management of children with end-stage heart failure.
PMID- 21892651
TI - Restrictive physiology is associated with poor outcomes in children with
hypertrophic cardiomyopathy.
AB - Pediatric patients with hypertrophic cardiomyopathy (HCM) and restrictive
physiology (RP) with poor outcomes have been identified, but data on their course
are limited. Our goal was to delineate the clinical features and course of
children with HCM and RP. An institutional review of 119 patients identified
between 1985 and 2010 with the diagnosis of HCM was performed. The diagnosis of
RP was based on >1 echocardiogram along with at least one of the following: left
atrial enlargement without evidence of left ventricle dilation, E/E' ratio >= 10,
and E/A ratio >= 3. Outcomes analysis was performed using Cox or Poisson
regression when appropriate. RP was present in 50 (42%) patients. In patients
without RP, 10-year freedom-from-death or aborted sudden cardiac death (aSCD),
and death or heart transplant (HT), were 93.6 and 98.5%, respectively. In
patients with RP, 10-year freedom-from-death or aSCD, and death or HT, were 59.0
and 71.2%, respectively. RP conferred a 3.5-fold increase in incidence rate of
hospitalization (P = 0.01), a 3.8-fold increase in hazard of death or aSCD (P =
0.02), and a 5.7-fold increase in hazard of death or HT (P = 0.04). Assessment
for RP is of paramount importance in children with HCM because those without RP
have a good prognosis, and those with RP account for the majority of poor
outcomes.
PMID- 21892652
TI - BMP9 inhibits the proliferation and invasiveness of breast cancer cells MDA-MB
231.
AB - BACKGROUND: Transforming growth factor-beta (TGF-beta) is known to promote tumor
proliferation, migration, invasion, and metastasis. Bone morphogenetic proteins
(BMPs) are members of the TGF-beta superfamily. Several BMPs (BMP2 and BMP7) can
enhance the invasion and bone metastasis of breast cancer cells. The function of
BMP9, the latest discovered and most powerful osteogenetic factor, in breast
cancer has not been fully elucidated. METHODS: BMP9 expression in twenty-three
breast cancer patients and three breast cancer cell line types was detected by
reverse transcriptase polymerase chain reaction. Changes in proliferation,
apoptosis, invasion, and migration in the recombinant MDA-MB-231/BMP9 cells were
detected using various assays. The assays were MTT, flow cytometry, colony
forming, cell wounding, and transwell invasion. Proliferating cell nuclear
antigen and terminal deoxynucleotidy transferase biotin-dUTP nick end labeling
staining methods were conducted to detect whether BMP9 affected proliferation and
apoptosis in xenogenic mouse models. RESULTS: Twenty-one of the twenty-three
breast cancer patients had amplified BMP9 mRNA transcripts in adjacent non-tumor
tissues, although BMP9 was observed in the breast cancer tissue of two patients,
its expression was higher in the adjacent non-tumor tissues. BMP9 overexpression
inhibited the proliferation, migration, and invasion, as well as induced the
apoptosis of the breast cancer cell line MDA-MB-231 in vitro. BMP9 also inhibited
tumor growth and induced apoptosis significantly in the xenogenic mouse models.
CONCLUSIONS: Decreased BMP9 expression is associated with the elevated
proliferation and migration of human breast cancer. BMP9 can inhibit the growth,
invasion, and migration of breast cancer cells in vitro and in vivo. BMP9 is a
putative tumor suppressor in breast cancer.
PMID- 21892653
TI - Successful treatment of azole-resistant Candida spondylodiscitis with high-dose
caspofungin monotherapy.
PMID- 21892654
TI - Rituximab-induced pulmonary disease.
PMID- 21892655
TI - Generalized anhidrosis in a child following presumptive H1N1 influenza.
AB - We report an 8-year-old girl who developed generalized anhidrosis following
presumptive H1N1 infection. Pure autonomic dysfunction is an unusual complication
following H1N1 infection and specially generalized anhidrosis without other
autonomic dysfunction have not been reported before.
PMID- 21892656
TI - Outcomes and general health-related quality of life among patients medically
treated in general daily practice for lower urinary tract symptoms due to benign
prostatic hyperplasia.
AB - OBJECTIVE: This study's aim was to describe and evaluate outcomes of medical
strategies used for lower urinary tract symptoms (LUTS) treatment in general
practice and to assess impact of LUTS on patients' general health-related quality
of life (HRQoL). METHODS: This cross-sectional observational study was conducted
by French general practitioners. Eligible patients were males aged >=50 years,
diagnosed for at least one year and currently treated for LUTS due to benign
prostatic hyperplasia (BPH). Several validated questionnaires were documented by
patients to assess severity of LUTS (IPSS), specific quality of life (IPSS-Q8),
impact of LUTS (BII), LUTS evolution (VNS) and general HRQoL (EQ-5D). RESULTS:
Among 1,098 patients included, 82.7% were treated with monotherapies and 17.3%
with combinations. Mean treatment duration was 5.2 +/- 3.2 years, and 47.2% of
patients had at least one treatment modification since initiation. Patients
reported diminished quality of life (IPSS-Q8 >=3) (42.3%), persisting symptoms
(IPSS-score >=12) (35.5%), symptoms worsening (VNS-score <=-1) (18.8%) and high
bother (BII-score >=9) (2.6%). Globally, 52.8% had at least one of these
unsatisfactory outcomes. Regarding general HRQoL, mean EQ-5D utility
significantly decreased with LUTS severity (mild: 0.90 +/- 0.12; moderate: 0.81
+/- 0.21; and severe symptoms: 0.73 +/- 0.25; P < 0.001). As well, all five
dimensions of EQ-5D were significantly altered in patients with moderate-to
severe LUTS (<0.001), especially 'Pain/Discomfort' and 'Anxiety/Depression'. In
multivariate analyses including age and comorbidities, EQ-5D utility index
remained negatively associated with each additional unit in the IPSS-score.
CONCLUSIONS: This study shows that around half of BPH patients medically treated
report unsatisfactory outcomes, suggesting consequential unmet medical needs in
general practice. Also, moderate-to-severe LUTS significantly impact on general
HRQoL.
PMID- 21892657
TI - CETP polymorphisms associate with brain structure, atrophy rate, and Alzheimer's
disease risk in an APOE-dependent manner.
AB - Two alleles in cholesteryl ester transfer protein (CETP) gene polymorphisms have
been disputably linked to enhanced cognition and decreased risk of Alzheimer's
disease (AD): the V and A alleles of I405V and C-629A. This study investigates
whether these polymorphisms affect brain structure in 188 elderly controls and
318 AD or mild cognitive impairment (MCI) subjects from the Alzheimer's Disease
Neuroimaging Initiative cohort. Nominally signficant associations were dependent
on APOE epsilon4 carrier status. In APOE epsilon4 carriers, the V and A alleles,
both of which decrease CETP and increase HDL, associated with greater baseline
cortical thickness and less 12-month atrophy in the medial temporal lobe.
Conversely, in APOE epsilon4 non-carriers, the I allele, which increases CETP and
decreases HDL, associated with greater baseline thickness, less atrophy and lower
risk of dementia. These results suggest CETP may contribute to the genetic
variability of brain structure and dementia susceptibility in an APOE-dependent
manner.
PMID- 21892660
TI - Symptoms of anxiety and cardiac hospitalizations at 12 months in patients with
heart failure.
AB - OBJECTIVE: Heart failure (HF) is a leading cause of hospitalization. Clinical and
socio-demographic factors have been associated with cardiac admissions, but
little is known about the role of anxiety. We examined whether symptoms of
anxiety were associated with cardiac hospitalizations at 12 months in HF
patients. METHODS: HF outpatients (N = 237) completed the Hospital Anxiety and
Depression Scale (HADS) at baseline (i.e., inclusion into the study). A cutoff
>=8 was used to indicate probable clinical levels of anxiety and depression. At
12 months, a medical chart abstraction was performed to obtain information on
cardiac hospitalizations. RESULTS: The prevalence of symptoms of anxiety was
24.9% (59/237), and 27.0% (64/237) of patients were admitted for cardiac reasons
at least once during the 12-month follow-up period. Symptoms of anxiety were
neither significantly associated with cardiac hospitalizations in univariable
logistic analysis [OR = 1.13, 95% CI (0.59-2.17), p = 0.72] nor in multivariable
analysis [OR = 0.94, 95% CI (0.38-2.31), p = 0.89]. New York Heart Association
(NYHA) functional class III [OR = 3.00, 95% CI (1.08-8.12), p = 0.04] and a
history of HF-related hospitalizations [OR = 1.18, 95% CI (1.01-1.38), p = 0.03]
were independently associated with 12-month cardiac admissions. CONCLUSIONS: The
current study found no significant association between symptoms of anxiety and
cardiac hospitalizations at 12 months in HF patients. In contrast, clinical
indicators (i.e., NYHA class III and a history of HF-related hospitalizations)
were significantly associated with admissions due to a cardiac cause. Future
studies are warranted to investigate the importance of symptoms of anxiety in HF
using a larger sample size and a longer follow-up duration.
PMID- 21892661
TI - Changes in disparities following the implementation of a health information
technology-supported quality improvement initiative.
AB - BACKGROUND: Health information technology (HIT)-supported quality improvement
initiatives have been shown to increase ambulatory care quality for several
chronic conditions and preventive services, but it is not known whether these
types of initiatives reduce disparities. OBJECTIVES: To examine the effects of a
multifaceted, HIT-supported quality improvement initiative on disparities in
ambulatory care. DESIGN: Time series models were used to assess changes in racial
disparities in performance between white and black patients for 17 measures of
chronic disease and preventive care from February 2008 through February 2010, the
first 2 years after implementation of a HIT-supported, provider-directed quality
improvement initiative. PATIENTS: Black and white adults receiving care in an
academic general internal medicine practice in Chicago. INTERVENTIONS: The
quality improvement initiative used provider-directed point-of-care clinical
decision support tools and quality feedback to target improvement in process of
care and intermediate outcome measures for coronary heart disease, heart failure,
hypertension, and diabetes as well as receipt of several preventive services.
MAIN MEASURES: Modeled rate of change in performance, stratified by race and
modeled rate of change in disparities for 17 ambulatory care quality measures KEY
RESULTS: Quality of care improved for 14 of 17 measures among white patients and
10 of 17 measures among black patients. Quality improved for both white and black
patients for five of eight process of care measures, four of five preventive
services, but none of the four intermediate outcome measures. Of the seven
measures with racial disparities at baseline, disparities declined for two,
remained stable for four, and increased for one measure after implementation of
the quality improvement initiative. CONCLUSIONS: Generalized and provider
directed quality improvement initiatives can decrease racial disparities for some
chronic disease and preventive care measures, but achieving equity in areas with
persistent disparities will require more targeted, patient-directed, and systems
oriented strategies.
PMID- 21892662
TI - Effects of physical exercise on spatial memory and astroglial alterations in the
hippocampus of diabetic rats.
AB - Type 1 diabetes mellitus (T1DM) is associated with neurocognitive dysfunction and
astrogliosis. Physical exercise prevents cognitive impairments and induces
important brain modifications. The aim of our study was to investigate the effect
of treadmill exercise on spatial memory and astrocytic function in the
hippocampus of a T1DM model. Fifty-seven Wistar rats were divided into four
groups: trained control (TC) (n = 15), non-trained control (NTC) (n = 13),
trained diabetic (TD) (n = 14) and non-trained diabetic (NTD) (n = 15). One month
after streptozotocin-induced diabetes, exercise groups were submitted to 5 weeks
of physical training, and then, all groups were assessed in the novel object
placement recognition task. Locomotor activity was analyzed in the open field
apparatus using Any-maze software. The expression of glial fibrillary acidic
protein (GFAP) and S100B in hippocampus and cerebrospinal fluid were measured
using ELISA assay, and hippocampal GFAP immunoreactivity was evaluated by means
of immunohistochemistry and optical densitometry. The results showed that
physical exercise prevents and/or reverts spatial memory impairments observed in
NTD animals (P < 0.01). Decreased locomotor activity was observed in both the NTD
and TD groups when compared with controls (P < 0.05). ELISA and
immunohistochemistry analyzes showed there was a reduction in GFAP levels in the
hippocampus of NTD animals, which was not found in TD group. ELISA also showed an
increase in S100B levels in the cerebrospinal fluid from the NTD group (P < 0.01)
and no such increase was found in the TD group. Our findings indicate that
physical exercise prevents and/or reverts the cognitive deficits and astroglial
alterations induced by T1DM.
PMID- 21892663
TI - Biotransformation of 4-chloro-2-nitrophenol into 5-chloro-2-methylbenzoxazole by
a marine Bacillus sp. strain MW-1.
AB - Decolourization, detoxification and biotransformation of 4-chloro-2-nitrophenol
(4C2NP) by Bacillus sp. strain MW-1 were studied. This strain decolorized 4C2NP
only in the presence of an additional carbon source. On the basis of thin layer
chromatography (TLC), high performance liquid chromatography (HPLC) and gas
chromatography-mass spectrometry (GC-MS), 4-chloro-2-aminophenol, 4-chloro-2
acetaminophenol and 5-chloro-2-methylbenzoxazole were identified as metabolites.
Resting cells depleted 4C2NP with stoichiometric formation of 5-chloro-2-methyl
benzoxazole. This is the first report of the formation of 5-chloro-2
methylbenzoxazole from 4C2NP by any bacterial strain.
PMID- 21892664
TI - Effect of biomass adaptation to biodegradation of dissolved organic carbon in
water.
AB - In the present study the time of adaptation of fixed biomass for biodegradation
of natural organic matter was investigated. The experiments were done in columns
that are usually used for rapid determination of biodegradable dissolved organic
carbon (BDOC). The biomass was adapted to samples with different concentrations
of organic substances before measurements by pumping water to be investigated
through the columns for several days. The time of adaptation was dependent on the
initial concentration of the organic matter in the water sample. The adaptation
time increased from 6 to 24 h with increase of concentration of acetate solution
from 2 to 10 mg/l, thus adaptation rate decreased simultaneously from 0.28 to
0.11 min(-1). In natural water samples with the initial concentration in the
range from 4.61-10.82 mg/l of dissolved organic carbon (DOC) the maximal
adaptation time was less than 24 h. During the adaptation period the increase in
reproducibility and decrease in the standard deviation was observed. The study
showed that adaptation of column to the different concentration of organic matter
in water sample is necessary in order to decrease the bias in BDOC measurements
when using columns tests.
PMID- 21892665
TI - Exceptional stability of artemin neurotrophic factor dimers: effects of
temperature, pH, buffer and storage conditions on protein integrity and activity.
AB - Artemin (ARTN) is a neurotrophic growth factor of the GDNF ligand family that
signals through the specific GFRalpha-3 coreceptor/cRet tyrosine kinase-mediated
signaling cascade. Its expression and signaling action in adults are restricted
to nociceptive sensory neurons in the dorsal root ganglia. Consequently, Artemin
supports survival and growth of sensory neurons and has been studied as a
possible treatment for neuropathic pain. We have developed a robust and sensitive
cellular assay to measure ARTN biological activity. Using recombinant Artemin
produced in Escherichia coli bacteria together with this specific assay, we
demonstrate that ARTN is an exceptionally stable polypeptide. Multiple freeze
thaw cycles, incubation at elevated temperatures (up to 90 degrees C) for 0.5 h,
prolonged storage at 4 degrees C, and exposure to conditions of different pH,
salt concentration, and additives had no measurable effect on the biological
activity of ARTN. In some of the tested conditions, partial removal of nine NH(2)
terminal amino acids of the ARTN protein occurred, but this truncation had no
important effect on the ARTN signaling response. Consequently, we postulate that
formulation and storage for in vivo testing of ARTN in neuropathic pain paradigms
in animals and humans should be straightforward.
PMID- 21892666
TI - Direct and indirect organogenesis of Alpinia galanga and the phytochemical
analysis.
AB - Alpinia galanga is a rhizomatous herb rich in essential oils and various other
significant phytoconstituents. Rapid direct regeneration was obtained from the
rhizome explants (15.66 +/- 0.57 shoots) on MS media supplemented with zeatin at
a concentration of 2 mg/l. The callus cultures of A. galanga were initiated from
the rhizome explants on MS media supplemented with 2 mg/l each of BAP, 2,4-D, and
NAA. The callus was analyzed for the presence of a vital phytoconstituent-
acetoxychavicol acetate (ACA) associated with various biological properties. ACA
was detected in the young friable callus as well as the stationary phase callus.
Moreover, the induction of morphogenetic response in callus resulted in higher
accumulation of ACA. The phytohormone withdrawal from the propagation media and
the subsequent transfer of callus to BAP (2 mg/l) containing MS media has
resulted in multiple shoot induction. The regenerated (indirect) plants have
shown 1.6-fold higher ACA content (1.253%) when compared to the control plant
(0.783%). Micropropagation of such conventionally propagated plants is very
essential to meet the commercial demand as well as to ensure easy storage and
transportation of disease free stocks.
PMID- 21892667
TI - Enzymatic treatment and detoxification of acid orange 7 from textile wastewater.
AB - A crude preparation of horseradish roots was used as a low-purity source of
horseradish peroxidase (HRP) in dye decolorization experiments. The technical
feasibility of the process was studied in bench scale for enzymatic removal of
acid orange 7 (AO7), a synthetic dye. Further studies were carried out to
understand the effects of process parameters such as pH value, H(2)O(2) level,
concentrations of the synthetic dye, and HRP during enzyme-mediated dye
degradation. Experimental data revealed that the concentration of AO7, pH of the
aqueous phase, amount of the enzyme, and H(2)O(2) level played significant roles
on the overall enzymatic reaction. Polyethylene glycol, as an anti-inactivation
of HRP, in various concentrations showed no significant effect on the
decolorization. The experimental data of initial reaction rates were fitted using
an analytical equation proposed by Michaelis-Menten. The acute toxicity tests
using Daphnia magna exhibited that the enzymatic treatment significantly
decreased the toxicity of the dye solution.
PMID- 21892668
TI - Association between weight gain, obesity, and sleep duration: a large-scale 3
year cohort study.
AB - OBJECTIVE: Previous research suggests that sleep duration is associated with
obesity and weight gain. However, the majority of these studies are of cross
sectional design, with only a few cohort studies. In order to validate previous
findings in a more real-world context, we evaluated the association between sleep
duration, obesity, and weight gain in a large, 3-year cohort study. METHODS: A
retrospective cohort study was conducted involving 21,469 apparently healthy
individuals aged 20 years or older who underwent annual health check-ups at the
Center for Preventive Medicine, St. Luke's International Hospital, between 2005
and 2008. The participants were divided into four groups according to their self
reported average nightly sleep duration (<=5, 6, 7, and >=8 h). We identified
individuals with obesity (body mass index >=25 kg/m(2)) and weight gain.
Multivariate linear regression analysis and logistic regression analysis were
used to explore the association between these variables and sleep duration,
adjusting for age, gender, alcohol consumption, current smoking, past medical
history, and level of physical activity. RESULTS: Compared with those who slept 7
h, the individuals who slept <=5 h night were more likely to experience weight
gain (beta coefficient = 0.03; 95% CI = 0.03-1.1) and to become obese (OR = 1.5;
95% CI = 1.1-2.0). No significant difference was seen between subjects who slept
more than 8 h and those sleeping 7 h (OR = 1.3; 95% CI = 0.9-1.8). CONCLUSION:
Short sleep (<=5 h) is significantly associated with weight gain and obesity in
both male and female adults.
PMID- 21892669
TI - Extraosseous Ewing's sarcoma of the pancreas.
AB - The Ewing's family of tumors (EFT) comprises a molecularly defined group of
"small round blue cell tumors", consisting of Ewing's sarcoma of bone (ESB),
extraosseous Ewing's sarcoma (EES), peripheral primitive neuroectodermal tumor
(pPNET), and Askin's tumor. Characteristic translocations that disrupt the EWSR1
gene located at 22q12 create novel fusion genes that are central to the
pathogenesis. The EFT also shares certain clinical characteristics, such as a
peak incidence during the teenage years, a tendency to spread rapidly, and
responsiveness to the same chemotherapeutic regimens and radiation therapy.
Nearly all patients have occult disseminated disease at diagnosis; hence,
chemotherapy is routinely used. Improvements in multimodality treatment have had
a dramatic impact on outcomes. EES/pPNET has been reported in a variety of sites,
including the pancreas, though this is extremely rare. We describe a case of
pancreatic EES/pPNET in a 35-year-old woman and provide a brief review of the
relevant literature.
PMID- 21892670
TI - Thinking twice before abandoning first-line chemotherapy in ovarian cancer:
report of two cases and literature review. Passing from tri-weekly to weekly
regimens.
AB - We present two cases of advanced ovarian cancer treated with neoadjuvant
chemotherapy with standard tri-weekly carboplatin and paclitaxel. Therapy was
converted to weekly regimens because of disease progression, resulting in disease
response. Weekly regimens could overcome drug resistance and this strategy should
be attempted before abandoning first-line chemotherapy in favor of palliation.
PMID- 21892671
TI - Study protocol of a multicenter registry of patients with rheumatoid arthritis
starting biologic therapy in Japan: Tsurumai Biologics Communication Registry
(TBCR) study.
AB - Biologic agents have proven to be effective against rheumatoid arthritis (RA) in
clinical trials and post-marketing surveillance (PMS) studies. However, limited
follow-up periods and strict criteria for recruitment might lead to an
underestimation of adverse events. To document the long-term course of patients
with RA treated with biologics in clinical settings, we established the Tsurumai
Biologics Communication Registry (TBCR). First, we retrospectively collected data
of patients registered for any biologic PMS study or clinical trial at
participating institutes. Thus far, thirteen institutes have joined the registry
and 860 patients have been identified. Comparing baseline characteristics by age
and initiation year of biologics, young patients had significantly less joint
damage and dysfunction and a higher dose of concomitant methotrexate (MTX)
compared to older patients. Older age and functional class were significantly
related to the incidence of adverse events that resulted in discontinuation of
the 1st biologic treatment. The TBCR is in its initial stages, and information on
all patients newly starting biologic therapy at participating institutes is being
collected prospectively. Differences in baseline characteristics by age and
initiation year of biologics need to be carefully evaluated in order to report on
drug-related survival and long-term prognosis, using follow-up data in the near
future.
PMID- 21892672
TI - Independent origins of vectored plant pathogenic bacteria from arthropod
associated Arsenophonus endosymbionts.
AB - The genus Arsenophonus (Gammaproteobacteria) is comprised of intracellular
symbiotic bacteria that are widespread across the arthropods. These bacteria can
significantly influence the ecology and life history of their hosts. For
instance, Arsenophonus nasoniae causes an excess of females in the progeny of
parasitoid wasps by selectively killing the male embryos. Other Arsenophonus
bacteria have been suspected to protect insect hosts from parasitoid wasps or to
expand the host plant range of phytophagous sap-sucking insects. In addition, a
few reports have also documented some Arsenophonus bacteria as plant pathogens.
The adaptation to a plant pathogenic lifestyle seems to be promoted by the
infection of sap-sucking insects in the family Cixiidae, which then transmit
these bacteria to plants during the feeding process. In this study, we define the
specific localization of an Arsenophonus bacterium pathogenic to sugar beet and
strawberry plants within the plant hosts and the insect vector, Pentastiridius
leporinus (Hemiptera: Cixiidae), using fluorescence in situ hybridization assays.
Phylogenetic analysis on 16S rRNA and nucleotide coding sequences, using both
maximum likelihood and Bayesian criteria, revealed that this bacterium is not a
sister taxon to "Candidatus Phlomobacter fragariae," a previously characterized
Arsenophonus bacterium pathogenic to strawberry plants in France and Japan.
Ancestral state reconstruction analysis indicated that the adaptation to a plant
pathogenic lifestyle likely evolved from an arthropod-associated lifestyle and
showed that within the genus Arsenophonus, the plant pathogenic lifestyle arose
independently at least twice. We also propose a novel Candidatus status,
"Candidatus Arsenophonus phytopathogenicus" novel species, for the bacterium
associated with sugar beet and strawberry diseases and transmitted by the
planthopper P. leporinus.
PMID- 21892673
TI - [The role of resilience for coping in different age groups].
AB - Personality traits, e.g., resilience, which is defined as emotional strength,
have a strong impact on lifespan development. In this paper, a cross-sectional
study examining the protective character of resilience in aging is presented. In
a sample of persons ranging in age from 30-80 years, a significant negative
association between resilience and depression was observed for the oldest group
of our sample (age > 70 years). Thus, resilience might serve as a "safe-guard"
against depression over the life course that, however, was found to disappear
when people are faced with a transition into dependency and being in need of
care.
PMID- 21892674
TI - [Zones of transition: dimensions and interpretative patterns of aging].
PMID- 21892675
TI - The cost of fall related presentations to the ED: a prospective, in-person,
patient-tracking analysis of health resource utilization.
AB - We prospectively collected data on elderly fallers to estimate the total cost of
a fall requiring an Emergency Department presentation. Using data collected on
102 falls, we found the average cost per fall causing an Emergency Department
presentation of $11,408. When hospitalization was required, the average cost per
fall was $29,363. INTRODUCTION: For elderly persons, falls are a major source of
mortality, morbidity, and disability. Previous Canadian cost estimates of
seniors' falls were based upon administrative data that has been shown to
underestimate the incidence of falls. Our objective was to use a labor-intensive,
direct observation patient-tracking method to accurately estimate the total cost
of falls among seniors who presented to a major urban Emergency Department (ED)
in Canada. METHODS: We prospectively collected data from seniors (>70 years)
presenting to the Vancouver General Hospital ED after a fall. We excluded
individuals who where cognitively impaired or unable to read/write English. Data
were collected on the care provided including physician
assessments/consultations, radiology and laboratory tests, ED/hospital time,
rehabilitation facility time, and in-hospital procedures. Unit costs of health
resources were taken from a fully allocated hospital cost model. RESULTS: Data
were collected on 101 fall-related ED presentations. The most common diagnoses
were fractures (n = 33) and lacerations (n = 11). The mean cost of a fall causing
ED presentation was $11,408 (SD: $19,655). Thirty-eight fallers had injuries
requiring hospital admission with an average total cost of $29,363 (SD: $22,661).
Hip fractures cost $39,507 (SD: $17,932). Among the 62 individuals not admitted
to the hospital, the average cost of their ED visit was $674 (SD: $429).
CONCLUSIONS: Among the growing population of Canadian seniors, falls have
substantial costs. With the cost of a fall-related hospitalization approaching
$30,000, there is an increased need for fall prevention programs.
PMID- 21892676
TI - Effect of supervised and home exercise training on bone mineral density among
breast cancer patients. A 12-month randomised controlled trial.
AB - The ability of combined step aerobic- and circuit-training to prevent bone loss
after breast cancer treatments was related to skeletal site and patients'
menopausal status. Among premenopausal breast cancer survivors, a 12-month
exercise intervention completely prevented bone loss at the femoral neck, whereas
no exercise effect was seen at lumbar spine or at neither site in postmenopausal
women. INTRODUCTION: The primary objective of this randomised clinical trial was
to determine the preventive effect of supervised weight-bearing jumping exercises
and circuit training on bone loss among breast cancer patients. METHODS: Of 573
breast cancer survivors aged 35-68 years randomly allocated into exercise or
control group after adjuvant treatments, 498 (87%) were included in the final
analysis. The 12-month exercise intervention comprised weekly supervised step
aerobic- and circuit-exercises and similar home training. Bone mineral density
(BMD) at lumbar spine and femoral neck were measured by dual-energy X-ray
absorptiometry. Physical performance was assessed by 2-km walking and figure-8
running tests, and the amount of physical activity was estimated in metabolic
equivalent-hours/week. RESULTS: In premenopausal women, bone loss at the femoral
neck was prevented by exercise, the mean BMD changes being -0.2% among the
trainees vs. -1.4% among the controls (p = 0.01). Lumbar bone loss could not be
prevented (-1.9% vs. -2.2%). In postmenopausal women, no significant exercise
effect on BMD was found either at the lumbar spine (-1.6% vs. -2.1%) or femoral
neck (-1.1% vs. -1.1%). CONCLUSIONS: This 12-month aerobic jumping and circuit
training intervention completely prevented femoral neck bone loss in
premenopausal breast cancer patients, whereas no effect on BMD was seen in
postmenopausal women.
PMID- 21892677
TI - Infections in postmenopausal women with osteoporosis treated with denosumab or
placebo: coincidence or causal association?
AB - Serious adverse events of infections that occurred in subjects receiving
denosumab or placebo in the Fracture Reduction Evaluation of Denosumab in
Osteoporosis every 6 Months (FREEDOM) study were examined in detail. Serious
adverse events of infections in denosumab subjects had heterogeneous etiology,
with no clear clinical pattern to suggest a relationship to time or duration of
exposure to denosumab. INTRODUCTION: Denosumab reduces the risk for new
vertebral, hip, and nonvertebral fractures compared with placebo. In the pivotal
phase 3 fracture trial (FREEDOM), the overall safety profile and incidence of
adverse events including adverse events of infections were similar between
groups. Serious adverse events of erysipelas and cellulitis were more frequent in
denosumab-treated subjects. In this report, we further evaluate the details of
infectious events in FREEDOM to better understand if RANKL inhibition with
denosumab influences infection risk. METHODS: FREEDOM was an international
multicenter, randomized, double-blind, placebo-controlled study in postmenopausal
women with osteoporosis randomly assigned to receive placebo (n = 3,906) or
denosumab 60 mg every 6 months (n = 3,902). The incidence of adverse events and
serious adverse events categorized within the Medical Dictionary for Regulatory
Activities system organ class, "Infections and Infestations," was compared
between the placebo and denosumab groups by body systems and preferred terms. The
temporal relationship between occurrence of serious adverse events of infections
of interest and administration of denosumab was explored. RESULTS: Serious
adverse events of infections involving the gastrointestinal system, renal and
urinary system, ear, and endocarditis were numerically higher in the denosumab
group compared with placebo, but the number of events was small. No relationship
was observed between serious adverse events of infections and timing of
administration or duration of exposure to denosumab. CONCLUSIONS: Serious adverse
events of infections that occurred with denosumab treatment had heterogeneous
etiology, with no clear clinical pattern to suggest a relationship to time or
duration of exposure to denosumab.
PMID- 21892678
TI - The effects of combined human parathyroid hormone (1-34) and zoledronic acid
treatment on fracture healing in osteoporotic rats.
AB - Ovariectomized (OVX) rats with tibial fracture received vehicle, ZA, PTH, or ZA
plus PTH treatment for 4 and 8 weeks. Bone metabolism, callus formation, and the
mass of undisturbed bone tissue were evaluated by serum analysis, histology,
immunohistochemistry, radiography, micro-computerized tomography, and
biomechanical test. INTRODUCTION: Previous studies have demonstrated the effect
of ZA or PTH on osteoporotic fracture healing. However, reports about effects of
ZA plus PTH on callus formation of osteoporotic fracture were limited. This study
was designed to investigate the impact of combined treatment with ZA and PTH on
fracture healing in OVX rats. METHODS: Twelve weeks after bilateral ovariectomy,
all rats underwent unilateral transverse osteotomy on tibiae. Animals then
randomly received vehicle, ZA (1.5 MUg/kg weekly), PTH (60 MUg/kg, three times a
week), or ZA plus PTH until death at 4 and 8 weeks. The blood and bilateral
tibiae of rats were harvested for evaluation. RESULTS: All treatments increased
callus formation and strength other than the control; ZA + PTH showed the
strongest effects on percent bone volume (BV/TV), trabecular thickness, total
fluorescence-marked callus area, and biomechanical strength. Additionally,
inhibited RANKL and enhanced osteoprotegerin expression were observed in the ZA +
PTH group. But no difference in bone mineral density and BV/TV of the
contralateral tibiae was observed between treated groups. CONCLUSION: Findings in
this study suggested an additive effect of ZA and PTH on fracture healing in OVX
rats, and this additive effect was specific to callus formation, not to
undisturbed bone tissue.
PMID- 21892683
TI - Permanent suture used in uterosacral ligament suspension offers better anatomical
support than delayed absorbable suture.
AB - INTRODUCTION AND HYPOTHESIS: The objective of this study was to compare the
outcomes of uterosacral ligament suspension (USLS) procedures in relation to
suture material used for apical suspension. METHODS: A retrospective chart review
was performed for two senior surgeons who supervised and performed USLS with both
suture types in 2008-2009. Permanent and delayed absorbable sutures were compared
for failure of anatomical support. Failure, defined as recurrent prolapse beyond
the hymen, was evaluated using survival analysis. RESULTS: Two hundred forty
eight procedures were performed. One percent in the permanent group had a loss of
support beyond the hymen compared to 6% in the delayed absorbable group (p =
0.034). The preoperative prolapse stage and duration of follow-up did not differ
between the two groups. The number of sutures used did not differ between
patients who failed and those who did not fail. CONCLUSIONS: The use of permanent
sutures for USLS of the vaginal apex was associated with a lower failure rate
than delayed absorbable sutures in the short-term.
PMID- 21892685
TI - Highlights of IUGA 36th Annual Meeting in Lisbon 2011.
PMID- 21892684
TI - Retrospective study on tension-free vaginal tape obturator (TVT-O).
AB - INTRODUCTION AND HYPOTHESIS: We aim to report the 3-year outcome and
complications of the tension-free vaginal tape obturator (TVT-O) in treating
female stress urinary incontinence (SUI). METHODS: Retrospective analysis for
complications and outcome of surgery was performed in 419 women undergoing the
TVT-O from 2004 to 2006. RESULTS: Three patients (0.8%) with an isolated TVT-O
had a blood loss of more than 200 ml. Two patients (0.5%) had bladder
perforation. Out of 11 readmitted patients (2.6%), 10 were due to voiding
difficulty. Six patients (1.4%) required tape loosening or division. Persistent
pain occurred in 3.6% and erosion in 2.4% of patients. One hundred eighty-five
patients (44.2%) came for follow-up at 3 years. The actual subjective and
objective success rates were 89.7% and 99.9% at 3 years follow-up, respectively.
With imputation, the 3-year subjective and objective success rates were 86.9% and
97.4%, respectively. CONCLUSIONS: The TVT-O is effective in treating female SUI
with minimal complications.
PMID- 21892686
TI - Seasonal influences on population spread and persistence in streams: spreading
speeds.
AB - The drift paradox asks how stream-dwelling organisms can persist, without being
washed out, when they are continuously subject to the unidirectional stream flow.
To date, mathematical analyses of the stream paradox have investigated the
interplay of growth, drift and flow needed for species persistence under the
assumption that the stream environment is temporally constant. However, in
reality, streams are subject to major seasonal variations in environmental
factors that govern population growth and dispersal. We consider the influence of
such seasonal variations on the drift paradox, using a time-periodic
integrodifferential equation model. We establish upstream and downstream
spreading speeds under the assumption of periodically fluctuating environments,
and also show the existence of periodic traveling waves. The sign of the upstream
spreading speed then determines persistence. Fluctuating environments are
characterized by seasonal correlations between the flow, transfer rates,
diffusion and settling rates, and we investigate the effect of such correlations
on the population spread and persistence. We also show how results in this paper
can formally connect to those for autonomous integrodifferential equations,
through the appropriate weighted averaging methods. Finally, for a specific
dispersal function, we show that the upstream spreading speed is nonnegative if
and only if the critical domain size exists in this temporally fluctuating
environment.
PMID- 21892687
TI - Glucagon-like peptide-1 (GLP-1) receptor agonists, obesity and psoriasis:
diabetes meets dermatology.
AB - Type 2 diabetes mellitus is characterised by beta cell failure, which frequently
develops in the setting of insulin resistance. Inflammation contributes to the
pathophysiology of type 2 diabetes by impairing insulin action in peripheral
tissues and via reduction of beta cell function. Inflammation may also play an
important role in the development of complications that arise in patients with
type 2 diabetes. Hence, the anti-inflammatory actions of commonly used glucose
lowering drugs may contribute, indirectly, to their mechanisms of action and
therapeutic benefit. Herein we highlight the anti-inflammatory actions of
glucagon-like peptide-1 (GLP-1), which exerts direct and indirect actions on
immune function. The observations that GLP-1 receptor agonists exert anti
inflammatory actions in preclinical studies, taken together with case reports
linking improvements in psoriasis with GLP-1 receptor agonist therapy,
illustrates the emerging clinical implications of non-classical anti-inflammatory
actions of incretin-based therapeutics.
PMID- 21892688
TI - The mechanism of hydrogen uptake in [NiFe] hydrogenase: first-principles
molecular dynamics investigation of a model compound.
AB - The recent discovery of a model compounds of [NiFe] hydrogenase that catalyzes
the heterolytic cleavage of the H(2) molecule into a proton and a stable hydride
in water solution under room conditions opened up the possibility to understand
the mechanism of H(2) uptake by this peculiar class of enzymes. The simplest
model compound belongs to the class of NiRu bimetallic cationic complexes
mimicking, in water solution and at room conditions, the hydrogenase active site.
By using first-principles molecular dynamics computer simulations, in the Car
Parrinello scheme, we investigated models including the water solvent and nitrate
counterions. Several simulations, starting from different initial configurations,
provided information on the first step of the H(2) cleavage: (1) the pathway of
H(2) approach towards the active site; (2) the role of the ruthenium-bonded water
molecule in providing a base that extracts the proton from the activated H(2)
molecule; (3) the minor role of Ni in activating the H(2) molecule and its role
in stabilizing the hydride produced.
PMID- 21892689
TI - Nitric oxide implicates c-Fos expression in the cuneate nucleus following
electrical stimulation of the transected median nerve.
AB - In this study, we investigated whether nitric oxide (NO) modulated injury-induced
neuropeptide Y (NPY) releasing and c-Fos expression in the cuneate nucleus (CN)
after median nerve transection (MNT). We first examined the temporal changes of
neuronal nitric oxide synthase (nNOS) expression in the dorsal root ganglion
(DRG) and CN after MNT. Following MNT, the amounts of nNOS-like immunoreactive
(nNOS-LI) neurons in the DRG and CN significantly increased as compared with
those of the sham-operated rats. Furthermore, 4 weeks after MNT, the increases of
nNOS-LI neurons in the DRG and CN were attenuated by pre-emptive lidocaine
treatment in a dose-dependent manner. Finally, 4 weeks after MNT, pre-stimulation
administration of L-NAME (N (omega)-Nitro-L: -arginine methyl ester) or 7-NI (7
nitroindazole) suppressed the amount of NPY release from the stimulated terminals
and thus attenuated c-Fos expression in the CN. Our data implied that NO would
modulate neuronal activity in the DRG and CN both after MNT.
PMID- 21892690
TI - Inhibition of neuron-specific CREB dephosphorylation is involved in propofol and
ketamine-induced neuroprotection against cerebral ischemic injuries of mice.
AB - Propofol and ketamine may provide certain degree of neuroprotection, but the
underlying mechanism remains unclear to date. The cAMP response element-binding
protein (CREB) was proposed that its phosphorylation at Ser133 (P-CREB)
constituted a convergence point involved in neuroprotection. The purpose of this
study was to determine whether different dosages of propofol and ketamine could
provide neuroprotection against permanent middle cerebral artery occlusion (MCAO)
induced ischemic injuries and the involvement of P-CREB. Eighty adult male BALB/c
mice that underwent 6 h MCAO were randomly divided into eight groups: Sham
operation; MCAO + saline; MCAO + 25, 50, 100 mg/kg propofol; and MCAO + 25, 50,
100 mg/kg ketamine (intraperitoneal injection 30 min following MCAO). We found
that 50, 100 (not 25) mg/kg propofol, and 25 (not 50 and 100) mg/kg ketamine
could significantly reduce the infarct volume, edema ratio and neurological
deficit (n = 10 per group) as well as inhibit the decrease of P-CREB level in
peri-infarct region when compared with that of MCAO + saline group (n = 6 per
group). In addition, the results of double-labeled immunofluorescent staining
showed that P-CREB co-localized with neuron-specific marker, NeuN, in the peri
infarct region of 50 mg/kg propofol and 25 mg/kg ketamine treated 6 h MCAO mice
(n = 4 per group). These results suggested that inhibition of neuron-specific P
CREB dephosphorylation in the peri-infarct region is involved in high dose
propofol and low dose ketamine-induced neuroprotection of 6 h MCAO mice.
PMID- 21892691
TI - Women bar drinkers' discussions about birth control and risky sexual behavior.
AB - This study evaluated how birth control discussions prior to sexual activity
affected condom use in a sample of 225 young women bar drinkers. The use of
alcohol and other drugs (AOD) and partner type also were assessed. Data were
collected through daily reports and qualitative interviews over 12 weeks. A total
of 1671 sexual events were reported (M = 7.4 events per participant), of which
64.7% (n = 1081) did not involve condom use. Discussions of birth control
occurred prior to 10.9% (n = 183) of all sexual events. These discussions were
more likely to be initiated by the woman and to occur when AOD had been used.
Thematic analysis revealed four common themes: confirmation of the need to use
condoms, confirmation of oral/hormonal contraceptive use, use of sufficient birth
control, and discrepant condom use. The discussions reduced rates of risky sex
when the sexual partner was more intimate (i.e., boyfriend/dating partner),
regardless of AOD use and when the sexual partner was a friend or ex-partner and
no AOD were used. The thematic analysis suggested that pregnancy prevention was a
stronger motivation for discussing condom use, rather than risk of contracting an
STI. Given the substantial rate of high risk partners, history of STIs, and
limited amount of time women reported knowing "regular" partners, we suggest that
interventions designed to reduce risky sex should be tailored to increase women's
awareness of STI and pregnancy risk when under the influence of AOD, and promote
condom use regardless of partner type.
PMID- 21892692
TI - Attributions for discriminatory events and satisfaction with social support in
gay men.
AB - Attributions modulate the impact of stressful events on mental health. However,
little is known about attributions for discriminatory events and their
relationship to psychosocial outcomes in sexual minority individuals.
Relationships were examined between gay men's attributions for discrimination and
their satisfaction with social support, a variable critical to mental health in
this population. Gay men (N=307) completed online measures of satisfaction with
social support, attributions for discriminatory events, and key minority stress
constructs. Self blaming attributions for discrimination were associated with
decreased satisfaction with social support, independent of the frequency with
which participants reported experiencing discrimination. The link between self
blaming and satisfaction with social support was partially mediated by a latent
affective construct comprised of anxiety, depression, and low positive affect. A
moderation effect was also found, such that the relationship between frequency of
perceived discriminatory events and dissatisfaction with social support was
amplified for men reporting more blame toward perpetrators of discrimination.
Results support attributions for discrimination as valuable additions to minority
stress models. Assessing self and other blame for these discriminatory events may
help to clarify pathways by which discrimination can undermine gay men's
satisfaction with their social support networks.
PMID- 21892693
TI - Attentional and affective processing of sexual stimuli in women with hypoactive
sexual desire disorder.
AB - Hypoactive sexual desire disorder (HSDD) is the most common sexual problem in
women. From an incentive motivation perspective, HSDD may be the result of a weak
association between sexual stimuli and rewarding experiences. As a consequence,
these stimuli may either lose or fail to acquire a positive meaning, resulting in
a limited number of incentives that have the capacity to elicit a sexual
response. According to current information processing models of sexual arousal,
sexual stimuli automatically activate meanings and if these are not predominantly
positive, processes relevant to the activation of sexual arousal and desire may
be interrupted. Premenopausal U.S. and Dutch women with acquired HSDD (n = 42)
and a control group of sexually functional women (n = 42) completed a single
target Implicit Association Task and a Picture Association Task assessing
automatic affective associations with sexual stimuli and a dot detection task
measuring attentional capture by sexual stimuli. Results showed that women with
acquired HSDD displayed less positive (but not more negative) automatic
associations with sexual stimuli than sexually functional women. The same pattern
was found for self-reported affective sex-related associations. Participants were
slower to detect targets in the dot detection task that replaced sexual images,
irrespective of sexual function status. As such, the findings point to the
relevance of affective processing of sexual stimuli in women with HSDD, and imply
that the treatment of HSDD might benefit from a stronger emphasis on the
strengthening of the association between sexual stimuli and positive meaning and
sexual reward.
PMID- 21892694
TI - Azathioprine induced hepatitis in patients with inflammatory bowel disease.
PMID- 21892695
TI - Nitrendipine nanocrystals: its preparation, characterization, and in vitro-in
vivo evaluation.
AB - The present investigation was undertaken with the objective of developing a solid
formulation containing nitrendipine nanocrystals for oral delivery. Nitrendipine
nanocrystals were prepared using a tandem precipitation-homogenization process.
Then, spray drying, a cost-effective method very popular in industrial
situations, was employed to convert the nanocrystals into a solid form. The
parameters of the preparation process were investigated and optimized. The
optimal process was as follows: firstly, nitrendipine/acetone solution (100
mg/ml) was added to a polyvinyl alcohol solution (1 mg/ml) at 10 degrees C, then
the pre-suspension was homogenized for 20 cycles at 1,000 bar. Both differential
scanning calorimetry and X-ray diffraction analysis indicated that nitrendipine
was present in crystalline form. The in vitro dissolution rate of the
nanocrystals was significantly increased compared with the physical mixture and
commercial tablet. The in vivo testing demonstrated that the C(max) of the
nanocrystals was approximately 15-fold and 10-fold greater than that of physical
mixture and commercial tablet, respectively. In addition, the AUC(0->24) of the
nanocrystals was approximately 41-fold and 10-fold greater than that of physical
mixture and commercial tablet, respectively.
PMID- 21892696
TI - Treatment outcome and risk factors for visual loss in Cytomegalovirus
endotheliitis.
AB - BACKGROUND: To determine treatment outcome and risk factors for visual loss in
Cytomegalovirus (CMV) endotheliitis. METHODS: Retrospective case-note review of
all CMV positive endotheliitis patients seen at the Singapore National Eye
Center, for demographics, visual acuity (VA), extent of corneal edema, anterior
chamber (AC) activity, ocular history, glaucomatous optic neuropathy (GON), and
ganciclovir therapy. Outcome measures were VA, corneal edema, and AC activity.
RESULTS: Median age at diagnosis of the 19 patients (21 eyes) was 57 years.
Median duration of follow up was 37 months. Sixteen eyes received systemic
ganciclovir, and four eyes received ganciclovir gel. The AC inflammation resolved
in 19 eyes. The corneal edema resolved in eight eyes, but persisted in 12 eyes.
One patient resolved spontaneously. Pre-treatment corneal edema exceeding 75%,
older age, GON, and previous corneal graft were risk factors for persistent
corneal edema post treatment (P = < 0.001, 0.001, 0.02 and 0.02 respectively,
Fisher's exact test), and VA worse than 6/60. CONCLUSIONS: Anterior chamber
inflammation resolves with ganciclovir therapy, but severe pre-treatment corneal
edema, older age, previous corneal graft, and GON are associated with a poor
visual outcome.
PMID- 21892697
TI - Radiographic findings in late-presenting congenital diaphragmatic hernia: helpful
imaging findings.
AB - BACKGROUND: Imaging findings in delayed presentation of congenital diaphragmatic
hernia can be confusing and misleading, resulting in a delay in diagnosis.
OBJECTIVE: To evaluate the often puzzling plain film findings of late-presenting
CDH in an effort to determine whether any of the findings could be helpful in
arriving at an early diagnosis. MATERIAL AND METHODS: We reviewed and documented
the plain film findings and clinical data in eight patients seen during the last
20 years with late-presenting CDH. IRB exempt status was obtained in this study.
RESULTS: There were five boys and three girls. The age range was 4 months to 12
years with a mean of 2.4 years. Five children presented with acute respiratory
problems while three presented with acute abdominal pain. Two children presented
with both respiratory and abdominal findings and one also presented with
hematemesis. Two children had radiographic findings that were not difficult to
analyze while the remaining six had findings that posed initial diagnostic
problems. CONCLUSION: Although not common, late-presenting CDH can result in
confusing plain film radiographic findings and a delay in diagnosis. We found
that the most important finding in analyzing these radiographs is in evaluating
the location and position of the gastric bubble with the more common left-side
hernias.
PMID- 21892698
TI - Adipocytes Promote B16BL6 Melanoma Cell Invasion and the Epithelial-to
Mesenchymal Transition.
AB - Metastatic melanoma is one of the most deadly and evasive types of cancer. On
average, cancer patients with metastatic melanoma survive only 6-9 months after
diagnosis. Epidemiological and animal studies suggest that obesity increases the
metastatic ability of malignant melanoma, though the mechanism is not known. In
the present studies, we assessed the ability of 3T3L1 adipocytes to modulate
B16BL6 melanoma cell invasion and the Epithelial-to-Mesenchymal Transition (EMT).
For this purpose, we induced the differentiation of 3T3L1 fibroblasts to
adipocytes. Then, we collected the cell culture media from both fibroblasts and
adipocytes and determined their effect on the invasive ability and EMT gene
expression of B16BL6 melanoma cells. Results show that adipocyte media increased
that ability of B16BL6 cells to invade. The higher invasive ability of B16BL6
melanoma cells was associated with increased expression of EMT genes such as
Snai1, MMP9, Twist, and Vimentin. Additionally, the expression of the cell-to
cell adhesion protein E-cadherin and the metastasis suppressor gene Kiss1 were
down-regulated in these B16BL6 cells. Also, adipocytes had high levels of the pro
inflammatory cytokine Interleukin 6 (IL-6). Treatment of B16BL6 cells with IL-6
elicited similar effects as the adipocyte media; IL-6 promoted the invasive
ability of B16BL6 melanoma cells, increased the expression of Snai1, and
decreased Kiss1 expression. IL-6 neutralization, however, did not have a visible
effect on adipocyte media-induced invasion and snai1 staining. In summary,
adipocytes may increase the invasive ability of B16BL6 melanoma cells by
promoting EMT and decreasing the expression of genes such as E-cadherin and
Kiss1.
PMID- 21892699
TI - Partial mesenchymal to epithelial reverting transition in breast and prostate
cancer metastases.
AB - Epithelial to mesenchymal transition (EMT) is an oft-studied mechanism for the
initiation of metastasis. We have recently shown that once cancer cells
disseminate to a secondary organ, a mesenchymal to epithelial reverting
transition (MErT) may occur, which we postulate is to enable metastatic
colonization. Despite a wealth of in vitro and in vivo studies, evidence
supportive of MErT in human specimens is rare and difficult to document because
clinically detectable metastases are typically past the micrometastatic stage at
which this transition is most likely evident. We obtained paired primary and
metastatic tumors from breast and prostate cancer patients and evaluated
expression of various epithelial and mesenchymal markers by immunohistochemistry.
The metastases exhibited increased expression of membranous E-cadherin compared
to primary tumors, consistent with EMT at the primary site and MErT at the
metastatic site. However, the re-emergence of the epithelial phenotype was only
partial or incomplete. Expression of epithelial markers connexins 26 and/or 43
was also increased on the majority of metastases, particularly those to the
brain. Despite the upregulation of epithelial markers in metastases, expression
of mesenchymal markers vimentin and FSP1 was mostly unchanged. We also examined
prostate carcinoma metastases of varied sizes and found that while E-cadherin
expression was increased compared to the primary lesion, the expression inversely
correlated with size of the metastasis. This not only suggests that a second EMT
may occur in the ectopic site for tumor growth or to seed further metastases, but
also provides a basis for the failure to discern epithelial phenotypes in
clinically examined macrometastases. In summary, we report increased expression
of epithelial markers and persistence of mesenchymal markers consistent with a
partial MErT that readily allows for a second EMT at the metastatic site. Our
results suggest that cancer cells continue to display phenotypic plasticity
beyond the EMT that initiates metastasis.
PMID- 21892701
TI - Using modified incremental chart parsing to ascribe intentions to animated
geometric figures.
AB - People spontaneously ascribe intentions on the basis of observed behavior, and
research shows that they do this even with simple geometric figures moving in a
plane. The latter fact suggests that 2-D animations isolate critical information-
object movement--that people use to infer the possible intentions (if any)
underlying observed behavior. This article describes an approach to using motion
information to model the ascription of intentions to simple figures. Incremental
chart parsing is a technique developed in natural-language processing that builds
up an understanding as text comes in one word at a time. We modified this
technique to develop a system that uses spatiotemporal constraints about simple
figures and their observed movements in order to propose candidate intentions or
nonagentive causes. Candidates are identified via partial parses using a library
of rules, and confidence scores are assigned so that candidates can be ranked. As
observations come in, the system revises its candidates and updates the
confidence scores. We describe a pilot study demonstrating that people generally
perceive a simple animation in a manner consistent with the model.
PMID- 21892700
TI - An fMRI investigation of cerebellar function during verbal working memory in
methadone maintenance patients.
AB - Working memory is impaired in opioid-dependent individuals, yet the neural
underpinnings of working memory in this population are largely unknown. Previous
studies in healthy adults have demonstrated that working memory is supported by a
network of brain regions that includes a cerebro-cerebellar circuit. The
cerebellum, in particular, may be important for inner speech mechanisms that
assist verbal working memory. This study used functional magnetic resonance
imaging to examine brain activity associated with working memory in five opioid
dependent, methadone-maintained patients and five matched, healthy controls. An
item recognition task was administered in two conditions: (1) a low working
memory load "match" condition in which participants determined whether target
letters presented at the beginning of the trial matched a probe item, and (2) a
high working memory load "manipulation" condition in which participants counted
two alphabetical letters forward of each of the targets and determined whether
either of these new items matched a probe item. Response times and accuracy
scores were not significantly different between the groups. FMRI analyses
indicated that, in association with higher working memory load ("manipulation"
condition), the patient group exhibited hyperactivity in the superior and
inferior cerebellum and amygdala relative to that of controls. At a more liberal
statistical threshold, patients exhibited hypoactivity in the left prefrontal and
medial frontal/pre-SMA regions. These results indicate that verbal working memory
in opioid-dependent individuals involves a disrupted cerebro-cerebellar circuit
and shed light on the neuroanatomical basis of working memory impairments in this
population.
PMID- 21892702
TI - Impact of mammographic screening on the detection of good and poor prognosis
breast cancers.
AB - We sought to compare the molecular signature of node negative cancers from two
cohorts 15 years apart, to determine if there is molecular evidence of increase
in low and ultralow risk cancers over time. We studied the impact of age, time
period of diagnosis, and mammographic screening on biology of tumors where The
Netherlands Cancer Institute 70-gene prognosis signature was generated as part of
2 validation series, one retrospective (1984-1992), Cohort 1, and one prospective
(2004-2006), Cohort 2. A total of 866 patients were analyzed. Regardless of time
period of diagnosis, the proportion of T1, grade 1, hormone receptor positive
(HR) tumors, and good prognosis by 70-gene signature significantly increases as
age increases (P < 0.01). In women aged 49-60, the time period of diagnosis
significantly affects the proportion of cancers that were NKI 70-gene low risk:
40.6% (67/165) compared with 58% (119/205) for Cohorts 1 and 2, respectively.
This is in contrast to the absence of a significant change for women under age
40, where 25% (17/68) and 30% (17/56) were low risk in Cohorts 1 and 2,
respectively. In women aged 49-60, using an ultralow risk threshold of the 70
gene signature, 10% of tumors in Cohort 1 were ultralow risk compared with 30%
for women with screen-detected cancers in Cohort 2. Older age and method of
detection (screening) are associated with a higher likelihood of a biologically
low risk tumor. In women over age 50, biologically low risk tumors are frequent
and tools that classify risk may minimize overtreatment.
PMID- 21892703
TI - Body mass index and risk of second primary breast cancer: the WECARE Study.
AB - The identification of potentially modifiable risk factors, such as body size,
could allow for interventions that could help reduce the burden of contralateral
breast cancer (CBC) among breast cancer survivors. Studies examining the
relationship between body mass index (BMI) and CBC have yielded mixed results.
From the population-based, case-control, Women's Environmental, Cancer and
Radiation Epidemiology (WECARE) Study, we included 511 women with CBC (cases) and
999 women with unilateral breast cancer (controls) who had never used
postmenopausal hormone therapy. Rate ratios (RR) and 95% confidence intervals
(CI) were used to assess the relationship between BMI and CBC risk. No
associations between BMI at first diagnosis or weight-change between first
diagnosis and date of CBC diagnosis (or corresponding date in matched controls)
and CBC risk were seen. However, obese (BMI >= 30 kg/m(2)) postmenopausal women
with estrogen receptor (ER)-negative first primary tumors (n = 12 cases and 9
controls) were at an increased risk of CBC compared with normal weight women (BMI
< 25 kg/m(2)) (n = 43 cases and 98 controls) (RR = 5.64 (95% CI 1.76, 18.1)). No
association between BMI and CBC risk was seen in premenopausal or postmenopausal
women with ER-positive first primaries. Overall, BMI is not associated with CBC
risk in this population of young breast cancer survivors. Our finding of an over
five-fold higher risk of CBC in a small subgroup of obese postmenopausal women
with an ER-negative first primary breast cancer is based on limited numbers and
requires confirmation in a larger study.
PMID- 21892706
TI - Transition to the clinical doctorate: attitudes of the genetic counseling
training program directors in North America.
AB - In North America, genetic counseling is an allied health profession where entry
level practitioners currently must hold a master's degree earned from a graduate
program accredited by the American Board of Genetic Counseling. This is one of
many health care professions that could transition to an entry level clinical
doctorate degree. This study explored the attitudes of genetic counseling
training program directors toward such a transition. Thirty-one North American
program directors were invited to complete an online survey and a follow-up
telephone interview. Twenty-one program directors completed the survey and ten
directors also completed a follow up phone interview. There was disagreement
among the respondents on the issue of transitioning to a clinical doctorate
degree (nine in favor, six against and six undecided). Respondents disagreed
about whether the transition would lead to higher salaries (six yes, eight no,
and seven unsure) or increased professional recognition (eight yes, eight no, and
four unsure). Approximately half (n = 10) of directors were not sure if the
transition to a clinical doctorate would help or hurt minority recruitment; six
thought it would help and four thought it would hurt. However, the majority (n =
13) thought a clinical doctorate would help genetic counselors to obtain faculty
positions. If the field transitions to a clinical doctorate, 11 of the directors
thought their program would convert, seven were unsure and one thought their
program would shut down. Themes identified in interview data included 1)
implications for the profession 2) institution-specific considerations and 3)
perception of the unknown. Opinions are quite varied at this time regarding the
possible transition to the clinical doctorate among genetic counseling training
program directors.
PMID- 21892705
TI - Urinary bladder cancer risk in relation to a single nucleotide polymorphism
(rs2854744) in the insulin-like growth factor-binding protein-3 (IGFBP3) gene.
AB - Currently, twelve validated genetic variants have been identified that are
associated with urinary bladder cancer (UBC) risk. However, those validated
variants explain only 5-10% of the overall inherited risk. In addition, there are
more than 100 published polymorphisms still awaiting validation or disproval. A
particularly promising of the latter unconfirmed polymorphisms is rs2854744 that
recently has been published to be associated with UBC risk. The [A] allele of
rs2854744 has been reported to be associated with a higher promoter activity of
the insulin-like growth factor-binding protein-3 (IGFBP3) gene, which may lead to
increased IGFBP-3 plasma levels and cancer risk. Therefore, we investigated the
association of rs2854744 with UBC in the IfADo case-control series consisting of
1,450 cases and 1,725 controls from Germany, Hungary, Venezuela and Pakistan. No
significant association of rs2854744 with UBC risk was obtained (all study groups
combined: unadjusted P = 0.4446; adjusted for age, gender and smoking habits P =
0.6510), besides a small effect of the [A] allele in the Pakistani study group
opposed to the original findings (unadjusted P = 0.0508, odds ratio (OR) = 1.43
for the multiplicative model) that diminished after adjustment for age, gender
and smoking habits (P = 0.7871; OR = 0.93). Associations of rs2854744 with
occupational exposure to urinary bladder carcinogens and smoking habits were also
not present. A meta-analysis of all available case-control series including the
original discovery study resulted in an OR of 1.00 (P = 0.9562). In conclusion,
we could not confirm the recently published hypothesis that rs2854744 in the
IGFBP3 gene is associated with UBC risk.
PMID- 21892707
TI - The effects of PVP(Fe(III)) catalyst on polymer molecular weight and gene
delivery via biodegradable cross-linked polyethylenimine.
AB - PURPOSE: Crosslinked, degradable derivatives of low-molecular-weight
polyethylenimine (PEI) are relatively efficient and non-cytotoxic gene delivery
agents. To further investigate these promising materials, a new synthetic
approach was developed using a poly(4-vinylpyridine)-supported Fe(III) catalyst
(PVP(Fe(III))) that provides more facile synthesis and enhanced control of
polymer molecular weight. METHODS: Biodegradable polymers (D.PEI) comprising 800
Da PEI crosslinked with 1,6-hexanediol diacrylate and exhibiting molecular
weights of 1.2, 6.2, and 48 kDa were synthesized utilizing the PVP(Fe(III))
catalyst. D.PEI/DNA polyplexes were characterized using gel retardation, ethidium
bromide exclusion, heparan sulfate displacement, and dynamic light scattering. In
vitro transfection, cellular uptake, and cytotoxicity of the polyplexes were
tested in human cervical cancer cells (HeLa) and human breast cancer cells (MDA
MB-231). RESULTS: D.PEIs tightly complexed plasmid DNA and formed 320- to 440-nm
diameter polyplexes, similar to those comprising non-degradable, 25-kDa, branched
PEI. D.PEI polyplexes mediated 2- to 5-fold increased gene delivery efficacy
compared to 25-kDa PEI and exhibited 20% lower cytotoxicity in HeLa and no
toxicity in MDA-MB-231. In addition, 2- to 7-fold improved cellular uptake of DNA
was achieved with D.PEI polyplexes. CONCLUSIONS: PVP(Fe(III)) catalyst provided a
more controlled synthesis of D.PEIs, and these materials demonstrated improved in
vitro transfection efficacy and reduced cytotoxicity .
PMID- 21892708
TI - How well do selection tools predict performance later in a medical programme?
AB - The choice of tools with which to select medical students is complex and
controversial. This study aimed to identify the extent to which scores on each of
three admission tools (Admission GPA, UMAT and structured interview) predicted
the outcomes of the first major clinical year (Y4) of a 6 year medical programme.
Data from three student cohorts (n = 324) were analysed using regression
analyses. The Admission GPA was the best predictor of academic achievement in
years 2 and 3 with regression coefficients (B) of 1.31 and 0.9 respectively (each
P < 0.001). Furthermore, Admission GPA predicted whether or not a student was
likely to earn 'Distinction' rather than 'Pass' in year 4. In comparison, UMAT
and interview showed low predictive ability for any outcomes. Interview scores
correlated negatively with those on the other tools. None of the tools predicted
failure to complete year 4 on time, but only 3% of students fell into this
category. Prior academic achievement remains the best measure of subsequent
student achievement within a medical programme. Interview scores have little
predictive value. Future directions include longer term studies of what UMAT
predicts, and of novel ways to combine selection tools to achieve the optimum
student cohort.
PMID- 21892709
TI - Appropriateness: analysis of outpatient radiology requests.
AB - PURPOSE: This study assessed radiology requests and the influence of previous
radiological procedures on their specificity and appropriateness, evaluated
diagnostic outcomes and recorded the economic impact of inappropriate
examinations. MATERIALS AND METHODS: We prospectively analysed 4,018 outpatient
requests, the appropriateness of which was assessed using an evaluation form.
Economic analysis was based on costs listed in the Italian National Health
Services (NHS) national tariff as established by the Ministerial Decree of 22
July 1996. Statistical analysis was carried out using Pearson's test and
univariate and multivariate logistic regression models. RESULTS: Of 4,018
outpatient requests, 57% were not included in a follow-up protocol and 56% were
found to be appropriate. The diagnostic question was confirmed in 66% of cases
considered appropriate (p<0.001). The existence of previous investigations had a
significant impact on appropriateness and diagnostic outcome (p<0.001). The total
cost of the requests was 257,317 euro, with inappropriate requests accounting for
94,012 euro (36.5%). CONCLUSIONS: We found a 56% rate of appropriate requests and
demonstrated that appropriate prescriptions provided with a specific clinical
question led to significantly higher confirmation rates of the diagnostic
hypothesis. In addition, inappropriate requests had a major negative economic
impact.
PMID- 21892710
TI - Hypoxic liver perfusion with mitomycin-C for treating multifocal metastases and
unresectable primary tumours: a single-centre series of 42 patients.
AB - PURPOSE: The purpose of our study was to retrospectively evaluate the
feasibility, toxicity and impact on overall (OS) and disease-free (DFS) survival
of intra-arterial liver perfusion with mitomycin-C (MMC) [hypoxic liver perfusion
with MMC (HLPM)] in patients with multifocal liver metastases or with
unresectable primary liver tumours. MATERIALS AND METHODS: Forty-two patients
underwent 56 intra-arterial liver infusions with MMC between June 2001 and May
2009. The patients presented specific characteristics, i.e. they were all
refractory to locoregional (LR) and/or systemic treatments. HLPM consists of
selective catheterisation of the common hepatic artery, permanent occlusion of
the gastroduodenal artery at its origin using metal coils, an inflated balloon
catheter placement at the origin of the proper hepatic artery to block blood flow
and induce hypoxia for around 10 min, MMC infusion and vascular-bed occlusion
through injection of an absorbable haemostatic agent. During the procedure, the
patients received anaesthesiological monitoring. Biochemical and morphological
responses were evaluated, as were haematological, hepatic and systemic toxicity.
RESULTS: Patients were hospitalised for 10 days on average (range 7-15). Side
effects were liver toxicity in all cases, acute pancreatitis in one case and
liver failure in one case. Computed tomography performed at 30 days documented a
partial response (PR) in 29%, stable disease (SD) in 45% and progressive disease
(PD) in 26% of patients. The response lasted 4 months on average (range 3-6).
Mean overall survival (OS) was 20 months for all patients, reaching 30 months in
those with colorectal carcinoma. CONCLUSIONS: The procedure is feasible, and
treatmentrelated toxicity and mortality rates are acceptable. It may be
considered a palliative treatment option in patients with advanced liver disease
in centres with adequately experienced medical teams.
PMID- 21892711
TI - Computed tomography coronary angiography in asymptomatic patients.
AB - PURPOSE: This study assessed the accuracy of computed tomography coronary
angiography (CT-CA) for detecting significant coronary artery disease (CAD; >=50%
lumen reduction) in intermediate/high-risk asymptomatic patients. MATERIALS AND
METHODS: A total of 183 consecutive asymptomatic individuals (92 men; mean age
54+/-11 years) with more than one major risk factor (obesity, hypertension,
diabetes, hypercholesterolaemia, family history, smoking) and an inconclusive or
nonfeasible noninvasive stress test result (stress electrocardiography, stress
echocardiography, nuclear stress scintigraphy) underwent CT-CA in an outpatient
setting. All patients underwent conventional coronary angiography (CAG) within 4
weeks. Data from CT-CA were compared with CAG regarding the presence of
significant CAD (>=50% lumen reduction). RESULTS: Mean calcium score was 177+/
432, mean heart rate during the CT-CA scan was 58+/-8 bpm and the prevalence (per
patient) of obstructive CAD was 19%. CT-CA showed single-vessel CAD in 9% of
patients, two-vessel CAD in 9% and three-vessel CAD in 0%. Per-patient
sensitivity, specificity, positive predictive value and negative predictive value
of CT-CA were 100% (90-100), 98% (96-99), 97% (85-99), 100% (97-100),
respectively. Positive and negative likelihood ratios were 151 and 0,
respectively. CONCLUSIONS: CT-CA is an excellent noninvasive imaging modality for
excluding significant CAD in intermediate/ high-risk asymptomatic patients with
inconclusive or nonfeasible noninvasive stress test.
PMID- 21892712
TI - Coronary plaque burden in patients with stable and unstable coronary artery
disease using multislice CT coronary angiography.
AB - PURPOSE: We evaluated the multislice computed tomography (MSCT) coronary plaque
burden in patients with stable and unstable angina pectoris. MATERIALS AND
METHODS: Twenty-one patients with stable and 20 with unstable angina pectoris
scheduled for conventional coronary angiography (CCA) underwent MSCT-CA using a
64-slice scanner offering a fast rotation time (330 ms) and higher X-ray tube
output (900 mAs). To determine the MSCT coronary plaque burden, we assessed the
extent (number of diseased segments), size (small or large), type (calcific,
noncalcific, mixed) of plaque, its anatomic distribution and angiographic
appearance in all available >=2-mm segments. In a subset of 15 (seven stable,
eight unstable) patients, the detection and classification of coronary plaques by
MSCT was verified by intracoronary ultrasound (ICUS). RESULTS: Sensitivity and
specificity of MSCT compared with ICUS to detect significant plaques (defined as
>=1-mm plaque thickness on ICUS) was 83% and 87%. Overall, 473 segments were
examined, resulting in 11.6+/-1.5 segments per patient. Plaques were present in
62% of segments and classified as large in 47% of diseased segments. Thirty-two
percent were noncalcific, 25% calcific and 43% mixed. Plaques were most
frequently located in the proximal and mid segments. Plaque was found in 33% of
segments classified as normal on CCA. Unstable patients had significantly more
noncalcific plaques when compared with stable patients (45% vs. 21%, p<0.05).
CONCLUSIONS: MSCT-CA provides important information regarding the coronary plaque
burden in patients with stable and unstable angina.
PMID- 21892713
TI - Prognostic value of Morise clinical score, calcium score and computed tomography
coronary angiography in patients with suspected or known coronary artery disease.
AB - PURPOSE: Our aim was to determine the prognostic value of computed tomography
coronary angiography (CTCA), coronary artery calcium scoring (CACS) and Morise
clinical score in patients with known or suspected coronary artery disease (CAD).
MATERIALS AND METHODS: A total of 722 patients (480 men; 62.7+/-10.9 years) who
were referred for further cardiac evaluation underwent CACS and contrast-enhanced
CTCA to evaluate the presence and severity of CAD. Of these, 511 (71%) patients
were without previous history of CAD. Patients were stratified according to the
Morise clinical score (low, intermediate, high), to CACS (0-10, 11-100, 101-400,
401-1,000, >1,000) and to CTCA (absence of CAD, nonsignificant CAD, obstructive
CAD). Patients were followed up for the occurrence of major events: cardiac
death, nonfatal myocardial infarction, unstable angina and revascularisation.
RESULTS: Significant CAD (>50% luminal narrowing) was detected in 260 (36%)
patients; nonsignificant CAD (<50% luminal narrowing) in 250 (35%) and absence of
CAD in 212 (29%). During a mean follow-up of 20+/-4 months, 116 events (21 hard)
occurred. In patients with normal coronary arteries on CTCA, the major event rate
was 0% vs. 1.7% in patients with nonsignificant CAD and 7.3% in patients with
significant CAD (p<0.0001). Three hard events (14%) occurred in patients with
CACS<=100 and two (9.5%) in patients with intermediate Morise score; one
revascularisation was observed in a patient with low Morise score. At
multivariate analysis, diabetes, obstructive CAD and CACS >1,000 were significant
predictors of events (p<0.05). CONCLUSIONS: An excellent prognosis was noted in
patients with a normal CTCA (0% event rate). CACS <=100 and low-intermediate
Morise score did not exclude the possibility of events at follow-up.
PMID- 21892714
TI - MR-PET fusion imaging in evaluating adnexal lesions: a preliminary study.
AB - PURPOSE: The objective of this preliminary study was to examine the effects of
combined magnetic resonance/positron emission tomography (MR-PET) evaluation in
the morphofunctional characterisation of ovarian lesions. MATERIALS AND METHODS:
From June 2008 to September 2010, we evaluated 24 patients (mean age 44+/-10
years; range 24-74) with ovarian lesions incidentally detected on ultrasonography
(US) and/or multislice computed tomography (CT). All patients underwent MR
imaging of the pelvis and total-body CT-PET. PET and MR images were subsequently
fused at postprocessing using specific anatomical criteria. Results were compared
with the histological examination. RESULTS: Of the 24 examined lesions, 19 were
malignant and five were benign on histological examination. MR, CT-PET and MR-PET
sensitivity was 84%, 74% and 94%, respectively and specificity 60%, 80% and 100%,
respectively. Positive (PPV) and negative predictive (NPV) values were 93% and
44% for CT-PET, 89% and 50% for MR and 100% and 83% for MR-PET, respectively.
CONCLUSIONS: Pelvic MR-PET fusion imaging provides advantages in terms of
sensitivity and especially specificity compared with MR imaging or CT-PET alone.
The added value of this fusion imaging modality lies in combining the benefits of
the morphological evaluation provided by MR imaging and the metabolic assessment
provided by PET.
PMID- 21892715
TI - Comparison between different kernel reformatting filters in 3D quantitative
analysis of MDCT coronary angiography.
AB - PURPOSE: Coronary angiography with multidetector-row computed tomography (MDCT
CA) allows quantification of coronary artery stenosis with a high level of
accuracy; however, a better estimation of stenosis can be achieved by using
appropriate reformatting filters, especially in stents and calcified segments.
Quantitative computed tomography angiography (QCTA) is intended to overcome the
limitations of the visual score. The aim of this study was to evaluate the
accuracy of QCTA with different filters in comparison with quantitative coronary
angiography (QCA) and visual score. MATERIALS AND METHODS: Two blinded operators
visually scored 17 consecutive patients referred for MDCT-CA with a per-segment
analysis. The degree of stenosis was classified as 0-20%, 20-50% (wall
irregularities), 50-70% (significant disease) and 70-100% (vessel occlusion).
Each segment was then analysed using the electronic callipers of the QCTA system
with 15 different filters. No contour editing was performed. Data were compared
with QCA and conventional coronary angiography (CCA). Comparison between QCTA,
visual score and QCA were performed using Spearman's rank correlation. RESULTS:
Of 25 segments analysed (mean 1.4 diseased segment per patient), 375 measurements
were considered. Good correlation was found between the visual score and QCA
[Pearson correlation coefficient (rho=0.852; p<0.0001)] and between QCA and CCA
(rho=0.804; p<0.0001). Moderate correlation was found between QCA and QCTA only
using two filters (rho=0.444; p<0.0001 for YA filter and rho=0.450; p<0.0001 for
YB filter). CONCLUSIONS: Overall QCTA accuracy is low if contour editing is not
applied, especially in calcified vessels. Certain filters can help to better
estimate the exact percentage of stenosis.
PMID- 21892716
TI - Ultrasound contrast media in paediatric patients: is it an off-label use?
Regulatory requirements and radiologist's liability.
AB - The use of ultrasound contrast media is yet to be validated in children. The rare
reports in the scientific literature denote their "sporadic" and "experimental"
use. Their most likely use is in the setting of off-label prescription of
medications. As there are still no guidelines available in Italy for the off
label use of medications, we aim to define the duties, obligations and liability
of ultrasound radiologists according to the laws in force.
PMID- 21892717
TI - Endovascular treatment of ruptured abdominal aortic aneurysms: aorto-uni-iliac or
bifurcated endograft?
AB - PURPOSE: This study evaluated the safety and technical and clinical success rates
of positioning endovascular endografts (EG) in ruptured abdominal aneurysms.
MATERIALS AND METHODS: Patients with a ruptured abdominal aortic aneurysm
confirmed by contrast-enhanced computed tomography angiography (CTA) were
eligible for the analysis. Of 67 patients, 42 (62.7%) were treated with EG.
Thirteen patients (30.9%) received an aorto-uni-iliac EG (group A) and 29 a
bifurcated EG (group B). Patients were divided for comparative analysis according
to the configuration of the EG implanted. RESULTS: The primary technical success
rate was 100%; the primary clinical success rate was 95% (40/42). There were two
intraoperative deaths (4.7%) related to intractable shock. No patient required
conversion to open repair. Overall, 12 patients (28.5%) died within 30 days. The
in-hospital death rate was 30.9% (13/42). Hospital mortality rate was
statistically higher in group A; the type of EG and intensive care unit admission
were the only independent predictors of hospital mortality. CONCLUSIONS: In our
experience, a higher mortality rate was observed for the aorto-uni-iliac
configuration; shock at admission was confirmed as the most important factor for
postoperative survival.
PMID- 21892718
TI - Acute toxicity in 14 patients with locally advanced head and neck squamous cell
carcinoma treated with concurrent cetuximab and radiotherapy.
AB - PURPOSE: The authors report acute toxicity in 14 patients with locally advanced
head and neck squamous cell carcinoma treated with radiotherapy and cetuximab.
MATERIALS AND METHODS: Data collection was performed prospectively on patients
treated from September 2007 to March 2009. Treatment consisted of 64.8-70 Gy
radiotherapy in conventional fractions and cetuximab. RESULTS: Two out of 14
patients did not complete the planned combined treatment; radiotherapy was
temporarily suspended in six other patients. Seven of 12 patients received
cetuximab until the end of radiotherapy. Treatment breaks were principally due to
severe acute cutaneous or mucous toxicity. Any grade acneiform rash occurred in
all patients. In-field G3-4 cutaneous toxicity occurred in five (36%) patients
and G3-4 mucous toxicity in seven (50%). One patient died of sepsis. CONCLUSIONS:
In our experience, severe acute toxic reactions are common in patients treated
with radiotherapy and concurrent cetuximab, resulting in frequent breaks or
incomplete treatment with potential reduction in disease control.
PMID- 21892719
TI - Clinical applications of dynamic susceptibility contrast perfusion-weighted MR
imaging in brain tumours.
AB - Magnetic resonance imaging (MRI) with a dynamic susceptibility contrast perfusion
weighted imaging (DSC-PWI) sequence to study brain tumours provides information
on the haemodynamic characteristics of the neoplastic tissue. Brain perfusion
maps and calculation of perfusion parameters, such as relative cerebral blood
flow (rCBF), relative cerebral blood volume (rCBV) and mean transit time (MTT)
allow assessment of vascularity and angiogenesis within tumours of the central
nervous system (CNS), thus providing additional information to conventional MRI
sequences. Although DSC-PWI has long been used, its clinical use in the study of
brain tumours in daily clinical practice is still to be defined. The aim of this
review was to analyse the application of perfusion MRI in the study of brain
tumours by summarising our personal experience and the main results reported in
the literature.
PMID- 21892720
TI - Adenomyosis: from the sign to the diagnosis. Imaging, diagnostic pitfalls and
differential diagnosis: a pictorial review.
AB - Adenomyosis is a pathological gynaecological condition characterised by benign
invasion of the endometrium into the myometrium. It is often misdiagnosed, or is
not easily recognised, although it is responsible for disabling symptoms such as
menorrhagia, abnormal uterine bleeding, dysmenorrhoea and infertility in
premenopausal women. The aim of this pictorial review is to analyse the features
of adenomyosis by illustrating the most usual and typical imaging patterns, along
with the unusual appearances, seen in a vast array of gynaecological imaging
modalities. The different findings of focal and diffuse adenomyosis along with
the diagnostic limitations of ultrasound, hysterosalpingography and magnetic
resonance imaging are described, as are the pitfalls and differential diagnosis
with other pathological conditions that are often misdiagnosed as adenomyosis.
The role of the different imaging modalities in planning appropriate treatment
and their usefulness in monitoring therapy are also discussed.
PMID- 21892721
TI - Body iron stores as predictors of insulin resistance in apparently healthy urban
Colombian men.
AB - The aim of this study was to evaluate body iron stores as predictors of insulin
resistance. We developed a cross-sectional study among 123 men, 25-64 years of
age and determined fasting plasma glucose, insulin, serum ferritin, and C
reactive protein levels. A survey was performed to record personal antecedents
and family history of non-transmissible chronic diseases. Log-transformed
ferritin levels was an independent predictor for log-transformed insulin
resistance index assessed by homeostatic model assessment when body mass index or
waist circumference were not included in multiple linear regression models.
Sedentarism, heart attack family history, and log-C reactive protein levels were
also significant predictors for insulin resistance. In conclusion, documented
anthropometric predictors affect the significance of ferritin as a potential
prediction variable for insulin resistance. Mechanisms of how body fat could
influence ferritin levels should be evaluated. To our knowledge, this is the
first evaluation of the relationship between body iron stores and insulin
resistance in a Latin American population.
PMID- 21892722
TI - Red fox Vulpes vulpes (L., 1758) as a bioindicator of mercury contamination in
terrestrial ecosystems of north-western Poland.
AB - In this study, we determined the concentrations of total mercury (Hg) in samples
of liver, kidney and skeletal muscle of 27 red foxes Vulpes vulpes (L., 1758)
from north-western Poland, and examined the morphometric characteristics of the
collected specimens. The analysis also included the relationship between Hg
concentration and the fox size, and the suitability of individual organs as
bioindicators in indirect evaluation of environmental mercury contamination.
Determination of Hg concentration was performed by atomic absorption
spectroscopy. In the analysed samples, the Hg concentration was low and the
maximum value did not exceed 0.85 mgHg/kg dry weight (dw). There were no
significant differences in Hg concentrations in the analysed material between
males and females or between immature and adult groups. The median concentrations
of Hg in the liver, kidney and skeletal muscle were 0.22, 0.11 and 0.05 mgHg/kg
dw, respectively. The correlation coefficients were significant between the
concentrations of mercury in the liver, kidney and skeletal muscle (positive) and
between the kidney Hg concentration and kidney mass (negative). Taking into
account our results and findings of other authors, it may be argued that the red
fox exhibits a measurable response to mercury environmental pollution and meets
the requirements of a bioindicator.
PMID- 21892723
TI - Scientific evaluation of modern clinical research: we need a new currency!
PMID- 21892704
TI - The advantage of letrozole over tamoxifen in the BIG 1-98 trial is consistent in
younger postmenopausal women and in those with chemotherapy-induced menopause.
AB - Letrozole, an aromatase inhibitor, is ineffective in the presence of ovarian
estrogen production. Two subpopulations of apparently postmenopausal women might
derive reduced benefit from letrozole due to residual or returning ovarian
activity: younger women (who have the potential for residual subclinical ovarian
estrogen production), and those with chemotherapy-induced menopause who may
experience return of ovarian function. In these situations tamoxifen may be
preferable to an aromatase inhibitor. Among 4,922 patients allocated to the
monotherapy arms (5 years of letrozole or tamoxifen) in the BIG 1-98 trial we
identified two relevant subpopulations: patients with potential residual ovarian
function, defined as having natural menopause, treated without adjuvant or
neoadjuvant chemotherapy and age <= 55 years (n = 641); and those with
chemotherapy-induced menopause (n = 105). Neither of the subpopulations examined
showed treatment effects differing from the trial population as a whole
(interaction P values are 0.23 and 0.62, respectively). Indeed, both among the
641 patients aged <= 55 years with natural menopause and no chemotherapy (HR 0.77
[0.51, 1.16]) and among the 105 patients with chemotherapy-induced menopause (HR
0.51 [0.19, 1.39]), the disease-free survival (DFS) point estimate favoring
letrozole was marginally more beneficial than in the trial as a whole (HR 0.84
[0.74, 0.95]). Contrary to our initial concern, DFS results for young
postmenopausal patients who did not receive chemotherapy and patients with
chemotherapy-induced menopause parallel the letrozole benefit seen in the BIG 1
98 population as a whole. These data support the use of letrozole even in such
patients.
PMID- 21892724
TI - Identification of host fruit volatiles from three mayhaw species (Crataegus
series Aestivales) attractive to mayhaw-origin Rhagoletis pomonella flies in the
southern United States.
AB - The apple maggot fly, Rhagoletis pomonella, infests several hawthorn species in
the southern USA. Here, we tested the hypothesis that these populations could
serve as reservoirs for fruit odor discrimination behaviors facilitating
sympatric host race formation and speciation, specifically the recent shift from
downy hawthorn (Crataegus mollis) to domestic apple (Malus domestica) in the
northern USA. Coupled gas chromatography and electroantennographic detection (GC
EAD), gas chromatography with mass spectrometry (GC-MS), and flight tunnel
bioassays were used to identify the behaviorally active natal fruit volatile
blends for three of the five major southern hawthorns: C. opaca (western mayhaw),
C. aestivalis (eastern mayhaw), and C. rufula (a possible hybrid between C. opaca
and C. aestivalis). A 6-component blend was developed for C. opaca (3-methylbutan
1-ol [44%], pentyl acetate [6%], butyl butanoate [6%], propyl hexanoate [6%],
butyl hexanoate [26%], and hexyl butanoate [12%]); an 8-component blend for C.
aestivalis (3-methylbutan-1-ol [2%], butyl acetate [47%], pentyl acetate [2%],
butyl butanoate [12%], propyl hexanoate [1%], butyl hexanoate [25%], hexyl
butanoate [9%], and pentyl hexanoate [2%]); and a 9-component blend for C. rufula
(3-methylbutan-1-ol [1%], butyl acetate [57%], 3-methylbutyl acetate [3%], butyl
butanoate [5%], propyl hexanoate [1%], hexyl propionate [1%], butyl hexanoate
[23%], hexyl butanoate [6%], and pentyl hexanoate [3%]). Crataegus aestivalis and
C. opaca-origin flies showed significantly higher levels of upwind directed
flight to their natal blend in flight tunnel assays compared to the non-natal
blend and previously developed apple, northern downy hawthorn, and flowering
dogwood blends. Eastern and western mayhaw flies also were tested to the C.
rufula blend, with eastern flies displaying higher levels of upwind flight
compared with the western flies, likely due to the presence of butyl acetate in
the C. aestivalis and C. rufula blends, an agonist compound for eastern mayhaw
origin flies, but a behavioral antagonist for western flies. The results discount
the possibility that the apple fly was "pre-assembled" and originated via a
recent introduction of southern mayhaw flies predisposed to accepting apple.
Instead, the findings are consistent with the possibility of southern mayhaw
infesting fly host races. However, mayhaw fruits do emit several volatiles found
in apple. It is, therefore, possible that the ability of the fly to evolve a
preference for apple volatiles, although not the entire blend, stemmed, in part,
from standing variation related to the presence of these compounds in southern
mayhaw fruit.
PMID- 21892725
TI - Cervical cancer control: deaf and hearing women's response to an educational
video.
AB - Deaf people encounter barriers to accessing cancer information. In this study, a
graphically enriched educational video about cervical cancer was created in
American Sign Language, with English open captioning and voice overlay. Deaf (n =
127) and hearing (n = 106) women completed cancer knowledge surveys before and
after viewing the video. Hearing women yielded higher scores before the
intervention. Both groups demonstrated a significant increase in general and
cervical cancer knowledge after viewing the video, rendering posttest knowledge
scores nearly equal between the groups. These findings indicate that this video
is an effective strategy for increasing cervical cancer knowledge among deaf
women.
PMID- 21892726
TI - Calcium-dependent decrease in the single-channel conductance of TRPV1.
AB - TRPV1 is a Ca(2+) permeable cation channel gated by multiple stimuli including
noxious heat, capsaicin, protons, and extracellular cations. In this paper, we
show that Ca(2+) causes a concentration and voltage-dependent decrease in the
capsaicin-gated TRPV1 single-channel conductance. This Ca(2+)-dependent effect on
conductance was strongest at membrane potentials between -60 and +20 mV, but was
diminished at more hyperpolarised potentials. Using simultaneous recordings of
membrane current and fura-2 fluorescence to measure the fractional Ca(2+) current
of whole-cell currents evoked through wild-type and mutant TRPV1, we investigated
a possible link between the mechanisms underlying Ca(2+) permeation and the
Ca(2+)-dependent effect on conductance. Surprisingly, we found no evidence of a
structural correlation, and observed that the substitution of amino acids known
to regulate Ca(2+) permeability had little effect on the ability for Ca(2+) to
decrease TRPV1 conductance. However, we did observe that the Ca(2+)-dependent
effect on conductance was not diminished by negative hyperpolarisation for a
mutant receptor with severely impaired Ca(2+) permeability, TRPV1
D646N/E648Q/E651Q. This would be consistent with the idea that Ca(2+) reduces
conductance by interacting with an intra-pore binding site, and that negative
hyperpolarization reduces occupancy of this site by speeding the exit of Ca(2+)
into the cell. Taken together, our data show that in addition to directly and
indirectly regulating channel gating, Ca(2+) also directly reduces the
conductance of TRPV1. Surprisingly, the mechanism underlying this Ca(2+)
dependent effect on conductance is largely independent of mechanisms governing
Ca(2+) permeability.
PMID- 21892728
TI - EXT2-positive multiple hereditary osteochondromas with some features suggestive
of metachondromatosis.
AB - Metachondromatosis (MC) and hereditary multiple osteochondromas (HMO) are thought
to be distinct disorders, each with characteristic x-ray and clinical features.
Radiographic differences are the current mainstay of differential diagnosis. Both
disorders are autosomal dominant, but the majority of patients with HMO have
mutations in EXT-1 or EXT 2 genes. The genetic defect in MC is unknown, although
recent studies indicate a possible identifiable mutation. The cancer risk in HMO
is thought to be greater than in MC, although the small number of cases make such
conjecture imprecise. The purpose of this report is to review existing literature
and examine whether radiographic findings in HMO and MC can be reliable as a
stand-alone means of differential diagnosis. Three members of a multi
generational family with an autosomal dominant exostosis syndrome were studied by
clinical examination and complete skeletal survey. The roentgenographic
characteristics of all osteochondromas were analyzed. The father underwent gene
sequencing for EXT-1 and EXT-2, which revealed a novel EXT-2 mutation. Typical
radiographic and clinical findings of both HMO and MC were seen throughout the
family as well as in individuals. These family study findings contradict many of
the long-standing clinical and x-ray diagnostic criteria for differentiating MC
from HMO. The phenotypic crossover between the two conditions in this family, and
results of genetic analysis, suggest that in the absence of a definitive genetic
diagnosis, radiographic and clinical diagnosis of past and future cases HMO and
MC may not be as reliable as previously assumed.
PMID- 21892727
TI - Activity of cortical and thalamic neurons during the slow (<1 Hz) rhythm in the
mouse in vivo.
AB - During NREM sleep and under certain types of anaesthesia, the mammalian brain
exhibits a distinctive slow (<1 Hz) rhythm. At the cellular level, this rhythm
correlates with so-called UP and DOWN membrane potential states. In the
neocortex, these UP and DOWN states correspond to periods of intense network
activity and widespread neuronal silence, respectively, whereas in
thalamocortical (TC) neurons, UP/DOWN states take on a more stereotypical
oscillatory form, with UP states commencing with a low-threshold Ca(2+) potential
(LTCP). Whilst these properties are now well recognised for neurons in cats and
rats, whether or not they are also shared by neurons in the mouse is not fully
known. To address this issue, we obtained intracellular recordings from
neocortical and TC neurons during the slow (<1 Hz) rhythm in anaesthetised mice.
We show that UP/DOWN states in this species are broadly similar to those observed
in cats and rats, with UP states in neocortical neurons being characterised by a
combination of action potential output and intense synaptic activity, whereas UP
states in TC neurons always commence with an LTCP. In some neocortical and TC
neurons, we observed 'spikelets' during UP states, supporting the possible
presence of electrical coupling. Lastly, we show that, upon tonic depolarisation,
UP/DOWN states in TC neurons are replaced by rhythmic high-threshold bursting at
~5 Hz, as predicted by in vitro studies. Thus, UP/DOWN state generation appears
to be an elemental and conserved process in mammals that underlies the slow (<1
Hz) rhythm in several species, including humans.
PMID- 21892729
TI - Is bone scintigraphy necessary in the initial surgical staging of chondrosarcoma
of bone?
AB - OBJECTIVE: To assess the value of whole-body bone scintigraphy in the initial
surgical staging of chondrosarcoma of bone. METHODS: A retrospective review was
conducted of the bone scintigraphy reports of a large series of patients with
peripheral or central chondrosarcoma of bone treated in a specialist orthopaedic
oncology unit over a 13-year period. Abnormal findings were correlated against
other imaging, histological grade and the impact on surgical staging. RESULTS: A
total of 195 chondrosarcomas were identified in 188 patients. In 120 (63.8%)
patients the reports of bone scintigraphy noted increased activity at the site of
one or more chondrosarcomas. In one patient the tumour was outside the field-of
view of the scan, and in the remaining 67 (35.6%) cases, there was increased
activity at the site of the chondrosarcoma and further abnormal activity in other
areas of the skeleton. Causes of these additional areas of activity included
degenerative joint disease, Paget's disease and in one case a previously
undiagnosed melanoma metastasis. No cases of skeletal metastases from the
chondrosarcoma were found in this series. Multifocal chondrosarcomas were
identified in three cases. In two it was considered that all the tumours would
have been adequately revealed on the initial MR imaging staging studies. In only
the third multifocal case was an unsuspected, further presumed low-grade, central
chondrosarcoma identified in the opposite asymptomatic femur. Although this case
revealed an unexpected finding the impact on surgical staging was limited as it
was decided to employ a watch-and-wait policy for this tumour. CONCLUSION: There
is little role for the routine use of whole-body bone scintigraphy in the initial
surgical staging in patients with chondrosarcoma of bone irrespective of the
histological grade.
PMID- 21892730
TI - Functional features of a single chromosome arm in wheat (1AL) determined from its
structure.
AB - Bread wheat (Triticum aestivum L.) is one of the most important crops globally
and a high priority for genetic improvement, but its large and complex genome has
been seen as intractable to whole genome sequencing. Isolation of individual
wheat chromosome arms has facilitated large-scale sequence analyses. However, so
far there is no such survey of sequences from the A genome of wheat. Greater
understanding of an A chromosome could facilitate wheat improvement and future
sequencing of the entire genome. We have constructed BAC library from the long
arm of T. aestivum chromosome 1A (1AL) and obtained BAC end sequences from 7,470
clones encompassing the arm. We obtained 13,445 (89.99%) useful sequences with a
cumulative length of 7.57 Mb, representing 1.43% of 1AL and about 0.14% of the
entire A genome. The GC content of the sequences was 44.7%, and 90% of the
chromosome was estimated to comprise repeat sequences, while just over 1% encoded
expressed genes. From the sequence data, we identified a large number of sites
suitable for development of molecular markers (362 SSR and 6,948 ISBP) which will
have utility for mapping this chromosome and for marker assisted breeding. From
44 putative ISBP markers tested 23 (52.3%) were found to be useful. The BAC end
sequence data also enabled the identification of genes and syntenic blocks
specific to chromosome 1AL, suggesting regions of particular functional interest
and targets for future research.
PMID- 21892732
TI - Primary malignant melanoma of the female urethra: 10-year survival.
PMID- 21892733
TI - A novel tool for estimation of magnetic resonance occupational exposure to
spatially varying magnetic fields.
AB - OBJECT: Staff operating in the environment of magnetic resonance imaging (MRI)
scanners are exposed daily to static magnetic fields (MFs). To protect workers
several guidelines are present in literature reporting exposure limits values
expressed in terms of magnetic flux density or induced current density. We
present here a novel tool for estimating the induced current density due to
worker movement in the MR environment. MATERIALS AND METHODS: A Matlab script was
created to estimate the induced current density J due to operator movements along
a chosen walking path. RESULTS: The induced current density associated with any
worker's movements during MR procedures is dependent on the walking speed and on
the spatial gradient fields associated with a specific path. Some examples of
possible worker paths were considered here for a 3 T MR scanner and a maximum
value of 160 cm/s walking speed. CONCLUSION: This tool permits one to find
exposure level for specific worker walking path and speed; it can be used as
assessment tool in any MRI centre and for workers safety education. It is valid
for any kind of commercial scanner because it requires only the knowledge of the
MR scanner room map with isogauss lines.
PMID- 21892731
TI - Emerging roles of epigenetic mechanisms in Parkinson's disease.
AB - Epigenetic mechanisms have emerged as important components of a variety of human
diseases, including cancer and central nervous system disorders. Despite recent
studies highlighting the role of epigenetic mechanisms in several
neurodegenerative and neuropsychiatric disorders, to date, there has been a
paucity of studies exploring the role of epigenetic factors in Parkinson's
disease (PD). PD is a progressive neurological disorder with characteristic motor
and non-motor symptoms, including a range of neuropsychiatric features, for which
neither preventative nor effective long-term treatment strategies are available.
It is one of the most common neurodegenerative disorders and the second most
prevalent after Alzheimer's disease. In this review, we present several lines of
evidence suggesting that epigenetic factors may play an important role in the
pathogenesis of PD and propose on this basis a framework to guide future
investigations into epigenetic mechanisms and systems biology of PD. These
notions, together with technical advances in the ability to perform genome-wide
analysis of epigenomic states, and newly available small-molecule probes
targeting chromatin-modifying enzymes, may help design new treatment strategies
for PD and other human diseases involving epigenetic dysregulation.
PMID- 21892734
TI - 1H-MRS of hepatic fat using short TR at 3T: SNR optimization and fast T2
relaxometry.
AB - OBJECT: To increase the signal-to-noise ratio (SNR) efficiency of hepatic fat
signals in proton magnetic resonance spectroscopy (1H MRS) at 3 T, in order to
improve the quantitation of hepatic fat and allow fast, single breath-hold T2
relaxometry of hepatic water and fat. MATERIALS AND METHODS: Since the T1 of
lipid protons is relatively short, we hypothesized that it could be possible to
increase the lipid SNR efficiency by choosing a TR shorter than that typically
employed (>=1.5 s). The lipid SNR per unit-time was calculated using published
values of lipid (CH2)n protons' T1 at 3 T. 1H MRS PRESS spectra were acquired
from VOIs located in the right lobe of the liver in 28 healthy volunteers. At the
short TR of 0.6 s, fast T2 relaxometry with the acquisition of 16 echo times (30,
40, ..., 180 ms), was performed in a single breath-hold measurement using a
modified PRESS sequence. RESULTS: Good agreement was observed between simulated
and experimental data, with the shortening of TR to 0.6 s yielding an ~50% SNR
improvement of hepatic lipid (CH2)n resonances, compared to the SNR at TR=2 s.
The T2 relaxation time of water and lipid (CH2)n protons at 3 T was 25.8+/-1.1 ms
and 55.4+/-3.9 ms, respectively, across five healthy volunteers. CONCLUSION: The
short-TR approach allows for an improved SNR efficiency of lipids and for fast T2
relaxometry of hepatic water and fat, with a detailed coverage of the T2
relaxation decay curve, within a single breath-hold experiment.
PMID- 21892735
TI - Characterization of human clinical isolates of Dietzia species previously
misidentified as Rhodococcus equi.
AB - In this study, 16 human clinical isolates of Dietzia species previously
misidentified as Rhodococcus equi were evaluated using phenotypic methods,
including traditional and commercial (API Coryne) biochemical tests,
antimicrobial susceptibility testing, and 16S rRNA gene and gyrB gene sequencing.
Positive results for both the hydrolysis of adenine and Christie-Atkins-Munch
Petersen (CAMP) reaction allowed for differentiation between the Dietzia isolates
and the type strain of Rhodococcus equi; however, traditional and commercial
phenotypic profiles could not be used to reliably identify Dietzia species. The
analysis of 16S rRNA gene and gyrB gene sequences could discriminate all Dietzia
strains from the type strain of R. equi. Most Dietzia species had distinct 16S
rRNA gene and gyrB gene sequences; however, the 16S rRNA gene sequences of the
type strains of D. schimae and D. cercidiphylli were identical to D. maris and D.
natronolimnaea, respectively. Based on comparative sequence analysis, five
clinical isolates clustered with D. maris/D. schimae and nine with D.
natronolimnaea/D. cercidiphylli. The two remaining isolates were found to be most
closely related to the D. cinnamea/D. papillomatosis clade. Even though molecular
analyses were not sufficiently discriminative to accurately identify all Dietzia
species, the method was able to reliably identify isolates that were previously
misidentified by phenotypic methods to the genus level.
PMID- 21892736
TI - Analysis of heat-induced disassembly process of three different monomeric forms
of the major light-harvesting chlorophyll a/b complex of photosystem II.
AB - The temperature-dependent disassembly process of three monomeric isoforms, namely
Lhcb1, Lhcb2, and Lhcb3, of the major light-harvesting chlorophyll (Chl) a/b
complexes of photosystem II (LHCIIb) were characterized by observing the changes
of absorption spectra, circular dichroism (CD), and dissociation processes of the
bound pigments to the in vitro reconstituted complexes subjected to high
temperatures. Our results suggest that the three isoforms of LHCIIb undergo
conformational rearrangements, structural changes, and dissociations of the bound
pigments when the ambient temperature increases from 20 to 90 degrees C. The
conformation of the complexes changed sensitively to the changing temperatures
because the absorption peaks in the Soret region (436 and 471 nm) and the Qy
region (650-660 and 680 nm) decreased immediately upon elevating the ambient
temperatures. Analyzing temperature-dependent denaturing and pigment dissociation
process, we can divide the disassembly process into three stages: The first
stage, appeared from 20 degrees C to around 50-60 degrees C, was characterized by
the diminishment of the absorption around 650-660 and 680 nm, accompanied by the
blue-shift of the peak at 471 nm and disappearance of the absorbance at 436 nm,
which is related to changes in the transition energy of the Chl b cluster, and
the red-most Chl a cluster in the LHCIIb. The second stage, beginning at about 50
60 degrees C, was signified by the diminishment of the CD signal between (+)483
nm and (-)490 nm, which implied the disturbance of dipole-dipole interaction of
pigments, and the onset of the pigment dissociation. The last stage, beginning at
about 70-80 degrees C, indicates the complete dissociation of the pigments from
the complex. The physiological aspects of the three stages in the denaturing
process are also discussed.
PMID- 21892737
TI - Expression pattern of two paralogs of the PI/GLO-like locus during Orchis italica
(Orchidaceae, Orchidinae) flower development.
AB - The class B MADS-box genes belong to two distinct functional groups: the AP3/DEF
like and the PI/GLO-like sub-families. In orchids, AP3/DEF-like genes are present
in four copies, each with a different role in floral organ formation, which is
described in the "orchid code" model. Interestingly, the orchid PI/GLO-like genes
are present in two copies in Orchidinae, whereas they are described as single
copy in the other orchid lineages. The two PI/GLO-like paralogs have site
specific different selective constraints; in addition, they show relaxation of
purifying selection when compared to the single-copy lineages. In this study, we
present a comparative analysis of the expression patterns of the two PI/GLO-like
paralogs, OrcPI and OrcPI2, in floral tissues of Orchis italica in different
developmental stages using real-time PCR. The two genes show similar expression
profiles in the tissue examined, with differences detectable between immature and
mature inflorescence. In all cases, OrcPI2 is expressed at a higher level than
OrcPI. Real-time PCR results reveal that the co-expression of the two duplicated
loci could have a fully or partially redundant function. The possible
evolutionary fate of OrcPI and OrcPI2 is discussed as well as their involvement
in ovary development.
PMID- 21892738
TI - Wnt gene loss in flatworms.
AB - Wnt genes encode secreted glycoproteins that act in cell-cell signalling to
regulate a wide array of developmental processes, ranging from cellular
differentiation to axial patterning. Discovery that canonical Wnt/beta-catenin
signalling is responsible for regulating head/tail specification in planarian
regeneration has recently highlighted their importance in flatworm (phylum
Platyhelminthes) development, but examination of their roles in the complex
development of the diverse parasitic groups has yet to be conducted. Here, we
characterise Wnt genes in the model tapeworm Hymenolepis microstoma and mine
genomic resources of free-living and parasitic species for the presence of Wnts
and downstream signalling components. We identify orthologs through a combination
of BLAST and phylogenetic analyses, showing that flatworms have a highly reduced
and dispersed complement that includes orthologs of only five subfamilies (Wnt1,
Wnt2, Wnt4, Wnt5 and Wnt11) and fewer paralogs in parasitic flatworms (5-6) than
in planarians (9). All major signalling components are identified, including
antagonists and receptors, and key binding domains are intact, indicating that
the canonical (Wnt/beta-catenin) and non-canonical (planar cell polarity and
Wnt/Ca(2+)) pathways are functional. RNA-Seq data show expression of all
Hymenolepis Wnts and most downstream components in adults and larvae with the
notable exceptions of wnt1, expressed only in adults, and wnt2 expressed only in
larvae. The distribution of Wnt subfamilies in animals corroborates the idea that
the last common ancestor of the Cnidaria and Bilateria possessed all contemporary
Wnts and highlights the extent of gene loss in flatworms.
PMID- 21892739
TI - Intravascular ultrasound assessment of the association between spatial
orientation of ruptured coronary plaques and remodeling morphology of culprit
plaques in ST-elevation acute myocardial infarction.
AB - The aim of this study was to assess the association between the spatial location
of plaque rupture and remodeling pattern of culprit lesions in acute anterior
myocardial infarction (MI). Positive remodeling suggests a potential surrogate
marker of plaque vulnerability, whereas plaque rupture causes thrombus formation
followed by coronary occlusion and MI. Intravascular ultrasound (IVUS) can
determine the precise spatial orientation of coronary plaque formation. We
studied 52 consecutive patients with acute anterior MI caused by plaque rupture
of the culprit lesion as assessed by preintervention IVUS. The plaques were
divided into those with and without positive remodeling. We divided the plaques
into three categories according to the spatial orientation of plaque rupture
site: myocardial (inner curve), epicardial (outer curve), and lateral quadrants
(2 intermediate quadrants). Among 52 plaque ruptures in 52 lesions, 27 ruptures
were oriented toward the epicardial side (52%), 18 toward the myocardial side
(35%), and 7 in the 2 lateral quadrants (13%). Among 35 plaques with positive
remodeling, plaque rupture was observed in 21 (52%) on the epicardial side, 12
(34%) on the myocardial side, and 2 (6%) on the lateral side. However, among 17
plaques without positive remodeling, plaque rupture was observed in 6 (35%), 6
(35%), and 5 (30%), respectively (p = 0.047). Atherosclerotic plaques with
positive remodeling showed more frequent plaque rupture on the epicardial side of
the coronary vessel wall in anterior MI than those without positive remodeling.
PMID- 21892740
TI - The correlation between the cardio-ankle vascular index (CAVI) and serum amyloid
A in asymptomatic Japanese subjects.
AB - Chronic inflammation has received a great deal of attention due to the role it
plays in cardiovascular disease (CVD). The cardio-ankle vascular index (CAVI) has
recently been developed to evaluate arterial stiffness. This index is independent
of blood pressure at the time that it is measured, making it a better measure for
clinical studies on the prevention of CVD. Information on the association of
serum amyloid A (SAA) with arterial stiffness in relatively healthy subjects is
still scarce. The aim of the present study was to investigate the potential
correlation between SAA and CAVI in asymptomatic Japanese subjects. In addition
to SAA and CAVI, data on smoking status, body mass index, blood pressure, and
serum/plasma biochemical indices such as glucose and total cholesterol were
collected in 387 nonmedicated and CVD-free adult subjects during a health check
examination (male/female 191/196, mean age 61.8 years). Among them, a randomly
selected subgroup of 256 subjects (male/female 133/123, mean age 62.4 years) had
a full dataset, including low-density lipoprotein cholesterol, high-density
lipoprotein cholesterol, triglyceride, and hemoglobin A1c. Among the whole
population, CAVI levels were significantly higher in males than in females [mean
8.5 +/- (SD 1.1) vs. 8.2 +/- 1.1, p < 0.05], while SAA levels were slightly but
nonsignificantly higher in females than in males [median 6.4 (interquartile range
4.0-9.3) MUg/mL vs. 5.1 (3.5-8.4)]. In a multiple linear regression analysis,
CAVI was weakly but significantly, independently, and positively correlated with
SAA (beta-coefficient 0.200, p < 0.01). The results of the same analyses for the
randomly selected subgroup were relatively similar to the findings for the whole
population. SAA may be a positive inflammatory factor associated with arterial
stiffness, and the clinical relevance and the biological mechanism for this
relationship should be established in future studies.
PMID- 21892741
TI - Dosimetric comparison of Linac-based (BrainLAB(r)) and robotic radiosurgery
(CyberKnife (r)) stereotactic system plans for acoustic schwannoma.
AB - A dosimetric comparison of linear accelerator (LA)-based (BrainLAB) and robotic
radiosurgery (RS) (CyberKnife) systems for acoustic schwannoma (Acoustic neuroma,
AN) was carried out. Seven patients with radiologically confirmed unilateral AN
were planned with both an LA-based (BrainLAB) and robotic RS (CyberKnife) system
using the same computed tomography (CT) dataset and contours. Gross tumour volume
(GTV) was contoured on post-contrast magnetic resonance imaging (MRI) scan
[planning target volume (PTV) margin 2 mm]. Planning and calculation were done
with appropriate calculation algorithms. The prescribed isodose in both systems
was considered adequate to cover at least 95% of the contoured target. Plan
evaluations were done by examining the target coverage by the prescribed isodose
line, and high- and low-dose volumes. Isodose plans and dose volume histograms
generated by the two systems were compared. There was no statistically
significant difference between the contoured volumes between the systems. Tumour
volumes ranged from 380 to 3,100 mm(3). Dose prescription was 13-15 Gy in single
fraction (median prescribed isodose 85%). There were no significant differences
in conformity index (CI) (0.53 versus 0.58; P = 0.225), maximum brainstem dose
(4.9 versus 4.7 Gy; P = 0.935), 2.5-Gy volume (39.9 versus 52.3 cc; P = 0.238) or
5-Gy volume (11.8 versus 16.8 cc; P = 0.129) between BrainLAB and CyberKnife
system plans. There were statistically significant differences in organs at risk
(OAR) doses, such as mean cochlear dose (6.9 versus 5.4 Gy; P = 0.001), mean
mesial temporal dose (2.6 versus 1.7 Gy; P = 0.07) and high-dose (10 Gy) volume
(3.2 versus 5.2 cc; P = 0.017). AN patients planned with the CyberKnife system
had superior OAR (cochlea and mesial temporal lobe) sparing compared with those
planned with the Linac-based system. Further evaluation of these findings in
prospective studies with clinical correlation will provide actual clinical
benefit from the dosimetric superiority of CyberKnife.
PMID- 21892742
TI - Evidence for involvement of ROCK signaling in bradykinin-induced increase in
murine blood-tumor barrier permeability.
AB - We have previously shown that activation of RhoA by bradykinin (BK) is associated
with cytoskeleton rearrangement, tight junction (TJ) protein disassembly, and an
increase in blood-tumor barrier (BTB) permeability in rat brain microvascular
endothelial cells (RBMECs). Subsequently, we investigated whether Rho-kinases
(ROCKs), a family of downstream effectors of activated RhoA known to stimulate F
actin rearrangement, play a key role in the above-mentioned processes in RBMECs.
Our study uses primary RBMECs as an in vitro BTB model and a specific ROCK
inhibitor (Y-27632) and ROCK II small interfering RNA (siRNA) to establish
whether ROCK plays a role in the process of TJ opening by BK. Y-27632 and ROCK II
siRNA could partially inhibit endothelial leakage and restored normal
transendothelial electric resistance (TEER) values in RBMECs. A shift in occludin
and claudin-5 distribution from insoluble to soluble fractions was prevented by Y
27632. Additionally, Y-27632 inhibited BK-induced relocation of occludin and
claudin-5 from cellular borders into the cytoplasm as well as stress fiber
formation in RBMECs. A time-dependent increase in phosphorylated myosin light
chain (p-MLC) and phosphorylated cofilin (p-cofilin) by BK was observed, which
was also inhibited by Y-27632. An increase in ROCK activity by BK was inhibited
by Y-27632. ROCK's contribution to BK-induced stress fiber formation is
associated with TJ disassembly and an increase in BTB permeability.
PMID- 21892743
TI - Cellular mechanisms in mitral valve disease.
AB - The mitral valve is a living structure comprised of specific structural
components which contain a heterogeneous population of cells. The cells include
an amalgam of interstitial cells within the valve and a continuous covering of
endothelial cells, each of which play a role in responding to the mechanical
forces that the valve experiences, to maintain the function and durability of the
valve. Attention on the characteristics and function of valve cells has focused
mainly on those in the aortic valve, with relatively few studies addressing the
role of these cells in the physiological and pathophysiological function of the
mitral valve. This article reviews what is known about the function of cells
within the mitral valve and how the changes in the physical and chemical
environments can affect their function in the different types of mitral valve
disease. Investigating the contribution of the cellular components of the mitral
valve to valve function in health and disease will aid the understanding of how
the durability and function of the valve are regulated, and possibly highlight
molecular and pharmacological targets for the development of novel treatments for
mitral valve disease.
PMID- 21892745
TI - EphB signaling inhibits gap junctional intercellular communication and
synchronized contraction in cultured cardiomyocytes.
AB - Eph receptors and ephrin ligands are membrane-bound cell-cell communication
molecules with important roles not only in development but also in the physiology
of many adult organs. However, their cellular localization and functions in the
myocardium are virtually unknown and therefore, we have investigated the
expression of EphB receptors and ephrin-B ligands in the rodent heart ventricles
and their functions in the rodent cardiomyocytes of primary culture. Examinations
by RT-PCR, immunohistochemistry and in situ hybridization revealed that the EphB
receptors are preferentially expressed in cardiomyocytes and ephrin-B ligands in
the vasculature in adult mouse heart ventricles. Interestingly, we found that
inducing high levels of EphB receptor activation in primary cultures of rodent
cardiomyocytes by stimulation with ephrin-B1-Fc desynchronized the contraction of
adjacent clusters of cardiomyocytes that had contracted synchronously before the
treatment. Co-immunoprecipitation experiments revealed that EphB4 physically
associates with connexin43, a major component of gap junctions in the myocardium,
and that EphB activation inhibits gap junctional intracellular communication
between cardiomyocytes. The present findings suggest that ephrin-B-EphB signaling
can modulate the electrical coupling of cardiomyocytes through effects on gap
junctions.
PMID- 21892746
TI - The potential effects of anti-diabetic medications on myocardial ischemia
reperfusion injury.
AB - Heart disease and stroke account for 65% of the deaths in people with diabetes
mellitus (DM). DM and hyperglycemia cause systemic inflammation, endothelial
dysfunction, a hypercoagulable state with impaired fibrinolysis and increased
platelet degranulation, and reduced coronary collateral blood flow. DM also
interferes with myocardial protection afforded by preconditioning and
postconditioning. Newer anti-diabetic agents should not only reduce serum glucose
and HbA1c levels, but also improve cardiovascular outcomes. The older
sulfonylurea agent, glyburide, abolishes the benefits of ischemic and
pharmacologic preconditioning, but newer sulfonylurea agents, such as
glimepiride, may not interfere with preconditioning. GLP-1 analogs and
sitagliptin, an oral dipeptidyl peptidase IV inhibitor, limit myocardial infarct
size in animal models by increasing intracellular cAMP levels and activating
protein kinase A, whereas metformin protects the heart by activating AMP
activated protein kinase. Both thiazolidinediones (rosiglitazone and
pioglitazone) limit infarct size in animal models. The protective effect of
pioglitazone is dependent on downstream activation of cytosolic phospholipase
A(2) and cyclooxygenase-2 with subsequent increased production of 15-epi-lipoxin
A(4), prostacyclin and 15-d-PGJ(2). We conclude that agents used to treat DM have
additional actions that have been shown to affect the ability of the heart to
protect itself against ischemia-reperfusion injury in preclinical models.
However, the effects of these agents in doses used in the clinical setting to
minimize ischemia-reperfusion injury and to affect clinical outcomes in patients
with DM have yet to be shown. The clinical implications as well as the mechanisms
of protection should be further studied.
PMID- 21892744
TI - Biomaterials to prevascularize engineered tissues.
AB - Tissue engineering promises to restore tissue and organ function following injury
or failure by creating functional and transplantable artificial tissues. The
development of artificial tissues with dimensions that exceed the diffusion limit
(1-2 mm) will require nutrients and oxygen to be delivered via perfusion (or
convection) rather than diffusion alone. One strategy of perfusion is to
prevascularize tissues; that is, a network of blood vessels is created within the
tissue construct prior to implantation, which has the potential to significantly
shorten the time of functional vascular perfusion from the host. The
prevascularized network of vessels requires an extracellular matrix or scaffold
for 3D support, which can be either natural or synthetic. This review surveys the
commonly used biomaterials for prevascularizing 3D tissue engineering constructs.
PMID- 21892747
TI - NF-kappaB in the paraventricular nucleus modulates neurotransmitters and
contributes to sympathoexcitation in heart failure.
AB - Findings from our laboratory indicate that proinflammatory cytokines and their
transcription factor, nuclear factor-kappaB (NF-kappaB), are increased in the
hypothalamic paraventricular nucleus (PVN) and contribute towards the progression
of heart failure. In this study, we determined whether NF-kappaB activation
within the PVN contributes to sympathoexcitation via interaction with
neurotransmitters in the PVN during the pathogenesis of heart failure. Heart
failure was induced in rats by left anterior descending coronary artery ligation.
Sham-operated control (SHAM) or heart failure rats were treated for 4 weeks
through bilateral PVN infusion with SN50, SN50M or vehicle via osmotic minipump.
Rats with heart failure treated with PVN vehicle or SN50M (inactive peptide for
SN50) had increased levels of glutamate, norepinephrine (NE), tyrosine
hydroxylase (TH), superoxide, gp91(phox) (a subunit of NAD(P)H oxidase),
phosphorylated IKKbeta and NF-kappaB p65 activity, and lower levels of gamma
aminobutyric acid (GABA) and the 67-kDa isoform of glutamate decarboxylase
(GAD67) in the PVN compared with those of SHAM rats. Plasma levels of cytokines,
norepinephrine, epinephrine and angiotensin II, and renal sympathetic nerve
activity (RSNA) were increased in heart failure rats. Bilateral PVN infusion of
SN50 prevented the decreases in PVN GABA and GAD67, and the increases in RSNA and
PVN glutamate, norepinephrine, TH, superoxide, gp91(phox), phosphorylated IKKbeta
and NF-kappaB p65 activity observed in vehicle or SN50M-treated heart failure
rats. A same dose of SN50 given intraperitoneally did not affect
neurotransmitters concentration in the PVN and was similar to vehicle-treated
heart failure rats. These findings suggest that NF-kappaB activation in the PVN
modulates neurotransmitters and contributes to sympathoexcitation in rats with
ischemia-induced heart failure.
PMID- 21892749
TI - Semirigid ureteroscopy: the effect of previous ipsilateral intraureteral
manipulations on stone clearance.
AB - We investigated whether previous intraureteral manipulations had an effect on the
stone-free rates (SFR) after semi-rigid ureteroscopy (URS) with pneumatic
lithotripsy. A retrospective review of all patients who were treated for ureteral
stones at two different institutions from June 2003 through January 2010 was
performed. Data of 161 URS procedures were analyzed. Stone size, location
(distal, mid and proximal) and number (single and multiple), patient demographics
and previous intraureteral manipulations were recorded. Patients were grouped as
having undergone a previous ipsilateral intraureteral manipulation (Group 1) or
not (Group 2). Stone location and number, stone clearance and ancillary
procedures were compared. There were no significant differences between Group 1
versus Group 2 for age (p > 0.05), gender (p > 0.05), stone site (p > 0.05) and
stone size (p > 0.05). Stones with multiple locations were more frequent in Group
1 (18.5%); however, the difference did not reach statistical significance between
the two groups. Similarly, the frequency of multiple stones was also higher in
Group 1 (29.6%). Stone site, diameter and gender were comparable in both groups.
Stone-free rate of all patients was 84.6% after the first intervention. This rate
increased to 98.1% after secondary procedures. Univariate analysis revealed that
SFR after URS were low in patients who underwent previous intraureteral
manipulations (Group 1:55.6% vs. Group 2:89.1%). SFR after the first intervention
were related with stone size, location and number. Additionally, multiple
logistic regression analysis indicated a relationship between previous
intraureteral manipulations and initial stone clearance rates. Spontaneous
passage of stone fragments after URS was associated with stone burden, location,
number and previous intraureteral manipulations. Further multiple logistic
regression analysis showed that only previous intraureteral manipulations were
associated with the expulsion of the stones left for passage.
PMID- 21892748
TI - Proteomic analyses of host and pathogen responses during bovine mastitis.
AB - The pursuit of biomarkers for use as clinical screening tools, measures for early
detection, disease monitoring, and as a means for assessing therapeutic responses
has steadily evolved in human and veterinary medicine over the past two decades.
Concurrently, advances in mass spectrometry have markedly expanded proteomic
capabilities for biomarker discovery. While initial mass spectrometric biomarker
discovery endeavors focused primarily on the detection of modulated proteins in
human tissues and fluids, recent efforts have shifted to include proteomic
analyses of biological samples from food animal species. Mastitis continues to
garner attention in veterinary research due mainly to affiliated financial losses
and food safety concerns over antimicrobial use, but also because there are only
a limited number of efficacious mastitis treatment options. Accordingly,
comparative proteomic analyses of bovine milk have emerged in recent years.
Efforts to prevent agricultural-related food-borne illness have likewise fueled
an interest in the proteomic evaluation of several prominent strains of bacteria,
including common mastitis pathogens. The interest in establishing biomarkers of
the host and pathogen responses during bovine mastitis stems largely from the
need to better characterize mechanisms of the disease, to identify reliable
biomarkers for use as measures of early detection and drug efficacy, and to
uncover potentially novel targets for the development of alternative
therapeutics. The following review focuses primarily on comparative proteomic
analyses conducted on healthy versus mastitic bovine milk. However, a comparison
of the host defense proteome of human and bovine milk and the proteomic analysis
of common veterinary pathogens are likewise introduced.
PMID- 21892750
TI - Angiogenesis in triple-negative adenoid cystic carcinomas of the breast.
AB - We compared microvascular density (MVD), lymph vessel density (LVD), and the
expression of hypoxia pathway-associated proteins between primary triple-negative
adenoid cystic carcinoma of the breast (TN-ACC) and grade-matched triple-negative
breast carcinomas of no special type (TNBC). Twelve TN-ACC and 15 TNBC were
investigated immunohistochemically for CD31, podoplanin (D2-40), von Hippel
Lindau protein (pVHL), and hypoxia-inducible factor-1alpha (HIF-1alpha) protein.
All cases were lymph node negative (pN0). The study revealed a median MVD (CD31)
of 34 vessels/mm(2) (mean +/- SD, 41.33 +/- 6.5/mm(2)) in the TN-ACC subgroup and
a median of 55 microvessels (mean +/- SD, 54.9 +/- 6.3/mm(2)) in the TNBC
subgroup. The median LVD (D2-40) was 10.5/mm(2) (mean +/- SD, 11.9 +/- 1.5/mm(2))
in the TN-ACC subgroup and 15.0/mm(2) (mean +/- SD, 16.9 +/- 2.5/mm(2)) lymph
vessels in the TNBC subgroup. The differences were not statistically significant
(P = 0.93, P = 0.67, respectively). pVHL was detectable in all TN-ACCs whereas
two cases of TNBC had less than 5% of the positive cells. HIF-1alpha protein
expression was significantly higher in the tumor cell population than in adjacent
normal cells in both subgroups (P = 0.009 for TNBC and P = 0.028 for TN-ACC,
respectively), but there was no significant difference between the two tumor
groups. Up-regulation of the hypoxia-induced signaling is seen in both TN-ACC and
grade-matched TNBC. Despite its perceived low malignant potential, TN-ACC of the
breast does not differ in the number of blood and lymphatic vessels in comparison
with the grade-matched TNBC. The reported biologic differences between TN-ACC and
TNBC do not appear to result from neoangiogenesis.
PMID- 21892751
TI - The role of tumor hypoxia in MUC1-positive breast carcinomas.
AB - Mucin 1 (MUC1) is a glycoprotein that is expressed on apical cell membranes in a
variety of normal tissues. MUC1 is involved in cell signaling, inhibition of cell
cell and cell matrix adhesion, apoptosis, proliferation, and transcription.
Hypoxia is an important factor that promotes cancer metastasis and stimulates
angiogenesis and tumor progression. Hypoxia inducible factor 1 (HIF-1alpha) and
carbonic anhydrase IX (CAIX) are two molecules that are involved in this process.
The role of hypoxia in MUC1+ invasive ductal breast carcinomas is not well
established. In this study, the expression of MUC1 was correlated with the
hypoxia-associated markers HIF-1alpha and CAIX, as well as several
immunohistochemical markers and clinicopathologic features of prognostic
significance in 243 invasive ductal carcinomas. MUC1 was overexpressed in 37.0%
of patients and correlated with the expression of estrogen receptor (p = 0.0001),
progesterone receptor (p = 0.0001), HIF-1alpha (p = 0.006), VEGF (p = 0.024), and
p53 (p = 0.025). In breast cancer, MUC1 expression has been associated with
increased degradation of inhibitor of NF-kappaB (IkappaBalpha), driving NF-kappaB
to the nucleus and blocking apoptosis and promoting cell survival. We analyzed NF
kappaB expression in MUC1+ breast carcinoma and found a very significant
relationship between these proteins (p = 0.0001). Our findings indicate that MUC1
may play a role in the regulation of hormone receptors by increasing the
inactivation of p53 and targeting NF-kappaB to the nucleus. Our data also support
the notion that activation of HIF-1alpha in MUC1+ breast carcinomas may modulate
VEGF expression, allowing a metabolic adaptation to hypoxia.
PMID- 21892752
TI - MTA1 expression correlates significantly with cigarette smoke in non-small cell
lung cancer.
AB - Metastasis tumor antigen 1 (MTA1), a novel candidate metastasis-associated gene,
is known to increase the migration and invasion of various tumor cells in vitro.
Expression of MTA1 has been shown to be closely correlated with aggressiveness in
a variety of human cancers including non-small cell lung cancer (NSCLC).
Cigarette smoke is the most established risk for lung carcinogenesis; however,
its effects on the progression of NSCLC are still unclear. In this study, we
investigated MTA1 expression and analyzed its association with cigarette smoke in
NSCLC by immunohistochemistry. To gain a deeper insight into the molecular
mechanism underlying the relation between MTA1 and cigarette smoke, we treated
the NSCLC cell lines with cigarette smoke extract (CSE). MTA1 mRNA levels and
proteins were detected in NSCLC cell lines via reverse transcriptase-polymerase
chain reaction (RT-PCR) and western blot analysis. Matrigel invasion assay was
performed to evaluate cell invasive ability with the treatment of CSE.
Immunohistochemical analysis showed MTA1 expression in NSCLC (61/96, 63.5%) was
higher than that in adjacent normal lung tissues (15/96, 15.6%; p < 0.05).
Moreover, it was significantly associated with smoking history (p < 0.05). The
results of RT-PCR and western blotting showed the upregulation of MTA1 after the
treatment of CSE in NSCLC cell lines. Matrigel invasion assays showed that MTA1
upregulation and cell invasion was accompanied with the treatment of CSE in the
NSCLC cell lines. MTA1 expression correlated with cigarette smoke in NSCLC and
suggested that it may play an important role in the smoked-related progress of
NSCLC.
PMID- 21892753
TI - Coronary artery calcium: utilization for primary prevention of CHD.
AB - The rapidly accumulating data supporting coronary artery calcium (CAC) has
necessitated multiple paradigm shifts in primary prevention: 1) CAC is the most
powerful predictor of cardiac risk in the asymptomatic primary prevention
population. 2) The most important role of risk factors may be to identify the
modifiable targets of risk reduction in patients with risk already established by
CAC. 3) "Normal cholesterol" values derived from population-based studies are not
relevant for individual patients. 4) Measures of subclinical atherosclerosis (ie,
serial CAC), rather than lipid values, define residual risk just as they define
pretreatment risk. 5) Randomized controlled trials are not a prerequisite for
implementation of CAC screening. 6) Trials evaluating lipid-treating drugs should
exclude patients with 0 CAC. 7) CAC is the most cost-effective primary prevention
approach.
PMID- 21892754
TI - Clinical significance of CA125 and CA72-4 in gastric cancer with peritoneal
dissemination.
AB - BACKGROUND: Serum tumor markers have been shown to correlate with the clinical
status of patients with advanced gastric cancer. However, the clinical
significance of each tumor marker in patients with peritoneal dissemination has
not been fully verified. METHODS: Four serum markers, carcinoembryonic antigen
(CEA), carbohydrate antigen (CA) 19-9, CA125, and CA72-4, were periodically
measured in 102 patients with peritoneal dissemination who received combination
intravenous and intraperitoneal chemotherapy. The initial values at diagnosis and
after treatment were analyzed in association with clinicopathological factors,
response to chemotherapy, and overall survival. RESULTS: The sensitivities of
CEA, CA19-9, CA125, and CA72-4 for peritoneal metastasis at the initial diagnosis
were 19, 36, 46, and 45%, respectively. The CA125 level was significantly
correlated with the degree of peritoneal dissemination and the existence of
malignant ascites. Patients with ovarian metastasis showed significantly higher
levels of CA72-4. The median survival time of patients with an elevated CA125
level was significantly shorter than that of patients with a normal CA125 level
(36.7 vs. 16.6 months, p < 0.001). Multivariate analysis showed that the degree
of peritoneal metastasis and an elevated CA125 level were independent prognostic
factors. Normalization of the CA125 level after 3 courses of chemotherapy was
correlated with reduced ascites and improved survival. CONCLUSIONS: Serum CA125
and CA72-4 are clinically useful markers in diagnosis, evaluating the efficacy of
chemotherapy, and predicting the prognosis of patients with peritoneal
dissemination. From an academic point of view, periodic measurements of these
markers are warranted in gastric cancer patients with possible peritoneal
dissemination.
PMID- 21892755
TI - Development and characterization of intron-flanking EST-PCR markers in rubber
tree (Hevea brasiliensis Muell. Arg.).
AB - With a long-term goal of constructing a linkage map enriched with gene-specific
markers in rubber tree (Hevea brasiliensis Muell. Arg.), we utilized rubber tree
ESTs associated with tapping panel dryness (TPD) to develop intron-flanking PCR
markers. After downloading and assembling the rubber tree ESTs associated with
TPD, we predicted the exon/exon junction sites (E/E) by aligning rubber tree
transcripts with the genomic sequences of castor bean (Ricinus communis L.).
Based on the predicted E/E, the primers flanking intron(s) and no intron were
designed. Compared with the markers designed by conventional method, the PCR
success rate of the markers designed with the predicted E/E increased 28-30%,
whereas the polymorphism rate of intron-flanking EST-PCR markers was
approximately 3.43-fold increase. Therefore, the intron-flanking marker was more
polymorphism-generating efficient than the markers designed by conventional
methods. In addition, analyzing the polymorphic information content (PIC) among
Hevea germplasm showed that the polymorphism of wild rubber tree accessions was
higher than one of cultivated rubber tree clones and Hevea species. This study
enriches the categories and numbers of molecular markers in rubber tree, and the
markers developed in this research will have a wide application in DNA
fingerprinting, marker-assisted selection and genetic mapping in rubber tree.
This research also indicates that it is possible to develop intron-flanking EST
PCR markers of rubber tree with castor bean genome as reference sequences, which
provides new insights into developing intron-flanking EST-PCR markers for rubber
tree or other plant species without genomic information.
PMID- 21892756
TI - Three new megastigmanes from the leaves of Annona muricata.
AB - Three new megastigmanes (1-3), named annoionols A and B (1, 2) and annoionoside
(3), were isolated from the leaves of Annona muricata L. (Annonaceae) together
with 14 known compounds (4-17). Among the known compounds, annoionol C (4) was
isolated from a natural source for the first time. The structures of all
compounds were elucidated by spectroscopic and chemical analyses.
PMID- 21892757
TI - Omega-3 fatty acids in food and pharma: the enabling role of biotechnology.
AB - Omega-3 fatty acid research, which began as an epidemiologic curiosity, has
generated perhaps the strongest dataset for any nutrient in regard to
cardiovascular disease risk reduction. Although once a relatively descriptive
field, advances in analytic techniques have opened up the biochemistry of omega-3
fatty acids and nutritional genomics in plants and man and have taken the field
into the "omic" era. Despite this progress, fundamental questions remain
unanswered, such as which fatty acid or metabolite thereof drives a given health
benefit, how much of a given fatty acid should we consume, and how do we best
source the requisite fatty acids? Of these questions, the ability to source omega
3 fatty acids in order to meet dietary guidelines has become a practical concern.
The advent of novel oils from plants and single cell organisms as enabled by
biotechnology may provide a solution to this problem and in the process open up
new uses for omega-3 fatty acids in dietary supplements and drugs.
PMID- 21892758
TI - Potential mechanisms of progranulin-deficient FTLD.
AB - Frontotemporal lobar dementia (FTLD) is the most common cause of dementia in
patients younger than 60 years of age, and causes progressive neurodegeneration
of the frontal and temporal lobes usually accompanied by devastating changes in
language or behavior in affected individuals. Mutations in the progranulin (GRN)
gene account for a significant fraction of familial FTLD, and in the vast
majority of cases, these mutations lead to reduced expression of progranulin via
nonsense-mediated mRNA decay. Progranulin is a secreted glycoprotein that
regulates a diverse range of cellular functions including cell proliferation,
cell migration, and inflammation. Recent fundamental discoveries about
progranulin biology, including the findings that sortilin and tumor necrosis
factor receptor (TNFR) are high affinity progranulin receptors, are beginning to
shed light on the mechanism(s) by which progranulin deficiency causes FTLD. This
review will explore how alterations in basic cellular functions due to PGRN
deficiency, both intrinsic and extrinsic to neurons, might lead to the
development of FTLD.
PMID- 21892759
TI - Sarcomatous transformation in the McCune-Albright syndrome.
AB - BACKGROUND: McCune-Albright syndrome is a relatively rare disease characterized
by the trio of fibrous dysplasia, cafe-au-lait pigmentation, and endocrine
disturbances. It predominantly affects females and may be associated with
sarcomatous degeneration in 0.4% to 4% of the cases. CASE REPORT: This article
reports on the case of a 24-year-old female patient who had presented a mass in
the oral cavity for 30 days, probably originating from the left ramus of the
mandible. She had a previous diagnosis of polyostotic fibrous dysplasia, along
with cafe-au-lait spots and endocrine disorders, thus characterizing McCune
Albright syndrome. Histopathological examination of a biopsy specimen revealed
osteosarcoma of the mandible. The patient underwent chemotherapy and a surgical
procedure for lesion resection. One year has now passed since the patient's
operation, and the disease is under control. DISCUSSION: The patient presented
sarcomatous degeneration in areas of fibrous dysplasia, consisting of mesenchymal
cells that produced osteoid. Malignant degeneration is rare when it is not
associated with McCune-Albright syndrome. There is no curative treatment for the
syndrome. Attending physicians need to bring endocrine disorders under control,
with surgical treatment in cases of significant deformities, as well as providing
clinical and psychological care.
PMID- 21892760
TI - Tau protein and beta-amyloid(1-42) CSF levels in different phenotypes of
Parkinson's disease.
AB - Parkinson's disease (PD) is a neurodegenerative disorder with highly
heterogeneous clinical manifestations. This fact has prompted many attempts to
divide PD patients into clinical subgroups. This could lead to a better
recognition of pathogenesis, improving targeted treatment and the prognosis of PD
patients. The aim of the present study was to obtain cerebrospinal fluid (CSF)
samples in PD patients and to search for a relationship between neurodegenerative
CSF markers (tau protein, beta-amyloid(1-42) and index tau protein/beta-amyloid(1
42)) and the clinical subtypes. PD patients were divided into three subgroups:
early disease onset (EDO), tremor-dominant PD (TD-PD), and non-tremor dominant PD
(NT-PD) according to the previously published classification. Neurodegenerative
markers in the CSF were assessed in these three groups of patients suffering from
PD (EDO-17, TD-15, NT-16 patients) and in a control group (CG) of 19 patients
suffering from non-degenerative neurological diseases and 18 patients with
Alzheimer's disease (AD). The NT-PD patients were found to have significantly
higher levels of CSF tau protein and index tau/beta than the control subjects and
other Parkinsonian subgroups, but no significant differences in these markers
were found between AD and NT-PD patients. In the context of more rapid clinical
progression and more pronounced neuropathological changes in the NT-PD patient
group, our results corroborate the opinion that CSF level of tau protein may be
regarded as a potential laboratory marker of the presence and severity of
neurodegeneration.
PMID- 21892761
TI - Occurrence and sources of bromate in chlorinated tap drinking water in
Metropolitan Manila, Philippines.
AB - Significant levels of potentially carcinogenic bromate were measured in
chlorinated tap drinking water in Metropolitan Manila, Philippines, using an
optimized ion-chromatographic method. This method can quantify bromate in water
down to 4.5 MUg l-1 by employing a postcolumn reaction with acidic fuchsin and
subsequent spectrophotometric detection. The concentration of bromate in tap
drinking water samples collected from 21 locations in cities and municipalities
within the 9-month study period ranged from 7 to 138 MUg l-1. The average bromate
concentration of all tap drinking water samples was 66 MUg l-1 (n = 567), almost
seven times greater than the current regulatory limit in the country. The levels
of bromate in other water types were also determined to identify the sources of
bromate found in the distribution lines and to further uncover contaminated
sites. The concentration of bromate in water sourced from two rivers and two
water treatment plants ranged from 15 to 80 and 12 to 101 MUg l-1, respectively.
Rainwater did not contribute bromate in rivers but decreased bromate level by
dilution. Groundwater and wastewater samples showed bromate concentrations as
high as 246 and 342 MUg l-1, respectively. Bromate presence in tap drinking water
can be linked to pollution in natural water bodies and the practice of using
hypochlorite chemicals in addition to gaseous chlorine for water disinfection.
This study established the levels, occurrence, and possible sources of bromate in
local drinking water supplies.
PMID- 21892762
TI - Evaluation of naturally occurring radioactive materials (NORMs) in inorganic and
organic oilfield scales from the Middle East.
AB - The distribution of natural nuclide gamma-ray activities and their respective
annual effective dose rates, produced by potassium-40 (40K), uranium-238 (238U),
thorium-232 (232Th), and radium-226 (226Ra), were determined for 14 oilfield
scale samples from the Middle East. Accumulated radioactive materials concentrate
in tubing and surface equipment, and workers at equipment-cleaning facilities and
naturally occurring radioactive materials (NORMs) disposal facilities are the
population most at risk for exposure to NORM radiation. Gamma-spectra analysis
indicated that photo-gamma lines represent the parents of 10 radioactive
nuclides: 234Th, plutonium-239, actinium-228, 226Ra, lead-212 (212Pb), 214Pb,
thallium-238 (208Tl), bismuth-212 (212Bi), 214Bi, and 40K. These nuclides
represent the daughters of the natural radioactive series 238U and 232Th with 40K
as well. The mean activity concentration of 238U, 232Th, and 40K were found to be
25.8 +/- 11.6, 18.3 +/- 8.1, and 4487.2 +/- 2.5% Bq kg-1 (average values for 14
samples), respectively. The annual effective dose rates and the absorbed doses in
air, both indoor and outdoor, for the samples were obtained as well. The results
can be used to assess the respective hazard on workers in the field and represent
a basis for revisiting current engineering practices.
PMID- 21892763
TI - Muscle invasion in oral tongue squamous cell carcinoma as a predictor of nodal
status and local recurrence: just as effective as depth of invasion?
AB - Tumor depth of invasion (DOI) is a histologic feature that consistently
correlates with lymph node metastasis; however, there are many difficulties with
accurately assessing DOI. The aim of this study was to identify a simpler and
more reproducible method of determining DOI, by using skeletal muscle invasion as
a surrogate marker of depth. Oral tongue squamous cell carcinoma American Joint
Committee on Cancer (AJCC) stage T1 cases were identified in the Emory University
Department of Pathology database. 61 cases, with a minimum of 2 years of follow
up, were included in the study. Cases were examined histologically to assess
muscle invasion and DOI. The two methods of measurement were analyzed to
determine the positive predictive value (PPV) of DOI or muscle invasion for both
nodal disease and local recurrence. Cases with muscle invasion had a 23.3% PPV of
occult lymph node metastasis. Cases with DOI of greater than 3 mm had a 29.7% PPV
of occult lymph node metastasis. Cases with muscle invasion had a 43.7% PPV of
local tumor recurrence. Cases with maximum DOI of greater than 3 mm had a 40.4%
PPV of tumor recurrence. Although the PPV of muscle invasion in regards to nodal
status was slightly less than DOI, it represents a more easily reproducible
parameter which could guide surgeons in determining if the case warrants an
elective neck dissection in a cN0 (clinically negative) neck. Interestingly, the
PPV of local recurrence was higher with muscle invasion than DOI, and may
represent an important indicator for extent of resection.
PMID- 21892764
TI - Clinical pathologic conference case 6: infantile myofibroma.
PMID- 21892765
TI - The high mitotic count detected by phospho-histone H3 immunostain does not alter
the benign behavior of angiocentric glioma.
AB - Angiocentric glioma (AG) has been formally codified in the revised 2007 WHO
Classification of Tumours of the Central Nervous System. AGs characteristically
exhibit mixed features of ependymal and diffuse astrocytic differentiation and
low proliferation rates, with Ki-67 labeling indices ranging from less than 1 to
5%. A single case with anaplastic recurrence and a labeling index of 10% has been
reported. In the present study, we report a series of three AGs (Case 1: 4-year
old girl at right frontal lobe; Case 2: 4-year-old boy at left frontal lobe; Case
3: 9-year-old boy at right temporal lobe). Case 1 with elevated proliferation
index (~10%) and increased mitotic activity (six mitoses per 10 high-power
fields) on phospho-histone H3 (pHH3) immunostain at presentation, nonetheless,
has shown protracted recurrence-free survival after 6 years of follow-up. So far,
this is the first report for evaluating the mitotic activity in AGs using pHH3
immunostain.
PMID- 21892766
TI - Determination of bovine rotavirus G serotype by polymerase chain reaction.
AB - A total of 113 diarrheic samples comprising of 68 buffalo calves and 45 cow
calves were screened by RNA-PAGE for the detection of presence of rotavirus. RNA
PAGE analysis of these samples revealed 11 (9.73%) was found positive for
rotavirus. Out of 68 faecal samples of buffalo calves tested for viral
gastroenteritis, 8 (11.76%) were found positive for rotavirus. Similarly, out of
45 faecal samples of cattle calves tested for viral gastroenteritis, 3 (6.66%)
was found positive for rotavirus. Rotavirus-positive samples represented long
electropherotype. All RNA-PAGE-positive faecal samples for rotavirus subjected to
RT-PCR for VP7 gene, ten samples yielded a specific product of 1,013 bp of VP7
gene. All the PCR-positive samples of the present study were subjected to
genotyping with primers for G6, G8 and G10 genotype. All positive samples showed
G10 genotype. This indicates that G10 may be predominant genotype among bovine
calves in Mumbai region in India.
PMID- 21892767
TI - Coping with arthritis is experienced as a dynamic balancing process. A
qualitative study.
AB - The aim of this study was to investigate the process of coping in people living
with chronic inflammatory arthritis. Semi-structured individual face-to-face
interviews with 26 persons having rheumatoid arthritis, psoriatic arthritis or
unspecified polyarthritis were performed. The informants were asked how they
experienced to live with arthritis and how they coped with challenges due to the
arthritis. The main finding was that the informants experienced the process of
coping with arthritis as a dynamic, iterative, balancing process. They balanced
between different states, entitled "go on as usual", "listen to the body",
"adjustments" and "attitude towards life". The informants preferred to be in a
"go on as usual" state as this was seen as normal life. However, disease
fluctuations with pain, fatigue and stiffness disturbed the balance and made the
informants "listen to the body", a state where they became aware of how the
disease affected them, followed by the "adjustment" state. Adjustments were
composed of different efforts to ease the arthritis influence and for regaining
balance. The "attitude towards life" influenced the overall process of coping. A
redefined view of what the informants considered to be normal life thus happened
through longer periods of imbalance. The process of coping with arthritis was
found to be a dynamic, iterative, balancing process where patients redefined what
they considered as normal life through the course of the disease.
PMID- 21892769
TI - SNP array-based whole genome homozygosity mapping as the first step to a
molecular diagnosis in patients with Charcot-Marie-Tooth disease.
AB - Considerable non-allelic heterogeneity for autosomal recessively inherited
Charcot-Marie-Tooth (ARCMT) disease has challenged molecular testing and often
requires a large amount of work in terms of DNA sequencing and data
interpretation or remains unpractical. This study tested the value of SNP array
based whole-genome homozygosity mapping as a first step in the molecular genetic
diagnosis of sporadic or ARCMT in patients from inbred families or outbred
populations with the ancestors originating from the same geographic area. Using
10 K 2.0 and 250 K Nsp Affymetrix SNP arrays, 15 (63%) of 24 CMT patients
received an accurate genetic diagnosis. We used our Java-based script eHoPASA CMT
easy Homozygosity Profiling of SNP arrays for CMT patients to display the
location of homozygous regions and their extent of marker count and base-pairs
throughout the whole genome. CMT4C was the most common genetic subtype with
mutations detected in SH3TC2, one (p.E632Kfs13X) appearing to be a novel founder
mutation. A sporadic patient with severe CMT was homozygous for the c.250G > C
(p.G84R) HSPB1 mutation which has previously been reported to cause autosomal
dominant dHMN. Two distantly related CMT1 patients with early disease onset were
found to carry a novel homozygous mutation in MFN2 (p.N131S). We conclude that
SNP array-based homozygosity mapping is a fast, powerful, and economic tool to
guide molecular genetic testing in ARCMT and in selected sporadic CMT patients.
PMID- 21892768
TI - Cooperation of deregulated Notch signaling and Ras pathway in human
hepatocarcinogenesis.
AB - Aberrant Notch signaling and Ras pathway had been highlighted a potential role
for in human cancers. Yet, relatively little was known about the roles of wild
type Notch signaling and Ras in human hepatocarcinogenesis. The aim of this study
was to investigate the roles of Ras-Notch signaling cooperation in hepatic cells
transformation and proliferation. Hepatocellular carcinoma specimens from 25
patients were analyzed for Notch-1, Ras and Late Simian Virus 40 Factor (LSF)
expression using immunohistochemistry. Results showed that Notch-1(76%, 19/25, P
< 0.0001), Ras (40%, 10/25, P < 0.01) and LSF (84%, 21/25, P < 0.0001) were
significantly up-regulated in hepatocellular carcinoma compared with non-cancer
samples. The correlations between the expression and the biological effects of
Notch1 and Ras were analyzed by genetic and pharmacological methods.
Constitutively active Notch1 alone failed to transform immortalized L02 cells in
vivo, it synergized with the Ras pathway to promote hepatic cells transformation.
However, their cooperation increased the levels of LSF mRNA and protein, which
stimulates L02 cells proliferation. These results exhibited highly aggressive
progression, suggesting that Notch-Ras cooperation maybe lead to poor prognosis.
Thus, combining the inhibition of the two pathways provided an attractive avenue
for therapeutic intervention to overcome this advanced disease.
PMID- 21892770
TI - Preserved myocardial blood flow in the apical region involved in takotsubo
cardiomyopathy by quantitative cardiac PET assessment.
PMID- 21892771
TI - Lectin affinity chromatography of articular cartilage fibromodulin: Some
molecules have keratan sulphate chains exclusively capped by alpha(2-3)-linked
sialic acid.
AB - Fibromodulin from bovine articular cartilage has been subjected to lectin
affinity chromatography by Sambucus nigra lectin which binds alpha(2-6)- linked N
acetylneuraminic acid, and the structure of the keratan sulphate in the binding
and non-binding fractions examined by keratanase II digestion and subsequent high
pH anion exchange chromatography. It has been confirmed that the keratan sulphate
chains attached to fibromodulin isolated from bovine articular cartilage may have
the chain terminating N-acetylneuraminic acid residue alpha(2-3)- or alpha(2-6)
linked to the adjacent galactose residue. Although the abundance of alpha(2-6)
linked N-acetylneuraminic acid (ca. 22%) is such that this could cap one of the
four chains in almost all fibromodulin molecules, it was found that ca. 34% of
the fibromodulin proteoglycan molecules from bovine articular cartilage were
capped exclusively with alpha(2-3)-linked N-acetylneuraminic acid. The remainder
of the fibromodulin proteoglycans, which bound to the lectin had a mixture of
alpha(2-3)- and alpha(2-6)-linked N-acetylneuraminic acid capping structures. The
keratan sulphates attached to fibromodulin molecules capped exclusively with
alpha(2-3)- linked N-acetylneuraminic acid were found to have a higher level of
galactose sulphation than those from fibromodulin with both alpha(2-3)- and
alpha(2-6)-linked N-acetylneuraminic acid caps, which bound to the Sambucus nigra
lectin. In addition, both pools contained chains of similar length (ca. 8-9
disaccharides). Both also contained alpha(1-3)-linked fucose, showing that this
feature does not co-distribute with alpha(2-6)-linked N-acetylneuraminic acid,
although these two features are present only in mature articular cartilage. These
data show that there are discrete populations of fibromodulin within articular
cartilage, which may have differing impacts upon tissue processes.
PMID- 21892773
TI - Screening, cultivation, and biocatalytic performance of Rhodococcus boritolerans
FW815 with strong 2,2-dimethylcyclopropanecarbonitrile hydratase activity.
AB - In this work, a mild, efficient bioconversion of 2,2
dimethylcyclopropanecarbonitrile (DMCPCN) to 2,2-dimethylcyclopropanecarboxamide
(DMCPCA) in distilled water system was developed. The isolate FW815 was screened
using the enrichment culture technique, displaying strong DMCPCN hydratase
activity, and was identified as Rhodococcus boritolerans based on morphological,
physiological, biochemical tests and 16S rRNA gene sequencing. Cultivation
outcomes indicated that R. boritolerans FW815 was a neutrophile, with a growth
optimum of 28-32 degrees C; its DMCPCN hydratase belonged to the Fe-type family,
and was most active at 38-42 degrees C, pH 7.0, with maximal activity of 4.51 *
10(4) U g(-1) DCW. R. boritolerans FW815 was found to be DMCPCA amidase-negative,
eliminating the contamination of dimethylcyclopropanecarboxylic acid. Moreover,
it displayed high activity and acceptable reusability in the non-buffered
distilled water system, comparable to those in pH 7.0 phosphate buffer (50.0 mmol
l(-1)).
PMID- 21892772
TI - Emerging roles of the SUMO pathway in development.
AB - Sumoylation is a reversible post-translational modification that targets a
variety of proteins mainly within the nucleus, but also in the plasma membrane
and cytoplasm of the cell. It controls diverse cellular mechanisms such as
subcellular localization, protein-protein interactions, or transcription factor
activity. In recent years, the use of several developmental model systems has
unraveled many critical functions for the sumoylation system in the early life of
diverse species. In particular, detailed analyses of mutant organisms in both the
components of the SUMO pathway and their targets have established the importance
of the SUMO system in early developmental processes, such as cell division, cell
lineage commitment, specification, and/or differentiation. In addition, an
increasing number of developmental proteins, including transcription factors and
epigenetic regulators, have been identified as sumoylation substrates.
Sumoylation acts on these targets through various mechanisms. For example, this
modification has been involved in converting a transcription factor from an
activator to a repressor or in regulating the localization and/or stability of
numerous transcription factors. This review will summarize current information on
the function of sumoylation in embryonic development in different species from
yeast to mammals.
PMID- 21892774
TI - Transforaminal injection of corticosteroids for lumbar radiculopathy: systematic
review and meta-analysis.
AB - BACKGROUND: Transforaminal epidural injection of steroids is used to treat lumbar
radicular pain. However, there are only a few well-designed randomized,
controlled studies on the effectiveness of steroid injection. STUDY DESIGN:
Hence, this study aims to assess the effectiveness of steroid injection to treat
lumbar radicular pain using a meta-analysis of transforaminal epidural injection
therapy for low back and lumbar radicular pain. The comparison was based on the
mean difference in the Visual Analogue Score (VAS) and Oswestry Disability Index
(ODI) from baseline to the specified followed up. METHODS: The available
literature of lumbar transforaminal epidural injections in managing low back and
radicular pain was reviewed. Data sources included relevant literature of the
English language identified through searches of PubMed and EMBASE from 1966 to
2009, and manual searches of the bibliographies of known primary and review
articles. Finally, the search included the Current Controlled Trials Register and
the Cochrane Database of Controlled Trials. RESULTS: The initial search
identified 126 papers. After screening, five randomised controlled trials (RCTs)
were studied for analysis and only three of these had followed-up patients
systematically with pain and disability outcome scores to 3 months and of these,
only one had follow up to 12 months. A total of 187 patients ('treatment group'
receiving local anaesthetic/steroid injection) were compared with 181 patients
('control' group, receiving local anaesthetic only or saline injection).
Improvement in pain (standardised mean difference in VAS 0.2 in favour of
'treatment'; 95%CI: -0.41 to 0.00, p = 0.05, I squared 0%) but not disability
(standardised mean difference in ODI 0; 95%CI: -0.21 to 0.20, p = 0.99, I squared
0%) was observed between 'treatment' and 'control' groups; these differences were
not significant. Additionally, the one study following patients to 12 months did
not find any significant difference in VAS and ODI between treatment and control
groups. CONCLUSION: The current meta-analysis shows that transforaminal epidural
steroid injections, when appropriately performed, should result in an improvement
in pain, but not disability. The three RCTs that followed patients to 3 months
(and the single study to 12 months) have found no benefit by the addition of
steroids. The limitations of this study include the paucity of the available
literature.
PMID- 21892775
TI - Arachnoid ossificans containing metaplastic hematopoietic marrow resulting in
diffuse thoracic intrathecal cysts and severe myelopathy.
AB - OBJECTIVE: To present a rare case of multiple compressive thoracic intradural
cysts with pathologic arachnoid ossification, review the literature and present
the surgical options. Few reports have identified the existence of arachnoid
calcifications and intrathecal cysts causing progressive myelopathy. The
literature regarding each of these pathologies is limited to case reports. Their
clinical significance is not well studied, although known to cause neurologic
sequelae. METHODS: An 81-year-old female clinically presents with rapidly
progressive myelopathy. Pre-operative magnetic resonance imaging identified
multiple compressive thoracic intrathecal cysts. Surgical exploration and
decompression of these cysts identified calcified plaques within the arachnoid.
Histopathologic examination revealed fibrocalcific tissue undergoing ossification
with bone marrow elements. RESULTS: Due to progressive myelopathy, the thoracic
cysts were decompressed and calcified plaques were excised, once identified intra
operatively. CONCLUSIONS: On last examination, the patient's neurologic status
had not improved, but had stabilized. The rate of neurologic improvement from
excision and decompression is variable, but it may still be warranted in the face
of progressive neurologic deficits.
PMID- 21892776
TI - Very low mitochondrial diversity and genetic homogeneity in the starfish
Echinaster sepositus along the Tunisian coast.
PMID- 21892777
TI - Subtle deficits of cognitive theory of mind in unaffected first-degree relatives
of schizophrenia patients.
AB - Alterations of theory of mind (ToM) and empathy were implicated in the formation
of psychotic experiences, and deficits in psychosocial functioning of
schizophrenia patients. Inspired by concepts of neurocognitive endophenotypes,
the existence of a distinct, potentially neurobiologically based social-cognitive
vulnerability marker for schizophrenia is a matter of ongoing debate. The fact
that previous research on social-cognitive deficits in individuals at risk
yielded contradictory results may partly be due to an insufficient
differentiation between qualitative aspects of ToM. Thirty-four unaffected first
degree relatives of schizophrenia patients (21 parents, 8 siblings, 5 children;
f/m: 30/4; mean age: 48.1 +/- 12.7 years) and 34 controls subjects (f/m: 25/9;
mean age: 45.9 +/- 10.9 years) completed the 'Movie for the Assessment of Social
Cognition'-a video-based ToM test-and an empathy questionnaire (Interpersonal
Reactivity Index, IRI). Outcome parameters comprised (1) 'cognitive' versus
'emotional' ToM, (2) error counts representing 'undermentalizing' versus
'overmentalizing', (3) empathic abilities and (4) non-social neurocognition.
MANCOVA showed impairments in cognitive but not emotional ToM in the relatives'
group, when age, gender and neurocognition were controlled for. Relatives showed
elevated error counts for 'undermentalizing' but not for 'overmentalizing'. No
alterations were detected in self-rated dimensions of empathy. Of all measures of
ToM and empathy, only the IRI subscale 'fantasy' was associated with measures of
psychotic risk, i.e. a history of subclinical delusional ideation. The present
study confirmed subtle deficits in cognitive, but not emotional ToM in first
degree relatives of schizophrenia patients, which were not explained by global
cognitive deficits. Findings corroborate the assumption of distinct social
cognitive abilities as an intermediate phenotype for schizophrenia.
PMID- 21892778
TI - The schizophrenia risk gene ZNF804A influences the antipsychotic response of
positive schizophrenia symptoms.
AB - Genetic factors determining the response to antipsychotic treatment in
schizophrenia are poorly understood. A new schizophrenia susceptibility gene, the
zinc-finger gene ZNF804A, has recently been identified. To assess the
pharmacogenetic importance of this gene, we treated 144 schizophrenia patients
and assessed the response of positive and negative symptoms by PANSS. Patients
homozygous for the ZNF804A risk allele for schizophrenia (rs1344706 AA) showed
poorer improvement of positive symptoms (7.35 +/- 0.46) compared to patients with
a protective allele (9.41 +/- 0.71, P = 0.022). This provides further evidence
that ZNF804A is of functional relevance to schizophrenia and indicates that
ZNF804A may be a novel target for pharmacological interventions.
PMID- 21892779
TI - Accuracy of stroke volume variation in predicting fluid responsiveness: a
systematic review and meta-analysis.
AB - PURPOSE: Stroke volume variation (SVV) appears to be a good predictor of fluid
responsiveness in critically ill patients. However, a wide range of its
predictive values has been reported in recent years. We therefore undertook a
systematic review and meta-analysis of clinical trials that investigated the
diagnostic value of SVV in predicting fluid responsiveness. METHODS: Clinical
investigations were identified from several sources, including MEDLINE, EMBASE,
WANFANG, and CENTRAL. Original articles investigating the diagnostic value of SVV
in predicting fluid responsiveness were considered to be eligible. Participants
included critically ill patients in the intensive care unit (ICU) or operating
room (OR) who require hemodynamic monitoring. RESULTS: A total of 568 patients
from 23 studies were included in our final analysis. Baseline SVV was correlated
to fluid responsiveness with a pooled correlation coefficient of 0.718. Across
all settings, we found a diagnostic odds ratio of 18.4 for SVV to predict fluid
responsiveness at a sensitivity of 0.81 and specificity of 0.80. The SVV was of
diagnostic value for fluid responsiveness in OR or ICU patients monitored with
the PiCCO or the FloTrac/Vigileo system, and in patients ventilated with tidal
volume greater than 8 ml/kg. CONCLUSIONS: SVV is of diagnostic value in
predicting fluid responsiveness in various settings.
PMID- 21892781
TI - Chemoresistance to temozolomide in human glioma cell line U251 is associated with
increased activity of O6-methylguanine-DNA methyltransferase and can be overcome
by metronomic temozolomide regimen.
AB - Temozolomide (TMZ) is a novel cytotoxic alkylating agent for chemotherapy of
malignant gliomas. However, intrinsic or acquired resistance to TMZ often defines
poor efficacy of chemotherapy in malignant gliomas. A growing number of studies
indicate that expression of O(6)-methylguanine-DNA methyltransferase (MGMT) is
one of the principal mechanisms responsible for this chemoresistance. In the
present study, we evaluated the relationship between expression of MGMT and
resistance to TMZ. We generated a TMZ-resistant cell line, U251/TR, by stepwise
(8 months) exposure of parental U251 cells to TMZ. The resistance to TMZ was
quantified using SRB assay. MGMT expression was evaluated at mRNA (RT-PCR) and
protein (Western blot) levels. U251/TR cells showed increased (~ sevenfold)
resistance to TMZ. The MGMT expression (both mRNA and protein) was significantly
(P < 0.01) increased in U251/TR cells compared with parental U251 cells. Further,
MGMT expression fluctuated during exposure of U251/TR cells to TMZ. The
resistance of U251/TR cells to TMZ could be overcome by application of elevated
doses of TMZ when MGMT expression was at the lowest level. In conclusion, our
results demonstrate that the primary mechanism responsible for resistance of
U251/TR cells to TMZ is associated with increased expression of MGMT. Resistance
of malignant gliomas to TMZ can be overcome by synchronizing metronomic TMZ
regimen with MGMT expression.
PMID- 21892780
TI - Models for anti-tumor activity of bisphosphonates using refined topochemical
descriptors.
AB - An in silico approach comprising of decision tree (DT), random forest (RF) and
moving average analysis (MAA) was successfully employed for development of models
for prediction of anti-tumor activity of bisphosphonates. A dataset consisting of
65 analogues of both nitrogen-containing and non-nitrogen-containing
bisphosphonates was selected for the present study. Four refinements of eccentric
distance sum topochemical index termed as augmented eccentric distance sum
topochemical indices 1-4 [formula: see text] have been proposed so as to
significantly augment discriminating power. Proposed topological indices (TIs)
along with the exiting TIs (>1,400) were subsequently utilized for development of
models for prediction of anti-tumor activity of bisphosphonates. A total of 43
descriptors of diverse nature, from a large pool of molecular descriptors,
calculated through E-Dragon software (version 1.0) and an in-house computer
program were selected for development of suitable models by employing DT, RF and
MAA. DT identified two TIs as most important and classified the analogues of the
dataset with an accuracy of 97% in training set and 90.7% in tenfold cross
validated set. Random forest correctly classified the analogues with an accuracy
of 89.2%. Four independent models developed through MAA predicted the activity of
analogues of the dataset with an accuracy of 87.6% to 89%. The statistical
significance of proposed models was assessed through intercorrelation analysis,
specificity, sensitivity and Matthew's correlation coefficient. The proposed
models offer a vast potential for providing lead structures for development of
potent anti-tumor agents for treatment of cancer that has spread to the bone.
PMID- 21892782
TI - Low-intensity international normalized ratio (INR) oral anticoagulant therapy in
Chinese patients with mechanical heart valve prostheses.
AB - The purpose of this study was to define the optimal international normalized
ratio (INR) intensity of oral anticoagulant therapy in Chinese patients with
valve replacement surgery. We studied 1,658 patients who underwent mechanical
valve replacement in Beijing Anzhen Hospital; the focus of the study was on
correlation between intensity of anticoagulant therapy and
thromboembolism/hemorrhage complications. We further followed up 1,508 patients
for 46 +/- 16 months (range 1-61 months). Average INR was 2.13 +/- 0.56, and
warfarin dose was 3.09 +/- 0.85 mg/day. The incidence rate of anticoagulation
related thromboembolism was 1.17 per 100 patient-years (%/pt-y), and the
incidence rate of anticoagulation-related hemorrhage was 2.02%/pt-y. The
incidence rate of total complications (i.e., combined thromboembolism and
hemorrhages) was 3.24%/pt-y. The rate of total complications in group on INR 1.3
2.3 (aortic valve replacement: 1.3-1.8; mitral valve replacement and double valve
replacement: 1.8-2.3) was the lowest among all anticoagulant therapy regimens
followed. In conclusion, the relatively low anticoagulant strategy presented
above efficiently prevents thrombosis and hemorrhage complications.
PMID- 21892783
TI - Effects of divided attention on swallowing in healthy participants.
AB - Swallowing impairments are treated mostly behaviorally. It is requisite to
understand the relationship of cognition, specifically attention, with swallowing
since so many swallowing impairments occur concomitantly with cognitive
disorders. This study examined the hypothesis that attentional resources are
required during swallowing. The approach involved a dual-task, reaction time (RT)
paradigm in ten healthy, nonimpaired participants. Baseline measures were
obtained of the duration of the anticipatory phase and of the oropharyngeal phase
of swallowing and the RTs to nonword auditory stimuli. A dual-task then required
participants to swallow 5 ml of water from an 8-oz. cup while listening for a
target nonword presented auditorily during the anticipatory or the oropharyngeal
phase. Target stimuli were randomized across baseline and dual-task trials.
Duration of the anticipatory phase and of the oropharyngeal phase of swallowing
and duration of the RT baseline trial and of the dual-task trial were determined.
Results showed a statistically significant increase in speed of the anticipatory
phase, relative to the oropharyngeal phase, for swallowing during the dual-task.
RTs were slowed for both the anticipatory and the oropharyngeal phase during the
dual-task, although neither of these was statistically significant. Clinical
implications of these data suggest that disruptive stimuli in the environment to
nonimpaired individuals may alter feeding but have little effect on the
oropharyngeal swallow.
PMID- 21892784
TI - LC-PUFA biosynthesis in rainbow trout is substrate limited: use of the whole body
fatty acid balance method and different 18:3n-3/18:2n-6 ratios.
AB - Five experimental diets with constant total C(18) PUFA and varying 18:3n-3/18:2n
6 ratios were fed to rainbow trout over an entire production cycle. The whole
body fatty acid balance method demonstrated a clear trend of progressively
reduced fatty acid bioconversion activity along the n-3 and n-6 pathways, up to
the production of 20:5n-3 and 20:4n-6, respectively. This suggests that the
pathway exhibits a "funnel like" progression of activity rather than the
existence of a single rate limiting step. The production of 22:5n-3 and 22:6n-3
was more active than that of 20:5n-3. However, despite this trend in reduced
apparent in vivo net enzyme activity, the efficiency of the various bioconversion
steps (measured as % of bioconverted substrate) confirmed an opposing trend. A
3.2-fold higher Delta-6 desaturase affinity towards 18:3n-3 over 18:2n-6 and an 8
fold greater Delta-5 desaturase affinity towards 20:4n-3 over 20:3n-6 were
recorded. The main results of the study were that (1) rainbow trout are quite
efficient at bioconverting 18:3n-3 to 22:6n-3, and (2) the LC-PUFA biosynthetic
pathway is substrate limited. Fillet n-3 LC-PUFA concentrations increased with
the increasing dietary supply of 18:3n-3. Despite an almost identical dietary
supply of n-3 LC-PUFA, originating from the fish meal fraction of the diets, the
fillets of trout fed the diet richest in 18:3n-3 were 2-fold higher in n-3 LC
PUFA than fish fed low 18:3n-3 diets. Nevertheless, fillets of trout fed a fish
oil control diet contained more than double the amount of n-3 LC-PUFA compared to
fish fed the diets richest in 18:3n-3.
PMID- 21892785
TI - Therapeutic options for acute cough due to upper respiratory infections in
children.
AB - Cough due to upper respiratory tract infections (URIs) is one of the most
frequent complaints encountered by pediatric health-care providers, and one of
the most disruptive symptoms for children and families. Despite the frequency of
URIs, there is limited evidence to support the few therapeutic agents currently
available in the United States (US) to treat acute cough due to URI. Published,
well-designed, contemporary research supporting the efficacy of narcotics
(codeine, hydrocodone) and US Food and Drug Administration (FDA)-approved over
the-counter (OTC) oral antitussives and expectorants (dextromethorphan,
diphenhydramine, chlophedianol, and guaifenesin) is absent for URI-associated
pediatric cough. Alternatively, honey and topically applied vapor rubs may be
effective antitussives.
PMID- 21892786
TI - Characterization of new Alternaria alternata--specific rat monoclonal antibodies.
AB - In this study, three different rat hybridoma cell lines secreting monoclonal
antibodies (mAbs) recognizing the spores from Alternaria alternata, a plant
pathogenic fungus, contaminant of food products and important cause of both
allergic rhinitis and asthma, have been characterized. These three mAbs are all
of IgM isotype. Two antibodies, A1 and F10, were cross-reactive antibodies
recognizing spores from Alternaria, Cladosporium, Penicillium, Aspergillus and
Stachybotrys genera, but not the yeasts Saccharomyces cerevisiae or Candida
albicans. Competitive and sandwich assays demonstrated that these two mAbs were
directed against the same or very close repetitive(s) epitope(s). A1-based
sandwich ELISA efficiently detected this epitope in various mould (but not yeast)
soluble extracts prepared from strains grown in the laboratory. Moreover, this A1
based sandwich ELISA detected its cognate epitope in air and dust samples
obtained from dwellings. The third antibody, E5, recognized only the spores of
Alternaria and the phylogenetically very close Ulocladium botrytis. This E5
antibody is directed against a repetitive epitope found in Alternaria and
Ulocladium laboratory extracts and can be used in a sandwich assay for the
quantification of these moulds. Therefore, E5 antibody is a promising tool for
the development of Alternaria-Ulocladium-specific immunoassays, while A1 and F10
could be interesting tools for the quantification of the total mould biomass.
PMID- 21892788
TI - Three-dimensional in vivo motion analysis of normal knees using single-plane
fluoroscopy.
AB - BACKGROUND: Analysis of the movement of anatomically defined reference axes at
the femoral condyles relative to the tibia is appropriate for evaluating knee
kinematics. However, such parameters have been previously employed only in
studies utilizing stop-motion techniques. The purpose of this study was to
evaluate in vivo dynamic kinematics for full range of motion in normal knees
using the three-dimensional to two-dimensional registration technique and to
compare them with previously reported normal knee kinematics obtained via stop
motion techniques. METHODS: Dynamic motion of the right knee was analyzed in 20
healthy volunteers (10 female, 10 male; mean age 37.2 years). Knee motion was
observed when subjects squatted from standing with the knee fully extended to
maximum flexion. We determined the following parameters: (1) changes to angles of
the geometric center axis (GCA) on the tibial axial plane (rotation angle); (2)
anteroposterior translations of the medial and lateral ends of the GCA; and (3)
motion patterns in each phase during knee flexion. RESULTS: All subjects
exhibited femoral external rotation (26.1 degrees ) relative to the tibia
throughout knee flexion. The medial femoral condyle demonstrated anterior
translation (5.5 mm) from full extension to 100 degrees flexion, and
demonstrated posterior translation (3.9 mm) after 100 degrees , while the lateral
femoral condyle demonstrated consistent posterior translation (15.6 mm)
throughout knee flexion. All subjects showed medial pivot motion from full
extension to nearly 120 degrees flexion. From 120 degrees flexion, bicondylar
rollback motion was observed. DISCUSSION: Although the behavior of the medial
femoral condyle in our analysis differed somewhat from that seen in previous
cadaver studies, the results obtained using dynamic analysis were generally
equivalent to those obtained in previous studies employing stop-motion
techniques. These results provide control data for future dynamic kinematic
analyses of pathological knees.
PMID- 21892787
TI - Influence of hyaluronic acid on bacterial and fungal species, including
clinically relevant opportunistic pathogens.
AB - Hyaluronic acid (HA) has several clinical applications (aesthetic surgery,
dermatology, orthopaedics and ophtalmology). Following recent evidence,
suggesting antimicrobial and antiviral properties for HA, we investigated its
effects on 15 ATCC strains, representative of clinically relevant bacterial and
fungal species. The in vitro system employed allowed to assess optical density of
broth cultures as a measure of microbial load in a time-dependent manner. The
results showed that different microbial species and, sometimes, different strains
belonging to the same species, are differently affected by HA. In particular,
staphylococci, enterococci, Streptococcus mutans, two Escherichia coli strains,
Pseudomonas aeruginosa, Candida glabrata and C. parapsilosis displayed a HA dose
dependent growth inhibition; no HA effects were detected in E. coli ATCC 13768
and C. albicans; S. sanguinis was favoured by the highest HA dose. Therefore, the
influence of HA on bacteria and fungi warrants further studies aimed at better
establishing its relevance in clinical applications.
PMID- 21892789
TI - The 800-nm diode laser irradiation induces skin collagen synthesis by stimulating
TGF-beta/Smad signaling pathway.
AB - The 800-nm diode laser is used clinically for hair removal and leg vein
clearance. However, the effects of the laser on skin collagen synthesis have not
been established. This study aims to research whether the 800-nm laser can be
used for non-ablative rejuvenation and its possible mechanism by using an animal
model. Eight 2-month-old rats were irradiated with the 800-nm diode laser at 20,
40, and 60 J/cm(2), respectively. Skin samples were taken for histological study
and dermal thickness measurement at day 30 after laser irradiation. The
expression of procollagen type I, III, IV, transforming growth factor-beta (TGF
beta), Smad2, 3, 4, and phosphorylated-Smad2, 3 in the rat skin was analyzed 24 h
after completing all laser treatments by using RT-PCR and Western blot.
Immunohistochemistry was performed to evaluate the content of type I collagen in
the skin at day 30 after laser irradiation. The 800-nm diode laser treatments
markedly improved the histological structure and increased dermal thickness
compared to the non-irradiated controls. Laser irradiation at 40 J/cm(2)
significantly up-regulated the expression of procollagen type I and IV, TGF-beta
and Smad2, 3, 4. The p-Smad2 and p-Smad3 levels were also enhanced in the laser
irradiated skin. The 800-nm laser is effective in improving skin structure and
inducing skin new collagen expression. New collagen synthesis induced by the 800
nm laser was mediated by TGF-beta/Smad signaling pathway. Thus, it seemed that
the 800-nm laser could be used for non-ablative rejuvenation in the future.
PMID- 21892790
TI - Response of dietary substitution of fishmeal with various protein sources on
growth, body composition and blood chemistry of olive flounder (Paralichthys
olivaceus, Temminck & Schlegel, 1846).
AB - Animal and/or plant protein sources substituting fishmeal in the diets keep being
developed due to its high price. The purpose of this study is to determine
response of dietary substitution of fishmeal with silkworm pupae meal, promate
meal(r), meat and bone meal and/or their combination on the performance of
juvenile olive flounder. A 60% fish meal was used as the main protein source,
used as the control (Con) diet. The 10 and 20% fishmeal were substituted with
silkworm pupae meal and meat and bone meal, referred to as the SPM10, SPM20,
MBM10 and MBM20 diets, respectively. And the 10, 20 and 40% fishmeal were
substituted with promate meal(r), referred to as the PM10, PM20 and PM40 diets,
respectively. Finally, the 10 and 20% fishmeal were substituted with combined
silkworm pupae meal and promate meal(r), refereed to as the SPM + PM10 and SPM +
PM20 diets, respectively. Weight gain and specific growth rate of fish fed the
MBM10 diet were higher than those of fish fed the Con, SPM20, PM20, PM40 and SPM
+ PM20 diets. Feed efficiency ratio of fish fed the SPM10, MBM10, MBM20, PM10 and
SPM + PM10 diets was higher than that of fish fed the SPM + PM20 and PM40 diets.
Protein efficiency ratio of fish fed the MBM10 and MBM20 diets was higher than
that of fish fed the SPM20, PM20, PM40 and SPM + PM20 diets. In conclusion,
dietary substitution of fishmeal with 10% SPM, 20% MBM, 10% PM and 10% SPM + PM
could be made.
PMID- 21892791
TI - Recoding preventive exposures to get valid measures of interaction on an additive
scale.
PMID- 21892792
TI - Differential gene expression analysis in Enchytraeus albidus exposed to natural
and chemical stressors at different exposure periods.
AB - The soil oligochaete Enchytraeus albidus is a standard test organism used in
biological testing for Environmental Risk Assessment (ERA). Although effects are
known at acute and chronic level through survival, reproduction and avoidance
behaviour endpoints, very little is known at the sub-cellular and molecular
levels. In this study, the effects of soil properties (clay, organic matter and
pH) and of the chemicals copper and phenmedipham were studied on E. albidus gene
expression, during exposure periods of 2, 4 and 21 days, using DNA microarrays
based on a normalised cDNA library for this test species (Amorim et al. 2011).
The main objectives of this study were: (1) to assess changes in gene expression
of E. albidus over time, and (2) to identify molecular markers for natural and
chemical exposures. Results showed an influence of exposure time on gene
expression. Transcriptional responses to phenmedipham were seen at 2 days while
the responses to copper and the different soils were more pronounced at 4 days of
exposure. Some genes were differentially expressed in a stress specific manner
and, in general, the responses were related with effects in the energy metabolism
and cell growth.
PMID- 21892793
TI - Progress in cell grafting therapy for temporal lobe epilepsy.
AB - Temporal lobe epilepsy (TLE), exemplified by complex partial seizures, is
recognized in ~30% of epileptic patients. Seizures in TLE are associated with
cognitive dysfunction and are resistant to antiepileptic drug therapy in ~35% of
patients. Although surgical resection of the hippocampus bestows improved seizure
regulation in most cases of intractable TLE, this choice can cause lasting
cognitive deficiency and reliance on antiepileptic drugs. Thus, alternative
therapies that are proficient in both containing the spontaneous recurrent
seizures and reversing the cognitive dysfunction are needed. The cell
transplantation approach is promising in serving as an adept alternate therapy
for TLE, because this strategy has shown the capability to curtail
epileptogenesis when used soon after an initial precipitating brain injury, and
to restrain spontaneous recurrent seizures and improve cognitive function when
utilized after the occurrence of TLE. Nonetheless, this treatment needs further
advancement and rigorous evaluation in animal prototypes of chronic TLE before
the conceivable clinical use. It is especially vital to gauge the efficacy of
distinct donor cell types, such as the hippocampal precursor cells, gamma
aminobutyric acid-ergic progenitors, and neural stem cells derived from diverse
human sources (including the embryonic stem cells and induced pluripotent stem
cells) for longstanding seizure suppression using continuous
electroencephalographic recordings for prolonged periods. Additionally, the
identification of the mechanisms underlying the graft-mediated seizure
suppression and improved cognitive function, and the development of apt grafting
strategies that enhance the anti-seizure and pro-cognitive effects of grafts will
be necessary. The goal of this review is to evaluate the progress made hitherto
in this area and to discuss the prospect for cell-based therapy for TLE.
PMID- 21892794
TI - Cys-Ph-TAHA: a lanthanide binding tag for RDC and PCS enhanced protein NMR.
AB - Here we present Cys-Ph-TAHA, a new nonadentate lanthanide tag for the
paramagnetic labelling of proteins. The tag can be easily synthesized and is
stereochemically homogenous over a wide range of temperatures, yielding NMR
spectra with a single set of peaks. Bound to ubiquitin, it induced large residual
dipolar couplings and pseudocontact shifts that could be measured easily and
agreed very well with the protein structure. We show that Cys-Ph-TAHA can be used
to label large proteins that are biochemically challenging such as the Lac
repressor in a 90 kDa ternary complex with DNA and inducer.
PMID- 21892795
TI - Complicated grief and need for professional support in family caregivers of
cancer patients in palliative care: a longitudinal cohort study.
AB - OBJECTIVES: There is little research on complicated grief (CG) in family
caregivers in palliative care. The aim of the study was to assess the levels of
complicated grief and depression in family caregivers after the death of a
relative with cancer, to identify their need for support, to compare the
palliative team staff's risk assessment of the relatives' grief reaction with
measured levels of CG and depression, and to assess the use of bereavement
support. METHODS: All 114 eligible family caregivers to deceased patients treated
in a palliative care unit in the year 2006 were asked to participate in the
study, and 87 (77%) accepted. The participants completed a postal questionnaire
2, 6, 13, and 18 months after the loss measuring complicated grief (Inventory of
Complicated Grief, Revised), depression (Beck's Depression Inventory II), and
their use of bereavement services. The palliative team staff completed a form 1
month post-loss with their clinical risk assessment of the family caregivers'
levels of complicated grief and need for support. RESULTS: The prevalence of
moderate to severe depression and CG was 15% and 40%, respectively, at 6 months
post-loss. Professional risk assessment showed a sensitivity of 55% for CG and of
27% for depression and a specificity of 86% for depression and 63% for CG. The
positive predictive value was 27% for depression and 21% for CG. Use of
bereavement services was observed in 36% of the cases at 6 months after the loss.
The proportion of bereaved with CG or depression at 6 months who had received
bereavement services was 47% and 64%, respectively. CONCLUSIONS: The results
suggest that a substantial number of family caregivers of diseased palliative
care patients are at risk of developing CG and depression following their loss.
While early identification of those at risk of developing CG could be helpful,
the risk assessment of professionals may lack in precision. The results indicate
that bereavement services could be utilized in a more targeted and perhaps more
efficient manner. Guidelines for bereavement planning in palliative care are
indicated.
PMID- 21892796
TI - An unusual case of membranous nephropathy associated with an ovarian tumor.
AB - Secondary membranous nephropathy (MN) associated with malignancy is not uncommon
in adults, but it is rare in children. We report a 6-year-old girl who developed
nephrotic-range proteinuria following diagnosis of a Sertoli-Leydig ovarian
tumor. A renal biopsy was performed, which led to the diagnosis of MN. The
patient maintained normal renal function and gradually showed improvement in
proteinuria over several months without the use of corticosteroids or angiotensin
converting enzyme inhibitors. Our case highlights the importance of performing
screening urinalyses in children with tumors to recognize the presence of
clinically significant, but potentially asymptomatic kidney disease.
PMID- 21892799
TI - Familial occipital neuralgia with sporadic NIN: a reply.
PMID- 21892800
TI - Familial occipital neuralgia with sporadic nervus intermedius neuralgia (NIN).
PMID- 21892801
TI - [Promising approaches of translational research in head and neck cancer].
PMID- 21892802
TI - [Anesthetic management in laryngotracheal surgery. High-frequency jet ventilation
as strategy for ventilation during general anesthesia].
AB - During surgical procedures of the upper respiratory tract anesthesiologists and
surgeons are in a kind of competition situation because of the close spatial
relationship between the airway of the patient and the surgical area. Especially
in laryngeal surgery the use of high-frequency jet ventilation (HFJV) offers an
alternative to the endotracheal tube. During HFJV the ventilation gas is
intermittently administered by an injector with a high frequency into the airway
which is open to the outside. Exhalation occurs passively in the area nearby the
wall of the airway cross-section. According to the availability of the technique
and the indications jet ventilation can be implemented in an infraglottic,
supraglottic, transtracheal or transluminal manner. To exert influence on gas
exchange of the patient the respiratory rate, driving pressure, oxygen
concentration and inspiration time can be changed according to the needs. Severe
tracheal stenosis, risk of excessive bleeding during the procedure, patients at
risk for aspiration and exacerbation of lung diseases are depicted as
contraindications for HFJV. Complications under HFJV are rare despite the limited
conditions for monitoring gas exchange and mechanics of ventilation in contrast
to conventional ventilation. A particular challenge for the anesthesiologist is
the use of HFJV during laryngeal laser surgery.
PMID- 21892803
TI - [Hyponatremia--should it be ignored or diagnosed?--Case 8/2011].
AB - HISTORY, CLINICAL FINDINGS: A 72-year-old dehydrated female was admitted to our
emergency department. She presented with a decreased level of consciousness and
had experienced a fall. Her medication included hydrochlorothiazide and
amiloride. DIAGNOSTIC: Laboratory findings showed a severe hyponatremia with a
serum sodium concentration of 107 mmol/l and a reduced serum osmolality. Urine
sodium and potassium excretion were > 30 mmol/l. A CT scan of the head did not
show any signs of trauma. DIAGNOSIS, THERAPY AND CLINICAL COURSE: Using a
diagnostic algorithm, the diagnosis of a hypotonic hypovolemic hyponatremia due
to the intake of diuretics was confirmed. By intravenous infusion of
physiological sodium chloride solution and cessation of diuretics, serum sodium
concentration was raised gradually. Hereby, the patient's state of consciousness
completely normalized. CONCLUSIONS: Hyponatremia represents the most frequent
electrolyte disturbance of hospitalized patients. It correlates with neurological
deficits, proneness to falling and intrahospital mortality. Due to diagnostic
insecurity of many physicians, the finding of a hyponatremia is often ignored or
misclassified. Standardized approaches using diagnostic algorithms improve
diagnostic accuracy. The here presented algorithm is based on only few
parameters: serum and urine osmolality, urine sodium and potassium. Besides
gradual raise of serum sodium, therapy of the underlying cause is essential, for
example cessation of diuretics. For patients with syndrome of inadequate
secretion of antidiuretic hormone (SIADH; hypotonic isovolemic hyponatremia),
selective arginin-vasopressin-receptor 2-antagonists (vaptans) are a new
therapeutic option. However, due to high costs, we only see an indication for
patients with SIADH who are not able to consequently comply with fluid
restriction.
PMID- 21892804
TI - The influence of nutrient supply and cell density on the growth and survival of
intervertebral disc cells in 3D culture.
AB - The adult human intervertebral disc (IVD) is normally avascular. Changes to the
extracellular matrix in degenerative disc disease may promote vascularisation and
subsequently alter cell nutrition and disc homeostasis. This study examines the
influence of cell density and the presence of glucose and serum on the
proliferation and survival of IVD cells in 3D culture. Bovine nucleus pulposus
(NP) cells were seeded at a range of cell densities (1.25 * 10(5)-10(6) cells/mL)
and cultured in alginate beads under standard culture conditions (with 3.15 g/L
glucose and 10 % serum), or without glucose and/or 20% serum. Cell proliferation,
apoptosis and cell senescence were examined after 8 days in culture. Under
standard culture conditions, NP cell proliferation and cluster formation was
inversely related to cell seeding density, whilst the number of apoptotic cells
and enucleated "ghost" cells was positively correlated to cell seeding density.
Increasing serum levels from 10% to 20% was associated with increased cluster
size and also an increased prevalence of apoptotic cells within clusters.
Omitting glucose produced even larger clusters and also more apoptotic and
senescent cells. These studies demonstrate that NP cell growth and survival are
influenced both by cell density and the availability of serum or nutrients, such
as glucose. The observation of clustered, senescent, apoptotic or "ghost" cells
in vitro suggests that environmental factors may influence the formation of these
phenotypes that have been previously reported in vivo. Hence this study has
implications for both our understanding of degenerative disc disease and also
cell-based therapy using cells cultured in vitro.
PMID- 21892806
TI - The effect of synthetic octacalcium phosphate in a collagen scaffold on the
osteogenicity of mesenchymal stem cells.
AB - Although the efficacy of the in vivo osteogenic capabilities of synthetic
octacalcium phosphate (OCP) crystal implantation can be explained through its
stimulatory capacity for the differentiation of the host osteoblastic cell
lineage, direct evidence that OCP supports bone regeneration by osteogenic cells
in vivo has not been shown. Mesenchymal stem cells (MSCs) isolated from 4-week
old male Wistar rat long bones were pre-incubated in osteogenic or maintenance
medium in the presence or absence of basic fibroblast growth factor (bFGF).
OCP/Collagen (OCP/Col) or collagen disks were seeded with MSCs that had been pre
incubated in osteogenic medium containing bFGF, which exhibited the highest
differentiation induction, and then incubated for an additional day. The disks
were implanted in critical-sized calvaria defects of 12-week-old male Wistar rats
and the specimens were analysed radiographically, histologically,
histomorphometrically, and by micro-computed tomography (CT) imaging at 4 and 8
weeks after the implantation. The OCP/Col.MSCs group rapidly induced more bone
regeneration, even within 4 weeks, compared to the OCP/Col group without MSCs.
The bone mineral density of the OCP/Col.MSCs group was also greater than the
OCP/Col group. The Col.MSCs group did not exhibit prominent osteogenicity. These
results indicate that OCP crystals in a collagen matrix efficiently promote
exogenously introduced osteogenic cells to initiate bone regeneration if the
cells are pre-treated in a suitable differentiation condition.
PMID- 21892805
TI - A stromal cell-derived factor-1 releasing matrix enhances the progenitor cell
response and blood vessel growth in ischaemic skeletal muscle.
AB - Although many regenerative cell therapies are being developed to replace or
regenerate ischaemic muscle, the lack of vasculature and poor persistence of the
therapeutic cells represent major limiting factors to successful tissue
restoration. In response to ischaemia, stromal cell-derived factor-1 (SDF-1) is
up-regulated by the affected tissue to stimulate stem cell-mediated regenerative
responses. Therefore, we encapsulated SDF-1 into alginate microspheres and
further incorporated these into an injectable collagen-based matrix in order to
improve local delivery. Microsphere-matrix impregnation reduced the time for
matrix thermogelation, and also increased the viscosity reached. This double
incorporation prolonged the release of SDF-1, which maintained adhesive and
migratory bioactivity, attributed to chemotaxis in response to SDF-1. In vivo,
treatment of ischaemic hindlimb muscle with microsphere-matrix led to increased
mobilisation of bone marrow-derived progenitor cells, and also improved
recruitment of angiogenic cells expressing the SDF-1 receptor (CXCR4) from bone
marrow and local tissues. Both matrix and SDF-1-releasing matrix were successful
at restoring perfusion, but SDF-1 treatment appeared to play an earlier role, as
evidenced by arterioles that are phenotypically older and by increased angiogenic
cytokine production, stimulating the generation of a qualitative microenvironment
for a rapid and therefore more efficient regeneration. These results support the
release of implanted SDF-1 as a promising method for enhancing progenitor cell
responses and restoring perfusion to ischaemic tissues via neovascularisation.
PMID- 21892817
TI - Palladium-catalyzed amination of allyl alcohols.
AB - An efficient catalytic amination of aryl-substituted allylic alcohols has been
developed. The complex [(eta(3)-allyl)PdCl](2) modified by a bis phosphine
ligand, L, has been used as catalyst in the reaction that afforded a wide range
of allyl amines in good to excellent yield under mild conditions.
PMID- 21892818
TI - Introduction of the conditional correlated Bernoulli model of similarity value
distributions and its application to the prospective prediction of fingerprint
search performance.
AB - A statistical approach named the conditional correlated Bernoulli model is
introduced for modeling of similarity scores and predicting the potential of
fingerprint search calculations to identify active compounds. Fingerprint
features are rationalized as dependent Bernoulli variables and conditional
distributions of Tanimoto similarity values of database compounds given a
reference molecule are assessed. The conditional correlated Bernoulli model is
utilized in the context of virtual screening to estimate the position of a
compound obtaining a certain similarity value in a database ranking. Through the
generation of receiver operating characteristic curves from cumulative
distribution functions of conditional similarity values for known active and
random database compounds, one can predict how successful a fingerprint search
might be. The comparison of curves for different fingerprints makes it possible
to identify fingerprints that are most likely to identify new active molecules in
a database search given a set of known reference molecules.
PMID- 21892819
TI - Analysis of polychlorinated biphenyls in transformer oil by using liquid-liquid
partitioning in a microfluidic device.
AB - Polychlorinated biphenyls (PCBs) that are present in transformer oil are a common
global problem because of their toxicity and environmental persistence. The
development of a rapid, low-cost method for measurement of PCBs in oil has been a
matter of priority because of the large number of PCB-contaminated transformers
still in service. Although one of the rapid, low-cost methods involves an
immunoassay, which uses multilayer column separation, hexane evaporation,
dimethyl sulfoxide (DMSO) partitioning, antigen-antibody reaction, and a
measurement system, there is a demand for more cost-effective and simpler
procedures. In this paper, we report a DMSO partitioning method that utilizes a
microfluidic device with microrecesses along the microchannel. In this method,
PCBs are extracted and enriched into the DMSO confined in the microrecesses under
the oil flow condition. The enrichment factor was estimated to be 2.69, which
agreed well with the anticipated value. The half-maximal inhibitory concentration
of PCBs in oil was found to be 0.38 mg/kg, which satisfies the much stricter
criterion of 0.5 mg/kg in Japan. The developed method can realize the
pretreatment of oil without the use of centrifugation for phase separation.
Furthermore, the amount of expensive reagents required can be reduced
considerably. Therefore, our method can serve as a powerful tool for achieving a
simpler, low-cost procedure and an on-site analysis system.
PMID- 21892820
TI - Highly adaptable and sensitive protease assay based on fluorescence resonance
energy transfer.
AB - Proteases are widely used in analytical sciences and play a central role in
several widespread diseases. Thus, there is an immense need for highly adaptable
and sensitive assays for the detection and monitoring of various proteolytic
enzymes. We established a simple protease fluorescence resonance energy transfer
(pro-FRET) assay for the determination of protease activities, which could in
principle be adapted for the detection of all proteases. As proof of principle,
we demonstrated the potential of our method using trypsin and enteropeptidase in
complex biological mixtures. Briefly, the assay is based on the cleavage of a
FRET peptide substrate, which results in a dramatic increase of the donor
fluorescence. The assay was highly sensitive and fast for both proteases. The
detection limits for trypsin and enteropeptidase in Escherichia coli lysate
were 100 and 10 amol, respectively. The improved sensitivity for enteropeptidase
was due to the application of an enzyme cascade, which leads to signal
amplification. The pro-FRET assay is highly specific as even high concentrations
of other proteases did not result in significant background signals. In
conclusion, this sensitive and simple assay can be performed in complex
biological mixtures and can be easily adapted to act as a versatile tool for the
sensitive detection of proteases.
PMID- 21892821
TI - Characterization of poly(sodium styrene sulfonate) thin films grafted from
functionalized titanium surfaces.
AB - Biointegration of titanium implants in the body is controlled by their surface
properties. Improving surface properties by coating with a bioactive polymer is a
promising approach to improve the biological performance of titanium implants. To
optimize the grafting processes, it is important to fully understand the
composition and structure of the modified surfaces. The main focus of this study
is to provide a detailed, multitechnique characterization of a bioactive
poly(sodium styrene sulfonate) (pNaSS) thin film grafted from titanium surfaces
via a two-step procedure. Thin titanium films (~50 nm thick with an average
surface roughness of 0.9 +/- 0.2 nm) prepared by evaporation onto silicon wafers
were used as smooth model substrates. X-ray photoelectron spectroscopy (XPS) and
time-of-flight secondary ion mass spectrometry (ToF-SIMS) showed that the
titanium film was covered with a TiO(2) layer that was at least 10 nm thick and
contained hydroxyl groups present at the outermost surface. These hydroxyl groups
were first modified with a 3-methacryloxypropyltrimethoxysilane (MPS) cross
linker. XPS and ToF-SIMS showed that a monolayer of the MPS molecules was
successfully attached onto the titanium surfaces. The pNaSS film was grafted from
the MPS-modified titanium through atom transfer radical polymerization. Again,
XPS and ToF-SIMS were used to verify that the pNaSS molecules were successfully
grafted onto the modified surfaces. Atomic force microscopy analysis showed that
the film was smooth and uniformly covered the surface. Fourier transform infrared
spectroscopy indicated that an ordered array of grafted NaSS molecules were
present on the titanium surfaces. Sum frequency generation vibration spectroscopy
and near edge X-ray absorption fine structure spectroscopy illustrated that the
NaSS molecules were grafted onto the titanium surface with a substantial degree
of orientational order in the styrene rings.
PMID- 21892822
TI - Iridium(III) complex-coated nanosystem for ratiometric upconversion luminescence
bioimaging of cyanide anions.
AB - Chromophoric iridium(III) complex-coated NaYF(4): 20%Yb, 1.6%Er, 0.4%Tm
nanocrystals are demonstrated as a ratiometric upconversion luminescence (UCL)
probe for highly selective detection of cyanide anion and bioimaging of CN(-) in
living cells through inhibition of the energy transfer from the UCL of the
nanocrystals to the absorbance of the chromophoric complex. The UCL probe
provides a very low detection limit of 0.18 MUM CN(-) in the aqueous solution.
PMID- 21892823
TI - Poly(carboxybetaine methacrylamide)-modified nanoparticles: a model system for
studying the effect of chain chemistry on film properties, adsorbed protein
conformation, and clot formation kinetics.
AB - Nonfouling polymer architectures are considered important to the successful
implementation of many biomaterials. It is thought that how these polymers induce
conformational changes in proteins upon adsorption may dictate the fate of the
device being utilized. Herein, oxidized silicon nanoparticles (SiNP) were
modified with various forms of poly(carboxybetaine methacrylamide) (PCBMA) for
the express purpose of understanding how polymer chemistry affects film
hydration, adsorbed protein conformation, and clot formation kinetics. To this
end, carboxybetaine monomers differing in intercharge separating spacer groups
were synthesized, and nitroxide-mediated free radical polymerization (NMP) was
conducted using alkoxyamine initiators with hydrophobic (TEMPO) and hydrophilic
(beta-phosphonate) terminal groups. The physical properties (surface composition,
thickness, grafting density, etc.) of the resulting polymer-SiNP conjugates were
quantified using several techniques, including Fourier transform infrared (FTIR)
spectroscopy, dynamic light scattering (DLS), and thermogravimetric analysis
(TGA). The effect of spacer group on the surface charge density was determined
using zeta potential measurements. Three proteins, viz., lysozyme, bovine alpha
lactalbumin, and human serum albumin, were used to evaluate the effect film
properties (charge, hydration, end-group) have on adsorbed protein conformation,
as determined by circular dichroism (CD), fluorescence spectroscopy, and
fluorescence quenching techniques. Hemocompatibility of these surfaces was
observed by measuring clot formation kinetics using the plasma recalcification
time assay. It was found that chain chemistry, as opposed to end-group chemistry,
was a major determiner for water structure, adsorbed protein conformation, and
clotting kinetics. It is thought that the systematic evaluation of how both chain
(internal) and end-group (external) polymer properties affect film hydration,
protein conformation, and clot formation will provide valuable insight that can
be applied to all engineered surfaces for biomedical applications.
PMID- 21892824
TI - On the design of composite protein-quantum dot biomaterials via self-assembly.
AB - Incorporation of nanoparticles during the hierarchical self-assembly of protein
based materials can impart function to the resulting composite materials. Herein
we demonstrate that the structure and nanoparticle distribution of composite
fibers are sensitive to the method of nanoparticle addition and the
physicochemical properties of both the nanoparticle and the protein. Our model
system consists of a recombinant enhanced green fluorescent protein-Ultrabithorax
(EGFP-Ubx) fusion protein and luminescent CdSe-ZnS core-shell quantum dots (QDs),
allowing us to optically assess the distribution of both the protein and
nanoparticle components within the composite material. Although QDs favorably
interact with EGFP-Ubx monomers, the relatively rough surface morphology of
composite fibers suggests EGFP-Ubx-QD conjugates impact self-assembly. Indeed,
QDs templated onto EGFP-Ubx film post-self-assembly can be subsequently drawn
into smooth composite fibers. Additionally, the QD surface charge impacts QD
distribution within the composite material, indicating that surface charge plays
an important role in self-assembly. QDs with either positively or negatively
charged coatings significantly enhance fiber extensibility. Conversely, QDs
coated with hydrophobic moieties and suspended in toluene produce composite
fibers with a heterogeneous distribution of QDs and severely altered fiber
morphology, indicating that toluene severely disrupts Ubx self-assembly.
Understanding factors that impact the protein-nanoparticle interaction enables
manipulation of the structure and mechanical properties of composite materials.
Since proteins interact with nanoparticle surface coatings, these results should
be applicable to other types of nanoparticles with similar chemical groups on the
surface.
PMID- 21892826
TI - Crucial effects of amino acid side chain length in transmembrane segment 5 on
substrate affinity in yeast glucose transporter Hxt7.
AB - We previously identified Asp(340) in transmembrane segment 7 (TM7) as a key
determinant of substrate affinity in Hxt7, a high-affinity facilitative glucose
transporter of Saccharomyces cerevisiae. To gain further insight into the
structural basis of substrate recognition by Hxt7, we performed cysteine-scanning
mutagenesis of 21 residues in TM5 of a Cys-less form of Hxt7. Four residues were
sensitive to Cys replacement, among which Gln(209) was found to be essential for
high-affinity glucose transport activity. The 17 remaining sites were examined
further for the accessibility of cysteine to the hydrophilic sulfhydryl reagent p
chloromercuribenzenesulfonate (pCMBS). Among the Cys mutants, T213C was the only
one whose transport activity was completely inhibited by 0.5 mM pCMBS. Moreover,
this mutant was protected from pCMBS inhibition by the substrate d-glucose and by
2-deoxy-D-glucose but not by L-glucose, indicating that Thr(213) is situated at
or close to a substrate recognition site. The functional role of Thr(213) was
further examined with its replacement with each of the other 19 amino acids in
wild-type Hxt7. Such replacement generated seven functional transporters with
various affinities for glucose. Only three mutants, those with Val, Cys, and Ser
at position 213, exhibited high-affinity glucose transport activity. All of these
residues possess a side chain length similar to that of Thr, indicating that side
chain length at this position is a key determinant of substrate affinity. A
working homology model of Hxt7 indicated that Gln(209) and Thr(213) face the
central cavity and that Thr(213) is located within van der Waals distance of
Asp(340) (TM7).
PMID- 21892825
TI - Degradation of ochratoxin a by Brevibacterium species.
AB - The ability to degrade ochratoxin A was studied in different bacteria with a well
known capacity to transform aromatic compounds. Strains belonging to Rhodococcus,
Pseudomonas, and Brevibacterium genera were grown in liquid synthetic culture
medium containing ochratoxin A. Brevibacterium spp. strains showed 100%
degradation of ochratoxin A. Ochratoxin alpha was detected and identified by high
performance liquid chromatography-mass spectrometry (HPLC-MS) as a degradation
product in the cell-free supernatants. The degradation of ochratoxin A is of
public concern for food and environmental safety, because it could contribute to
the development of new biological ochratoxin A detoxification systems in
foodstuffs. In this study, the degradation of ochratoxin A by bacteria belonging
to the food chain was demonstrated for the first time.
PMID- 21892827
TI - Colorimetric probes based on anthraimidazolediones for selective sensing of
fluoride and cyanide ion via intramolecular charge transfer.
AB - Probes based on anthra[1,2-d]imidazole-6,11-dione were designed and synthesized
for selective ion sensing. Each probe acted as strong colorimetric sensors for
fluoride and cyanide ions and exhibited intramolecular charge transfer (ICT)
band, which showed significant red-shifts after addition of either the F(-) or
CN(-) ion. One of the probes (2) showed selective colorimetric sensing for both
cyanide and fluoride ions. In organic medium, 2 showed selective color change
with fluoride and cyanide, whereas in aqueous organic medium it showed a
ratiometric response selectively for cyanide ion.
PMID- 21892828
TI - The mechanism of formation of N-formylkynurenine by heme dioxygenases.
AB - Heme dioxygenases catalyze the oxidation of L-tryptophan to N-formylkynurenine
(NFK), the first and rate-limiting step in tryptophan catabolism. Although recent
progress has been made on early stages in the mechanism, there is currently no
experimental data on the mechanism of product (NFK) formation. In this work, we
have used mass spectrometry to examine product formation in a number of
dioxygenases. In addition to NFK formation (m/z = 237), the data identify a
species (m/z = 221) that is consistent with insertion of a single atom of oxygen
into the substrate during O(2)-driven turnover. The fragmentation pattern for
this m/z = 221 species is consistent with a cyclic amino acetal structure;
independent chemical synthesis of the 3a-hydroxypyrroloindole-2-carboxylic acid
compound is in agreement with this assignment. Labeling experiments with (18)O(2)
confirm the origin of the oxygen atom as arising from O(2)-dependent turnover.
These data suggest that the dioxygenases use a ring-opening mechanism during NFK
formation, rather than Criegee or dioxetane mechanisms as previously proposed.
PMID- 21892829
TI - Phosphoramidate ProTides of the anticancer agent FUDR successfully deliver the
preformed bioactive monophosphate in cells and confer advantage over the parent
nucleoside.
AB - The fluorinated pyrimidine family of nucleosides continues to represent major
current chemotherapeutic agents for treating solid tumors. We herein report their
phosphate prodrugs, ProTides, as promising new derivatives, which partially
bypass the dependence of the current drugs on active transport and nucleoside
kinase-mediated activation. They are also resistant to metabolic deactivation by
phosphorolytic enzymes. We report 39 ProTides of the fluorinated pyrimidine FUDR
with variation in the aryl, ester, and amino acid regions. Notably, only certain
ProTide motifs are successful in delivering the nucleoside monophosphate into
intact cells. We also find that the ProTides retain activity in mycoplasma
infected cells, unlike FUDR. Data suggest these compounds to be worthy of further
progression.
PMID- 21892830
TI - Temperature-programmed precise control over the sizes of carbon nanospheres based
on benzoxazine chemistry.
AB - On the basis of benzoxazine chemistry, we have established a new way to
synthesize highly uniform carbon nanospheres with precisely tailored sizes and
high monodispersity. Using monomers including resorcinol, formaldehyde, and 1,6
diaminohexane, and in the presence of Pluronic F127 surfactant, polymer
nanospheres are first synthesized under precisely programmed reaction
temperatures. Subsequently, they are pseudomorphically and uniformly converted to
carbon nanospheres in high yield, due to the excellent thermal stability of such
polybenzoxazine-based polymers. The correlation between the initial reaction
temperature (IRT) and the nanosphere size fits well with the quadratic function
model, which can in turn predict the nanosphere size at a set IRT. The nanosphere
sizes can easily go down to 200 nm while retaining excellent monodispersity,
i.e., polydispersity <5%. The particle size uniformity is evidenced by the
formation of large areas of periodic assembly structure. NMR, FT-IR, and
elemental analyses prove the formation of a polybenzoxazine framework. As a
demonstration of their versatility, nanocatalysts composed of highly dispersed Pd
nanoparticles in the carbon nanospheres are fabricated, which show high
conversion and selectivity, great reusability, and regeneration ability, as
evidenced in a selective oxidation of benzyl alcohol to benzaldehyde under
moderate conditions.
PMID- 21892831
TI - Characterization and antioxidant activity of the complex of tea polyphenols and
oat beta-glucan.
AB - Few data are available about the effects of complexation of polyphenols with
polysaccharide on their bioavailability. The complex of tea polyphenols (TP) with
oat beta-glucan was characterized by ultraviolet-visible spectrometry, Fourier
transform infrared spectrometry, differential scanning calorimetry, atomic force
microscopy, and solid-state (13)C NMR spectroscopy. The results indicated that
the bonds which governed the interaction between TP and oat beta-glucan were
strong hydrogen bonds. The in vitro antioxidant activity of TP, beta-glucan,
their complex, and physical mixture was assessed using four systems, namely,
DPPH(*), OH(*), and O(2)(*-) scavenging activities and reducing power. The
complexation and blending of TP and beta-glucan exhibited different impacts on
the index of in vitro and in vivo antioxidant capacities. In the concentration
range of 0.5-2.5 mg mL(-1), the complex had highest O(2)(*-) scavenging activity,
whereas the highest OH(*) scavenging activity was found with the physical
mixture. For antioxidant testing in vivo, there was no significant difference
between the complex and the physical mixture in terms of glutathione peroxidase
activity and levels of malondialdehyde and total antioxidant capacity in serums.
However, the complex exhibited much higher activities of superoxide dismutase and
glutathione peroxidase in livers than the physical mixture. The present study
provided a deeper understanding of the influence of molecular interaction between
TP and oat beta-glucan on their antioxidant activities.
PMID- 21892832
TI - Designed glucose-responsive microgels with selective shrinking behavior.
AB - We report on the synthesis of various glucose-responsive microgels based on N
alkylacrylamide derivatives and phenylboronic acid (PBA) as a glucose sensing
moiety. Depending on their chemical composition, the microgels exhibit opposite
behaviors in response to glucose concentration increase: they can either swell or
shrink, using two different mechanisms for glucose recognition. Both behaviors
may be suitable for glucose sensing and insulin delivery. When glucose binds a
single boronate receptor, the microgel swells as glucose concentration increases.
This mechanism can be used to deliver a drug by diffusion through the network. In
other cases, glucose binds specifically to two boronates, which creates
additional cross-links within the network and provokes shrinkage. Such systems
are promising for the development of sensors with improved selectivity and also
as potential "intelligent" valves in microfabricated delivery systems. By a
rational choice of the constituting units of the network structure, we show how
to favor one or the other type of response to glucose variation. Therefore,
glucose-swelling microgels operating under physiological conditions have been
obtained by copolymerization with an appropriate choice of alkylacrylamide
monomer and boronate derivative. At a pH above the pK(a) of the boronic acid
derivative, the same structures shrink in response to glucose concentration. The
nature of the cross-linker is a key parameter to enable this dual behavior. In
other microgels, an amine group is introduced in the vicinity of the boronic
acid, which lowers its pK(a) and favors microgel contraction at physiological pH.
This work has allowed us to give some general rules to control the
swelling/shrinking behavior of glucose-responsive microgels.
PMID- 21892833
TI - Structural basis for inhibiting beta-amyloid oligomerization by a non-coded beta
breaker-substituted endomorphin analogue.
AB - The distribution of endomorphins (EM) 1 and 2 in the human brain inversely
correlates with cerebral neurodegeneration in Alzheimer's disease (AD), implying
a protective role. These endogenous opioid peptides incorporate aromatic residues
and a beta-breaker motif, as seen in several optimized inhibitors of Abeta
aggregation. The activity of native endomorphins was studied, as well as the
rationally designed analogue Aib-1, which includes a remarkably efficient beta
breaker, alpha-aminoisobutyric acid (Aib). In vitro and GFP fusion protein assays
showed that Aib-1 interacted with Abeta and markedly inhibited the formation of
toxic oligomer and fibril growth. Moreover, Aib-1 prevented the toxicity of Abeta
toward neuronal PC12 cells and markedly rectified reduced longevity of an AD fly
model. Atomistic simulations and NMR-derived solution structures revealed that
Aib-1 significantly reduced the propensity of Abeta to aggregate due to multimode
interactions including aromatic, hydrophobic, and polar contacts. We suggest that
hindering the self-assembly process by interfering with the aromatic core of
amyloidogenic peptides may pave the way toward developing therapeutic agents to
treat amyloid-associated diseases.
PMID- 21892834
TI - Sc(OTf)3-catalyzed synthesis of indoles and SnCl4-mediated regioselective
hydrochlorination of 5-(arylamino)pent-3-yn-2-ones.
AB - Highly substituted indole derivatives bearing alkyl and aryl moieties can be
prepared by Sc(OTf)(3)-catalyzed Friedel-Crafts alkenylation of 5-(arylamino)pent
3-yn-2-ones. In addition, a method for regioselective hydrochlorination of 5
(arylamino)pent-3-yn-2-ones mediated by SnCl(4) in moderate to good yields (up to
84%) has been developed. The resulting exclusive Z-selectivity of the C-Cl bond
can be further exploited using cross C-N coupling reactions.
PMID- 21892835
TI - Ambient pressure proton transfer mass spectrometry: detection of amines and
ammonia.
AB - An instrument to detect gaseous amines and ammonia is described, and
representative data from an urban site and a laboratory setting are presented.
The instrument, an Ambient pressure Proton transfer Mass Spectrometer (AmPMS),
consists of a chemical ionization and drift region at atmospheric pressure
coupled to a standard quadrupole mass spectrometer. Calibrations show that AmPMS
sensitivity is good for amines, and AmPMS backgrounds were suitably determined by
diverting sampled air through a catalytic converter. In urban air at a site in
Atlanta, amines were detected at subpptv levels for methyl and dimethyl amine
which were generally at a low abundance of <1 and ~3 pptv, respectively.
Trimethyl amine (or isomers) was on average about 4 pptv in the morning and
increased to 15 pptv in the afternoon, while triethyl amine (or isomers or
amides) increased to 25 pptv on average in the late afternoon. The background
levels for the 4 and 5 carbon amines and ammonia were high, and data are very
limited for these species. Improvements in detecting amines and ammonia from a
smog chamber were evident due to improvements in AmPMS background determination;
notably dimethyl amine and its OH oxidation products were followed along with
impurity ammonia and other species. Future work will focus on accurate
calibration standards and on improving the sample gas inlet.
PMID- 21892837
TI - Engineered streptavidin monomer and dimer with improved stability and function.
AB - Although streptavidin's high affinity for biotin has made it a widely used and
studied binding protein and labeling tool, its tetrameric structure may interfere
with some assays. A streptavidin mutant with a simpler quaternary structure would
demonstrate a molecular-level understanding of its structural organization and
lead to the development of a novel molecular reagent. However, modulating the
tetrameric structure without disrupting biotin binding has been extremely
difficult. In this study, we describe the design of a stable monomer that binds
biotin both in vitro and in vivo. To this end, we constructed and characterized
monomers containing rationally designed mutations. The mutations improved the
stability of the monomer (increase in T(m) from 31 to 47 degrees C) as well as
its affinity (increase in K(d) from 123 to 38 nM). We also used the stability
improved monomer to construct a dimer consisting of two streptavidin subunits
that interact across the dimer-dimer interface, which we call the A/D dimer. The
biotin binding pocket is conserved between the tetramer and the A/D dimer, and
therefore, the dimer is expected to have a significantly higher affinity than the
monomer. The affinity of the dimer (K(d) = 17 nM) is higher than that of the
monomer but is still many orders of magnitude lower than that of the wild-type
tetramer, which suggests there are other factors important for high-affinity
biotin binding. We show that the engineered streptavidin monomer and dimer can
selectively bind biotinylated targets in vivo by labeling the cells displaying
biotinylated receptors. Therefore, the designed mutants may be useful in novel
applications as well as in future studies in elucidating the role of
oligomerization in streptavidin function.
PMID- 21892838
TI - Unraveling the human bone microenvironment beyond the classical extracellular
matrix proteins: a human bone protein library.
AB - A characteristic feature of bone, differentiating it from other connective
tissues, is the mineralized extracellular matrix (ECM). Mineral accounts for the
majority of the bone tissue volume, being the remainder organic material mostly
derived from collagen. This, and the fact that only a limited number of
noncollagenous ECM proteins are described, provides a limited view of the bone
tissue composition and bone metabolism, the more so considering the increasing
understanding of ECM significance for cellular form and function. For this
reason, we set out to analyze and extensively characterize the human bone
proteome using large-scale mass spectrometry-based methods. Bone samples of four
individuals were analyzed identifying 3038 unique proteins. A total of 1213 of
these were present in at least 3 out of 4 bone samples. For quantification
purposes, we were limited to noncollagenous proteins (NCPs) and we could quantify
1051 NCPs. Most classical bone matrix proteins mentioned in literature were
detected but were not among the highly abundant ones. Gene ontology analyses
identified high-abundance groups of proteins with a functional link to
mineralization and mineral metabolism such as transporters, pyrophosphatase
activity, and Ca(2+)-dependent phospholipid binding proteins. ECM proteins were
as well overrepresented together with nucleosome and antioxidant activity
proteins, which have not been extensively characterized as being important for
bone. In conclusion, our data clearly demonstrates that human bone tissue is a
reservoir of a wide variety of proteins. In addition to the classical osteoblast
derived ECM, we have identified many proteins from different sources and of
unknown function in bone. Thus, this study represents an informative library of
bone proteins forming a source for novel bone formation modulators as well as
biomarkers for bone diseases such as osteoporosis.
PMID- 21892836
TI - Structural characterization and high-throughput screening of inhibitors of PvdQ,
an NTN hydrolase involved in pyoverdine synthesis.
AB - The human pathogen Pseudomonas aeruginosa produces a variety of virulence factors
including pyoverdine, a nonribosomally produced peptide siderophore. The
maturation pathway of the pyoverdine peptide is complex and provides a unique
target for inhibition. Within the pyoverdine biosynthetic cluster is a
periplasmic hydrolase, PvdQ, that is required for pyoverdine production. However,
the precise role of PvdQ in the maturation pathway has not been biochemically
characterized. We demonstrate herein that the initial module of the nonribosomal
peptide synthetase PvdL adds a myristate moiety to the pyoverdine precursor. We
extracted this acylated precursor, called PVDIq, from a pvdQ mutant strain and
show that the PvdQ enzyme removes the fatty acid catalyzing one of the final
steps in pyoverdine maturation. Incubation of PVDIq with crystals of PvdQ allowed
us to capture the acylated enzyme and confirm through structural studies the
chemical composition of the incorporated acyl chain. Finally, because inhibition
of siderophore synthesis has been identified as a potential antibiotic strategy,
we developed a high-throughput screening assay and tested a small chemical
library for compounds that inhibit PvdQ activity. Two compounds that block PvdQ
have been identified, and their binding within the fatty acid binding pocket was
structurally characterized.
PMID- 21892839
TI - Atmospheric reaction of the HOSO radical with NO2: a theoretical study.
AB - The gas-phase reaction between HOSO and NO(2) was examined using density
functional theory. Geometry optimizations and frequency computations were
performed at the B3LYP/6-311++G(2df,2pd) level of theory for all minimum species
and transition states. The ground-state potential energy surface, including
activation energies and enthalpies, were calculated using the ab initio CBS-QB3
composite method. The results suggest that the addition of HOSO and NO(2) leads
to two possible intermediates, HOS(O)NO(2) and HOS(O)ONO, without any energy
barrier. The HOS(O)NO(2) easily decomposes into HONO + SO(2) through the low
energy product complex HONO...SO(2), whereas the HOS(O)ONO dissociates to HOSO(2)
+ NO products. This latter dissociation is preferred from the isomerization of
the HOS(O)ONO to HOS(NO)O(2). Also, HOS(O)NO(2) isomerization to HOS(O)ONO is
hindered due to the presence of a large energy barrier. From the thermodynamic
aspect, the main products in the title reaction are HONO + SO(2), whereas HOSO(2)
+ NO are expected as a minor products.
PMID- 21892840
TI - Cost effectiveness of self-monitoring of blood glucose (SMBG) for patients with
type 2 diabetes and not on insulin: impact of modelling assumptions on recent
Canadian findings.
AB - BACKGROUND: Canadian patients, healthcare providers and payers share interest in
assessing the value of self-monitoring of blood glucose (SMBG) for individuals
with type 2 diabetes but not on insulin. Using the UKPDS (UK Prospective Diabetes
Study) model, the Canadian Optimal Prescribing and Utilization Service (COMPUS)
conducted an SMBG cost-effectiveness analysis. Based on the results, COMPUS does
not recommend routine strip use for most adults with type 2 diabetes who are not
on insulin. Cost-effectiveness studies require many assumptions regarding cohort,
clinical effect, complication costs, etc. The COMPUS evaluation included several
conservative assumptions that negatively impacted SMBG cost effectiveness.
OBJECTIVES: Current objectives were to (i) review key, impactful COMPUS
assumptions; (ii) illustrate how alternative inputs can lead to more favourable
results for SMBG cost effectiveness; and (iii) provide recommendations for
assessing its long-term value. METHODS: A summary of COMPUS methods and results
was followed by a review of assumptions (for trial-based glycosylated haemoglobin
[HbA(1c)] effect, patient characteristics, costs, simulation pathway) and their
potential impact. The UKPDS model was used for a 40-year cost-effectiveness
analysis of SMBG (1.29 strips per day) versus no SMBG in the Canadian payer
setting. COMPUS assumptions for patient characteristics (e.g. HbA(1c) 8.4%), SMBG
HbA(1c) advantage (-0.25%) and costs were retained. As with the COMPUS analysis,
UKPDS HbA(1c) decay curves were incorporated into SMBG and no-SMBG pathways. An
important difference was that SMBG HbA(1c) benefits in the current study could
extend beyond the initial simulation period. Sensitivity analyses examined SMBG
HbA(1c) advantage, adherence, complication history and cost inputs. Outcomes
(discounted at 5%) included QALYs, complication rates, total costs (year 2008
values) and incremental cost-effectiveness ratios (ICERs). RESULTS: The base-case
ICER was $Can63 664 per QALY gained; approximately 56% of the COMPUS base-case
ICER. SMBG was associated with modest risk reductions (0.10-0.70%) for six of
seven complications. Assuming an SMBG advantage of -0.30% decreased the current
base-case ICER by over $Can10 000 per QALY gained. With adherence of 66% and 87%,
ICERs were (respectively) $Can39 231 and $Can54 349 per QALY gained.
Incorporating a more representative complication history and 15% complication
cost increase resulted in an ICER of $Can49 743 per QALY gained. CONCLUSIONS:
These results underscore the importance of modelling assumptions regarding the
duration of HbA(1c) effect. The current study shares several COMPUS limitations
relating to the UKPDS model being designed for newly diagnosed patients, and to
randomized controlled trial monitoring rates. Neither study explicitly examined
the impact of varying the duration of initial HbA(1c) effects, or of medication
or other treatment changes. Because the COMPUS research will potentially
influence clinical practice and reimbursement policy in Canada, understanding the
impact of assumptions on cost-effectiveness results seems especially important.
Demonstrating that COMPUS ICERs were greatly reduced through variations in a
small number of inputs may encourage additional clinical research designed to
measure SMBG effects within the context of optimal disease management. It may
also encourage additional economic evaluations that incorporate lessons learned
and best practices for assessing the overall value of SMBG for type 2 diabetes in
insulin-naive patients.
PMID- 21892842
TI - Employee adjustment to stress: The role of coping resources, situational factors,
and coping responses.
AB - Abstract The present study was designed to examine further the stress-adjustment
relationship in employees. Specifically, the relations among employees' coping
resources (self-esteem, generalized control beliefs, neuroticism, and social
support), their appraisals of a recent stressful event experienced at work
(appraised stress, self-efficacy, and situational control beliefs), the coping
strategies (problem- and emotion-focused coping) used to deal with the event, and
levels of employee adjustment (psychological well-being and job satisfaction)
were examined. Data were collected from 153 male and female employees in a public
sector department, employed in a range of middle-management administrative
activities. The data provided support for a modified version of a model that
proposed that both situational appraisals and coping strategies are mediating
processes in the stress-adjustment relationship. There was evidence that
employees' coping responses to the recent stressful event experienced at work
were related to concurrent levels of adjustment. As predicted, the use of problem
focused coping, in general, had positive relationships with the measures of
adjustment, whereas the effects of emotion-focused coping were generally negative
(there was, however, some evidence that the effects of coping were dependent on
event controllability). There was also evidence that coping resources had both
direct and indirect effects (via coping and via situational appraisals) on
employee adjustment. The latter effects were most marked for generalized control
beliefs and self-esteem. Situational appraisals (in particular, efficacy
expectancies) also had indirect effects on employee adjustment, through their
effects on coping responses.
PMID- 21892843
TI - Trait anxiety, work demand, social support and psychological distress in
correctional officers.
AB - Abstract This study examined the role of social support in the relationship
between work demand and psychological distress (GHQ 12) in correctional officers
(N=419), a high stress occupational group. Work demands were positively
associated with strain. There was no evidence that social support buffered the
negative impact of work demands. Rather, consistent with most previous research
findings, support showed direct benefits and these were discussed in the context
of worker participation and control. The hypothesis that officers high in
negative affectivity, as measured by trait anxiety would show greater reactivity
to work demands was not supported. However, trait anxiety appeared to inflate the
relationship between work stressors (work demand and work support) and
psychological distress supporting recent suggestions that the role of trait
anxiety in occupational stress should not be disregarded. Trait anxiety combined
additively with work demand to predict individual differences in psychological
distress, however social support moderated the impact of trait anxiety on strain.
PMID- 21892841
TI - JAKs go nuclear: emerging role of nuclear JAK1 and JAK2 in gene expression and
cell growth.
AB - The four Janus kinases (JAKs) comprise a family of intracellular, nonreceptor
tyrosine kinases that first gained attention as signaling mediators of the type I
and type II cytokine receptors. Subsequently, the JAKs were found to be involved
in signaling downstream of the insulin receptor, a number of receptor tyrosine
kinases, and certain G-protein coupled receptors. Although a number of
cytoplasmic targets for the JAKs have been identified, their predominant action
was found to be the phosphorylation and activation of the signal transducers and
activators of transcription (STAT) factors. Through the STATs, the JAKs activate
gene expression linked to cellular stress, proliferation, and differentiation.
The JAKs are especially important in hematopoiesis, inflammation, and immunity,
and aberrant JAK activity has been implicated in a number of disorders including
rheumatoid arthritis, psoriasis, polycythemia vera, and myeloproliferative
diseases. Although once thought to reside strictly in the cytoplasm, recent
evidence shows that JAK1 and JAK2 are present in the nucleus of certain cells
often under conditions associated with high rates of cell growth. Nuclear JAKs
have now been shown to affect gene expression by activating other transcription
factors besides the STATs and exerting epigenetic actions, for example, by
phosphorylating histone H3. The latter action derepresses global gene expression
and has been implicated in leukemogenesis. Nuclear JAKs may have a role as well
in stem cell biology. Here we describe recent developments in understanding the
noncanonical nuclear actions of JAK1 and JAK2.
PMID- 21892844
TI - Looking at threat-relevant stimuli: The role of anxiety and coping style.
AB - Abstract Results of numerous studies demonstrate that anxious subjects
selectively attend to threat-related rather than to neutral stimuli. It has been
argued that, as a result of this, anxious individuals more easily perceive and
misattribute threatening stimuli in their environment, thereby creating a vicious
circle of attention and anxiety. The evidence for this anxiety-linked attentional
bias, however, is largely based on studies using subliminal or dichotic
presentation of verbal stimuli. The present study sought to replicate these
results by examining the relationship between anxiety and visual attention during
prolonged exposure to threat-relevant (pictures of situations in a dental
practice) and neutral (pictures of situations at a hairdresser salon) material
with 45 women. No significant relationship emerged between dental trait anxiety
and duration subjects directed gaze to the threat-relevant pictures. Neither self
reported state anxiety nor habitual coping style appeared to be significantly
related to duration of visual attention for the threat-relevant pictures. Hence,
no evidence was found supporting the hypothesis that high anxiety leads to a bias
in attention towards emotionally threatening information. It is suggested that
hypervigilance occurs in the early stages of the appraisal process.
PMID- 21892845
TI - Imposed and chosen monitoring and blunting strategies in the dental setting:
Effects, self-efficacy, and coping preference.
AB - Abstract In the present study, 94 dental patients received either monitoring
(i.e., paying attention) or blunting (i.e., distraction) coping instructions
during treatment. Half of the patients were given the possibility of choice,
whereas the other half were offered one of both strategies without choice. A
majority of the patients (n=61) indicated that the intervention had resulted in a
decrease of their anxiety. Some indications were found for the monitoring
strategy to be more effective than the blunting strategy. More specifically,
monitoring strategy subjects reported that they had experienced less distress
during treatment than blunting strategy subjects. In agreement with this finding,
self-efficacy ratings of monitoring strategy subjects were higher than those of
blunting strategy subjects. Possibility of choice and coping preference had no
substantial influence on effectiveness of the interventions.
PMID- 21892846
TI - A dutch adaptation of the child-rearing styles inventory and a validation of
krohne's two-process model.
AB - Abstract A Questionnaire for the Parent-Child Interaction (VOKI) has been
developed by adapting Krohne's German ESI for the Flemish high school population.
The psychometric characteristics of the adaptation are satisfying. The ESI factor
structure has been replicated and the VOKI scales are perfectly comparable to the
original German scales. Further research on the VOKI and two questionnaires
assessing achievement related concepts such as test anxiety, procrastination and
achievement motivation yielded correlational patterns partly predicted from
Krohne's Two-Process Model. The relations between parental child-rearing styles
and competence and consequence expectancies are in line with this model, whereas
test anxiety and procrastination seem more complexly determined.
PMID- 21892847
TI - Effects of test anxiety, ego stress, and attentional skills training on
arithmetic reasoning: An experimental evaluation of a brief counseling strategy.
AB - Abstract Two hundred forty high school students (120 male and 120 female) in
India performed a moderately difficult multiple choice Arithmetic Reasoning task
after undergoing short-term (40 minutes) cognitive treatment in the form of
Attentional Skills Training. A 2 * 2 * 2 (Test Anxiety x Attentional Skills
Training x Stress) design with separate analysis for boys and girls indicated
these results: with intervention the high anxiety subjects under ego stress
conditions, compared to their high-anxious control, low-anxious ego stress, or
low-anxious control counterparts, reported the maximum significant improvement in
performance on the Arithmetic Reasoning test. The low-anxiety subjects performed
consistently well with or without treatment or stress conditions. The findings
shed new light on the attentional theory of test anxiety, and it was reasoned
that long-term effects of cognitive treatment be studied by using varied
performance tasks (difficulty level controlled) on different gender and age
groups across cultures.
PMID- 21892848
TI - Cognitive effects of life stress and learned helplessness.
AB - Abstract Stressful life events and learned helplessness attributional styles have
been shown to impact a variety of personal outcomes. This study examined how
these factors influence two classes of cognitive behaviors: the occurrence of
intrusive thoughts and performance in memory and verbal-spatial reasoning tasks.
Negative life change and attributions for negative events predicted different
types of cognitive responses. Individuals reporting higher levels of life stress
were more likely to experience distracting thoughts that were unrelated to the
current task, whereas individuals with learned helplessness attributional styles
tended to have more worrisome thoughts about their task performance. In general,
individuals reporting high levels of negative life stress tended to perform more
poorly in tasks, whereas individuals with learned helplessness attributional
styles tended to perform better than those who did not share this explanatory
style. These results suggest that life stress and attributional style have
important influecnes on cognitive processes, and that a learned helplessness
attributional style can have beneficial effects on behavior in some situations.
PMID- 21892849
TI - Anxiety and heart rate under psychological stress: The effects of exercise
training.
AB - Abstract The effects of a 12-week exercise-training program on cognitive,
somatic, and behavioral anxiety and on heart rate responses to evaluative stress
were scrutinized in 89 subjects randomly assigned to an experimental (exercise)
or a control group. The training program consisted of exercises that were aimed
to the improvement of the general physical fitness (i.e., strength, flexibility,
and endurance). Following the 12-week training period, exercising subjects showed
improved motor skill capacity as well as higher VO(2) max. In an anxiety inciting
test situation, that consisted of the video-taped delivery of a 5-min speech, a
mental arithmetic and a fine motor task, exercising subjects showed more
favourable responses than control subjects in three ways: (a) they exhibited
lower behavioral anxiety during the anticipation phase of the three stressors,
(b) they reported lower cognitive and somatic anxiety during the anxiety
provoking situation, and (c) their heart rate recovered faster, in contrast to
both their baseline heart rate and the control subjects' heart rate, from the
stress episode. These results demonstrate that a fitness-oriented exercise
program has anxiolytic properties. They also provide a primary evidence that
overt behavioral anxiety, as opposed to the usually measured self-reported
anxiety, may be affected by exercise.
PMID- 21892850
TI - Performance realism in test-anxious students.
AB - Abstract Twenty-four high test-anxious and 24 low test-anxious students completed
two cognitive tasks under either high or low performance demand manipulations.
Before each task subjects rated anxiety levels and performance expectations, with
performance evaluations being conducted following completion of each task.
Results revealed lower actual, anticipated and self-evaluated performance scores
for high test-anxious students compared to their low test-anxious counterparts.
Expected and self-evaluated performance ratings were then compared with actual
performance. No difference was found between high and low test-anxious subjects
in terms of the discrepancy between expected and actual performance. Both groups
of students tended to be overoptimistic in their performance predictions.
However, following the first cognitive task the test-anxious group demonstrated
accurate self-evaluation, whereas the low test-anxious group were overoptimistic
in their self-evaluation. This effect was not found for the second task, on which
both groups were accurate in their performance-evaluation. The results are
discussed in terms of cognitive theories of anxiety, self-focussed attention and
realism amongst test-anxious students.
PMID- 21892851
TI - Emotional correlates of body weight: The moderating effects of gender and family
income.
AB - Abstract This study explored emotional correlates of relative body weight in a
sample of 187 male and 269 female college students. The contribution of relative
body weight, gender, family income and their interactions to variables related to
anxiety and anger were evaluated by multiple regression procedures. Relative body
weight was positively related to trait anxiety, especially among those with lower
family incomes. Increased body weight was also related to trait anger and an
anger-out expression style, but only among men. These results suggest that gender
and family income moderate the contribution of relative body weight to anxiety
and anger, both central aspects of a 'disease-prone personality'
PMID- 21892853
TI - The role of ezetimibe in LDL cholesterol goal attainment in very high risk
patients: the rosuvastatin monotherapy looks to be insufficient.
PMID- 21892854
TI - Predictors of government subsidized pharmaceutical use in patients with diabetes
or cardiovascular disease in a primary care setting: evidence from a prospective
randomized trial.
AB - OBJECTIVES: This study uses data from a prospective randomized controlled trial
to estimate predictors of pharmaceutical expenditure in diabetes (DM) or
cardiovascular disease (CVD) patients. Identifying drivers of pharmaceutical use
and the extent to which they are modifiable may inform cost-effective policy
making. METHODS: The trial followed 260 patients aged >18 years (mean 68) from
three general practices for 12 months. Patients had type 2 diabetes (90 patients)
or cardiovascular disease (170 patients). Costs for pharmaceuticals prescribed on
the Pharmaceutical Benefits Scheme (PBS) were obtained retrospectively at 12
months. Sociodemographic data and health-related quality-of-life (QoL) were
recorded from questionnaires. Clinical measures (including body mass index (BMI),
blood pressure, high and low density lipoprotein (LDL), and HbA1c) were also
collected. RESULTS: Mean pharmaceutical costs for DM patients (AU$4119) was
greater than CVD patients (AU$2424). The largest contributor to costs in both
groups was pharmaceuticals used for management of conditions other than CVD or
DM. QoL (EQ5D) and BMI were significant predictors of costs in both groups. A
history of cardiac events, HbA1c, age, and unemployment were significant
predictors of costs in the DM group. A diagnosis of heart failure, frequency of
hospital admissions, and LDL levels were significant predictors of costs in the
CVD group. Roughly one third of total variation of costs can be explained by the
regressors in both models. LIMITATIONS: Generalizability will be limited as data
was derived from a trial and the study was not powered for this post-hoc
analysis. Missing data imputation and self-reporting bias may also impact on
results. CONCLUSIONS: Factors such as QoL BMI, HbA1c levels, and a history of
cardiac events are significant predictors of costs. The results suggest there may
be a place for interventions that improve quality-of-life and concurrently reduce
pharmaceutical costs in patients with CVD or DM.
PMID- 21892855
TI - Hypoglycemia in patients with type 2 diabetes using concomitant exenatide BID and
long-acting insulin therapy.
AB - OBJECTIVE: The objective of this study was to examine the frequency of
hypoglycemia among patients with type 2 diabetes who had concomitantly used
exenatide BID (exenatide) and long-acting insulin and continued this combination
vs those who continued long-acting insulin alone. METHODS: Retrospective
analyses, using a large managed care database, were used to estimate the
frequency of hypoglycemia (episodes/patient/6 months) for patients who
concomitantly used exenatide and long-acting insulin during a 6-month follow-up
period. RESULTS: From among 2082 patients on concomitant exenatide and long
acting insulin, those who continued this combination (n=472) had a lower
frequency of hypoglycemia compared to those who remained on long-acting insulin
alone (n=312) (0.03 +/- 1.9 vs 0.10 +/- 1.01 [episodes/patient/6 months];
p<0.0001). LIMITATIONS: Only hypoglycemia that required medical intervention
(coded for hypoglycemia) was captured. The study could not evaluate any
association between insulin dose titration and hypoglycemia or examine other
outcomes such as HbA1c, weight, and body mass index, due to lack of data
availability. CONCLUSIONS: Patients who concomitantly used exenatide BID and long
acting insulin experienced a lower rate of hypoglycemia.
PMID- 21892856
TI - Cost-effectiveness of novel relapsed-refractory multiple myeloma therapies in
Norway: lenalidomide plus dexamethasone vs bortezomib.
AB - OBJECTIVE: To estimate the cost-effectiveness (cost per additional life-year [LY]
and quality-adjusted life-year [QALY] gained) of lenalidomide plus dexamethasone
(LEN/DEX) compared with bortezomib for the treatment of relapsed-refractory
multiple myeloma (rrMM) in Norway. METHODS: A discrete-event simulation model was
developed to predict patients? disease course using patient data, best response,
and efficacy levels obtained from LEN/DEX MM-009/-010 trials and the bortezomib
(APEX) published clinical trial. Predictive equations for time-to-progression
(TTP) and post-progression survival (PPS) were developed by identifying the best
fitting parametric survival distributions and selecting the most significant
predictors. Disease and adverse event management was obtained via survey from
Norwegian experts. Costs, derived from official Norwegian pricing data bases,
included drug, administration, monitoring, and adverse event management costs.
RESULTS: Complete or partial responders were 65% for LEN/DEX compared to 43% for
bortezomib. Derived median TTP was 11.45 months for LEN/DEX compared to 5.15
months for bortezomib. LYs and QALYs were higher for LEN/DEX (4.06 and 2.95,
respectively) than for bortezomib (3.11 and 2.19, respectively). The incremental
costs per QALY and LY gained from LEN/DEX were NOK 247,978 and NOK 198,714,
respectively, compared to bortezomib. Multiple sensitivity analyses indicated the
findings were stable. The parameters with the greatest impact were 4-year time
horizon (NOK 441,457/QALY) and higher bound confidence intervals for PPS (NOK
118,392). LIMITATIONS: The model analyzed two therapies not compared in head-to
head trials, and predicted results using an equation incorporating patient-level
characteristics. It is a limited estimation of the costs and outcomes in a
Norwegian setting. CONCLUSIONS: The simulation model showed that treatment with
LEN/DEX leads to greater LYs and QALYs when compared to bortezomib in the
treatment of rrMM patients. The incremental cost-effectiveness ratio indicated
treatment with LEN/DEX to be cost-effective and was the basis of the
reimbursement approval of LEN/DEX in Norway.
PMID- 21892858
TI - Clinical and economic outcomes in patients with type 2 diabetes initiating
insulin glargine disposable pen versus exenatide BID.
AB - OBJECTIVE: To evaluate clinical and economic outcomes in patients with type 2
diabetes mellitus (T2DM) who failed oral anti-diabetic drug (OAD) therapy and
initiated either insulin glargine with disposable pen (GLA-P) or exenatide BID
(EXE). RESEARCH DESIGN AND METHODS: This retrospective study used data from a
large US-managed care claims database and included adult T2DM patients initiating
treatment with GLA-P or EXE in 2007 or 2008. Propensity score matching was used
to control observed baseline differences between treatment groups. Primary study
end-points included treatment persistence, A1C, healthcare utilization, and
healthcare costs during the 1-year follow-up period. RESULTS: Two thousand three
hundred and thirty nine patients were included in the study (GLA-P: 381; EXE:
1958); 626 patients were in the 1:1 matched cohort (54% male; mean age: 54 years;
mean A1C: 9.2%). At follow-up, patients in the GLA-P group were significantly
more persistent in treatment than EXE patients (48% vs 15% in persistence rate
and 252 vs 144 days in persistence days; both p<0.001). GLA-P patients also had
significantly lower A1C at follow-up (8.02% vs 8.32%; p=0.042) and greater A1C
reduction from baseline (-1.23% vs -0.92%; p=0.038). There were no significant
differences in claims-based hypoglycemia rates and overall diabetes-related
healthcare utilization and cost. LIMITATIONS: Since this was a retrospective
analysis, causality of treatment benefits cannot be established. The study was
specific to two treatments and may not generalize to other models of insulin
administration. Some of the results, although statistically significant, may not
be found clinically important. CONCLUSIONS: In a real-world setting among T2DM
patients who failed to achieve or sustain glycemic goal with OADs, initiation of
GLA-P instead of EXE may be a more effective option because it was associated
with greater treatment persistence, greater A1C reduction without a significantly
higher rate of hypoglycemia, and similar healthcare costs.
PMID- 21892857
TI - Trajectory analysis of healthcare costs for patients with major depressive
disorder treated with high doses of duloxetine.
AB - OBJECTIVE: To examine healthcare cost patterns prior to and following duloxetine
initiation in patients with major depressive disorder (MDD), focusing on patients
initiated at or titrated to high doses. RESEARCH DESIGN AND METHODS:
Retrospective analysis of 10,987 outpatients, aged 18-64 years, who were enrolled
in health insurance for 6 months preceding and 12 months following duloxetine
initiation. OUTCOME MEASURES: Repeated measures and pre-post analyses were used
to examine healthcare cost trajectories before and after initiation of low- (<60
mg/day), standard- (60 mg/day), and high-dose (>60 mg/day) duloxetine therapy.
Decision tree analysis was used to identify patient characteristics that might
explain heterogeneity in economic outcomes following titration to high-dose
therapy. RESULTS: Low-, standard-, and high-dose duloxetine were initiated for
29.6%, 60.9%, and 9.5% of patients, respectively. Within 6 months, 13.7% of
patients had dose increases to > 60 mg/day. Regardless of dose, total costs
increased prior to and decreased following initiation of treatment. The High
Initial Dose Cohort had higher costs both prior to and throughout treatment
compared to the other two cohorts. Following escalation to > 60 mg/day, higher
medication costs were balanced by lower inpatient costs. Titration to high-dose
therapy was cost-beneficial for patients with histories of a mental disorder in
addition to MDD and higher prior medical costs. LIMITATIONS: Conclusions are
limited by a lack of supporting clinical information and may not apply to
patients who are not privately insured. CONCLUSIONS: In data taken from insured
patients with MDD who were started on duloxetine in a clinical setting,
healthcare costs increased prior to and decreased following initiation of
therapy. Compared to patients initiated at low- and standard-doses, costs were
greater prior to and following initiation for patients initiated at high doses.
Increases in pharmacy costs associated with escalation to high-dose therapy were
offset by reduced inpatient expenses.
PMID- 21892860
TI - Editorial board page for "Isotopes in Environmental and Health Studies", Volume
32, Number 4.
AB - Abstract This is a scanned image of the original Editorial Board page(s) for this
issue.
PMID- 21892859
TI - Use of drospirenone/ethinyl estradiol (DRSP/EE) among women with acne reduces
acne treatment-related resources.
AB - BACKGROUND AND OBJECTIVE: Acne is a common dermatologic condition that extends
into middle age, particularly among women, and is associated with substantial
healthcare resource utilization. Drospirenone (DRSP), a synthetic progestin, has
anti-androgenic activity, and women using DRSP 3.0 mg/ethinyl estradiol (EE) 0.02
mg as a 24/4 regimen (DRSP/EE-24/4) for contraception also may use it for
treatment of moderate acne. The study used a US national healthcare database to
assess acne-related healthcare resource utilization among women aged 18-45 years
before (pre-index) and after (post-index) initiation of DRSP/EE-24/4. METHODS:
Resource utilization and costs were evaluated by age group (18-25, 26-35, or 36
45 years) and by type of acne medication (systemic antibiotic, topical, or anti
androgen). RESULTS: Data for 1340 women were evaluated. Overall, drug costs,
medical costs, and total costs were decreased by 38%, 37%, and 37%, respectively
(p<0.0001 for all) between the pre-index and post-index periods; significant
differences were evident across age groups and acne medication categories. Total
costs were significantly decreased for patients (41%) and healthcare plans (36%;
p<0.0001 for both) overall and across age groups and drug classes. Acne-related
claims and number of days using acne medication were reduced (by 37% each;
p<0.0001 for both). STUDY LIMITATIONS: The study was retrospective in design and
had a limited follow-up period. Database limitations restricted assessment of
medication compliance and adherence. CONCLUSION: DRSP/EE-24/4 use was associated
with substantial reductions in acne-related healthcare resource utilization, and
reductions occurred regardless of age or type of acne medication. DRSP/EE-24/4
therefore represents a cost-effective option for the treatment of acne among
women using DRSP/EE-24/4 for oral contraception.
PMID- 21892861
TI - Functional inhomogeneities in interstitial lung disease, assessed using
(16)o(18)o.
AB - Abstract We investigated the contribution of diffusion limitation and functional
inhomogeneities to the impairment of pulmonary oxygen (O(2)) transfer in
interstitial lung disease (ILD). Analyses of (16)O(18)O/(16)O(2) ratios were
performed on expiratory gas mixtures obtained from 6 ILD patients and 6 healthy
humans at rest, applying respiratory mass spectrometry. We assessed O(2)
transport by using the overall fractionation factor of respiration (alpha(0))
which is predicted to increase in the case of diffusion limitation. alpha(0) was
reduced in patients (1.0065+/-3.10(-4)) when compared to the value for healthy
subjects (1.0071+/-7.10(-4), P <0.05), pointing away from a diffusion limitation
of O(2) transport. On the basis of a two-compartment model we interpreted our
findings by assuming an unequal distribution of diffusion and convective O(2)
transport in the pulmonary gas exchange of the patients.
PMID- 21892862
TI - Rapid, Sensitive and Highly Selective (15)N Analysis of (15)N Enriched Nitrite in
Water Samples and Soil Extracts by Nitric Oxide Production and CF-QMS
Measurement.
AB - Abstract Nitrite is a very important intermediate in many microbiological N
transformations in soils and water. The stable isotope (15)N is often used to
investigate these processes. The determination of (15)N in low concentrations of
nitrite in the presence of large concentrations of nitrate is very difficult.
Methods used so far for the isotope analysis of nitrite are unsatisfactory,
because the nitrite must be calculated as the difference between nitrate plus
nitrite and nitrate alone. More useful are mehods by which the nitrite is
selectively converted into a chemical form that is suitable for (15)N analysis
and that is free from interference from other N species, particularly nitrate.
Using this principle in the present study we developed a method where the nitrite
is reduced to nitric oxide by iodide in acid medium. This reaction is fast and
quantitative, and the (15)N abundance of NO can be precisely measured by
continuous flow mass spectrometry. This method is used for samples from tracer
experiments with artificially enriched nitrogen 15. Therefore, the use of simple
quadrupole mass spectrometers directly linked to the reaction unit is possible
with sufficient precision (Reaction-Continuous Flow Quadrupole Mass Spektrometry
RCFQMS). Using the technique developed sample volumes up to 10ml containing at
least 1.0 MUg nitrite-N (0, 1 MUg/ml) with a (15)N abundance of ? 0.42 at.% gave
a precision of RSD ? +/- 3%.
PMID- 21892863
TI - Gel-electrophoresis and subsequent optical emission (15)n analysis to identify
(15)n-labelled protein fractions.
AB - Abstract A combined procedure to detect of (15)N/(14)N isotope ratios by emission
spectrometric analysis after starch gel-electrophoresis was developed. (15)N
labelled proteins of human serum were used to optimise this method.
Electrophorised gel slices with protein fractions were directly digested for
subsequent isotope analysis. This method is proposed for use in routine analysis
for clinical application.
PMID- 21892864
TI - Methodical studies for d/h-isotope analysis - a new technique for the direct
coupling of sample preparation to an irms.
AB - Abstract A new technique for the sample preparation and direct coupling to an
Isotope Ratio Mass Spectrometer (IRMS) for the D/H-determination is described. 1
MUl size samples of water are injected and reduced with chromium metal in a novel
reaction furnace. The hydrogen gas flows directly into the IRMS and is analysed
(standard/sample comparison). The reproducibility is about 1 %. The accuracy of
the technique was proven by means of the IAEA-standard waters V-SMOW, GISP, SLAP.
PMID- 21892865
TI - Radiotracer measurement of the volatilization of organic trace constituents from
water.
AB - Abstract The mass-transfer coefficient for the volatilization of organic
microconstituents from water can be determined by laboratory radiotracer
experiments. Formulation and practical aspects are considered and illustrated by
the example of a 5.10(-7) M solution of monochlorobenzene.
PMID- 21892866
TI - Isotope anomalies of carbon, hydrogen and nitrogen in peat from the area of the
tunguska cosmic body explosion (1908).
AB - Abstract Peat profiles from the area of the Tunguska explosion epicentre indicate
significant carbon and hydrogen isotopic effects which are clearly associated
with the zone of the 1908 "catastrophe", and which cannot be attributed to any
known terrestrial processes. We explain them with the presence of
extraterrestrial matter similar to carbonaceous chondrites or, more probably, to
cometary matter. Initial data on nitrogen content and its isotope composition are
consistent with the assumption of acid rainfall following the passage and
explosion of the Tunguska cosmic body, as is known to have occurred during the
Cretaceous-Tertiary boundary.
PMID- 21892867
TI - Komplexe Untersuchungen zur quartaren Eisstausee-Entwicklung in
Mitteldeutschland.
AB - Abstract Geological-sedimentological (grain size, micromorphology, varve
correlation) and geochemical (stable isotopes: (18)O/(16)O,(13)C/(12)C)
investigations with ice-dammed lake sediments of the Dehlitz-Leipzig varved clay
enable to elucidate in detail the palaeosedimentologic and palaeoclimatic
conditions in the surrounding of the Elsterian Scandinavian inland-ice sheet a
relatively short time before its maximum extension in Central Europe took place.
For the space of time between formation of the ice-dammed glacial lake and its
run-over by Scandinavian inland-ice sheet the obtained results allow to
distinguish at least four evolutionary phases. Regarding the palaeosedimentologic
relations in the ice-dammed glacial lake these four phases are characterized by
differences in the dynamics of sediment input, suspension density within the
water body and existence/absence of stagnation events with syngenetic formation
of carbonates under anoxic conditions. During the formation of glacial varves the
obtained data seem to support the existence of small seasonal differences which
can be due to very cold, long winter- and short, cool summer periods. In this way
the time of the first Elsterian glacial maximum, reflected by the varved clays,
can be classified as a typical glacial climatic period.
PMID- 21892868
TI - Mineral- und heilwasser in sachsen - eine isotopenanalytische charakterisierung.
AB - Abstract The groundwaters studied and labelled as mineral water were "natural
mineral waters" for bottled waters and "natural curative waters" for heal
therapeutical applications. They were characterized either by a specific
mineralization or their suitability for balneology. To reveal the actual
hydrological situation isotope investigations using (2)H, (18)O, (3)H, (12)C and
(14)C (DIC) and (34)S (sulphate) were included in a study describing samples of
24 mineral water deposits in Saxonia. The water was classified into 4
hydrochemical types of genesis. Due to different hydrogeological and
hydrochemical situations widely scattered isotope ratios were measured. Most of
the investigated mineral waters are containing at least parts of younger waters
(with residence times less than about 40 years). Correlations between chemical
composition and the tritium content could be observed within different springs
from the areas Bad Brambach, Bad Elster and Burkhardswalde. Strong variations in
delta(34)S were found in samples with low sulphate content, showing different
sulphur sources, as well as microbiological reactions. On the other hand mineral
waters from Bad Brambach and Bad Elster show nearly the same delta(34)S value of
about 60/00 CDT despite beeing of a different chemical type. The delta(13)C
values between -22 and -2.2y PDB are related to different sources of CO(2).
PMID- 21892869
TI - Isotopic composition of sulfates: similarities - differences - misleadings.
AB - Abstract In the hydrosphere sulfates of the following origin may exist: (1)
evaporitic; they come from the leaching of sulfate rocks, (2) biological, formed
by sulfur-oxidizing bacteria and (3) anthropogenic, which get into water with
wastes, fertilizers or acid rains. Chemical analyses may reveal sulfates in
water, but their origin cannot be assessed by means of chemical analysis. The
sulfates of various origin may differ in their isotopic compositions. However,
sometimes we can observe the similarity of delta(34)S of sulfates whose origin
was entirely different. This similarity may be so suggestive that it could be
misleading. This paper presents patterns of completely different sulfates whose
delta(34)S are similar, though they have nothing in common. Other tables present
patterns of sulfates whose origin is similar or even the same but their
delta(34)S are characterized by great variety. All of the isotopic data presented
in the tables were specially chosen from some of the results of the studies of
the sulfates in waters in Poland. Isotopes techniques are becoming more popular
and are useful for studies in many fields. These patterns may be a warning
against drawing hasty conclusions from the results of delta-measuring.
PMID- 21892870
TI - Effect of calcium ions on the irradiation induced inactivation of cellulase.
AB - Abstract The activity of cellulase irradiated at various temperatures was
examined as a function of irradiation dose. The effect of calcium ions in
radiation inactivation of cellulase at irradiation temperature of 30 degrees C
was studied by using calcium sulfate. The calcium ions have a protective ability
against radiation caused inactivation of cellulase by scavenging species such as
OH(-) formed by irradiation of cellulase aqueous solution, in which the effective
concentration range of the calcium ions was ~ 10(-3) M. The calcium ions do not
act for the heat inactivation of the enzyme and the enzyme hydrolysis of filter
paper or chaff as an activator because the calcium ions do not associate with the
enzyme to form a calcium ion-enzyme complex.
PMID- 21892871
TI - Conference report.
PMID- 21892873
TI - Erratum.
PMID- 21892872
TI - A review of: "Isotopes in Water Resources Management".
AB - Abstract Proceedings of the International Symposium, organized by the IAEA, in co
operation with UNESCO, Vienna, 20-24 March 1995. Vol. 1 (ISBN 92-0-105595-1) and
Vol. 2 (ISBN 92-0-100796-5), edited by IAEA, Vienna, Austria, March 1996.
PMID- 21892874
TI - Physiology and pathophysiology of selectins, integrins, and IgSF cell adhesion
molecules focusing on inflammation. A paradigm model on infectious endocarditis.
AB - The development of adhesion bonds, either among cells or among cells and
components of the extracellular matrix, is a crucial process. These interactions
are mediated by some molecules collectively known as adhesion molecules (CAMs).
CAMs are ubiquitously expressed proteins playing a central role in controlling
cell migration, proliferation, survival, and apoptosis. Besides their key
function in physiological maintenance of tissue integrity, CAMs play an eminent
role in various pathological processes such as cardiovascular disorders,
atherogenesis, atherosclerotic plaque progression and regulation of the
inflammatory response. CAMs such as selectins, integrins, and immunoglobulin
superfamily take part in interactions between leukocyte and vascular endothelium
(leukocyte rolling, arrest, firm adhesion, migration). Experimental data and
pathologic observations support the assumption that pathogenic microorganisms
attach to vascular endothelial cells or sites of vascular injury initiating
intravascular infections. In this review a paradigm focusing on cell adhesion
molecules pathophysiology and infective endocarditis development is given.
PMID- 21892876
TI - Pharmacokinetic profile of 2,3,5,4'-tetrahydroxystilbene-2-O-beta-D-glucoside in
mice after oral administration of Polygonum multiflorum extract.
AB - CONTEXT: Stilbene glycoside (2,3,5,4'-tetrahydroxystilbene-2-O-beta-D-glucoside)
is a main bioactive component of Polygonum multiflorum, a traditional Chinese
medicine (TCM) commonly used in clinic for anti-aging treatment. Its medicinal
activities, such as anti-oxidation, anti-inflammation and endothelial protection,
have been extensively studied, but its pharmacokinetic property is still unclear.
OBJECTIVE: A pharmacokinetic study was undertaken to quantitatively determine P.
multiflorum stilbene glycoside (PM-SG) in mouse plasma after oral administration
of 100 mg/kg P. multiflorum extract. MATERIALS AND METHODS: A sensitive reversed
phase high-performance liquid chromatography (RP-HPLC) coupled with liquid-liquid
phase extraction method was employed for this study. Pharmacokinetic parameters
of PM-SG were determined in mice applying both compartmental and non
compartmental analyses. RESULTS AND DISCUSSION: The calibration curve for PM-SG
in the plasma was linear (r(2) > 0.99) over the range of 0.66 to 56.40 MUg/ml,
and the concentration-time curve was plotted with the maximum concentration
(C(max)) and time to reach maximum concentration (T(max)) of 29.62 MUg/ml and 60
min, respectively. The intra- and inter-day variations were less than 3% for
relative standard deviation (RSD) and relative error (RE), with a good recovery
of more than 97% (RSD <3%). All pharmacokinetic parameters estimated by
compartmental and non-compartmental models reached a same conclusion that PM-SG
was rapidly absorbed and widely distributed throughout the body with a great
efficiency of utility, followed by quick elimination and clearance. CONCLUSIONS:
This was the first report on determination of the pharmacokinetic profile of PM
SG in mice after oral administration. The result may provide a meaningful basis
for evaluating the clinical applications of such a bioactive compound from herbal
medicines.
PMID- 21892875
TI - Evaluation of bioactivity and pharmacokinetic characteristics of PEGylated
P.pastoris-expressed erythropoietin.
AB - High costs of production and relatively short serum half-life of mammalian cell
derived recombinant human erythropoietin (rHuEpo) necessitate finding and
developing superior hosts/technologies for more efficient production of longer
acting erythropoietic agents. With these aims, we provide the first report on
reductive alkylation of low-cost P.pastoris-expressed rHuEpo (PPEpo) with PEG
aldehyde. The PCR-amplified cDNA of native rHuEpo was cloned into the pPICZalphaA
vector and transformed into the yeast Pichia pastoris. The best expressing
transformant was selected and employed for secreted-expression of PPEpo using the
standard protocols. Purified PPEpo was N-terminally PEGylated with 20-kDa mPEG
propionaldehyde in a low pH (5) condition. The in vitro and in vivo biological
activities of purified mono-PEGylated PPEpo was evaluated by the UT-7 cells
proliferation assay and normocythaemic mice assay, respectively. Pharmacokinetic
parameters were determined following intravenous administration of Epo proteins
in rabbits. While PPEpo showed a higher in vitro bioactivity compared to rHuEpo,
no in vivo efficiency was determined for PPEpo. However, the in vivo activity of
PEG-PPEpo conjugate was comparable to that of rHuEpo. Pharmacokinetic studies
showed that the terminal half-life and mean residence time of PEG-PPEpo were
increased approximately 4-fold and 6.5-fold respectively, compared with those of
PPEpo. The results indicate that N-terminal PEGylation of Pichia-expressed Epo
could be considered as a promising approach for generating cost-effective and
long-acting erythropoiesis-stimulating agents.
PMID- 21892877
TI - Feeding intolerance in preterm infants. How to understand the warning signs.
AB - It is essential to start enteral nutrition early to preterm infants by giving
small amounts of milk (preferably human milk) to ensure that metabolic
homeostasis is kept stable and to limit postnatal growth retardation. Increasing
feeding volumes to reach "full enteral feeding" is limited by individual feeding
tolerance. Feeding intolerance is extremely common in premature infants. The most
frequent signs of a suspect feeding intolerance are the presence of gastric
residuals, abdominal distension and the onset of crises of apnea/bradycardia.
Gastric residuals are probably a benign consequence of delayed gut maturation and
motility in VLBW infants and there are no established normal standards. When
gastric aspirates occur isolated they should not immediately induce the
neonatologist to withhold feeding. Gastric residual becomes more important when
accompanied by other warning signs, such as bilious vomiting, abdominal
distension, abdominal wall erythema or ecchymosis, gross or occult blood in the
stool, apnoea, bradycardia and temperature instability. Nutrition protocols in
preterm infants must take caution when starting and increasing enteral feeding,
and pay proper, but not excessive, attention to early signs of food intolerance.
PMID- 21892878
TI - BPD: old and new problems.
AB - Bronchopulmonary dysplasia (BPD) is still one of the main long term complication
of preterm birth, and it is the most common chronic respiratory disease in
infants. Due to advances in perinatal care and neonatal respiratory therapy the
clinical characteristics and the natural history of infants affected by BPD have
widely changed in the last decades. The sever presentation of the old form of BPD
has been replaced by a milder clinical form, without or with mild respiratory
distress syndrome in the first days of life, that responds rapidly to surfactant
therapy and instead requires prolonged ventilator support because of poor
respiratory effort. "Old" and "new" BPD, are also histologically different, being
two morphologic outcomes of variable combinations of factors injuring lungs of
differing maturity. New BPD is characterized by diffusely reduced alveolar
development, with airway injury, inflammation and fibrosis that are usually
milder than in old form. Such "new" form of BPD is interpreted as a developmental
disorder. The development of BPD is a multifactorial process with pathogenesis
being linked to immature lung tissue, barotrauma and volutrauma resulting from
mechanical ventilation, oxidant injury, and proinflammatory mediators.and
inflammatory regulation may also have a role in the development of the new form.
There is growing evidence that BPD results from an imbalance between
proinflammatory and anti-inflammatory mechanisms, with a persistent imbalance
that favors proinflammatory mechanisms. Reduction of the incidence and severity
of BPD may be possible through a reduction of the amount of injury induced by
respiratory support interventions.
PMID- 21892880
TI - The fate of 4-hydroxycarbazole metabolite: metabolism and carcinogenicity
assessment of a beta-adrenergic receptor modulator containing carbazole
structure.
AB - LY377604 has a potential to form 4-hydroxycarbazole, which was reported in the
literature as a mutagen. This safety concern led to our investigation of the
metabolism and carcinogenicity of LY377604. In in vitro studies with LY377604, 4
hydroxycarbazole was detected in the presence of liver microsomes prepared from
different species. When incubated with liver slices, only the conjugate of 4
hydroxycarbazole was detected. Subsequent in vivo radio-labelled studies were
conducted to characterise the formation of 4-hydroxycarbazole from LY377604. Free
4-hydroxycarbazole was not detected in vivo, but the O-glucuronide conjugate was
identified as a minor metabolite in urine samples, representing 0.2% and 0.9% of
the radioactive dose in rats and monkeys. The low level of circulating 4
hydroxycarbazole glucuronide conjugate was also detected in plasma. LY377604 was
negative in all genetic toxicology assays and was not associated with tumour
induction in a 6-month carcinogenicity study using RasH2+/- mouse model. The
exposure to free 4-hydroxycarbazole was not measurable after one dose and was
about 0.1%-0.2% of the parent exposure at the end of the 6-month study. These
data suggested that 4-hydroxycarbazole was formed as a minor metabolite in vivo,
but it was primarily conjugated and excreted in urine as the glucuronide
conjugate. The absence of tumours in the carcinogenicity study combined with the
exposure data suggested that the low level of free 4-hydroxycarbazole did not
represent a carcinogenic risk.
PMID- 21892879
TI - Interspecies scaling and prediction of human clearance: comparison of small- and
macro-molecule drugs.
AB - Human clearance prediction for small- and macro-molecule drugs was evaluated and
compared using various scaling methods and statistical analysis. Human clearance
is generally well predicted using single or multiple species simple allometry for
macro- and small-molecule drugs excreted renally. The prediction error is higher
for hepatically eliminated small-molecules using single or multiple species
simple allometry scaling, and it appears that the prediction error is mainly
associated with drugs with low hepatic extraction ratio (Eh). The error in human
clearance prediction for hepatically eliminated small-molecules was reduced using
scaling methods with a correction of maximum life span (MLP) or brain weight
(BRW). Human clearance of both small- and macro-molecule drugs is well predicted
using the monkey liver blood flow method. Predictions using liver blood flow from
other species did not work as well, especially for the small-molecule drugs.
PMID- 21892881
TI - Pharmacokinetic and pharmacodynamic properties of carvedilol in fructose
hypertensive rats.
AB - Cardiovascular effects and pharmacokinetics of carvedilol were assessed in
fructose-fed rats using pharmacokinetic-pharmacodynamic (PK-PD) modeling. Male
Sprague-Dowley rats were randomly assigned to receive tap water (C rats) or
fructose solution (10% w/v) (F rats) during 6 weeks. Effects of carvedilol (1-3
mg/kg i.v.) on blood pressure, heart rate and blood pressure variability were
recorded. Carvedilol plasma pharmacokinetics was studied by traditional blood
sampling. Relationship between carvedilol concentrations and their hypotensive
and bradycardic effects was established by PK-PD modeling. Vascular sympatholytic
activity of carvedilol was assessed by estimation of drug effects on low
frequency blood pressure variability using spectral analysis. A greater volume of
distribution and clearance of S-carvedilol compared to R-enantiomer was found in
both experimental groups. Although PK-PD properties of S-carvedilol chronotropic
effect were not altered in F rats, hypertensive rats showed greater efficacy to
the carvedilol hypotensive response after administration of the higher dose. A
similar potency of carvedilol to inhibit sympathetic vascular activity was found
in F rats. Carvedilol showed enantioselective pharmacokinetic properties with
increased distribution in F rats compared with normotensive animals. An enhanced
hypotensive activity of carvedilol was found in F rats compared with C rats,
which is not related to enhance sympatholytic activity.
PMID- 21892882
TI - Maternal omega-3 fatty acid supplementation protects against lipopolysaccharide
induced white matter injury in the neonatal rat brain.
AB - OBJECTIVES: Periventricular leukomalacia (PVL) is the predominant form of brain
injury in premature infants, and no specific treatment currently exists for this
condition. We have evaluated whether maternal omega-3 fatty acid (omega3 FA)
treatment reduces endotoxin-induced PVL in the developing rat brain. METHODS:
Wistar rats with dated pregnancies were fed a standard diet or a diet enriched in
omega3 FA (70% docosahexaenoic acid + 30% eicosapentaenoic acid mixture) during
gestation. Intraperitoneal injection of lipopolysaccharide (LPS) was administered
consecutively on the 18th and 19th embryonic days to establish the endotoxin
induced PVL rat model. The animals were divided into four groups: (i) control,
(ii) PVL, (iii) PVL+low-dose omega3 FA and (iv) PVL+high-dose omega3 FA. At day
P7, apoptosis and hypomyelination in periventricular white matter were evaluated
by immunohistochemical assessments. RESULTS: High-dose maternal omega3 FA
treatment reduced brain weight loss. Maternal omega3 FA treatment given either in
low or high doses greatly decreased caspase-3 immunoreactivity and increased
myelin basic protein immunoreactivity, indicating a decrease in apoptosis and
hypomyelination. CONCLUSION: Considering that no specific treatment is available
for PVL, maternal omega3 FA supplementation may provide a nutritional strategy to
limit periventricular white matter damage caused by infections during pregnancy.
PMID- 21892883
TI - Patent ductus arteriosus: patho-physiology, hemodynamic effects and clinical
complications.
AB - During fetal life, patent arterial duct diverts placental oxygenated blood from
the pulmonary artery into the aorta by-passing lungs. After birth, decrease of
prostacyclins and prostaglandins concentration usually causes arterial duct
closure. This process may be delayed, or may even completely fail in preterm
infants with arterial duct still remaining patent. If that happens, blood flow by
pass of the systemic circulation through the arterial duct results in pulmonary
overflow and systemic hypoperfusion. When pulmonary flow is 50% higher than
systemic flow, a hemodynamic "paradox" results, with an increase of left
ventricular output without a subsequent increase of systemic output. Cardiac
overload support neuro-humoral effects (activation of sympathetic nervous system
and renin-angiotensin system) that finally promote heart failure. Moreover,
increased pulmonary blood flow can cause vascular congestion and pulmonary edema.
However, the most dangerous effect is cerebral under-perfusion due to diastolic
reverse-flow and resulting in cerebral hypoxia. At last, blood flow decreases
through the abdominal aorta, reducing perfusion of liver, gut and kidneys and may
cause hepatic failure, renal insufficiency and necrotizing enterocolitis.
Conclusions Large patent arterial duct may cause life-threatening multi-organ
effects. In pre-term infant early diagnosis and timely effective treatment are
cornerstones in the prevention of cerebral damage and long-term multi-organ
failure.
PMID- 21892884
TI - Tangible words are recognized faster: the grounding of meaning in sensory and
perceptual systems.
AB - Sensory experience rating (SER), a new variable motivated by the grounded
cognition framework of conceptual processing (e.g., Barsalou, 2008 ), indexes the
degree to which a word evokes sensory/perceptual experiences. In the present
study, SERs were collected for over 2,850 words. While SER is correlated with
imageability, age of acquisition, and word frequency, the latter variables (along
with seven others) account for less than 30% of the variance in SER. Reanalyses
of two large-scale studies demonstrate that SER significantly predicts lexical
decision times when other established predictor variables are statistically
controlled. These results suggest that conceptual processing is grounded in
sensory systems. Additionally, a major benefit of this variable is that it allows
psycholinguistic researchers to examine semantic-perceptual links for all word
classes with a single rating.
PMID- 21892886
TI - Lost papers (2).
PMID- 21892887
TI - Tritium in precipitation of Vostok (Antarctica): conclusions on the tritium
latitude effect.
AB - During the Antarctic summer of 1985 near the Soviet Antarctic station Vostok,
firn samples for tritium measurements were obtained down to a depth of 2.40 m.
The results of the tritium measurements are presented and discussed. Based on
this and other data, conclusions regarding the tritium latitude effect are
derived.
PMID- 21892888
TI - Environmental studies in Antarctica on the basis of tritium and radiocarbon
measurements.
AB - In 1975 and 1977, samples from snow, firn, atmospheric CO(2), plants, and penguin
guano were collected near the Soviet Antarctic research station Molodezhnaya. The
results of tritium, deuterium, oxygen-18, (13)C, and (14)C measurements are
discussed. From the tritium, deuterium, and (18)O measurement results of a firn
profile at the Hays glacier, a value of the accumulation rate of 30 g water per
cm 2 and year could be evaluated. By means of (14)C dating, the age of penguin
breeding places was determined to be 1500 +/- 500 years. (14)C data from
atmospheric CO(2) and plants are discussed in terms of the age of the plants.
PMID- 21892889
TI - Isotope variations of hydrogen, carbon and nitrogen in florae from the
Schirmacher Oasis, East Antarctica.
AB - Comparative biochemical and isotope-chemical investigations of cosmopolitical
plants open up ways of obtaining parameters from different parts of the Earth
which are characterised by variations in the habitat due to different
environments. As an Antarctic oasis, the Schirmacher Oasis disposes of adequate
favourable ecological conditions for the growth of lower plants. In the present
paper, results of isotope studies of lichens, mosses and algae of the Schirmacher
Oasis are given and peculiarities of the habitats which influence the isotope
contents of the plants are discussed.
PMID- 21892890
TI - Identification of the nitrate contamination sources of the Brusselian sands
groundwater body (Belgium) using a dual-isotope approach.
AB - Isotopic fingerprinting is an advanced technique allowing the classification of
the nitrate source pollution of groundwater, but needs further development and
validation. In this study, we performed measurements of natural stable isotopic
composition of nitrate ((15)N and (18)O) in the groundwater body of the Brussels
sands (Belgium) and studied the spatial and temporal dynamics of the isotope
signature of this aquifer. Potential nitrogen sources sampled in the region had
isotopic signatures that fell within the corresponding typical ranges found in
the literature. For a few monitoring stations, the isotopic data strongly suggest
that the sources of nitrate are from mineral fertiliser origin, as used in
agriculture and golf courses. Other stations suggest that manure leaching from
unprotected stockpiles in farms, domestic gardening practices, septic tanks and
probably cemeteries contribute to the nitrate pollution of this groundwater body.
For most monitoring stations, nitrate originates from a mixing of several
nitrogen sources. The isotopic signature of the groundwater body was poorly
structured in space, but exhibited a clear temporal structure. This temporal
structure could be explained by groundwater recharge dynamics and cycling process
of nitrogen in the soil-nitrogen pool.
PMID- 21892891
TI - Reliability of stable carbon and oxygen isotope compositions of pedogenic needle
fibre calcite as environmental indicators: examples from Western Europe.
AB - Stable carbon and oxygen isotope analyses were conducted on pedogenic needle
fibre calcite (NFC) from seven sites in areas with roughly similar temperate
climates in Western Europe, including the Swiss Jura Mountains, eastern and
southern France, northern Wales, and north-eastern Spain. The delta(13)C values (
12.5 to-6.8 0/00 Vienna Pee Dee Belemnite (VPDB)) record the predominant C(3)
vegetation cover at the sites. A good correlation was found between mean monthly
climatic parameters (air temperature, number of frost days, humidity, and
precipitation) and delta(18)O values (-7.8 to-3.40/00 VPDB) of all the NFC.
Similar seasonal variations of delta(18)O values for monthly NFC samples from the
Swiss sites and those of mean monthly delta(18)O values of local precipitation
and meteorological data point out precipitation and preferential growth/or
recrystallisation of the pedogenic needle calcite during dry seasons. These
covariations indicate the potential of stable isotope compositions of preserved
NFC in fossil soil horizons as a promising tool for palaeoenvironmental
reconstructions.
PMID- 21892892
TI - Stable isotopes determination in some Romanian fruit juices.
AB - The characterisation of 45 Romanian single-strength fruit juices (apples, pears,
plums and grapes) collected from different Transylvanian areas by means of stable
isotope approach are presented and discussed in this study. We measured
(2)H/(1)H, (18)O/(16)O ratios from water juice and (13)C/(12)C from pulp and
compared these results with those already reported in the literature for single
strength juices, in order to see how the geographical and climatic conditions of
Transylvania and the meteorological peculiarities of the year 2010 influence the
isotopic composition of the investigated fruit juices. The delta(13)C mean values
that we found for apple pulp picked up from different Transylvanian areas show
slight differences, probably due to the environmental conditions of the plants.
No significant correlation either between the variety of apple or the
geographical origin and delta(13)C value was established.
PMID- 21892893
TI - Pentecostalism and AIDS treatment in Mozambique: creating new approaches to HIV
prevention through anti-retroviral therapy.
AB - Pentecostal fervor has rapidly spread throughout central and southern Mozambique
since the end of its protracted civil war in the early 1990s. In the peri-urban
bairros and septic fringes of Mozambican cities African Independent Churches
(AICs) with Pentecostal roots and mainstream Pentecostals can now claim over half
the population as adherents. Over this same period another important phenomenon
has coincided with this church expansion: the AIDS epidemic. Pentecostalism and
HIV have travelled along similar vectors and been propelled by deepening
inequality. Recognising this relationship has important implications for HIV/AIDS
prevention and treatment strategies. The striking overlap between high HIV
prevalence in peri-urban populations and high Pentecostal participation suggests
that creative strategies, to include these movements in HIV/AIDS programming, may
influence the long-term success of HIV care and the scale-up of anti-retroviral
treatment (ART) across the region. The provision of ART has opened up new
possibilities for engaging with local communities, especially Pentecostals and
AICS, who are witnessing the immediate benefits of ARV therapy. Expanded
treatment may be the key to successful prevention as advocates of a comprehensive
approach to the epidemic have long argued.
PMID- 21892894
TI - Ideologies of Black churches in New York City and the public health crisis of HIV
among Black men who have sex with men.
AB - Black men who have sex with men (MSM) are disproportionately affected by HIV and
AIDS in New York City (NYC). Black churches in NYC have a history of engaging in
community mobilisation; however, research suggests that churches play a role in
promoting stigma against Black MSM, which impedes prevention efforts. The goal of
this study was to explore church ideologies surrounding sexuality and health, and
the relationship of these ideologies to church mobilisation in response to
HIV/AIDS among Black MSM. We conducted interviews and focus groups with pastors
and parishioners at Black churches in NYC. Three prominent themes were
identified: (1) 'Love the sinner, hate the sin'--distinguishing behaviour and
identity; (2) 'Don't ask, don't tell'--keeping same-sex behaviour private; and
(3) 'Your body is a temple'--connecting physical and spiritual health. We discuss
the implications of these ideologies for church mobilisation and HIV prevention
efforts. In doing so, we pay close attention to how ideologies may both impede
and facilitate church dialogue around sexuality and heightened responses to the
HIV crisis affecting Black MSM.
PMID- 21892895
TI - Pharmacokinetic evaluation of pramipexole.
AB - INTRODUCTION: Immediate-release (IR) pramipexole dihydrochloride is indicated for
the treatment of signs and symptoms of idiopathic Parkinson's disease (PD). It is
administered alone (without levodopa) or in combination with levodopa, during the
entire progress of the disease, up to an advanced stage. Currently, it is also
indicated for the treatment of moderate-to-severe primary restless legs syndrome
(RLS). An extended-release (ER) formulation of pramipexole has been developed to
allow a once-daily administration and to provide more stable dopaminergic
stimulation in PD patients. AREAS COVERED: This review summarizes the overall
pharmacokinetic profile of pramipexole for both the IR and ER formulations. Also
discussed are the clinically relevant determinants of pramipexole peripheral
pharmacokinetics and the potential role of genetic and clinical determinants in
drug efficacy. EXPERT OPINION: Pramipexole is a non-ergot agonist with selective
affinity for dopamine receptors of the D2 subfamily, in particular D3.
Pramipexole has a very low affinity for serotoninergic 5-HT2A and 5-HT2B
receptors, as well as D1-type receptors. Furthermore, it does not carry the risk
to induce valvular heart disease or pulmonary and retroperitoneal fibrosis, seen
with long-term use of the ergot-derived dopamine agonists. The recent
introduction of a once-daily formulation poses significant advantages for
patients, reflected by relatively stable plasma levels. The most obvious benefit
is convenience of use and better adherence to treatment schedule. Additional
advantages could include the opportunity to provide more continuous drug delivery
in a fashion that could help minimize dyskinesia risk, if the drug is used early
in the disease course.
PMID- 21892896
TI - Central role of mitochondria in drug-induced liver injury.
AB - A frequent mechanism for drug-induced liver injury (DILI) is the formation of
reactive metabolites that trigger hepatitis through direct toxicity or immune
reactions. Both events cause mitochondrial membrane disruption. Genetic or
acquired factors predispose to metabolite-mediated hepatitis by increasing the
formation of the reactive metabolite, decreasing its detoxification, or by the
presence of critical human leukocyte antigen molecule(s). In other instances, the
parent drug itself triggers mitochondrial membrane disruption or inhibits
mitochondrial function through different mechanisms. Drugs can sequester coenzyme
A or can inhibit mitochondrial beta-oxidation enzymes, the transfer of electrons
along the respiratory chain, or adenosine triphosphate (ATP) synthase. Drugs can
also destroy mitochondrial DNA, inhibit its replication, decrease mitochondrial
transcripts, or hamper mitochondrial protein synthesis. Quite often, a single
drug has many different effects on mitochondrial function. A severe impairment of
oxidative phosphorylation decreases hepatic ATP, leading to cell dysfunction or
necrosis; it can also secondarily inhibit beta-oxidation, thus causing steatosis,
and can also inhibit pyruvate catabolism, leading to lactic acidosis. A severe
impairment of beta-oxidation can cause a fatty liver; further, decreased
gluconeogenesis and increased utilization of glucose to compensate for the
inability to oxidize fatty acids, together with the mitochondrial toxicity of
accumulated free fatty acids and lipid peroxidation products, may impair energy
production, possibly leading to coma and death. Susceptibility to parent drug
mediated mitochondrial dysfunction can be increased by factors impairing the
removal of the toxic parent compound or by the presence of other medical
condition(s) impairing mitochondrial function. New drug molecules should be
screened for possible mitochondrial effects.
PMID- 21892897
TI - Nasopharyngeal carriage of Streptococcus pneumoniae, Haemophilus influenzae,
Moraxella catarrhalis, and Alloiococcus otitidis in young children in the era of
pneumococcal immunization, Taiwan.
AB - We applied a multiplex polymerase chain reaction (PCR) and culture to detect
Streptococcus pneumoniae and detected 3 other respiratory pathogens--Haemophilus
influenzae, Moraxella catarrhalis, and Alloiococcus otitidis--simultaneously by
PCR, in the nasopharynx of 386 children aged under 5 y. S. pneumoniae was the
most common pathogen carried by children in all age groups, with the rate ranging
from 15.8% in children aged 3-4 y to 28.6% in children aged 2-3 y. H. influenzae
and M. catarrhalis showed similar carriage rates across all the age groups. Only
2 young children (0.5%) carried A. otitidis. Higher carriage of S. pneumoniae was
found in children who had not received the heptavalent pneumococcal conjugate
vaccine (PCV7). Cefotaxime non-susceptibility was high (51.4%) in S. pneumoniae
nasopharyngeal isolates. Serotype 6B was the most common in fully immunized
carriers and also in those who received catch-up immunization. Due to low PCV7
coverage in Taiwan, the carriage of vaccine and non-vaccine serotypes of S.
pneumoniae in children remains common.
PMID- 21892898
TI - A review of murine models of latent tuberculosis infection.
AB - The mechanisms of latency and the causes of reactivation of Mycobacterium
tuberculosis remain poorly understood; an important reason for this gap in
knowledge is the absence of a standardized animal model of latent tuberculosis
infection (LTBI). A complete LTBI model should incorporate 2 aspects of LTBI: a
persistent infection model with a low bacterial load and a latent infection model
that is modified from the Cornell model. Many parameters must be carefully
considered to establish an LTBI model, including the inoculating dose, the route
of infection, the time interval between infection and the initiation of
antibiotic therapy, and the genetic background of the host animal. The
responsiveness of this mouse model of LTBI can be assessed through the integrated
use of indices, including Karnofsky performance status, bacterial load in spleen
and lungs, induced levels of interferon-gamma and tumour necrosis factor-alpha,
expression of interleukin (IL)-10 and IL-4 in tissues, specific antigen load in
organs, time required for hormone-induced TB relapse, expression level of
dormancy genes, and CD4 T-cell count.
PMID- 21892899
TI - Early detection of severe sepsis in the emergency room: diagnostic value of
plasma C-reactive protein, procalcitonin, and interleukin-6.
AB - OBJECTIVES: To determine the diagnostic values of plasma C-reactive protein
(CRP), procalcitonin (PCT), and interleukin-6 (IL-6) using an
electrochemiluminescence immunoassay (ECLIA) method (Roche Diagnostics GmbH,
Mannheim, Germany) to identify severe sepsis in an emergency room (ER) setting.
METHODS: This was a single-centre prospective follow-up study of 539 consecutive
adult patients admitted to the ER with suspected infection. Blood samples were
taken concurrently with blood cultures at admission. Patients were divided into 5
groups on the basis of systemic inflammatory response syndrome (SIRS) criteria,
documentation of bacterial infection, and organ dysfunction. Fifty-nine patients
with no SIRS or bacterial infection, 68 patients with bacterial infection but no
SIRS, 54 patients with SIRS but no bacterial infection, 309 patients with sepsis
(SIRS and bacterial infection), and 49 patients with severe sepsis (sepsis and
organ failure) were evaluated. RESULTS: In a logistic regression model, the odds
ratio (OR) for PCT was 1.58 (95% confidence interval (CI) 1.37-1.82, p < 0.0001),
for IL-6 was 1.54 (95% CI 1.32-1.80, p < 0.0001), and for CRP was 1.33 (95% CI
1.01-1.75, p = 0.045). The area under the curve (AUC) was 0.77 (95% CI 0.71-0.84)
for PCT, 0.72 (95% CI 0.64-0.80) for IL-6, and 0.60 (95% CI 0.51-0.69) for CRP.
PCT emerged as the best marker for severe sepsis, but the difference in AUC was
not significant between PCT and IL-6. In multivariate logistic regression
analysis, after adjusting for confounders, PCT and IL-6 remained significant
independent predictors of severe sepsis. CONCLUSIONS: PCT and IL-6 proved
superior to CRP in detecting patients with severe sepsis. The findings thus
support the use of either PCT or IL-6 as an early tool to diagnose severe sepsis.
The automatic ECLIA method allows even night-shift measurements.
PMID- 21892900
TI - Effect of chewing gum on static posturography in patients with balance disorders.
AB - CONCLUSION: The chewing gum indirectly affects postural control by influencing
vestibular function to stabilize posture during upright standing. OBJECTIVES:
This study aimed to evaluate the effect of chewing gum on static posturography in
patients. METHODS: The subjects were 26 patients with chronic balance disorders.
The subjects were instructed to stand as stably as possible on the force
platform. The recording was conducted four times. For the first evaluation,
postural sway was measured during motionless standing. Two weeks after the
recording, the postural sway was recorded again as a second evaluation.
Thereafter, the subjects were instructed to chew gum for 3 min. The third
evaluation was conducted while the subjects continued to chew gum. Then 1 h after
the subject had stopped chewing gum, a fourth evaluation was obtained. The total
path length (LNG) and rectangle area (REC) were analyzed. RESULTS: We found that
postural stability tended to improve while the subjects masticated gum. Both LNG
and REC were significantly improved while the subjects chewed gum with their eyes
closed. In patients without canal paralysis (CP), the measurements of LNG with
eyes closed and REC with eyes open were significantly decreased while masticating
gum. In patients with CP, the REC, but not LNG, was significantly decreased while
masticating gum both with eyes open and eyes closed.
PMID- 21892901
TI - The reproductive health report: The state of sexual and reproductive health
within the European Union.
PMID- 21892902
TI - Natriuretic peptide levels in intrauterine growth-restricted fetuses with absent
and reversed end-diastolic flow of the umbilical artery in relation to ductus
venosus flow velocities.
AB - OBJECTIVE: To determine if changes in natriuretic peptide levels [propeptide of
atrial natriuretic peptide (proANP) and N-terminal propeptide of brain
natriuretic peptide (NT-proBNP)] can be demonstrated in fetuses with serious
growth restriction and Doppler ultrasonographic findings of hemodynamic
compromise. METHOD: Natriuretic peptides of the umbilical vein (proANP and NT
proBNP), arterial and venous blood gases, as well as lactate levels of the
umbilical vein were determined in 38 appropriate for gestational age (AGA)
fetuses, and 24 intrauterine growth-restricted (IUGR) fetuses with absent (zero
flow) and 17 IUGR fetuses with reversed end-diastolic flow (reverse-flow) of the
umbilical artery. RESULTS: A continuous increase in natriuretic peptides in the
presence of progressive deterioration was shown. ANP concentrations were
significantly lower in the AGA group (mean 818 fmol/mL; range 508-991 fmol/mL)
than the zero-flow group (mean 19,680 fmol/mL; range 13,680-25,080 fmol/mL) and
the reverse-flow group (mean 33,880 fmol/mL; range 24,365-41,786 fmol/mL).
Significant differences were further demonstrated in BNP concentrations of the
AGA group (mean 32 fmol/L; range 25-38 fmol/L), zero-flow group (mean 1191
fmol/L; range 908-1655 fmol/L), and reverse-flow group (mean 3063 fmol/L; range
1281-4968 fmol/L). Additionally, significant differences in lactate
concentrations were observed for the three groups: AGA, 2.6 mmol/L (range 2-3
mmol/L); zero-flow group, 4.1 mmol/L (range 3.3-5 mmol/L); and reverse-flow
group, 7.7 mmol/L (range 5.9-13.7 mmol/L). Increased ANP levels correlated with
the arterial base excess and lactate concentrations, as well as with the flow
velocities in the ductus venosus during atrial contraction (a-wave), and both
ductus venosus indices (S-a)/D and (S-a)/V(mean). No correlations were
established for BNP. CONCLUSIONS: As components of a compensatory mechanism,
natriuretic peptides exert an influence on the cardiovascular function of the
fetus.
PMID- 21892903
TI - Inter- and intra-observer variation of fetal volume measurements with three
dimensional ultrasound in the first trimester of pregnancy.
AB - OBJECTIVES: To determine the inter- and intra-observer variation of volume
calculations of human fetuses at a gestational age of 11(+0)-13(+6) weeks by
three-dimensional ultrasound (3DUS). METHODS: 3DUS datasets were acquired during
nuchal translucency measurements. The fetal volume (FV) was measured in 65 cases
by two independent investigators. The Virtual Organ Computer aided AnaLysis
(VOCALTM) imaging software was used to manually calculate the FV (rotational
angle 9 degrees ). Inter- and intra-observer variation were assessed by Bland
Altman plots and intraclass correlation coefficients (ICC). RESULTS: Both inter-
and intraobserver reproducibility were highly reliable as shown by the Bland
Altman plots and an ICC of respectively 0.934 and 0.994. CONCLUSION: FV
calculation by 3DUS with VOCAL and a rotational angle of 9 degrees is feasible
and has a high inter- and intraobserver reliability in the first trimester of
pregnancy.
PMID- 21892906
TI - Role of biomarkers in cardioncology.
AB - Cardiotoxicity is a serious adverse effect of anticancer drugs, impacting on
quality of life and overall survival of cancer patients. According to the current
standard for monitoring cardiac function, cardiotoxicity is usually detected only
when a functional impairment has already occurred, precluding any chance of
preventing its development. Over the last decade, however, a new approach, based
on the use of cardiac biomarkers, has emerged, and has proven to be an effective
alternative strategy for early detection of subclinical cardiac injury. In
particular, the role of troponin I in identifying patients at risk of
cardiotoxicity and of angiotensin-converting enzyme inhibitors in preventing left
ventricular ejection fraction reduction and late cardiac events represent an
effective tool for the prevention of this complication.
PMID- 21892905
TI - High-sensitive troponin T in chronic heart failure correlates with severity of
symptoms, left ventricular dysfunction and prognosis independently from N
terminal pro-b-type natriuretic peptide.
AB - BACKGROUND: Troponin T is an established marker of myocardial ischemia. We
speculated that the role of the new high-sensitive troponin T (hs-cTnT) might
expand towards non-ischemic myocardial disease, indicate disease severity and
allow for prognostication in chronic heart failure. METHODS: Hs-cTnT (Roche
Diagnostics, Mannheim, Germany) was assessed in 233 individuals with chronic
heart failure (n=149) or healthy controls (n=84). RESULTS: Hs-cTnT was
significantly elevated in patients with chronic heart failure [0.018 ng/mL,
interquartile range (IQR) 0.009-0.036 ng/mL, vs. controls 0.003 ng/mL, 0.003
0.003 ng/mL, p<0.001] and positively correlated with N-terminal pro-b-type
natriuretic peptide (NT-proBNP) (r=0.79, p<0.001). Hs-cTnT increased stepwise and
signitificantly according to clinical (NYHA stage) as well as functional (LV
ejection fraction, fluid retention) severity (each p<0.001). At a binary cutpoint
of 0.014 ng/mL, hs-TropT was a significant predictor of all-cause mortality and
all-cause mortality or rehospitalization for congestive heart failure (each
p<=0.01). Of note, the prognostic value of hs-TropT was independent and additive
to that of NT-proBNP. CONCLUSIONS: Hs-cTnT increases stepwise with the severity
of symptoms and LV dysfunction and offers important prognostic information in
chronic heart failure, independently from and additive to NT-proBNP. The utility
of hs-cTnT expands beyond acute myocardial ischemia and towards chronic heart
failure.
PMID- 21892907
TI - High-sensitivity troponin assays in the evaluation of patients with acute chest
pain in the emergency department.
AB - Evaluating patients with acute chest pain presenting to the emergency department
remains an ongoing challenge. The spectrum of etiologies in acute chest pain
ranges from minor disease entities to life-threatening diseases, such as
pulmonary embolism, acute aortic dissection or acute myocardial infarction (MI).
The diagnosis of acute MI is usually made integrating the triad of patient
history and clinical presentation, readings of 12-lead ECG and measurement of
cardiac troponins (cTn). Introduction of high-sensitivity cTn assays
substantially increases sensitivity to identify patients with acute MI even at
the time of presentation to the emergency department at the cost of specificity.
However, the proportion of patients presenting with cTn positive, non-vascular
cardiac chest pain triples with the implementation of new sensitive cTn assays
increasing the difficulty for the emergency physician to identify those patients
who are at need for invasive diagnostics. The main objectives of this mini-review
are 1) to discuss elements of disposition decision made by the emergency
physician for the evaluation of chest pain patients, 2) to summarize recent
advances in assay technology and relate these findings into the clinical context,
and 3) to discuss possible consequences for the clinical work and suggest an
algorithm for the clinical evaluation of chest pain patients in the emergency
department.
PMID- 21892908
TI - Increased plasma concentrations of tumour markers in the absence of neoplasia.
AB - Tumour markers are a very heterogeneous group of molecules that are generally
found in very small concentrations in the plasma and serum of healthy
individuals. In the process of neoplastic differentiation the cell can
synthesize, release, or induce synthesis of other cells, thus increasing their
concentration in plasma and serum. These substances may also increase their
plasma concentration in patients without cancer due to processes that increase
the release or reduce catabolism, and so give rise to false positives. An
understanding of the main physiopathological processes that increase the
concentrations of these substances could improve our interpretation of tumour
markers and their clinical application. In this study we review the
physiopathological processes that may increase the plasma concentrations of
tumour markers. We performed a bibliography review in PubMed, searching for
causes of false positives for the following tumour markers: alpha-Fetoprotein, CA
125, CA 15-3, CA 19-9, CA 72-4, carcinoembryonic antigen, CYFRA 21-1, squamous
cell carcinoma, prostatic specific antigen, beta(2)-microglobulin,
choriogonadotropin (beta chain), chromogranin A, neuron specific enolase, HER2
neu, progastrin releasing peptide, S-100, and thyroglobulin. The results favour
the use of tests which can identify pathological processes that may increase
tumour marker concentrations.
PMID- 21892909
TI - Rapid HLA-B27 screening with real-time TaqMan PCR: a clinical validation in the
Dutch population.
AB - BACKGROUND: Human leukocyte antigen B27 (HLA-B27) is strongly associated with
ankylosing spondylitis. The B27 allele is present in 90% of patients with this
disease, whereas it is present in only 9% of Caucasians. Molecular detection of
HLA-B27 is traditionally based on allele specific amplification of exon 2 (Olerup
method) or exon 3 (Dominguez method) by PCR, followed by gel analysis. METHODS:
We developed a real-time TaqMan PCR based on the Dominguez method with a beta
Globin PCR as internal control. RESULTS: A total of 544 clinical samples were
used to compare the real-time TaqMan PCR with the traditional Dominguez PCR, the
traditional Olerup PCR and a commercial Olerup based HLA-B27 detection kit
(Olerup SSPTM HLA-B27, GenoVision). While 542 samples gave concordant results,
two samples showed discrepancies and were further analyzed. One sample that
showed a discrepancy was negative with the traditional Olerup method and positive
with the three other procedures. Sequencing analysis showed the presence of HLA
B*2712 in this sample. The other sample, positive with both Olerup based PCRs and
negative with both Dominguez based methods, turned out to be positive for HLA
B*2707 by sequence analysis. CONCLUSIONS: With a correct result for 543 out of
544 samples (99.8%), we consider our real-time HLA-B27 PCR is a reliable method
to detect HLA-B27 in the Dutch population, with reduced hands-on time and
contamination risk compared to traditional PCR methods.
PMID- 21892911
TI - Thank you, indeed!
PMID- 21892910
TI - Determination of asymmetric dimethyl arginine in human serum by liquid
chromatography-tandem mass spectrometry: clinical application in hypertensive
subjects.
AB - BACKGROUND: Asymmetric dimethylarginine (ADMA), an endogenous competitive
inhibitor of nitric oxide synthase plays an important role in endothelial
dysfunction processes. Recent studies have linked high ADMA levels with several
pathological conditions. The interest as a marker of endothelial dysfunction has
increased in the last few years. In this paper, a method for serum ADMA
quantification by liquid chromatography tandem mass spectrometry has been
described. To test the utility in a pathological condition ADMA levels in
hypertensive subjects have been measured. METHODS: HPLC separation was performed
by hydrophilic interaction chromatography using acetonitrile/water containing
0.1% formic acid and 20 mmol/L ammonium formate. Selected reaction monitoring was
performed following the transitions m/z 203.1->46.4 for ADMA and 210.1->46.3 for
the internal standard [2H7]ADMA. RESULTS: The method was linear up to 10 MUmol/L,
limit of detection and limit of quantification were 0.005 MUmol/L and 0.01
MUmol/L, respectively. Recovery was higher than 96%. Intra- and inter-assay
imprecision were lower than 6%. The accuracy, expressed as bias %, was <2.5. ADMA
in "healthy" subjects ranged from 0.343 to 0.608 MUmol/L and resulted
significantly lower than that measured in hypertensive subjects (p<0.001).
CONCLUSIONS: The method developed is selective and sensitive, thus suitable not
only for research purposes, but also for routinely work.
PMID- 21892912
TI - Influence of hemolysis on troponin testing: studies on Beckman Coulter UniCel Dxl
800 Accu-TnI and overview of the literature.
AB - BACKGROUND: Hemolyzed specimens are the leading pre-analytical problem in the
laboratory practice, and exert a negative impact on test results. We assessed the
reliability of Beckman Coulter UniCel Dxl 800 Accu-TnI testing on hemolyzed
specimens. METHODS: Twelve non-hemolyzed K2EDTA-anticoagulated samples displaying
Accu-TnI values >0.20 MUg/L and nine with values <0.04 MUg/L were selected and
three aliquots were obtained from each. The first ("#A") was processed without
further manipulation, whereas the second ("#B") and third ("#C") were hemolyzed
by aspirating anticoagulated blood through a thin needle. Plasma was separated
and tested for hemolysis index (HI) and Accu-TnI. RESULTS: As compared with
aliquot #A (HI: 0), a progressive increase of hemolysis occurred in aliquots #B
(HI: 25) and #C (HI: 45). The concentration of Accu-TnI gradually decreased from
aliquots #A (0.89 MUg/L, 0.20-20.16 MUg/L), to aliquots #B (0.81 MUg/L, 95% CI
0.17-18.37 MUg/L; p=0.041) and #C (0.78 MUg/L, 95% CI 0.15-17.48 MUg/L; p=0.026).
In 0/12 (aliquots #B) and 3/12 cases (aliquots #C) the percent decrease exceeded
20% variation. The values remained unchanged in nine samples with Accu-TnI <0.04
MUg/L. CONCLUSIONS: Accu-TnI values decrease in hemolyzed samples, but the bias
might not be clinically significant in samples with hemoglobin <14.5 g/L.
PMID- 21892913
TI - New insights into adipose tissue dysfunction in insulin resistance.
AB - In a state of caloric excess, adipose tissue plays an essential role by storing
lipids. Its expandability determines the onset of metabolic syndrome (central
obesity, dyslipidemia, glucose intolerance and hypertension). When the adipocyte
endoplasmic reticulum is no longer capable of processing the excess nutrients,
the so-called "endoplasmic reticulum stress" develops. This triggers efflux of
free fatty acids from adipocytes into the circulation and causes triglyceride
overload in skeletal muscle, liver and pancreas. Adipose tissue hypoxia then
develops, due to the failure of vasculature to expand with adipocyte hypertrophy.
Increased catabolism in mitochondria leads there to oxidative stress. Both
phenomena cause deranged adipokine secretion and low-grade inflammation.
Inflammatory cytokines, reactive oxygen species and ectopic lipid deposition are
the main mediators of insulin resistance and vascular impairment, which both lead
finally to diabetes type 2 and cardiovascular disease. Recently, fibrosis of
adipose tissue was also demonstrated in obesity, contributing to the interplay of
deleterious factors forcing inflammation. The present paper reviews recent
evidence for adipose tissue dysfunction, trying to define causes and
consequences. In conclusion, insulin resistance and associated complications
originate from excess lipids, which cannot be stored without limit in adipose
tissue, thus affecting its integrity and adipokine secretion.
PMID- 21892914
TI - beta-Thalassemia mutations in subjects with borderline HbA2 values: a pilot study
in North India.
AB - BACKGROUND: Interpreting hemoglobin high performance liquid chromatograms with
borderline HbA2 values is often problematic, especially in antenatal cases if the
partner is a known thalassemia trait. METHODS: We tested for underlying beta
thalassemia mutations in 25 subjects with borderline HbA2 values (between 3.0%
4.0%). Amplification refractory mutation system (ARMS-PCR) was used to detect the
five common Indian beta-thalassemia mutations: (IVS-I-5 (G>C), IVS-I-1 (G>T),
codons 8/9 (+G), codons 41/42 (-TTCT) and 619 bp deletion). beta-Globin gene
sequencing was performed if no mutation was detected. RESULTS: A beta-globin gene
defect was identified in 8 (32%) of the 25 cases with HbA2 levels ranging from
3.5%-3.9%. ARMS-PCR revealed IVS-I-5 (G>C) in three, 619 bp deletion in two and
codons 41/42 (-TTCT) in one case. Two cases had CAP +1 (A>C) mutation on gene
sequencing. IVS-I-1 (G>T) and codons 8/9 (+G) were not found in this small
cohort. CONCLUSIONS: Mutation analysis should be offered to all at-risk couples
with borderline HbA2, especially those with values between 3.5% and 4.0% and
microcytic hypochromic indices. Significant mutations different from those in
other ethnic populations were seen in this small institution-based study.
PMID- 21892915
TI - MicroRNA-21 inhibits toll-like receptor 2 agonist-induced lung inflammation in
mice.
AB - Impaired airway innate immunity (e.g., suppressed Toll-like receptor 2 [TLR2]
signaling) has been reported in allergic lungs with bacterial infection.
Recently, an allergic mouse lung milieu including the T-helper type 2 (Th2)
cytokine interleukin-13 (IL-13) has been shown to up-regulate lung microRNA-21
(miR-21) expression. Whether miR-21 modulates in vivo TLR2 signaling is unknown.
The goal of this study was to determine if in vivo, miR-21 regulates a TLR2
agonist-induced lung inflammatory response. Balb/c mice were intranasally
pretreated with a locked nucleic acid (LNA) in vivo inhibitor probe for mouse miR
21 or a control probe, followed by intranasal instillation of a TLR2 agonist
Pam3CSK4, or saline (control). Four and/or 24 hours later, mice treated with the
miR-21 inhibitor probe, as compared to the control probe, significantly increased
lung leukocytes, including neutrophils and the keratinocyte-derived chemokine
(KC). IL-13 treatment for 72 hours increased (P < .05) miR-21 in cultured primary
normal human airway epithelial cells. These results, for the first time, suggest
an in vivo role of miR-21 in suppressing TLR2 signaling, and further support that
IL-13 can up-regulate miR-21 in human airway epithelial cells. Functional studies
on miR-21 likely provide novel approaches to modulate TLR2 signaling in Th2
cytokine-exposed airways.
PMID- 21892916
TI - Toxicities by herbal medicines with emphasis to traditional Chinese medicine.
AB - It is estimated that three quarters of the world population rely on herbal and
traditional medicine as a basis for primary health care. Therefore, it is one of
the most important and challenging tasks for scientists working in drug research
to investigate the efficacy of herbal medicine, to dissect favorable from adverse
effects, to identify active principles in medicinal plants and to ban poisonous
plants or contaminations from herbal mixtures. In the present review, some
problems are critically discussed. Botanical misidentification or mislabeling of
plant material can play a role for toxic reactions in humans. Some plant
descriptions in traditional herbal medicine (e.g. traditional Chinese medicine)
have changed over time, which may lead to unintended intoxication by using wrong
plants. A problem is also the contamination of herbals with microorganisms,
fungal toxins such as aflatoxin, with pesticides and heavy metals. Unprofessional
processing, which differs from safe traditional preparation represents another
potential source for herbal poisoning. Unwanted effects of herbal products may
also develop by the interaction of herbs with conventional drugs upon concomitant
intake. The art of herbal medicine is to dissect pharmacologically and
therapeutically valuable herbal drugs from harmful and toxic ones and to develop
combinations of medicinal plants as safe and efficient herbal remedies.
Standardization and strict control measures are necessary to monitor sustainable
high quality of herbal products and to exclude contaminations that badly affect
patients consuming herbal medicine.
PMID- 21892917
TI - PEGylation of proteins and liposomes: a powerful and flexible strategy to improve
the drug delivery.
AB - PEGylation is one of the most successful strategies to improve the delivery of
therapeutic molecules such as proteins, macromolecular carriers, small drugs,
oligonucleotides, and other biomolecules. PEGylation increase the size and
molecular weight of conjugated biomolecules and improves their pharmacokinetics
and pharmacodinamics by increasing water solubility, protecting from enzymatic
degradation, reducing renal clearance and limiting immunogenic and antigenic
reactions. PEGylated molecules show increased half-life, decreased plasma
clearance, and different biodistribution, in comparison with non-PEGylated
counterparts. These features appear to be very useful for therapeutic proteins,
since the high stability and very low immunogenicity of PEGylated proteins result
in sustained clinical response with minimal dose and less frequent
administration. PEGylation of liposomes improves not only the stability and
circulation time, but also the 'passive' targeting ability on tumoral tissues,
through a process known as the enhanced permeation retention effect, able to
improve the therapeutic effects and reduce the toxicity of encapsulated drug. The
molecular weight, shape, reactivity, specificity, and type of bond of PEG moiety
are crucial in determining the effect on PEGylated molecules and, at present,
researchers have the chance to select among tens of PEG derivatives and PEG
conjugation technologies, in order to design the best PEGylation strategy for
each particular application. The aim of the present review will be to elucidate
the principles of PEGylation chemistry and to describe the already marketed
PEGylated proteins and liposomes by focusing our attention to some enlightening
examples of how this technology could dramatically influence the clinical
application of therapeutic biomolecules.
PMID- 21892918
TI - The ligand nanoparticle conjugation approach for targeted cancer therapy.
AB - Cancer therapy often requires frequent and high drug dosing. Yet, despite the
significant progress in cancer research and the wide versatility of potent
available drugs, treatment efficacy is still hurdled and often failed by the lack
of pharmaco-selectivity to diseased cells, indiscriminate drug toxicities and
poor patient compliance. Thus, innovative pharmaceutical solutions are needed to
effectively deliver the cytotoxic drugs specifically to the tumor site while
minimizing systemic exposure to frequent and high drug doses. Polymeric
nanocarriers, particularly nanoparticles, have been extensively studied for
improved oncological use. Such nanocarriers hold great potential in cancer
treatment as they can be biocompatible, adapted to specific needs, tolerated and
deliver high drug payloads while targeting tumors. Active targeting, as opposed
to passive targeting, should add value to selective and site specific treatment.
Active targeting of nanosized drug delivery systems is firmly rooted in the Magic
Bullet Concept as was envisioned by Paul Ehrlich over 100 years ago. This
targeting strategy is based on the molecular recognition of tumor biomarkers
which are over-expressed on cancer cells, via specific vector molecules
conjugated to the surface of the drug carrier. These vector molecules dictate the
carrier's biodistribution and its biological affinity to the desired site of
action. Many recent publications have shown encouraging results suggesting that
targeting nanocarriers represent a highly-promising strategy for improved cancer
treatment. This chapter will focus mainly on polymeric nanoparticles as the main
drug carriers to be conjugated to various ligands able to deliver the drug to the
specific desired pathological tissue.
PMID- 21892920
TI - Hsp70 in oncology.
AB - Hsp70 classes of molecular chaperones are highly conserved in all organisms and
play an essential role in the maintenance of cellular homeostasis. Hsp70s assist
nascent chain protein folding and denatured proteins, as well as the import of
proteins to the organelles, and solubilization of aggregated proteins. ATPase
function is required for Hsp70 function. Hsp70s use ATP hydrolysis driven
mechanism for substrate protein binding and release. Various Hsps are unregulated
in cancers but their significance for tumor growth is poorly understood. Studies
have linked Hsp70 to several types of carcinoma. Human Hsp70s allow proliferation
of cancer cells and suppress apoptotic and senescence pathways. This review
presents Hsp70s role for growth of transformed cells and the current state of
Hsp70 as a drug target along with recent patents in humans in this particular
area.
PMID- 21892919
TI - Design of curcumin loaded cellulose nanoparticles for prostate cancer.
AB - Prostate cancer (PC) is the most frequently diagnosed disease in men in the
United States. Curcumin (CUR), a natural diphenol, has shown potent anti-cancer
efficacy in various types of cancers. However, suboptimal pharmacokinetics and
poor bioavailability limit its effective use in cancer therapeutics. Several
successful CUR nanoformulations have recently been reported which improve upon
these features; however, there is no personalized safe nanoformulation for
prostate cancer. This study contributes two important scientific aspects of
prostate cancer therapeutics. The first objective was to investigate the
comparative cellular uptake and cytotoxicity evaluation of beta-cyclodextrin
(CD), hydroxypropyl methylcellulose (cellulose), poly(lactic-co-glycolic acid)
(PLGA), magnetic nanoparticles (MNP), and dendrimer based CUR nanoformulations in
prostate cancer cells. Curcumin loaded cellulose nanoparticles (cellulose-CUR)
formulation exhibited the highest cellular uptake and caused maximum
ultrastructural changes related to apoptosis (presence of vacuoles) in prostate
cancer cells. Secondly, the anti-cancer potential of the cellulose-CUR
formulation was evaluated in cell culture models using cell proliferation, colony
formation and apoptosis (7-AAD staining) assays. In these assays, the cellulose
CUR formulation showed improved anti-cancer efficacy compared to free curcumin.
Our study shows, for the first time, the feasibility of cellulose-CUR formulation
and its potential use in prostate cancer therapy.
PMID- 21892921
TI - PKCbeta inhibition with ruboxistaurin reduces oxidative stress and attenuates
left ventricular hypertrophy and dysfunction in rats with streptozotocin-induced
diabetes.
AB - Oxidative stress plays critical roles in the development of diabetic
cardiovascular complications, including myocardial hypertrophy. The beta isoform
of PKC (protein kinase C) is preferentially overexpressed in the myocardium of
diabetic subjects accompanied with increased activation of the pro-oxidant enzyme
NADPH oxidase, which may exacerbate oxidative stress. We hypothesized that
myocardial PKCbeta is a major upstream mediator of oxidative stress in diabetes
and that PKCbeta inhibition can attenuate myocardial hypertrophy and dysfunction.
Control or streptozotocin-induced diabetic rats were treated with the selective
PKCbeta inhibitor RBX (ruboxistaurin; 1 mg/kg of body weight per day) or the
antioxidant NAC (N-acetylcysteine) for 4 weeks. LV (left ventricular) dimensions
and functions were detected by echocardiography. 15-F2t-isoprostane (a specific
index of oxidative stress) and myocardial activities of superoxide dismutase as
well as protein levels of NADPH oxidase were assessed by immunoassay or Western
blotting. Echocardiography revealed that the LV mass/body weight ratio was
significantly increased in diabetic rats (P<0.01 compared with the control group)
in parallel with the impaired LV relaxation. A significant increase in
cardiomyocyte cross-sectional area was observed in diabetic rats accompanied by
an increased production of O2- (superoxide anion) and 15-F2t-isoprostane (all
P<0.05 compared with the control group). RBX normalized these changes with
concomitant inhibition of PKCbeta2 activation and prevention of NADPH oxidase
subunit p67phox membrane translocation and p22phox overexpression. The effects of
RBX were comparable with that of NAC, except that NAC was inferior to RBX in
attenuating cardiac dysfunction. It is concluded that RBX can ameliorate
myocardial hypertrophy and dysfunction in diabetes, which may represent a novel
therapy in the prevention of diabetic cardiovascular complications.
PMID- 21892923
TI - Neurotrophin-4 modulates the mechanotransducer Cav3.2 T-type calcium current in
mice down-hair neurons.
AB - The T-type Ca2+ channel Cav3.2 is expressed in nociceptive and mechanosensitive
sensory neurons. The mechanosensitive D-hair (down-hair) neurons, which innervate
hair follicles, are characterized by a large-amplitude Cav3.2 T-current involved
in the amplification of slow-moving stimuli. The molecules and signalling
pathways that regulate T-current expression in mechanoreceptors are unknown. In
the present study, we investigated the effects of NT-4 (neurotrophin-4) on Cav3.2
T-current expression in D-hair neurons in vitro. Interruption of the supply of NT
4 with peripheral nerve axotomy induced a non-transcriptional decrease in the T
current amplitude of fluorogold-labelled axotomized sensory neurons. The T
current amplitude was restored by incubation with NT-4. Deletion of NT-4 through
genetic ablation resulted in a similar selective loss of the large-amplitude T
current in NT-4-/- sensory neurons, which was rescued by the addition of NT-4. NT
4 had no effect on the T-current in Cav3.2-/- D-hair neurons. Neither the
biophysical properties of the T-current nor the transcript expression of Cav3.2
were modified by NT-4. Pharmacological screening of signalling pathways activated
under the high-affinity NT-4 receptor TrkB (tropomyosin receptor kinase B)
identified a role for PI3K (phosphoinositide 3-kinase) in the potentiation of T
current. The results of the present study demonstrate the post-transcriptional up
regulation of the Cav3.2 T-current through TrkB activation and identify NT-4 as a
target-derived factor that regulates the mechanosensitive function of D-hair
neurons through expression of the T-current.
PMID- 21892922
TI - Selenium-containing amino acids are targets for myeloperoxidase-derived
hypothiocyanous acid: determination of absolute rate constants and implications
for biological damage.
AB - Elevated MPO (myeloperoxidase) levels are associated with multiple human
inflammatory pathologies. MPO catalyses the oxidation of Cl-, Br- and SCN- by
H2O2 to generate the powerful oxidants hypochlorous acid (HOCl), hypobromous acid
(HOBr) and hypothiocyanous acid (HOSCN) respectively. These species are
antibacterial agents, but misplaced or excessive production is implicated in
tissue damage at sites of inflammation. Unlike HOCl and HOBr, which react with
multiple targets, HOSCN targets cysteine residues with considerable selectivity.
In the light of this reactivity, we hypothesized that Sec (selenocysteine)
residues should also be rapidly oxidized by HOSCN, as selenium atoms are better
nucleophiles than sulfur. Such oxidation might inactivate critical Sec-containing
cellular protective enzymes such as GPx (glutathione peroxidase) and TrxR
(thioredoxin reductase). Stopped-flow kinetic studies indicate that seleno
compounds react rapidly with HOSCN with rate constants, k, in the range 2.8*10(3)
5.8*10(6) M-1.s-1 (for selenomethionine and selenocystamine respectively). These
values are ~6000-fold higher than the corresponding values for H2O2, and are also
considerably larger than for the reaction of HOSCN with thiols (16-fold for
cysteine and 80-fold for selenocystamine). Enzyme studies indicate that GPx and
TrxR, but not glutathione reductase, are inactivated by HOSCN in a concentration
dependent manner; k for GPx has been determined as ~5*105 M-1.s-1. Decomposed
HOSCN did not induce inactivation. These data indicate that selenocysteine
residues are oxidized rapidly by HOSCN, with this resulting in the inhibition of
the critical intracellular Sec-dependent protective enzymes GPx and TrxR.
PMID- 21892924
TI - Inhibition of polyglutamine-mediated proteotoxicity by Astragalus membranaceus
polysaccharide through the DAF-16/FOXO transcription factor in Caenorhabditis
elegans.
AB - Late-onset neurodegenerative diseases are characterized by progressive
accumulation of aggregation-prone proteins and global disruption of the
proteostasis network, e.g. abnormal polyQ (polyglutamine) aggregation in
Huntington's disease. Astragalus membranaceus polysaccharide (astragalan) has
recently been shown to modulate aging and proteotoxic stress pathways. Using
Caenorhabditis elegans models, we now show that astragalan not only reduces polyQ
aggregation, but also alleviates the associated neurotoxicity. We also reveal
that astragalan can extend the adult lifespan of wild-type and polyQ nematodes,
indicating a connection of its anti-aging benefit with the toxicity-suppressing
effect. Further examination demonstrates that astragalan can extend the lifespan
of daf-2 and age-1, but not daf-16, mutant nematodes of the insulin-like aging
and stress pathway, suggesting a lifespan-regulation signalling independent of
DAF (abnormal dauer formation)-2/IGF-1R (insulin-like growth factor 1 receptor),
but dependent on the DAF-16/FOXO (forkhead box O) transcription factor, a pivotal
integrator of divergent signalling pathways related to both lifespan regulation
and stress resistance. We also show that a subset of DAF-16 downstream genes are
regulated by astragalan, including the DAF-16 transcriptional target gene scl-20,
which is itself constitutively up-regulated in transgenic polyQ nematodes. These
findings, together with our previous work on LEA (late embryogenesis abundant)
proteins and trehalose, provide a revealing insight into the potential of stress
and lifespan regulators in the prevention of proteotoxic disorders.
PMID- 21892925
TI - Folklore and traditional ecological knowledge of geckos in Southern Portugal:
implications for conservation and science.
AB - Traditional Ecological Knowledge (TEK) and folklore are repositories of large
amounts of information about the natural world. Ideas, perceptions and empirical
data held by human communities regarding local species are important sources
which enable new scientific discoveries to be made, as well as offering the
potential to solve a number of conservation problems. We documented the gecko
related folklore and TEK of the people of southern Portugal, with the particular
aim of understanding the main ideas relating to gecko biology and ecology. Our
results suggest that local knowledge of gecko ecology and biology is both
accurate and relevant. As a result of information provided by local inhabitants,
knowledge of the current geographic distribution of Hemidactylus turcicus was
expanded, with its presence reported in nine new locations. It was also
discovered that locals still have some misconceptions of geckos as poisonous and
carriers of dermatological diseases. The presence of these ideas has led the
population to a fear of and aversion to geckos, resulting in direct persecution
being one of the major conservation problems facing these animals. It is
essential, from both a scientific and conservationist perspective, to understand
the knowledge and perceptions that people have towards the animals, since, only
then, may hitherto unrecognized pertinent information and conservation problems
be detected and resolved.
PMID- 21892926
TI - Decoding of grasping information from neural signals recorded using peripheral
intrafascicular interfaces.
AB - BACKGROUND: The restoration of complex hand functions by creating a novel
bidirectional link between the nervous system and a dexterous hand prosthesis is
currently pursued by several research groups. This connection must be fast,
intuitive, with a high success rate and quite natural to allow an effective
bidirectional flow of information between the user's nervous system and the smart
artificial device. This goal can be achieved with several approaches and among
them, the use of implantable interfaces connected with the peripheral nervous
system, namely intrafascicular electrodes, is considered particularly
interesting. METHODS: Thin-film longitudinal intra-fascicular electrodes were
implanted in the median and ulnar nerves of an amputee's stump during a four-week
trial. The possibility of decoding motor commands suitable to control a dexterous
hand prosthesis was investigated for the first time in this research field by
implementing a spike sorting and classification algorithm. RESULTS: The results
showed that motor information (e.g., grip types and single finger movements)
could be extracted with classification accuracy around 85% (for three classes
plus rest) and that the user could improve his ability to govern motor commands
over time as shown by the improved discrimination ability of our classification
algorithm. CONCLUSIONS: These results open up new and promising possibilities for
the development of a neuro-controlled hand prosthesis.
PMID- 21892927
TI - Cardiac tamponade and paroxysmal third-degree atrioventricular block revealing a
primary cardiac non-Hodgkin large B-cell lymphoma of the right ventricle: a case
report.
AB - INTRODUCTION: Primary cardiac lymphoma is rare. CASE PRESENTATION: We report the
case of a 64-year-old non-immunodeficient Caucasian man, with cardiac tamponade
and paroxysmal third-degree atrioventricular block. Echocardiography revealed the
presence of a large pericardial effusion with signs of tamponade and a right
ventricular mass was suspected. Scanner investigations clarified the sites,
extension and anatomic details of myocardial and pericardial infiltration.
Surgical resection was performed due to the rapid impairment of his cardiac
function. Analysis of the pericardial fluid and histology confirmed the diagnosis
of non-Hodgkin large B-cell lymphoma. He was treated with chemotherapy.
CONCLUSION: The prognosis remains poor for this type of tumor due to delays in
diagnosis and the importance of the site of disease.
PMID- 21892928
TI - Laparoscopic resection of a lymphangiomatous cyst of the colon: a case report.
AB - INTRODUCTION: Lymphangiomatous cysts are submucosal masses that are rarely found
in the gastrointestinal tract and more often in the neck, oral cavity, and skin.
These cysts are benign tumors and mostly clinically silent. Symptoms include
abdominal pain, diarrhea, and rectal bleeding. Their pathogenesis remains
unclear. CASE PRESENTATION: During a routine ultrasound examination of a
Caucasian 25-year-old woman, a structure that raised our suspicions of an ovarian
cyst was found. MRI showed a 4.5 cm cystic lesion in the cecal region.
Laparoscopic exploration revealed unexpected contact with the ascending colon.
The cyst, including its base and of portion of the colon, was resected
laparoscopically. The histological examination revealed cystic lymphangioma.
CONCLUSION: Lymphangiomatous cysts of the colon are very rare lesions. Although
their pathology is benign, the recommended treatment is resection, which can be
performed with minimal invasiveness.
PMID- 21892929
TI - A three-dimensional model of error and safety in surgical health care
microsystems. Rationale, development and initial testing.
AB - BACKGROUND: Research estimates of inadvertent harm to patients undergoing modern
healthcare demonstrate a serious problem. Much attention has been paid to
analysis of the causes of error and harm, but researchers have typically focussed
either on human interaction and communication or on systems design, without fully
considering the other components. Existing models for analysing harm are
principally derived from theory and the analysis of individual incidents, and
their practical value is often limited by the assumption that identifying causal
factors automatically suggests solutions. We suggest that new models based on
observation are required to help analyse healthcare safety problems and evaluate
proposed solutions. We propose such a model which is directed at "microsystem"
level (Ward and operating theatre), and which frames problems and solutions
within three dimensions. METHODS: We have developed a new, simple, model of
safety in healthcare systems, based on analysis of real problems seen in surgical
systems, in which influences on risk at the "microsystem" level are described in
terms of only 3 dimensions--technology, system and culture. We used definitions
of these terms which are similar or identical to those used elsewhere in the
safety literature, and utilised a set of formal empirical and deductive processes
to derive the model. The "3D" model assumes that new risks arise in an
unpredictable stochastic manner, and that the three defined dimensions are
interactive, in an unconstrained fashion. We illustrated testing of the model,
using analysis of a small number of incidents in a surgical environment for which
we had detailed prospective observational data. RESULTS: The model appeared to
provide useful explanation and categorisation of real events. We made predictions
based on the model, which are experimentally verifiable, and propose further work
to test and refine it. CONCLUSION: We suggest that, if calibrated by application
to a large incident dataset, the 3D model could form the basis for a quantitative
statistical method for estimating risk at microsystem levels in many acute
healthcare settings.
PMID- 21892930
TI - Etiological profile and treatment outcome of epistaxis at a tertiary care
hospital in Northwestern Tanzania: a prospective review of 104 cases.
AB - BACKGROUND: Epistaxis is the commonest otolaryngological emergency affecting up
to 60% of the population in their lifetime, with 6% requiring medical attention.
There is paucity of published data regarding the management of epistaxis in
Tanzania, especially the study area. This study was conducted to describe the
etiological profile and treatment outcome of epistaxis at Bugando Medical Centre,
a tertiary care hospital in Northwestern Tanzania. METHODS: This was a
prospective descriptive study of the cases of epistaxis managed at Bugando
Medical Centre from January 2008 to December 2010. Data collected were analyzed
using SPSS computer software version 15. RESULTS: A total of 104 patients with
epistaxis were studied. Males were affected twice more than the females (2.7:1).
Their mean age was 32.24 +/- 12.54 years (range 4 to 82 years). The modal age
group was 31-40 years. The commonest cause of epistaxis was trauma (30.8%)
followed by idiopathic (26.9%) and hypertension (17.3%). Anterior nasal bleeding
was noted in majority of the patients (88.7%). Non surgical measures such as
observation alone (40.4%) and anterior nasal packing (38.5%) were the main
intervention methods in 98.1% of cases. Surgical measures mainly intranasal tumor
resection was carried out in 1.9% of cases. Arterial ligation and endovascular
embolization were not performed. Complication rate was 3.8%. The overall mean of
hospital stay was 7.2 +/- 1.6 days (range 1 to 24 days). Five patients died
giving a mortality rate of 4.8%. CONCLUSION: Trauma resulting from road traffic
crush (RTC) remains the most common etiological factor for epistaxis in our
setting. Most cases were successfully managed with conservative (non-surgical)
treatment alone and surgical intervention with its potential complications may
not be necessary in most cases and should be the last resort. Reducing the
incidence of trauma from RTC will reduce the incidence of emergency epistaxis in
our centre.
PMID- 21892931
TI - Antibiotic prescribing pattern in paediatric in patients with first time
wheezing.
AB - BACKGROUND: Acute wheezers for the first time in life are an important target
group for efforts aimed at reducing unnecessary antibiotic use. OBJECTIVE: To
evaluate the effect of clinical, laboratory and radiological data on the decision
to prescribe antibiotics to paediatric patients with first time wheezing as well
as to seek criteria that would justify antibiotic use. METHODS: A prospective
study was made of 47 previous healthy children admitted to our hospital with
first time wheezing in life between October 2008- March 2009. All the patients
were treated as per the treating unit's protocol with oxygen, bronchodilators
with or without antibiotics. The cases were analyzed after discharge and the
characteristics of those treated with antibiotics (n = 23) were compared with
those who were not (n = 24) and analyzed statistically to find the predictors for
antibiotic usage. RESULTS: The mean age of the study groups was 5.8 (+/- 5.1)
months. Among the clinical and investigational parameters, presence of
predominant crackles and abnormalities on radiograph were the major determinants
for antibiotic usage. There were no significant differences in final outcome
between these groups. CONCLUSION: Antibiotic usage in first time wheezers is
still quite prevalent. Presence of crackles and radiological abnormalities often
prompt the usage of antibiotics in such cases.
PMID- 21892932
TI - Why are heart operations postponed?
AB - AIM: To investigate the reasons that lead to postponement of cardiac operations,
in order to elucidate the problem and help patients through modes of prevention.
METHODS-DESIGN: We retrospectively included in the study all patients submitted
to elective adult heart surgery in our department during the 4-year period 2007
2010 and noted all cases of postponement after official inclusion in the
operating schedule. RESULTS: 94 out of a total of 575 patients (16.34%) scheduled
for elective cardiac operation had their procedure postponed. The reasons were
mainly organisatory (in 49 cases, 52.12%), which in order of significance were:
unavailability in operating rooms, shortage in matching erythrocyte units and
shortage in anaesthetic/nursing staff. The rest of the cases (45, 47.88%) were
postponed due to medical reasons, which in order of significance were: febrile
situations, including infections of the respiratory, gastrointestinal and urinary
system, problems with the regulation of antiplatelet and antithrombotic drugs,
neurological manifestations such as stroke and transient ischaemic attacks,
exacerbation of asthma/chronic obstructive pulmonary disease, arrhythmias, renal
problems and allergic reactions to drugs. Patients with advanced age and
increased Euroscore values were most possible to have their heart operation
postponed. CONCLUSIONS: Heart operations are postponed due to organisatory as
well as medical reasons, the latter mainly affecting older, morbid patients who
therefore require advanced preoperative care.
PMID- 21892933
TI - Fermented wheat germ extract--nutritional supplement or anticancer drug?
AB - BACKGROUND: Fermented wheat germ extract (FWGE) is a multisubstance composition
and, besides others, contains 2-methoxy benzoquinone and 2, 6-dimethoxy
benzoquinone which are likely to exert some of its biological effects. FWGE
interferes with anaerobic glycolysis, pentose cycle and ribonucleotide reductase.
It has significant antiproliferative effects and kills tumor cells by the
induction of apoptosis via the caspase-poly [ADP-ribose] polymerase-pathway. FWGE
interacts synergistically with a variety of different anticancer drugs and
exerted antimetastatic properties in mouse models. In addition, FWGE modulates
immune response by downregulation of MHC-I complex and the induction of TNF-alpha
and various interleukins. Data in the F-344 rat model provide evidence for a
colon cancer preventing effect of FWGE.Clinical data from a randomized phase II
trial in melanoma patients indicate a significant benefit for patients treated
with dacarbazine in combination with FWGE in terms of progression free survival
(PFS) and overall survival (OS). Similarly, data from studies in colorectal
cancer suggested a benefit of FWGE treatment. Besides extension of OS and PFS,
FWGE improved the quality of life in several studies. CONCLUSION: In conclusion,
available data so far, justify the use of FWGE as a non-prescription medical
nutriment for cancer patients. Further randomized, controlled and large scale
clinical studies are mandatory, to further clarify the value of FWGE as a drug
component of future chemotherapy regimens.
PMID- 21892934
TI - Impact of perioperative RSV or influenza infection on length of stay and risk of
unplanned ICU admission in children: a case-control study.
AB - BACKGROUND: Children with viral respiratory infections who undergo general
anesthesia are at increased risk of respiratory complications. We investigated
the impact of RSV and influenza infection on perioperative outcomes in children
undergoing general anesthesia. METHODS: We performed a retrospective case-control
study. All patients under the age of 18 years who underwent general anesthesia at
our institution with confirmed RSV or influenza infection diagnosed within 24
hours following induction between October 2002 and September 2008 were
identified. Controls were randomly selected and were matched by surgical
procedure, age, and time of year in a ratio of three controls per case. The
primary outcome was postoperative length of stay (LOS). RESULTS: Twenty-four
patients with laboratory-confirmed RSV or influenza who underwent general
anesthesia prior to diagnosis of viral infection were identified and matched to
72 controls. Thirteen cases had RSV and 11 had influenza. The median
postoperative LOS was three days (intra-quartile range 1 to 8 days) for cases and
two days (intra-quartile range 1 to 5 days) for controls. Patients with influenza
had a longer postoperative LOS (p < 0.001) and patients with RSV or influenza
were at increased risk of unplanned admission to the PICU (p = 0.04) than matched
controls. CONCLUSIONS: Our results suggest that children with evidence of
influenza infection undergoing general anesthesia, even in the absence of
symptoms previously thought to be associated with a high risk of complications,
may have a longer postoperative hospital LOS when compared to matched controls.
RSV and influenza infection was associated with an increased risk of unplanned
PICU admission.
PMID- 21892935
TI - Putative cis-regulatory elements in genes highly expressed in rice sperm cells.
AB - BACKGROUND: The male germ line in flowering plants is initiated within developing
pollen grains via asymmetric division. The smaller cell then becomes totally
encased within a much larger vegetative cell, forming a unique "cell within a
cell structure". The generative cell subsequently divides to give rise to two non
motile diminutive sperm cells, which take part in double fertilization and lead
to the seed set. Sperm cells are difficult to investigate because of their
presence within the confines of the larger vegetative cell. However, recently
developed techniques for the isolation of rice sperm cells and the fully
annotated rice genome sequence have allowed for the characterization of the
transcriptional repertoire of sperm cells. Microarray gene expression data has
identified a subset of rice genes that show unique or highly preferential
expression in sperm cells. This information has led to the identification of cis
regulatory elements (CREs), which are conserved in sperm-expressed genes and are
putatively associated with the control of cell-specific expression. FINDINGS: We
aimed to identify the CREs associated with rice sperm cell-specific gene
expression data using in silico prediction tools. We analyzed 1-kb upstream
regions of the top 40 sperm cell co-expressed genes for over-represented
conserved and novel motifs. Analysis of upstream regions with the SIGNALSCAN
program with the PLACE database, MEME and the Mclip tool helped to find
combinatorial sets of known transcriptional factor-binding sites along with two
novel motifs putatively associated with the co-expression of sperm cell-specific
genes. CONCLUSIONS: Our data shows the occurrence of novel motifs, which are
putative CREs and are likely targets of transcriptional factors regulating sperm
cell gene expression. These motifs can be used to design the experimental
verification of regulatory elements and the identification of transcriptional
factors that regulate sperm cell-specific gene expression.
PMID- 21892936
TI - An evaluation of factors associated with taking and responding positive to the
tuberculin skin test in individuals with HIV/AIDS.
AB - BACKGROUND: The tuberculin skin test (TST) is still the standard test for
detecting latent infection by M tuberculosis (LTBI). Given that the Brazilian
Health Ministry recommends that the treatment of latent tuberculosis (LTBI)
should be guided by the TST results, the present study sets out to describe the
coverage of administering the TST in people living with HIV at two referral
health centers in the city of Recife, where TST is offered to all patients. In
addition, factors associated with the non-application of the test and with
positive TST results were also analyzed. METHODS: A cross-sectional study was
carried out with HIV patients, aged 18 years or over, attending outpatient
clinics at the Correia Picanco Hospital/SES/PE and the Oswaldo Cruz/UPE
University Hospital, who had been recommended to take the TST, in the period
between November 2007 and February 2010. Univariate and multivariate logistic
regression analyses were carried out to establish associations between the
dependent variable - taking the TST (yes/no), at a first stage analysis, and the
independent variables, followed by a second stage analysis considering a positive
TST as the dependent variable. The odds ratio was calculated as the measure of
association and the confidence interval (CI) at 95% as the measure of accuracy of
the estimate. RESULTS: Of the 2,290 patients recruited, 1087 (47.5%) took the
TST. Of the 1,087 patients who took the tuberculin skin test, the prevalence of
TST >= 5 mm was 21.6% among patients with CD4 >= 200 and 9.49% among those with
CD4 < 200 (p = 0.002). The patients most likely not to take the test were: men,
people aged under 39 years, people with low educational levels and crack users.
The risk for not taking the TST was statiscally different for health service.
Patients who presented better immunity (CD4 >= 200) were more than two and a half
times more likely to test positive that those with higher levels of
immunodeficiency (CD4 < 200). CONCLUSIONS: Considering that the TST is
recommended by the Brazilian health authorities, coverage for taking the test was
very low. The most serious implication of this is that LTBI treatment was not
carried out for the unidentified TST-positive patients, who may consequently go
on to develop TB and eventually die.
PMID- 21892937
TI - Gene expression study using real-time PCR identifies an NTR gene as a major
marker of resistance to benzonidazole in Trypanosoma cruzi.
AB - BACKGROUND: Chagas disease is a neglected illness, with limited treatments,
caused by the parasite Trypanosoma cruzi. Two drugs are prescribed to treat the
disease, nifurtimox and benznidazole, which have been previously reported to have
limited efficacy and the appearance of resistance by T. cruzi. Acquisition of
drug-resistant phenotypes is a complex physiological process based on single or
multiple changes of the genes involved, probably in its mechanisms of action.
RESULTS: The differential genes expression of a sensitive Trypanosoma cruzi
strain and its induced in vitro benznidazole-resistant phenotypes was studied.
The stepwise increasing concentration of BZ in the parental strain generated five
different resistant populations assessed by the IC(50) ranging from 10.49 to 93.7
MUM. The resistant populations maintained their phenotype when the BZ was
depleted from the culture for many passages. Additionally, the benznidazole
resistant phenotypes presented a cross-resistance to nifurtimox but not to G418
sulfate. On the other hand, four of the five phenotypes resistant to different
concentrations of drugs had different expression levels for the 12 genes
evaluated by real-time PCR. However, in the most resistant phenotype (TcR5x), the
levels of mRNA from these 12 genes and seven more were similar to the parental
strain but not for NTR and OYE genes, which were down-regulated and over
expressed, respectively. The number of copies for these two genes was evaluated
for the parental strain and the TcR5x phenotype, revealing that the NTR gene had
lost a copy in this last phenotype. No changes were found in the enzyme activity
of CPR and SOD in the most resistant population. Finally, there was no
variability of genetic profiles among all the parasite populations evaluated by
performing low-stringency single-specific primer PCR (LSSP-PCR) and random
amplified polymorphic DNA RAPD techniques, indicating that no clonal selection or
drastic genetic changes had occurred for the exposure to BZ. CONCLUSION: Here, we
propose NTR as the major marker of the appearance of resistance to BZ.
PMID- 21892938
TI - Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the
Si(001) surface: nucleation, morphology, and CMOS compatibility.
AB - Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by
ultrahigh vacuum molecular beam epitaxy on the Si(001) surface are considered.
Difference in nucleation of quantum dots during Ge deposition at low (?600
degrees C) and high (?600 degrees C) temperatures is studied by high resolution
scanning tunneling microscopy. The atomic models of growth of both species of Ge
huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low
temperatures is explored. A problem of lowering of the array formation
temperature is discussed with the focus on CMOS compatibility of the entire
process; a special attention is paid upon approaches to reduction of treatment
temperature during the Si(001) surface pre-growth cleaning, which is at once a
key and the highest-temperature phase of the Ge/Si(001) quantum dot dense array
formation process. The temperature of the Si clean surface preparation, the final
high-temperature step of which is, as a rule, carried out directly in the MBE
chamber just before the structure deposition, determines the compatibility of
formation process of Ge-QD-array based devices with the CMOS manufacturing cycle.
Silicon surface hydrogenation at the final stage of its wet chemical etching
during the preliminary cleaning is proposed as a possible way of efficient
reduction of the Si wafer pre-growth annealing temperature.
PMID- 21892939
TI - Canadian oncogenic human papillomavirus cervical infection prevalence: systematic
review and meta-analysis.
AB - BACKGROUND: Oncogenic human papillomavirus (HPV) infection prevalence is required
to determine optimal vaccination strategies. We systematically reviewed the
prevalence of oncogenic cervical HPV infection among Canadian females prior to
immunization. METHODS: We included studies reporting DNA-confirmed oncogenic HPV
prevalence estimates among Canadian females identified through searching
electronic databases (e.g., MEDLINE) and public health websites. Two independent
reviewers screened literature results, abstracted data and appraised study
quality. Prevalence estimates were meta-analyzed among routine screening
populations, HPV-positive, and by cytology/histology results. RESULTS: Thirty
studies plus 21 companion reports were included after screening 837 citations and
120 full-text articles. Many of the studies did not address non-response bias
(74%) or use a representative sampling strategy (53%). Age-specific prevalence
was highest among females aged < 20 years and slowly declined with increasing
age. Across all populations, the highest prevalence estimates from the meta
analyses were observed for HPV types 16 (routine screening populations, 8
studies: 8.6% [95% confidence interval 6.5-10.7%]; HPV-infected, 9 studies: 43.5%
[28.7-58.2%]; confirmed cervical cancer, 3 studies: 48.8% [34.0-63.6%]) and 18
(routine screening populations, 8 studies: 3.3% [1.5-5.1%]; HPV-infected, 9
studies: 13.6% [6.1-21.1%], confirmed cervical cancer, 4 studies: 17.1% [6.4
27.9%]. CONCLUSION: Our results support vaccinating females < 20 years of age,
along with targeted vaccination of some groups (e.g., under-screened
populations). The highest prevalence occurred among HPV types 16 and 18,
contributing a combined cervical cancer prevalence of 65.9%. Further cancer
protection is expected from cross-protection of non-vaccine HPV types. Poor study
quality and heterogeneity suggests that high-quality studies are needed.
PMID- 21892940
TI - Zebrafish Ext2 is necessary for Fgf and Wnt signaling, but not for Hh signaling.
AB - BACKGROUND: Heparan sulfate (HS) biosynthesis is tightly regulated during
vertebrate embryo development. However, potential roles for HS biosynthesis in
regulating the function of paracrine signaling molecules that bind to HS are
incompletely understood. RESULTS: In this report we have studied Fgf, Wnt and
Hedgehog (Hh) signaling in ext2 mutants, where heparan sulfate content is low. We
found that Fgf targeted gene expression is reduced in ext2 mutants and that the
remaining expression is readily inhibited by SU5402, an FGF receptor inhibitor.
In the ext2 mutants, Fgf signaling is shown to be affected during nervous system
development and reduction of Fgf ligands in the mutants affects tail development.
Also, Wnt signaling is affected in the ext2 mutants, as shown by a stronger
phenotype in ext2 mutants injected with morpholinos that partially block
translation of Wnt11 or Wnt5b, compared to injected wild type embryos. In
contrast, Hh dependent signaling is apparently unaffected in the ext2 mutants; Hh
targeted gene expression is not reduced, the Hh inhibitor cyclopamine is not more
affective in the mutants and Hh dependent cell differentiation in the retina and
in the myotome are normal in ext2 mutants. In addition, no genetic interaction
between ext2 and shha during development could be detected. CONCLUSION: We
conclude that ext2 is involved in Fgf and Wnt signaling but not in Hh signaling,
revealing an unexpected specificity for ext2 in signaling pathways during
embryonic development. Thus, our results support the hypothesis that regulation
of heparan sulfate biosynthesis has distinct instructive functions for different
signaling factors.
PMID- 21892942
TI - Evaluation of the nutrition knowledge of sports department students of
universities.
AB - BACKGROUND: Individuals who have knowledge on the importance of adequate and
balanced diet and reflect this knowledge to their behaviors are considered to be
more successful in sports life. The present study aims to evaluate the nutrition
knowledge of students receiving sports education in universities. METHODS: The
study sample consists of 343 voluntary students from the Sports Departments of
Hacettepe, Gazi and Ankara Universities in Ankara. The questionnaire used in the
study included a demographic section, and 30 questions on true-false nutrition
knowledge. For the reliability of the questionnaire, the internal consistency
coefficient was calculated and the Kuder Richardson (KR-20) value was found to be
0.71. For higher reliability, 9 dysfunctional questions were excluded from the
questionnaire. The research data were collected through a questionnaire form and
face-to-face interviews. For the statistical analyses of the data, tables were
prepared to show mean, standard deviation (X+/-SD) and percentage (%) values. In
order to determine the nutrition knowledge of students, the "independent t test"
was used for nutrition lesson and gender. RESULTS: University students receiving
sports education and expected to continue their professional lives on sport
related fields were determined to have the lack of knowledge on nutrition. The
mean value about the nutrition knowledge of the first year students was found
11.150 +/- 2.962, while the mean value of the fourth year students was 13.460 +/-
3.703, and the difference is statistically significant (p = .000). CONCLUSION:
Students, coaches and teachers in physical education were found not to give the
necessary importance to their diets, and they were still not aware of the
importance of nutrition on performance.
PMID- 21892941
TI - Generating HPV specific T helper cells for the treatment of HPV induced
malignancies using TCR gene transfer.
AB - BACKGROUND: Infection with high risk Human Papilloma Virus (HPV) is associated
with cancer of the cervix, vagina, penis, vulva, anus and some cases of head and
neck carcinomas. The HPV derived oncoproteins E6 and E7 are constitutively
expressed in tumor cells and therefore potential targets for T cell mediated
adoptive immunotherapy. Effective immunotherapy is dependent on the presence of
both CD4+ and CD8+ T cells. However, low precursor frequencies of HPV16 specific
T cells in patients and healthy donors hampers routine isolation of these cells
for adoptive transfer purposes. An alternative to generate HPV specific CD4+ and
CD8+ T cells is TCR gene transfer. METHODS: HPV specific CD4+ T cells were
generated using either a MHC class I or MHC class II restricted TCR (from clones
A9 and 24.101 respectively) directed against HPV16 antigens. Functional analysis
was performed by interferon-gamma secretion, proliferation and cytokine
production assays. RESULTS: Introduction of HPV16 specific TCRs into blood
derived CD4+ recipient T cells resulted in recognition of the relevant HPV16
epitope as determined by IFN-gamma secretion. Importantly, we also show
recognition of the endogenously processed and HLA-DP1 presented HPV16E6 epitope
by 24.101 TCR transgenic CD4+ T cells and recognition of the HLA-A2 presented
HPV16E7 epitope by A9 TCR transgenic CD4+ T cells. CONCLUSION: Our data indicate
that TCR transfer is feasible as an alternative strategy to generate human HPV16
specific CD4+ T helper cells for the treatment of patients suffering from
cervical cancer and other HPV16 induced malignancies.
PMID- 21892943
TI - In vivo assessment of catheter positioning accuracy and prolonged irradiation
time on liver tolerance dose after single-fraction 192Ir high-dose-rate
brachytherapy.
AB - BACKGROUND: To assess brachytherapy catheter positioning accuracy and to evaluate
the effects of prolonged irradiation time on the tolerance dose of normal liver
parenchyma following single-fraction irradiation with 192Ir. MATERIALS AND
METHODS: Fifty patients with 76 malignant liver tumors treated by computed
tomography (CT)-guided high-dose-rate brachytherapy (HDR-BT) were included in the
study. The prescribed radiation dose was delivered by 1 - 11 catheters with
exposure times in the range of 844 - 4432 seconds. Magnetic resonance imaging
(MRI) datasets for assessing irradiation effects on normal liver tissue, edema,
and hepatocyte dysfunction, obtained 6 and 12 weeks after HDR-BT, were merged
with 3D dosimetry data. The isodose of the treatment plan covering the same
volume as the irradiation effect was taken as a surrogate for the liver tissue
tolerance dose. Catheter positioning accuracy was assessed by calculating the
shift between the 3D center coordinates of the irradiation effect volume and the
tolerance dose volume for 38 irradiation effects in 30 patients induced by
catheters implanted in nearly parallel arrangement. Effects of prolonged
irradiation were assessed in areas where the irradiation effect volume and
tolerance dose volume did not overlap (mismatch areas) by using a catheter
contribution index. This index was calculated for 48 irradiation effects induced
by at least two catheters in 44 patients. RESULTS: Positioning accuracy of the
brachytherapy catheters was 5-6 mm. The orthogonal and axial shifts between the
center coordinates of the irradiation effect volume and the tolerance dose volume
in relation to the direction vector of catheter implantation were highly
correlated and in first approximation identically in the T1-w and T2-w MRI
sequences (p = 0.003 and p < 0.001, respectively), as were the shifts between 6
and 12 weeks examinations (p = 0.001 and p = 0.004, respectively). There was a
significant shift of the irradiation effect towards the catheter entry site
compared with the planned dose distribution (p < 0.005). Prolonged treatment time
increases the normal tissue tolerance dose. Here, the catheter contribution
indices indicated a lower tolerance dose of the liver parenchyma in areas with
prolonged irradiation (p < 0.005). CONCLUSIONS: Positioning accuracy of
brachytherapy catheters is sufficient for clinical practice. Reduced tolerance
dose in areas exposed to prolonged irradiation is contradictory to results
published in the current literature. Effects of prolonged dose administration on
the liver tolerance dose for treatment times of up to 60 minutes per HDR-BT
session are not pronounced compared to effects of positioning accuracy of the
brachytherapy catheters and are therefore of minor importance in treatment
planning.
PMID- 21892944
TI - Volumetric modulated arc therapy is superior to conventional intensity modulated
radiotherapy--a comparison among prostate cancer patients treated in an
Australian centre.
AB - BACKGROUND: Radiotherapy technology is expanding rapidly. Volumetric Modulated
Arc Therapy (VMAT) technologies such as RapidArc(r) (RA) may be a more efficient
way of delivering intensity-modulated radiotherapy-like (IM) treatments. This
study is an audit of the RA experience in an Australian department with a
planning and economic comparison to IM. METHODS: 30 consecutive prostate cancer
patients treated radically with RA were analyzed. Eight RA patients treated
definitively were then completely re-planned with 3D conformal radiotherapy (3D);
and a conventional sliding window IM technique; and a new RA plan. The acceptable
plans and their treatment times were compared and analyzed for any significant
difference. Differences in staff costs of treatment were computed and analyzed.
RESULTS: Thirty patients had been treated to date with eight being treated
definitely to at least 74 Gy, nine post high dose brachytherapy (HDR) to 50.4Gy
and 13 post prostatectomy to at least 64Gy. All radiotherapy courses were
completed with no breaks. Acute rectal toxicity by the RTOG criteria was
acceptable with 22 having no toxicity, seven with grade 1 and one had grade 2.Of
the eight re-planned patients, none of the 3D (three-dimensional conformal
radiotherapy) plans were acceptable based on local guidelines for dose to organs
at risk. There was no statistically significant difference in planning times
between IM and RA (p = 0.792). IM had significantly greater MUs per fraction
(1813.9 vs 590.2 p < 0.001), total beam time per course (5.2 vs 3.1 hours, p =
0.001) and average treatment staff cost per patient radiotherapy course
($AUD489.91 vs $AUD315.66, p = 0.001). The mean saving in treatment staff cost
for RA treatment was $AUD174.25 per patient. CONCLUSIONS: 3D was incapable of
covering a modern radiotherapy volume for the radical treatment of prostate
cancer. These volumes can be treated via conventional IM and RA. RA was
significantly more efficient, safe and cost effective than IM. VMAT technologies
are a superior way of delivering IM-like treatments.
PMID- 21892945
TI - Understanding of and adherence to advice after telephone counselling by nurse: a
survey among callers to a primary emergency out-of-hours service in Norway.
AB - BACKGROUND: To investigate how callers understand the information given by
telephone by registered nurses in a casualty clinic, to what degree the advice
was followed, and the final outcome of the condition for the patients. METHODS:
The study was conducted at a large out-of-hours inter-municipality casualty
clinic in Norway during April and May 2010. Telephone interviews were performed
with 100 callers/patients who had received information and advice by a nurse as a
sole response. Six topics from the interview guide were compared with the
telephone record files to check whether the caller had understood the advice. In
addition, questions were asked about how the caller followed the advice provided
and the patient's outcome. RESULTS: 99 out of 100 interviewed callers stated that
they had understood the nurse's advice, but interpreted from the telephone
records, the total agreement for all six topics was 82.6%. 93 callers/patients
stated that they followed the advice and 11 re-contacted the casualty clinic. 22
contacted their GP for the same complaints the same week, of whom five patients
received medical treatment and one was hospitalised. There were significant
difference between the native-Norwegian and the non-native Norwegian regarding
whether they trusted the nurse (p = 0.017), and if they got relevant answers to
their questions (p = 0.005). CONCLUSION: Callers to the out-of-hours service seem
to understand the advice given by the registered nurses, and a large majority of
the patients did not contact their GP or other health services again with the
same complaints. PRACTICE IMPLICATION: Medical and communicative training must be
an important part of the continuous improvement strategy within the out-of-hour
services.
PMID- 21892946
TI - Can we use the pharmacy data to estimate the prevalence of chronic conditions? a
comparison of multiple data sources.
AB - BACKGROUND: The estimate of the prevalence of the most common chronic conditions
(CCs) is calculated using direct methods such as prevalence surveys but also
indirect methods using health administrative databases.The aim of this study is
to provide estimates prevalence of CCs in Lazio region of Italy (including Rome),
using the drug prescription's database and to compare these estimates with those
obtained using other health administrative databases. METHODS: Prevalence of CCs
was estimated using pharmacy data (PD) using the Anathomical Therapeutic Chemical
Classification System (ATC).Prevalences estimate were compared with those
estimated by hospital information system (HIS) using list of ICD9-CM diagnosis
coding, registry of exempt patients from health care cost for pathology (REP) and
national health survey performed by the Italian bureau of census (ISTAT).
RESULTS: From the PD we identified 20 CCs. About one fourth of the population
received a drug for treating a cardiovascular disease, 9% for treating a
rheumatologic conditions.The estimated prevalences using the PD were usually
higher that those obtained with one of the other sources. Regarding the
comparison with the ISTAT survey there was a good agreement for cardiovascular
disease, diabetes and thyroid disorder whereas for rheumatologic conditions,
chronic respiratory illnesses, migraine and Alzheimer's disease, the prevalence
estimates were lower than those estimated by ISTAT survey. Estimates of
prevalences derived by the HIS and by the REP were usually lower than those of
the PD (but malignancies, chronic renal diseases). CONCLUSION: Our study showed
that PD can be used to provide reliable prevalence estimates of several CCs in
the general population.
PMID- 21892947
TI - Multiple right-sided pulmonary nodules: metastatic cancer or resectable early
stage tumor?
AB - The aim of this paper is to focus attention on complex cases of lung disease that
may benefit from being managed outside formal guidelines. A 52 year-old man who
had previously undergone a laryngectomy for squamous cell carcinoma, presented
with a 1.2 cm nodule in the right upper pulmonary lobe. Three months later a new
CT scan found that the nodule had slightly increased in size and also detected
two new smaller nodules in the middle lobe. A PET/CT scan showed metabolic
hyperactivity of all nodules. Since needle aspiration of the upper one revealed
malignant cells, the patient was considered to be suffering from metastatic
cancer and started on chemotherapy. At follow-up both CT and PET scans found a
significant reduction in volume and activity of the lower nodules but no change
in the upper one. At diagnostic thoracoscopy, histology demonstrated that the
upper nodule was an adenocarcinoma while the lower ones were inflammatory. An
upper lobectomy and systematic nodal dissection were therefore performed.
Histology established a diagnosis of upper pulmonary adenocarcinoma and
sarcoidosis. Our report suggests that in complicated oncologic cases in which non
invasive diagnostic tools yield incongruous results surgery should be considered
without delay.
PMID- 21892948
TI - Early onset sebaceous carcinoma.
AB - BACKGROUND: Ocular sebaceous carcinoma can masquerade as benign lesions resulting
in delay of diagnosis. Early recognition is even more difficult in young patients
where the disease rarely occurs. Here, we provide a clinicopathological
correlation of ocular sebaceous carcinoma in a young individual lacking history
of hereditary cancer or immunosuppression. FINDINGS: A detailed histopathological
study including p53 DNA sequencing was performed on an aggressive sebaceous
carcinoma presenting in a healthy 32 year-old Caucasian woman. She had no history
of retinoblastoma, evidence for a hereditary cancer syndrome, or radiation
therapy. However, she potentially was at risk for excessive UV light exposure. A
detailed review of the literature is also provided.A moderately well
differentiated sebaceous carcinoma was established histopathologically arising
from the meibomian gland of the upper eyelid. In most areas, the cytoplasm
contained small but distinct Oil-red-O positive vacuoles. Direct sequencing of
p53 identified a G:C->A:T mutation at a dipyrimidine site. The mutation results
in substitution of arginine for the highly conserved glycine at residue 199
located at the p53 dimer-dimer interface. Energy minimization structural modeling
predicts that G199R will neutralize negative charges contributed by nearby inter-
and intramonomeric glutamate residues. DISCUSSION: This study points to the
importance of recognizing that sebaceous carcinoma can occur in young patients
with no evidence for hereditary cancer risk or radiation therapy. The G199R
substitution is anticipated to alter the stability of the p53 tetrameric complex.
The role of UV light in the etiology of sebaceous carcinoma deserves further
study. Our findings, taken together with those of others, suggest that different
environmental factors could lead to the development of sebaceous carcinoma in
different patients.
PMID- 21892949
TI - Telemetric left ventricular monitoring using wireless telemetry in the rabbit
model.
AB - BACKGROUND: Heart failure is a critical condition that affects many people and
often results from left ventricular dysfunction. Numerous studies investigating
this condition have been performed using various model systems. To do so,
investigators must be able to accurately measure myocardial performance in order
to determine the degree of left ventricular function. In this model development
study, we employ a wireless telemetry system purchased from Data Sciences
International to continuously assess left ventricular function in the rabbit
model. FINDINGS: We surgically implanted pressure-sensitive catheters fitted to
wireless radio-transmitters into the left ventricle of Dutch-belted rabbits.
Following recovery of the animals, we continuously recorded indices of cardiac
contractility and ventricular relaxation at baseline for a given time period. The
telemetry system allowed us to continuously record baseline left ventricular
parameters for the entire recording period. During this time, the animals were
unrestrained and fully conscious. The values we recorded are similar to those
obtained using other reported methods. CONCLUSIONS: The wireless telemetry system
can continuously measure left ventricular pressure, cardiac contractility, and
cardiac relaxation in the rabbit model. These results, which were obtained just
as baseline levels, substantiate the need for further validation in this model
system of left ventricular assessment.
PMID- 21892950
TI - Post-transplant lymphoproliferative disorders and Epstein-Barr virus DNAemia in a
cohort of lung transplant recipients.
AB - BACKGROUND: Post-transplant lymphoproliferative disorders (PTLD) are serious
complications in lung transplant recipients. No consensus on EBV DNAemia levels
predictive of PTLD has been reached. In addition, in many instances EBV DNAemia
is determined in patients with suggestive symptoms only. METHODS: The
characteristics of five patients with PTLD as well as the prevalence of EBV
DNAmia in a cohort of 137 consecutive patients receiving lung transplantation are
described. RESULTS: Twenty-six out of 137 patients (18.9%) were excluded from the
analysis because lost at follow-up or dead from PTLD-independent reasons within
three months of transplantation. EBV DNA in peripheral blood mononuclear cells
(PBMC) was determined in 83/111 patients (74.8%) because of potential PTLD
related symptoms, while 28 patients (25.2%) showed no symptoms and were not
examined. EBV DNAemia was positive in 53/83 patients (63.8%), and negative in
30/83 patients (36.2%). PTLD was diagnosed in five (4.5%) patients at a median
time of 270 (range 120-870) days following transplantation. All five PTLD (three
large B-cell lymphomas, one Hodgkin lymphoma and one possible pre-neoplastic
lesion) were potentially associated with EBV infection. However, only 3/5
patients with PTLD had detectable EBV DNAemia: < 1,000 copies EBV DNA/1 * 105
PBMC in one patient and > 1,000 copies EBV DNA/1 * 105 PBMC in two patients.
CONCLUSION: A systematic multidisciplinary (clinical, radiologic, virologic and
histologic) approach is mandatory for the diagnosis and management of PTLD in
lung transplant recipients, while monitoring of symptomatic patients only may
provide an incomplete or late picture of the clinical problem. In addition,
staining for EBV antigens and quantification of EBV DNA in biopsy specimens
should always be performed to understand the role of EBV infection in the
pathogenesis of PTLD.
PMID- 21892951
TI - Carbohydrate-active enzymes from pigmented Bacilli: a genomic approach to assess
carbohydrate utilization and degradation.
AB - BACKGROUND: Spore-forming Bacilli are gram-positive bacteria commonly found in a
variety of natural habitats, including soil, water and the gastro-intestinal (GI)
tract of animals. Isolates of various Bacillus species produce pigments, mostly
carotenoids, with a putative protective role against UV irradiation and oxygen
reactive forms. RESULTS: We report the annotation of carbohydrate active enzymes
(CAZymes) of two pigmented Bacilli isolated from the human GI-tract and belonging
to the Bacillus indicus and B. firmus species. A high number of glycoside
hydrolases (GHs) and carbohydrate binding modules (CBMs) were found in both
isolates. A detailed analysis of CAZyme families, was performed and supported by
growth data. Carbohydrates able to support growth as the sole carbon source
negatively effected carotenoid formation in rich medium, suggesting that a
catabolite repression-like mechanism controls carotenoid biosynthesis in both
Bacilli. Experimental results on biofilm formation confirmed genomic data on the
potentials of B. indicus HU36 to produce a levan-based biofilm, while mucin
binding and -degradation experiments supported genomic data suggesting the
ability of both Bacilli to degrade mammalian glycans. CONCLUSIONS: CAZy analyses
of the genomes of the two pigmented Bacilli, compared to other Bacillus species
and validated by experimental data on carbohydrate utilization, biofilm formation
and mucin degradation, suggests that the two pigmented Bacilli are adapted to the
intestinal environment and are suited to grow in and colonize the human gut.
PMID- 21892952
TI - A protocol for the emergency department management of acute undifferentiated
febrile illness in India.
AB - BACKGROUND: Fever is a common presenting complaint in the developing world, but
there is a paucity of literature to guide investigation and treatment of the
adult patient presenting with fever and no localizing symptoms. OBJECTIVE: The
objective of this study was to devise a standardized protocol for the evaluation
and treatment of febrile adult patients who have no localizing symptoms in order
to reduce unnecessary testing and inappropriate antimicrobial use. After devising
the protocol, a pilot study was performed to assess its feasibility in the
emergency department. METHODS: A protocol was formulated for adult patients
presenting with fever who had no clinical evidence of sepsis and no localizing
symptoms to suggest the etiology of their fever. Investigations were based on
duration of fever with no investigations indicated prior to day 3. Treatment was
guided by results of investigations. A pilot study was performed after protocol
implementation, wherein data were collected on successive adult patients
presenting with fever. RESULTS: During the 6-week study period, 342 patients
presented with fever, 209 of whom fit the parameters of the protocol, with 113 of
these patients presenting on the 1st or 2nd day of fever. All patients
experienced defervescence of fever, with ten patients being lost to follow-up. Of
the patients presenting on day 1 or 2 of fever, 75.2% (85/113) defervesced
without the need for testing; 53.1% (60/113) experienced defervescence without
the need for antimicrobial therapy. CONCLUSION: Implementation of this rational,
standardized protocol for the assessment and treatment of stable adult patients
presenting with acute undifferentiated febrile illness can lead to reduced rates
of testing and antimicrobial use. A prospective, controlled trial will be
required to confirm these findings and to assess additional safety outcome
measures.
PMID- 21892953
TI - Rapid assessment of myocardial infarct size in rodents using multi-slice
inversion recovery late gadolinium enhancement CMR at 9.4T.
AB - BACKGROUND: Myocardial infarction (MI) can be readily assessed using late
gadolinium enhancement (LGE) cardiovascular magnetic resonance (CMR). Inversion
recovery (IR) sequences provide the highest contrast between enhanced infarct
areas and healthy myocardium. Applying such methods to small animals is
challenging due to rapid respiratory and cardiac rates relative to T1 relaxation.
METHODS: Here we present a fast and robust protocol for assessing LGE in small
animals using a multi-slice IR gradient echo sequence for efficient assessment of
LGE. An additional Look-Locker sequence was used to assess the optimum inversion
point on an individual basis and to determine most appropriate gating points for
both rat and mouse. The technique was applied to two preclinical scenarios: i) an
acute (2 hour) reperfused model of MI in rats and ii) mice 2 days following non
reperfused MI. RESULTS: LGE images from all animals revealed clear areas of
enhancement allowing for easy volume segmentation. Typical inversion times
required to null healthy myocardium in rats were between 300-450 ms equivalent to
2-3 R-waves and ~330 ms in mice, typically 3 R-waves following inversion. Data
from rats was also validated against triphenyltetrazolium chloride staining and
revealed close agreement for infarct size. CONCLUSION: The LGE protocol presented
provides a reliable method for acquiring images of high contrast and quality
without excessive scan times, enabling higher throughput in experimental studies
requiring reliable assessment of MI.
PMID- 21892954
TI - Older People's Quality of Life (OPQOL) scores and adverse health outcomes at a
one-year follow-up. A prospective cohort study on older outpatients living in the
community in Italy.
AB - BACKGROUND: There is limited knowledge on the ability of a poor quality of life
(QOL) and health-related QOL (HRQOL) to predict mortality and other adverse
health events, independently of the frailty syndrome and other confounders, in
older people living in the community and not selected on the basis of specific
chronic conditions. Aim of this study was to evaluate the ability of the overall
QOL and of the HRQOL to predict several adverse health outcomes at a one-year
follow-up in an older outpatient population living in the community. METHODS: We
carried out a prospective cohort study on 210 community-dwelling outpatients aged
65+ (mean age 81.2 yrs) consecutively referred to a geriatric clinic in Milan,
Italy. At baseline participants underwent a comprehensive geriatric assessment
including evaluation of overall QOL and HRQOL by means of the Older People's
Quality of Life (OPQOL) questionnaire. At a one-year follow-up, between June and
December 2010, we investigated nursing home placement and death in all 210
participants as well as any fall, any admission to the emergency department (ED),
any hospitalisation and greater functional dependence among the subset of
subjects still living at home. RESULTS: One year after the visit 187 subjects
were still living at home (89%) while 7 had been placed in a nursing home (3.3%)
and 16 had died (7.7%). At multiple logistic regression analyses the lowest score
based quartile of the OPQOL total score at baseline was independently associated
with a greater risk of any fall and any ED admission. Also, the lowest score
based quartile of the health-related OPQOL sub-score was associated with a
greater risk of any fall as well as of nursing home placement (odds ratio [OR]
10.03, 95% confidence interval [CI] 1.25-80.54, P = 0.030) and death (OR 4.23,
95% CI 1.06-16.81, P = 0.041). The correlation with the latter two health
outcomes was found after correction for age, sex, education, income, living
conditions, comorbidity, disability and the frailty syndrome. CONCLUSIONS: In an
older outpatient population in Italy the OPQOL total score and its health-related
sub-score were independent predictors of several adverse health outcomes at one
year. Notably, poor HRQOL predicted both nursing home placement and death even
after correction for the frailty syndrome. These findings support and enhance the
prognostic relevance of QOL measures.
PMID- 21892955
TI - Trends in reported AIDS defining illnesses (ADIs) among participants in a
universal antiretroviral therapy program: an observational study.
AB - BACKGROUND: We examined trends in AIDS-defining illnesses (ADIs) among
individuals receiving highly active antiretroviral therapy (HAART) in British
Columbia (BC), Canada to determine whether declines in ADIs could be contributing
to previously observed improvements in life-expectancy among HAART patients in BC
since 1996. METHODS: HAART-naive individuals aged >= 18 years who initiated
treatment in BC each of the following time-periods 1996 - 1998; 1999 - 2001; 2002
- 2004; 2005 - 2007 were included. The proportion of participants with reported
ADIs were examined for each time period and trends were analyzed using the
Cochran-Armitage Trend Test. Cox proportional hazards models were used to examine
factors associated with ADIs. RESULTS: A total of 3721 individuals (81% male)
initiated HAART during the study period. A total of 251 reports of ADIs were
received from 214 unique patients. These occurred in a median of 4 months (IQR =
1-19 months) from HAART initiation. The proportion of individuals with a reported
ADI did not change significantly from 4.6% in the earliest time period to 5.8% in
the latest period (p = 0.181 for test of trend). There were no significant
declines in any specific ADI over the study period. Multivariable Cox models
found that individuals initiating HAART during 2002-04 were at an increased risk
of ADIs (AHR = 1.55; 95% CI 1.04-2.32) in comparison to 1996 - 98, but there were
no significant differences in other time periods. CONCLUSIONS: Trends in reported
ADIs among individuals receiving HAART since 1996 in BC do not appear to parallel
improvements in life-expectancy over the same period.
PMID- 21892956
TI - Enhancing measurement of primary health care indicators using an equity lens: An
ethnographic study.
AB - INTRODUCTION: One important goal of strengthening and renewal in primary
healthcare (PHC) is achieving health equity, particularly for vulnerable
populations. There has been a flurry of international activity toward the
establishment of indicators relevant to measuring and monitoring PHC. Yet, little
attention has been paid to whether current indicators: 1) are sensitive enough to
detect inequities in processes or outcomes of care, particularly in relation to
the health needs of vulnerable groups or 2) adequately capture the complexity of
delivering PHC services across diverse groups. The purpose of this paper is to
contribute to the discourse regarding what ought to be considered a PHC indicator
and to provide some concrete examples illustrating the need for modification and
development of new indicators given the goal of PHC achieving health equity.
METHODS: Within the context of a larger study of PHC delivery at two Health
Centers serving people facing multiple disadvantages, a mixed methods
ethnographic design was used. Three sets of data collected included: (a)
participant observation data focused on the processes of PHC delivery, (b)
interviews with Health Center staff, and (c) interviews with patients. RESULTS:
Thematic analysis suggests there is a disjuncture between clinical work
addressing the complex needs of patients facing multiple vulnerabilities such as
extreme levels of poverty, multiple chronic conditions, and lack of housing and
extant indicators and how they are measured. Items could better measure and
monitor performance at the management level including, what is delivered (e.g.,
focus on social determinants of health) and how services are delivered to
socially disadvantaged populations (e.g., effective use of space, expectation for
all staff to have welcoming and mutually respectful interactions). New indicators
must be developed to capture inputs (e.g., stability of funding sources) and
outputs (e.g., whole person care) in ways that better align with care provided to
marginalized populations. CONCLUSIONS: The current emphasis on achieving greater
equity through PHC, the continued calls for the renewal and strengthening of PHC,
and the use of monitoring and performance indicators highlight the relevance of
ensuring that there are more accurate methods to capture the complex work of PHC
organizations.
PMID- 21892958
TI - Impact of pretreatment and downstream processing technologies on economics and
energy in cellulosic ethanol production.
AB - BACKGROUND: While advantages of biofuel have been widely reported, studies also
highlight the challenges in large scale production of biofuel. Cost of ethanol
and process energy use in cellulosic ethanol plants are dependent on technologies
used for conversion of feedstock. Process modeling can aid in identifying techno
economic bottlenecks in a production process. A comprehensive techno-economic
analysis was performed for conversion of cellulosic feedstock to ethanol using
some of the common pretreatment technologies: dilute acid, dilute alkali, hot
water and steam explosion. Detailed process models incorporating feedstock
handling, pretreatment, simultaneous saccharification and co-fermentation,
ethanol recovery and downstream processing were developed using SuperPro
Designer. Tall Fescue (Festuca arundinacea Schreb) was used as a model feedstock.
RESULTS: Projected ethanol yields were 252.62, 255.80, 255.27 and 230.23 L/dry
metric ton biomass for conversion process using dilute acid, dilute alkali, hot
water and steam explosion pretreatment technologies respectively. Price of
feedstock and cellulose enzymes were assumed as $50/metric ton and 0.517/kg broth
(10% protein in broth, 600 FPU/g protein) respectively. Capital cost of ethanol
plants processing 250,000 metric tons of feedstock/year was $1.92, $1.73, $1.72
and $1.70/L ethanol for process using dilute acid, dilute alkali, hot water and
steam explosion pretreatment respectively. Ethanol production cost of $0.83,
$0.88, $0.81 and $0.85/L ethanol was estimated for production process using
dilute acid, dilute alkali, hot water and steam explosion pretreatment
respectively. Water use in the production process using dilute acid, dilute
alkali, hot water and steam explosion pretreatment was estimated 5.96, 6.07, 5.84
and 4.36 kg/L ethanol respectively. CONCLUSIONS: Ethanol price and energy use
were highly dependent on process conditions used in the ethanol production plant.
Potential for significant ethanol cost reductions exist in increasing pentose
fermentation efficiency and reducing biomass and enzyme costs. The results
demonstrated the importance of addressing the tradeoffs in capital costs,
pretreatment and downstream processing technologies.
PMID- 21892957
TI - Analysis of an ankyrin-like region in Epstein Barr Virus encoded (EBV) BZLF-1
(ZEBRA) protein: implications for interactions with NF-kappaB and p53.
AB - BACKGROUND: The carboxyl terminal of Epstein-Barr virus (EBV) ZEBRA protein (also
termed BZLF-1 encoded replication protein Zta or ZEBRA) binds to both NF-kappaB
and p53. The authors have previously suggested that this interaction results from
an ankyrin-like region of the ZEBRA protein since ankyrin proteins such as
IkappaB interact with NF-kappaB and p53 proteins. These interactions may play a
role in immunopathology and viral carcinogenesis in B lymphocytes as well as
other cell types transiently infected by EBV such as T lymphocytes, macrophages
and epithelial cells. METHODS: Randomization of the ZEBRA terminal amino acid
sequence followed by statistical analysis suggest that the ZEBRA carboxyl
terminus is most closely related to ankyrins of the invertebrate cactus IkappaB
like protein. This observation is consistent with an ancient origin of ZEBRA
resulting from a recombination event between an ankyrin regulatory protein and a
fos/jun DNA binding factor. In silico modeling of the partially solved ZEBRA
carboxyl terminus structure using PyMOL software demonstrate that the carboxyl
terminus region of ZEBRA can form a polymorphic structure termed ZANK (ZEBRA
ANKyrin-like region) similar to two adjacent IkappaB ankyrin domains.
CONCLUSIONS: Viral capture of an ankyrin-like domain provides a mechanism for
ZEBRA binding to proteins in the NF-kappaB and p53 transcription factor families,
and also provides support for a process termed "Ping-Pong Evolution" in which DNA
viruses such as EBV are formed by exchange of information with the host genome.
An amino acid polymorphism in the ZANK region is identified in ZEBRA from tumor
cell lines including Akata that could alter binding of Akata ZEBRA to the p53
tumor suppressor and other ankyrin binding protein, and a novel model of
antagonistic binding interactions between ZANK and the DNA binding regions of
ZEBRA is suggested that may be explored in further biochemical and molecular
biological models of viral replication.
PMID- 21892959
TI - Econobiophysics - game of choosing. Model of selection or election process with
diverse accessible information.
AB - We propose several models applicable to both selection and election processes
when each selecting or electing subject has access to different information about
the objects to choose from. We wrote special software to simulate these
processes. We consider both the cases when the environment is neutral (natural
process) as well as when the environment is involved (controlled process).
PMID- 21892960
TI - The clinical assessment study of the foot (CASF): study protocol for a
prospective observational study of foot pain and foot osteoarthritis in the
general population.
AB - BACKGROUND: Symptomatic osteoarthritis (OA) affects approximately 10% of adults
aged over 60 years. The foot joint complex is commonly affected by OA, yet there
is relatively little research into OA of the foot, compared with other frequently
affected sites such as the knee and hand. Existing epidemiological studies of
foot OA have focussed predominantly on the first metatarsophalangeal joint at the
expense of other joints. This three-year prospective population-based
observational cohort study will describe the prevalence of symptomatic
radiographic foot OA, relate its occurrence to symptoms, examination findings and
life-style-factors, describe the natural history of foot OA, and examine how it
presents to, and is diagnosed and managed in primary care. METHODS: All adults
aged 50 years and over registered with four general practices in North
Staffordshire, UK, will be invited to participate in a postal Health Survey
questionnaire. Respondents to the questionnaire who indicate that they have
experienced foot pain in the preceding twelve months will be invited to attend a
research clinic for a detailed clinical assessment. This assessment will consist
of: clinical interview; physical examination; digital photography of both feet
and ankles; plain x-rays of both feet, ankles and hands; ultrasound examination
of the plantar fascia; anthropometric measurement; and a further self-complete
questionnaire. Follow-up will be undertaken in consenting participants by postal
questionnaire at 18 months (clinic attenders only) and three years (clinic
attenders and survey participants), and also by review of medical records.
DISCUSSION: This three-year prospective epidemiological study will combine survey
data, comprehensive clinical, x-ray and ultrasound assessment, and review of
primary care records to identify radiographic phenotypes of foot OA in a
population of community-dwelling older adults, and describe their impact on
symptoms, function and clinical examination findings, and their presentation,
diagnosis and management in primary care.
PMID- 21892961
TI - Beneficial effects of physical activity in an HIV-infected woman with
lipodystrophy: a case report.
AB - INTRODUCTION: Lipodystrophy is common in patients infected with human
immunodeficiency virus receiving highly active antiretroviral therapy, and
presents with morphologic changes and metabolic alterations that are associated
with depressive behavior and reduced quality of life. We examined the effects of
exercise training on morphological changes, lipid profile and quality of life in
a woman with human immunodeficiency virus presenting with lipodystrophy. CASE
PRESENTATION: A 31-year-old Latin-American Caucasian woman infected with human
immunodeficiency virus participated in a 12-week progressive resistance exercise
training program with an aerobic component. Her weight, height, skinfold
thickness, body circumferences, femur and humerus diameter, blood lipid profile,
maximal oxygen uptake volume, exercise duration, strength and quality of life
were assessed pre-exercise and post-exercise training. After 12 weeks, she
exhibited reductions in her total subcutaneous fat (18.5%), central subcutaneous
fat (21.0%), peripheral subcutaneous fat (10.7%), waist circumference (WC)
(4.5%), triglycerides (9.9%), total cholesterol (12.0%) and low-density
lipoprotein cholesterol (8.6%). She had increased body mass (4.6%), body mass
index (4.37%), humerus and femur diameter (3.0% and 2.3%, respectively), high
density lipoprotein cholesterol (16.7%), maximal oxygen uptake volume (33.3%),
exercise duration (37.5%) and strength (65.5%). Quality of life measures improved
mainly for psychological and physical measures, independence and social
relationships. CONCLUSIONS: These findings suggest that supervised progressive
resistance exercise training is a safe and effective treatment for evolving
morphologic and metabolic disorders in adults infected with HIV receiving highly
active antiretroviral therapy, and improves their quality of life.
PMID- 21892962
TI - Low plasma progranulin levels in children with autism.
AB - BACKGROUND: Autoimmunity to brain may play a pathogenic role in autism. In
autoimmune disorders, the formation of antigen-antibody complexes triggers an
inflammatory response by inducing the infiltration of neutrophils. Local
administration of recombinant progranulin, which is an anti-inflammatory
neurotrophic factor, potently inhibit neutrophilic inflammation in vivo,
demonstrating that progranulin represents a crucial inflammation-suppressing
mediator. We are the first to measure plasma progranulin levels in autism.
METHODS: Plasma levels of progranulin were measured, by ELISA, in 40 autistic
patients, aged between 3 and 12 years, and 40 healthy-matched children. RESULTS:
Autistic children had significantly lower plasma progranulin levels, P = 0.001.
Reduced plasma progranulin levels were found in 65% (26/40) of autistic
children.On the other hand, there was a non significant difference between plasma
progranulin levels of children with mild to moderate autism and patients with
severe autism, P = 0.11. CONCLUSIONS: Plasma progranulin levels were reduced in a
subgroup of patients with autism. Progranulin insufficiency in some patients with
autism may result in many years of reduced neutrotrophic support together with
cumulative damage in association with dysregulated inflammation that may have a
role in autism. However, these data should be treated with caution until further
investigations are performed, with a larger subject population, to determine
whether the decrease of plasma progranulin levels is a mere consequence of autism
or has a pathogenic role in the disease. The role of progranulin therapy should
also be studied in autism.
PMID- 21892963
TI - Chromatin regulated interchange between polycomb repressive complex 2 (PRC2)-Ezh2
and PRC2-Ezh1 complexes controls myogenin activation in skeletal muscle cells.
AB - BACKGROUND: Polycomb group (PcG) genes code for chromatin multiprotein complexes
that are responsible for maintaining gene silencing of transcriptional programs
during differentiation and in adult tissues. Despite the large amount of
information on PcG function during development and cell identity homeostasis,
little is known regarding the dynamics of PcG complexes and their role during
terminal differentiation. RESULTS: We show that two distinct polycomb repressive
complex (PRC)2 complexes contribute to skeletal muscle cell differentiation: the
PRC2-Ezh2 complex, which is bound to the myogenin (MyoG) promoter and muscle
creatine kinase (mCK) enhancer in proliferating myoblasts, and the PRC2-Ezh1
complex, which replaces PRC2-Ezh2 on MyoG promoter in post-mitotic myotubes.
Interestingly, the opposing dynamics of PRC2-Ezh2 and PRC2-Ezh1 at these muscle
regulatory regions is differentially regulated at the chromatin level by Msk1
dependent methyl/phospho switch mechanism involving phosphorylation of serine 28
of the H3 histone (H3S28ph). While Msk1/H3S28ph is critical for the displacement
of the PRC2-Ezh2 complex, this pathway does not influence the binding of PRC2
Ezh1 on the chromatin. Importantly, depletion of Ezh1 impairs muscle
differentiation and the chromatin recruitment of MyoD to the MyoG promoter in
differentiating myotubes. We propose that PRC2-Ezh1 is necessary for controlling
the proper timing of MyoG transcriptional activation and thus, in contrast to
PRC2-Ezh2, is required for myogenic differentiation. CONCLUSIONS: Our data reveal
another important layer of epigenetic control orchestrating skeletal muscle cell
terminal differentiation, and introduce a novel function of the PRC2-Ezh1 complex
in promoter setting.
PMID- 21892964
TI - Does market exclusivity hinder the development of Follow-on Orphan Medicinal
Products in Europe?
AB - BACKGROUND: We determined whether the market exclusivity incentive of the
European Orphan Drug Regulation results in a market monopoly or that absence of
another Orphan Medicinal Product (OMP) for the same rare disorder, a so-called
follow-on OMP, is a matter of time or market size. In the interest of rare
disorder patients better understanding of the effect of the market exclusivity
incentive on follow-on OMP development is warranted. METHODS: First, the impact
of various market-, product- and disease-related characteristics on follow-on OMP
development in the EU was determined by comparing rare disorders with an approved
OMP and at least one follow-on OMP (N = 26), with rare disorders with an approved
OMP and no follow-on OMP (N = 18). Next, we determined whether manufacturers
continued development of a follow-on OMP upon approval of the first OMP for the
intended rare disorder. Since in the EU significant benefit of an OMP has to be
established, we determined for each follow-on OMP for which development was
continued on what grounds significant benefit was assumed by the sponsor. Data
were collected from the public domain only. RESULTS: The likelihood of a rare
disorder with an approved OMP to obtain at least one follow-on OMP development
was strongly associated with disease prevalence, turnover of the first OMP,
disease class, disease-specific scientific output and age of onset. Out of a
total of 120 follow-on OMPs only one follow-on OMP could be identified for which
development was discontinued upon approval of the first OMP for the same rare
disorder. Only a substantial level of discontinuation of follow-on OMP
development would have indicated the existence of a market monopoly. Moreover,
sponsors that continued development of a follow-on OMP predominantly assumed that
their product had an improved efficacy compared to the first approved OMP.
CONCLUSIONS: This study provides evidence that absence of follow-on OMP
development is a matter of time or market size, rather than that the market
exclusivity incentive of the European Orphan Drug Regulation creates a market
monopoly.
PMID- 21892965
TI - Thyroid-stimulating hormone elevation misdiagnosed as subclinical hypothyroidism
following non-convulsive status epilepticus: a case report.
AB - INTRODUCTION: Non-convulsive status epilepticus is a form of epileptic seizure
that occurs without convulsions. Recent reviews suggest that the diagnosis of non
convulsive status epilepticus remains difficult. Here, we report the case of a
patient with thyroid-stimulating hormone elevation misdiagnosed as subclinical
hypothyroidism following non-convulsive status epilepticus. CASE PRESENTATION:
Our patient was a 68-year-old Japanese woman. The results of endocrine testing
after her first episode of non-convulsive status epilepticus suggested latent
subclinical hypothyroidism: she had elevated thyroid-stimulating hormone with
normal levels of free tri-iodothyronine and free thyroxine. On examination, a
diagnosis of thyroid disorder was not supported by other test results and our
patient remained untreated. A follow-up examination revealed that her thyroid
stimulating hormone levels had spontaneously normalized. When she consulted
another doctor for confusion, the transient increase in thyroid-stimulating
hormone levels following non-convulsive status epilepticus was mistaken for
subclinical hypothyroidism, and unfortunately treated with levothyroxine. Our
patient then experienced levothyroxine-induced non-convulsive status epilepticus.
CONCLUSIONS: In this report, we suggested possible mechanisms for latent
hypothyroid-like hormone abnormality following epileptic seizures and the
possibility of provoking epileptic seizures by administering levothyroxine for
misdiagnosed subclinical hypothyroidism.
PMID- 21892966
TI - Immunohistochemical comparison of CD5, lambda, and kappa expression in primary
and recurrent buccal mucosa-associated lymphoid tissue (MALT) lymphomas.
AB - Mucosa-associated lymphoid tissue (MALT) lymphoma is a type of extranodal
marginal zone B-cell lymphoma and is a distinct subtype of non-Hodgkin's
lymphoma.Primary MALT lymphomas can also occur in the oral cavity, although their
appearance in this location is rare. The neoplastic cells of which MALT lymphomas
are composed express B-cell antigens and show monotypic immunoglobulin expression
with light-chain restriction.Although neoplastic MALT lymphoma cells do not
express CD5, previous studies have shown that CD5 positive MALT lymphomas are
more prone to dissemination than those that do not express CD5. Moreover, there
are some reports that describe kappa- and lambda- dual light chain expression in
B cell malignant neoplasms.A 66-year-old Japanese woman with swelling of the
right buccal mucosa was referred to our hospital. The lesion was excised and was
pathologically diagnosed as a MALT lymphoma tumor with a t(11;18)(q21;q21)
chromosome translocation.Swelling of the right buccal mucosa recurred 2 years
later. The recurrent tumor was then excised and pathologically diagnosed as MALT
lymphoma.Immunohistochemical examination of CD5, lambda, and kappa expressions
revealed that the primary tumor was positive for CD5, kappa, and lambda, but the
recurrent tumor was weakly positive for CD5 and kappa.With respect to lambda
positivity, the recurrent tumor showed negativity.Our study suggests that
immunohistochemical expression of CD5, kappa, and lambda in oral MALT lymphoma
have the risk of recurrence.We first described the recurrence of CD5 positive
MALT lymphoma in the oral cavity and compared the immunohistochemical expressions
of CD5, lambda, and kappa between the primary and recurrent tumors.
PMID- 21892967
TI - Associations of maternal pre-pregnancy obesity and excess pregnancy weight gains
with adverse pregnancy outcomes and length of hospital stay.
AB - BACKGROUND: It is relatively less known whether pre-pregnancy obesity and excess
gestational weight gain (GWG) are associated with caesarean delivery, pregnancy
complications, preterm birth, birth and placenta weights and increased length of
postnatal hospital stay. METHODS: We used a population-based cohort of 6632 women
who gave birth in Brisbane, Australia, between 1981 and 1983. The independent
associations of pre-pregnancy obesity, GWG and institute of medicine (IOM)
categories of combined pre-pregnancy BMI and GWG with outcomes were examined
using multivariable regression (for continuous outcomes) and multivariable
multinomial regression (for categorical outcomes) models. RESULTS: We found women
who were obese prior to pregnancy and women who gained excess weight during
pregnancy were at greater risk for a pregnancy complications (OR: 2.10; 1.74,
2.54; age adjusted model), caesarean section (OR 1.29; 1.09, 1.54), higher birth
weight difference (206.45 gm; 178.82, 234.08) and greater placental weight
difference (41.16 gm; 33.83, 48.49) and longer length of hospital stay. We also
found that mothers who gained inadequate weight or were underweight before
pregnancy were at greater risk of preterm birth (2.27; 1.71, 3.00), lower risk of
pregnancy complications (0.58; 0.44, 0.77) and had lower birth (-190.63;-221.05,
160.20) and placental (-37.16; -45.23,-29.09) weights. Results indicate that all
associations remain consistent after adjustment for a range of potential
confounding factors with the exception of the association between pre-pregnancy
obesity and hospital stay. CONCLUSIONS: Pre-pregnancy obesity or excessive GWG
are associated with greater risk of pregnancy complications, caesarean delivery
and greater birth and placenta weight. Excess GWG is associated with a longer
stay in hospital after delivery, independent of pre-pregnancy BMI, pregnancy
complications and caesarean delivery. In addition to pre-pregnancy obesity, it is
vital that clinical practice considers excess GWG as another indicator of adverse
pregnancy outcomes.
PMID- 21892968
TI - Homology modeling of mosquito cytochrome P450 enzymes involved in pyrethroid
metabolism: insights into differences in substrate selectivity.
AB - BACKGROUND: Cytochrome P450 enzymes (P450s) have been implicated in insecticide
resistance. Anopheles minumus mosquito P450 isoforms CYP6AA3 and CYP6P7 are
capable of metabolizing pyrethroid insecticides, however CYP6P8 lacks activity
against this class of compounds. FINDINGS: Homology models of the three An.
minimus P450 enzymes were constructed using the multiple template alignment
method. The predicted enzyme model structures were compared and used for
molecular docking with insecticides and compared with results of in vitro
enzymatic assays. The three model structures comprise common P450 folds but
differences in geometry of their active-site cavities and substrate access
channels are prominent. The CYP6AA3 model has a large active site allowing it to
accommodate multiple conformations of pyrethroids. The predicted CYP6P7 active
site is more constrained and less accessible to binding of pyrethroids. Moreover
the predicted hydrophobic interface in the active-site cavities of CYP6AA3 and
CYP6P7 may contribute to their substrate selectivity. The absence of CYP6P8
activity toward pyrethroids appears to be due to its small substrate access
channel and the presence of R114 and R216 that may prevent access of pyrethroids
to the enzyme heme center. CONCLUSIONS: Differences in active site topologies
among CYPAA3, CYP6P7, and CYP6P8 enzymes may impact substrate binding and
selectivity. Information obtained using homology models has the potential to
enhance the understanding of pyrethroid metabolism and detoxification mediated by
P450 enzymes.
PMID- 21892969
TI - Occult HCV or delayed viral clearance from lymphocytes of Chronic HCV genotype 3
patients after interferon therapy.
AB - BACKGROUND: A recently discovered occult HCV entity reported by various
investigators seems to be highly controversial. Especially, the clinical
significance of these findings remains uncertain. For optimal outcome of
antiviral therapy, investigation of occult HCV needs a broad-based probe in order
to investigate the results of viral therapy and its host/viral interaction. The
current study was aimed at determining the prevalence of occult HCV in peripheral
blood lymphocytes of predominantly genotype 3 HCV-infected patients after
completion of antiviral therapy and to investigate long term outcomes in the
presence or absence of PBMC positivity. METHOD: A total of 151 chronic, antiHCV
and serum RNA-positive patients were enrolled in the study. Patients with a
complete virological response at the end of treatment were screened for the
presence of viral RNA in their PBMCs and were followed for up to one year for the
presence of serum and PBMC viral genomic RNA. RESULTS: Out of 151 patients, 104
(70%) responded to the prescribed interferon treatment and showed viral-clearance
from serum. These were screened for the presence of genomic RNA in their PBMCs.
Sixteen samples were PBMC-positive for viral RNA at the end of treatment (EOT).
All these patients had also cleared the virus from peripheral blood cells after
the 6-12 month follow-up study. CONCLUSION: True occult hepatitis C virus does
not exist in our cohort. Residual viremia at the EOT stage merely reflects a
difference in viral kinetics in various compartments that remains a target of
immune response even after the end of antiviral therapy and is eventually cleared
out at the sustained viral response (SVR).
PMID- 21892970
TI - Targeting bone metastases starting from the preneoplastic niche: home sweet home.
AB - The metastatic process is a multistep coordinated event with a high degree of
efficiency. Specific subpopulations of cancer stem cells, with tumor-initiating
and migratory capacity, can selectively migrate towards sites that are able to
promote survival and/or proliferation of metastatic tumor cells through a
microenvironment modification. Cross-talk between the bone microenvironment and
cancer cells can facilitate bone tropism of cancer cells. Fully understanding
this complexity represents a major challenge in anti-cancer research and a
mandatory step towards the development of new drugs potentially able not only to
reduce the consequences of bone lesions but also to target the metastatic process
in visceral sites.
PMID- 21892971
TI - 7th meeting of the Global Arthritis Research Network.
AB - Last October, the 7th meeting of the Global Arthritis Research Network was held
in Zurich, Switzerland. European and American experts who have made major recent
contributions to molecular biology got together to provide insights into novel
technologies and approaches useful for biomedical research, especially for
research on arthritis and related conditions.
PMID- 21892972
TI - Early versus late renal replacement therapy in acute kidney injury: the search
for a definition of timing continues.
PMID- 21892973
TI - In vivo and in vitro evidence for pleiotropic effects of levosimendan in the
intensive care setting.
AB - Levosimendan, in addition to its inotropic properties, could have anti
inflammatory and anti-oxidative properties, and can potentially decrease the
deleterious effects of reactive oxygen species on the tissues. In their study,
Hasslacher and colleagues provided not only in vitro but also in vivo evidence
that levosimendan could preserve organ function in acute heart failure and septic
shock-induced myocardial depression via cooling down the oxidative burst of
circulating cells.
PMID- 21892974
TI - On the imperfect synchrony between patient and ventilator.
AB - Because patient-ventilator asynchrony (PVA) is recognized as a major clinical
problem for patients undergoing ventilatory assistance, automatic methods of PVA
detection have been proposed in recent years. A novel approach is airflow
spectral analysis, which, when related to visual inspection of airway pressure
and flow waveforms, has been shown to reach a sensitivity and specificity of
greater than 80% in detecting an asynchrony index of greater than 10%. The
availability of automatic non-invasive methods of PVA detection at the bedside
would likely be of benefit in intensive care unit practice, but they may be
limited by shortcomings, so clear proof of their effectiveness is needed.
PMID- 21892975
TI - Central venous oxygen saturation in septic shock--a marker of cardiac output,
microvascular shunting and/or dysoxia?
AB - Shock therapy aims at increasing central venous oxygen saturation (ScvO2), which
is a marker of inadequate oxygen delivery. In this issue of Critical Care,
Textoris and colleagues challenge this notion by reporting that high levels of
ScvO2 are associated with mortality in patients with septic shock. This is of
obvious interest, but as their retrospective design has inherent limitations, the
association should be confirmed in a prospective, multicenter study with
protocolized ScvO2 measurements and detailed registration of potentially
confounding factors.
PMID- 21892976
TI - Working with capacity limitations: operations management in critical care.
AB - As your hospital's ICU director, you are approached by the hospital's
administration to help solve ongoing problems with ICU bed availability. The ICU
seems to be constantly full, and trauma patients in the emergency department
sometimes wait up to 24 hours before receiving a bed. Additionally, the cardiac
surgeons were forced to cancel several elective coronary-artery bypass graft
cases because there was not a bed available for postoperative recovery. The
hospital administrators ask whether you can decrease your ICU length of stay, and
wonder whether they should expand the ICU to include more beds For help in
understanding and optimizing your ICU's throughput, you seek out the operations
management researchers at your university.
PMID- 21892978
TI - Soluble CD40 ligand is not solely related to the presence and severity of sepsis.
PMID- 21892979
TI - Are arterial blood gases necessary in the evaluation of acutely dyspneic
patients?
AB - Arterial blood gases (ABG) are obtained commonly in dyspneic persons presenting
to emergency departments. The study by Burri and colleagues found that the
information contained in ABG fails to distinguish between pulmonary and other
causes of dyspnea. On the other hand, arterial pH was highly predictive of ICU
admission and outcome. Until large clinical studies show equivalence between
peripheral venous and ABG, we will continue to advocate the use of ABG in the
evaluation of acute dyspnea.
PMID- 21892977
TI - Bench-to-bedside review: Vasopressin in the management of septic shock.
AB - This review of vasopressin in septic shock differs from previous reviews by
providing more information on the physiology and pathophysiology of vasopressin
and vasopressin receptors, particularly because of recent interest in more
specific AVPR1a agonists and new information from the Vasopressin and Septic
Shock Trial (VASST), a randomized trial of vasopressin versus norepinephrine in
septic shock. Relevant literature regarding vasopressin and other AVPR1a agonists
was reviewed and synthesized. Vasopressin, a key stress hormone in response to
hypotension, stimulates a family of receptors: AVPR1a, AVPR1b, AVPR2, oxytocin
receptors and purinergic receptors. Rationales for use of vasopressin in septic
shock are as follows: first, a deficiency of vasopressin in septic shock; second,
low-dose vasopressin infusion improves blood pressure, decreases requirements for
norepinephrine and improves renal function; and third, a recent randomized,
controlled, concealed trial of vasopressin versus norepinephrine (VASST) suggests
low-dose vasopressin may decrease mortality of less severe septic shock. Previous
clinical studies of vasopressin in septic shock were small or not controlled.
There was no difference in 28-day mortality between vasopressin-treated versus
norepinephrine-treated patients (35% versus 39%, respectively) in VASST. There
was potential benefit in the prospectively defined stratum of patients with less
severe septic shock (5 to 14 MUg/minute norepinephrine at randomization):
vasopressin may have lowered mortality compared with norepinephrine (26% versus
36%, respectively, P = 0.04 within stratum). The result was robust: vasopressin
also decreased mortality (compared with norepinephrine) if less severe septic
shock was defined by the lowest quartile of arterial lactate or by use of one
(versus more than one) vasopressor at baseline. Other investigators found greater
hemodynamic effects of higher dose of vasopressin (0.06 units/minute) but also
unique adverse effects (elevated liver enzymes and serum bilirubin). Use of
higher dose vasopressin requires further evaluation of efficacy and safety. There
are very few studies of interactions of therapies in critical care--or septic
shock--and effects on mortality. Therefore, the interaction of vasopressin
infusion, corticosteroid treatment and mortality of septic shock was evaluated in
VASST. Low-dose vasopressin infusion plus corticosteroids significantly decreased
28-day mortality compared with corticosteroids plus norepinephrine (44% versus
35%, respectively, P = 0.03; P = 0.008 interaction statistic). Prospective
randomized controlled trials would be necessary to confirm this interesting
interaction. In conclusion, low-dose vasopressin may be effective in patients who
have less severe septic shock already receiving norepinephrine (such as patients
with modest norepinephrine infusion (5 to 15 MUg/minute) or low serum lactate
levels). The interaction of vasopressin infusion and corticosteroid treatment in
septic shock requires further study.
PMID- 21892980
TI - Effects of constant and changing temperature conditions on diapause induction in
Helicoverpa armigera (Lepidoptera: Noctuidae).
AB - The effects of photoperiod and temperature on the induction and termination of
facultative pupal diapause in Helicoverpa armigera (Lepidoptera: Noctuidae) were
investigated under laboratory conditions. Exposing H. armigera larvae to both
constant and fluctuating temperature regimes with a mean of 25 degrees C and 20
degrees C resulted in a type-III photoperiodic response curve of a short-long day
insect. The long-day critical daylengths for diapause induction were ten hours
and 12 hours at the constant temperatures of 25 degrees C and 20 degrees C,
respectively. Higher incidences of diapause and higher values both for the longer
and the shorter critical photoperiods for diapause induction were observed at
fluctuating regimes compared with the corresponding constant ones. At alternating
temperatures, the incidence of diapause ranged from 4.2% to 33.3% and was
determined by the temperature amplitude of the thermoperiod and by the
interaction of cryophase or thermophase with the photoperiod. Helicoverpa
armigera larvae seem to respond to photoperiodic stimuli at temperatures >15
degrees C and <30 degrees C; all insects entered diapause at a constant
temperature of 15 degrees C, whereas none did so at a constant temperature of 30
degrees C under all the photoperiodic regimes examined. Although chilling was not
a prerequisite for diapause termination, exposure of diapausing pupae to chilling
conditions significantly accelerated diapause development and the time of adult
emergence. Therefore, temperature may be the primary factor controlling the
termination of diapause in H. armigera.
PMID- 21892981
TI - Laboratory rearing and life history of an emerging grape pest, Xylotrechus
arvicola (Coleoptera: Cerambycidae).
AB - Several aspects of the biology of Xylotrechus arvicola (Olivier), an emerging
grape pest, were studied under laboratory conditions. Four diets were tested to
rear this species in the laboratory. Among them, only one made rearing from larva
to adult possible. The highest mortality, in all cases, was recorded during the
first days of larval development. Larvae were kept 45 days at 8 degrees C to
break diapause in order to reduce the normal field larval developmental time. The
species' developmental time was similar between sexes, while pupal developmental
time and weight were significantly greater for females than for males. As part of
a complementary study, life table parameters of females obtained from the larvae
reared on the artificial diet were compared to those of females emerged from
field-infested grape root wood. Both laid the majority of eggs in the first two
weeks after emergence, and they had a similar pre-laying period. Nevertheless,
the females from the diet-reared larvae lived significantly longer, laid eggs
over a longer period of time and showed higher fertility than those emerging from
infested grape root, suggesting that diet fulfils larval nutritional needs. The
species' laboratory-reared population exhibited a low intrinsic growth rate value
(rm=0.01) as a result of its long egg-to-adult developmental time and its high
larval mortality.
PMID- 21892982
TI - The frequency of daily ethanol consumption influences the effect of ethanol on
insulin sensitivity in rats fed a high-fat diet.
AB - The different effects of ethanol on insulin sensitivity may be due to complex
reasons. Here, we focus on the various daily ethanol consumption frequencies in
rats fed a high-fat (HF) diet and explore the possible mechanism mediated by
adiponectin and AMP-activated protein kinase (AMPK). A total of thirty-six male
Wistar rats were fed a HF diet and were randomly divided into three groups: those
that received tap water (C); those that received ethanol via a gastric tube twice
per d (E1); those that received free access to ethanol for drinking (E2). The
total daily ethanol dosage in groups E1 and E2 were the same (5 g/kg per d). At
the end of 18 weeks, insulin sensitivity was evaluated. Adiponectin AMPK and
GLUT4 levels were determined. We found that the different administration
frequencies led to markedly different plasma ethanol concentrations and there
were intimate relationships between plasma ethanol concentration and insulin
sensitivity. Insulin resistance was markedly improved in group E1, whereas only a
slight improvement was observed in group E2. Accordingly, adiponectin,
phosphorylated AMPK and GLUT4 levels were significantly increased in group E1.
Based on these findings, we propose that ethanol concentration might be the major
influencing factor mediating the effect of ethanol on insulin sensitivity. At a
total daily dosage of 5 g/kg per d, twice daily administration of ethanol was
more beneficial than continuous drinking. The protective effect of ethanol might
be mediated by increased adiponectin levels, which subsequently improve the
activation of AMPKalpha and GLUT4 expression in adipose tissue.
PMID- 21892983
TI - Late recurrence of infra-Hisian complete atrioventricular block.
AB - Acquired complete atrioventricular block that is caused by infectious myocarditis
is usually transient and has a favourable outcome. We report the case of a 15
year-old girl who had complete infra-Hisian atrioventricular block due to adeno
viral myocarditis and received a permanent pacemaker at the age of 10 months. The
pacemaker lost its function at the age of 7 years. However, she experienced a
late recurrence of complete atrioventricular block 10 years later. Complete
atrioventricular block is rarely recovered if it persisted for 2 weeks. Even in
the patients with late recovery, long-term follow-up and pacemaker therapy are
still needed.
PMID- 21892984
TI - A Bayesian approach for correcting for partial plating in fluctuation
experiments.
AB - The fluctuation experiment is the preferred method for estimating microbial
mutation rates. A difficult task facing the data analyst is to infer the mean
number of mutations from the number of mutant cells that only indirectly reflects
the number of mutations. Partial plating, commonly practised in the laboratory,
renders this task even more challenging by allowing only a portion of the mutant
cells to be counted. In this paper, we propose a Bayesian approach to correcting
for partial plating in the analysis of fluctuation experiments.
PMID- 21892985
TI - Using automated extraction of hepatitis B tests for surveillance: evidence of
decreasing incidence of acute hepatitis B in England.
AB - Surveillance of acute hepatitis B in England is necessary to estimate incidence,
determine routes of transmission and inform public health actions. Here we
describe an automated process to extract information on testing for markers of
hepatitis B infection in English sentinel laboratories between 2002 and 2008. The
resulting data were used to identify individuals with acute infections, describe
their characteristics and estimate the incidence of infection. Two-thirds of
acute infections were in males. Heterosexual exposure and injecting drug use were
the main risks reported. Annual incidence was estimated at 1.3/100 000 person
years overall (1.7 and 0.6 for males and females, respectively) and declined each
year. Automated extraction of hepatitis B markers, including quantitative results
where available, can help to classify HBV status more accurately for
surveillance. HBV incidence in England is at its lowest level in recent years.
PMID- 21892986
TI - Serological evidence of Coxiella burnetii exposure in native marsupials and
introduced animals in Queensland, Australia.
AB - The state of Queensland has the highest incidence of Q fever in Australia. In
recent years, there has been an increase in human cases where no contacts with
the typical reservoir animals or occupations were reported. The aim of this study
was to determine the seroprevalence of Coxiella burnetii in Australian native
animals and introduced animals in northern and southeastern Queensland.
Australian native marsupials sampled included the brushtail possum (Trichosurus
vulpecula) and common northern bandicoot (Isoodon macrourus). Introduced species
sampled included dingoes (Canis lupus dingo), cats (Felis catus), foxes (Vulpes
vulpes) and pigs (Sus scrofa). Serum samples were tested by ELISA for both phase
II and phase I antigens of the organism using an Australian isolate. The
serological evidence of C. burnetii infection demonstrated in these species has
public health implications due to their increasing movement into residential
areas in regional Queensland. This study is the first known investigation of C.
burnetii seroprevalence in these species in northern Queensland.
PMID- 21892988
TI - Impaired visual scanning and memory for faces in high-functioning autism spectrum
disorders: it's not just the eyes.
AB - Prior studies suggest that autism spectrum disorders (ASD) are associated with a
domain-specific memory impairment for faces. The underlying cause of this problem
and its relation to impaired visual scanning of faces--particularly of the eyes-
remains to be determined. We recorded eye movements while 22 high-functioning ASD
and 21 typically developing (TD) adolescents encoded and later recognized faces
and objects from a single, nonsocial object category (electric fans). Relative to
TD subjects, ASD individuals had poorer memory for faces, but not fans.
Correlational analyses showed significant relationships between recognition
memory and fixations. Eye tracking during encoding revealed that TD subjects made
more fixations to faces than fans, whereas ASD individuals did not differ in
number of fixations made to each stimulus type. Moreover, although both the TD
and ASD groups showed a strong preference for fixating the eyes more than the
mouth, the ASD subjects were less likely than TD subjects to scan regions of the
face outside of the primary facial features (i.e., eyes, nose, and mouth). We
concluded that ASD individuals have a domain-specific memory impairment for faces
relative to mechanical objects and that this impairment may be related to
abnormal scanning during encoding.
PMID- 21892989
TI - How to measure the onset of babbling reliably?
AB - Various measures for identifying the onset of babbling have been proposed in the
literature, but a formal definition of the exact procedure and a thorough
validation of the sample size required for reliably establishing babbling onset
is lacking. In this paper the reliability of five commonly used measures is
assessed using a large longitudinal corpus of spontaneous speech from forty
infants (age 0 ; 6-2 ; 0). In a first experiment it is shown that establishing
the onset of babbling with reasonable (95%) confidence is impossible when the
measures are computed only once, and when the number of vocalizations are not
equal for all children at all ages. In addition, each measure requires a
different minimal sample size. In the second experiment a robust procedure is
proposed and formally defined that permits the identification of the onset of
babbling with 95% confidence. The bootstrapping procedure involves extensive
resampling and requires relatively few data.
PMID- 21892990
TI - French immersion experience and reading skill development in at-risk readers.
AB - We tracked the developmental influences of exposure to French on developing
English phonological awareness, decoding and reading comprehension of English
speaking at-risk readers from Grade 1 to Grade 3. Teacher-nominated at-risk
readers were matched with not-at-risk readers in French immersion and English
language programs. Exposure to spoken French phonetic and syllabic forms and to
written French orthographic and morphological forms by children attending French
immersion programs was expected to promote phonological, decoding and reading
comprehension achievement. Growth in all outcomes was found, with children in
immersion experiencing higher final status in phonological awareness and more
rapid growth and higher final status in decoding, using multilevel modeling. At
risk readers in French immersion experienced faster growth and higher final
status in reading comprehension. Benefits to reading of exposure to an additional
language are discussed in relation to cross-language transfer, phonological grain
size and enhanced executive control processes.
PMID- 21892991
TI - An examination of kernite (Na2B4O6(OH)2.3H2O) using X-ray and electron
spectroscopies: quantitative microanalysis of a hydrated low-Z mineral.
AB - Mineral borates, the primary industrial source of boron, are found in a large
variety of compositions. One such source, kernite (Na2B4O6(OH)2.3H2O), offers an
array of challenges for traditional electron-probe microanalysis (EPMA)-it is
hygroscopic, an electrical insulator, composed entirely of light elements, and
sensitive to both low pressures and the electron beam. However, the approximate
stoichiometric composition of kernite can be analyzed with careful preparation,
proper selection of reference materials, and attention to the details of
quantification procedures, including correction for the time dependency of the
sodium X-ray signal. Moreover, a reasonable estimation of the mineral's water
content can also be made by comparing the measured oxygen to the calculated
stoichiometric oxygen content. X-ray diffraction, variable-pressure electron
imaging, and visual inspection elucidate the structural consequences of high
vacuum treatment of kernite, while Auger electron spectroscopy and X-ray
photoelectron spectroscopy confirm electron beam-driven migration of sodium and
oxygen out of the near-surface region (sampling depth ~ 2 nm). These surface
effects are insufficiently large to significantly affect the EPMA results
(sampling depth ~ 400 nm at 5 keV).
PMID- 21892993
TI - Quantitative energy dispersive X-ray analysis of submicrometric particles using a
scanning electron microscope.
AB - The quantitative scanning electron microscope-energy dispersive X-ray (SEM-EDX)
analysis of a horneblende and two augite prismatic samples reduced to
submicrometric particles was performed, and error due to the particle effects
("absent mass" and the "reduced absorption" effect) was minimized. Correction
factors as a function of fragment size were obtained for O, Na, Mg, Si, Ca, and
Fe. In addition, the influence of chemical composition of the samples used as
standards (the matrix effect) on correction factors was evaluated. The results
indicate that the absent mass effect is dominant for all elements except for the
light elements O and Na, for which the reduced absorption effect is dominant. No
significant matrix effect has been observed. By using corrected SEM-EDX data, the
error on quantification of the element concentration has been estimated to be 3%
relative for light elements and below 2% relative for heavy elements (notably,
about 1% relative for Fe).
PMID- 21892995
TI - Paving the way for transgenic schistosomes.
AB - In parasitological research, significant progress has been made with respect to
genomics and transcriptomics but transgenic systems for functional gene analyses
are mainly restricted to the protozoan field. Gene insertion and knockout
strategies can be applied to parasitic protozoa as well as gene silencing by RNA
interference (RNAi). By contrast, research on parasitic helminthes still lags
behind. Along with the major advances in genome and transcriptome analyses e.g.
for schistosomes, methods for the functional characterization of genes of
interest are still in their initial phase and have to be elaborated now, at the
beginning of the post-genomic era. In this review we will summarize attempts made
in the last decade regarding the establishment of protocols to transiently and
stably transform or transfect schistosomes. Besides approaches using particle
bombardment, electroporation or virus-based infection strategies to introduce DNA
constructs into adult and larval schistosome stages to express reporter genes,
first approaches have also been made in establishing protocols based on soaking,
lipofection, and/or electroporation for RNA interference to silence gene
activity. Although in these cases remarkable progress can be seen, the
schistosome community eagerly awaits major breakthroughs especially with respect
to stable transformation, but also for silencing or knock-down strategies for
every schistosome gene of interest.
PMID- 21892997
TI - [First trimester risk assessment].
PMID- 21892996
TI - Multiple reassortment between pandemic (H1N1) 2009 and endemic influenza viruses
in pigs, United States.
AB - As a result of human-to-pig transmission, pandemic influenza A (H1N1) 2009 virus
was detected in pigs soon after it emerged in humans. In the United States, this
transmission was quickly followed by multiple reassortment between the pandemic
virus and endemic swine viruses. Nine reassortant viruses representing 7
genotypes were detected in commercial pig farms in the United States. Field
observations suggested that the newly described reassortant viruses did not
differ substantially from pandemic (H1N1) 2009 or endemic strains in their
ability to cause disease. Comparable growth properties of reassortant and endemic
viruses in vitro supported these observations; similarly, a representative
reassortant virus replicated in ferrets to the same extent as did pandemic (H1N1)
2009 and endemic swine virus. These novel reassortant viruses highlight the
increasing complexity of influenza viruses within pig populations and the
frequency at which viral diversification occurs in this ecologically important
viral reservoir.
PMID- 21892998
TI - [Misuse of antibiotics in swine production is a problem for Danish health care].
PMID- 21892999
TI - [Increasing transmission of antibiotic resistance from animals to humans].
AB - The importance of the animal reservoir for emergence of antimicrobial resistance
in bacteria in humans is difficult to estimate. In this article we give our
estimate of the importance and also highlight on which points we have become
wiser during recent years. We conclude that it still is the human usage of
antibiotics which contributes most to resistance observed in humans, but also
that the contribution from animals is large and larger than estimated just a few
years ago. This indicates the need to implement restriction on antimicrobial
usage for both humans and animals.
PMID- 21893000
TI - [Early post-operative mobilization and orthostatic intolerance].
AB - Early mobilization after surgery is crucial for an enhanced recovery and can
reduce complications associated with immobility. Symptoms such as nausea,
vomiting, blurred vision and dizziness are however known to impede early
mobilization. Together these symptoms comprise orthostatic intolerance (OI), in
which the ultimate manifestation is syncope. In reference to find preventive and
relevant treatment for OI studies with a multimodal approach have shown promising
results, though the pathophysiology behind OI is not fully understood.
PMID- 21893001
TI - [Methotrexate treatment of rheumatoid arthritis in Denmark].
AB - In the recommended early, aggressive and continuous treatment strategy of
rheumatoid arthritis (RA), methotrexate (MTX) is the anchor drug, and although
generally well tolerated approximately 50% of the RA patients discontinue MTX
treatment within five years. In a large Danish cohort study among newly diagnosed
patients with RA only 21% had started MTX treatment within 90 days after referral
to hospital and the median time-to-treatment initiation was 120 days.
Furthermore, MTX compliance is considered generally high among Danish RA patients
and the patients have strong beliefs about MTX necessity.
PMID- 21893002
TI - [Coronary artery calcium score in cardiac CT increases the prognostic information
of selected patients].
AB - Cardiovascular disease is the leading cause of death in the western countries.
Conventional risk evaluation of asymptomatic individuals is unfortunately
inaccurate. There is a need for better diagnostic tools to identify persons, who
will benefit from intensified preventive treatment. Coronary artery calcium score
(CACS) measured by multi-slice CT scan contributes significantly to risk
stratification especially in persons with intermediate risk assessed by
conventional risk analysis. A CACS-guided preventive intervention strategy seems
appealing to reduce mortality due to cardiovascular disease.
PMID- 21893003
TI - [Radiotherapy in patients with a pacemaker or an implantable cardioverter
defibrillator].
AB - With an ageing population an increasing number of patients with a pacemaker or
implantable cardioverter defibrillator will present at radiotherapy units due to
malignancy. Two separate questionnaires concerning routines of departments
treating this population were sent to Danish implanting cardiology centers and to
radiotherapy departments. Differences in the handling of these patients were
found. Removal of the devices and monitoring of the patients is assessed on a
highly individual basis. In the absence of updated official guidelines,
departments treating these patients should produce local instructions.
PMID- 21893004
TI - [Mowat-Wilson syndrome: a report of three Danish cases].
AB - Mowat-Wilson syndrome (MWS) is an autosomal dominant intellectual disability
syndrome characterised by unique facial features and congenital anomalies such as
Hirschsprung disease, congenital heart defects, corpus callosum agenesis and
urinary tract anomalies. Some cases also present epilepsy, growth retardation and
microcephaly. The syndrome is caused by mutations or deletions of the ZEB2 gene
at chromosome 2q22-q23. MWS was first described in 1998 and until now
approximately 180 cases have been reported worldwide. We report the first three
molecularly confirmed Danish cases with MWS.
PMID- 21893005
TI - [Successful treatment of diabetic gustatory hyperhidrosis with topical
glycopyrrolate].
AB - Gustatory hyperhidrosis is a condition characterised by excessive craniofacial
sweating in relation to food intake and is associated with diabetic neuropathy.
The existing guidelines for treatment of this condition include antiperspirants,
oral anticholinergic treatment, ionophoresis, botulinum toxin injections and
endothoracic surgery. In this case a patient with diabetes suffering from
gustatory hyperhidrosis was treated successfully with topical glycopyrrolate, an
anticholinergic agent applied directly on the affected area.
PMID- 21893006
TI - [Congenital linear nevus sebaceus].
AB - An unusual case of nevus sebaceous is described. Nevus sebaceous is a congenital
epidermal hamartoma of the skin and the predilection site is the head or neck. In
this case the nevus followed the lines of Blaschko along the back of the left
lower extremity. The linear lesion seemed papulovesicular which caused suspicion
of incontinentia pigmenti or infection, and the boy received antimicrobial
treatment until a biopsy revealed the correct diagnosis. We wish to emphasize
this clinical picture to spare the patient and relatives from unnecessary tests,
treatment and concern.
PMID- 21893007
TI - [Surgical treatment of neurocysticercosis in a 12-year old child].
AB - We present a case of neurocysticercosis in a 12-year old refugee from Zambia.
Magnetic resonance imaging demonstrated a solitary, ring enhancing cystic lesion
located subcortically in the left parietal lobe. Despite extensive diagnostic
workup it was not possible to rule out alternative differential diagnoses.
Serological tests for neurocysticercosis came out negative. The lesion was
removed en bloc using microsurgical technique. Subsequent histological
examination revealed a thick-walled cyst containing a cysticercus identifiable as
a Taenia solium.
PMID- 21893009
TI - Gender bias in specialty preferences among Danish medical students: a cross
sectional study.
AB - INTRODUCTION: Female medical students tend to prefer person-oriented specialties
characterized by close doctor-patient contact and aspects of care. Conversely,
male medical students tend to seek towards specialties with elements of autonomy,
technology and "action" . Furthermore, female doctors will outnumber male doctors
in Denmark by 2017 and this may have implications for the availability of
specialized doctors. MATERIAL AND METHODS: Data derives from a baseline
questionnaire pertaining to a Danish follow-up study. A total of 561 first year
medical students enrolled in 2006 and 2007 answered the questionnaire. Binary
logistic regression analysis was used to calculate odds ratio estimates of the
relationship between gender and specialty preference. Variables measuring self
image were included in the analysis as potential mediators. RESULTS: 47% female
and 19% male students pursued personoriented specialties and 46% female and 68%
male students pursued technique-oriented specialties. More female students
pursued technique-oriented specialties than in 1992. Female students have 69%
less probability of choosing a technique-oriented specialty than males. This
association is mediated by lack of self-confidence. CONCLUSION: If specialty
preferences are persistent during medical school, the results suggest that we
will face more difficulties recruiting males to person-oriented specialties than
females to technique-oriented specialties in the future. Furthermore, when
addressing students' specialty preferences, we should consider both self
confidence and gender. FUNDING: not relevant. TRIAL REGISTRATION: not relevant.
PMID- 21893008
TI - Paediatric outcomes following intrauterine exposure to serotonin reuptake
inhibitors: a systematic review.
AB - The use of serotonine reuptake inhibitors (SRIs) is increasing among Danish
pregnant women. This systematic review addresses the potential adverse effects on
the foetus and child of maternal SRI medication. The literature indicates a
slightly increased risk of cardiovascular malformations and persistent pulmonary
hypertension of the new-born, while evidence regarding the risk of preterm
labour, low birth weight, low Apgar score, prolonged QT interval and miscarriage
is less clear. An estimated 20-30% of infants will have neonatal symptoms
following intrauterine SRI exposure. The symptoms may be caused by SRI
withdrawal, toxicity or their overlap, but symptom aetiology basically remains
controversial. The infants may exhibit neurological, gastrointestinal, autonomic,
endocrine or respiratory symptoms. Although the symptoms are self-limited, the
families may be seriously affected. In general, studies do not address this
important aspect. Evidence concerning long-term effects is surprisingly sparse
and many studies have important methodological limitations. However, present
evidence does not convincingly indicate detrimental long-term effects. Until
sufficient safety studies have been carried out, SRI must be used with caution in
pregnancy and every treatment of the pregnant woman should be thoroughly
considered.
PMID- 21893010
TI - Video electroencephalography monitoring differentiates between epileptic and non
epileptic seizures.
AB - INTRODUCTION: Epilepsy is often misdiagnosed and approximately one in every four
patients diagnosed with refractory epilepsy does not have epilepsy, but instead
non-epileptic seizures. Video electroencephalography monitoring (VEM) is the gold
standard for differentiation between epileptic and non-epileptic seizures. The
purpose of this study was to investigate the effectiveness of VEM as a diagnostic
tool. MATERIAL AND METHODS: In this retrospective study, we have investigated the
diagnostic outcome of 155 in patients undergoing VEM at Copenhagen University
Hospital (Rigshospitalet) over a two-year period. RESULTS: The study showed that
VEM revealed a diagnosis in 80%. Epilepsy was diagnosed in 38% and epilepsy was
rejected in 43% of cases. In the remaining 20% of cases, epilepsy could not be
excluded. Among patients who were referred in antiepileptic drug treatment, 29%
did not have epilepsy. The highest diagnostic yield was obtained when patients
had seizures with ictal electroencephalography paroxysms during VEM. CONCLUSION:
Several patients without epilepsy are treated as if they had epilepsy. VEM is a
costly method, but with a large diagnostic yield and should therefore be used
when there is doubt about the diagnosis in patients with relatively frequent
seizures. The use of VEM is expedient to make the correct diagnosis, optimize
medical treatment of patients with epilepsy and to avoid unnecessary treatment in
patients without epilepsy. FUNDING: not relevant. TRIAL REGISTRATION: not
relevant.
PMID- 21893011
TI - Many unexpected abdominal findings on staging computed tomography in patients
with colorectal cancer.
AB - INTRODUCTION: Computed tomography (CT) was proven to be superior to preoperative
abdominal ultrasound in the preoperative setting for detection of hepatic
metastases from colorectal cancer (CRC). The higher sensitivity of CT has
resulted in a number of unexpected abdominal findings of varying importance; an
issue that was previously studied in relation to CT colonography, but not in
relation to staging CT with intravenous contrast in CRC patients. The aim of the
present study was to evaluate the number and significance of such unexpected
findings on staging CTs in CRC patients. MATERIAL AND METHODS: The study
comprises a retrospective analysis of 247 consecutive patients who underwent
colorectal cancer surgery at Roskilde Hospital, Denmark, in 2009. A preoperative
abdominal staging CT was performed in 245 of these patients. All CT scans and
patient records were reviewed by the authors. The unexpected CT findings were
classified as being of high, moderate or low clinical importance according to
whether they required treatment relatively promptly, later or did not require
treatment at all, respectively. RESULTS: Overall, 114 patients (47%) had
unexpected findings. Nineteen of the 137 findings (14%) or 8% in all patients
were considered to be of high importance. Three per cent of all patients had
abdominal aortic aneurysms, 2% had CRC metastases to the adrenal glands, 2%
primary kidney tumours and 1% gynaecologic tumours. Twenty per cent of the
patients had findings of moderate importance and 29% findings of low importance.
CONCLUSION: Staging CT in CRC patients showed nearly 8% of unexpected abdominal
findings of high clinical importance requiring relatively prompt treatment.
FUNDING: not relevant. TRIAL REGISTRATION: not relevant.
PMID- 21893012
TI - High maternal HbA1c is associated with overweight in neonates.
AB - INTRODUCTION: The aims of this study were to determine the prevalence of women
with gestational diabetes mellitus (GDM) not obtaining HbA1c within the normal
range (<= 5.6%) before delivery and to examine whether elevated HbA1c values are
associated with an increased risk of large for gestational age (LGA) infants.
MATERIAL AND METHODS: A population of 148 women with singleton pregnancies who
had been diagnosed with GDM < 34 weeks, and who had a minimum of two HbA1c tests
with a >= 3 week interval. They were divided into those obtaining a HbA1c <=
5.6%, and those who did not before delivery and further stratified according to
baseline HbA1c <= or > 5.6%. The primary outcome was LGA infants. RESULTS: A
total of 51 (34%) women did not obtain a HbA1c <= 5.6% before delivery. The
median HbA1c before delivery was 5.9% versus 5.3% in the two groups. At baseline,
body mass index and HbA1c were higher in the women not obtaining the goal (30.9
versus 27.8 kg/m2, 5.9% versus 5.1%, both p < 0.01). Women with an elevated HbA1c
before delivery had a higher prevalence of LGA infants (adjusted odds ratio (OR)
3.1 (95% confidence interval (CI) 1.3-7.6) and neonatal hypoglycaemia (adjusted
OR 6.2 (95% CI 1.3-29.0). Other pregnancy outcomes were similar in the two
groups. Stratification according to baseline HbA1c did not seem to change the
result. CONCLUSION: Women with GDM not obtaining HbA1c within the normal range
before delivery had a threefold increased risk of having an LGA infant and a
sixfold increased risk of neonatal hypoglycaemia. FUNDING: not relevant. TRIAL
REGISTRATION: not relevant.
PMID- 21893013
TI - Use of preoperative bowel preparation in elective colorectal surgery in Denmark
remains high.
AB - INTRODUCTION: Previous studies have shown that preoperative bowel preparation
does not influence the frequency of postoperative complications after elective
open colonic resections. The Danish Colorectal Cancer Group (DCCG) recommends
that mechanical bowel preparation (MBP) should be omitted prior to elective
colonic resections. Several surveys show that most surgeons use MBP before
colorectal surgery. The aim of this survey was to investigate the use of
preoperative bowel preparation in elective colonic and rectal resections in
Denmark. MATERIAL AND METHODS: The survey was conducted in 2009. A questionnaire
on the use of preoperative bowel preparation in elective colonic and rectal
resections performed in 2008 was sent to all the departments of surgery that
perform colorectal surgery in Denmark. RESULTS: 34% of the patients received
bowel preparation before open colonic resection compared with 81% before open
rectal resection. Overall, the frequency of bowel preparation was significantly
higher in laparoscopic (63%) than in open surgery (50%). CONCLUSION: MBP before
elective open colonic resections remains widely used despite the national DCCG
guideline. MBP before laparoscopic colorectal resections was more frequently used
than before open colorectal resections as MBP before rectal resections was more
frequently used than before colonic resections. The need for preoperative bowel
preparation in these procedures has yet to be determined. FUNDING: not relevant.
TRIAL REGISTRATION: not relevant.
PMID- 21893014
TI - Evidence based evaluation of immuno-coagulatory interventions in critical care.
AB - Cochrane systematic reviews with meta-analyses of randomised trials provide
guidance for clinical practice and health-care decision-making. In case of
disagreements between research evidence and clinical practice, high quality
systematic reviews can facilitate implementation or deimplementation of medical
interventions into clinical practice. This applies especially to treatment of
critically ill patients where interventions are most often costly and the
clinical conditions are associated with high mortality. OBJECTIVES: To assess the
potential benefits or harms of 1) antithrombin III (AT III) for critically ill
patients; 2) inhaled nitric oxide (INO) for acute respiratory distress syndrome
(ARDS) and acute lung injury (ALI); 3) aerosolized prostacyclin for ARDS and ALI;
4) thrombelastography (TEG) or thromboelastometry (ROTEM) to monitor haemotherapy
versus usual care in patients with massive transfusion. METHODS: We performed
four systematic reviews of relevant randomised clinical trials. To quantify the
estimated effect of various interventions, we conducted meta-analyses, where
appropriate, to determine intervention effects using the Cochrane Collaboration
methodology, trial sequential analyses (TSA), the GRADE, and the PRISMA
guidelines when conducting our systematic reviews. All reviews were performed
according to published protocols following the recommendations of the Cochrane
Handbook for systematic reviews of interventions. We performed multiple subgroup
and sensitivity analyses with regard to methodological quality and various
clinical outcomes. Trials were identified through Cochrane Central Register of
Controlled Trials (CENTRAL), MEDLINE, EMBASE Science Citation Index-Expanded, The
Chinese Biomedical Database and LILACS. We included all randomized clinical
trials. We hand-searched reference lists, reviews, and contacted authors and
experts for additional trials. We searched ClinicalTrials.gov, Centre Watch
Clinical Trials Listing Service and ControlledTrials.com for missed, unreported,
or ongoing trials. We screened bibliographies of relevant articles and conference
proceedings and wrote to trialists and pharmaceutical companies producing the
drugs in question. RESULTS: Four systematic reviews included a total of 44 trials
with 5,551 patients. Only 15 of the trials were classified as trials with low
risk of bias (high methodological quality) regarding generation of the allocation
sequence, allocation concealment, blinding, follow-up and other types of bias. 1)
Compared with placebo or no intervention, AT III did not significantly affect
overall mortality (relative risk (RR) 0.96, 95% confidence interval (CI) 0.89 to
1.03). No subgroup analyses on risk of bias, populations of patients, or with and
without adjuvant heparin yielded significant results. AT III significantly
increased the risk of bleeding events (RR 1.52, 95% CI 1.30 to 1.78). 2) INO
showed no statistically significant effect on overall mortality (RR 1.06, 95% CI
0.93 to 1.22) and in several subgroup and sensitivity analyses, indicating robust
results. Limited data demonstrated no effect of INO on duration of ventilation,
ventilator-free days, and length of stay in the intensive care unit and hospital.
We found a statistically significant, but transient improvement in oxygenation in
the first 24 hours, expressed as the ratio of PO2 to fraction of inspired oxygen
(mean difference (MD) 15.91, 95% CI 8.25 to 23.56). However, INO appears to
significantly increase the risk of renal impairment among adults (RR 1.59, 95% CI
1.17 to 2.16) but did not significantly affect the risk of bleeding or
methaemoglobin or nitrogen dioxide formation. 3) We found only one small low risk
of bias paediatric trial examining the role of aerosolized prostacyclin in ALI or
ARDS. Based on this limited amount of data, we were unable to support or refute
the routine use of this intervention in ALI or ARDS. 4) Compared with standard
treatment, TEG or ROTEM showed no statistically significant effect on overall
mortality (RR 0.77, 95% CI 0.35 to 1.72) but only five trials provided data on
mortality. Our analyses demonstrated a statistically significant effect of TEG or
ROTEM on the amount of bleeding (MD -85.05 ml, 95% CI -140.68 to -29.42) but
failed to show any statistically significant effect on other predefined outcomes.
However, whether this reduction has implication for the patient's clinical
condition is still uncertain. CONCLUSION: We did not find reliable evidence to
support the clinical use of the assessed immuno-coagulatory interventions for
general use in critical care based on the available evidence. A large proportion
of the trials had serious methodological shortcomings, small number of patients,
and short trial duration. The sparse data provided in the included trials may be
or may not be promising but is not necessarily evidence of absence of a
beneficial or harmful effect, because many of the outcome measures have not been
adequately addressed so far. There is an urgent need for several randomised
clinical trials with low risk of bias and low risk of random error to evaluate
the use of the assessed interventions.
PMID- 21893015
TI - The influence of parathyroid hormone treatment on implant fixation.
AB - INTRODUCTION: Primary joint replacements generally function well with excellent
clinical results. However, failure rates for young patients are still high and
increasing in number. The longterm survival of an uncemented prosthesis is
influenced by multiple factors depending on host physiology as well as properties
of implanted material, initial mechanical stability, early osseointegration, and
the surrounding bone. Parathyroid hormone is the principal regulator of calcium
homeostasis and involved in the control of bone remodelling. Parathyroid hormone
administered intermittently increases bone formation and mass by osteoblast
stimulation. Early osseointegration and implant fixation could potentially be
enhanced with adjuvant parathyroid hormone treatment. The aim of the studies in
this PhD thesis was to determine if implant fixation of experimental implants can
be improved with adjuvant intermittent administration of parathyroid hormone.
STUDIES: All studies used an experimental canine model of early implant fixation
inserting porous coated titanium alloy implants with no weight bearing in a bed
of cancellous bone. The study design was un-paired. Test animals were randomised
to PTH (1-34) 5 MUm/kg daily for 4 weeks. Implant fixation was defined by
mechanical stability and osseointegration. Study I investigated the effect of
parathyroid hormone on implant fixation of implants inserted press fit with
surrounding bone in the proximal tibia of 20 canines. Histomorphometric analysis
showed increased amount of new bone in contact with the implant. No improvement
was observed in the surrounding bone. PTH did not increase mechanical fixation in
pushout test. Study II investigated the effect of parathyroid hormone on implant
fixation of implants surrounded by a critical 1 mm gap. Implants where inserted
in the tibia of 20 canines. Bone density was increased in the inner gap and outer
gap with PTH treatment. Bone at implant interface improved with PTH but did not
achieve significance. Push-out testing showed that PTH Increased mechanical
implant fixation in shear stiffness and total energy absorption. Shear strength
was not significantly increased. Study III investigated the effect on implant
fixation of implants surrounded by a 2.5 mm gap in which morsellised allograft
was impacted. Implants were inserted in 20 Canines in the humerus.
Histomorphometric analysis showed that PTH increased the amount of new bone
within the gap, but not in contact the implant. There were no differences in
amount of allograft. The push-out testing showed no differences in mechanical
parameters. CONCLUSION: The studies in this PhD thesis demonstrated that
parathyroid hormone increases bone healing around implants in situations of
insertion in press-fit or in more challenging environments of empty and grafted
gaps. Early fixation was increased in implants with gaps, in which pure gap bone
stimulation improved fixation. This warrants further preclinical studies.
PMID- 21893016
TI - Characterization of Trypanosoma cruzi telomerase.
AB - High telomerase activity is always associated with actively dividing cells,
however the detection of this activity in dividing Leishmania and Trypanosoma
cruzi cells has always been disappointingly low. Recently, we have found that
Leishmania major telomerase activity can be activated by heat, which combined
with dilutions of the nuclear extracts produced an increase in activity
comparable to cancer cells. Here we examined whether T. cruzi telomerase shares
the same physicochemical properties of primer specificity and overall features of
the L. major. Our studies revealed that no telomerase inhibitory factors were
present in the nuclear lysates of T. cruzi however the enzyme was activated by
heat and was very resilient to heat denaturation. We also showed the extension
primer specificity, susceptibility to RNase-A and RNase-H digestion, and the
effect of telomerase inhibitors.
PMID- 21893017
TI - Pathological alteration of FoxO3a activity promotes idiopathic pulmonary fibrosis
fibroblast proliferation on type i collagen matrix.
AB - Idiopathic pulmonary fibrosis (IPF) is a prevalent, progressive, and incurable
fibroproliferative lung disease. The phenotype of IPF fibroblasts is
characterized by their ability to elude the proliferation-suppressive properties
of polymerized type I collagen. The mechanism underlying this pathological
response is incompletely understood but involves aberrant activation of the
phosphatidylinositol 3-kinase-Akt signaling pathway owing to inappropriately low
phosphatase and tensin homolog phosphatase activity. Akt can phosphorylate and
inactivate the forkhead box O3a (FoxO3a) transcriptional factor, which, when
transcriptionally active, increases the expression of the CDK inhibitor p27 and
promotes cell cycle arrest. Herein, we demonstrate that IPF fibroblasts display
high levels of inactive FoxO3a compared with nonfibrotic control fibroblasts
because of their high Akt activity. We found that p27 levels are decreased in IPF
compared with control fibroblasts cultured on polymerized collagen. Furthermore,
overexpression of FoxO3a in IPF fibroblasts increases p27 levels and suppresses
the ability of IPF fibroblasts to proliferate on polymerized collagen. In
contrast, the expression of dominant-negative FoxO3a augmented control fibroblast
proliferation. IHC examination of fibroblastic foci in IPF lung tissue
demonstrates the presence of inactive FoxO3a in cells within fibroblastic foci.
These data indicate that the ability of IPF fibroblasts to circumvent the
proliferation-suppressive properties of polymerized collagen involves
inactivation of FoxO3a by high Akt activity, resulting in down-regulation of p27.
PMID- 21893018
TI - Acid sphingomyelinase gene deficiency ameliorates the hyperhomocysteinemia
induced glomerular injury in mice.
AB - Hyperhomocysteinemia (hHcys) enhances ceramide production, leading to the
activation of NADPH oxidase and consequent glomerular oxidative stress and
sclerosis. The present study was performed to determine whether acid
sphingomyelinase (Asm), a ceramide-producing enzyme, is implicated in the
development of hHcys-induced glomerular oxidative stress and injury.
Uninephrectomized Asm-knockout (Asm(-/-)) and wild-type (Asm(+/+)) mice, with or
without Asm short hairpin RNA (shRNA) transfection, were fed a folate-free (FF)
diet for 8 weeks, which significantly elevated the plasma Hcys level compared
with mice fed normal chow. By using in vivo molecular imaging, we found that
transfected shRNAs were expressed in the renal cortex starting on day 3 and
continued for 24 days. The FF diet significantly increased renal ceramide
production, Asm mRNA and activity, urinary total protein and albumin excretion,
glomerular damage index, and NADPH-dependent superoxide production in the renal
cortex from Asm(+/+) mice compared with that from Asm(-/-) or Asm shRNA
transfected wild-type mice. Immunofluorescence analysis showed that the FF diet
decreased the expression of podocin but increased desmin and ceramide levels in
glomeruli from Asm(+/+) mice but not in those from Asm(-/-) and Asm shRNA
transfected wild-type mice. In conclusion, our observations reveal that Asm plays
a pivotal role in mediating podocyte injury and glomerular sclerosis associated
with NADPH oxidase-associated local oxidative stress during hHcys.
PMID- 21893019
TI - Pathophysiological mechanisms of autosomal dominant congenital stromal corneal
dystrophy: C-terminal-truncated decorin results in abnormal matrix assembly and
altered expression of small leucine-rich proteoglycans.
AB - Autosomal-dominant congenital stromal corneal dystrophy (CSCD) is a human genetic
disease characterized by corneal opacities beginning shortly after birth. It is
linked to a frameshift mutation in decorin, resulting in a C-terminal truncation
lacking 33 amino acids that includes the "ear" repeat, a feature specific for
small leucine-rich proteoglycans. Our goals are to elucidate the roles of the
mutant decorin in CSCD pathophysiology and to decipher the mechanism whereby
mutant decorin affects matrix assembly. A novel animal model that recapitulates
human CSCD was generated. This transgenic mouse model targets expression of
truncated decorin to keratocytes, thereby mimicking the human frameshift
mutation. Mutant mice expressed both wild-type and mutant decorin. Corneal
opacities were found throughout, with increased severity toward the posterior
stroma. The architecture of the lamellae was disrupted with relatively normal
lamellae separated by regions of abnormal fibril organization. Within abnormal
zones, the interfibrillar spacing and the fibril diameters were increased.
Truncated decorin negatively affected the expression of endogenous decorin,
biglycan, lumican, and keratocan and positively affected fibromodulin. Our
results provide a mechanistic explanation for the generation of corneal opacities
in CSCD. Thus, truncated decorin acts in a dominant-negative manner to interfere
dually with matrix assembly and binding to receptor tyrosine kinases, thereby
causing abnormal expression of endogenous small leucine-rich proteoglycans
leading to structural abnormalities within the cornea and vision loss.
PMID- 21893020
TI - miR-193b Regulates Mcl-1 in Melanoma.
AB - MicroRNAs play important roles in gene regulation, and their expression is
frequently dysregulated in cancer cells. In a previous study, we reported that
miR-193b represses cell proliferation and regulates cyclin D1 in melanoma cells,
suggesting that miR-193b could act as a tumor suppressor. Herein, we demonstrate
that miR-193b also down-regulates myeloid cell leukemia sequence 1 (Mcl-1) in
melanoma cells. MicroRNA microarray profiling revealed that miR-193b is expressed
at a significantly lower level in malignant melanoma than in benign nevi.
Consistent with this, Mcl-1 is detected at a higher level in malignant melanoma
than in benign nevi. In a survey of melanoma samples, the level of Mcl-1 is
inversely correlated with the level of miR-193b. Overexpression of miR-193b in
melanoma cells represses Mcl-1 expression. Previous studies showed that Mcl-1
knockdown cells are hypersensitive to ABT-737, a small-molecule inhibitor of Bcl
2, Bcl-X(L), and Bcl-w. Similarly, overexpression of miR-193b restores ABT-737
sensitivity to ABT-737-resistant cells. Furthermore, the effect of miR-193b on
the expression of Mcl-1 seems to be mediated by direct interaction between miR
193b and seed and seedless pairing sequences in the 3' untranslated region of Mcl
1 mRNA. Thus, this study provides evidence that miR-193b directly regulates Mcl-1
and that down-regulation of miR-193b in vivo could be an early event in melanoma
progression.
PMID- 21893022
TI - Whole cell microtubule analysis by flow cytometry.
AB - Perturbation of the tubulin/microtubule dynamic in cells is perhaps the single
most important mode of action of anticancer drugs. Standard methods for
identifying and evaluating compounds for their ability to alter tubulin
polymerization are low throughput, labor intensive, expensive, or make their
assessment in vitro. Here we report a method to rapidly quantify the extent of
tubulin polymerization in whole cells using flow cytometry, and we use this
technique to evaluate compounds that stabilize and destabilize microtubule
formation. This facile method is useful for conveniently, quantitatively, and
cost-effectively comparing small molecules that perturb tubulin polymerization.
PMID- 21893021
TI - mdx(5cv) mice manifest more severe muscle dysfunction and diaphragm force
deficits than do mdx Mice.
AB - Duchenne muscular dystrophy (DMD) is characterized by progressive skeletal muscle
dysfunction leading to premature death by the third decade of life. The mdx
mouse, the most widely used animal model of DMD, has been extremely useful to
study disease mechanisms and to screen new therapeutics. However, unlike patients
with DMD, mdx mice have a very mild motor function deficit, posing significant
limitations for its use as a platform to assess the impact of treatments on motor
function. It has been suggested that an mdx variant, the mdx(5cv) mouse, might be
more severely affected. Here, we compared the motor activity, histopathology, and
individual muscle force measurements of mdx and mdx(5cv) mice. Our study revealed
that mdx(5cv) mice showed more severe exercise-induced fatigue, Rotarod
performance deficits, and gait anomalies than mdx mice and that these deficits
began at a younger age. Muscle force studies showed more severe strength deficits
in the diaphragm of mdx(5cv) mice compared to mdx mice, but similar force
generation in the extensor digitorum longus. Muscle histology was similar between
the two strains. Differences in genetic background (genetic modifiers) probably
account for these functional differences between mdx strains. Overall, our
findings indicate that the mdx and mdx(5cv) mouse models of DMD are not
interchangeable and identify the mdx(5cv) mouse as a valuable platform for
preclinical studies that require assessment of muscle function in live animals.
PMID- 21893023
TI - Pluripotentialities of a quenched fluorescent peptide substrate library:
enzymatic detection, characterization, and isoenzymes differentiation.
AB - Protease inhibitors represent a major class of drugs, even though a large number
of proteases remain unexplored. Consequently, a great interest lies in the
identification of highly sensitive substrates useful for both the
characterization and the validation of these enzyme targets and for the design of
inhibitors as potential therapeutic agents through high-throughput screening
(HTS). With this aim, a synthetic substrate library, in which the highly
fluorescent (L)-pyrenylalanine residue (Pya) is efficiently quenched by its
proximity with the p-nitro-(L)-phenylalanine (Nop) moiety, was designed. The
cleavage between Pya and Nop leads to a highly fluorescent metabolite providing
the required sensitivity. This library, characterized by a water-soluble primary
sequence Ac-SGK-Pya-(X)(n)(-)Nop-GGK-NH(2), X being a mixture of 10 natural amino
acids (A, I, L, K, F, W, E, Q, T, P) and n varying from 0 to 3, was validated
using enzymes belonging to the four main types of hydrolases: serine-, metallo-,
cystein-, and aspartyl-proteases. The selectivity of substrates belonging to this
library was evidenced by characterizing specific substrates for the isoenzymes
NEP-1 and NEP-2. This library easily synthesized is of great interest for the
identification and development of selective and specific substrates for still
uncharacterized endoproteases.
PMID- 21893024
TI - Role of proximal methionine residues in Leishmania major peroxidase.
AB - The active site architecture of Leishmania major peroxidase (LmP) is very similar
with both cytochrome c peroxidase and ascorbate peroxidase. We utilized point
mutagenesis to investigate if the conserved proximal methionine residues (Met248
and Met249) in LmP help in controlling catalysis. Steady-state kinetics of
methionine mutants shows that ferrocytochrome c oxidation is <2% of wild type
levels without affecting the second order rate constant of first phase of
Compound I formation, while the activity toward a small molecule substrate,
guaiacol or iodide, increases. Our diode array stopped-flow spectral studies show
that the porphyrin pi-cation radical of Compound I in mutant LmP is more stable
than wild type enzyme. These results suggest that the electronegative sulfur
atoms of the proximal pocket are critical factors for controlling the location of
a stable Compound I radical in heme peroxidases and are important in the
oxidation of ferrocytochrome c.
PMID- 21893025
TI - Competing interactions for antimicrobial selectivity based on charge
complementarity.
AB - Antimicrobial peptides (AMPs) are an evolutionary conserved component of the
innate immune system and possible templates for the development of new
antibiotics. An important property of antimicrobial peptides is their ability to
discriminate bacterial from eucaryotic cells which is attributed to the
difference in lipid composition of the outer leaflet of the plasma membrane
between the two types of cells. Whereas eucaryotic cells usually expose
zwitterionic lipids, procaryotic cells expose also anionic lipids which bind the
cationic antimicrobial peptides electrostatically. An example is the
antimicrobial peptide NK-2 which is highly cationic and favors binding to anionic
membranes. In the present study, the difference in binding affinity of NK-2 for
palmitoyl-oleoyl-phosphatidyl-glycerol (POPG) and palmitoyl-oleoyl-phosphatidyl
choline (POPC) is studied using molecular dynamics simulations in conjunction
with a coarse grained model and thermodynamic integration, by computing the
change in free energy and its components upon the transfer of NK-2 from POPC to
POPG. The transfer is indeed found to be highly favorable. Interestingly, the
favorable contribution from the electrostatic interaction between the peptide and
the anionic lipids is overcompensated by an unfavorable contribution from the
change in lipid-cation interactions due to the release of counterions from the
lipids. The increase in entropy due to the release of the cations is compensated
by other entropic components. The largest favorable contribution arises from the
solvation of the counterions. Overall the interaction between NK-2 and POPG is
not determined by a single driving force but a subtle balance of competing
interactions.
PMID- 21893026
TI - Membrane properties of and cholesterol's interactions with a biologically
relevant three-chain sphingomyelin: 3O-palmitoyl-N-palmitoyl-D-erythro
sphingomyelin.
AB - Sphingomyelins (SMs) are order-imposing phospholipids in cell membranes which
interact favorably with cholesterol. The hydrophobic part of SM constitutes a
long-chain base with an amide-linked acyl chain, whereas the polar head group is
phosphocholine. The long-chain base has a free hydroxyl group in position 3,
which is an important donor/acceptor in hydrogen bonding. In newborn mammals, a
SM in which a palmitic acid is esterified to the 3-OH has been reported. We have
synthesized this SM analog (3O-P-PSM) and studied its properties in bilayer
membranes, and also determined its interactions with cholesterol. Fully hydrated
3O-P-PSM bilayers underwent a gel-to-liquid crystalline phase transition at 55.5
degrees C (DeltaH 8 kcal/mol), which is about 15 degrees C higher than the phase
transition temperature of PSM. The 3O-P-PSM displayed rather poor miscibility
with PSM in mixed bilayers, suggesting that the third acyl chain interfered
significantly with lateral interactions. Bilayers made from 3O-P-PSM were much
more resistant to detergent-induced solubilization than bilayers made from PSM.
In binary bilayers, cholesterol was able to destabilize the gel phase, and order
the fluid phase of 3O-P-PSM, in a concentration-dependent manner. Cholesterol was
also able to form sterol-enriched ordered domains with 3O-P-PSM in fluid POPC
bilayers. The interaction between cholesterol and 3O-P-PSM was not, however, as
favorable as the interaction between cholesterol and PSM. It is unclear what
physiological role 3O-P-PSM could play in newborn mammalian membranes. However,
it is clear that 3O-P-PSM will form more highly ordered domains than PSM while
still having a limited ability to interact with cholesterol.
PMID- 21893028
TI - Genetic dissection of proteolytic and non-proteolytic contributions of MT1-MMP to
macrophage invasion.
AB - MT1-MMP/MMP-14 is a major invasion-promoting membrane protease expressed in
macrophages. In addition to its proteolytic activity that degrades the
extracellular matrix, MT1-MMP also boosts ATP production in cells in a manner
independent of its proteolytic activity. It remains unclear to what extent the
proteolytic and energy-boosting activities of MT1-MMP contribute to macrophage
invasion. Recently, we demonstrated that the cytoplasmic tail of MT1-MMP makes
use of APBA3/Mint3 to activate HIF-1 and thereby boosts glycolysis for ATP
production. Here, we used Apba3(-/-) macrophages to dissect the contribution of
the proteolytic and the energy-boosting activities of MT1-MMP. The proteolytic
activity of MT1-MMP was not affected by the lack of APBA3 in macrophages. Apba3(
/-) and Mmp14(-/-) macrophages exhibited a 55% reduction of ATP levels compared
to wild-type (WT) cells and the rate of motility of the mutant cells was
accordingly reduced. In contrast, matrigel invasion by Mmp14(-/-) and Apba3(-/-)
macrophages was reduced to 24% and 55.4%, respectively, of the level observed in
WT cells. These results represent the first attempt to dissect the contribution
of the two invasion-promoting activities of MT1-MMP to macrophage invasion.
PMID- 21893029
TI - TNF-alpha increases cardiac fibroblast lysyl oxidase expression through TGF-beta
and PI3Kinase signaling pathways.
AB - TNF-alpha is a proinflammatory cytokine that is upregulated in many cardiac
diseases. The increase of TNF-alpha expression affects both heart function and
the structure of the extracellular matrix. Lysyl oxidase (LOX) is a key enzyme
responsible for the maturation of extracellular matrix proteins, including
collagens type I and III. In this study, we investigated the regulation of LOX
expression and activity by TNF-alpha using adult rat cardiac fibroblasts. Our
results indicate that TNF-alpha has a dichotomous effect on LOX expression by
cardiac fibroblasts. Low dose TNF-alpha (1-5 ng/ml) decreased LOX expression,
whereas higher doses (10-30 ng/ml) increased expression. The higher dose TNF
alpha effect on LOX expression was attenuated by the inhibition of PI3Kinase/Akt
pathway. TGF-beta1 signaling played a significant role in mediating the TNF-alpha
effect. TNF-alpha increased the expression of TGF-beta, and TGF-beta receptors
type I and II, and also stimulated Smad3 phosphorylation. Inhibition of TGF-beta
receptor I or Smad3 prevented increased LOX expression by TNF-alpha. These
findings indicate that TNF-alpha stimulated LOX expression may play an important
role in progressive cardiac fibrosis.
PMID- 21893030
TI - Vaspin protects vascular endothelial cells against free fatty acid-induced
apoptosis through a phosphatidylinositol 3-kinase/Akt pathway.
AB - Vaspin, an adipocytokine recently identified in a rat model of type 2 diabetes,
has been suggested to have an insulin-sensitizing effect. However, the exact
mechanism underlying this action has not been fully elucidated. Furthermore, the
specific function of vaspin is largely unknown, especially in vascular cells. We
examined whether vaspin affects the insulin-signaling pathway in cultured
endothelial cells and is capable of preventing free fatty acid (FFA)-induced
apoptosis in endothelial cells through its insulin sensitizing effect,
specifically, through its stimulatory effect on PI3-kinase/Akt signaling
pathways. Vaspin significantly increased Akt phosphorylation and prevented the
impairment of Akt phosphorylation by linoleic acid (LA) in insulin-stimulated
endothelial cells, which effects were abolished by pretreatment with the PI3
kinase inhibitor, Wortmannin. Moreover, pretreatment with vaspin prevented LA
induced apoptosis in insulin-stimulated endothelial cells; this anti-apoptotic
effect of vaspin was also eliminated by pretreatment with Wortmannin. The present
study indicates that vaspin protects vascular endothelial cells from FFA-induced
apoptosis through upregulation of the PI3-kinase/Akt signaling pathway. Our study
is the first to demonstrate that vascular cells can be targets of vaspin. Our
results further suggest that vaspin could have beneficial effects on the
atherosclerosis.
PMID- 21893027
TI - The Bam machine: a molecular cooper.
AB - The bacterial outer membrane (OM) is an exceptional biological structure with a
unique composition that contributes significantly to the resiliency of Gram
negative bacteria. Since all OM components are synthesized in the cytosol, the
cell must efficiently transport OM-specific lipids and proteins across the cell
envelope and stably integrate them into a growing membrane. In this review, we
discuss the challenges associated with these processes and detail the elegant
solutions that cells have evolved to address the topological problem of OM
biogenesis. Special attention will be paid to the Bam machine, a highly conserved
multiprotein complex that facilitates OM beta-barrel folding. This article is
part of a Special Issue entitled: Protein Folding in Membranes.
PMID- 21893031
TI - CD4 T cell dependent tumor immunity stimulated by dendritic cell based vaccine.
AB - CD8 CTLs have been accountable for the major effector cells responsible for the
rejection of tumor cells. And CD40 signaling and IL-12 have been shown to be the
essential pathways involved in the activation process. Immunizing mice with
dendritic cells transduced with an adenovirus expressing the human melanoma
antigen gp 100, an immunization strategy of xenoimmunization, stimulated potent
tumor protection dependent on effective CD4 T cells in the absence of CD8 T
cells. Further studies revealed that neither CD40 signaling nor IL-12 was
indispensable for the activation of dendritic and CD4 T cells in this model.
Stimulation of effective antitumor immunity targeting the self-antigen did not
elicit autoimmunity. The implications of this study were discussed.
PMID- 21893032
TI - Numblike and Numb differentially affect p53 and Sonic Hedgehog signaling.
AB - Numb serves as a key regulator of Notch and Sonic Hedgehog signaling and also
modulates p53 protein levels. Numblike is a highly conserved homolog to mammalian
Numb, but considerably less is known about its function. To address the role of
Numblike, we have generated a mouse embryonic stem (ES) cell line, Nbl(TetOn), in
which expression of Numblike can be induced and analyzed the effect of activation
of Numblike. Induction of Numblike, similar to Numb, reduced the amount of Notch
receptor, whereas Numblike differed from Numb with regard to p53 and Shh
signaling. In contrast to Numb, Numblike did not elevate the level of p53 protein
and Numblike potentiated, rather than reduced, the immediate downstream response
of Shh signaling. In keeping with a role for Numblike in potentiating Shh
signaling, Shh and Numblike synergistically increased the proportion of ES cells
expressing pluripotency markers. In conclusion, the data demonstrate that Numb
and Numblike have evolved to acquire at least partially distinct functions.
PMID- 21893033
TI - Regular exercise prevents high-sucrose diet-induced fatty liver via improvement
of hepatic lipid metabolism.
AB - Fatty liver is known as the initial stage in nonalcoholic fatty liver disease.
Epidemiological studies have shown that regular exercise prevents accumulation of
hepatic lipids, although the underlying mechanism is unclear. The purpose of this
study was to investigate the effect of exercise on fatty liver associated with
hepatic lipid metabolism. KK/Ta mice (6 weeks old) were divided into sedentary
and exercise groups and compared with sedentary Balb/c mice. All the mice were
fed a high-sucrose diet for 12 weeks. The KK/Ta mice in the exercise group
performed a treadmill running exercise at 20 m/min for 30 min (3 times per week).
Twelve weeks of regular exercise suppressed the accumulation of lipid in the
liver, along with reduction in the level of lipid in the plasma. The levels of
carnitine palmitoyl transferase II, acyl-coenzyme A dehydrogenase, and
trifunctional enzyme, which are rate-limiting enzymes in fatty acid oxidation in
the liver, were elevated by exercise. In addition, the expression of fatty acid
synthase, a key lipogenetic enzyme, was reduced by exercise. Furthermore, regular
exercise decreased the expression of heat shock protein 47, a marker of hepatic
fibrosis, in the liver. Our results suggest that regular exercise prevents fatty
liver via improvement of hepatic lipid metabolism.
PMID- 21893034
TI - Alternative splicings on p53, BRCA1 and PTEN genes involved in breast cancer.
AB - Alternative splicing is a major contributor to transcriptome and proteome
diversity, which can lead to the deregulation of crucial cellular processes and
have been associated with a variety of human diseases including cancer. As p53,
BRCA1, and PTEN proteins have a key role in preventing breast cancer formation,
cancer-associated splicing variants of these tumor suppressor genes are potential
molecular markers and may contribute to the development of diagnostic and
prognostic methods. In the present review, we summarize these tumor suppressor
genes at a viewpoint of alternative splicing involved in breast cancer.
PMID- 21893035
TI - Acacetin inhibits VEGF expression, tumor angiogenesis and growth through AKT/HIF
1alpha pathway.
AB - Acacetin (5,7-dihydroxy-4'-methoxyflavone) is a flavone compound, some of which
have anti-cancerous effects. Vascular endothelial growth factor (VEGF) plays an
important role in angiogenesis and tumor growth. In this study, we found that
acacetin decreased the steady level of VEGF mRNA level and inhibited VEGF
transcriptional activation. To further determine the potential mechanism of
acacetin in inhibiting VEGF expression, we showed that acacetin inhibited HIF
1alpha expression and AKT activation. Over-expression of HIF-1alpha or AKT
restored acacetin-decreasing VEGF transcriptional activation, indicating that AKT
and HIF-1 are the essential downstream targets of acacetin for inhibiting VEGF
expression in the cells. Moreover, acacetin significantly inhibited ovarian
cancer cell-induced angiogenesis and tumor growth in vivo through inhibiting HIF
1alpha and VEGF expression. Acacetin did not change HIF-1alpha mRNA level, but
inhibited HIF-1alpha protein level through increasing its degradation and
decreasing its stability. These results indicate that acacetin may be a useful
natural compound for ovarian cancer prevention and treatment.
PMID- 21893036
TI - Loss of DLK expression in WI-38 human diploid fibroblasts induces a senescent
like proliferation arrest.
AB - DLK, a serine/threonine kinase that functions as an upstream activator of the
mitogen-activated protein kinase (MAPK) pathways, has been shown to play a role
in development, cell differentiation, apoptosis and neuronal response to injury.
Interestingly, recent studies have shown that DLK may also be required for cell
proliferation, although little is known about its specific functions. To start
addressing this issue, we studied how DLK expression is modulated during cell
cycle progression and what effect DLK depletion has on cell proliferation in WI
38 fibroblasts. Our results indicate that DLK protein levels are low in serum
starved cells, but that serum addition markedly stimulated it. Moreover, RNA
interference experiments demonstrate that DLK is required for ERK activity,
expression of the cell cycle regulator cyclin D1 and proliferation of WI-38
cells. DLK-depleted cells also show a senescent phenotype as revealed by
senescence-associated galactosidase activity and up-regulation of the senescence
pathway proteins p53 and p21. Consistent with a role for p53 in this response,
inhibition of p53 expression by RNA interference significantly alleviated
senescence induced by DLK knockdown. Together, these findings indicate that DLK
participates in cell proliferation and/or survival, at least in part, by
modulating the expression of cell cycle regulatory proteins.
PMID- 21893037
TI - Phospholipase D2 activation by p38 MAP kinase is involved in neurite outgrowth.
AB - p38 mitogen-activated protein (MAP) kinase plays an important role in neurite
outgrowth. However, the underlying molecular mechanism(s) remains unclear. Here,
we demonstrate that phospholipase D2 (PLD2) mediates p38 signaling in neurite
outgrowth. Stimulation of rat pheochromocytoma PC12 cells with nerve growth
factor activated PLD2 and augmented neurite outgrowth, both of which were
inhibited by pharmacological suppression of p38. Overexpression of constitutively
active MAP kinase kinase 6 (MKK6-CA) activated coexpressed PLD2 in PC12 and mouse
neuroblastoma N1E-115 cells. Overexpression of wild-type PLD2 in these cells
strongly augmented the neurite outgrowth induced by MKK6-CA, whereas lipase
deficient PLD2 suppressed it. These findings provide evidence that PLD2 functions
as a downstream molecule of p38 in the neurite outgrowth signaling cascade.
PMID- 21893038
TI - Cell death induced by novel procaspase-3 activators can be reduced by growth
factors.
AB - Caspase-3 is known as the key executioner caspase, activated in both the
intrinsic and extrinsic apoptotic pathway, and an effector far downstream in the
apoptotic cascade. Procaspase-activating compound-1 (PAC-1) and 1541 were
launched as direct activators of procaspase-3 to caspase-3, and anticipated to be
promising therapeutic agents for the treatment of cancer. PAC-1 has recently been
evaluated in a phase I preclinical trial. However, little is known about the
effect of these substances in cells. Activation of caspase-3 in whole cells may
be more complicated than thought, as it is likely that this key protease is
tightly regulated both in development and apoptosis. In this study, we
investigated the effect of epidermal growth factor (EGF) on PAC-1-induced caspase
3 activity and cell death. We show that EGF can block caspase-3 activity
generated by PAC-1, and protect both PC12 cells and primary cerebellar granule
neurons against PAC-1-induced death. Similar results were obtained with 1541.
Both substances reduced cellular p-ERK levels. Crosstalk between caspase-3 and
growth factor signaling pathways may present a challenge for the use of such
caspase-3-activating substances in cancer therapy, since aberrant growth factor
signaling is frequently seen in malignant cells. This study adds important
knowledge about cellular effects of procaspase-3 activators like PAC-1 and 1541.
Effects mediated by these substances may also contribute to the understanding of
caspase signaling in cells.
PMID- 21893039
TI - Protein tyrosine nitration in the cell cycle.
AB - Nitration of tyrosine residues in proteins is associated with cell response to
oxidative/nitrosative stress. Tyrosine nitration is relatively low abundant post
translational modification that may affect protein functions. Little is known
about the extent of protein tyrosine nitration in cells during progression
through the cell cycle. Here we report identification of proteins enriched for
tyrosine nitration in cells synchronized in G0/G1, S or G2/M phases of the cell
cycle. We identified 27 proteins in cells synchronized in G0/G1 phase, 37
proteins in S phase synchronized cells, and 12 proteins related to G2/M phase.
Nineteen of the identified proteins were previously described as regulators of
cell proliferation. Thus, our data indicate which tyrosine nitrated proteins may
affect regulation of the cell cycle.
PMID- 21893040
TI - Oligomerization of an archaeal group II chaperonin is mediated by N-terminal salt
bridges.
AB - Group II chaperonins (Cpns) are essential mediators of cellular protein folding
in eukaryotes and archaea. They consist of two back-to-back rings forming
symmetrical cavities in which non-native substrates undergo appropriate folding,
but the primary structural basis for the double ring formation remains unclear.
To address this, we carried out systematic mutagenesis on the Cpn from the
hyperthermophilic archaeon Pyrococcus furiosus, which is assembled from identical
subunits. In our study, (21)GRDAQRMNIL(30) was found to be a critical domain for
double ring formation. Deletion of this section stepwise beyond residue 20
resulted in failure to assemble double-ring oligomers and the progressive loss of
chaperone function. A key domain spanning the residues 21-50 that is essential
for the formation of tetramers that appear to be the intermediates for double
ring assembly. Mutation of either Arg22 to Ala22 or Glu37 to Ala37 resulted in
similar defects in double-ring assembly and functional deficits. A mutant with
Arg22 and Glu37 switched assembled double rings efficiently and exhibited
chaperone functions similar to the wild-type. Therefore, Arg22 and Glu37 could
form inter-ring salt bridges critical for double ring formation. In addition,
Asn28 and Ile29 were found to contribute significantly to ring formation.
Sequence alignment revealed that these four residues are highly conserved among
group II Cpns. This is the first report of a comprehensive N-terminal mutational
analysis for elucidating the oligomerization of group II Cpns.
PMID- 21893041
TI - Berberine exerts anti-adipogenic activity through up-regulation of C/EBP
inhibitors, CHOP and DEC2.
AB - Berberine exerts an anti-adipogenic activity that is associated with the down
regulation of C/EBPalpha and PPARgamma. Stimulation of AMP-activated kinase
(AMPK) caused by inhibition of mitochondrial respiration has been suggested to
underlie such molecular regulation. In the present study, we show that berberine
up-regulated the expression of two different sets of C/EBP inhibitors, CHOP and
DEC2, while down-modulating C/EBPalpha, PPARgamma and other adipogenic markers
and effectors in differentiating 3T3-L1 preadipocytes and mature adipocytes. Data
also suggested that the berberine-induced up-regulation of CHOP and DEC2 was
attributable to selective activation of an unfolded protein response (UPR) and
modified extracellular environment, respectively. As a result, the anti
adipogenic activity of berberine was diminished remarkably by adjusting the
differentiation culture media and limitedly but consistently by knockdown of CHOP
expression. Together, up-regulation of C/EBP inhibitors appears to underlie the
berberine-induced repression of C/EBPalpha and PPARgamma and, so, the inhibition
of adipogenesis.
PMID- 21893042
TI - Palmitate alters the rhythmic expression of molecular clock genes and orexigenic
neuropeptide Y mRNA levels within immortalized, hypothalamic neurons.
AB - The control of energy homeostasis within the hypothalamus is under the regulated
control of homeostatic hormones, nutrients and the expression of neuropeptides
that alter feeding behavior. Elevated levels of palmitate, a predominant
saturated fatty acid in diet and fatty acid biosynthesis, alter cellular
function. For instance, a key mechanism involved in the development of insulin
resistance is lipotoxicity, through increased circulating saturated fatty acids.
Although many studies have begun to determine the underlying mechanisms of
lipotoxicity in peripheral tissues, little is known about the effects of excess
lipids in the brain. To determine these mechanisms we used an immortalized,
clonal, hypothalamic cell line, mHypoE-44, to demonstrate that palmitate directly
alters the expression of molecular clock components, by increasing Bmal1 and
Clock, or by decreasing Per2, and Rev-erbalpha, their mRNA levels and altering
their rhythmic period within individual neurons. We found that these neurons
endogenously express the orexigenic neuropeptides NPY and AgRP, thus we
determined that palmitate administration alters the mRNA expression of these
neuropeptides as well. Palmitate treatment causes a significant increase in NPY
mRNA levels and significantly alters the phase of rhythmic expression. We
explored the link between AMPK and the expression of neuropeptide Y using the
AMPK inhibitor compound C and the AMP analog AICAR. AMPK inhibition decreased NPY
mRNA. AICAR also elevated basal NPY, but prevented the palmitate-mediated
increase in NPY mRNA levels. We postulate that this palmitate-mediated increase
in NPY and AgRP synthesis may initiate a detrimental positive feedback loop
leading to increased energy consumption.
PMID- 21893043
TI - Identification of the site of binding of sulfated, low molecular weight lignins
on thrombin.
AB - Sulfated, low molecular weight lignins (LMWLs), designed recently as
macromolecular mimetics of the low molecular weight heparins (LMWHs), were found
to exhibit a novel allosteric mechanism of inhibition of human thrombin, factor
Xa and plasmin, which translates into potent human blood anticoagulation
potential. To identify the site of binding of sulfated LMWLs, a panel of site
directed thrombin mutants was studied. Substitution of alanine for Arg(93) or
Arg(175) induced a 7-8-fold decrease in inhibition potency, while Arg(165)Ala,
Lys(169)Ala, Arg(173)Ala and Arg(233)Ala thrombin mutants displayed a 2-4-fold
decrease. Other exosite 2 residues including those that play an important role in
heparin binding, such as Arg(101), Lys(235), Lys(236) and Lys(240), did not
induce any deficiency in sulfated LMWL activity. Thrombin mutants with multiple
alanine substitution of basic residues showed a progressively greater defect in
inhibition potency. Comparison of thrombin, factor Xa, factor IXa and factor VIIa
primary sequences reiterated Arg(93) and Arg(175) as residues likely to be
targeted by sulfated LMWLs. The identification of a novel site on thrombin with
capability of allosteric modulation is expected to greatly assist the design of
new regulators based on the sulfated LMWL scaffold.
PMID- 21893044
TI - Hydrogen sulphide inhibits cardiomyocyte hypertrophy by up-regulating miR-133a.
AB - Hydrogen sulphide (H(2)S) has been shown to play a crucial role in cardiovascular
physiology and disease. However, there is no information about the possible role
of H(2)S in cardiomyocyte hypertrophy (CH). Our results showed that pretreatment
with NaHS, an H(2)S donor, significantly reduced [(3)H]-leucine incorporation,
cell surface area, mRNA expression of brain natriuretic peptide (BNP),
intracellular reactive oxygen species (ROS), miR-21 and increased atrial
natriuretic peptide (ANP) and miR-133a expression in hypertrophic cardiomyocytes.
Anti-miR133a inhibitor transfection partly reduced the anti-hypertrophic effect
of NaHS. In conclusion, H(2)S is a direct inhibitor of CH; it acts by increasing
miR-133a and inhibiting the increase in intracellular ROS.
PMID- 21893045
TI - Differential expression of co-signal molecules and migratory properties in four
distinct subsets of migratory dendritic cells from the oral mucosa.
AB - Variations in co-signal ligand expression and cytokine production greatly
influence the antigen-presenting properties of migrating DCs in regional lymph
nodes (RLNs). Here we investigated DCs migrating from the oral mucosa using CD326
and CD103 antigens for discriminate CD207(+) Langerhans cells (LCs) from CD207(+)
submucosal DCs (SMDCs). Similar to DCs migrating from the skin, we identified
four distinct oral mucosal DC (OMDC) subsets, CD11c(hi)CD207(-)CD103(
)CD326(int)CD11b(hi) (F1; resident CD11b(hi) SMDCs), CD11c(int/lo)CD207(-)CD103(
)CD326(lo)CD11b(int/hi) (F2; newly recruited blood-derived SMDCs),
CD11c(int/lo)CD207(+)CD103(+)CD326(int/hi)CD11b(lo) (CD103(+) F3; resident
CD207(+) SMDCs), and CD11c(int/lo)CD207(+)CD103(-)CD326(int/hi)CD11b(lo) (CD103(
) F3; resident LCs). F1 DCs migrated rapidly after fluorescein isothiocyanate
(FITC) painting and expressed notably high levels of CD86, CD273, and CD274 at an
earlier time point. In contrast, CD103(-) LCs expressing the highest levels of
the epithelial cell adhesion molecule CD326 accounted for a minor subset at the
earlier time point, but increased slowly with CD103(+)CD207(+) SMDCs. However,
their expression of CD86, CD273, and CD274 was very limited. The delayed
migration and limited induction of co-signal ligands suggest that roles of OMLCs
are distinct from those of the other three DC subsets. The identification of
distinct subsets of OMDCs in RLNs may benefit efforts to determine the functional
specialization of each subset in T cell responses against orally administrated
antigens.
PMID- 21893046
TI - Modulation of the ribonucleotide reductase M1-gemcitabine interaction in vivo by
N-ethylmaleimide.
AB - Ribonucleotide reductase M1 (RRM1) is the regulatory subunit of the holoenzyme
that catalyzes the conversion of ribonucleotides to 2'-deoxyribonucleotides. Its
function is indispensible in cell proliferation and DNA repair. It also serves as
a biomarker of therapeutic efficacy of the antimetabolite drug gemcitabine (2',2'
difluoro-2'-deoxycytidine) in various malignancies. However, a mechanistic
explanation remains to be determined. This study investigated how the alkylating
agent N-ethylmaleimide (NEM) interacts with the inhibitory activity of
gemcitabine on its target protein RRM1 in vivo. We found, when cells were treated
with gemcitabine in the presence of NEM, a novel 110 kDa band, along with the 90
kDa native RRM1 band, appeared in immunoblots. This 110 kDa band was identified
as RRM1 by mass spectrometry (LC-MS/MS) and represented a conformational change
resulting from covalent labeling by gemcitabine. It is specific to
gemcitabine/NEM, among 11 other chemotherapy drugs tested. It was also detectable
in human tumor xenografts in mice treated with gemcitabine. Among mutations of
seven residues essential for RRM1 function, C218A, C429A, and E431A abolished the
conformational change, while N427A, C787A, and C790A diminished it. C444A was
unique since it was able to alter the conformation even in absence of gemcitabine
treatment. We conclude that the thiol alkylator NEM can stabilize the gemcitabine
induced conformational change of RRM1, and this stabilized RRM1 conformation has
the potential to serve as a specific biomarker of gemcitabine's therapeutic
efficacy.
PMID- 21893047
TI - Effects of bacterial products on enterocyte-macrophage interactions in vitro.
AB - We describe a coculture model of a human intestinal epithelial cell line and
human peripheral blood monocytes in which monocytes differentiate into cells with
features of resident intestinal macrophages. Caco-2 cells are grown on the lower
surface of a semipermeable filter with pore size of 3 MUm (Transwells) until they
differentiate into enterocytes. Peripheral-blood monocytes are added and the co
culture incubated for two days. Monocytes migrate through the pores of the
membrane, come into direct contact with the basolateral surfaces of the
epithelial cell monolayer, and develop characteristics of resident intestinal
macrophages including downregulation of CD14 expression and reduced pro
inflammatory cytokine responses (IL-8, TNF and IL-1beta) to bacterial products.
The apical application of lipopolysaccharide (LPS) and muramyl dipeptide (MDP)
resulted in an increased number of integrated monocytes, but abrogated the
downregulation of CD14 expression and the diminished cytokine responses. MDP also
reduced tight-junctional integrity, whilst LPS had no effect. These data indicate
that LPS and MDP have significant pathophysiological effects on enterocyte
monocyte interactions, and confirm other studies that demonstrate that
enterocytes and their products influence monocyte differentiation. This model may
be useful in providing insights into the interaction between monocytes,
epithelial cells and intestinal bacteria in health and disease.
PMID- 21893048
TI - Starch-binding domain-containing protein 1 (Stbd1) and glycogen metabolism:
Identification of the Atg8 family interacting motif (AIM) in Stbd1 required for
interaction with GABARAPL1.
AB - Glycogen, a branched polymer of glucose, acts as an intracellular carbon and
energy reserve in many tissues and cell types. An important pathway for its
degradation is by transport to lysosomes in an autophagy-like process. It has
been proposed that starch-binding domain-containing protein 1 (Stbd1) may
participate in this mechanism by anchoring glycogen to intracellular membranes.
In addition, Stbd1 has been reported to interact with a known autophagy protein,
GABARAPL1, a member of the Atg8 family. Here, we confirm this interaction and
identify an Atg8 interacting motif (AIM) in Stbd1 necessary for GABARAPL1 binding
as judged by co-immunoprecipitation from cell extracts and co-localization in
cells as evidenced by immunofluorescence microscopy. The AIM sequence of Stbd1
(200)HEEWEMV(206) lies within a predicted disordered region of the molecule and
fits the consensus of other AIM sequences in cargo-specifying proteins such as
p62 and Nix. Mutation of the AIM, including single point mutations of either W203
or V206, eliminated the co-localization of Stbd1 with both over-expressed and
endogenous GABARAPL1. Stbd1 may therefore function as a novel cargo binding
protein that delivers glycogen to lysosomes in an autophagic pathway that could
be termed "glycophagy".
PMID- 21893050
TI - Association of N-terminal pro brain natriuretic peptide and impaired aortic
elastic property in hypertensive patients.
AB - BACKGROUND: N-terminal pro brain natriuretic peptide (NT-proBNP) is closely
related to risk stratification in many cardiovascular diseases. The objective of
this study was to evaluate the association of NT-proBNP and impaired aortic
elastic property in hypertensive patients. METHODS: One hundred fifty-five
hypertensive patients without obvious cardiac dysfunction were included and
divided in tertiles based on their NT-proBNP concentration. Eighty-six
normotensive healthy volunteers were also enrolled as controls. All subjects
underwent Doppler echocardiography to assess cardiac parameters and aortic
distensibility index. Plasma NT-proBNP was measured by electrochemiluminescence.
RESULTS: The parameters of aortic elastic property were decreased and NT-proBNP
was significantly increased in hypertensive patients compared with controls (all
P<0.05). Among hypertensive patients, higher NT-proBNP tertiles were associated
with larger systolic and diastolic aortic diameters, longer deceleration time of
the E wave velocity (DT) and isovolumic relaxation time; decreased E/A ratio and
more percent of diastolic dysfunction. The parameters of aortic elastic property
showed stepwise decreases from the first tertiles to the third tertiles (P<0.05).
Multiple linear regression analysis showed that concentrations of NT-proBNP were
significantly correlated with age and impaired aortic distensibility.
CONCLUSIONS: NT-proBNP is a marker for impaired aortic elastic property in
hypertensive patients. Measurement of NT-proBNP could be indicated in
hypertensive patients for further risk stratification.
PMID- 21893049
TI - Characterization of zebrafish dysferlin by morpholino knockdown.
AB - Mutations in the gene encoding dysferlin cause two distinct muscular dystrophy
phenotypes: limb-girdle muscular dystrophy type 2B (LGMD-2B) and Miyoshi myopathy
(MM). Dysferlin is a large transmembrane protein involved in myoblast fusion and
membrane resealing. Zebrafish represent an ideal animal model to use for studying
muscle disease including abnormalities of dysferlin. cDNAs of zebrafish dysferlin
were cloned (6.3 kb) and the predicted amino acid sequences, showed 68%
similarity to predicted amino acid sequences of mammalian dysferlin. The
expression of dysferlin was mainly in skeletal muscle, heart and eye, and the
expression could be detected as early as 11h post fertilization (hpf). Three
different antisense oligonucleotide morpholinos were targeted to inhibit
translation of this dysferlin mRNA and the morpholino-injected fish showed marked
muscle disorganization which could be detected by birefringence assay. Western
blot analysis using dysferlin antibodies showed that the expression of dysferlin
was reduced in each of the three morphants. Dysferlin expression was shown to be
reduced at the myosepta of zebrafish muscle using immunohistochemistry, although
the expression of other muscle membrane components, dystrophin, laminin, beta
dystroglycan were detected normally. Our data suggest that zebrafish dysferlin
expression is involved in stabilizing muscle structures and its downregulation
causes muscle disorganization.
PMID- 21893051
TI - Mutational analysis of JAG1 gene in non-syndromic tetralogy of Fallot children.
AB - BACKGROUND: JAG1 is an evolutionarily conserved ligand for Notch receptor and
functions in the cell fate decisions, cell-cell interactions throughout the
development of heart especially right heart development. Tetralogy of Fallot
(TOF) is essentially a right sided heart disease with characteristic features of
ventricular septal defect, right ventricular outflow tract obstruction, aortic
dextroposition and right ventricular hypertrophy. Hence, the present study was
investigated to identify mutations of JAG1 gene in an Indian cohort of patients
with TOF. METHODS: The clinical data and blood samples from 84 unrelated subjects
with TOF were collected and evaluated in comparison with 87 healthy individuals.
PCR based single strand conformation polymorphism analysis and subsequent
bidirectional DNA sequencing of conformers was carried in the exon 6 of JAG1
gene. RESULTS: The DNA sequences aligned with NCBI-BLAST led to the
identification of four novel variations including one nonsense 765 C>A, two
missense 814 G>T, 834 G>T; and one silent alteration 816 G>T in TOF patients. The
protein structure of JAG1 predicts that these variations effect first and second
epidermal growth factor like repeat and might disturb ligand-receptor binding
ability. The presence of similar variations was not observed in healthy controls.
The software CLUSTAL-W showed the inter species conservation of altered amino
acids in missense mutations. CONCLUSION: Disease-associating novel JAG1 gene
variations were found in TOF patients, and seem to play an important role in the
causation of the disease.
PMID- 21893052
TI - Plasma aluminum is a risk factor for oxidative stress and inflammation status in
hemodialysis patients.
AB - OBJECTIVES: The association between aluminum (Al), essential trace metals,
oxidative stress, and inflammation status was evaluated in hemodialysis patients.
DESIGN AND METHODS: Biochemical parameters in blood were determined in long-term
hemodialysis patients (n=69) and age- and sex-matched healthy individuals (n=30).
RESULTS: Compared with healthy subjects, patients had significantly higher
concentrations of plasma Al. Elevated Al was negatively associated with the
essential metals zinc, selenium, and iron. Al concentrations were strongly and
positively correlated with contents of the oxidation products malondialdehyde and
protein carbonyl. Inverse relationships were observed between Al concentrations
and reduced concentrations of glutathione, beta-carotene, vitamin C, and vitamin
E. Patients were also observed to have significantly increased production values
of plasma high-sensitivity C-reactive protein, tumor necrosis factor-alpha, and
interleukin-5. CONCLUSION: An increased plasma Al concentration is associated
with disturbed concentrations of essential metals, increased oxidative stress,
and increased inflammation status in hemodialysis patients.
PMID- 21893053
TI - Cryopreservation-induced alterations in protein tyrosine phosphorylation of
spermatozoa from different portions of the boar ejaculate.
AB - Previous studies have shown that boar sperm quality after cryopreservation
differs depending on the ejaculate fraction used and that spermatozoa contained
in the first 10mL (P1) of the sperm-rich fraction (SRF) show better cryosurvival
than those in the SRF-P1. Since protein tyrosine phosphorylation (PTP) in
spermatozoa is related with the tolerance of spermatozoa to frozen storage and
cryocapacitation, we assessed the dynamics of cryopreservation-induced PTP and
intracellular calcium ([Ca(2+)]i) in spermatozoa, using flow cytometry, from P1
and SRF-P1 of the boar ejaculate at different stages of cryopreservation. Sperm
kinetics, assessed using a computer-assisted semen analyzer, did not differ
between P1 and SRF-P1 during cryopreservation but the decrease in sperm velocity
during cryopreservation was significant (P<0.05) in SRF-P1 compared to P1. There
were no significant differences in percentages of spermatozoa with high [Ca(2+)]i
between P1 and SRF-P1 in fresh as well as in frozen-thawed semen. A higher
(P<0.001) proportion of spermatozoa displayed PTP during the course of
cryopreservation indicating a definite effect of the cryopreservation process on
sperm PTP. The proportion of spermatozoa with PTP did not differ significantly
between portions of the boar ejaculate. However at any given step during
cryopreservation the percentage of spermatozoa with PTP was comparatively higher
in SRF-P1 than P1. A 32kDa tyrosine phosphorylated protein, associated with
capacitation, appeared after cooling suggesting that cooling induces capacitation
like changes in boar spermatozoa. In conclusion, the study has shown that the
cryopreservation process induced PTP in spermatozoa and their proportions were
similar between portions of SRF.
PMID- 21893054
TI - Development and subsequent cryotolerance of domestic cat embryos cultured in
serum-free and serum-containing media.
AB - The objectives of this study were to examine the effects of the presence or
absence of serum during the in vitro culturing period of domestic cat embryos on
their developmental potential into blastocysts as well as their tolerance to
cryopreservation using a slow-freezing method. In vitro-fertilized cat oocytes
were incubated in a modified synthetic oviduct fluid (mSOF) containing 4 mg/mL
bovine serum albumin (BSA) throughout culturing (BSA group) or in mSOF containing
4 mg/mL BSA for the first 3 days followed by mSOF containing 5% fetal bovine
serum (FBS group). The developmental potential of the embryos to the blastocyst
and expanded blastocyst stages was evaluated 7 days after in vitro fertilization.
The blastocysts were frozen-thawed by the slow-freezing method and cultured for 3
days to examine their viability in vitro. There were no differences in the
formation rates of blastocysts or expanded blastocysts, or number of cells in the
embryos between the two groups. After cryopreservation, the hatching rates of the
expanded blastocysts in the BSA group were significantly higher (P<0.05) than
those of the FBS group. The postthaw viability of blastocysts was lower than that
of expanded blastocysts irrespective of culture medium. These results indicate
that the developmental potential of cat embryos cultured in serum-free medium is
comparable to those cultured in serum-containing medium. Furthermore, expanded
blastocysts produced without serum exhibit better postthaw viability than those
produced with serum.
PMID- 21893056
TI - The mechanisms of microgliosis and pain following peripheral nerve injury.
AB - Microglia are the resident macrophages in the central nervous system (CNS). Any
insult to the CNS homeostasis will induce a rapid change in microglia morphology,
gene expression profile and functional behaviour. These responses of microglia
have been collectively known as 'microgliosis'. Interestingly, damage to the
nervous system outside the CNS, such as axotomy of a peripheral nerve, can lead
to microgliosis in the spinal cord. There is a variation in the degree of
microgliosis depending on the model of nerve injury employed for instance this
response is more marked following traumatic nerve injury than in models of
chemotherapy induced neuropathy. Following peripheral nerve injury nociceptive
inputs from sensory neurons appear to be critical in triggering the development
of spinal microgliosis. A number of signalling pathways including growth factors
such as Neuregulin-1, matrix metalloproteases such as MMP-9 and multiple
chemokines enable direct communication between injured primary afferents and
microglia. In addition, we describe a group of mediators which although not
demonstrably shown to be released from neurons are known to modulate microglial
phenotype. There is a great functional diversity of the microglial response to
peripheral nerve injury which includes: Cellular migration, proliferation,
cytokine release, phagocytosis, antigen presentation and recruitment of T cells.
It should also be noted that in certain contexts microglia may have a role in the
resolution of neuro-inflammation. Although there is still no direct evidence
demonstrating that spinal microglia have a role in neuropathic pain in humans,
these patients present a pro-inflammatory cytokine profile and it is a reasonable
hypothesis that these cells may contribute to this inflammatory response.
Modulating microglial functions offers a novel therapeutic opportunity following
nerve injury which ideally would involve reducing the pro-inflammatory nature of
these cells whilst retaining their potential beneficial functions.
PMID- 21893057
TI - Glycan microarray profiling of parasite infection sera identifies the LDNF glycan
as a potential antigen for serodiagnosis of trichinellosis.
AB - Diagnostic methods for parasite infections still highly depend on the
identification of the parasites by direct methods such as microscopic examination
of blood, stool and tissue biopsies. Serodiagnosis is often carried out to
complement the direct methods; however, few synthetic antigens with sufficient
sensitivity and specificity are available. Here we evaluated a glycan microarray
approach to select for synthetic glycan antigens that could be used for
serodiagnosis of parasitic infections. Using a glycan array containing over 250
different glycan antigens, we identified GalNAcbeta1-4(Fucalpha1-3)GlcNAc-R
(LDNF) as a glycan antigen that is recognized by antibodies from Trichinella
infected individuals. We synthesized a neoglycoconjugate, consisting of five LDNF
molecules covalently coupled to bovine serum albumin (BSA), and used this
neoglycoconjugate as an antigen to develop a highly sensitive total-Ig ELISA for
serological screening of trichinellosis. The results indicate that glycan
microarrays constitute a promising technology for fast and specific
identification of parasite glycan antigens to improve serodiagnosis of different
parasitic infections, either using an ELISA format, or parasite-specific glycan
arrays.
PMID- 21893058
TI - MiR-483-5p controls angiogenesis in vitro and targets serum response factor.
AB - Angiogenesis, a key factor in ischemic heart disease, is rapidly initiated in
response to hypoxic or ischemic conditions. MicroRNAs (miRNAs) are endogenously
expressed small non-coding RNAs that regulate gene expression at post
transcriptional level. The recent discovery of the involvement of these RNAs in
the control of angiogenesis renders them very attractive in the development of
new approaches for restoring the angiogenic balance. In the present study, we
explored that miR-483-5p, a microRNA embedded in the intron of insulin-like
growth factor 2 (Igf2), acts as an endogenous angiogenesis-inhibiting factor. We
identified that serum response factor (SRF) is one of miR-483-5p target genes.
These findings indicated that the miR-483-5p-SRF pathway may offer a novel
strategy for treatment with angiogenesis in ischemic heart disease patients.
PMID- 21893059
TI - Hair cortisol levels track phylogenetic and age related differences in
hypothalamic-pituitary-adrenal (HPA) axis activity in non-human primates.
AB - Hair has been shown to archive a uniquely time averaged signal of endocrine
activity, and holds attractive advantages for both laboratory and field research.
Prior research has explored the potential of hair hormone analysis to examine
hormone-behavior relationships. To date, no research has focused on the potential
of the technique to investigate age-related changes or taxon differences in
endocrine function. It is known that non-human primate infants of many taxa
exhibit high cortisol levels after parturition, which rapidly decline with age.
It has also been shown that hypercortisolism generally characterizes platyrrhine
(New World monkey) endocrine function. These endocrine trends have been
characterized using cortisol levels determined from serum, plasma, and feces.
Here we test whether cortisol levels determined from hair recover similar
phylogenetic and age related patterns in endocrine function in non-human
primates. In order to test whether hair cortisol reflect infant hypercortisolism
with significant age-related decline, hair cortisol levels are measured in
samples from wild vervet monkeys (Chlorocebus aethiops) and captive Guinea
baboons (Papio hamadryas papio), ranging in age from infants through juveniles.
Further, in order to test whether platyrrhines exhibit significantly higher hair
cortisol levels compared to strepsirrhines and catarrhines, and therefore
faithfully recover similar signals as more traditionally used substrates (e.g.
serum), hair cortisol levels are quantified in adult female hair samples
collected from a broad range of non-human primate taxa. Results confirm that hair
cortisol levels accurately reflect known phylogenetic and age related patterns of
circulating cortisol levels. Therefore, these results suggest that hair may be an
ideal hormone bearing substrate for research focused on the examination of
population endocrine profiles, cross-sectional studies of endocrine function and
taxon variation in hormone levels, as well as stable behavioral trends.
PMID- 21893060
TI - Cardiovascular and vasoconstrictive actions of skate bradykinin in the little
skate, Leucoraja erinacea (Elasmobranchii).
AB - The vasoconstrictive and cardiovascular actions of a recently identified
bradykinin (BK)-related peptide (Gly-Ile-Thr-Ser-Trp-Leu-Pro-Phe) from the little
skate, Leucoraja erinacea were examined in the unanesthetised little skate. Intra
arterial administration of a skate BK (0.1-1 nmolkg(-1)) produced a hypertensive
response with a rise in blood pressure reaching a maximum elevation of 28.7+/
4.8% over baseline (P<0.05, n=8) that was sustained for at least 12 min following
administration of a 1 and 0.3 nmolkg(-1) dose of skate BK. Further, in vivo
administration of 1 nmolkg(-1) skate BK induced a significant delayed increase in
stroke volume (reaching a maximum of 54.4+/-14.7% above baseline) without
significant effect on either cardiac output or heart rate. In vitro, skate BK
constricted the 1st branchial, mesenteric (EC(50) 2.7*10(-9)M) and coeliac
(EC(50) 3.1*10(-9)M) arterial preparations of the skate. In contrast, skate
[Arg(9)]BK, the mammalian B(1) receptor agonist des-[Arg(9)]BK, and the mammalian
B(2) receptor antagonist HOE-140 failed to induce vasoconstriction in these
isolated arterial preparations. The vasoconstrictor actions of skate BK in the
isolated mesenteric, coeliac and branchial arterial preparations were
significantly inhibited when co-administrated with esculetin and phentolamine.
Indomethacin also inhibited the vasoconstrictor actions of skate BK in the
isolated branchial artery. We conclude that, as in mammals and teleost fish,
multiple pathways involving at least the alpha adrenergic and leukotriene
synthesis pathway are involved in mediating the vasoconstrictive actions of BK in
vascular smooth muscle of the little skate.
PMID- 21893061
TI - Evaluating the twin testosterone transfer hypothesis: a review of the empirical
evidence.
AB - In this paper we review the evidence that fetuses gestated with a male co-twin
are masculinized in development, perhaps due to the influence of prenatal
androgens: the so-called twin testosterone transfer (TTT) hypothesis. Evidence
from studies of behavioral, perceptual, cognitive, morphological and
physiological traits in same- and opposite-sex human twins is considered. Apart
from two studies reporting increases in aspects of sensation-seeking for females
with a male rather than a female co-twin, there is sparse evidence supporting the
TTT hypothesis in behavioral studies. Outcomes from studies of perception (in
particular otoacoustic emissions) and cognition (in particular vocabulary
acquisition and visuo-spatial ability) provide more consistent evidence in
support of masculinized performance in twins with a male co-twin compared to
twins with a female co-twin. The outcomes favorable to the TTT hypothesis for
otoacoustic emissions and visuo-spatial ability are restricted to females.
Studies of physiology and morphology (e.g., brain volume, tooth size and 2D:4D
ratio) also show some influence of co-twin sex, but again these effects are often
restricted to female twins. Because females produce little endogenous
testosterone, the effects of gestation with a male co-twin may be more pronounced
in females than males. Thus, while uneven, the evidence for the TTT hypothesis is
sufficient to warrant further investigation, ideally using large samples of same-
and opposite-sex twins, along with control groups of same- and opposite-sex
siblings when the characteristics assessed are potentially open to social
influences.
PMID- 21893062
TI - Comparison of GD2 binding capture ELISA assays for anti-GD2-antibodies using GD2
coated plates and a GD2-expressing cell-based ELISA.
AB - Two assay methods for quantification of the disialoganglioside (GD2)-specific
binding activities of anti-GD2 monoclonal antibodies and antibody immunofusion
proteins, such as ch14.18 and hu14.18-IL2, were developed. The methods differed
in the use of either microtiter plates coated with purified GD2 or plates seeded
with GD2-expressing cell lines to bind the anti-GD2 molecules. The bound
antibodies were subsequently detected using the reactivity of the antibodies to
an HRP-labeled anti-IgG Fc or antibodies recognizing the conjugate IL-2 part of
the Hu 14.18IL-2 fusion protein. The bound HRP was detected using reagents such
as orthophenylene diamine, 2, 2'-azinobis [3-ethylbenzothiazoline-6-sulfonic
acid] or tetramethylbenzidine. The capture ELISA using GD2-coated plates was
developed earlier in assay development and used to demonstrate assay specificity
and to compare lot-to-lot consistency and stability of ch14.18, and Hu14.18 IL-2
in clinical development. During this study, we found a number of issues related
to plate-to-plate variability, GD2 lot variability, and variations due to GD2
storage stability, etc., that frequently lead to assay failure in plates coated
with purified GD2. The cell-based ELISA (CbELISA) using the GD2 expressing
melanoma cell line, M21/P6, was developed as an alternative to the GD2-coated
plate ELISA. The results on the comparability of the capture ELISA on GD2-coated
plates and the cell-based assay show that both assays give comparable results.
However, the cell-based assay is more consistent and reproducible. Subsequently,
the anti-GD2 capture ELISA using the GD2-coated plate was replaced with the
CbELISA for product lot release testing and stability assessment.
PMID- 21893063
TI - A phage display system for the identification of novel Anisakis simplex antigens.
AB - Anisakis simplex has been recognized as an important cause of disease in man and
as a foodborne allergen source. Actually, this food-borne was recently identified
as an emerging food safety risk including allergenic symptoms. This parasite
contains a large variety of allergenic proteins enforcing the necessity to detect
new allergens. Commonly, these efforts have been focused on the developing of
cDNA libraries, where virtually all expressed mRNAs are present, by using
immunoreactive patient serum or polyclonal antibodies. Phage display system is an
alternative strategy which permits the physical binding of the genotype with the
phenotype, since the products are expressed by the phage on its surface, thereby
allowing more efficient selection. In this work we have constructed two libraries
in the pJuFo phage, obtaining a primary titer of around 103 cfu/ml and an
amplified titer of the order of 1013 cfu/ml whereas the insert sizes varied from
0.35 to 1.2kb. Both libraries were subsequently analyzed by enrichment with
polyclonal antibodies to an A. simplex extract and immunoreactive sera from
patients with a clinical history of allergy to this parasite. Finally, 30 clones
were scrutinized detecting several Anisakis candidate antigens. Actually, one
protein, belongs to the fructose-1,6-bisphosphatase family, was found in 34% of
scrutinized clones revealing as a promising novel A. simplex allergen. Phage
display technology has to date not yet been applied to the identification of new
A. simplex allergens, and the present work opens up new avenues to the
understanding of the Anisakis allergenic process.
PMID- 21893064
TI - Serotonin depresses feeding behaviour in ants.
AB - Feeding behaviour is a complex functional system that relies on external signals
and the physiological state of the animal. This is also the case in ants as they
vary their feeding behaviour according to food characteristics, environmental
conditions and - as they are social insects - to the colony's requirements. The
biogenic amine serotonin (5-HT) was shown to be involved in the control and
modulation of many actions and processes related to feeding in both vertebrates
and invertebrates. In this study, we investigated whether 5-HT affects nectar
feeding in ants by analysing its effect on the sucking-pump activity.
Furthermore, we studied 5-HT association with tissues and neuronal ganglia
involved in feeding regulation. Our results show that 5-HT promotes a dose
dependent depression of sucrose feeding in Camponotus mus ants. Orally
administered 5-HT diminished the intake rate by mainly decreasing the volume of
solution taken per pump contraction, without modifying the sucrose acceptance
threshold. Immunohistochemical studies all along the alimentary canal revealed 5
HT-like immunoreactive processes on the foregut (oesophagus, crop and
proventriculus), while the midgut and hindgut lacked 5-HT innervation. Although
the frontal and suboesophageal ganglia contained 5-HT immunoreactive cell bodies,
serotonergic innervation in the sucking-pump muscles was absent. The results are
discussed in the frame of a role of 5-HT in feeding control in ants.
PMID- 21893065
TI - Identification of a nucleopolyhedrovirus in winter moth populations from
Massachusetts.
AB - Winter moth, Operophtera brumata, originally from Europe, has invaded eastern
Massachusetts causing widespread defoliation and damage to many deciduous tree
species and a variety of crop plants in the infested area. We identified O.
brumata nucleopolyhedrovirus (OpbuNPV) in winter moth larvae collected from field
sites in Massachusetts by using PCR to amplify a 482 bp region of the baculovirus
polyhedrin gene. Viral sequences were also detected in winter moth pupae that
failed to emerge, suggesting that these insects may have died as a result of
viral infection. This represents the first report of OpbuNPV in winter moth
populations in the US.
PMID- 21893066
TI - Previously unknown apicomplexan species infecting Iceland scallop, Chlamys
islandica (Muller, 1776), queen scallop, Aequipecten opercularis L., and king
scallop, Pecten maximus L.
AB - Examination of three scallop species from three separate locations: Iceland
scallop from Icelandic waters, king scallop from Scottish waters and queen
scallop from Faroese and Scottish waters, revealed infections of a previously
unknown apicomplexan parasite in all three scallop species. Developmental forms
observed in the shells appeared to include both sexual and asexual stages of the
parasite, i.e. merogony, gametogony and sporogony, which suggests a monoxenous
life cycle. Meronts, gamonts, zygotes and mature oocysts were solely found in the
muscular tissue. Zoites, which could be sporozoites and/or merozoites, were
observed in great numbers, most frequently in muscles, both intracellular and
free in the extracellular space. Zoites were also common inside haemocytes.
Examination of the ultrastructure showed that the zoites contained all the major
structures characterizing apicomplexans. This apicomplexan parasite is
morphologically different from other apicomplexan species previously described
from bivalves. Presently, its systematic position within the phylum Apicomplexa
cannot be ascertained.
PMID- 21893067
TI - Iron-coordinating tyrosine is a key determinant of NEAT domain heme transfer.
AB - In humans, heme iron is the most abundant iron source, and bacterial pathogens
such as Staphylococcus aureus acquire it for growth. IsdB of S. aureus acquires
Fe(III)-protoporphyrin IX (heme) from hemoglobin for transfer to IsdC via IsdA.
These three cell-wall-anchored Isd (iron-regulated surface determinant) proteins
contain conserved NEAT (near iron transport) domains. The purpose of this work
was to delineate the mechanism of heme binding and transfer between the NEAT
domains of IsdA, IsdB, and IsdC using a combination of structural and
spectroscopic studies. X-ray crystal structures of IsdA NEAT domain (IsdA-N1)
variants reveal that removing the native heme-iron ligand Tyr166 is compensated
for by iron coordination by His83 on the distal side and that no single mutation
of distal loop residues is sufficient to perturb the IsdA-heme complex. Also,
alternate heme-iron coordination was observed in structures of IsdA-N1 bound to
reduced Fe(II)-protoporphyrin IX and Co(III)-protoporphyrin IX. The IsdA-N1
structural data were correlated with heme transfer kinetics from the NEAT domains
of IsdB and IsdC. We demonstrated that the NEAT domains transfer heme at rates
comparable to full-length proteins. The second-order rate constant for heme
transfer from IsdA-N1 was modestly affected (<2-fold) by the IsdA variants,
excluding those at Tyr166. Substituting Tyr166 with Ala or Phe changed the
reaction mechanism to one with two observable steps and decreased observed rates
>15-fold (to 100-fold excess IsdC). We propose a heme transfer model wherein NEAT
domain complexes pass heme iron directly from an iron-coordinating Tyr of the
donor protein to the homologous Tyr residues of the acceptor protein.
PMID- 21893068
TI - Dynamism in molecular chaperones.
PMID- 21893069
TI - Binding and selectivity of the marine toxin neodysiherbaine A and its synthetic
analogues to GluK1 and GluK2 kainate receptors.
AB - Dysiherbaine (DH) and neodysiherbaine A (NDH) selectively bind and activate two
kainate-type ionotropic glutamate receptors, GluK1 and GluK2. The ligand-binding
domains of human GluK1 and GluK2 were crystallized as bound forms with a series
of DH analogues including DH, NDH, 8-deoxy-NDH, 9-deoxy-NDH and 8,9-dideoxy-NDH
(MSVIII-19), isolated from natural sources or prepared by total synthesis. Since
the DH analogues exhibit a wide range of binding affinities and agonist
efficacies, it follows that the detailed analysis of crystal structure would
provide us with a significant opportunity to elucidate structural factors
responsible for selective binding and some aspects of gating efficacy. We found
that differences in three amino acids (Thr503, Ser706 and Ser726 in GluK1 and
Ala487, Asn690 and Thr710 in GluK2) in the ligand-binding pocket generate
differences in the binding modes of NDH to GluK1 and GluK2. Furthermore, deletion
of the C(9) hydroxy group in NDH alters the ligand conformation such that it is
no longer suited for binding to the GluK1 ligand-binding pocket. In GluK2, NDH
pushes and rotates the side chain of Asn690 (substituted for Ser706 in GluK1) and
disrupts an interdomain hydrogen bond with Glu409. The present data support the
idea that receptor selectivities of DH analogues resulted from the differences in
the binding modes of the ligands in GluK1/GluK2 and the steric repulsion of
Asn690 in GluK2. All ligands, regardless of agonist efficacy, induced full domain
closure. Consequently, ligand efficacy and domain closure did not directly
coincide with DH analogues and the kainate receptors.
PMID- 21893070
TI - Structural characterization of intramolecular Hg(2+) transfer between flexibly
linked domains of mercuric ion reductase.
AB - The enzyme mercuric ion reductase MerA is the central component of bacterial
mercury resistance encoded by the mer operon. Many MerA proteins possess
metallochaperone-like N-terminal domains (NmerA) that can transfer Hg(2+) to the
catalytic core domain (Core) for reduction to Hg(0). These domains are tethered
to the homodimeric Core by ~30-residue linkers that are susceptible to
proteolysis, the latter of which has prevented characterization of the
interactions of NmerA and the Core in the full-length protein. Here, we report
purification of homogeneous full-length MerA from the Tn21 mer operon using a
fusion protein construct and combine small-angle X-ray scattering and small-angle
neutron scattering with molecular dynamics simulation to characterize the
structures of full-length wild-type and mutant MerA proteins that mimic the
system before and during handoff of Hg(2+) from NmerA to the Core. The radii of
gyration, distance distribution functions, and Kratky plots derived from the
small-angle X-ray scattering data are consistent with full-length MerA adopting
elongated conformations as a result of flexibility in the linkers to the NmerA
domains. The scattering profiles are best reproduced using an ensemble of linker
conformations. This flexible attachment of NmerA may facilitate fast and
efficient removal of Hg(2+) from diverse protein substrates. Using a specific
mutant of MerA allowed the formation of a metal-mediated interaction between
NmerA and the Core and the determination of the position and relative orientation
of NmerA to the Core during Hg(2+) handoff.
PMID- 21893071
TI - Sex ratio dependent dispersal when sex ratios vary between patches.
AB - Female biased sex ratios reduce competition between brothers when mating takes
place within local patches. Male dispersal prior to mating is another strategy
that reduces competition between brothers. One may thus expect these two traits
to co-evolve and this is partially met in that sex ratios becomes less female
biased as dispersal increases. However, the evolutionary stable degree of
dispersal is unaffected by the sex ratio. The analytical models developed to
reach these conclusions ignored variance in sex ratios, since this increases the
structural complexity of models. For similar reasons finite clutch sizes are also
routinely ignored. To overcome these shortfalls, we developed individual based
simulations that allowed us to incorporate realistic clutch sizes and binomial
variance in sex ratios between patches. We show that under variable sex ratios,
males evolve to more readily disperse away from patches with higher sex ratios
than lower sex ratios. We show that, while the dispersal rate is insensitive to
the sex ratio when sex ratios are precise, it is affected by the number of males
with dispersal decreasing as the number of males decreases.
PMID- 21893072
TI - Exponential transient propagating oscillations in a ring of spiking neurons with
unidirectional slow inhibitory synaptic coupling.
AB - Transient oscillations in a ring of spiking neuron models unidirectionally
coupled with slow inhibitory synapses are studied. There are stable spatially
fixed steady firing-resting states and unstable symmetric propagating firing
resting states. In transients, firing-resting patterns rotate in the direction of
coupling (propagating oscillations), the duration of which increases
exponentially with the number of neurons (exponential transients). Further, the
duration of randomly generated transient propagating oscillations is distributed
in a power law form and spatiotemporal noise of intermediate strength sustains
propagating oscillations. These properties agree with those of transient
propagating waves in a ring of sigmoidal neuron models.
PMID- 21893073
TI - Diepoxybutane induces the formation of DNA-DNA rather than DNA-protein cross
links, and single-strand breaks and alkali-labile sites in human hepatocyte L02
cells.
AB - 1,3-Butadiene (BD) is an air pollutant and a known carcinogen. 1,2,3,4
Diepoxybutane (DEB), one of the major in vivo metabolites of BD, is considered
the ultimate culprit of BD mutagenicity/carcinogenicity. DEB is a bifunctional
alkylating agent, being capable of inducing the formation of monoalkylated DNA
adducts and DNA cross-links, including DNA-DNA and DNA-protein cross-links (DPC).
In the present study, we investigated DEB-caused DNA cross-links and breaks in
human hepatocyte L02 cells using comet assay. With alkaline comet assay, it was
observed that DNA migration increased with the increase of DEB concentration at
lower concentrations (10-200MUM); however, at higher concentrations (200
1000MUM), DNA migration decreased with the increase of DEB concentration. This
result indicated the presence of cross-links at >200MUM, which was confirmed by
the co-treatment experiments using the second genotoxic agents, tert-butyl
hydroperoxide and methyl methanesulfonate. At 200MUM, which appeared as a
threshold, the DNA migration-retarding effect of cross-links was just observable
by the co-treatment experiments. At <200MUM, the effect of cross-links was too
weak to be detected. The DEB-induced cross-links were determined to be DNA-DNA
ones rather than DPC through incubating the liberated DNA with proteinase K prior
to unwinding and electrophoresis. However, at the highest DEB concentration
tested (1000MUM), a small proportion of DPC could be formed. In addition, the
experiments using neutral and weakly alkaline comet assays showed that DEB did
not cause double-strand breaks, but did induce single-strand breaks (SSB) and
alkali-labile sites (ALS). Since SSB and ALS are repaired more rapidly than cross
links, the results suggested that DNA-DNA cross-links, rather than DPC, were
probably responsible for mutagenicity/carcinogenicity of DEB.
PMID- 21893074
TI - An intact medial preoptic area is necessary for zaprinast to modulate paced
mating behavior in female rats.
AB - The present study examined the interaction between the regulation of paced mating
behavior by the medial preoptic area (mPOA) and by the nitric oxide (NO)/cyclic
guanosine monophosphate (cGMP) pathway, as modulated by zaprinast, a
phosphodiesterase inhibitor. Rats receiving mPOA or sham lesions were tested for
paced mating behavior. Subsequently, rats were treated with zaprinast (3 mg/kg)
before a second paced mating test. The expected lengthening of contact-return
latencies following intromissions and ejaculations was observed in rats with mPOA
lesions relative to rats with sham lesions. In addition, rats with sham lesions
responded to zaprinast with a lengthening of contact-return latency following
ejaculation. Contact-return latencies did not change in response to zaprinast in
rats with mPOA lesions. These results demonstrate that the alterations in paced
mating behavior observed in rats with mPOA lesions persist despite manipulation
of the nitric oxide-cyclic guanosine monophospate pathway.
PMID- 21893075
TI - Differential effects of chow and purified diet on the consumption of sucrose
solution and lard and the development of obesity.
AB - Obesity has been associated with increased consumption of sweetened beverages and
a high-fat diet. We determined whether the composition of the dry pellet offered
with liquid sucrose (LS) and lard influenced the development of obesity. We
hypothesized that animals offered LS or LS and lard (choice), in addition to chow
or purified low fat diet pellet (LFD; 10% fat), would gain more body fat than
controls. We compared the effects of LFD vs. chow on voluntary consumption of LS
and lard, serum triglyceride (TG), glucose, and body fat over 21 days. Male
Sprague Dawley rats (n=10/group) were offered chow, chow+LS, chow choice, LFD,
LFD+LS, LFD choice or solid high-sucrose diet (70% sucrose). Energy intakes of
rats fed chow, LFD, and high-sucrose diets were similar. Energy intake was
increased by 16% in chow+LS, 15% in LFD+LS, 11% in LFD choice, and 23% in chow
choice rats. Chow choice rats consumed 142% more lard than LFD choice rats.
Fasting glucose increased in all choice rats compared with the chow and high
sucrose diet rats. Fasting TG increased in LFD choice rats and were ~75% higher
than those of chow, LFD, or high-sucrose rats. Chow choice had higher carcass fat
than chow, chow+LS, and LFD groups however LFD choice was not different from
their controls. Another experiment confirmed rats consumed 158% more lard when
given chow choice compared to LFD choice. The diet offered to rats with free
access to LS and lard influenced the development of obesity, sucrose and lard
selection, and TG.
PMID- 21893076
TI - Immune cells recruitment and activation by Tityus serrulatus scorpion venom.
AB - Despite several studies showed that the Tityus serrulatus scorpion venom (Tsv)
induces an inflammatory response, just a few have investigated the effect of the
venom on the immune response. Therefore, the aim of this study was to evaluate
alterations of venom application on lymphoid organs and on the recruitment and
activation of cells and also on the cytokine production. Swiss male mice (2-3
months, 20-25 g) received a non-lethal dose of crude Tsv (200 MUg/kg), diluted in
sterile PBS by subcutaneous route. Control animals received only sterile PBS. The
animals were sacrificed after 30, 120 and 360 min. The inflammatory parameters
studied were skin histology at the site of venom application, leukocyte count,
and blood cytokine levels (IL-6, IL-10, and TNF-alpha). Inguinal lymph node,
spleen and bone marrow cellularity was determined for evaluation of the Tsv
effect on immune system organs. The results showed that Tsv caused no local
inflammation, but it induced an increase of blood neutrophils and serum IL-6, TNF
alpha and IL-10. After 360 min of envenomation there was a reduction in the cells
number from peritoneum and spleen, but there was an increase in the cell number
from lymph nodes. In conclusion, the Tsv induces systemic alterations
characterized by changes in the cell number in lymphoid organs, increase pro and
anti-inflammatory cytokines.
PMID- 21893078
TI - The effect of photopigment optical density on the color vision of the anomalous
trichromat.
AB - We present a theoretical model to estimate the influence of photopigment optical
density (OD) on the color vision of anomalous trichromats. Photopigment spectral
sensitivities are generated using the Lamb (1995) template, which we correct for
OD and pre-receptoral filters. Sixteen hyperspectral images (Foster, Nascimento,
& Amano, 2004; Nascimento, Ferreira, & Foster, 2002) are analyzed, and the
signals produced in the post-receptoral channels calculated. In the case of
anomalous trichromats whose two longer-wavelength cones have peak sensitivities
that lie close together in the spectrum, color vision can be substantially
enhanced if the cones differ in optical density by a realistic amount.
PMID- 21893077
TI - Foveal phase retardation changes associated with normal aging.
AB - This study quantified normal age-related changes to the photoreceptor axons in
the central macula using the birefringent properties of the Henle fiber layer. A
scanning laser polarimeter was used to acquire 15 degrees * 15 degrees macular
images in 120 clinically normal subjects, ranging in age from the third decade to
the eighth. Raw image data of the macular cross were used to compute phase
retardation maps associated with Henle fiber layer. Annular regions of interest
ranging from 0.25 degrees to 3 degrees eccentricity and centered on the fovea
were used to generate intensity profiles from the phase retardation data, which
were then analyzed using sine curve fitting and Fast Fourier Transform (FFT). The
amplitude of a 2f sine curve was used as a measure of macular phase retardation
magnitude. For FFT analysis, the 2f amplitude, as well as the 4f, were normalized
by the remaining FFT components. The amplitude component of the 2f curve fit and
the normalized 2f FFT component decreased as a function of age, while the
eccentricity of the maximum value for the normalized 2f FFT component increased.
The phase retardation changes in the central macula indicate structural
alterations in the cone photoreceptor axons near the fovea as a function of age.
These changes result in either fewer cone photoreceptors in the central macula,
or a change in the orientation of their axons. This large sample size
demonstrates systematic changes to the central cone photoreceptor morphology
using scanning laser polarimetry.
PMID- 21893079
TI - FUdR causes a twofold increase in the lifespan of the mitochondrial mutant gas-1.
AB - The nematode worm Caenorhabditis elegans has been used to identify hundreds of
genes that influence longevity and thereby demonstrate the strong influence of
genetics on lifespan determination. In order to simplify lifespan studies in
worms, many researchers have employed 5-fluoro-2'-deoxyuridine (FUdR) to inhibit
the development of progeny. While FUdR has little impact on the lifespan of wild
type worms, we demonstrate that FUdR causes a dramatic, dose-dependent, twofold
increase in the lifespan of the mitochondrial mutant gas-1. Thus, the
concentration of FUdR employed in a lifespan study can determine whether a
particular strain is long-lived or short-lived compared to wild-type.
PMID- 21893080
TI - Natural killer cell function is altered during the primary response of aged mice
to influenza infection.
AB - Influenza is a public health concern, especially for the elderly. While influenza
vaccination is efficacious in the young, it offers only limited protection in the
elderly. Thus, it becomes imperative to understand age-related changes in the
primary response to influenza infection. This study identified potential age
related defects in natural killer (NK) cell function during influenza infection.
We showed that NK cells from aged mice were reduced and had impaired function and
altered phenotype in lungs during influenza infection. Aged NK cells demonstrated
decreased IFN-gamma production, but not degranulation, after influenza infection.
However, after ex vivo activation with YAC-1 cells, aged NK cells demonstrated
both reduced IFN-gamma production and degranulation. IFN-gamma was also reduced
in aged NK cells after activation with anti-NKp46 and soluble cytokines. IFN
beta, and IL-12p40 mRNA expression was not significantly different from that
observed in adult mice. Analysis of NK cell subsets indicated that aged mice had
more immature and less terminally mature NK cells. These data suggest that aging
affects the numbers, function and phenotype of NK cells. Thus, these defects in
NK cell function could impair the ability of aged mice to induce a strong
antiviral immune response during the early stages of the infection.
PMID- 21893081
TI - Mitochondrial dysfunction: a crucial event in okadaic acid (ICV) induced memory
impairment and apoptotic cell death in rat brain.
AB - Mitochondrial abnormalities have been identified in a large proportion of
neurodegenerative diseases. Recently we have reported that
intracerebroventricular (ICV) administration of okadaic acid (OKA) causes memory
impairment in rat. However involvement of mitochondrial function in OKA induced
memory impairment and neuronal damage has not been determined. OKA (200 ng) was
administered by ICV route. After 13th day of OKA administration memory function
was evaluated by Morris Water Maze test. Following completion of behavioral
studies on 16th day, mitochondrial membrane potential, Ca(2+) and reactive oxygen
species were evaluated in mitochondrial preparation of cortex, hippocampus,
striatum and cerebellum of rat brain. While ATP, mitochondrial activity, lipid
peroxidation and nitrite were investigated in synaptosomal preparation of rat
brain areas. The activities and mRNA expression of apoptotic factors, caspase-3
and caspase-9, were studied in rat brain regions. The neuronal damage was also
confirmed by histopathological study. OKA treated rats showed memory impairment
including increased Ca(2+) and reactive oxygen species and decreased
mitochondrial membrane potential, ATP and mitochondrial activity in mitochondrial
preparation. There was a significant increase in lipid peroxidation and nitrite
in synaptosomal preparations. Preventive treatment daily for 13 days with
antidementic drugs, donepezil (5 mg/kg, p.o) and memantine (10 mg/kg, p.o),
significantly attenuated OKA induced mitochondrial dysfunction, apoptotic cell
death, memory impairment and histological changes. Mitochondrial dysfunction
appeared as a key factor in OKA induced memory impairment and apoptotic cell
death. This study indicates that clinically used antidementic drugs are effective
against OKA induced adverse changes at behavioral, cellular, and histological
levels and mitochondrial dysfunction.
PMID- 21893082
TI - Participation of brainstem monoaminergic nuclei in behavioral depression.
AB - Several lines of research have now suggested the controversial hypothesis that
the central noradrenergic system acts to exacerbate depression as opposed to
having an antidepressant function. If correct, lesions of this system should
increase resistance to depression, which has been partially but weakly supported
by previous studies. The present study reexamined this question using two more
recent methods to lesion noradrenergic neurons in mice: intraventricular (ivt)
administration of either the noradrenergic neurotoxin, DSP4, or of a dopamine
beta-hydroxylase-saporin immunotoxin (DBH-SAP ITX) prepared for mice. Both agents
given 2 weeks prior were found to significantly increase resistance to depressive
behavior in several tests including acute and repeated forced swims, tail
suspension and endotoxin-induced anhedonia. Both agents also increased locomotor
activity in the open field. Cell counts of brainstem monoaminergic neurons,
however, showed that both methods produced only partial lesions of the locus
coeruleus and also affected the dorsal raphe or ventral tegmental area. Both the
cell damage and the antidepressant and hyperactive effects of ivt DSP4 were
prevented by a prior i.p. injection of the NE uptake blocker, reboxetine. The
results are seen to be consistent with recent pharmacological experiments showing
that noradrenergic and serotonergic systems function to inhibit active behavior.
Comparison with previous studies utilizing more complete and selective LC lesions
suggest that mouse strain, lesion size or involvement of multiple neuronal
systems are critical variables in the behavioral and affective effects of
monoaminergic lesions and that antidepressant effects and hyperactivity may be
more likely to occur if lesions are partial and/or involve multiple monoaminergic
systems.
PMID- 21893083
TI - Testosterone as a discriminative stimulus in male rats.
AB - Testosterone and other anabolic-androgenic steroids (AAS) are reinforcing in
animals, as determined by conditioned place preference or self-administration.
Most drugs of abuse produce subjective effects on mood and perception that
initiate and maintain drug taking. Whether AAS have similar effects is not known.
Food-restricted male Sprague-Dawley rats (n=9) were tested for their ability to
discriminate an injection of testosterone from the beta-cyclodextrin vehicle
using a standard two-lever operant paradigm. In drug discrimination, animals use
the subjective effects of drug or vehicle to select the appropriate lever to
obtain food pellets under an FR10 schedule of reinforcement. All rats
demonstrated vigorous responding for food (1415.1+/-76.1 responses/20 min) with
94.9% of responses on the active lever. For the first 30 days, rats received
1mg/kg testosterone sc 30 min before testing. On Day 14, one rat achieved the
discrimination criteria of 9/10 consecutive days with >90% responses on the
active lever and <=5 responses on the inactive lever before the first
reinforcement. Subsequently, rats were tested with testosterone at different
doses (2, 7.5, 15 mg/kg at 30 min before testing) and times (2mg/kg at 30 or 60
min before testing), each for 20 days. One additional rat demonstrated successful
discrimination at Day 54 with 2mg/kg testosterone 60 min before testing. The
remaining 7 rats failed to discriminate testosterone within 110 days. When
analyzed according to less-stringent standards, 4 additional rats met criteria
for testosterone discrimination. However, continued performance was not stable.
Thus, testosterone was unable to consistently support drug discrimination. We
conclude that testosterone does not produce rapid interoceptive effects (NIH
DA12843 to RIW).
PMID- 21893084
TI - Behavioral and biochemical investigations to explore pharmacological potential of
PPAR-gamma agonists in vascular dementia of diabetic rats.
AB - Vascular dementia (VaD) is the second most common dementing illness. We have
recently reported that diabetes induces VaD in rats. The present study has been
designed to investigate the potential of peroxisome-proliferator-activated
receptors-gamma (PPAR-gamma) agonists in diabetes induced VaD of Wistar Albino
rats. The rats were administered, single dose of streptozotocin (STZ) for the
induction of diabetes. Morris water-maze (MWM) test was employed for testing
learning and memory. Serum glucose, bodyweight, vascular endothelial function,
serum nitrite/nitrate levels, aortic and brain oxidative stress levels (viz.
aortic superoxide anion levels, brain thiobarbituric acid reactive species and
brain glutathione levels) and brain acetylcholinesterase activity were also
tested. STZ treated animals performed poorly on MWM hence reflecting impairment
of learning and memory behavior with a significant reduction in body weight,
impairment of vascular endothelial function, and decrease in serum
nitrite/nitrate levels, increase in serum glucose, aortic and brain oxidative
stress levels and brain acetylcholinesterase activity. Treatment of PPAR-gamma
agonists, pioglitazone as well as rosiglitazone significantly reversed, diabetes
induced impairment of learning and memory behavior, endothelial function, and
changes in various biochemical parameters. It is concluded that PPAR-gamma
modulators pioglitazone and rosiglitazone may be considered as potential
pharmacological agents for the management of diabetes induced VaD.
PMID- 21893085
TI - Spiritual and religious identities predict the use of complementary and
alternative medicine among US adults.
AB - OBJECTIVE: To determine whether spiritual and religious identities predict
complementary and alternative medicine (CAM) use above and beyond other known
influences such as gender, region of residence, social status, personality,
health, and access to conventional medicine. METHODS: Analyzing data from the
1995-1996 National Survey of Midlife Development in the United States (n=3032),
this study examines the correlations between four aspects of
spirituality/religiousness-i.e., spiritual only, religious only, both spiritual
and religious, and neither spiritual nor religious-and six measures of CAM.
RESULTS: Compared with spiritual only persons, the odds of using energy therapies
are 86% lower for spiritual and religious persons, 65% lower for religious only
persons, and 52% lower for neither spiritual nor religious persons. Compared to
spiritual only persons, spiritual and religious individuals are 43% more likely
to use body-mind therapies in general; however, when this category does not
contain prayer, meditation, or spiritual healing, they are 44% less likely.
Religious only individuals are disinclined toward CAM use. CONCLUSIONS: After
controlling for established predictors including educational attainment,
personality, social support, and access to conventional medicine, the present
study demonstrates that spirituality and religiousness are associated, in unique
ways, with CAM use. Additional research on this topic is clearly warranted.
PMID- 21893086
TI - Multiple health behaviors and serum hepatic enzymes among US adults with obesity.
AB - INTRODUCTION: This study was to examine the cumulative number and clustering
patterns of low-risk health behaviors (i.e., not currently smoking, not excessive
drinking, and physically active) associated with elevation of serum alanine
aminotransferase (ALT), aspartate aminotransferase (AST), and gamma
glutamyltransferase (GGT) among adults with obesity in the United States.
METHODS: We estimated the age-adjusted prevalence of elevated ALT, AST, and GGT
from 4547 adults with obesity aged >= 20 years who participated in the 2005-2008
National Health and Nutrition Examination Survey. The associations between the
cumulative number or clustering patterns of low-risk health behaviors and
measures of serum ALT, AST, and GGT were assessed using multivariate regression
models. RESULTS: Adult men who reported having three low-risk health behaviors
were 62%, 39%, and 48% less likely to have elevated serum ALT, AST, and GGT,
respectively; adult women were 56% and 73% less likely to have elevated serum AST
and GGT, respectively, when compared to their respective counterparts who
reported having none of the low-risk health behaviors. CONCLUSIONS: The findings
of this study indicate that, among adults with obesity, having multiple low-risk
health behaviors is associated with decreased likelihoods of elevated hepatic
enzymes, including ALT in men, AST and GGT in both men and women.
PMID- 21893087
TI - Purification and physicochemical properties of different polysaccharide fractions
from the water extract of Boschniakia rossica and their effect on macrophages
activation.
AB - Today more and more attentions had been attracted by many nutritionists and
pharmacologists on polysaccharides from natural plants or animals due to their
significant biological activities. In this research three polysaccharides (BRR
W1, BRR-WA1 and BRR-WA2) were isolated and purified from the water extract of
Boschniakia rossica by DEAE Sepharose Fast Flow and Sepharose 6 Fast Flow column
chromatography. Chemical and physical characteristics of three polysaccharides
were investigated by a combination of chemical and instrumental analysis methods.
The assays of their effect on macrophages activation were also investigated in
vitro, including phagocytosis of macrophages, detections for NO production and
TNF-alpha secretion. The results indicated that the effect of polysaccharides on
macrophages activation was influenced by their respective physicochemical
properties.
PMID- 21893088
TI - A facile method for preparing biodegradable chitosan derivatives with low
grafting degree of poly(lactic acid).
AB - Chemical modification of chitosan by grafting with PLA (CS-g-PLA) was developed
via 1-ethyl-3-(3-dimethylaminopropyl) carbodiimide (EDC) mediated coupling
reaction. The introduction of PLA disrupted the crystalline structure of
chitosan, improved its solubility and thermal stability. Low degree of PLA
substitution showed better degradation efficiency than chitosan and PLA. Weight
loss of CS-g-PLA6 and CS-g-PLA4 was 87% and 94%, respectively, in 7 days
enzymatic degradation study. CS-g-PLA2 was totally degraded in 1 day. Self
assembly behavior was studied using pyrene fluorescence dye technique and found
to be PLA grafting level dependent. CS-g-PLA with low grafting degree showed
hydrophilic, self-assembling properties and controllable biodegradability that
may widen its applications.
PMID- 21893090
TI - Thermal unfolding of apo- and holo-enolase from Saccharomyces cerevisiae:
different mechanisms, similar activation enthalpies.
AB - Yeast enolase is stabilized by its natural cofactor Mg(2+). This stabilization is
ascribed to the reduced subunit dissociation of the holoprotein. Nevertheless,
how Mg(2+) alters the unfolding mechanism has yet to be fully characterized.
Here, we investigate the role of Mg(2+) in the denaturation mechanism and
unfolding kinetics of yeast enolase. Apo-enolase unfolds through a three-state
process (N(2)<->2I->2D). The intermediate species is described as a monomeric
molten globule-like conformation that becomes noticeable in the presence of
phosphate and is able to recover its native secondary structure when cooled down.
Kinetic studies confirmed the presence of the intermediate species, even though
it was not noticeable in the thermal scans. The cofactor increases the
cooperativity of the unfolding transitions, while the intermediate species
becomes less noticeable or nonexistent. Thus, holo-enolase follows a simple two
state mechanism (N(2)->2D). Our results indicate smaller unfolding rate-constants
in the presence of Mg(2+), thus favoring the native state. The temperature
dependence of the unfolding rates allowed us to calculate the activation
enthalpies of denaturation. Interestingly, despite the different unfolding
mechanisms of the apo and holo forms of enolase, they both have similar
activation barriers of denaturation (185-190 kJ mol(-1)).
PMID- 21893089
TI - Synthesized oversulfated and acetylated derivatives of polysaccharide extracted
from Enteromorpha linza and their potential antioxidant activity.
AB - Sulfated polysaccharide extracted from blue algae Enteromorpha linza is proved to
possess excellent antioxidant activities. Two derivatives by means of
oversulfated and acetylated coupling were synthesized and investigated their
antioxidant activities including scavenging effect of superoxide, hydroxyl and
1,1-diphenyl-2-picrylhydrazyl radicals. And then the relationship between
antioxidant activity and chemical characteristics was characterized. The results
of chemical analysis and FT-IR showed that the modification of polysaccharide was
successful. In addition, it was found that certain derivatives exhibited stronger
antioxidant activity than raw material. They could serve as free-radical
inhibitors or scavengers, acting possibly as primary antioxidants.
PMID- 21893091
TI - Immune responses elicited in rainbow trout through the administration of
infectious pancreatic necrosis virus-like particles.
AB - Virus like particles (VLPs) against viral pathogens not only constitute a novel
approach for the development of antiviral vaccines for an specific virus, but
also for the creation of multivalent vaccines in which antigens from other
pathogens may be expressed on the surface of these VLPs. Despite positive results
on protection for many of these VLPs in both fish and mammals, not many studies
have focused on the immune response triggered by these particles; studies that
may provide hints for the identification of immune mechanisms responsible for
antiviral protection, which are mostly unknown in fish. In the current work, we
have studied the levels of transcription of several immune genes in the spleen of
rainbow trout (Oncorhynchus mykiss) intraperitoneally injected with VLPs from
infectious pancreatic necrosis virus (IPNV) focusing on the chemokine response as
well as the response of genes related to interferon (IFN) production.
Surprisingly, the capacity of VLPs to induce chemokines differed from that of
live IPNV, suggesting a direct effect of viral replication on the chemokine
response in this organ. While VLPs up-regulated the transcription of CK3, CK10
and CXCd and down-modulated CK5B, CK6 and CK9 transcription, a previous study in
which the transcription of gammaIP, CXCd, CK1, CK3, CK5B, CK6, CK7A, CK9 and CK12
had been studied demonstrated that IPNV only significantly up-regulated CK6 and
down-modulated CK3 in the spleen. On the other hand, the administration of VLPs
produced a strong mobilization to the peritoneum of CD4(+), IgM(+), IgT(+) and
CD83(+) leukocytes similar to that induced by the live viral infection. In both
cases, this leukocyte recruitment seemed to be greatly mediated through CK3,
CK5B, CK9 and CK10 chemokine production. These results together with the fact
that VLPs strongly induced non-specific lymphocyte proliferation and specific
anti-IPNV antibody production point to VLPs as excellent candidates for vaccine
development.
PMID- 21893092
TI - A novel pathogen-binding gC1qR homolog, FcgC1qR, in the Chinese white shrimp,
Fenneropenaeus chinensis.
AB - In vertebrates, the globular "head" of complement component C1q receptor (gC1qR)
is a versatile, multiligand binding protein. However, research on its function in
invertebrates is limited. In the present study, a full-length cDNA sequence of a
novel gC1qR homolog, FcgC1qR, from the Chinese white shrimp Fenneropenaeus
chinensis was cloned. Semi-quantitative polymerase chain reaction (PCR) detected
FcgC1qR in all examined tissues, with the highest level detected in the
intestine. Western blot assay further revealed that the FcgC1qR protein was
distributed in all tested tissues except the cell-free hemolymph of normal
Chinese white shrimp. In the expression pattern study, quantitative real-time PCR
demonstrated that the transcripts of FcgC1qR were up-regulated when challenged
with bacteria (Vibrio anguillarum or Staphylococcus aureus) and white spot
syndrome virus. Subsequently, FcgC1qR was over-expressed in Escherichia coli, and
the polyclonal antibody was prepared with the purified recombinant protein.
Microorganism binding was examined using Western blot assay, and revealed that
FcgC1qR could bind to Bacillus cereus, Bacillus thuringiensis, S. aureus, V.
anguillarum, Vibrioharveyi, and Candida albicans. FcgC1qR was also proven able to
bind to S. aureus in a concentration-dependent manner, and this binding activity
was partly inhibited by the polyclonal antibody. These results suggest that
FcgC1qR may be involved in defending against bacterial infections in the Chinese
white shrimp.
PMID- 21893093
TI - The pharmacological importance of agmatine in the brain.
AB - Agmatine is a polyamine that is produced via decarboxylation of l-arginine by the
enzyme arginine decarboxylase. It binds to various receptors and has been
accepted as a novel neurotransmitter in brain. In experimental studies, agmatine
exhibited anticonvulsant, antinociceptive, anxiolytic and antidepressant-like
actions. Furthermore, it has some beneficial effects on cerebral ischemia models
in animals. Agmatine interacts with the mechanisms of withdrawal syndromes for
several addictive agents. It also modulates some processes involved in learning
and memory. Thus, agmatine seems to be a valuable agent for the treatment of
behavioral and neurodegenerative disorders. However, the aberrant release and
transmission of agmatine in the central nervous system (CNS) may be associated
with mechanisms of several CNS disorders, such as psychosis. Interactions between
agmatine and other central neurotransmitter systems, such as the glutamatergic
and nitrergic systems, are also very important. In light of the current
literature on agmatine, we can anticipate that the central agmatinergic system
may be an important target in development of novel strategies and approaches for
understanding the etiopathogenesis of some important central disorders and their
pharmacological treatments. The main objective of this review is to investigate
and update the information on effects of agmatine in CNS and highlight its
pharmacological importance in central disorders.
PMID- 21893094
TI - Pharmacotherapy for traumatic brain injury: focus on sympathomimetics.
AB - Traumatic brain injury (TBI) is a devastating neurological injury with broad
manifestations. Unfortunately, its diagnosis and efficacious treatments remain
elusive. Different post injury symptoms are exhibited at different time frames,
indicative of a time-related progression of the pathology. Therefore, particular
treatments must be tailored to the post injury time frame. This overview is
focused on the secondary chronic phase following TBI and the value of
sympathomimetic therapy during this phase. The various direct- and indirect
acting drugs are reviewed, and the treatment protocol employed by the author is
described.
PMID- 21893095
TI - Microcontroller based fibre-optic visual presentation system for multisensory
neuroimaging.
AB - Presenting visual stimuli in physical 3D space during fMRI experiments carries
significant technical challenges. Certain types of multisensory visuotactile
experiments and visuomotor tasks require presentation of visual stimuli in
peripersonal space, which cannot be accommodated by ordinary projection screens
or binocular goggles. However, light points produced by a group of LEDs can be
transmitted through fibre-optic cables and positioned anywhere inside the MRI
scanner. Here we describe the design and implementation of a microcontroller
based programmable digital device for controlling fibre-optically transmitted LED
lights from a PC. The main feature of this device is the ability to independently
control the colour, brightness, and timing of each LED. Moreover, the device was
designed in a modular and extensible way, which enables easy adaptation for
various experimental paradigms. The device was tested and validated in three fMRI
experiments involving basic visual perception, a simple colour discrimination
task, and a blocked multisensory visuo-tactile task. The results revealed
significant lateralized activation in occipital cortex of all participants, a
reliable response in ventral occipital areas to colour stimuli elicited by the
device, and strong activations in multisensory brain regions in the multisensory
task. Overall, these findings confirm the suitability of this device for
presenting complex fibre-optic visual and cross-modal stimuli inside the scanner.
PMID- 21893096
TI - Effective inhibition of a Strongylocentrotus nudus eggs polysaccharide against
hepatocellular carcinoma is mediated via immunoregulation in vivo.
AB - This study was aimed at evaluating the inhibitory effect of a polysaccharide that
was isolated from Strongylocentrotus nudus eggs (SEP) against hepatocellular
carcinoma in H22-bearing mice and elucidating its immunological mechanisms by
determining its effects on the growth of transplanted tumors and immune response
in H22-bearing mice. ICR mice inoculated with mouse hepatoma carcinoma cell lines
H22 were treated with SEP at doses of 4, 8, 16 mg/kg/d for 12 days. The effects
of SEP were measured via the growth of the transplanted tumors, splenocyte
proliferation, T lymphocytes counts, CTL activity, the production of cytokines
from splenocytes and the levels of serum Ig in tumor-bearing mice. In addition,
the effects of SEP on Erk phosphorylation in mouse splenocytes and on the
transcriptional activity of NFAT in Jurkat T cells were also investigated. Our
results showed that SEP significantly inhibited the growth of transplanted tumors
in mice. SEP could not only remarkably enhance splenocyte proliferation, CD4(+)
and CD8(+) T cell numbers as well as CTL activity, but it also elevated IL-2 and
TNF-alpha secretion as well as IgA, IgM and IgG levels in the serum. Furthermore,
the activation of Erk phosphorylation and the NFAT promoter by SEP promoted the
transcription and expression of downstream gene IL-2. In conclusion, our study
demonstrates that SEP effectively inhibits hepatocellular carcinoma in vivo via
enhancement of host immune system function, and it could be a potential
therapeutic drug for hepatocarcinoma.
PMID- 21893097
TI - Blue native protein electrophoresis for studies of mouse polyomavirus
morphogenesis and interactions between the major capsid protein VP1 and cellular
proteins.
AB - Morphogenesis of the mouse polyomavirus virion is a complex and not yet well
understood process. Nuclear lysates of infected cells and cells transiently
producing the major capsid protein (VP1) of the mouse polyomavirus and whole-cell
lysates were separated by blue native polyacrylamide gel electrophoresis (BN
PAGE) to characterize the participation of cellular proteins in virion precursor
complexes. Several VP1-specific complexes were found by immunostaining with the
anti-VP1 antibody. Some of these complexes contained proteins from the heat shock
protein 70 family. The BN-PAGE was found to be a useful tool for the
identification of protein complexes by immunostaining of separated cell lysates.
However, whole-cell lysates and lysates of isolated nuclei of cells infected with
polyomavirus appeared to be too complex for BN-PAGE separation followed by mass
spectrometry. No distinct bands specific for cells infected with polyomavirus
were detected by Coomassie blue stained gels, hence this method is not suitable
for the discovery of new cellular proteins participating in virion assembly.
Nevertheless, BN-PAGE can be valuable for the analyses of different types of
complexes formed by proteins after their enrichment or isolation by affinity
chromatography.
PMID- 21893098
TI - A DNA-based West Nile virus replicon elicits humoral and cellular immune
responses in mice.
AB - While self-replicating, non-infectious subgenomic flavivirus replicons have been
described, most of them are RNA transcripts under the control of an Sp6 or T7
promoter. In this study, using West Nile virus (WNV) as a model, a series of DNA
based reporter replicons under the control of a minimal cytomegalovirus (CMV)
immediate-early promoter were constructed, and functional analysis showed that
these reporter replicons replicate efficiently in mammalian cells. When the DNA
based WNV replicon was used to immunize mice, NS1-specific IgG antibodies and
anti-WNV neutralizing antibodies were both induced. Additionally, immunization
with this DNA-based WNV replicon induced high levels of lymphocyte proliferation
and enhanced the secretion of IFN-gamma. These results suggest that this type of
DNA-based replicon can induce humoral and cellular immune responses in mice,
indicating that this type of DNA-based replicon may serve as a useful platform
for vaccine development and protein expression.
PMID- 21893099
TI - Enhanced expression of secretable influenza virus neuraminidase in suspension
mammalian cells by influenza virus nonstructural protein 1.
AB - Influenza neuraminidase (NA) is a major target for anti-influenza drugs. With an
increasing number of viruses resistant to the anti-NA drug oseltamivir,
functionally active recombinant NA is needed for screening novel anti-NA
compounds. In this study, the secretable NA (sNA) head domain of influenza
A/Vietnam/DT-036/05 (H5N1) virus was expressed successfully in human embryonic
kidney (HEK-293T) cells and shown to be enzymatically active. The inclusion of a
plasmid encoding nonstructural protein 1 (NS1) of influenza A/Puerto Rico/8/34
virus with the sNA plasmid in the cotransfection demonstrated an increase in H5N1
sNA expression by 7.4 fold. Subsequently, the sNA/NS1 cotransfection protocol in
serum-free 293-F suspension cell culture was optimized to develop a rapid
transient gene expression (TGE) system for expression of large amounts of H5N1
sNA. Under optimized conditions, NS1 enhanced H5N1 sNA expression by 4.2 fold.
The resulting H5N1 sNA displayed comparable molecular weight, glycosylation, K(m)
for MUNANA, and K(i) for oseltamivir carboxylate to those of H5N1 NA on the virus
surface. Taken together, the NS1-enhancing sNA expression strategy presented in
this study could be used for rapid high-level expression of enzymatically active
H5N1 sNA in suspension mammalian cells. This strategy may be applied for
expression of sNA of other strains of influenza virus as well as the other
recombinant proteins.
PMID- 21893100
TI - An attenuated EIAV vaccine strain induces significantly different immune
responses from its pathogenic parental strain although with similar in vivo
replication pattern.
AB - The EIAV (equine infectious anemia virus) multi-species attenuated vaccine
EIAV(DLV121) successfully prevented the spread of equine infectious anemia (EIA)
in China in the 1970s and provided an excellent model for the study of protective
immunity to lentiviruses. In this study, we compared immune responses induced by
EIAV(DLV121) to immunity elicited by the virulent EIAV(LN40) strain and
correlated immune responses to protection from infection. Horses were randomly
grouped and inoculated with either EIAV(DLV121) (Vaccinees, Vac) or a sublethal
dose of EIAV(LN40) (asymptomatic carriers, Car). Car horses became EIAV(LN40)
carriers without disease symptoms. Two of the four Vac horses were protected
against infection and the other two had delayed onset or reduced severity of EIA
with a lethal EIAV(LN40) challenge 5.5 months post initial inoculation. In
contrast, all three Car animals developed acute EIA and two succumbed to death.
Specific humoral and cellular immune responses in both Vac and Car groups were
evaluated for potential correlations with protection. These analyses revealed
that although plasma viral loads remained between 10(3) and 10(5)copies/ml for
both groups before EIAV(LN40) challenge, Vac-treated animals developed
significantly higher levels of conformational dependent, Env-specific antibody,
neutralizing antibody as well as significantly elevated CD4(+) T cell
proliferation and IFN-gamma-secreting CD8(+) T cells than those observed in
EIAV(LN40) asymptomatic carriers. Further analysis of protected and unprotected
cases in vaccinated horses identified that cellular response parameters and the
reciprocal anti-p26-specific antibody titers closely correlated with protection
against infection with the pathogenic EIAV(LN40). These data provide a better
understanding of protective immunity to lentiviruses.
PMID- 21893101
TI - Virostatic potential of micro-nano filopodia-like ZnO structures against herpes
simplex virus-1.
AB - Herpes simplex virus type-1 (HSV-1) entry into target cell is initiated by the
ionic interactions between positively charged viral envelop glycoproteins and a
negatively charged cell surface heparan sulfate (HS). This first step involves
the induction of HS-rich filopodia-like structures on the cell surface that
facilitate viral transport during cell entry. Targeting this initial first step
in HSV-1 pathogenesis, we generated different zinc oxide (ZnO) micro-nano
structures (MNSs) that were capped with multiple nanoscopic spikes mimicking cell
induced filopodia. These MNSs were predicted to target the virus to compete for
its binding to cellular HS through their partially negatively charged oxygen
vacancies on their nanoscopic spikes, to affect viral entry and subsequent
spread. Our results demonstrate that the partially negatively charged ZnO-MNSs
efficiently trap the virions via a novel virostatic mechanism rendering them
unable to enter into human corneal fibroblasts - a natural target cell for HSV-1
infection. The anti-HSV-1 activity of ZnO MNSs was drastically enhanced after
creating additional oxygen vacancies under UV-light illumination. Our results
provide a novel insight into the significance of ZnO MNSs as the potent HSV-1
inhibitor and rationalize their development as a novel topical agent for the
prevention of HSV-1 infection.
PMID- 21893102
TI - Developmentally degraded directional selectivity of the auditory cortex can be
restored by auditory discrimination training in adults.
AB - Sound localization is one of the most important tasks performed by the auditory
system. Studies have shown that intensive training can remediate deteriorated
frequency representations and temporal information processing in the adult
primary auditory cortex (A1) induced by early post-natal pulsed noise exposure.
Here we demonstrate that intensive sound location discrimination training
improved the dysfunctional sound azimuth selectivity degraded by early post-natal
pulsed noise exposure. Rats exposed to pulsed white noise during a post-natal
critical period were successfully trained to identify a target sound stimulus
with specific azimuth angle that changed daily on a random schedule. Consistent
with recovery of behavioral accuracy for sound-azimuth discriminations, we found
that the average angular range (AR) of A1 neuronal azimuth selective curves in
trained noise-raised rats was not significantly different from that measured in
control rats, while the average AR of A1 neurons in untrained noise-raised rats
was significantly higher, indicating that these neurons were less direction
selective. Directional selectivity of A1 neurons was normalized by training, thus
demonstrating the benefits of sensory discrimination training as a strategy for
restoring auditory function in adult mammals damaged by sensory disruption during
critical periods of cortical development.
PMID- 21893103
TI - The influence of neonatal serotonin depletion on emotional and exploratory
behaviours in rats.
AB - Recent studies have shown that neurodevelopmental disturbances in the structure
and function of the brain are significant factors in the onset of psychiatric
disorders. Such deficits may also affect neurotransmission. Among the different
neurotransmitter systems, serotonin (5-HT) plays an important role in the
organisation and maturation of brain structures during development. The aim of
the present study was to examine the influence of neonatal 5-HT depletion on
emotional and exploratory behaviours in adult rats. Three-day-old Wistar male
rats received intraventricular injections of the selective serotonin neurotoxin
5,7-dihydroxytryptamine (5,7-DHT). Littermates given saline injections acted as
controls. After three months, rat behaviour was analysed in an open field test, a
social interaction test and a novel object test. Moreover, contextual-conditioned
freezing and ultravocalisation fear responses were studied. The pain reactivity
was measured in a flinch-jump test. Biochemical analysis of 5,7-DHT-treated rats
revealed a significant decrease in the concentration of 5-HT and its metabolite
in the frontal cortex, hippocampus and striatum, with a decreased dopamine level
in striatum. Early serotonin depletion reduced locomotor activity in the open
field test and attenuated social interaction in non-aversive conditions and
exploration of a novel object in adult rats. Ultravocalisation, but not freezing,
was increased in the contextual fear-conditioning paradigm in 5-HT-depleted rats.
There was no difference in the pain threshold between groups. These data
demonstrate that neonatal 5-HT depletion resulted in subtle alterations in the
locomotor, exploratory and conditioned fear response of adult animals.
PMID- 21893104
TI - Adult neurogenesis. From circuits to models.
AB - Our understanding of the hippocampus as a memory-encoding device is greatly
helped by our knowledge of neuronal circuits and their plasticity. The
trisynaptic hippocampal circuit carrying afferent input from the entorhinal
cortex, controlled by a network of inhibitory interneurons and supplemented by
modulatory subcortical inputs forms a platform for multiple forms of synaptic
plastic mechanisms. Long-term potentiation of synaptic transmission in its
various forms is an outstanding example of hippocampal ability to adapt to past
neuronal activity. Adult neurogenesis is a profound plastic mechanism
incorporating structural and functional changes that were previously thought to
be present only in developing neural systems. These powerful forms of plasticity
can mask experimental results by compensating for experimentally induced changes
in the neurons or circuits. Circuit lesions have been one of the most common
techniques in scientific investigations of the hippocampus. Although the effects
of such lesions can be quite revealing and ground-breaking, in many cases the
results are masked by compensatory mechanisms producing misleading results. This
review will highlight such mechanisms and argue that the experimental results, in
spite of their shortcomings, can be better understood when viewed in light of our
knowledge of the neuronal circuitry, and with guidance by conceptual and
computational models. Studies demonstrating a role of neurogenesis in pattern
separation and memory interference are a good example of fruitful interaction
between modeling and experimental approaches.
PMID- 21893106
TI - Design and characterization of a fluorescent ghrelin analog for imaging the
growth hormone secretagogue receptor 1a.
AB - Ghrelin is a 28-amino acid peptide hormone produced in the stomach. It binds to
the growth hormone secretagogue receptor 1a (GHS-R1a), a class A G-protein
coupled receptor. In the present study, we describe the design, synthesis and
characterization of a truncated, 18-amino acid analog of ghrelin conjugated to a
fluorescent molecule, fluorocein isothiocyanate (FITC), through the addition of a
lysine at its C terminus ([Dpr(octanoyl)(3), Lys(fluorescein)(19)]ghrelin(1-19)).
Receptor binding affinity of this novel fluorescein-ghrelin(1-18) was similar to
that of wild-type ghrelin and a synthetic GHS-R1a ligand, hexarelin. Live cell
imaging in CHO/GHS-R1a cells demonstrated cell surface receptor labeling and
internalization, and agonist activity of fluorescein-ghrelin(1-18) was confirmed
by increased phosphorylation of ERK1/2. We also show that GHS-R1a protein is
expressed primarily in the heart when compared to all other organs, suggesting
high receptor density in the left ventricle. Finally, we demonstrate that
fluorescein-ghrelin(1-18) binds specifically to heart tissue in situ, and its
binding is displaced by both wt ghrelin and hexarelin. We have therefore
developed a novel imaging probe, fluorescein-ghrelin(1-18), that can be used to
image GHS-R1a in situ, for the purposes of investigating mechanisms of receptor
trafficking or pharmacological agents that target GHS-R1a.
PMID- 21893105
TI - TsAg5, a Taenia solium cysticercus protein with a marginal trypsin-like activity
in the diagnosis of human neurocysticercosis.
AB - Neurocysticercosis is an endemic parasitic disease caused by Taenia solium larva.
Although the mechanism of infection is not completely understood, it is likely
driven by proteolytic activity that degrades the intestinal wall to facilitate
oncosphere penetration and further infection. We analyzed the publicly available
T. solium EST/DNA library and identified two contigs comprising a full-length
cDNA fragment very similar to Echinococcus granulosus Ag5 protein. The T. solium
cDNA sequence included a proteolytic trypsin-like-domain in the C-terminal
region, and a thrombospondin type-1 adherence-domain in the N-terminal region.
Both the trypsin-like and adherence domains were expressed independently as
recombinant proteins in bacterial systems. TsAg5 showed marginal trypsin-like
activity and high sequence similarity to Ag5. The purified antigens were tested
in a Western immunoblot assay to diagnose human neurocysticercosis. The
sensitivity of the trypsin-like-domain was 96.36% in patients infected with
extraparenchymal cysts, 75.44% in patients infected with multiple cysts, and
39.62% in patients with a single cyst. Specificity was 76.70%. The thrombospondin
type-1 adherence-domain was not specific for neurocysticercosis.
PMID- 21893107
TI - Enumerating bacterial cells on bioadhesive coated slides.
AB - Quantifying bacterial abundance and biomass is fundamental to many
microbiological studies. Directly counting via epifluorescence microscopy has
become the method of choice, especially for environmental samples, and
conventional techniques require filtration of cells onto black polycarbonate
membrane filters. We investigated the utility of instead capturing stained
bacterial suspensions on bioadhesive slides, performing tests using pure cultures
of bacteria, mixtures of cultured bacteria, and environmental samples from five
habitat types. When compared to the standard filtration and flow cytometric
approaches, bioadhesive slides were found to be an accurate and precise platform
for rapid enumeration of bacteria. Total bacterial counts made using the three
methods were positively correlated for acridine orange and Live/Dead(r) (L/D)
staining (0.81<=r<=0.95, all p<=0.002). All platforms had similar precision,
though counts obtained using bioadhesive slides were significantly higher than
those made with polycarbonate filters and flow cytometry. The specific
bioadhesive slides we used resulted in substantial cell mortality for certain
pure cultures and river water samples, limiting their use for L/D determination.
Cell enumeration using bioadhesive slides is particularly effective because it is
highly precise at a wide range of cell concentrations, allows observation of
cells that are not readily discernible on filters, reduces the number of steps
and processing materials associated with sample analysis, and increases
throughput.
PMID- 21893108
TI - The observer observed: frontal EEG asymmetry and autonomic responses
differentiate between another person's direct and averted gaze when the face is
seen live.
AB - Recently, we showed that another person's gaze direction influenced the
perceiver's frontal EEG asymmetry and autonomic arousal in response to freely
viewed real faces, but not in response to face pictures. However, the lack of a
task during the viewing may have resulted in less attention allocation to face
pictures vs. live faces. In the present study, the participants performed two
online tasks while viewing the faces presented live through an electronic shutter
and as pictures on a computer screen. The results replicated those from our
previous experiment showing that direct gaze elicited greater relative left-sided
frontal EEG asymmetry and autonomic arousal than averted gaze but, again, only in
the live condition. However, the results also showed that two live stimulus faces
(male and female) elicited differential EEG asymmetry responses in our
participants (all females), and the effects of gaze direction were observed only
for the (live) female faces. The results suggest that the discriminative
responses to live faces vs. pictures are likely to reflect the participants'
enhanced mental-state attributions and self-awareness when looking at and being
looked by live faces. Thus, the motivation- and affect-related
psychophysiological responses to gaze direction are most discriminative in the
presence of another person, regardless of whether the face/gaze is actively
monitored or not.
PMID- 21893109
TI - An event-related potential study of semantic style-match judgments of artistic
furniture.
AB - This study investigates how semantic networks represent different artistic
furniture. Event-related potentials (ERPs) were recorded while participants made
style-match judgments for table and chair sets. All of the tables were in the
Normal style, whereas the chairs were in the Normal, Minimal, ReadyMade, or
Deconstruction styles. The Normal and Minimal chairs had the same rates of
"match" responses, which were both higher than the rates for the ReadyMade and
Deconstruction chairs. Compared with Normal chairs, the ERPs elicited by both
ReadyMade chairs and Deconstruction chairs exhibited reliable N400 effects, which
suggests that these two design styles were unlike the Normal design style.
However, Minimal chairs evoked ERPs that were similar to the ERPs of Normal
chairs. Furthermore, the N400 effects elicited by ReadyMade and Deconstruction
chairs showed different scalp distributions. These findings reveal that semantic
networks represent different design styles for items of the same category.
PMID- 21893110
TI - Ultra-high olfactory sensitivity for the human sperm-attractant aromatic aldehyde
bourgeonal in CD-1 mice.
AB - Recent studies have shown that certain aromatic aldehydes are ligands for
olfactory receptors expressed in mammalian sperm cells and induce sperm
chemotaxis. Using a conditioning paradigm, the olfactory sensitivity of five CD-1
mice for seven aromatic aldehydes was investigated. With all seven stimuli, the
mice discriminated concentrations as low as 0.01 ppm (parts per million) from the
solvent, and with bourgeonal the animals even detected concentrations as low as
0.1 ppq (parts per quadrillion) which constitutes the lowest olfactory detection
threshold value reported in this species so far. The presence of a tertiary butyl
group in para-position (relative to the functional aldehyde group) combined with
a lack of an additional alkyl group next to the functional aldehyde group may be
responsible for the extraordinary sensitivity of the mice for bourgeonal.
PMID- 21893111
TI - Effects of antipsychotic drugs on BDNF, GSK-3beta, and beta-catenin expression in
rats subjected to immobilization stress.
AB - Brain-derived neurotrophic factor (BDNF), glycogen synthase kinase-3beta (GSK
3beta), and beta-catenin have been reported to be altered in patients with
schizophrenia and have been targeted by antipsychotic drugs. Atypical
antipsychotics, but not typical antipsychotics, exert neuroprotective effects by
regulating these proteins. In this study, we analyzed the effects of the atypical
antipsychotic drugs olanzapine and aripiprazole and a typical antipsychotic drug,
haloperidol, on the expression of BDNF, phosphorylated GSK-3beta, and beta
catenin in the hippocampus of rats subjected to immobilization stress. Rats were
subjected to immobilization stress 6h/day for 3 weeks. The effects of olanzapine
(2 mg/kg), aripiprazole (1.5 mg/kg), and haloperidol (1.0 mg/kg) were determined
on BDNF, serine9-phosphorylated GSK-3beta, and beta-catenin expression by Western
blotting. Immobilization stress significantly decreased the expression of BDNF,
phosphorylated GSK-3beta, and beta-catenin in the hippocampus. Chronic
administration of olanzapine and aripiprazole significantly attenuated the
decreased expression of these proteins in the hippocampus of rats caused by
immobilization stress, and significantly increased the levels of these proteins
even without the immobilization stress. However, chronic haloperidol had no such
effect. These results suggest that olanzapine and aripiprazole may exert
beneficial effects by upregulating BDNF, phosphorylated GSK-3beta, and beta
catenin in patients with schizophrenia.
PMID- 21893112
TI - The relationship between callosal axons and cortical neurons in the planum
temporale: alterations in schizophrenia.
AB - The relationship between "connectivity" measures such as DTI and the cellular
alterations in the cortex that give rise to those connections remains unclear.
Cytoarchitectural changes in the planum temporale (PT) suggest impaired layer III
feedforward projection neurons in schizophrenia. Altered hemispheric asymmetry of
the PT has been reported in patients, along with altered white matter density in
the corpus callosum, and there is anomalous activation of the PT during auditory
hallucinations. We measured layer III cell density and pyramidal neuron size in
PT of both hemispheres of post-mortem brains from patients with schizophrenia
(n=16) and control subjects (n=16). We found reduced cell density and the loss of
a correlation between magnopyramidal neuron density and axon number in the
isthmus of the corpus callosum in schizophrenia. The normal asymmetry indicated
that magnopyramidal neurons tend towards being larger and denser in the left PT
but this asymmetry is significantly reduced in schizophrenia. The findings offer
cytoarchitectural insight into the relationship between PT cortex and callosal
white matter abnormalities in schizophrenia.
PMID- 21893113
TI - Enhancement of the skin-protective activities of Centella asiatica L. Urban by a
nano-encapsulation process.
AB - Aqueous extracts of Centella asiatica L. Urban were encapsulated by an edible
biopolymer, gelatin, which has no effect on their cosmetic activities. The
nanoparticles were w/o-type spherical liposomes that had an average diameter of
115.0nm. The encapsulation efficiency was estimated to be approximately 67%,
which was relatively high for these aqueous extracts. The nanoparticles showed
lower cytotoxicity (10%) in human skin fibroblast cells than the unencapsulated
crude extract (15%) at 1.0mg/ml, this was possibly because a smaller amount of
the extract was present in the nanoparticles. The nanoparticles efficiently
reduced the expression of matrix metalloproteinase (MMP)-1 in UV-irradiated cells
from 136.1% to 77.6% (UV-irradiated control) and inhibited hyaluronidase
expression (>60%) at a concentration of 0.5mg/ml, which was higher than the
levels produced by the unencapsulated crude extracts. The nanoparticles had a
very high flux through mouse skin and also remained at relatively large
concentrations in the derma when compared to the unencapsulated crude extracts.
These results clearly indicate that the skin-protective activities of C. asiatica
were significantly improved through the nano-encapsulation process. These
findings also imply that a crude extract can be used and have the same efficacy
as purified compounds, which should reduce the purification process and
production costs.
PMID- 21893114
TI - VP8* antigen produced in tobacco transplastomic plants confers protection against
bovine rotavirus infection in a suckling mouse model.
AB - Group A rotavirus is a major leading cause of diarrhea in mammalian species
worldwide. In Argentina, bovine rotavirus (BRV) is the main cause of neonatal
diarrhea in calves. VP4, one of the outermost capsid proteins, is involved in
various virus functions. Rotavirus infectivity requires proteolytic cleavage of
VP4, giving an N-terminal non-glycosilated sialic acid-recognizing domain (VP8*),
and a C-terminal fragment (VP5*) that remains associated with the virion. VP8*
subunit is the major determinant of the viral infectivity and one of the
neutralizing antigens. In this work, the C486 BRV VP8* protein was produced in
tobacco chloroplasts. Transplastomic plants were obtained and characterized by
Southern blot, northern blot and western blot. VP8* was highly stable in the
transplastomic leaves, and formed insoluble aggregates that were partially
solubilized by sonication. The recombinant protein yield was 600 MUg/g of fresh
tissue (FT). Both the soluble and insoluble fractions of the VP8* plant extracts
were able to induce a strong immune response in female mice as measured by ELISA
and virus neutralization test. Most important, suckling mice born to immunized
dams were protected against oral challenge with virulent rotavirus. Results
presented here contribute to demonstrate the feasibility of using antigens
expressed in transplastomic plants for the development of subunit vaccines.
PMID- 21893115
TI - Genomic analysis of grapevine leafroll associated virus-5 and related viruses.
AB - The grapevine leafroll-associated viruses (GLRaVs) (Closteroviridae) represent an
emerging threat to world grape production. One group of GLRaVs within the genus
Ampelovirus, the GLRaV-4-like viruses (GLRaV-4LVs), contains a fragmented
collection of seven viruses only two of which (GLRaV-Pr and GLRaCV) are fully
sequenced. Here in reporting the sequence of GLRaV-5, a member of GLRaV-4LVs, we
identify genomic elements common to the GLRaV-4LV group. Exclusive properties
include a highly conserved p5 gene product and phylogenies for complete genes
that, except for the p23 gene, are reliably monophyletic. In comparison with
other members of the genus Ampelovirus, GLRaV-4LVs form a tight cluster for all
genes analyzed. In addition, they all possess a conserved AlkB domain which is
most similar to the more distantly related GLRaV-3, suggesting recombination. In
silico RNA structural analyses revealed a conserved five stem-loop structure at
the 3' untranslated region that extends to all GLRaV-4LVs, and the ampeloviruses
Pineapple mealybug wilt-associated virus 1 and Pineapple mealybug wilt-associated
virus 3. A conserved G-U rich stem loop was also found upstream of the ORF1a stop
and 1b start codons. Taken together, this work allows for a more thorough
contextualization of GLRaV-5 and the GLRaV-4LVs as a group within the genus
Ampelovirus.
PMID- 21893116
TI - V2 protein encoded by Tomato yellow leaf curl China virus is an RNA silencing
suppressor.
AB - The V2 protein of Tomato yellow leaf curl China virus (TYLCCNV) was identified as
an RNA silencing suppressor by Agrobacterium-mediated co-infiltration. The V2
protein could inhibit local RNA silencing, systemic RNA silencing of the green
fluorescent protein (GFP) gene and the spread of a systemic GFP RNA silencing
signal. However, the V2 could not interfere with the cell-to-cell spread of RNA
silencing. Subcellular localization assay indicated that the V2 protein was
distributed in the cytoplasm of Nicotiana benthamiana cells, and accumulated in
irregular cytoplasmic bodies. The V2 bound 21nt and 24nt small interfering RNA
(siRNA) duplexes and 24nt single-stranded (ss)-siRNA but not 21nt ss-siRNA in
electrophoresis mobility shift assays. Expression of the V2 protein via the
Potato virus X (PVX) vectors heterogenous system induced severe symptoms in N.
benthamiana. In a yeast two-hybrid system, TYLCCNV V2 could interact with itself,
but not with SlSGS3, which is known to been involved in RNA silencing pathway and
to interact with a closely related Tomato yellow leaf curl virus (TYLCV) V2.
These results indicate that TYLCCNV V2 is an RNA silencing suppressor, possibly
through sequestering siRNA molecules.
PMID- 21893117
TI - Molecular epidemiology of the SH (small hydrophobic) gene of human respiratory
syncytial virus (HRSV), over 2 consecutive years.
AB - Human respiratory syncytial virus (HRSV) strains were isolated from
nasopharyngeal aspirates collected from 965 children between 2004 and 2005,
yielding 424 positive samples. We sequenced the small hydrophobic protein (SH)
gene of 117 strains and compared them with other viruses identified worldwide.
Phylogenetic analysis showed a low genetic variability among the isolates but
allowed us to classify the viruses into different genotypes for both groups,
HRSVA and HRSVB. It is also shown that the novel BA-like genotype was well
segregated from the others, indicating that the mutations are not limited to the
G gene.
PMID- 21893118
TI - Variants in the netrin-1 receptor UNC5C prevent apoptosis and increase risk of
familial colorectal cancer.
AB - BACKGROUND & AIMS: Expression of the netrin-1 dependence receptor UNC5C is
reduced in many colorectal tumors; mice with the UNC5C mutations have increased
progression of intestinal tumors. We investigated whether specific variants in
UNC5C increase risk of colorectal cancer (CRC). METHODS: We analyzed the sequence
of UNC5C in blood samples from 1801 patients with CRC and 4152 controls from 3
cohorts (France, United States, and Finland). Almost all cases from France and
the United States had familial CRC; of the Finnish cases, 92 of 984 were
familial. We analyzed whether CRC segregates with the UNC5C variant A628K in 3
families with histories of CRC. We also performed haplotype analysis to determine
the origin of this variant. RESULTS: Of 817 patients with familial CRC, 14 had 1
of 4 different, unreported missense variants in UNC5C. The variants p.Asp353Asn
(encodes D353N), p.Arg603Cys (encodes R603C), and p.Gln630Glu (encodes Q630E) did
not occur significantly more often in cases than controls. The variant
p.Ala628Lys (A628K) was detected in 3 families in the French cohort (odds ratio,
8.8; Wald's 95% confidence interval, 1.47-52.93; P = .03) and in 2 families in
the US cohort (odds ratio, 1.9; P = .6) but was not detected in the Finnish
cohort; UNC5C A628K segregated with CRC in families. Three families with A628K
had a 109-kilobase identical haplotype that spanned most of UNC5C, indicating
recent origin of this variant in white subjects (14 generations; 95% confidence
interval, 6-36 generations). Transfection of HEK293T cells with UNC5C-A628K
significantly reduced apoptosis compared with wild-type UNC5C, measured in an
assay of active caspase-3. CONCLUSIONS: Inherited mutations in UNC5C prevent
apoptosis and increase risk of CRC.
PMID- 21893119
TI - Interleukin-13 damages intestinal mucosa via TWEAK and Fn14 in mice-a pathway
associated with ulcerative colitis.
AB - BACKGROUND & AIMS: TWEAK, a member of the tumor necrosis factor (TNF)
superfamily, promotes intestinal epithelial cell injury and signals through the
receptor Fn14 following irradiation-induced tissue damage and during development
of colitis in mice. Interleukin (IL)-13, an effector of tissue damage in similar
models, has been associated with the pathogenesis of ulcerative colitis (UC). We
investigated interactions between TWEAK and IL-13 following mucosal damage in
mice. METHODS: We compared patterns of gene expression in intestinal tissues from
wild-type and TWEAK knockout mice following gamma-irradiation. Intestinal
explants from these mice were used to detect cell damage induced by IL-13 and TNF
alpha. Levels of messenger RNA for IL-13, TWEAK, and Fn14 were measured in
mucosal samples from patients with UC. RESULTS: Based on gene expression
analysis, TWEAK mediates gamma-irradiation-induced epithelial cell cycle arrest
and apoptosis. However, TWEAK alone did not induce damage or apoptosis of primary
intestinal epithelial cells. On the other hand, exogenous IL-13 activated caspase
3 in naive intestinal explants; this process required TWEAK, Fn14, and secretion
of endogenous TNF-alpha which was mediated by ADAM17. Conversely, activation of
caspase by exogenous TNF-alpha required IL-13, TWEAK, and Fn14. In mucosa from
patients with UC, messenger RNA levels of IL-13, TWEAK, and Fn14 increased with
level of disease severity. CONCLUSIONS: IL-13-induced damage of intestinal
epithelial cells requires TWEAK, its receptor (Fn14), and TNF-alpha. IL-13, TNF
alpha, TWEAK, and Fn14 could perpetuate and aggravate intestinal inflammation in
patients with UC.
PMID- 21893121
TI - Non-viral gene therapy for neurological diseases, with an emphasis on targeted
gene delivery.
AB - Non-viral gene therapy systems are considered safer than viral delivery. This
article reviews recent research describing novel, non-viral gene delivery to the
central nervous system, with a special emphasis on receptor mediated gene
delivery using antibodies (termed immunogenes) to specific receptors. By using
targeting agents such as antibodies that can be retrogradely transported within
neurons, non-viral gene therapies can deliver genes to specific neurons protected
by the blood brain barrier. Components of effective non-viral gene therapy are
described including DNA/RNA carriers, receptor-mediated endocytosis, endosomal
escape and nuclear entry. In addition, stealth agents such as polyethylene glycol
that can be used to improve in-vivo delivery are discussed. The value of
immunogenes as therapeutic agents for fatal diseases such as Amyotrophic Lateral
Sclerosis is significant but further in-vivo work to confirm efficacy is required
before truly effective therapies can be achieved.
PMID- 21893120
TI - Trypsin reduces pancreatic ductal bicarbonate secretion by inhibiting CFTR Cl-
channels and luminal anion exchangers.
AB - BACKGROUND & AIMS: The effects of trypsin on pancreatic ductal epithelial cells
(PDECs) vary among species and depend on the localization of proteinase-activated
receptor 2 (PAR-2). We compared PAR-2 localization in human and guinea-pig PDECs,
and used isolated guinea pig ducts to study the effects of trypsin and a PAR-2
agonist on bicarbonate secretion. METHODS: PAR-2 localization was analyzed by
immunohistochemistry in guinea pig and human pancreatic tissue samples (from 15
patients with chronic pancreatitis and 15 without pancreatic disease).
Functionally, guinea pig PDECs were studied by microperfusion of isolated ducts,
measurements of intracellular pH and intracellular Ca(2+) concentration, and
patch clamp analysis. The effect of pH on trypsinogen autoactivation was assessed
using recombinant human cationic trypsinogen. RESULTS: PAR-2 localized to the
apical membrane of human and guinea pig PDECs. Trypsin increased intracellular
Ca(2+) concentration and intracellular pH and inhibited secretion of bicarbonate
by the luminal anion exchanger and the cystic fibrosis transmembrane conductance
regulator (CFTR) Cl(-) channel. Autoactivation of human cationic trypsinogen
accelerated when the pH was reduced from 8.5 to 6.0. PAR-2 expression was
strongly down-regulated, at transcriptional and protein levels, in the ducts of
patients with chronic pancreatitis, consistent with increased activity of
intraductal trypsin. Importantly, in PAR-2 knockout mice, the effects of trypsin
were markedly reduced. CONCLUSIONS: Trypsin reduces pancreatic ductal bicarbonate
secretion via PAR-2-dependent inhibition of the apical anion exchanger and the
CFTR Cl(-) channel. This could contribute to the development of chronic
pancreatitis by decreasing luminal pH and promoting premature activation of
trypsinogen in the pancreatic ducts.
PMID- 21893122
TI - Genetically-manipulated adult stem cells as therapeutic agents and gene delivery
vehicle for wound repair and regeneration.
AB - Wound therapy remains a clinical challenge and much effort has been focused on
the development of novel therapeutic approaches for wound management. New
knowledge about the way in which signals control wound cellular and molecular
behavior has promoted the topical application of multipotent stem cells and
bioactive molecules to injured tissue, for skin regeneration with less scar
formation. However, limited clinical success indicates that the effective
delivery of polypeptides and therapeutic cells, with controlled releasing
profile, is a major challenge which is yet to be overcome. Recently, a technique
in which the genetically-manipulated stem cells were used both as the therapeutic
agents and the vehicle for gene delivery for wound treatment - a method which
serves to provide regenerative cells and bioactive genes within an optimal
environment of regulatory molecular expression for wound sites - has emerged as a
promising strategy for wound regenerative therapy. In this article, the roles of
adult stem cells - as the therapeutics and the vehicles in these advanced
biomimetic drug delivery systems for wound regeneration medicine - are
scrutinized to indicate their mechanisms, characteristics, broad applicability
and future lines of investigation.
PMID- 21893123
TI - Laryngeal mask airway for babies: uncharted waters.
PMID- 21893125
TI - Comparison of intraosseous versus central venous vascular access in adults under
resuscitation in the emergency department with inaccessible peripheral veins.
AB - INTRODUCTION: Current European Resuscitation Council (ERC) guidelines recommend
intraosseous (IO) vascular access, if intravenous (IV) access is not readily
available. Because central venous catheterisation (CVC) is an established
alternative for in-hospital resuscitation, we compared IO access versus landmark
based CVC in adults with difficult peripheral veins. METHODS: In this prospective
observational study we investigated success rates on first attempt and procedure
times of IO access versus central venous catheterisation (CVC) in adults (>= 18
years of age) with inaccessible peripheral veins under trauma or medical
resuscitation in a level I trauma centre emergency department. RESULTS: Forty
consecutive adults under resuscitation were analysed, each receiving IO access
and CVC simultaneously. Success rates on first attempt were significantly higher
for IO cannulation than CVC (85% versus 60%, p=0.024) and procedure times were
significantly lower for IO access compared to CVC (2.0 versus 8.0 min, p<0.001).
As for complications, failure of IO access was observed in 6 patients, while 2 or
more attempts of CVC were necessary in 16 patients. No other relevant
complications like infection, bleeding or pneumothorax were observed.
CONCLUSIONS: IO vascular access is a reliable bridging method to gain vascular
access for in-hospital adult patients under resuscitation with difficult
peripheral veins. Moreover, IO access is more efficacious with a higher success
rate on first attempt and a lower procedure time compared to landmark-based CVC.
PMID- 21893126
TI - Hepatocellular carcinoma with intracardiac extension.
PMID- 21893127
TI - Prevalence and mechanisms of malnutrition in patients with advanced liver
disease, and nutrition management strategies.
AB - Malnutrition is prevalent among cirrhotic patients and is an important prognostic
factor. Etiologic factors include hypermetabolism, malabsorption, altered
nutrient metabolism, and anorexia. It is a challenge to manage nutrition in
cirrhotic patients because of alterations to metabolic and storage functions of
the liver; use of traditional assessment tools, such as anthropometric and
biometric measures, is difficult because of complications such as ascites and
inflammation. In addition to meeting macro- and micronutrient requirements, the
composition and timing of supplements have been proposed to affect efficacy of
nutrition support. Studies have indicated that branched chain aromatic acid can
be given as therapeutic nutrients, and that probiotics and nocturnal feeding
improve patient outcomes.
PMID- 21893128
TI - An assessment of the severity of interstitial pancreatitis.
AB - BACKGROUND & AIMS: There is limited information on the incidence of and factors
associated with severe disease among patients with interstitial pancreatitis
(IP). We evaluated a large cohort of patients with IP and compared data with
those from patients with extrapancreatic necrosis (EXPN). METHODS: We evaluated
149 consecutive patients with IP admitted over a 2.5-year period. Transferred
patients were excluded. We collected data on age, Charlson comorbidity score
(CCI), measures of severity on admission or within 24 hours (Acute Physiology and
Chronic Health Evaluation II, bedside index for severity of acute pancreatitis
scores), persistent (>48 h) systemic inflammatory response syndrome, persistent
organ failure, need for intensive care unit, length of hospital stay (in days),
and mortality. We also analyzed levels of severity among those with IP and EXPN.
Statistical analysis was performed using SAS version 9.1 (Cary, NC). RESULTS:
Among the patients with IP, the median CCI score was 1, the median Acute
Physiology and Chronic Health Evaluation II score was 7, and the median bedside
index for severity of acute pancreatitis score was 1. In addition, the median
length of hospital stay was only 4 days; only 1% had persistent organ failure and
only 1% to 2% required intervention. The mortality rate of IP was 3%; it was
associated significantly with comorbidity (the median CCI scores of nonsurvivors
and survivors was 4 and 1, respectively, P = .003). Patients with EXPN had
greater levels of disease severity, compared with patients with IP. CONCLUSIONS:
IP is severe in only 1% to 3% of patients; mortality of IP is associated strongly
with comorbidity. EXPN is more frequently severe than IP; EXPN must be
distinguished from IP in clinical studies.
PMID- 21893129
TI - Muscle wasting is associated with mortality in patients with cirrhosis.
AB - BACKGROUND & AIMS: Sarcopenia, defined as a low level of muscle mass, occurs in
patients with cirrhosis. We assessed its incidence among cirrhotic patients
undergoing evaluation for liver transplantation to investigate associations
between sarcopenia and mortality and prognosis. METHODS: We studied 112 patients
with cirrhosis (78 men; mean age, 54 +/- 1 years) who were consecutively
evaluated for liver transplantation and had a computed tomography scan at the
level of the third lumbar (L3) vertebrae to determine the L3 skeletal muscle
index; sarcopenia was defined by using previously published, sex-specific
cutoffs. RESULTS: Of the patients studied, 45 (40%) had sarcopenia. Univariate
Cox analysis associated mortality with ascites (hazard ratio [HR], 2.12; P =
.04), encephalopathy (HR, 1.99; P = .04), level of bilirubin (HR, 1.007; P <
.01), international normalized ratio (HR, 7.69; P < .001), level of creatinine
(HR, 1.01; P = .005), level of albumin (HR, 94; P = .008), serum level of sodium
(HR, 89; P < .001), Model for End-Stage Liver Disease (MELD) score (HR, 1.14; P <
.01), Child-Pugh score (HR, 2.84; P < .001), and sarcopenia (HR, 2.18; P = .006).
By multivariate Cox analysis, only Child-Pugh (HR, 1.85; P = .04) and MELD scores
(HR, 1.08; P = .001) and sarcopenia (HR, 2.21; P = .008) were independently
associated with mortality. The median survival time for patients with sarcopenia
was 19 +/- 6 months, compared with 34 +/- 11 months among nonsarcopenia patients
(P = .005). There was a low level of correlation between L3 skeletal muscle index
and MELD (r = -0.07; P = .5) and Child-Pugh scores (r = -0.14; P = .1).
CONCLUSIONS: Sarcopenia is associated with mortality in patients with cirrhosis.
It does not correlate with the degree of liver dysfunction evaluated by using
conventional scoring systems. Scoring systems should include evaluation of
sarcopenia to better assess mortality among patients with cirrhosis.
PMID- 21893130
TI - Variceal hemorrhage of ascending colon.
PMID- 21893131
TI - Decreasing levels of HBsAg predict HBsAg seroclearance in patients with inactive
chronic hepatitis B virus infection.
AB - BACKGROUND & AIMS: Serum levels of hepatitis B surface antigen (HBsAg) decrease
gradually during chronic hepatitis B virus infection. We investigated the
association between levels of HBsAg and HBsAg seroclearance. METHODS: We studied
data from 46 patients who underwent spontaneous seroclearance of HBsAg (median
age at seroclearance, 48 y; 87% male; 76% infected with genotype B). There were
46 controls matched for age, sex, and hepatitis B virus genotype, and e antigen
status with persistently normal levels of alanine aminotransferase and
seropositive for HBsAg. Levels of HBsAg were assessed in serum specimens
collected 5 years 3 years, and 1 year before HBsAg seroclearance (or before the
last examination, for controls). RESULTS: The decrease in HBsAg level was
significant and accelerated within the 3 years before HBsAg seroclearance; there
was no significant decrease in serum level of HBsAg among controls (P < .0001).
The positive predictive value (PPV) for HBsAg seroclearance within 1 year was 36%
among patients with HBsAg levels of 200 IU/mL, increasing to 44%, 54%, and 67%
among patients with HBsAg levels of 100 IU/mL, 50 IU/mL, or 10 IU/mL,
respectively; the negative predictive value (NPV) for these levels was 96% or
greater. The combination of HBsAg level less than 200 IU/mL and a decrease of 1
or more log(10) IU/mL in a preceding 2-year period had PPVs of 97% and 100% for
HBsAg seroclearance at 1 and 3 years, respectively; the NPVs were 100% and 92%,
respectively. CONCLUSIONS: The decrease in the level of HBsAg accelerates during
the 3 years before HBsAg seroclearance. Levels of HBsAg of 200 IU/mL or less have
high NPVs for HBsAg seroclearance; PPVs increase to 97% to 100% when combined
with a 1 log IU/mL or more decrease in level of HBsAg over a 2-year period.
PMID- 21893132
TI - The vermiform appendix and recurrent Clostridium difficile infection: a curious
connection.
PMID- 21893133
TI - A pancreatic mass and bilateral pitting pedal edema: nothing is ever what it
seems.
PMID- 21893134
TI - Inflammatory bowel disease is associated with poor outcomes of patients with
primary sclerosing cholangitis.
AB - BACKGROUND & AIMS: Little is known about the exact etiology of primary sclerosing
cholangitis (PSC); epidemiologic data are scarce. We performed a population-based
epidemiologic study of PSC in Canterbury, New Zealand. METHODS: By using multiple
case-finding methods, we searched public and private adult and pediatric
outpatient clinics, hospital discharge summaries, and radiology and pathology
reports to identify all cases of PSC in the region. Cases were included if PSC
was identified by endoscopic retrograde cholangiography, magnetic resonance
cholangiography, or liver biopsy analysis (n = 79). RESULTS: The incidence of PSC
in 2008 was 1.6 per 100,000 persons (95% confidence interval [CI], 0.5-2.7). The
point prevalence on December 31, 2008, was 11.7 per 100,000 persons (95% CI, 8.7
14.8). The mean and median ages at diagnosis were 50 years (95% CI, 46-53 years)
and 49 years (range, 17-80 years), respectively. Patients who had inflammatory
bowel disease (IBD) presented with PSC earlier than those without IBD (P = .003),
were more likely to develop serious malignant complications (P = .017), and were
more likely to require liver transplantation or die (P = .03). CONCLUSIONS: In a
population-based epidemiology study of PSC in Canterbury, New Zealand, we
observed large differences between PSC patients with or without concurrent IBD in
age at diagnosis, development of cancer, mortality, and requirement for liver
transplantation. IBD therefore affects outcomes of patients with PSC, an
important observation that requires further study.
PMID- 21893135
TI - Magnetically enhanced nucleic acid delivery. Ten years of magnetofection-progress
and prospects.
AB - Nucleic acids carry the building plans of living systems. As such, they can be
exploited to make cells produce a desired protein, or to shut down the expression
of endogenous genes or even to repair defective genes. Hence, nucleic acids are
unique substances for research and therapy. To exploit their potential, they need
to be delivered into cells which can be a challenging task in many respects.
During the last decade, nanomagnetic methods for delivering and targeting nucleic
acids have been developed, methods which are often referred to as magnetofection.
In this review we summarize the progress and achievements in this field of
research. We discuss magnetic formulations of vectors for nucleic acid delivery
and their characterization, mechanisms of magnetofection, and the application of
magnetofection in viral and nonviral nucleic acid delivery in cell culture and in
animal models. We summarize results that have been obtained with using
magnetofection in basic research and in preclinical animal models. Finally, we
describe some of our recent work and end with some conclusions and perspectives.
PMID- 21893136
TI - Identifying risk of cardiac events in short QT syndrome.
PMID- 21893137
TI - Development and validation of a risk score to predict early mortality in
recipients of implantable cardioverter-defibrillators.
AB - BACKGROUND: Current guidelines do not recommend implantable cardioverter
defibrillator (ICD) implantation in patients with a life expectancy of <1 year.
Better methods are needed for identifying patients at high risk for early
mortality despite ICD therapy. OBJECTIVE: To develop and validate a risk
prediction score to identify patients at high risk for death within 1 year
despite ICD therapy. DESIGN: Detailed clinical data were collected on a large
observational cohort of ICD patients from 3 tertiary care centers. One-third of
the patients were randomly selected to form the prediction group (PG) from which
a risk score was developed using logistic regression. This score was then applied
to the remaining two-thirds of the cohort (validation group [VG]) to assess the
risk score's predictive accuracy. RESULTS: The total cohort included 2717 ICD
patients (mean age = 64.6 +/- 14.5, male = 77.2%, primary prevention = 74.7%). A
simple risk score incorporating peripheral arterial disease, age >= 70 years,
creatinine >= 2.0 mg/dL, and ejection fraction <=20% (PACE) accurately predicted
1-year mortality in the VG. Patients with a risk score of >=3 had a >4-fold
excess 1-year mortality compared with patients with a risk score of <3 (16.5% vs
3.5%; P <.0001). LIMITATION: Risk reduction provided by ICD therapy in this
cohort is not known given the lack of a control group. CONCLUSIONS: A simple risk
score accurately predicts 1-year mortality in ICD patients, as patients with a
PACE risk score of >=3 are at high risk despite ICD therapy.
PMID- 21893138
TI - Cardiac biexcitability: two ways to catch a wave.
PMID- 21893139
TI - The receptor guanylate cyclase Gyc76C and a peptide ligand, NPLP1-VQQ, modulate
the innate immune IMD pathway in response to salt stress.
AB - Receptorguanylate cyclases (rGCs) modulate diverse physiological processes
including mammalian cardiovascular function and insect eclosion. The Drosophila
genome encodes several receptor and receptor-like GCs, but no ligand for any
Drosophila rGC has yet been identified. By screening peptide libraries in
Drosophila S2 cells, the Drosophila peptide NPLP1-VQQ (NLGALKSSPVHGVQQ) was shown
to be a ligand for the rGC, Gyc76C (CG42636, previously CG8742, l(3)76BDl, DrGC
1). In the adult fly, expression of Gyc76C is highest in immune and stress
sensing epithelial tissues, including Malpighian tubules and midgut; and NPLP1
VQQ stimulates fluid transport and increases cGMP content in tubules. cGMP
signaling is known to modulate the activity of the IMD innate immune pathway in
tubules via activation and nuclear translocation of the NF-kB orthologue, Relish,
resulting in increased anti-microbial peptide (AMP) gene expression; and so NPLP1
VQQ might act in immune/stress responses. Indeed, NPLP1-VQQ induces nuclear
translocation of Relish in intact tubules and increases expression of the anti
microbial peptide gene, diptericin. Targeted Gyc76C RNAi to tubule principal
cells inhibited both NPLP1-VQQ-induced Relish translocation and diptericin
expression. Relish translocation and increased AMP gene expression also occurs in
tubules in response to dietary salt stress. Gyc76C also modulates organismal
survival to salt stress - ablation of Gyc76C expression in only tubule principal
cells prevents Relish translocation, reduces diptericin expression, and reduces
organismal survival in response to salt stress. Thus, the principal-cell
localized NPLP1-VQQ/Gyc76C cGMP pathway acts to signal environmental (salt)
stress to the whole organism.
PMID- 21893140
TI - Ghrelin acylation and metabolic control.
AB - Since its discovery, many physiologic functions have been ascribed to ghrelin, a
gut derived hormone. The presence of a median fatty acid side chain on the
ghrelin peptide is required for the binding and activation of the classical
ghrelin receptor, the growth hormone secretagogue receptor (GHSR)-1a. Ghrelin O
acyl transferase (GOAT) was recently discovered as the enzyme responsible for
this acylation process. GOAT is expressed in all tissues that have been found to
express ghrelin and has demonstrated actions on several complex endocrine organ
systems such as the hypothalamus-pituitary-gonadal, insular and adrenal axis as
well as the gastrointestinal (GI) tract, bone and gustatory system. Ghrelin
acylation is dependent on the function of GOAT and the availability of substrates
such as proghrelin and short- to medium-chain fatty acids (MCFAs). This process
is governed by GOAT activity and has been shown to be modified by dietary lipids.
In this review, we provided evidence that support an important role of GOAT in
the regulation of energy homeostasis and glucose metabolism by modulating acyl
ghrelin (AG) production. The relevance of GOAT and AG during periods of
starvation remains to be defined. In addition, we summarized the recent
literature on the metabolic effects of GOAT specific inhibitors and shared our
view on the potential of targeting GOAT for the treatment of metabolic disorders
such as obesity and type 2 diabetes.
PMID- 21893141
TI - Polyelectrolyte coating of iron oxide nanoparticles for MRI-based cell tracking.
AB - Iron oxide-based magnetic nanoparticles (MNPs) offer unique properties for cell
tracking by magnetic resonance imaging (MRI) in cellular immunotherapy. In this
study, we investigated the uptake of chemically engineered NPs into antigen
presenting dendritic cells (DCs). DCs are expected to perceive MNPs as foreign
antigens, thus exhibiting the capability to immunologically sense MNP surface
chemistry. To systematically evaluate cellular uptake and T2/T2(*) MR imaging
properties of MNPs, we synthesized polymer-based MNPs by employing layer-by-layer
(LbL) technology. Thereby, we achieved modification of particle shell parameters,
such as size, surface charge, and chemistry. We found that subcellular packaging
of MNPs rather than MNP content in DCs influences MR imaging quality. Increased
local intracellular electron density as inferred from transmission electron
microscopy (TEM) strongly correlated with enhanced contrast in MRI. Thus, LbL
tailoring of MNP shells using polyelectrolytes that impact on uptake and
subcellular localization can be used for modulating MR imaging properties. FROM
THE CLINICAL EDITOR: In this study, layer-by-layer tailoring of magnetic NP
shells was performed using polyelectrolytes to improve uptake by dendritic cells
for cell-specific MR imaging. The authors conclude that polyelectrolyte modified
NP-s can be used for modulating improving MR imaging quality by increasing
subcellular localization.
PMID- 21893143
TI - Do the initial serum level changes of sCD26 have ability to predict successful
treatment with IFN-alpha among naive chronic hepatitis B patients?
AB - INTRODUCTION: Hepatitis B is a major health problem with serious consequences. In
hepatitis B treatment host cellular immune responses have a determinant role and
T helper cells are the main active members of immune system against virological
infection. The aims of this study are to investigate response rate of patients to
INF-alpha therapy and evaluation of sCD26 and sCD30 roles as presenters of T
cells activities in predicting the outcome of therapy in chronic hepatitis B
patients. METHODS AND MATERIALS: Fifty three chronic hepatitis B patients
received IFN-alpha 9 MU S.C three times weekly for 24 weeks, and were followed up
for 24 weeks. Serum levels of sCD26 and sCD30, before, 1 and 3 months after
treatment commencement were evaluated in 53 chronic hepatitis B patients and 30
healthy individuals as control group. RESULTS: Normal level of ALT was seen in
64.1% (34/53) of patients and undetectable DNA was observed in 39.6% (21 out of
53) of them. Finally, 33.9% (18/53) of patients obtained sustain virological
response. CD26 levels changes was correlated with response to treatment and
significantly (p<0.001) increased during first 3 months of treatment among
patients with successful response to therapy. CONCLUSION: Interferon is an
effective and safe treatment for chronic hepatitis B patients and sCD26 serum
level changes might be useful in predicting the outcome of therapy in naive
chronic hepatitis B patients undergoing treatment with IFN-alpha, as it can help
clinicians for withdrawing non-responder patients for prevention of adverse
events and economical burden.
PMID- 21893142
TI - Adjuvant effect of bacterial outer membrane vesicles with penta-acylated
lipopolysaccharide on antigen-specific T cell priming.
AB - Outer membrane vesicles (OMV) are nano-sized spherical blebs shed by Gram
negative bacteria and have been utilized in vaccine development. In the present
study, we evaluated T cell adjuvant activity of OMV with strictly penta-acylated
LPS produced by DeltamsbB/DeltapagP mutant of non-pathogenic Escherichia coli
W3110 (mOMV) compared to OMV with hexa-acylated LPS produced by wild-type E. coli
W3110 (wOMV). Penta-acylation of LPS renders mOMV less endotoxic than wOMV in in
vitro and in vivo toxicity assays. In mice, mOMV has adjuvant activity on T cell
priming not only in KLH protein immunization but also in SIINFEKL peptide
immunization. The T-cell adjuvant activity of mOMV was comparable to that of wOMV
and LPS and was abrogated in TLR4 K/O mice. In innate immunity, mOMV stimulated
BMDCs to up-regulate co-stimulatory and antigen-presenting molecules and to
produce pro-inflammatory cytokines in a TLR4-dependent manner. Of note, mOMV
induced cytokine production at a significantly less extent compared with wOMV.
Taken together, we propose that mOMV with penta-acylated LPS is a safe vaccine
adjuvant for T cell priming and can be used in vaccine development against viral
diseases and cancer.
PMID- 21893144
TI - The sensitivity of BCG scar as an indicator of previous vaccination among
Sudanese infants.
AB - BACKGROUND: Tuberculosis remains a major cause of morbidity and mortality
worldwide. Bacille Calmette Guerin (BCG) is the current vaccine for tuberculosis.
The absence or presence of a scar is used as an indicator of previous
vaccination. OBJECTIVES: To determine the sensitivity of the BCG scar as an
indicator of previous vaccination. METHODS: We conducted a descriptive hospital
based study of 100 infants attending two centres for routine vaccination and
weight checks. Data analysis was done using statistical package for social
sciences. RESULTS: We surveyed 100 infants, the BCG scar was present in 83%, the
average size of the BCG scar was found to be 3mm. CONCLUSION: The BCG scar is a
sensitive indicator of previous vaccination after the administration of the
vaccine in the first month of life.
PMID- 21893145
TI - MMR vaccine effectiveness in an outbreak that involved day-care and primary
schools.
AB - OBJECTIVE: In 2006, a large measles outbreak occurred in Catalonia (Spain), where
the immunization schedule included two doses of MMR vaccine at 15 months and 4
years. The aim of this study was to investigate the vaccine effectiveness (VE) of
MMR in children attending day-care and pre-school centres and to estimate the
number of cases that would have been avoided by administering the first dose of
MMR at 12 months. METHODS: A retrospective cohort study was carried out between
October 2006 and January 2007 in day-care and pre-school centres with confirmed
measles cases. VE was calculated in children aged >=15 months without previous
measles infection. Cases avoided by advancing the first dose of MMR to 12 months
were estimated by calculating the basic and effective reproduction number in
centres where transmission outside the class was observed. RESULTS: Fifteen
centres and 1394 children were included. There were 77 confirmed cases (attack
rate=5.5%). Vaccination coverage of the 1121 children aged >=15 months was 91.6%
and VE was 96% (95%CI 89-98%). There were 33 (41%) cases in the 81 children aged
12-14 months. Advancing the first dose to 12 months would have prevented 74 cases
(91.5%) and lowered the attack rate from 41% to 8.6%. CONCLUSIONS: Over 90% of
cases in children aged 12-14 months would have been avoided by MMR administration
at 12 rather than 15 months. We strongly recommend advancing the first dose of
MMR to 12 months in order to reduce the risk of measles outbreaks.
PMID- 21893146
TI - Diminished immunogenicity to pandemic H1N1 2009 influenza vaccine in subjects
with severe motor and intellectual disability.
AB - Subjects with severe motor and intellectual disability (SMID) are considered to
be debilitated and at high risk of influenza infection. However, the safety and
immunogenicity of pandemic H1N1 (pH1N1) vaccine in these subjects have not been
reported. We measured the hemagglutination inhibition antibody titer and
calculated the geometric mean titer ratio (GMTR), seroprotection rate, and
seroconversion rate in 104 subjects with SMID (mean age+/-standard deviation
40.1+/-12.9 years), and in 179 healthcare workers (40.7+/-10.4 years) in a long
term care facility. Antibody responses after the first dose of pH1N1 vaccine
among workers were greater than the European Medicines Evaluation Agency criteria
and US Food and Drug Administration (FDA) criteria: the seroprotection rate was
79.9% (95% confidence interval (CI) 73.3-85.5), the seroconversion rate was 77.9%
(95%CI: 70.8-84.0), and GMTR was 7.3 (95%CI: 6.9-7.8). Responses among subjects
with SMID were lower than the FDA criteria: the seroprotection rate was 56.3%
(95%CI: 46.2-66.1), the seroconversion rate was 54.1% (95%CI: 43.7-64.2), and
GMTR was 5.4 (95%CI: 4.9-5.9). Any additional antibody response induced by the
second dose of vaccine among subjects with SMID was limited. Multivariate
analysis indicated that subjects with SMID had a significantly lower
seroprotection rate (odds ratio (OR) 0.37, 95%CI: 0.20-0.66) and seroconversion
rate (OR 0.34, 95%CI: 0.20-0.59) than healthcare workers. No serious adverse
reaction was reported in either group. These results indicate that a single dose
of pH1N1 vaccine does not induce sufficient immunity among subjects with SMID,
and a second dose is likely to be ineffective because of diminished
immunogenicity. Further study is required to determine if vaccination over
consecutive influenza seasons can improve immunogenicity in subjects with SMID.
PMID- 21893147
TI - Immunogenicity and safety of monovalent influenza A (H1N1) 2009 in HIV-infected
Thai children.
AB - To evaluate the immunogenicity and safety of the monovalent pandemic influenza A
(H1N1) 2009 (pH1N1) vaccine in HIV-infected Thai children, 2 doses, 28days apart,
of non-adjuvant monovalent pH1N1 vaccine (Panenza((r)) by Sanofi Pasteur,
15MUg/dose) provided by the National Health Promotion Program of the Thai
Ministry of Public Health were given to HIV-infected children. Immunogenicity was
measured by hemagglutination inhibition test (HAI) using two antigens, pH1N1
(A/Thailand/104/09) and seasonal influenza A H1N1 (A/Brisbane/59/07-like), at
baseline, and 28days after each dose. Serologic response was defined as four-fold
rising of HAI titer or HAI titer >=1:40 for those with baseline titer <=1:10.
Adverse events were recorded for 7days after each vaccination. Of the 119 HIV
infected children enrolled, 60 (50.4%) were female with a median (IQR) age of
10.4 (7.2-13.7)years. All but 2 (98.3%) children were receiving antiretroviral
therapy. At baseline, the median CD4 cell count was 782 (570-1149)cells/mm(3), 91
(80.5%) children had HIV RNA level <40copies/ml. The baseline HAI titer >=1:40
for pH1N1 and seasonal H1N1 were 45.4%, and 39.5%, respectively. At 28 days after
doses 1 and 2, the serologic response rates for pH1N1 were 54.2% and 67.8% with
the geometric mean titer of 109.9 and 141.8; and serologic response rate when
tested with seasonal H1N1 were 2.5% and 3.5%, respectively. The presence of
baseline HAI titer for pH1N1 or seasonal H1N1 was found to be associated with
serologic response. The vaccine was well tolerated. The results suggested that
monovalent pH1N1 vaccine was immunogenic and safe in well controlled HIV-infected
children with low level of cross reacting antibody to seasonal H1N1.
PMID- 21893148
TI - Causality assessment of serious neurologic adverse events following 2009 H1N1
vaccination.
AB - BACKGROUND: Adverse events occurring after vaccination are routinely reported to
the Vaccine Adverse Event Reporting System (VAERS). We studied serious adverse
events (SAEs) of a neurologic nature reported after receipt of influenza A (H1N1)
2009 monovalent vaccine during the 2009-2010 influenza season. Investigators in
the Clinical Immunization Safety Assessment (CISA) network sought to characterize
these SAEs and to assess their possible causal relationship to vaccination.
METHODS: Centers for Disease Control and Prevention (CDC) and Food and Drug
Administration (FDA) physicians reviewed all SAE reports (as defined by the Code
of Federal Regulations, 21CFRS314.80) after receipt of H1N1 vaccine reported to
VAERS between October 1, 2009 and March 31, 2010. Non-fatal SAE reports with
neurologic presentation were referred to CISA investigators, who requested and
reviewed additional medical records and clinical information as available. CISA
investigators assessed the causal relationship between vaccination and the event
using modified WHO criteria as defined. RESULTS: 212 VAERS reports of non-fatal
serious neurological events were referred for CISA review. Case reports were
equally distributed by gender (50.9% female) with an age range of 6 months to 83
years (median 38 years). The most frequent diagnoses reviewed were: Guillain
Barre Syndrome (37.3%), seizures (10.8%), cranial neuropathy (5.7%), and acute
disseminated encephalomyelitis (3.8%). Causality assessment resulted in
classification of 72 events as "possibly" related (33%), 108 as "unlikely"
related (51%), and 20 as "unrelated" (9%) to H1N1 vaccination; none were
classified as "probable" or "definite" and 12 were unclassifiable (6%).
CONCLUSION: The absence of a specific test to indicate whether a vaccine
component contributes to the pathogenesis of an event occurring within a
biologically plausible time period makes assessing causality difficult. The
development of standardized protocols for providers to use in evaluation of
adverse events following immunization, and rapid identification and follow-up of
VAERS reports could improve causality assessment.
PMID- 21893149
TI - Reasons related to non-vaccination and under-vaccination of children in low and
middle income countries: findings from a systematic review of the published
literature, 1999-2009.
AB - OBJECTIVE: Despite increases in routine vaccination coverage during the past
three decades, the percent of children completing the recommended vaccination
schedule remains below expected targets in many low and middle income countries.
In 2008, the World Health Organization Strategic Advisory Group of Experts on
Immunization requested more information on the reasons that children were under
vaccinated (receiving at least one but not all recommended vaccinations) or not
vaccinated in order to develop effective strategies and interventions to reach
these children. METHODS: A systematic review of the peer-reviewed literature
published from 1999 to 2009 was conducted to aggregate information on reasons and
factors related to the under-vaccination and non-vaccination of children. A
standardized form was used to abstract information from relevant articles
identified from eight different medical, behavioural and social science
literature databases. FINDINGS: Among 202 relevant articles, we abstracted 838
reasons associated with under-vaccination; 379 (45%) were related to immunization
systems, 220 (26%) to family characteristics, 181 (22%) to parental attitudes and
knowledge, and 58 (7%) to limitations in immunization-related communication and
information. Of the 19 reasons abstracted from 11 identified articles describing
the non-vaccinated child, 6 (32%) were related to immunization systems, 8 (42%)
to parental attitudes and knowledge, 4 (21%) to family characteristics, and 1
(5%) to communication and information. CONCLUSIONS: Multiple reasons for under
vaccination and non-vaccination were identified, indicating that a multi-faceted
approach is needed to reach under-vaccinated and unvaccinated children.
Immunization system issues can be addressed through improving outreach services,
vaccine supply, and health worker training; however, under-vaccination and non
vaccination linked to parental attitudes and knowledge are more difficult to
address and likely require local interventions.
PMID- 21893150
TI - Attitudes and perceptions of private pediatricians regarding polio immunization
in India.
AB - BACKGROUND: India has faced considerable challenges in eradicating polio. Uttar
Pradesh (UP) and Bihar are the two states in India where transmission of polio
has never been interrupted. Private pediatricians are important stakeholders for
vaccine delivery and maintaining public confidence in vaccines. The purpose of
this study was to investigate the attitudes and perceptions of pediatricians in
India regarding polio immunization and their opinions about various strategies
regarding polio eradication in the country. METHODS: A random sample of 785
pediatricians belonging to the Indian Academy of Pediatrics (IAP) were selected
for the survey with over sampling of members located in Bihar and UP. Potential
participants were either contacted by phone or sent a self-administered anonymous
questionnaire by mail. For this analysis both sets of responses were combined.
Surveys were conducted from June 2009 to June 2010. RESULTS: A total of 398
surveys were completed (51%). Nearly all respondents indicated that polio
eradication is still an important priority (99.7%). Ninety-six percent of
pediatricians believed that strengthening routine immunization efforts remains
the best way to eradicate polio in endemic areas. Other measures thought to be
important in eradicating polio are mass campaigns with IPV (73%) and mass
campaigns with bivalent OPV (59%). Pediatricians also identified several barriers
to polio eradication which included parents' lack of awareness of the importance
of polio vaccination (88.8%), parents' lack of confidence in polio vaccine
(64.0%), religious beliefs (59.2%), fear of side effects (59.2%), lack of time or
priority (56.6%), superstition (50.3%) and cultural beliefs (46.4%). CONCLUSION:
There is still strong support for polio eradication efforts among IAP members.
Pediatricians in India strongly believe that improving the coverage of routine
immunization remains the best way to eradicate polio. There is an urgent need to
improve awareness, build confidence in the program, and remove barriers among
parents.
PMID- 21893151
TI - Effect of 7-valent pneumococcal conjugate vaccine on nasopharyngeal carriage with
Haemophilus influenzae and Moraxella catarrhalis in a randomized controlled
trial.
AB - Seven-valent CRM197-conjugated pneumococcal conjugate vaccine (PCV7(CRM197))
reduces both vaccine serotype nasopharyngeal colonization and vaccine serotype
acute otitis media by 50-60%. However, overall pneumococcal carriage and impact
on otitis media are partly offset by concomitant increase of nonvaccine
serotypes. We investigated in a randomized controlled trial the impact of 2-doses
and 2+1-doses of PCV7(CRM197) on carriage of Streptococcus pneumoniae and of
other nasopharyngeal commensals and well-known otitis media pathogens,
Haemophilus influenzae and Moraxella catarrhalis, in children. Nasopharyngeal
swabs were collected at the age of 6 weeks and at 6, 12, 18 and 24 months. We
observed high carriage rates up to 68% for S. pneumoniae, 71% for H. influenzae
and 68% for M. catarrhalis at the age of 18 months. Reduced dose (CRM197)
schedules induced a slight reduction in overall pneumococcal carriage but no
increases in the presence of H. influenzae and M. catarrhalis.
PMID- 21893152
TI - Evaluation of dermal and eye irritation and skin sensitization due to carbon
nanotubes.
AB - The present paper summarizes the results of our studies on dermal and eye
irritation and skin sensitization due to carbon nanotubes (CNTs), whose potential
applications and uses are wide and varied, including CNT-enhanced plastics,
electromagnetic interference/radio-frequency (EMI/RFI) shielding, antistatic
material, flexible fibers and advanced polymers, medical and health applications,
and scanning probe microscopy. Skin and eyes have the highest risk of exposure to
nanomaterials, because deposition of nanomaterials to the surficial organs has
the potential to be a major route of exposure during the manufacturing, use, and
disposal of nanomaterials. Two products composed of single-walled carbon
nanotubes (SWCNTs) and two products composed of multi-walled carbon nanotubes
(MWCNTs) were tested regarding acute dermal and acute eye irritation using
rabbits, and skin sensitization using guinea pigs. The concentrations of the CNTs
in the substances were the maximum allowable for administration. The two products
of SWCNTs and one of the products of MWCNTs were not irritants to the skin or
eyes. The other product of MWCNTs caused very slight erythema at 24h, but not at
72h, after patch removal in the dermal irritation experiments and conjunctival
redness and blood vessel hyperemia at 1h, but not at 24h, in eye irritation
experiments. These findings showed that one product of MWCNTs was a very weak
acute irritant to the skin and eyes. No products of SWCNTs and MWCNTs exhibited
skin-sensitization effects. Our knowledge of the toxicological effects of CNTs is
still limited. Further information is needed to clarify the potential for
irritation and sensitization given the complex nature of CNTs.
PMID- 21893153
TI - Herbal hepatotoxicity by Greater Celandine (Chelidonium majus): causality
assessment of 22 spontaneous reports.
AB - Toxic liver injury due to the herb Greater Celandine (GC) (Chelidonium majus L.)
has been assumed in patients originating from various European countries and
created concern. Based on regulatory and liver unspecific ad hoc causality
assessments in 22 spontaneous cases of Germany, causality levels for GC were
considered probable in 16 and possible in 6 cases. We now analyzed the data of
these 22 cases regarding their causality levels employing the liver specific,
standardized, structured and quantitative assessment method of the updated scale
of CIOMS (Council for International Organizations of Medical Sciences). Causality
for GC was found highly probable (n=2), probable (n=6), possible (n=10), unlikely
(n=1), and excluded (n=3). Thus, causality could be upgraded in 2 cases to a
highly probable causality level, but had to be down graded to excluded, unlikely,
or possible causality levels in 3, 1, or 9 cases, respectively. GC hepatotoxicity
shows a hepatocellular pattern of liver injury with female gender predominance.
On average, age of the patients was 56.4 years, treatment 36.4 days, and latency
period until first symptoms and jaundice 29.8 and 35.6 days, respectively. This
analysis therefore provides further evidence for the existence of GC
hepatotoxicity as a distinct form of herb induced liver injury, but due to poor
data quality the causal association between GC use and liver injury is less
strong than hitherto assumed. We propose replacement of the regulatory organ
unspecific by a liver specific causality assessment method in cases of herb
induced liver injury as well as stricter pharmacovigilance strategies towards
improvements of data quality. Toxicological studies are now warranted to
elucidate the mechanism(s) of human GC hepatotoxicity that represents a European
issue.
PMID- 21893154
TI - The role of CRH in behavioral responses to acute restraint stress in zebrafish.
AB - In teleosts, changes in swimming, exploring, general locomotor activity, and
anxious state can be a response to stress mediated by the corticotropin-releasing
hormone system activation and its effects on glucocorticoid levels. Zebrafish has
been widely used to study neuropharmacology and has become a promising animal
model to investigate neurobehavioral mechanisms of stress. In this report the
animals were submitted to acute restraint stress for different time lengths (15,
60 and 90 min) for further evaluation of behavioral patterns, whole-body cortisol
content, and corticotropin-releasing hormone expression. The results demonstrated
an increase in the locomotor activity and an alteration in the swimming pattern
during a 5-min trial after the acute restraint stress. Interestingly, all groups
of fish tested in the novel tank test exhibited signs of anxiety as evaluated by
the time spent in the bottom of the tank. Whole-body cortisol content showed a
positive correlation with increased behavioral indices of locomotion in zebrafish
whereas molecular analysis of corticotropin-releasing hormone showed a late
reduction of mRNA expression (90 min). Altogether, we present a model of acute
restraint stress in zebrafish, confirmed by elevated cortisol content, as a valid
and reliable model to study the biochemical basis of stress behavior, which seems
to be accompanied by a negative feedback of corticotropin-release hormone mRNA
expression.
PMID- 21893155
TI - Differential programming of p53-deficient embryonic cells during rotenone block.
AB - Mitochondrial dysfunction has been implicated in chemical toxicities. The present
study used an in vitro model to investigate the differential expression of
metabolic pathways during cellular stress in p53-efficient embryonic fibroblasts
compared to p53-deficient cells. These cell lines differed with respect to
NADH/NAD(+) balance. This ratio constitutes a driving force for NAD- and NADH
dependent reactions and is inversed upon exposure to Rotenone (complex I
inhibitor). Rotenone perturbed the structure of the elongated fibrillar tubulin
network and decreased mRNA expression of tubulin genes both suggesting
reprogramming and reorganization of the cytoskeleton in both cell lines. These
changes were reflected in the abundance of specific mRNA and microRNA (miRNA)
species as determined from genome-based analysis. Changes in mRNA and miRNA
expression profiles reflected differences in energy utilizing pathways,
consistent with the notion that the p53 pathway influences the cellular response
to mitochondrial dysfunction and that at least some control may be embedded
within specific mRNA/miRNA networks in embryonic cells.
PMID- 21893156
TI - Oxazolone (OXA) is a respiratory allergen in Brown Norway rats.
AB - Oxazolone (OXA) is a potent contact allergen in man, and it is used as a model
Th1-allergen to test (Q)SAR's and screening assays for allergenic potential of
chemicals. However, it elevates serum IgE levels and Thelper2 cytokines at
relatively low doses in test animals, suggesting that it has also respiratory
allergenic potential. The lack of human data on respiratory allergenic potential
of OXA may be due to lack of significant inhalation exposure. Here, female Brown
Norway rats (BN) were sensitized by two or five dermal applications of OXA at the
same total dose of 3.75mg. Controls received vehicle. All animals were challenged
by inhalation to 45mg/m(3) OXA on day 21 and necropsy was performed on day 22.
All sensitized animals had increased serum IgE. OXA challenge decreased breathing
frequency, and induced apnoeic breathing in the sensitized animals - a hallmark
of respiratory allergy in our model. An exudative, granulocytic inflammation was
observed primarily in the larynx of the sensitized and challenged rats.
Microarray analysis of lung tissue, sampled 24h after challenge, revealed
upregulation of several genes and activation of Gene Ontology (GO) pathways,
which resembled more closely those found previously in lung tissue of rats
sensitized and challenged by the respiratory allergen trimellitic anhydride than
by the contact allergen dinitrochlorobenzene. The results indicate that the
contact allergen OXA can also be a respiratory allergen, provided that it is
inhaled. Its use as a model contact sensitizer must be reconsidered.
PMID- 21893157
TI - Drastic changes in the tissue-specific expression of secreted phospholipases A2
in chicken pulmonary disease.
AB - Infectious bronchitis is one of the most important diseases in poultry and it
causes major economic losses. Infectious bronchitis is an acute, highly
contagious, viral disease of chickens, characterized by rales, coughing, and
sneezing. Because secreted phospholipases A2 (sPLA2) are involved in inflammatory
processes, the gene expressions of sPLA2s were investigated in both healthy
chickens and chickens with infectious bronchitis and lung inflammation. The draft
chicken genome was first scanned using human sPLA2 sequences to identify chicken
sPLA2s (ChPLA2), chicken total mRNA were isolated and RT-PCR experiments were
performed to amplify and then sequence orthologous cDNAs. Full-length cDNA
sequences of ChPLA2-IB, -IIA, -IIE, -V and -X were cloned. The high degree of
sequence identity of 50-70% between the avian and mammalian (human and mouse)
sPLA2 orthologs suggests a conservation of important enzymatic functions for
these phospholipases. Quantitation by qPCR of the transcript levels of ChPLA2-IB,
-IIA, -IIE, -V and -X in several tissues from healthy chicken indicated that the
expression patterns and mRNA levels diverged among the phospholipases tested. In
chicken with infectious bronchitis, an over expression of ChPLA2-V was observed
in lungs and spleen in comparison with healthy chicken. These findings suggest
that ChPLA2-V could be a potential biomarker for lung inflammation. Conversely, a
down regulation of ChPLA2-IB, -IIA and -X was observed in lungs and spleen in
case of infectious bronchitis. A significant increase in the expression level of
ChPLA2-X and ChPLA2-IB was also noticed in pancreas. No or minor changes have
been detected in the expression of ChPLA2-IIE in lungs and small intestine, but
it shows a significant increase in several infected tissues.
PMID- 21893159
TI - DNA compaction by mononuclear platinum cancer drug cisplatin and the trisplatinum
anticancer agent BBR3464: Differences and similarities.
AB - Cisplatin, a mononuclear platinum compound, which is known as a cancer drug for
long time, can exhibit considerable side effects and is also not effective in
many types of cancer. Therefore, the alternative platinum anticancer agents that
can act at a much lower dose limit compared to the dose relevant for cisplatin
treatment have been searched for. BBR3464, a trinuclear platinum compound, is
found to exhibit cytotoxic effects at 10 to 1000 times lower dose limit, even in
cisplatin-resistant cancer cells. The primary cellular target for cisplatin and
BBR3464 is thought to be DNA. Herein, we report the nature of DNA structural
changes that are induced by cisplatin and BBR3464, considering the same DNA
sequence and similar sample deposition methods for comparison purpose. We have
applied high-resolution atomic force microscopy (AFM) in order to obtain an idea
about the molecular basis of BBR3464's effectiveness at the lower dose limit. We
show from the molecularly resolved AFM images that both the compounds can compact
the whole dsDNA molecules, though the degree of compaction in case of BBR3464
treatment is significantly higher. Furthermore, local compaction in terms of loop
structure formation could be induced by both BBR3464 and cisplatin, though
BBR3464 generated microloops and macroloops both, whereas cisplatin could
generate primarily the microloops. It is a significant observation that BBR3464
could induce relatively drastic DNA structural changes in terms of loop formation
as well as overall DNA compaction at a molar ratio, which is 50 times less than
that applied for cisplatin treatment. Implications of such structural changes in
cytotoxic effects of the platinum anticancer agents will be mentioned.
PMID- 21893158
TI - Identification of important residues in diketoreductase from Acinetobacter baylyi
by molecular modeling and site-directed mutagenesis.
AB - Diketoreductase (DKR) from Acinetobacter baylyi exhibits a unique property of
double reduction of a beta, delta-diketo ester with excellent stereoselectivity,
which can serve as an efficient biocatalyst for the preparation of an important
chiral intermediate for cholesterol lowering statin drugs. Taken the advantage of
high homology between DKR and human heart 3-hydroxyacyl-CoA dehydrogenase (HAD),
a molecular model was created to compare the tertiary structures of DKR and HAD.
In addition to the possible participation of His-143 in the enzyme catalysis by
pH profile, three key amino acid residues, Ser-122, His-143 and Glu-155, were
identified and mutated to explore the possibility of involving in the catalytic
process. The catalytic activities for mutants S122A/C, H143A/K and E155Q were
below detectable level, while their binding affinities to the diketo ester
substrate and cofactor NADH did not change obviously. The experimental results
were further supported by molecular docking, suggesting that Ser-122 and His-143
were essential for the proton transfer to the carbonyl functional groups of the
substrate. Moreover, Glu-155 was crucial for maintaining the proper orientation
and protonation of the imidazole ring of His-143 for efficient catalysis.
PMID- 21893160
TI - CD 38 expression, attachment style and habituation of arousal in relation to
trust-related oxytocin release.
AB - Oxytocin plays an important role in human attachment, trust, social perception,
memory, and fear regulation. Evidence suggests that CD38, a regulator of oxytocin
release, may also be critical in these processes. The purpose of this study was
to investigate the predictors of plasma oxytocin level measured after a task
requiring intimate trust (secret sharing), modeling psychotherapeutic processes,
and a neutral social interaction. Results revealed that peripheral CD38
expression positively predicted both trust-related and trust-unrelated oxytocin
levels. In addition, habituation of arousal, as measured by skin conductance
response, and attachment anxiety also emerged as predictors of oxytocin level in
the trust-related condition. These results suggest that CD38 plays a general role
in oxytocin secretion, whereas habituation of arousal and attachment anxiety are
specifically related to situations involving intimate trust.
PMID- 21893161
TI - Morphology of the external genitalia of the adult male and female mice as an
endpoint of sex differentiation.
AB - Adult external genitalia (ExG) are the endpoints of normal sex differentiation.
Detailed morphometric analysis and comparison of adult mouse ExG has revealed 10
homologous features distinguishing the penis and clitoris that define masculine
vs. feminine sex differentiation. These features have enabled the construction of
a simple metric to evaluate various intersex conditions in mutant or hormonally
manipulated mice. This review focuses on the morphology of the adult mouse penis
and clitoris through detailed analysis of histologic sections, scanning electron
microscopy, and three-dimensional reconstruction. We also present previous
results from evaluation of "non-traditional" mammals, such as the spotted hyena
and wallaby to demonstrate the complex process of sex differentiation that
involves not only androgen-dependent processes, but also estrogen-dependent and
hormone-independent mechanisms.
PMID- 21893162
TI - The expression and localization of Prune2 mRNA in the central nervous system.
AB - A family of Bcl-2/adenovirus E1B 19kDa-interacting proteins (BNIPs) plays
critical roles in several cellular processes such as cellular transformation,
apoptosis, neuronal differentiation, and synaptic function, which are mediated by
the BNIP2 and Cdc42GAP homology (BCH) domain. Prune homolog 2 (Drosophila)
(PRUNE2) and its isoforms -C9orf65, BCH motif-containing molecule at the carboxyl
terminal region 1 (BMCC1), and BNIP2 Extra Long (BNIPXL) - have been shown to be
a susceptibility gene for Alzheimer's disease, a biomarker for leiomyosarcomas, a
proapoptotic protein in neuronal cells, and an antagonist of cellular
transformation, respectively. However, precise localization of PRUNE2 in the
brain remains unclear. Here, we identified the distribution of Prune2 mRNA in the
adult mouse brain. Prune2 mRNA is predominantly expressed in the neurons of the
cranial nerve motor nuclei and the motor neurons of the spinal cord. The
expression in the dorsal root ganglia (DRG) is consistent with the previously
described reports. In addition, we observed the expression in another sensory
neuron in the mesencephalic trigeminal nucleus. These results suggest that Prune2
may be functional in these restricted brain regions.
PMID- 21893163
TI - Central AMP-activated protein kinase affects sympathetic nerve activity in rats.
AB - In this study, we examined the effect of intracerebroventricular (ICV) injection
of 5-aminoimidazole-4-carboxamide 1-beta-d-ribofuranoside (AICAR), an AMP
activated protein kinase (AMPK) activator, or compound C (CC), an AMPK inhibitor,
on the activity of sympathetic nerves innervating the adrenal gland and kidney in
urethane-anesthetized rats to elucidate the role of AMPK in sympathetic nervous
system function. We found that an ICV injection of AICAR or CC significantly
stimulated renal sympathetic nerve activity (RSNA) and adrenal sympathetic nerve
activity (ASNA) in a dose-dependent manner. Following this, we examined the role
of AMPK on the sympatho-excitation caused by leptin injection. Pretreatment with
AICAR or CC eliminated the leptin-induced increase in RSNA, however, neither
pretreatment with AICAR or CC affected the leptin-induced increase in ASNA. Our
data suggest that AMPK may regulate the sympathetic nerve system, and that the
stimulating effect of leptin on sympathetic nerve activity in kidney may depend
on central AMPK.
PMID- 21893164
TI - Importance of central AT1 receptors for sodium intake induced by GABAergic
activation of the lateral parabrachial nucleus.
AB - The blockade of the inhibitory mechanisms for sodium intake with GABAergic
activation in the lateral parabrachial nucleus (LPBN) induces strong ingestion of
water and hypertonic NaCl in satiated and normovolemic rats. A question that
remains is if the activity of facilitatory mechanisms, like angiotensin II, is
necessary for sodium and water intake induced by muscimol (GABA(A) receptor
agonist) injected into the LPBN. Therefore, in the present study, we investigated
the effects of the blockade of angiotensinergic AT(1) receptors with losartan
injected i.c.v. on 0.3 M NaCl and water intake induced by muscimol injected into
the LPBN in satiated and normovolemic rats. Male Holtzman rats with stainless
steel cannulas implanted bilaterally into the LPBN and unilaterally into the
lateral ventricle were used. Bilateral injections of muscimol (0.5 nmol/0.2 MUl)
into the LPBN combined with i.c.v. injection of vehicle induced 0.3 M NaCl (31.7
+/- 1.8 ml/240 min, vs. saline: 0.4 +/- 0.3 ml/240 min) and water intake (21.5 +/
1.9 ml/240 min, vs. saline: 0.8 +/- 0.2 ml/240 min). Losartan (50 and 100
MUg/1.0 MUl) injected i.c.v. reduced the effects of LPBN-muscimol on 0.3 M NaCl
(18.9 +/- 1.9 and 9.9 +/- 1.7 ml/240 min, respectively) and water intake (9.8 +/-
1.7 and 5.1 +/- 1.1 ml/240 min, respectively). The results suggest that the
activation of central AT(1) angiotensinergic receptors is essential for
hypertonic NaCl and water intake induced by the blockade of the inhibitory
mechanisms with muscimol injected into the LPBN in satiated and normovolemic
rats.
PMID- 21893165
TI - Exercise affects memory acquisition, anxiety-like symptoms and activity of
membrane-bound enzyme in brain of rats fed with different dietary fats:
impairments of trans fat.
AB - Here we evaluated the influence of physical exercise on behavior parameters and
enzymatic status of rats supplemented with different dietary fatty acids (FA).
Male Wistar rats fed diets enriched with soybean oil (SO), lard (L), or
hydrogenated vegetable fat (HVF) for 48 weeks were submitted to swimming (30
min/d, five times per week) for 90 days. Dietary FA per se did not cause anxiety
like symptoms in the animals, but after physical exercise, SO group showed a
better behavioral performance than L and the HVF groups in elevated plus maze
(EPM). In Barnes maze, HVF group showed impaired memory acquisition as compared
to L group, and exercise reversed this effect. SO-fed rats showed an improvement
in memory acquisition after 1 day of training, whereas lard caused an improvement
of memory only from day 4. HVF-fed rats showed no improvement of memory
acquisition, but this effect was reversed by exercise in all training days. A
lower activity of the Na(+)K(+)-ATPase in brain cortex of rats fed lard and HVF
was observed, and this effect was maintained after exercise. Similarly, the HVF
diet was related to lower activity of hippocampal Na(+)K(+)-ATPase, and exercise
reduced activity of this enzyme in the SO and L groups. Our findings show
influences of dietary FA on memory acquisition, whereas regular exercise improved
this function and was beneficial on anxiety-like symptoms. As FA are present in
neuronal membrane phospholipids and play a critical role in brain function, our
results suggest that low incorporation of trans FA in neuronal membranes may act
on cortical and hippocampal Na(+)K(+)-ATPase activity, but this change appears to
be unrelated to the behavioral parameters primarily harmed by consumption of
trans and less so by saturated FA, which were reversed by exercise.
PMID- 21893166
TI - Natural and engineered coding variation in antidepressant-sensitive serotonin
transporters.
AB - The presynaptic serotonin (5-HT) transporter (SERT) is a key regulator of 5-HT
signaling and is a major target for antidepressant medications and
psychostimulants. In recent years, studies of natural and engineered genetic
variation in SERT have provided new opportunities to understand structural
dimensions of drug interactions and regulation of the transporter, to explore 5
HT contributions to antidepressant action, and to assess the impact of SERT
mediated 5-HT contributions to neuropsychiatric disorders. Here we review three
examples from our recent studies where genetic changes in SERT, identified or
engineered, have led to new models, findings, and theories that cast light on new
dimensions of 5-HT action in the CNS and periphery. First, we review our work to
identify specific residues through which SERT recognizes antagonists, and the
conversion of this knowledge to the creation of mice lacking high-affinity
antidepressant and cocaine sensitivity. Second, we discuss our studies of
functional coding variation in SERT that exists in commonly used strains of
inbred mice, and how this variation is beginning to reveal novel 5-HT-associated
phenotypes. Third, we review our identification and functional characterization
of multiple, hyperactive SERT coding variants in subjects with autism. Each of
these activities has driven the development of new model systems that can be
further exploited to understand the contribution of 5-HT signaling to risk for
neuropsychiatric disorders and their treatment.
PMID- 21893167
TI - Role of the endocannabinoid system in regulating glucocorticoid effects on memory
for emotional experiences.
AB - Glucocorticoids, stress hormones released from the adrenal cortex, have potent
modulatory effects on emotional memory. Whereas early studies focused mostly on
the detrimental effects of chronic stress and glucocorticoid exposure on
cognitive performance and the classic genomic pathways that mediate these
effects, recent findings indicate that glucocorticoids exert complex and often
rapid influences on distinct memory phases. Specifically, glucocorticoids have
been shown to enhance memory consolidation of emotionally arousing experiences,
but to impair memory retrieval and working memory during emotionally arousing
test situations. Furthermore, growing evidence indicates that these different
glucocorticoid effects depend on a nongenomically mediated interaction with
emotional arousal-induced noradrenergic activation within the basolateral complex
of the amygdala. In this paper, we present a model suggesting that the
endocannabinoid system, a lipid-based retrograde signaling system, might play an
important role in mediating such rapid glucocorticoid influences on the
noradrenergic system in modulating memory of emotionally arousing experiences.
PMID- 21893168
TI - Low-frequency stimulation of bilateral anterior nucleus of thalamus inhibits
amygdale-kindled seizures in rats.
AB - Brain stimulation with low-frequency is emerging as an alternative treatment for
refractory epilepsy. The anterior nucleus thalamus (ANT) is thought to be a key
structure in the circuits of seizure generation and propagation. The present
study aimed to investigate the effects of low frequency stimulation (LFS)
targeting ANT on amygdala-kindled seizures in Sprague-Dawley rats. Electrodes
were implanted into the right basolateral amygdala and the right or bilateral ANT
of Sprague-Dawley rats. When fully kindled seizures were achieved by daily
electrical stimulation of the amygdala, LFS (15 min train of 0.1 ms pulses at 1
Hz and 200-500 MUA) was applied to the unilateral or bilateral ANT immediately
before the kindling stimulation (pre-treatment). Our study showed that LFS of the
bilateral ANT significantly decreased the incidence of generalized seizures (GS)
and seizure stage, as well as shortened duration of afterdischarge and GS
demonstrating an inhibition of the severity of seizures. Moreover, LFS elevated
the afterdischarge threshold (ADT) and GS threshold indicating an inhibition of
susceptibility to seizures. On the other hand, LFS of the unilateral ANT failed
to show any significance in inhibiting seizures. Our study demonstrated that
bilateral LFS in ANT could significantly inhibit amygdala-kindled seizures by
preventing both afterdischarge generation and propagation. It provided further
evidence for clinical use of LFS in ANT.
PMID- 21893169
TI - Low frequency electroacupuncture selectively decreases voluntarily ethanol intake
in rats.
AB - Although there is increasing clinical acceptance of acupuncture and
electroacupuncture (EA) as a treatment of substance abuse-related disorders, our
understanding of this treatment remains incomplete. Previous clinical and pre
clinical studies have shown that acupuncture and EA are effective in reducing
ethanol consumption. Recent studies have shown that Sprague-Dawley (SD) rats
under an intermittent-access two-bottle choice drinking procedure (IE procedure)
voluntarily drank high amounts of ethanol. However, an effect of EA on ethanol
consumption of the SD rats under this drinking procedure has not been
demonstrated. In the present study, we demonstrated that SD rats escalated their
ethanol intake and subsequently developed ethanol dependence under the IE
procedure. A single low (2 Hz), but not high frequency (100 Hz) EA treatment
applied at the bilateral acupoint Zusanli (ST36), but not at the tail reduced
voluntary intake of, and preference for ethanol, but not sucrose. Furthermore,
repeated EA treatments decreased the intake of and preference for ethanol,
without resulting in a rebound increase in ethanol intake when the EA treatments
were terminated. These observations indicate that EA may be a useful treatment
for alcohol abuse.
PMID- 21893170
TI - Maternal infection during late pregnancy increases anxiety- and depression-like
behaviors with increasing age in male offspring.
AB - Scientific reports suggest that the exposure to long-term stressors throughout or
during late gestation increase anxiety- and depression-like behaviors of
offspring in their later life. Moreover, several studies concluded that
increasing age correlates with increased anxiety behaviors in humans and rodents.
In the present study, we assessed the effects of prenatally administration of
equal lipopolysaccharide (LPS) doses in various points of late gestation (days
15, 16, and 17) period, on neuroendocrine and immunological responses of pregnant
mice, and subsequent long-lasting consequences of anxiety and depression with
increasing age in male offspring at postnatal days (PD) 40 and 80. Four hours
after the LPS injection, levels of corticosterone (COR) and pro-inflammatory
cytokines (PIC) in pregnant mice, as compared to the control dams, were increased
significantly. Furthermore, maternal inflammation raised the levels of COR,
anxiety- and depression-like behaviors with increasing age in male offspring in
comparison with saline male offspring. These data support other studies
demonstrating that maternal stress increases the levels of anxiety and depression
in offspring. Additionally, our data confirm other findings indicating that
increasing age correlates with increased anxiety or depression behaviors in
humans and rodents. Findings of this study suggest that time course of an
inflammation response or stressor application during various stages of gestation
and ages of offspring are important factors for assessing neuropsychiatric
disorders.
PMID- 21893171
TI - Endothelium-independent effects of phyllanthin and hypophyllanthin on vascular
tension.
AB - The purpose of this study was to investigate the modulating effects of
phyllanthin and hypophyllanthin on vascular tension, using in the in vitro model
of isolated rat aorta. Our results indicated that both phyllanthin and
hypophyllanthin significantly relaxed the sustained contraction induced by
phenylephrine (PE) in a concentration-dependent manner. In addition, endothelial
removal had no significant influence on the vasorelaxation responses of the
aortic rings toward these two compounds. Furthermore, both compounds inhibited
the contraction of aortic muscle provoked by either PE (1 MUM) or KCl (40 mM) as
well as the spontaneous contraction of the Ca2+-depleted muscle. In high K+-Ca2+
free solution, phyllanthin (100 MUM), but not hypophyllanthin, significantly
inhibited the contractile responses upon cumulative addition of CaCl2. Both
compounds (100 MUM) significantly inhibited PE-induced contraction in Ca2+-free
condition, but could not affect caffeine-induced contraction. Taken together,
phyllanthin and hypophyllanthin could modulate the vascular tension via the
endothelium-independent mechanisms. The modulating effects of both compounds were
possibly involved with the blockade of Ca2+ entry into vascular smooth muscle
cells and inhibition of PE-mediated Ca2+ release from sarcoplasmic reticulum.
PMID- 21893172
TI - A new biphenyl and antimicrobial activity of extracts and compounds from Clusia
burlemarxii.
AB - Phytochemical investigation on Clusia burlemarxii (Clusiaceae) led to isolation
and identification of nine compounds. Were isolated from leaves 3-O-alpha-L-
rhamnopyranosylquercetin, 3-O-alpha-L-rhamnopyranosylkaempferol, 4-hydroxy-5,5
dimethyldihydrofuran-2-one, 2Z-delta-tocotrienoloic acid and friedelin and were
isolated from trunk betulinic acid, protocatechuic acid, lyoniresinol, and a new
biphenyl 2,2-dimethyl-3,5-dihydroxy-7-(4-hydroxyphenyl)chromane. The structures
were determined by 1H, 13C-NMR, DEPT, HMBC, HMQC, HRESIMS. The Minimal Inhibitory
Concentration against Streptococcus mutans, Staphylococcus aureus, Bacillus
subtilis, Micrococcus luteus, Escherichia coli, Salmonella choleraesuis,
Pseudomonas aeruginosa, Aspergillus niger and Cladosporium cladosporioides was
also determined. Extracts and compounds showed significant activity against
tested Gram-positive bacteria, none activity against tested Gram-negative
bacteria and fungi.
PMID- 21893174
TI - Multiple cis-elements in the 5'-flanking region of embryonic/larval fast-type of
the myosin heavy chain gene of torafugu, MYH(M743-2), function in the
transcriptional regulation of its expression.
AB - The myosin heavy chain gene, MYH(M743-2), is highly expressed in fast muscle
fibers of torafugu embryos and larvae, suggesting its functional roles for
embryonic and larval muscle development. However, the transcriptional regulatory
mechanism involved in its expression remained unknown. Here, we analyzed the
2075bp 5'-flanking region of torafugu MYH(M743-2) to examine the spatial and
temporal regulation by using transgenic and transient expression techniques in
zebrafish embryos. Combining both transient and transgenic analyses, we
demonstrated that the 2075bp 5'-flanking sequences was sufficient for its
expression in skeletal, craniofacial and pectoral fin muscles. The
immunohistochemical observation revealed that the zebrafish larvae from the
stable transgenic line consistently expressed enhanced green fluorescent protein
(EGFP) in fast muscle fibers. Promoter deletion analyses demonstrated that the
minimum 468bp promoter region could direct MYH(M743-2) expression in zebrafish
larvae. We discovered that the serum response factor (SRF)-like binding sites are
required for promoting MYH(M743-2) expression and myoblast determining factor
(MyoD) and myocyte enhancer factor-2 (MEF2) binding sites participate in the
transcriptional control of MYH(M743-2) expression in fast skeletal muscles. We
further discovered that MyoD binding sites, but not MEF2, participate in the
transcriptional regulation of MYH(M743-2) expression in pectoral fin and
craniofacial muscles. These results clearly demonstrated that multiple cis
elements in the 5'-flanking region of MYH(M743-2) function in the transcriptional
control of its expression.
PMID- 21893173
TI - RNA polymerase I activity is regulated at multiple steps in the transcription
cycle: recent insights into factors that influence transcription elongation.
AB - Synthesis of the translation apparatus is a central activity in growing and/or
proliferating cells. Because of its fundamental importance and direct connection
to cell proliferation, ribosome synthesis has been a focus of ongoing research
for several decades. As a consequence, much is known about the essential factors
involved in this process. Many studies have shown that transcription of the
ribosomal DNA by RNA polymerase I is a major target for cellular regulation of
ribosome synthesis rates. The initiation of transcription by RNA polymerase I has
been implicated as a regulatory target, however, recent studies suggest that the
elongation step in transcription is also influenced and regulated by trans-acting
factors. This review describes the factors required for rRNA synthesis and
focuses on recent works that have begun to identify and characterize factors that
influence transcription elongation by RNA polymerase I and its regulation.
PMID- 21893175
TI - Simultaneous determination of five synthetic pyrethroid metabolites in urine by
liquid chromatography-tandem mass spectrometry: application to 39 persons without
known exposure to pyrethroids.
AB - A sensitive and reliable method was developed and validated for the determination
of five synthetic pyrethroid metabolites namely cis-Cl(2)CA, trans-Cl(2)CA,
Br(2)CA, 3-PBA and 4-FPBA in human urine by liquid chromatography-tandem mass
spectrometry. (2)D(6)-labelled trans-Cl(2)CA and (13)C(6)-labelled 3-PBA were
used as internal standards. This method was based on a liquid-liquid extraction
procedure in acidic conditions using hexane solvent with a basic purification, a
chromatographic separation using a specific C18 column and mass spectrometric
detection in the negative polarity. Suitable limits of detection (0.015MUg/L for
the five compounds) and quantification (from 0.020 to 0.030MUg/L) were obtained
for rendering the method usable for the biomonitoring of pyrethroids in the
general population. The efficiency of the method was tested in 39 urine samples
from French people without any known exposure to pyrethroids. At least three of
the five metabolites were detected in each sample. The results of this study were
compared to those obtained in previous ones and discussed.
PMID- 21893176
TI - In vitro and in ovo effects of four brominated flame retardants on toxicity and
hepatic mRNA expression in chicken embryos.
AB - Some currently used brominated flame retardants (BFRs), such as
hexachlorocyclopentadienyl-dibromocyclooctane (HCDBCO), bis(2
ethylhexyl)tetrabromophthalate (BEHTBP), 1,2-bis(2,4,6-tribromophenoxy)ethane
(BTBPE) and decabromodiphenylethane (DBDPE), are persistent organic contaminants
detected in various environmental matrices, including wild birds. Data on
potential toxicological and molecular responses to exposure of these BFRs are
lacking for avian species. A combined in vitro/in ovo approach was used to
determine the concentration-dependent effects of these BFRs on overt toxicity and
hepatic messenger RNA (mRNA) expression levels of 11 transcripts in (1) primary
cultures of chicken embryonic hepatocytes (CEH; all four BFRs) and (2) chicken
embryos (HCDBCO and BTBPE only). Neither hepatocyte viability nor embryonic
pipping success were affected by the BFRs at any of the administered
concentrations (CEH: 0.001-30 MUM, egg injection: 0.1-10 MUg/g nominal dose). In
CEH, 10 MUM HCDBCO induced cytochrome P450 2H1 (CYP2H1) and CYP3A37, while
CYP1A4/5 were down-regulated at all tested concentrations. In contrast, only
transthyretin was down-regulated by HCDBCO in embryonic liver. There was
concordance between the BTBPE-induced transcriptional responses in vitro and in
ovo for CYP1A4/5 (up-regulated) and type III iodothyronine 5'-deiodinase (DIO3;
down-regulated). DBDPE induced CYP1A4/5 29- and 59-fold at 0.2 MUM in CEH and
increased DIO1. None of the gene targets were responsive to BEHTBP exposure in
CEH. The multi-tiered in vitro/in ovo screening approach was effective for
assessing toxicological and molecular biological effects of these BFRs in an
avian species.
PMID- 21893177
TI - Estrogen agonist/antagonist properties of dibenzyl phthalate (DBzP) based on in
vitro and in vivo assays.
AB - The most commonly used phthalates have been banned or restricted for use as
plasticizers in toys in some countries because of their endocrine-disrupting
properties. Dibenzyl phthalate (DBzP) has been proposed as a possible alternative
for the banned/restricted phthalates. In this study, the estrogen
agonist/antagonist properties of DBzP were predicted by molecular docking and
confirmed by yeast estrogen screen (YES) and immature mouse uterotrophic assays.
The YES assay results showed a dose-dependent increase in DBzP estrogen agonist
activity from 10-6 to 10-4 M, and at concentrations from 1.95*10-6 M to higher,
DBzP significantly inhibited the agonist activity of 10-9 M 17beta-estradiol
(E2), inhibiting 10-9 M E2 by 74.5% at its maximum effectiveness. The in vivo
estrogen agonist/antagonist activities of DBzP were demonstrated in immature
mouse uterotrophic assays. The antagonist activity of DBzP inhibited E2-induced
uterine growth promoted at 40 and 400 MUg/kg bw (body weight) (P<0.05). In
addition, we also analyzed the estrogen agonist/antagonist potentials of benzyl
butyl phthalate (BBP) by YES, and found both were weaker than those of DBzP,
suggesting DBzP would be more toxic than BBP and should not be used as an
alternative plasticizer.
PMID- 21893178
TI - Reducible HPMA-co-oligolysine copolymers for nucleic acid delivery.
AB - Biodegradability can be incorporated into cationic polymers via use of disulfide
linkages that are degraded in the reducing environment of the cell cytosol. In
this work, N-(2-hydroxypropyl)methacrylamide (HPMA) and methacrylamido
functionalized oligo-l-lysine peptide monomers with either a non-reducible 6
aminohexanoic acid (AHX) linker or a reducible 3-[(2-aminoethyl)dithiol]
propionic acid (AEDP) linker were copolymerized via reversible addition
fragmentation chain transfer (RAFT) polymerization. Both of the copolymers and a
1:1 (w/w) mixture of copolymers with reducible and non-reducible peptides were
complexed with DNA to form polyplexes. The polyplexes were tested for salt
stability, transfection efficiency, and cytotoxicity. The HPMA-oligolysine
copolymer containing the reducible AEDP linkers was less efficient at
transfection than the non-reducible polymer and was prone to flocculation in
saline and serum-containing conditions, but was also not cytotoxic at charge
ratios tested. Optimal transfection efficiency and toxicity were attained with
mixed formulation of copolymers. Flow cytometry uptake studies indicated that
blocking extracellular thiols did not restore transfection efficiency and that
the decreased transfection of the reducible polyplex is therefore not primarily
caused by extracellular polymer reduction by free thiols. The decrease in
transfection efficiency of the reducible polymers could be partially mitigated by
the addition of low concentrations of EDTA to prevent metal-catalyzed oxidation
of reduced polymers.
PMID- 21893179
TI - Lipid nanoparticle purification by spin centrifugation-dialysis (SCD): a facile
and high-throughput approach for small scale preparation of siRNA-lipid
complexes.
AB - This paper describes the use of spin centrifugation-dialysis (SCD) for small
scale concentration/purification of siRNA-lipid complexes designed for use as
therapeutic agents for gene silencing. SCD consists of a two-step method for
concentration, filtration and buffer exchange of lipid nanoparticles (LNP) to
provide a homogeneous preparation suitable for injection. Here, we compare SCD
with the more traditionally used tangential flow filtration (TFF), and
demonstrate the physicochemical and biological comparability of LNPs produced
with both methods. TFF is a highly scalable method used in both developmental and
production applications, but is limited in terms of miniaturization. In contrast
to TFF, SCD is faster, less expensive, and requires less oversight for assembling
LNPs for small-scale applications, such as target screening both in vitro and in
vivo. The finding that SCD is a viable method for filtering LNPs in a manner
similar to TFF, producing particles with comparable properties and biological
activity, is significant given the complexity and sensitivity of LNPs to
processing conditions.
PMID- 21893180
TI - Short multi-armed polylysine-graft-polyamidoamine copolymer as efficient gene
vectors.
AB - Polyamidoamine-polylysine graft copolymers (PAMAM-g-PLL) were prepared by ring
opening polymerization of benzyloxycarbonyl lysine N-carboxyanhydride (Lys(Z)
NCA) initiated with primary amine of generation 4 polyamidoamine (PAMAM G4) and
subsequent deprotection of polyamidoamine-poly-(benzyloxycarbonyl lysine)
copolymer (PAMAM-PLL(Z)). The chemical structure and composition of the PAMAM-g
PLL with varying length of PLL arms were characterized by Fourier transform
infrared spectroscopy (FT-IR) and nuclear magnetic resonance spectroscopy ((1)H
NMR). Agarose gel electrophoresis test revealed that the PAMAM-g-PLL could
completely combine DNA to form complexes. The scanning electronic microscopy
(SEM) and atomic force microscopy (AFM) observation showed that the morphology of
these complexes was spherical. Dynamic light scattering (DLS) measurement
illustrated that the sizes of complexes were in range of 100-200 nm. The MTT
assay demonstrated that cytotoxicity of PAMAM-g-PLL were lower than the either
PAMAM G4 or the poly-L-lysine-15k (PLL-15k). The in vitro transfection test
indicated that the PAMAM-g-PLL with 3.8 average polymerization degrees of PLL
arms (PAMAM-PLL-3.8) displayed significantly higher transfection efficiency than
that of PAMAM G4 and PLL-15k at the same N/P ratio, Furthermore, PAMAM-PLL-3.8 at
the N/P of 40 or 80 displayed better serum-resistant capability than that of PEI
25k and Lipofectamine 2000. The DNA local delivery test in rabbit vessel
exhibited that the restenosis was inhibited to a significant extent. The above
facts revealed that PAMAM-PLL-3.8 is a promising gene vector with low
cytotoxicity, high transfection efficiency and serum-resistant ability.
PMID- 21893181
TI - Variable degrees of hearing impairment in a Dutch DFNX4 (DFN6) family.
AB - OBJECTIVE: Investigation of the audiometric characteristics of a large Dutch
DFNX4 family with a p.Glu72X mutation in the SMPX gene. PATIENTS AND METHODS:
Sixty family members participated in this study and examination consisted of
medical history, otoscopy, pure tone and speech audiometry. Linkage and mutation
analysis revealed a pathogenic mutation in the SMPX gene. RESULTS: All 25
mutation carriers exhibited hearing impairment, except one woman aged 25 years.
The men (n = 10) showed more severe hearing impairment than the women (n = 14)
and already at a younger age. The age of onset according to history was 2-10
years (mean: 3.3 years) in men and 3-48 years (mean: 26.4 years) in women. In the
men, severe threshold deterioration mainly occurred during the first two decades
of life, especially at the higher frequencies. The women showed milder threshold
deterioration and more pronounced across-subjects and individual inter-aural
variation, especially at 2-8 kHz. Longitudinal linear regression analysis
demonstrated significant progression of at least two frequencies in five
individuals (3 men and 2 women). The speech recognition scores of the mutation
carriers with hearing impairment were decreased at relatively young ages compared
to a reference group of patients with only presbycusis, especially in men.
However, all these patients tended to have better speech recognition scores than
the presbycusis patients at matching PTA(1,2,4 kHz) levels. CONCLUSION: This
study demonstrates the phenotypic heterogeneity in this large family with an X
linked pattern of inherited sensorineural hearing impairment. The men showed more
severe hearing impairment at a younger age with more pronounced progression
during the first two decades of life, while women demonstrated less severe
hearing impairment with more gradual progression and a wider variation in age of
onset, degree of hearing impairment and inter-aural asymmetry in thresholds.
PMID- 21893182
TI - Anti-inflammatory, analgesic and antipyretic properties of Thespesia populnea
Soland ex. Correa seed extracts and its fractions in animal models.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Thespesia populnea Sol. ex Correa (Malvaceae) is
commonly known as "Indian tulip tree". The plant has been used as an astringent,
antibacterial, anti-inflammatory, antinociceptive and hepatoprotective in Indian
system of traditional medicine. MATERIALS AND METHODS: Thespesia populnea seeds
were successively extracted by soxhlet extraction using petroleum ether (40-60
degrees C) (TPO) and ethanol (TPE). Unsaponifiable matter (TPOUM) and fatty acids
were separated from seed oil. A GC-MS analysis of fatty acid methyl esters was
carried out. Ethanolic extract was fractionated using CHCl(3), EtOAc, n-BuOH and
H(2)O. Acute arthritis was induced by sub-plantar injection of carrageenan into
the left hind paw of rats. The paw volume was measured using plethysmometer.
Analgesic activity was assessed by heat induced pains (tail immersion model) and
antipyretic activity assessed using brewers yeast-induced pyrexia model. RESULTS:
Oral administration of TPO and TPE at 200 and 400 mg/kg b.w. and tested fractions
at 200mg/kg significantly reduced carrageenan induced paw edema and brewers yeast
induced pyrexia. In tail immersion method also extracts and fractions showed
significant analgesic activity. Amongst all fractions EtOAc showed most
significant results. TPOUM showed significant anti-inflammatory and analgesic
activity. GC-MS analyses showed the presence of fourteen fatty acids, predominant
fatty acids were palmitic and stearic acid. CONCLUSION: These results highlighted
anti-inflammatory, analgesic and antipyretic effects of Thespesia populnea seeds.
PMID- 21893183
TI - An ethnobotanical survey of mosquito repellent plants in uMkhanyakude district,
KwaZulu-Natal province, South Africa.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The aim of the study was to document plants
traditionally used to repel mosquitoes in the uMkhanyakude district, KwaZulu
Natal, South Africa. The specific objectives of the study were to: (1) identify
plant species and their parts being used; (2) determine the condition of plant
material used and the method of application. MATERIALS AND METHODS: Data was
collected from 60 respondents in five villages in the district using standardised
and pre-tested questionnaires. RESULTS: Thirteen plant species are used in the
study area to repel mosquitoes. These species belong to 11 genera from 9
families. Meliaceae and Anacardiaceae were the most represented families with two
species each. The most frequently recorded species were Lippia javanica (91.67%),
followed by Aloe ferox (11.67%), Sclerocarya birrea (5%), Melia azedarach (3%),
Balanite maughamii (3%) and Mangifera indica (3%). Leaves were the most (38%)
common plant part used. The majority (82%) of the plant parts were used in a dry
state. Burning of plant materials to make smoke was the most (92%) common method
of application. Nine plant species, namely: A. ferox, Calausena anista, Croton
menyharthii, S. birrea, B. maughamii, Olax dissitiflora, Trichilia emetic, M.
indica, and Atalaya alata are documented for the first time as mosquito
repellents. CONCLUSION: This documentation provides the basis for further studies
in developing new, effective, safe and affordable plant-derived mosquito
repellents especially for Africa where malaria is highly prevalent. The study
also plays a part in documenting and conserving traditional knowledge of mosquito
repellent plants for future use.
PMID- 21893184
TI - The cardioprotective effects of marrubiin, a diterpenoid found in Leonotis
leonurus extracts.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Leonotis leonurus L. (Lamiaceae) is used as a
traditional medicine for a variety of ailments in South Africa. The diterpene
marrubiin is the major product constituent in specimens of this plant occurring
in South Africa. MATERIALS AND METHODS: Marrubiin isolated from South African
specimens of L. leonurus in addition to an organic extract of L. leonurus were
tested in vivo, ex vivo and in vitro for their anticoagulant, antiplatelet and
anti-inflammatory activities. RESULTS: Marrubiin and the organic extract
suppressed coagulation, platelet aggregation and inflammatory markers. For the
coagulation markers it was found that the organic extract and marrubiin
significantly prolonged activated partial thromboplastin time (APTT). Fibrin and
D-dimer formation were drastically decreased. These findings were observed in an
ex vivo model and an obese rat model. Chemokines enhance leukocyte recruitment to
inflammatory sites. TNF-alpha and RANTES secretion were significantly reduced by
the extract and marrubiin when determined in the obese rat model relative to the
controls. Calcium mobilization and TXB(2) synthesis were suppressed by the
extract and marrubiin. An in vitro model was used to elucidate the antiplatelet
mechanism and it was found that the extract and marrubiin inhibited platelet
aggregation by inhibiting the binding of fibrinogen to glycoprotein (GP) IIb/IIIa
receptor in a concentration dependent manner. CONCLUSION: The findings reflect
that marrubiin largely contributes to the extract's anticoagulant, antiplatelet
and anti-inflammatory effects observed.
PMID- 21893185
TI - Hepatoprotective effects and mechanisms of dehydrocavidine in rats with carbon
tetrachloride-induced hepatic fibrosis.
AB - AIM OF THE STUDY: The current study was designed to examine the effects and
possible mechanisms of dehydrocavidine (DC) on carbon tetrachloride (CCl4)
induced hepatic fibrosis in male Sprague-Dawley (SD) rats. MATERIALS AND METHODS:
Hepatic fibrosis was induced in male rats with CCl4 administration for 12 weeks.
Liver histopathological study was performed, and the liver function was examined
by determining the serum alanine aminotransferase (ALT), aspartate
aminotransferase (AST), alkaline phosphatase (ALP), lactate dehydrogenase (LDH)
and total bilirubin (TBIL) for evaluating the effect of DC on hepatic fibrosis.
The possible mechanisms were investigated by measuring hepatic collagen
metabolism and oxidative stress level. Furthermore, oligo microarray analysis of
263 genes was performed, and quantitative real-time RT-PCR was used to verify 4
of the abnormally expressed genes (Bcl2, Cyp3a13, IL18 and Rad50). RESULTS: DC
treatment significantly inhibited the loss of body weight and the increase of
liver weight induced by CCl4. DC also improved the liver function of rats as
indicated by decreased serum enzymatic activities of ALT, AST, ALP and TBIL.
Histopathological results indicated that DC alleviated liver damage and reduced
the formation of fibrous septa. Moreover, DC significantly decreased liver
hydroxyproline (Hyp) and increased urine Hyp. It also decreased liver
malondialdehyde concentration, increased activities of liver superoxide
dismutase, catalase and glutathione peroxidase. Microarray analysis revealed that
DC altered the expression of genes related to apoptosis, cytokines and other
proteins involved in tissue repair. CONCLUSIONS: Our findings indicate that DC
can protect rats from CCl4-induced hepatic fibrosis through reducing oxidative
stress, promoting collagenolysis, and regulating fibrosis-related genes.
PMID- 21893186
TI - Anti-hypoxic activity at simulated high altitude was isolated in petroleum ether
extract of Saussurea involucrata.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Rhodiola algida, Saussurea involucrata, and other
herbs grown in Qinghai-Tibetan plateau have long been used to prevent and treat
acute mountain sickness. AIM OF THE STUDY: To screen and identify the anti
hypoxic constituents in the herbs grown in Qinghai-Tibetan plateau of
Northwestern China. MATERIALS AND METHODS: The anti-hypoxic activities of 20
selected plateau herbs were examined against two positive controls, Rhodiola
algida and acetazolamide, using the normobaric hypoxia model of mice. The herb
with the highest activity was successively extracted with 70% ethanol, petroleum
ether, chloroform, ethyl acetate and n-butanol. The extract with the highest
activity was identified by comparing the survival time of mice under normobaric
hypoxia condition after being subjected to different extracts. The identified
extract was further tested by simulating high altitudes through an acute
decompression model and a chronic decompression model for mice. RESULTS: The herb
found to have the highest anti-hypoxic activity was Saussurea involucrate (Kar.
et Kir.) Sch.-Bip, and the most effective fraction was in the petroleum ether
extract. Administration of petroleum ether extract of Saussurea involucrata
(PESI) to mice at 50mg/kg significantly decreased the mortality of animals under
acute decompression conditions. Changes in biochemical indicators for
glycometabolism and energy metabolism, including adenosine triphosphate (ATP)
content and adenosine triphosphatase (ATPase) activity in brain and cardiac
muscle, lactic acid (LAC) and lactate dehydrogenase (LDH) in blood and cardiac
muscles, blood sugar, and glycogen content in liver and skeletal muscle were
reversed under chronic decompression conditions. CONCLUSIONS: Saussurea
involucrata (Kar. et Kir.) Sch.-Bip exhibits high anti-hypoxic activity that may
be effective in preventing acute mountain sickness, and the active constituents
are mainly in the petroleum ether extract.
PMID- 21893187
TI - The impact of acute-stressor exposure on splenic innate immunity: a gene
expression analysis.
AB - Exposure to intense, acute-stressors modulates immune function. We have
previously reported, for example, that exposure to a single session of
inescapable tailshock suppresses acquired and potentiates innate immune responses
mediated by the spleen. The mechanisms for these changes remain unknown, however,
they likely involve stress-induced modulation of cytokines. Cytokines operate in
coordinated networks that include other immunoregulatory factors. Broad-scoped
analyses are required to gain an understanding of the net-impact of stress on
these immunoregulatory factors and the immune system. The goal of this study,
therefore, is to examine the impact of acute-stressor exposure on network-wide
changes in splenic immunoregulatory factor expression. One hundred and sixty-one
genes linked to innate immune responses were quantified in the spleen following
exposure to tailshock using an RT-PCR based gene array. Expression changes in 17
of the measured genes were confirmed using individual RT-PCR reactions. Further
assessment of the expression changes using Exploratory Gene Association Networks
(EGAN) identified important ontologies, processes and pathways that are
indicative of a broader impact of stress on the immune system. Interestingly,
EGAN identified several linkages between immunoregulatory factors that may be
important in explaining previous results concerning the functional consequences
of stress on splenic immunity. Additional processes, some of which are novel to
this study, were also uncovered that may be important in directing future studies
examining the impact of stress on the immune system. In this way, these analyses
provide a better understanding of how acute stressor exposure modulates splenic
immunity and may function as predictive tool for future related studies.
PMID- 21893188
TI - Changes in pro/antioxidant balance in smoking and non-smoking pregnant women with
intrauterine growth restriction.
AB - The aim of this study was to investigate the influence of cigarette smoking on
the pro/antioxidant balance in pregnant women with intrauterine growth
restriction (IUGR). The studies have shown a 2-fold increase of Cd concentration
in blood of women with IUGR in labour and a 10-fold increase in smoking pregnant
women with IUGR. The increase of malondialdehyde concentration in plasma and 8
hydroxydeoxyguanosine in serum and Cu/Zn superoxide dismutase activity in
erythrocyte lysate of pregnants with IUGR, reinforced by smoking, was revealed.
We observed a decrease in the concentration of glutathione in blood and
glutathione peroxidase activity in plasma and in erythrocyte lysate. A 4-fold
higher metallothionein concentration in the plasma of women with IUGR in labour
suggests that metallothionein may be one of the IUGR markers. Metallothionein
concentration was intensified by smoking up to 7-fold in comparison to the
controls. The pro/antioxidant balance during pregnancy is significantly affected
by smoking.
PMID- 21893189
TI - Occult hepatitis C virus elicits mitochondrial oxidative stress in lymphocytes
and triggers PI3-kinase-mediated DNA damage response.
AB - Occult hepatitis C viral infection (OHCI) is a newly reported pathological entity
associated with increased risk of developing hepatocellular carcinoma and
lymphoproliferative disorders. Although hepatocytes are the primary sites of
viral replication, hepatitis C virus is potentially lymphotropic, invading and
propagating in cells of the immune system. Lymphocytes, the extrahepatic viral
reservoirs, are differentially implicated in the occult and the active forms of
the disease. This study aimed to elucidate the implications of mitochondrial
oxidative stress on the immune pathophysiological mechanisms of OHCI. We herein
report that OHCI induces mitochondrial oxidative stress, leading to DNA double
strand breaks and elicitation of a phosphoinositol 3-kinase-mediated cellular
response in peripheral blood lymphocytes. Compared to controls, OHCI subjects
showed higher accumulation of pATM, pATR, gammaH2AX, and p-p53, along with active
recruitment of repair proteins (Mre11, Rad50, and Nbs1) and altered mitochondrial
DNA content. Increased mitochondrial membrane depolarization and circulating
nucleosome levels along with chromatid-type aberrations and decreased T-cell
proliferative index observed in the OHCI group further indicated that this damage
might lead to Bax-triggered mitochondria-mediated cellular apoptosis. Together
our results provide the mechanistic underpinnings of mitochondrial dysfunction in
OHCI, a previously unknown paradigm, for explaining the immune pathogenesis in a
redox-dependent manner.
PMID- 21893190
TI - Adverse effects of serotonin depletion in developing zebrafish.
AB - In this study, p-chlorophenylalanine (pCPA), an inhibitor of tryptophan
hydroxylase (the rate limiting enzyme of serotonin synthesis), was used to reduce
serotonin (5HT) levels during early development in zebrafish embryos. One day old
dechorionated embryos were treated with 25 MUM pCPA for 24h and subsequently
rescued. Immunohistological studies using a 5HT antibody confirmed that 5HT
neurons in the brain and spinal cord were depleted of transmitter by 2 days post
fertilization (dpf). Twenty four hours after pCPA exposure embryos were unable to
burst swim and were nearly paralyzed. Movement began to improve at 4 dpf, and by
7 dpf, larvae exhibited swimming activity. Rescued larvae continued to grow in
rostrocaudal length over 5 days post-rescue, but their length was always 16-21%
below controls. Surprisingly, both groups displayed the same number of myotomes.
To examine whether hypertonicity of myotomes in treated embryos played a role in
their shorter rostrocaudal lengths, 1 dpf embryos were exposed to a combination
of 25 MUM pCPA and 0.6 mM of the sodium channel blocker ethyl 3-aminobenzoate
methanesulfonate (MS-222). After a 24 hour exposure, the embryos exhibited the
same rostrocaudal length as control embryos suggesting that myotome hypertonicity
plays a major role in the decreased axial length of the treated larvae. In
addition, pCPA treated 2 dpf embryos exhibited abnormal notochordal morphology
that persisted throughout recovery. Reverse transcriptase polymerase chain
reaction (RT-PCR) was performed to determine the relative levels of the serotonin
1A receptor (5HT(1A)) transcript and the serotonin transporter (SERT) transcript
in the brain and spinal cord of control and treated embryos. Transcripts were
present in both brain and spinal cord as early as 1 dpf and reached maximal
concentrations by 3 dpf. Embryos treated with pCPA demonstrated a decrease in the
concentration of 5HT(1A) transcript in both brain and spinal cord. While SERT
transcript levels remained unaffected in brain, they were decreased in spinal
cord. Five days subsequent to pCPA rescue, 5HT(1A) transcript concentrations
remained decreased in brain while SERT transcript levels were elevated in both
regions. These findings suggest that reduction of 5HT during early zebrafish
development may have an adverse effect on body length, notochordal morphology,
locomotor behavior, and serotonin message-related expression.
PMID- 21893192
TI - The third intracellular loop of D1 and D5 dopaminergic receptors dictates their
subtype-specific PKC-induced sensitization and desensitization in a receptor
conformation-dependent manner.
AB - We previously showed that phorbol-12-myristate-13-acetate (PMA) mediates a robust
PKC-dependent sensitization and desensitization of the highly homologous human Gs
protein and adenylyl cyclase (AC)-linked D1 (hD1R) and D5 (hD5R) dopaminergic
receptors, respectively. Here, we demonstrate using forskolin-mediated AC
stimulation that PMA-mediated hD1R sensitization and hD5R desensitization is not
associated with changes in AC activity. We next employed a series of chimeric
hD1R and hD5R to delineate the underlying structural determinants dictating the
subtype-specific regulation of human D1-like receptors by PMA. We first used
chimeric receptors in which the whole terminal region (TR) spanning from the
extracellular face of transmembrane domain 6 to the end of cytoplasmic tail (CT)
or CT alone were exchanged between hD1R and hD5R. CT and TR swaps lead to
chimeric hD1R and hD5R retaining PMA-induced sensitization and desensitization of
wild type parent receptors. In striking contrast, hD1R sensitization and hD5R
desensitization mediated by PMA are correspondingly switched to PMA-induced
receptor desensitization and sensitization following the IL3 swap between hD1R
and hD5R. Cell treatment with the PKC blocker, Go6983, inhibits PMA-induced
regulation of these chimeric receptors in a similar fashion to wild type
receptors. Further studies with chimeras constructed by exchanging IL3 and TR
show that PMA-induced regulation of these chimeras remains fully switched
relative to their respective wild type parent receptor. Interestingly, results
obtained with the exchange of IL3 and TR also reveal that the D1-like subtype
specific regulation by PMA, while fully dictated by IL3, can be modulated in a
receptor conformation-dependent manner. Overall, our results strongly suggest
that IL3 is the critical determinant underlying the subtype-specific regulation
of human D1-like receptor responsiveness by PKC.
PMID- 21893193
TI - Programmed cell death 6 (PDCD6) inhibits angiogenesis through PI3K/mTOR/p70S6K
pathway by interacting of VEGFR-2.
AB - Programmed cell death 6 (PDCD6) was originally found as a pro-apoptotic protein,
but its molecular mechanism is not well understood. In this study, we have
attempted to investigate the effects of PDCD6 on the inhibition of angiogenesis
mediated cell growth as a novel anti-angiogenic protein. Purified recombinant
human PDCD6 inhibited cell migration in a concentration-time-dependent manner. We
also found that overexpressed PDCD6 suppressed vascular endothelial growth factor
(VEGF)-induced proliferation, invasion, and capillary-like structure tube
formation in vitro. PDCD6 suppressed phosphorylation of signaling regulators
downstream from PI3K, including Akt, mammalian target of rapamycin (mTOR),
glycogen synthase kinase-3beta(GSK-3beta), ribosomal protein S6 kinase (p70S6K),
and also decreased cyclin D1 expression. We found binding PDCD6 to VEGFR-2, a key
player in the PI3K/mTOR/P70S6K signaling pathway. Taken together, these data
suggest that PDCD6 plays a significant role in modulating cellular angiogenesis.
PMID- 21893191
TI - Rac signaling in breast cancer: a tale of GEFs and GAPs.
AB - Rac GTPases, small G-proteins widely implicated in tumorigenesis and metastasis,
transduce signals from tyrosine-kinase, G-protein-coupled receptors (GPCRs), and
integrins, and control a number of essential cellular functions including
motility, adhesion, and proliferation. Deregulation of Rac signaling in cancer is
generally a consequence of enhanced upstream inputs from tyrosine-kinase
receptors, PI3K or Guanine nucleotide Exchange Factors (GEFs), or reduced Rac
inactivation by GTPase Activating Proteins (GAPs). In breast cancer cells Rac1 is
a downstream effector of ErbB receptors and mediates migratory responses by
ErbB1/EGFR ligands such as EGF or TGFalpha and ErbB3 ligands such as heregulins.
Recent advances in the field led to the identification of the Rac-GEF P-Rex1 as
an essential mediator of Rac1 responses in breast cancer cells. P-Rex1 is
activated by the PI3K product PIP3 and Gbetagamma subunits, and integrates
signals from ErbB receptors and GPCRs. Most notably, P-Rex1 is highly
overexpressed in human luminal breast tumors, particularly those expressing ErbB2
and estrogen receptor (ER). The P-Rex1/Rac signaling pathway may represent an
attractive target for breast cancer therapy.
PMID- 21893194
TI - Pathophysiologic role of hepatocyte nuclear factor 6.
AB - Hepatocyte nuclear factor 6 (HNF6) is one of liver-enriched transcription
factors. HNF6 utilizes the bipartite onecut-homeodomain sequence to localize the
HNF6 protein to the nuclear compartment and binds to specific DNA sequences of
numerous target gene promoters. HNF6 regulates an intricate network and mediates
complex biological processes that are best known in the liver and pancreas. The
function of HNF6 is correlated to cell proliferation, cell cycle regulation, cell
differentiation and organogenesis, cell migration and cell-matrix adhesion,
glucose metabolism, bile homeostasis, inflammation and so on. HNF6 controls the
transcription of its target genes in different ways. The details of the
regulatory pathways and their mechanisms are still under investigation. Future
study will explore HNF6 novel functions associated with apoptosis, oncogenesis,
and modulation of the inflammatory response. This review highlights recent
progression pertaining to the pathophysiologic role of HNF6 and summarizes the
potential mechanisms in preclinical animal models. HNF6-mediated pathways
represent attractive therapeutic targets for the treatment of the relative
diseases such as cholestasis.
PMID- 21893196
TI - Reprint of: Purification of Proteins Using Polyhistidine Affinity Tags.
PMID- 21893195
TI - A physiologically based model of hepatic ICG clearance: interplay between
sinusoidal uptake and biliary excretion.
AB - Although indocyanine green (ICG) has long been used for the assessment of liver
function, the respective roles of sinusoidal uptake and canalicular excretion in
determining hepatic ICG clearance remain unclear. Here this issue was addressed
by incorporating a liver model into a minimal physiological model of ICG
disposition that accounts of the early distribution phase after bolus injection.
Arterial ICG concentration-time data from awake dogs under control conditions and
from the same dogs while anesthetized with 3.5% isoflurane were subjected to
population analysis. The results suggest that ICG elimination in dogs is uptake
limited since it depends on hepatocellular uptake capacity and on biliary
excretion but not on hepatic blood flow. Isoflurane caused a 63% reduction in
cardiac output and a 33% decrease in the ICG biliary excretion rate constant
(resulting in a 26% reduction in elimination clearance) while leaving unchanged
the sinusoidal uptake rate. The terminal slope of the concentration-time curve,
K, correlated significantly with elimination clearance. The model could be useful
for assessing the functions of sinusoidal and canalicular ICG transporters.
PMID- 21893197
TI - Reprint of: Effective high-throughput overproduction of membrane proteins in
Escherichia coli.
AB - Structural biology is increasingly reliant on elevated throughput methods for
protein production. In particular, development of efficient methods of
heterologous production of membrane proteins is essential. Here, we describe the
heterologous overproduction of 24 membrane proteins from the human pathogen
Legionella pneumophila in Escherichia coli. Protein production was performed in
0.5ml cultures in standard 24-well plates, allowing increased throughput with
minimal effort. The effect of the location of a histidine purification tag was
analyzed, and the effect of decreasing the length of the N- and C-terminal
extensions introduced by the Gateway cloning strategy is presented. We observed
that the location and length of the purification tag significantly affected
protein production levels. In addition, an auto-induction protocol for membrane
protein expression was designed to enhance the overproduction efficiency such
that, regardless of the construct used, much higher expression was achieved when
compared with standard induction approaches such as isopropyl-beta-d
thiogalactopyranoside (IPTG). All 24 targets were produced at levels exceeding
2mg/l, with 18 targets producing at levels of 5mg/l or higher. In summary, we
have designed a fast and efficient process for the production of medically
relevant membrane proteins with a minimum number of screening parameters.
PMID- 21893199
TI - Reprint of: Expression and purification of ataxin-1 protein.
AB - Ataxin-1 is part of a larger family of polyglutamine-containing proteins that is
linked to nine distinct neurodegenerative disorders. There are no known effective
therapies for any of these expanded polyglutamine tract disorders. One possible
reason for this is the lack of sufficient amounts of pure polyglutamine
containing proteins suitable for biochemical and conformational studies. Here, we
show that we were able to successfully purify a non-pathological, wild-type human
ataxin-1 protein containing a 30-glutamine repeat sequence. This ataxin-1 protein
was expressed in Escherichia coli as a fusion protein with a GST tag at the N
terminus and a double (His)(6) tag at the C-terminus. The devised dual affinity
tag strategy allowed successful purification of the full-length ataxin-1 fusion
protein to 90% homogeneity as confirmed by Western blot analysis using the two
monoclonal ataxin-1 antibodies developed in our laboratory. In addition, the GST
tag was successfully removed from the purified ataxin-1 fusion protein by
treatment with Tobacco etch virus (TEV) protease. Since polyglutamine-containing
proteins tend to aggregate, solvents/buffers that minimize aggregation have been
used in the purification process. This dual affinity purification protocol could
serve as a useful basis for purifying aggregation-prone proteins that are
involved in other neurodegenerative diseases.
PMID- 21893200
TI - Reprint of: Immobilized-Metal Affinity Chromatography (IMAC): A Review.
AB - This article reviews the development of immobilized-metal affinity chromatography
(IMAC) and describes its most important applications. We provide an overview on
the use of IMAC in protein fractionation and proteomics, in protein
immobilization and detection, and on some special applications such as
purification of immunoglobulins and the Chelex method. The most relevant
application- purification of histidine-tagged recombinant proteins-will be
reviewed in greater detail with focus of state-of-the-art materials, methods, and
protocols, and the limitations of IMAC and recent advances to improve the
technology and the methods will be described.
PMID- 21893201
TI - Reprint of: Making the most of affinity tags.
AB - Proteins do not naturally lend themselves to high-throughput analysis because of
their diverse physiochemical properties. Consequently, affinity tags have become
indispensable tools for structural and functional proteomics initiatives.
Although originally developed to facilitate the detection and purification of
recombinant proteins, in recent years it has become clear that affinity tags can
have a positive impact on the yield, solubility and even the folding of their
fusion partners. However, no single affinity tag is optimal with respect to all
of these parameters; each has its strengths and weaknesses. Therefore,
combinatorial tagging might be the only way to harness the full potential of
affinity tags in a high-throughput setting.
PMID- 21893202
TI - Reprint of: Influence of the protein oligomericity on final yield after affinity
tag removal in purification of recombinant proteins.
AB - The new aspect concerning the applicability of histidine and other affinity tags
for the purification of oligomeric proteins, with particular emphasis on cleavage
efficiency and final yield, is presented in this study. The final yield depends
on both the cleavage efficiency and the degree of oligomerization of the protein.
Cleavage procedures that are good enough for monomeric proteins can be
problematic for oligomeric proteins. Random distribution of uncleaved or
partially cleaved affinity tags among oligomers is the main cause of reduced
yields. A trimeric protein, tumour necrosis factor alpha (TNF-alpha), bearing
different histidine tags, was used as a model protein to explore and confirm this
theoretical concept. Analysis of mixed TNF trimers, prepared from tag-free TNF
doped with various amounts of histidine-tagged TNF, revealed an increased
retention of the trimeric protein on immobilized metal-ion affinity
chromatography (IMAC) columns. When 20% of histidine-tagged TNF was added, more
than 50% of the protein was retained on the IMAC column. Thus, the applicability
of histidine- and other affinity tags for purifying oligomeric proteins is
significantly prejudiced in the case of higher oligomers. Various histidine-tags
were fused to the N-terminus of full-length TNF-alpha and to the truncated form
(dN6) of TNF-alpha. Two-step IMAC separation was used for purification. In the
first step, IMAC-1, over 95% purity of histidine-tagged protein was achieved in
all cases. Endo- and exoproteolytic removal of histidine tags with enterokinase
(EKmax) and aminodipeptidase (DAPase) was studied and the major parameters
affecting cleavage efficiency, microheterogeneity and final yield are critically
discussed. IMAC-2 was used as the second and final step for removing the cleavage
enzyme, cleaved tags, unprocessed protein and some other impurities. Selection of
the optimal cleavage enzyme depends on the amino acid composition of the N
terminus and the intended use of the purified protein. The main conclusion is
that special caution should be taken when introducing affinity tags to oligomeric
proteins, with the final goal to produce pure, tag-free protein with acceptable
yields. Given the same enzyme cleavage efficiency one can expect progressively
reduced final protein yields with increasing degree of oligomerization. This
should be considered as a general rule.
PMID- 21893203
TI - Reprint of: Comparison of affinity tags for protein purification.
AB - Affinity tags are highly efficient tools for purifying proteins from crude
extracts. To facilitate the selection of affinity tags for purification projects,
we have compared the efficiency of eight elutable affinity tags to purify
proteins from Escherichia coli, yeast, Drosophila, and HeLa extracts. Our results
show that the HIS, CBP, CYD (covalent yet dissociable NorpD peptide), Strep II,
FLAG, HPC (heavy chain of protein C) peptide tags, and the GST and MBP protein
fusion tag systems differ substantially in purity, yield, and cost. We find that
the HIS tag provides good yields of tagged protein from inexpensive, high
capacity resins but with only moderate purity from E. coli extracts and
relatively poor purification from yeast, Drosophila, and HeLa extracts. The CBP
tag produced moderate purity protein from E. coli, yeast, and Drosophila
extracts, but better purity from HeLa extracts. Epitope-based tags such as FLAG
and HPC produced the highest purity protein for all extracts but require
expensive, low capacity resin. Our results suggest that the Strep II tag may
provide an acceptable compromise of excellent purification with good yields at a
moderate cost.
PMID- 21893204
TI - Identification of an artificial peptide motif that binds and stabilizes reduced
human DJ-1.
AB - Although the precise biochemical function of DJ-1 remains unclear, it has been
found to exert cytoprotective activity against oxidative stress. Cys106 is
central to this function since it has a distinctly low pK(a) rendering it
extremely susceptible for oxidation. This characteristic, however, also poses a
severe hindrance to obtain reduced DJ-1 for in vitro investigation. We have
developed an approach to produce recombinant human DJ-1 in its reduced form as a
bona fide basis for exploring the redox capacities of the protein. We solved the
crystal structure of this DJ-1 at 1.56A resolution, allowing us to capture Cys106
in the reduced state for the first time. The dimeric structure reveals one
molecule of DJ-1 in its reduced state while the other exhibits the
characteristics of a mono-oxygenated cysteine. Comparison with previous
structures indicates the absence of redox dependent global conformational changes
in DJ-1. The capture of reduced Cys106 is facilitated by stabilization within the
putative active site achieved through a glutamate side chain. This side chain is
provided by a crystallographic neighbor as part of a 'Leu-Glu' motif, which was
added to the C-terminus of DJ-1. In the structure this motif binds DJ-1 in close
proximity to Cys106 through extended hydrophilic and hydrophobic interactions
depicting a distinct binding pocket, which can serve as a basis for compound
development targeting DJ-1.
PMID- 21893205
TI - White matter atlas generation using HARDI based automated parcellation.
AB - Most diffusion imaging studies have used subject registration to an atlas space
for enhanced quantification of anatomy. However, standard diffusion tensor
atlases lack information in regions of fiber crossing and are based on adult
anatomy. The degree of error associated with applying these atlases to studies of
children for example has not yet been estimated but may lead to suboptimal
results. This paper describes a novel technique for generating population
specific high angular resolution diffusion imaging (HARDI)-based atlases
consisting of labeled regions of homogenous white matter. Our approach uses a
fiber orientation distribution (FOD) diffusion model and a data driven clustering
algorithm. White matter regional labeling is achieved by our automated data
driven clustering algorithm that has the potential to delineate white matter
regions based on fiber complexity and orientation. The advantage of such an atlas
is that it is study specific and more comprehensive in describing regions of
white matter homogeneity as compared to standard anatomical atlases. We have
applied this state of the art technique to a dataset consisting of adolescent and
preadolescent children, creating one of the first examples of a HARDI-based
atlas, thereby establishing the feasibility of the atlas creation framework. The
white matter regions generated by our automated clustering algorithm have lower
FOD variance than when compared to the regions created from a standard anatomical
atlas.
PMID- 21893206
TI - Grouping of MEG gamma oscillations by EEG sleep spindles.
AB - Studies have revealed an association between EEG sleep spindles and processing of
memories during sleep. Here we investigated whether there is a temporal relation
between sleep spindles and MEG oscillatory activity in the gamma frequency band
(>30 Hz) which is considered to reflect local cortical processing of memory
representations. MEG and simultaneous EEG (at Cz) were obtained in subjects
during sleep together with standard polysomnography. As expected EEG spindles
were correlated with power increases in MEG spindle (12.5-15.5 Hz) power mainly
over prefrontal and occipital cortical areas. During EEG spindles we revealed
both transient significant increases and decreases in MEG power, with decreases
occurring significantly more often than increases. The modulations in gamma power
occurred mainly at sites of increased MEG spindle power, and more often during
peaks than troughs within the EEG spindle cycle. Cross-frequency coherence
analyses confirmed a strong phase-coupling of gamma band activity with the
spindle rhythm. The findings are consistent with the idea that spindles provide a
fine-tuned temporal frame for integrated cortical memory processing during sleep.
PMID- 21893207
TI - Direct evidence of intra- and interhemispheric corticomotor network degeneration
in amyotrophic lateral sclerosis: an automated MRI structural connectivity study.
AB - Although the pathogenesis of amyotrophic lateral sclerosis (ALS) is uncertain,
there is mounting neuroimaging evidence to suggest a mechanism involving the
degeneration of multiple white matter (WM) motor and extramotor neural networks.
This insight has been achieved, in part, by using MRI Diffusion Tensor Imaging
(DTI) and the voxelwise analysis of anisotropy indices, along with DTI
tractography to determine which specific motor pathways are involved with ALS
pathology. Automated MRI structural connectivity analyses, which probe WM
connections linking various functionally discrete cortical regions, have the
potential to provide novel information about degenerative processes within
multiple white matter (WM) pathways. Our hypothesis is that measures of altered
intra- and interhemispheric structural connectivity of the primary motor and
somatosensory cortex will provide an improved assessment of corticomotor
involvement in ALS. To test this hypothesis, we acquired High Angular Resolution
Diffusion Imaging (HARDI) scans along with high resolution structural images
(sMRI) on 15 patients with clinical evidence of upper and lower motor neuron
involvement, and 20 matched control participants. Whole brain probabilistic
tractography was applied to define specific WM pathways connecting discrete
corticomotor targets generated from anatomical parcellation of sMRI of the brain.
The integrity of these connections was interrogated by comparing the mean
fractional anisotropy (FA) derived for each WM pathway. To assist in the
interpretation of results, we measured the reproducibility of the FA summary
measures over time (6months) in control participants. We also incorporated into
our analysis pipeline the evaluation and replacement of outlier voxels due to
head motion and physiological noise. When assessing corticomotor connectivity, we
found a significant reduction in mean FA within a number of intra- and
interhemispheric motor pathways in ALS patients. The abnormal intrahemispheric
pathways include the corticospinal tracts involving the left and right precentral
gyri (lh.preCG, rh.preCG) and brainstem (bs); right postcentral gyrus (rh.postCG)
and bs; lh.preCG and left posterior cingulate gyrus (lh.PCG); rh.preCG and right
posterior cingulate gyrus (rh.PCG); and the rh.preCG and right paracentral gyrus
(rh.paraCG). The abnormal interhemispheric pathways included the lh.preCG and
rh.preCG; lh.preCG and rh.paraCG; lh.preCG and right superior frontal gyrus
(rh.supFG); lh.preCG and rh.postCG; rh.preCG and left paracentral gyrus
(lh.paraCG); rh.preCG and left superior frontal gyrus (lh.supFG); and the
rh.preCG and left caudal middle frontal gyrus (lh.caudMF). The reproducibility of
the measurement of these pathways was high (variation less than 5%). Maps of the
outlier rejection voxels, revealed clusters within the corpus callosum and
corticospinal projections. This finding highlights the importance of correcting
for motion artefacts and physiological noise when studying clinical populations.
Our novel findings, many of which are consistent with known pathology, show
extensive involvement and degeneration of multiple corticomotor pathways in
patients with upper and lower motor neuron signs and provide support for the use
of automated structural connectivity techniques for studying neurodegenerative
disease processes.
PMID- 21893209
TI - Iron and copper in fetal development.
AB - Copper and iron are both essential micronutrients. Because they can both accept
and donate electrons, they are central to many energy dependent chemical
reactions. For example, copper is a critical part of ferroxidase enzymes
ceruloplasmin, hephaestin and zyklopen, as well as enzymes such as dopamine-beta
monoxygenase, while iron is part of the catalytic site of many cytochromes and
enzymes involved in fatty acid desaturation. Unsurprisingly, therefore, copper
and iron deficiency, especially during pregnancy, when cell proliferation and
differentiation are very active, sub-optimal nutrient status can lead to serious
consequences. These problems can persist into adulthood, with an increased risk
of mental problems such as schizophrenia and, in animal models at least,
hypertension and obesity. In this review, we consider what these problems are and
how they may arise. We examine the role of copper and iron deficiencies
separately during fetal development, in terms of birth outcome and then how
problems with status in utero can have long term sequelae for the offspring. We
examine several possible mechanisms of action, both direct and indirect. Direct
causes include, for example, reduced enzyme activity, while indirect ones may
result from changes in cytokine activity, reductions in cell number or increased
apoptosis, to name but a few. We examine a very important area of nutrition
interactions between the micronutrients and conclude that, while we have made
significant advances in understanding the relationship between micronutrient
status and pregnancy outcome, there is still much to be learned.
PMID- 21893208
TI - Susceptibility induced gray-white matter MRI contrast in the human brain.
AB - MR phase images have shown significantly improved contrast between cortical gray
and white matter regions compared to magnitude images obtained with gradient echo
sequences. A variety of underlying biophysical mechanisms (including iron, blood,
myelin content, macromolecular chemical exchange, and fiber orientation) have
been suggested to account for this observation but assessing the individual
contribution of these factors is limited in vivo. For a closer investigation of
iron and myelin induced susceptibility changes, postmortem MRI of six human
corpses (age range at death: 56-80 years) was acquired in situ. Following
autopsy, the iron concentrations in the frontal and occipital cortex as well as
in white matter regions were chemically determined. The magnetization transfer
ratio (MTR) was used as an indirect measure for myelin content. Susceptibility
effects were assessed separately by determining R2* relaxation rates and
quantitative phase shifts. Contributions of myelin and iron to local variations
of the susceptibility were assessed by univariate and multivariate linear
regression analysis. Mean iron concentration was lower in the frontal cortex than
in frontal white matter (26 +/- 6 vs. 45 +/- 6 mg/kg wet tissue) while an inverse
relation was found in the occipital lobe (cortical gray matter: 41 +/- 10 vs.
white matter: 34 +/- 10mg/kg wet tissue). Multiple regression analysis revealed
iron and MTR as independent predictors of the effective transverse relaxation
rate R2 but solely MTR was identified as source of MR phase contrast. R2 was
correlated with iron concentrations in cortical gray matter only (r=0.42,
p<0.05). In conclusion, MR phase contrast between cortical gray and white matter
can be mainly attributed to variations in myelin content, but not to iron
concentration. Both, myelin and iron impact the effective transverse relaxation
rate R2 significantly. Magnitude contrast is limited because it only reflects the
extent but not the direction of the susceptibility shift.
PMID- 21893210
TI - Reversible metabolic depression in lamprey hepatocytes during prespawning
migration: dynamics of mitochondrial membrane potential.
AB - The lamprey (Lampetra fluviatilis L.) is an extant representative of the ancient
vertebrate group of Agnathans. During the prespawning migration (the river period
of life from autumn until spring) lamprey hepatocytes exhibit widely different
energy states: a high-energy state in autumn and spring, corresponding to a
normal physiological standard, and a low-energy state in winter, which is
provoked by prolonged starvation and profound metabolic arrest. In spring the
restoration of energy status (return to an active state) is associated with
hormonally induced lipolysis of the lipid droplets stored in the cells. Lamprey
hepatocytes demonstrate an aerobic metabolism based on oxidation of free fatty
acids. The dynamics of mitochondrial membrane potential (MMP) were measured
throughout the prespawning migration. Pharmacological inhibition of the electron
transport chain decreased the MMP and caused extensive depletion of cellular ATP
without loss of cell viability. The potential molecular mechanisms responsible
for winter metabolic depression in lamprey hepatocytes are discussed.
PMID- 21893211
TI - Molecular cloning, expression analysis of insulin-like growth factor I (IGF-I)
gene and IGF-I serum concentration in female and male Tongue sole (Cynoglossus
semilaevis).
AB - Insulin-like growth factor I (IGF-I) is a polypeptide hormone that regulates
growth during all stages of development in vertebrates. To examine the mechanisms
of the sexual growth dimorphism in the Tongue sole (Cynoglossus semilaevis),
molecular cloning, expression analysis of IGF-I gene and IGF-I serum
concentration analysis were performed. As a result, the IGF-I cDNA sequence is
911 bp, which contains an open reading frame (ORF) of 564 bp encoding a protein
of 187 amino acids. The sex-specific tissue expression was analyzed by using 14
tissues from females, normal males and extra-large male adults. The IGF-I mRNA
was predominantly expressed in liver, and the IGF-I expression levels in females
and extra-large males were 1.9 and 10.2 times as much as those in normal males,
respectively. Sex differences in IGF-I mRNA expressions at early life stages were
also examined by using a full-sib family of C. semilaevis, and the IGF-I mRNA was
detected at all of the 27 sampling points from 10 to 410 days old. An increase in
IGF-I mRNA was detected after 190 day old fish. The significantly higher levels
of IGF-I mRNA in females were observed after 190 days old in comparison with
males (P<0.01). The IGF-I concentrations in serum of mature individuals were
detected by ELISA. The IGF-I level in the serum of females was approximately two
times as much as that of males. Consequently, IGF-I may play an important role in
the endocrine regulation of the sexually dimorphic growth of C. semilaevis.
PMID- 21893212
TI - Complexes of mismatched and complementary DNA with minor groove binders.
Structures at nucleotide resolution via an improved hydroxyl radical cleavage
methodology.
AB - Tumor cell lines can replicate faster than normal cells and many also have
defective DNA repair pathways. This has lead to the investigation of the
inhibition of DNA repair proteins as a means of therapeutic intervention. An
alternative approach is to hide or mask damaged DNA from the repair systems. We
have developed a protocol to investigate the structures of the complexes of
damaged DNA with drug like molecules. Nucleotide resolution structural
information can be obtained using an improved hydroxyl radical cleavage protocol.
The use of a dT(n) tail increases the length of the smallest fragments of
interest and allows efficient co-precipitation of the fragments with poly(A). The
use of a fluorescent label, on the 5' end of the dT(n) tail, in conjunction with
modified cleavage reaction conditions, avoids the lifetime and other problems
with (32)P labeling. The structures of duplex DNAs containing AC and CC
mismatches in the presence and absence of minor groove binders have been
investigated as have those of the fully complementary DNA. The results indicate
that the structural perturbations of the mismatches are localized, are sequence
dependent and that the presence of a mismatch can alter the binding of drug like
molecules.
PMID- 21893213
TI - The current status of opisthorchiasis and clonorchiasis in the Mekong Basin.
AB - This review highlights the current status and control of liver fluke infections
in the Mekong Basin countries where Opisthorchis and Clonorchis are highly
endemic. Updated data on prevalence and distribution have been summarized from
presentations in the "96 Years of Opisthorchiasis. International Congress of
Liver Flukes". It is disturbing that despite treatment and control programs have
been in place for decades, all countries of the Lower Mekong Basin are still
highly endemic with O. viverrini and/or C. sinensis as well as alarmingly high
levels of CCA incidence. A common pattern that is emerging in each country is the
difference in transmission of O. viverrini between lowlands which have high
prevalence versus highlands which have low prevalence. This seems to be
associated with wetlands, flooding patterns and human movement and settlement. A
more concerted effort from all community, educational, public health and
government sectors is necessary to successfully combat this fatal liver disease
of the poor.
PMID- 21893214
TI - Retraction notice to "Pigment epithelium-derived factor inhibits vascular
endothelial growth factor-and interleukin-1beta-induced vascular permeability and
angiogenesis in retinal endothelial cells" Vascular Pharmacology 52 (2010) 84-94.
PMID- 21893215
TI - Block urn design - a new randomization algorithm for sequential trials with two
or more treatments and balanced or unbalanced allocation.
AB - Permuted block design is the most popular randomization method used in clinical
trials, especially for trials with more than two treatments and unbalanced
allocation, because of its consistent imbalance control and simplicity in
implementation. However, the risk of selection biases caused by high proportion
of deterministic assignments is a cause of concern. Efron's biased coin design
and Wei's urn design provide better allocation randomness without deterministic
assignments, but they do not consistently control treatment imbalances.
Alternative randomization designs with improved performances have been proposed
over the past few decades, including Soares and Wu's big stick design, which has
high allocation randomness, but is limited to two-treatment balanced allocation
scenarios only, and Berger's maximal procedure design which has a high allocation
randomness and a potential for more general trial scenarios, but lacks the
explicit function for the conditional allocation probability and is more complex
to implement than most other designs. The block urn design proposed in this paper
combines the advantages of existing randomization designs while overcoming their
limitations. Statistical properties of the new algorithm are assessed and
compared to currently available designs via analytical and computer simulation
approaches. The results suggest that the block urn design simultaneously provides
consistent imbalance control and high allocation randomness. It can be easily
implemented for sequential clinical trials with two or more treatments and
balanced or unbalanced allocation.
PMID- 21893216
TI - Lactobacillus plantarum strain YU from fermented foods activates Th1 and
protective immune responses.
AB - Lactic acid bacteria (LAB) are known to have effects on immune function. From 203
strains of LAB isolated from fermented foods, we selected a beneficial strain,
Lactobacillus plantarum strain YU (LpYU), which has high interleukin (IL)-12
inducing activity in mouse peritoneal macrophages. This activity of LpYU was
partially mediated by Toll-like receptor (TLR) 2, but not TLR4 or TLR9. Oral
administration of LpYU to ovalbumin (OVA)-immunized mice caused suppression of
serum OVA-specific immunoglobulin E (IgE) levels, enhancing interferon (IFN)
gamma production from spleen cells in response to OVA. Furthermore, LpYU enhanced
natural killer cell activity in spleen cells and the production of IgA from
Peyer's patch cells. Because activation of Th1 immune responses and IgA
production induce antiviral effects, we evaluated the inhibitory effects of LpYU
against the influenza A virus (A/NWS/33, H1N1) (IFV). Oral administration of LpYU
suppressed viral proliferation in the lungs and in bronchoalveolar lavage fluids
(BALFs). Both levels of IFV-specific secretory IgA in BALF and feces and titers
of IFV-specific neutralizing antibody in BALFs and sera were increased. These
results indicate that LpYU has a protective effect against IFV replication. We
conclude that this strain has a beneficial effect in activating Th1 immune
responses and preventing viral infection.
PMID- 21893218
TI - The mitochondrial proteome of the model legume Medicago truncatula.
AB - Legumes carry out special biochemical functions, e.g. the fixation of molecular
nitrogen based on a symbiosis with proteobacteria. At the cellular level, this
symbiosis has to be implemented into the energy metabolism of the host cell. To
provide a basis for future analyses, we have characterized the protein complement
of mitochondria of the model legume Medicago truncatula using two-dimensional
isoelectric focussing (IEF) and blue-native (BN)-SDS-PAGE. While the IEF
reference map resulted mainly in resolution of those proteins associated with the
mitochondrial matrix, the BN proteomic map allowed separation of protein subunits
from the respiratory chain protein complexes, which are located in the
organelle's inner membrane. The M. truncatula mitochondrial BN reference map
revealed some striking similarities to the one from Arabidopsis thaliana but at
the same time exhibited also some special features: complex II is of increased
abundance and additionally represented by a low molecular mass form not reported
for Arabidopsis. Furthermore three highly abundant forms of prohibitin complexes
are present in the mitochondrial proteome of M. truncatula. Special features with
respect to mitochondrial protein complexes might reflect adaptations of legumes
to elevated cellular energy requirements enabling them to develop symbiotic
interactions with rhizobial bacteria.
PMID- 21893217
TI - Genetic variation of alphaENaC influences lung diffusion during exercise in
humans.
AB - Exercise, decompensated heart failure, and exposure to high altitude have been
shown to cause symptoms of pulmonary edema in some, but not all, subjects,
suggesting a genetic component to this response. Epithelial Na(+) Channels (ENaC)
regulate Na(+) and fluid reabsorption in the alveolar airspace in the lung. An
increase in number and/or activity of ENaC has been shown to increase lung fluid
clearance. Previous work has demonstrated common functional genetic variants of
the alpha-subunit of ENaC, including an A->T substitution at amino acid 663
(alphaA663T). We sought to determine the influence of the T663 variant of
alphaENaC on lung diffusion at rest and at peak exercise in healthy humans.
Thirty healthy subjects were recruited for study and grouped according to their
SCNN1A genotype [n=17 vs. 13, age=25+/-7 years vs. 30+/-10 years, BMI=23+/-4
kg/m(2) vs. 25+/-4 kg/m(2), V(O2 peak) = 95+/-30%pred. vs. 100+/-31%pred., mean+/
SD, for AA (homozygous for alphaA663) vs. AT/TT groups (at least one alphaT663),
respectively]. Measures of the diffusing capacity of the lungs for carbon
monoxide (DL(CO)), the diffusing capacity of the lungs for nitric oxide (DL(NO)),
alveolar volume (V(A)), and alveolar-capillary membrane conductance (D(M)) were
taken at rest and at peak exercise. Subjects expressing the AA polymorphism of
ENaC showed a significantly greater percent increase in DL(CO) and DL(NO), and a
significantly greater decrease in systemic vascular resistance from rest to peak
exercise than those with the AT/TT variant (DL(CO)=51+/-12% vs. 36+/-17%,
DL(NO)=51+/-24% vs. 32+/-25%, SVR=-67+/-3 vs. -50+/-8%, p<0.05). The AA ENaC
group also tended to have a greater percent increase in DL(CO)/VA from rest to
peak exercise, although this did not reach statistical significance (49+/-26% vs.
33+/-26%, p=0.08). These results demonstrate that genetic variation of the alpha
subunit of ENaC at amino acid 663 influences lung diffusion at peak exercise in
healthy humans, suggesting differences in alveolar Na(+) and, therefore, fluid
handling. These findings could be important in determining who may be susceptible
to pulmonary edema in response to various clinical or environmental conditions.
PMID- 21893219
TI - Characterization of JBURE-IIb isoform of Canavalia ensiformis (L.) DC urease.
AB - Ureases, nickel-dependent enzymes that catalyze the hydrolysis of urea into
ammonia and bicarbonate, are widespread in plants, bacteria, and fungi.
Previously, we cloned a cDNA encoding a Canavalia ensiformis urease isoform named
JBURE-II, corresponding to a putative smaller urease protein (78kDa) when
compared to other plant ureases. Aiming to produce the recombinant protein, we
obtained jbure-IIb, with different 3' and 5' ends, encoding a 90kDa urease. Three
peptides unique to the JBURE-II/-IIb protein were detected by mass spectrometry
in seed extracts, indicating that jbure-II/-IIb is a functional gene. Comparative
modeling indicates that JBURE-IIb urease has an overall shape almost identical to
C. ensiformis major urease JBURE-I with all residues critical for urease
activity. The cDNA was cloned into the pET101 vector and the recombinant protein
was produced in Escherichia coli. The JBURE-IIb protein, although enzymatically
inactive presumably due to the absence of Ni atoms in its active site, impaired
the growth of a phytopathogenic fungus and showed entomotoxic properties,
inhibiting diuresis of Rhodnius prolixus isolated Malpighian tubules, in
concentrations similar to those reported for JBURE-I and canatoxin. The
antifungal and entomotoxic properties of the recombinant JBURE-IIb apourease are
consistent with a protective role of ureases in plants.
PMID- 21893220
TI - A girl with an atypical form of ataxia telangiectasia and an additional de novo
3.14 Mb microduplication in region 19q12.
AB - A 9-year-old girl born to healthy parents showed manifestations suggestive of
ataxia telangiectasia (AT), such as short stature, sudden short bouts of
horizontal and rotary nystagmus, a weak and dysarthric voice, rolling gait,
unstable posture, and atactic movements. She did not show several cardinal
features typical of AT such as frequent, severe infections of the respiratory
tract. In contrast, she showed symptoms not generally related to AT, including
microcephaly, profound motor and mental retardation, small hands and feet,
severely and progressively reduced muscle tone with slackly protruding abdomen
and undue drooling, excess fat on her upper arms, and severe oligoarthritis. A
cranial MRI showed no cerebellar hypoplasia and other abnormalities. In
peripheral blood samples she carried a de novo duplication of 3.14 Mb in
chromosomal region 19q12 containing six annotated genes, UQCRFS1, VSTM2B, POP4,
PLEKHF1, CCNE1, and ZNF536, and a de novo mosaic inversion 14q11q32 (96% of
metaphases). In a saliva-derived DNA sample only the duplication in 19q12 was
detected, suggesting that the rearrangements in blood lymphocytes were acquired.
These findings reinforced the suspicion that she had AT. AT was confirmed by
strongly elevated serum AFP levels, cellular radiosensitivity and two inherited
mutations in the ATM gene (c.510_511delGT; paternal origin and c.2922
50_2940del69; maternal origin). This case suggest that a defective ATM-dependent
DNA damage response may entail additional stochastic genomic rearrangements.
Screening for genomic rearrangements appears indicated in patients suspected of
defective DNA damage responses.
PMID- 21893221
TI - A Wolff in sheep's clothing: trabecular bone adaptation in response to changes in
joint loading orientation.
AB - This study tests Wolff's law of trabecular bone adaptation by examining if
induced changes in joint loading orientation cause corresponding adjustments in
trabecular orientation. Two groups of sheep were exercised at a trot, 15 min/day
for 34 days on an inclined (7 degrees ) or level (0 degrees ) treadmills. Incline
trotting caused the sheep to extend their tarsal joints by 3-4.5 degrees during
peak loading (P<0.01) but has no effect on carpal joint angle (P=0.984).
Additionally, tarsal joint angle in the incline group sheep were maintained more
extended throughout the day using elevated platform shoes on their forelimbs. A
third "sedentary group" group did not run but wore platform shoes throughout the
day. As predicted by Wolff's law, trabecular orientation in the distal tibia
(tarsal joint) were more obtuse by 2.7 to 4.3 degrees in the incline group
compared to the level group; trabecular orientation was not significantly
different in the sedentary and level groups. In addition, trabecular orientations
in the distal radius (carpal joint) of the sedentary, level and incline groups
did not differ between groups, and were aligned almost parallel to the radius
long axis, corresponding to the almost straight carpal joint angle at peak
loading. Measurements of other trabecular bone parameters revealed additional
responses to loading, including significantly higher bone volume fraction
(BV/TV), Trabecular number (Tb.N) and trabecular thickness (Tb.Th), lower
trabecular spacing (Tb.Sp), and less rod-shaped trabeculae (higher structure
model index, SMI) in the exercised than sedentary sheep. Overall, these results
demonstrate that trabecular bone dynamically adjusts and realigns itself in very
precise relation to changes in peak loading direction, indicating that Wolff's
law is not only accurate but also highly sensitive.
PMID- 21893222
TI - Zinc deficiency decreases osteoblasts and osteoclasts associated with the reduced
expression of Runx2 and RANK.
AB - The effects of Zinc(Zn)-deficiency on the function and differentiation of
osteoblasts and osteoclasts were investigated in vivo using rats, which were fed
a Zn-adequate (control) or Zn-free diet (ZD) or pair-fed a Zn-adequate diet (PF)
for 3 weeks. Levels of Zn, insulin, insulin-like growth factor I (IGF-I), and
osteoclacin in serum and the activities and numbers of osteoblasts and
osteoclasts in bone decreased in ZD rats compared with the control and PF rats.
The frequency analyses showed that the precursors of osteoblasts and osteoclasts
decreased in bone marrow of ZD, but not PF, rats. The expression of receptor for
activation of NF-kappaB (RANK) decreased with the Zn-deficiency, although RANK
ligand, osteoprotegerin, macrophage colony-stimulating factor, and c-fms levels
were unaltered. The protein level of a transcription factor MITF, but not PU.1,
decreased. The expression of Runx2 decreased associated with the decrease in beta
catenin protein and the suppression of glycogen synthase kinase 3beta (GSK3beta)
inhibition and Akt activation. The gene expression of the insulin receptor, IGF-I
and the IGF-I receptor was decreased with a reduced level of transcription factor
SP-1. These results suggested that a deficiency of Zn decreased
osteoclastogenesis associated with the reduced expression of RANK through a
decrease in MITF protein, and osteoblastogenesis associated with the reduced
expression of Runx2 through the inhibition of Wnt/beta-catenin signaling via the
suppression of GSK3beta inhibition and Akt activation preceded by the reduced
level of SP-1 protein.
PMID- 21893223
TI - Investigating the mechanism for maintaining eucalcemia despite immobility and
anuria in the hibernating American black bear (Ursus americanus).
AB - Ursine hibernation uniquely combines prolonged skeletal unloading, anuria,
pregnancy, lactation, protein recycling, and lipolysis. This study presents a
radiographic and biochemical picture of bone metabolism in free-ranging, female
American black bears (Ursus americanus) that were active (spring bears and autumn
bears) or hibernating (hibernating bears). Hibernating bears included lactating
and non-lactating individuals. We measured serum calcium, albumin, inorganic
phosphate, creatinine, bone specific alkaline phosphatase (BSALP), CTX,
parathyroid hormone, insulin-like growth factor-I (IGF-l), leptin, 25
hydroxyvitamin D [25(OH)D], 1,25-dihydroxyvitamin D [1,25(OH)(2)D] and sclerostin
from 35 to 50 tranquilized hibernating bears and 14 to 35 tranquilized spring
bears. We compared metacarpal cortical indices (MCI), measured by digital X-ray
radiogrammetry, from 60 hunter-killed autumn bears and 79 tranquilized,
hibernating bears. MCI was greater in autumn than winter in younger bears, but
showed no seasonal difference in older bears. During hibernation eucalcemia was
maintained, BSALP was suppressed, and CTX was in the range expected for anuria.
During hibernation 1,25(OH)(2)D was produced despite anuria. 1,25(OH)(2)D and IGF
I were less in hibernating than spring bears. In a quarter of hibernating bears,
sclerostin was elevated. Leptin was greater in hibernating than spring bears. In
hibernating bears, leptin correlated positively with BSALP in non-lactating bears
and with CTX in lactating bears. Taken together the biochemical and radiographic
findings indicate that during hibernation, bone turnover was persistent,
balanced, and suppressed; bone resorption was lower than expected for an unloaded
skeleton; and there was no unloading-induced bone loss. The skeleton appears to
perceive that it was loaded when it was actually unloaded during hibernation.
However, at the level of sclerostin, the skeleton recognized that it was
unloaded. During hibernation leptin appeared anabolic in non-lactating bears and
catabolic in lactating bears. We hypothesize that ursine hibernation may
represent a natural model in which suppression of the sympathetic nervous system
prevents unloading-induced bone loss by influencing leptin's skeletal effects and
preventing transmission of loading information.
PMID- 21893224
TI - Age as prognostic factor in patients with osteosarcoma.
AB - Age at diagnosis is a well known prognostic factor in many different
malignancies; its significance for patients with osteosarcoma is however
controversial. To gain more insight in the prognostic role of age, we performed a
retrospective study at our institute. We included 102 patients with de-novo
osteosarcoma and formed three age groups to evaluate age specific survival rates:
<= 14 years, 15-19 years and 20-40 years. Differences in outcome between patients
aged 15-19 years treated at either the pediatric department or the adult
department of oncology were evaluated. The 5-year overall survival rate (OSR) of
the whole population was 53.5%+/-1.5%. OSR of 70.6%+/-0.8% was seen in patients
<= 14 years old, 52.5%+/-1.1% in patients 15-19 years old and 33.3%+/-0.9% in the
patients aged 20-40 years (p=0.01). Significant differences were observed with
regard to stage at presentation (higher in older age groups), size of the tumor
(larger in younger age groups) and histological response (more good responders in
younger age groups). No significant difference was seen between outcomes of
patients aged 15-19 years treated at the pediatric or adult oncology department.
In conclusion, younger patients have a significantly better outcome than older
patients.
PMID- 21893225
TI - Effects of one year daily teriparatide treatment on trabecular bone material
properties in postmenopausal osteoporotic women previously treated with
alendronate or risedronate.
AB - In the present work we examined the effect of teriparatide administration
following bisphosphonate treatment on bone compositional properties by Raman and
Fourier Transform Infrared Imaging (FTIR) microspectroscopic analysis. Thirty two
paired iliac crest biopsies (before and after 1 year teriparatide) from sixteen
osteoporotic women previously treated with either Alendronate (ALN) or
Risedronate (RIS) and subsequently treated 12 months with teriparatide (TPTD)
were analyzed at anatomical areas of similar tissue age in bone forming areas
(within the fluorescent double labels). The outcomes that were monitored and
reported were mineral to matrix ratio (corresponding to ash weight), mineral
maturity (indicative of the mineral crystallite chemistry and stoichiometry, and
having a direct bearing on crystallite shape and size), relative proteoglycan
content (regulating mineralization commencement), and the ratio of two of the
major enzymatic collagen cross-links (pyridinoline/divalent). Significant
differences in mineral/matrix, mineral maturity/crystallinity, and collagen cross
link ratio bone quality indices after TPTD treatment were observed, indicating a
specific response of these patients to TPTD treatment. Moreover differences
between ALN and RIS treated patients at baseline in the collagen cross-link ratio
were observed. Since tissue areas of similar tissue age were analyzed, these
differences may not be attributed to differences in bone turnover.
PMID- 21893226
TI - Role of farnesoid X receptor (FXR) in the process of differentiation of bone
marrow stromal cells into osteoblasts.
AB - Bone tissue contains bile acids which accumulate from serum and which can be
released in large amounts in the bone microenvironment during bone resorption.
However, the direct effects of bile acids on bone cells remain largely
unexplored. Bile acids have been identified as physiological ligands of the
farnesoid X receptor (FXR, NR1H4). In the present study, we have examined the
effects of FXR activation/inhibition on the osteoblastic differentiation of human
bone marrow stromal cells (BMSC). We first demonstrated the expression of FXR in
BMSC and SaOS2 osteoblast-like cells, and observed that FXR activation by
chenodeoxycholic acid (CDCA) or by farnesol (FOH) increases the activity of
alkaline phosphatase and the calcification of the extracellular matrix. In
addition, we observed that FXR agonists are able to stimulate the expression of
osteoblast marker genes [bone sialoprotein (BSP), osteocalcin (OC), osteopontin
(OPN) and alkaline phosphatase (ALP)] (FXR involvement validated by shRNA-induced
gene silencing), as well as the DNA binding activity of the bone transcription
factor RUNX2 (EMSA and ChIP assay). Importantly, we observed that nitrogen
containing bisphosphonates (BPs) inhibit the basal osteoblastic differentiation
of BMSC, possibly through suppression of endogenous FOH production, independently
of their effects on protein prenylation. Likewise, we found that the FXR
antagonist guggulsterone (GGS) inhibits ALP activity, calcium deposition, DNA
binding of RUNX2, and bone marker expression, indicating that GGS interferes with
osteoblastic differentiation. Furthermore, GGS induced the appearance of lipid
vesicles in BMSC and stimulated the expression of adipose tissue markers
(peroxisome proliferator activated receptor-gamma (PPARgamma), adipoQ, leptin and
CCAAT/enhancer-binding protein-alpha (C/EBPalpha)). In conclusion, our data
support a new role for FXR in the modulation of osteoblast/adipocyte balance: its
activation stimulates RUNX2-mediated osteoblastic differentiation of BMSC,
whereas its inhibition leads to an adipocyte-like phenotype.
PMID- 21893227
TI - [Radiotherapy and tinea capitis a 100 years ago].
PMID- 21893228
TI - [Mycological and epidemiological aspects of tinea capitis in the Sousse region of
Tunisia].
AB - AIM: Tinea capitis continues to be considered a public health problem in Tunisia.
The purpose of our study was to investigate trends in the incidence and the
mycological and epidemiological aspects of tinea capitis in the Sousse region
(Central Tunisia). METHOD: Our work is a retrospective study concerning all scalp
samples taken by the parasitology laboratory of the Farhat Hached Hospital in
Sousse, Tunisia, over a 26-year period (1983-2008). RESULTS: A total of 10,505
specimens were examined. Of these, 5593 were positive with positive direct
examination and/or positive culture. The average incidence was 215 cases per
year. Patients were aged under 12 years in 89.3% of cases. A total of 175 cases
of tinea capitis in adults were diagnosed. Ten dermatophyte species were
isolated: Trichophyton (T.) violaceum (66.7%), Microsporum (M.) canis (29.3%), T.
schoenleinii (1.6%), T. mentagrophytes (1.1%), T. verrucosum (0.6%), T. tonsurans
(0.2%), T. rubrum (0.2%), M. gypseum (0.1%), M. audouinii (0.03%) and M. nanum
(0.01%). CONCLUSION: Our study showed a decrease in the annual incidence of tinea
capitis over the study period with an evident decrease in trichophytic tinea and
disappearance of favus giving way to microsporic and inflammatory tinea.
PMID- 21893229
TI - [Evaluation of information about prophylactic treatment and management of hand
foot reactions caused by antiangiogenic therapies].
AB - BACKGROUND: Antiangiogenic agents may be associated with severe hand-foot
reactions (HFR) requiring dose adjustment by oncologists. Many preventive and
curative treatments are described in the literature but their efficacy has not
been assessed in clinical trials. The aim of this study was to examine
information given to patients about HFR and to evaluate compliance with
prophylactic therapy for this complication. PATIENTS AND METHODS: Fifty-one
patients receiving antiangiogenic therapy were followed up for a period of 19
months. At each visit, a dermatological examination was performed, compliance
with topical treatment was assessed and advice was provided. At the end of the
study, patients' perception of the information given was assessed by means of a
questionnaire, completed either during consultations or by telephone. RESULTS:
Although all patients were given information about HFR, 11 of 39 subjects claimed
they had received no such information. There was no difference regarding
compliance with topical treatment whether the information was provided by a
dermatologist or an oncologist. Eleven patients consulted a podiatrist and nine
patients used soft insoles. Twenty-two of 40 patients used topical treatments,
with nine using such treatment from the outset. A statistically significant
correlation was noted between compliance with preventive topical therapy and
onset of HFR (P=0.028), and this finding merits confirmation in a larger-scale
study. CONCLUSION: This study highlights the difficulties in implementing a
programme to prevent HFR and suggests the value of providing multidisciplinary
therapeutic education and of financing preventive and curative care.
PMID- 21893230
TI - [Human amniotic membrane in the management of chronic venous leg ulcers].
AB - BACKGROUND: The aim of our paper is to examine changes in the use of human
amniotic membrane for venous leg ulcers through clinical studies and to present
different models of tissue engineering employing human amniotic membrane for the
purpose of future therapeutic use in wound healing. MATERIALS AND METHODS: This
review is based on information obtained from a PubMed search using the following
keywords "Amnion"[Mesh] AND "Leg Ulcer"[Mesh]; "Amnion"[Mesh] AND
"Dermatology"[Mesh]. The selected articles are in English or French and deal with
the sole use of human amniotic membrane in venous leg ulcers alone. RESULTS:
Human amniotic membrane has a positive impact on chronic venous leg ulcers by
promoting granulation tissue formation, reducing fibrosis and inducing re
epithelialisation. Nevertheless, further randomized clinical studies are needed.
At the same time, tissue engineering models using human amniotic membrane may
help to reduce wound healing time, thereby creating renewed interest in the use
of human amniotic membrane. CONCLUSION: Considering its properties and the
clinical studies analysed, human amniotic membrane could be useful in venous leg
ulcer care.
PMID- 21893231
TI - [Auto-immune thyroiditis and drug reaction with eosinophilia and systemic
symptoms (DRESS) associated with HHV-6 viral reactivation].
AB - INTRODUCTION: DRESS syndrome is a severe adverse drug reaction with visceral
involvement. Its physiopathology includes immunological disorders associated with
human herpes virus (HHV) reactivation. We report two cases of auto-immune
thyroiditis occurring in the context of DRESS syndrome associated with HHV-6
reactivation. OBSERVATIONS: Case 1 : A 39-year-old woman presented DRESS syndrome
with HHV-6 reactivation, cutaneous, lymph node, hepatic and renal disorders
treated with systemic corticosteroids for 10 months. Following discontinuation of
the corticosteroids, she developed Graves's disease, which was stabilized with
carbimazole and a beta-blocker. CASE 2: A 31-year-old woman was hospitalized for
DRESS syndrome with delayed HHV-6 reactivation and severe hepatic involvement.
She was successfully treated by topical steroids. Six weeks later, she presented
De Quervain thyroiditis associated with moderate relapsing DRESS, which were
treated by sodium levothyroxine and topical steroids. DISCUSSION: There is
currently debate about the implication of viral reactivation, in particular HHV
6, in chronic DRESS, relapse and development of auto-immune diseases. These
observations highlight the potential risk of patients developing auto-immune
diseases and underline the need for prolonged clinical and laboratory follow-up
of patients with DRESS.
PMID- 21893232
TI - [Spontaneous remission of congenital leukemia cutis].
AB - BACKGROUND: Aleukaemic leukaemia--without blasts in the blood or the bone marrow-
with isolated cutaneous manifestations has been very rarely reported since only
seven patients have been described to date. The prognosis is variable, and the
indications for an aggressive treatment such as polychemotherapy are currently
unclear. We report a case of spontaneously remitting aleukaemic leukaemia in a
newborn child and compare it with other cases in the literature. CASE REPORT: A
male newborn presented diffuse, violaceous skin nodules reminiscent of the so
called "blueberry muffin syndrome" present since birth. Blood and marrow
examinations did not show any blasts and karyotype was normal. Biopsy of a nodule
established the diagnosis of acute myeloid leukaemia type 5. The course was
spontaneously favourable despite the absence of specific therapy and the boy was
asymptomatic after one year of follow-up. DISCUSSION: Of the eight reported
infants (including ours), three died, including two through acute transformation
of the leukaemia. The prognosis seems to be highly dependent on cytogenetic
features with the 11q23 rearrangement being at higher risk of acute
transformation, prompting recourse to aggressive chemotherapy. Our case further
illustrates the favourable prognostic value of a normal karyotype, a situation in
which therapeutic abstention seems possible, and is even recommended.
PMID- 21893233
TI - [Lymphomatoid granulomatosis revealed by cutaneous lesions].
AB - BACKGROUND: Lymphomatoid granulomatosis is a rare Epstein Barr virus (EBV)
related lymphoproliferative disorder. It most frequently involves the lungs, skin
and central nervous system and arises preferentially in patients with immune
disorders. Here we report a case revealed by cutaneous lesions in an
immunocompetent patient. CASE REPORT: A 56-year-old man consulted for
erythematous nodules of the trunk associated with malaise and marked weight loss
(14kg). In a few days the nodules became necrotic. Two weeks later a cough
appeared and the chest computerized tomography showed multiple poorly defined
nodular opacities with a peribronchovascular distribution. Cutaneous and
pulmonary biopsies showed an infiltrate composed of medium-sized atypical
lymphocytes T and B. EBV was present in the infiltrate (in situ hybridization)
with a high EBV load in plasma. All of these data helped confirm the diagnosis of
lymphoid granulomatosis. Despite aggressive treatment with polychemotherapy, the
patient died after 2 months. DISCUSSION: Lymphomatoid granulomatosis represents a
diagnostic challenge. In most cases, the presenting symptoms are not specific:
malaise, weight loss, fever and cough. Moreover histology is difficult because of
the T-cell-rich background. It is essential to consider this diagnosis in cases
of cutaneous and pulmonary symptoms.
PMID- 21893234
TI - [Dapsone efficacy in lupus miliaris disseminatus faciei: two cases].
AB - BACKGROUND: Lupus miliaris disseminatum faciei (LMDF) is a rare, chronic and
benign facial dermatosis that is regarded as an enigmatic diagnostic and
therapeutic entity with spontaneous regression in 2 to 4 years leaving pock-like
scars. CASE REPORT: We present two cases of LMDF: the first concerns a 46-year
old woman who 6 months earlier presented a papular and pustular eruption on her
face leaving small pitted scars. The inefficacy of treatment with cyclines,
metronidazole and crotamiton as well as the clinical and histological examination
results allowed a diagnosis of lupus miliaris disseminatus faciei to be made. The
patient was placed on dapsone 100mg per day, which led to a remarkable
improvement in the second week, but with depressed scars. The second case
concerned an 18-year-old man who for 3 months had been presenting red-brown
papules of the face that were resistant to cyclines and to topical retinoids and
caused scarring. This clinical aspect, consolidated by the histological result,
allowed the diagnosis of LMDF to be made. Administration of dapsone 100mg per day
resulted in improvement from the first month, although there were residual
cupuliform scars. DISCUSSION: Dapsone appears to be effective in the management
of this disease, as illustrated in our two case reports. However, further studies
are needed to confirm these results.
PMID- 21893236
TI - [Sweet's syndrome revealing a lymph node tuberculosis].
PMID- 21893235
TI - [An atypical presentation of primary cutaneous diffuse B-cell lymphoma, leg
type].
AB - BACKGROUND: Primary cutaneous diffuse B-cell lymphoma, leg type, are rare and
aggressive tumours frequently affecting elderly patients. We present a case of a
53-year-old woman with an atypical presentation of primary cutaneous diffuse B
cell lymphoma, leg type. CASE REPORT: Eight years after complete excision of a
Jessner-Kanof lymphocytic infiltration on the right shoulder, the patient
developed a primary cutaneous diffuse B-cell lymphoma, leg type at the same site.
The isolated lesion was treated using local radiotherapy. One year later, the
patient presented headache associated with a temporal lesion, and biopsy
confirmed a relapse. Cerebral CT scan showed an osteolytic temporal lesion while
the other investigations revealed no extracutaneous extension. She was treated
with R-CHOP chemotherapy and intrathecal methotrexate due to the osteolytic
lesion. DISCUSSION: The particularity of this case consists in the age of this
woman, who was younger than the average, the two atypical localizations on the
shoulder, then the temple, and osteolysis, which is not the most frequent
extension. Furthermore, to our knowledge, transformation of a Jessner-Kanof
lesion into local aggressive primary cutaneous diffuse B-cell lymphoma, leg type,
has not been yet described.
PMID- 21893237
TI - [Sweet's syndrome following oral ciprofloxacin therapy].
PMID- 21893238
TI - [Management of neuropathic pain in dermatology: in particularly post-zoster
pain].
PMID- 21893239
TI - [Eczema craquele].
PMID- 21893240
TI - [Kimura disease].
PMID- 21893241
TI - [Psoriasis: new susceptibility loci].
PMID- 21893242
TI - [Primary palpebral amyloidosis].
PMID- 21893244
TI - [Polymorphous light eruption caused by ultraviolet C light].
PMID- 21893243
TI - [Chronic tubercular lupus].
PMID- 21893245
TI - New frontiers in nitric oxide biology in plant.
PMID- 21893246
TI - Methods of nitric oxide detection in plants: a commentary.
AB - Over the last decade nitric oxide (NO) has been shown to influence a range of
processes in plants. However, when, where and even if NO production occurs is
controversial in several physiological scenarios in plants. This arises from a
series of causes: (a) doubts have arisen over the specificity of widely used 4,5
diaminofluorescein diacetate (DAF-2DA)/4-amino-5-methylamino-2,7
difluorofluorescein (DAF-FM) dyes for NO, (b) no plant nitric oxide synthase
(NOS) has been cloned, so that the validity of using mammalian NOS inhibitors to
demonstrate that NO is being measured is debatable, (c) the NO scavenger 2-(4
carboxyphenyl)-4,4,5,5-tetramethylimidazoline-l-oxyl-3-oxide (cPTIO) needs to be
used with caution, and (d) some discrepancies between assays for in planta
measurements and another based on sampling NO from the gas phase have been
reported. This review will outline some commonly used methods to determine NO,
attempt to reconcile differing results obtained by different laboratories and
suggest appropriate approaches to unequivocally demonstrate the production of NO.
PMID- 21893247
TI - The emerging roles of nitric oxide (NO) in plant mitochondria.
AB - In recent years nitric oxide (NO) has been recognized as an important signal
molecule in plants. Both, reductive and oxidative pathways and different
subcellular compartments appear involved in NO production. The reductive pathway
uses nitrite as substrate, which is exclusively generated by cytosolic nitrate
reductase (NR) and can be converted to NO by the same enzyme. The mitochondrial
electron transport chain is another site for nitrite to NO reduction, operating
specifically when the normal electron acceptor, O(2), is low or absent. Under
these conditions, the mitochondrial NO production contributes to hypoxic survival
by maintaining a minimal ATP formation. In contrast, excessive NO production and
concomitant nitrosative stress may be prevented by the operation of NO-scavenging
mechanisms in mitochondria and cytosol. During pathogen attacks, mitochondrial NO
serves as a nitrosylating agent promoting cell death; whereas in symbiotic
interactions as in root nodules, the turnover of mitochondrial NO helps in
improving the energy status similarly as under hypoxia/anoxia. The contribution
of NO turnover during pathogen defense, symbiosis and hypoxic stress is discussed
in detail.
PMID- 21893248
TI - S-nitrosylation: an emerging post-translational protein modification in plants.
AB - Increasing evidences support the assumption that nitric oxide (NO) acts as a
physiological mediator in plants. Understanding its pleiotropic effects requires
a deep analysis of the molecular mechanisms underlying its mode of action. In the
recent years, efforts have been made in the identification of plant proteins
modified by NO at the post-translational level, notably by S-nitrosylation. This
reversible process involves the formation of a covalent bond between NO and
reactive cysteine residues. This research has now born fruits and numerous
proteins regulated by S-nitrosylation have been identified and characterized.
This review describes the basic principle of S-nitrosylation as well as the
Biotin Switch Technique and its recent adaptations allowing the identification of
S-nitrosylated proteins in physiological contexts. The impact of S-nitrosylation
on the structure/function of selected proteins is further discussed.
PMID- 21893249
TI - Peroxynitrite formation and function in plants.
AB - Peroxynitrite (ONOO(-)) is a reactive nitrogen species formed when nitric oxide
(NO) reacts with the superoxide anion (O(2)(-)). It was first identified as a
mediator of cell death in animals but was later shown to act as a positive
regulator of cell signaling, mainly through the posttranslational modification of
proteins by tyrosine nitration. In plants, peroxynitrite is not involved in NO
mediated cell death and its physiological function is poorly understood. However,
it is emerging as a potential signaling molecule during the induction of defense
responses against pathogens and this could be mediated by the selective nitration
of tyrosine residues in a small number of proteins. In this review we discuss the
general role of tyrosine nitration in plants and evaluate recent evidence
suggesting that peroxynitrite is an effector of NO-mediated signaling following
pathogen infection.
PMID- 21893251
TI - Nitric oxide signalling via cytoskeleton in plants.
AB - Nitric oxide (NO) in plant cell mediates processes of growth and development
starting from seed germination to pollination, as well as biotic and abiotic
stress tolerance. However, proper understanding of the molecular mechanisms of NO
signalling in plants has just begun to emerge. Accumulated evidence suggests that
in eukaryotic cells NO regulates functions of proteins by their post
translational modifications, namely tyrosine nitration and S-nitrosylation. Among
the candidates for NO-downstream effectors are cytoskeletal proteins because of
their involvement in many processes regulated by NO. This review discusses new
insights in plant NO signalling focused mainly on the involvement of cytoskeleton
components into NO-cascades. Herein, examples of NO-related post-translational
modifications of cytoskeletal proteins, and also indirect NO impact, are
discussed. Special attention is paid to plant alpha-tubulin tyrosine nitration as
an emerging topic in plant NO research.
PMID- 21893250
TI - GSNOR-mediated de-nitrosylation in the plant defence response.
AB - A key feature of the plant defence response is the transient engagement of a
nitrosative burst, resulting in the synthesis of reactive nitrogen intermediates
(RNIs). Specific, highly reactive cysteine (Cys) residues of low pK(a) are a
major site of action for these intermediates. The addition of an NO moiety to a
Cys thiol to form an S-nitrosothiol (SNO), is termed S-nitrosylation. This redox
based post-translational modification is emerging as a key regulator of protein
function in plant immunity. Here we highlight recent advances in our
understanding of de-nitrosylation, the mechanism that depletes protein SNOs, with
a focus on S-nitrosoglutathione reductase (GSNOR). This enzyme controls total
cellular S-nitrosylation indirectly during the defence response by turning over S
nitrosoglutathione (GSNO), a major cache of NO bioactivity.
PMID- 21893252
TI - Nitric oxide and ABA in the control of plant function.
AB - Abscisic acid (ABA) and nitric oxide (NO) are both extremely important signalling
molecules employed by plants to control many aspects of physiology. ABA has been
extensively studied in the mechanisms which control stomatal movement as well as
in seed dormancy and germination and plant development. The addition of either
ABA or NO to plant cells is known to instigate the actions of many signal
transduction components. Both may have an influence on the phosphorylation of
proteins in cells mediated by effects on protein kinases and phosphatases, as
well as recruiting a wide range of other signal transduction molecules to mediate
the final effects. Both ABA and NO may also lead to the regulation of gene
expression. However, it is becoming more apparent that NO may be acting
downstream of ABA, with such action being mediated by reactive oxygen species
such as hydrogen peroxide in some cases. However not all ABA responses require
the action of NO. Here, examples of where ABA and NO have been put together into
the same signal transduction pathways are discussed.
PMID- 21893253
TI - The role of nitric oxide in the germination of plant seeds and pollen.
AB - Two complex physiological processes, with opposite positions in the plant's life
cycle, seed and pollen germination, are vital to the accomplishment of successful
plant growth and reproduction. This review summarizes the current state of
knowledge of the intersection of NO signalling with the signalling pathways of
ABA, GA, and ethylene; plant hormones that control the release of plant seeds
from dormancy and germination. The cross-talk of NO and ROS is involved in the
light- and hormone-specific regulation of seeds' developmental processes during
the initiation of plant ontogenesis. Similarly to seed germination, the
mechanisms of plant pollen hydration, germination, tube growth, as well as pollen
stigma recognition are tightly linked to the proper adjustment of NO and ROS
levels. The interaction of NO with ROS and secondary messengers such as Ca(2+),
cAMP and cGMP discovered in pollen represent a common mechanism of NO signalling.
The involvement of NO in both breakpoints of plant physiology, as well as in the
germination of spores within fungi and oomycetes, points toward NO as a component
of an evolutionary conserved signalling pathway.
PMID- 21893254
TI - Nitric oxide in legume-rhizobium symbiosis.
AB - Nitric oxide (NO) is a gaseous signaling molecule with a broad spectrum of
regulatory functions in plant growth and development. NO has been found to be
involved in various pathogenic or symbiotic plant-microbe interactions. During
the last decade, increasing evidence of the occurrence of NO during legume
rhizobium symbioses has been reported, from early steps of plant-bacteria
interaction, to the nitrogen-fixing step in mature nodules. This review focuses
on recent advances on NO production and function in nitrogen-fixing symbiosis.
First, the potential plant and bacterial sources of NO, including NO synthase
like, nitrate reductase or electron transfer chains of both partners, are
presented. Then responses of plant and bacterial cells to the presence of NO are
presented in the context of the N(2)-fixing symbiosis. Finally, the roles of NO
as either a regulatory signal of development, or a toxic compound with inhibitory
effects on nitrogen fixation, or an intermediate involved in energy metabolism,
during symbiosis establishment and nodule functioning are discussed.
PMID- 21893255
TI - Nitric oxide, nitrosyl iron complexes, ferritin and frataxin: a well equipped
team to preserve plant iron homeostasis.
AB - Iron is a key element in plant nutrition. Iron deficiency as well as iron
overload results in serious metabolic disorders that affect photosynthesis,
respiration and general plant fitness with direct consequences on crop
production. More than 25% of the cultivable land possesses low iron availability
due to high pH (calcareous soils). Plant biologists are challenged by this
concern and aimed to find new avenues to ameliorate plant responses and keep iron
homeostasis under control even at wide range of iron availability in various
soils. For this purpose, detailed knowledge of iron uptake, transport, storage
and interactions with cellular compounds will help to construct a more complete
picture of its role as essential nutrient. In this review, we summarize and
describe the recent findings involving four central players involved in keeping
cellular iron homeostasis in plants: nitric oxide, ferritin, frataxin and
nitrosyl iron complexes. We attempt to highlight the interactions among these
actors in different scenarios occurring under iron deficiency or iron overload,
and discuss their counteracting and/or coordinating actions leading to the
control of iron homeostasis.
PMID- 21893256
TI - Polyamines, polyamine oxidases and nitric oxide in development, abiotic and
biotic stresses.
AB - Nitric oxide (NO), polyamines (PAs), diamine oxidases (DAO) and polyamine
oxidases (PAO) play important roles in wide spectrum of physiological processes
such as germination, root development, flowering and senescence and in defence
responses against abiotic and biotic stress conditions. This functional
overlapping suggests interaction of NO and PA in signalling cascades. Exogenous
application of PAs putrescine, spermidine and spermine to Arabidopsis seedlings
induced NO production as observed by fluorimetry and fluorescence microscopy
using the NO-binding fluorophores DAF-2 and DAR-4M. The observed NO release
induced by 1 mM spermine treatment in the Arabidopsis seedlings was very rapid
without apparent lag phase. These observations pave a new insight into PA
mediated signalling and NO as a potential mediator of PA actions. When comparing
the functions of NO and PA in plant development and abiotic and biotic stresses
common to both signalling components it can be speculated that NO may be a link
between PA-mediated stress responses filing a gap between many known
physiological effects of PAs and amelioration of stresses. NO production
indicated by PAs could be mediated either by H(2)O(2), one reaction product of
oxidation of PAs by DAO and PAO, or by unknown mechanisms involving PAs, DAO and
PAO.
PMID- 21893257
TI - Nitric oxide imbalance provokes a nitrosative response in plants under abiotic
stress.
AB - Nitric oxide (NO), a free radical generated in plant cells, belongs to a family
of related molecules designated as reactive nitrogen species (RNS). When an
imbalance of RNS takes place for any adverse environmental circumstances, some of
these molecules can cause direct or indirect damage at the cellular or molecular
level, promoting a phenomenon of nitrosative stress. Thus, this review will
emphasize the recent progress in understanding the function of NO and its
production under adverse environmental conditions.
PMID- 21893258
TI - The message of nitric oxide in cadmium challenged plants.
AB - During the last decade it has been found that cadmium (Cd), one of the most toxic
elements occurring in polluted environments, interferes with nitric oxide (NO), a
multifunctional signaling molecule in living organisms. The formation of NO has
been demonstrated in vivo in various plant tissues exposed to Cd stress, but
unfortunately, the time and intensity of NO generation, relatively frequently
shows conflicting data. What is more, there is still limited information
regarding the functional role of endogenously produced NO in plants challenged
with heavy metals. The first pharmacological approaches revealed that exogenously
applied NO can alleviate cadmium toxicity in plants, promoting the direct
scavenging of reactive oxygen species (ROS) or activating antioxidant enzymes.
However, recent reports have indicated that NO even contributes to Cd toxicity by
promoting Cd uptake and participates in metal-induced reduction of root growth.
In view of this heterogeneous knowledge, much more puzzling if we consider
results first obtained using exogenous NO sources, this review is focused mainly
on the implication of endogenous NO in plant response to Cd exposure.
Furthermore, a basic draft for NO mode of action during cadmium stress is
proposed.
PMID- 21893259
TI - [Treatment of intraocular-pressure-independent phenomena in pseudoexfoliation
syndrome].
PMID- 21893260
TI - [Automatic detection of microaneurysms in colour fundus images].
AB - PURPOSE: We present the development of a tool for the automatic detection of
microaneurysms and its clinical evaluation. The intention of this tool is to
facilitate the diagnosis of diabetic retinopathy in general screening programs.
METHOD: The designed and developed tool consists of three stages of processing:
1) Obtaining of the basic image of eye with the retinal camera, inverted image on
the green channel, and a high-pass filter of the image. This phase enhances the
microaneurysms. 2) Detection of the candidates for microaneurysms, by means of an
adaptive prediction filter and regions growth. 3) Selection, among the
candidates, of whom microaneurysms must be considered to fulfil the criteria of
circular shape, high intensity in the inverted green channel and contrasts with
respect to the surrounding pixels. RESULTS: We selected to 20 retinal photographs
of good quality and dimensions 600x600 pixels from patients with nonproliferative
diabetic retinopathy. The ophthalmologists detected 297 microaneurysms in these
images. The tool for automatic detection correctly located 252 microaneurysms,
with a mean sensitivity of 89% and a false positives rate of 93%. CONCLUSIONS:
The results obtained seem to indicate that the tool developed will be very useful
for its potential use in screening programs in primary care centres. On the other
hand, more work is needed on the algorithm to decrease the rate of false
positives.
PMID- 21893261
TI - [The influence of the Travalert((r)) dosing aid on medical treatment compliance
and the quality of life of glaucoma patients].
AB - PURPOSE: To study compliance in the medical treatment of glaucoma, its possible
association with other factors, and quality of life of patients with glaucoma.
MATERIAL AND METHODS: Longitudinal prospective study of 60 patients with ocular
hypertension or glaucoma who were treated with travoprost, or with a fixed
combination of travoprost/timolol nightly. All subjects were given a
Travalert((r)) dosing aid and were reviewed after one and four months. Strict and
relative compliance data were collected on each visit. The relationship between
compliance and other variables was studied using univariate analysis. To analyse
quality of life, patients were given self-assessment STAI anxiety questionnaires
after the first and last visits. RESULTS: Relative compliance for the four months
was significantly greater than the strict compliance (P=.001). In the group of
least compliance the number of patients on treatment with combination therapy was
significantly higher than those on monotherapy. In the lost cases, the number of
men was significantly higher than women. No association was found in the other
variables. The anxiety was similar to that in the normal population. CONCLUSIONS:
Compliance is very important in the treatment of glaucoma, and our study provides
objective data through the use of Travalert dosing aid with relative compliances
of 70%. Patients with combined therapies have lower compliance than those on
monotherapy.
PMID- 21893262
TI - [Comparison of Goldmann applanation and dynamic contour tonometry measurements:
effects of corneal morphometry].
AB - OBJECTIVES: To compare intraocular pressure (IOP) measurements made by Goldmann
applanation tonometry (GAT) and dynamic contour tonometry (DCT). METHODS: IOPs
were measured by GAT and DCT in 63 eyes of 63 healthy subjects. A comparison was
made by intraclass correlation coefficient. Passing-Bablok plot was constructed
to establish the existence of systematic and/or proportional biases. Multivariate
regression analysis was used to examine whether the measurements of both
instruments were affected by the power of the steepest and flattest corneal axes,
their orientation, age or central corneal thickness (CCT). RESULTS: The intra
class correlations (ICCs) were 0.57 (95% confidence interval (95% CI): 0.29
0.74). Mean differences were 1.68 (DCT minus GAT) (95% CI: 0.92-2.44). Passing
Bablok analysis (X=DCT, Y=GAT) revealed a systematic bias (A=-14.35, 95% CI:
24.51-[-9.14]) and a proportional bias (B=1.74, 95% CI: 1.43-2.26). Multivariate
regression analysis revealed that the DCT was independent of the corneal
characteristics analysed while GAT was biased by CCT (B=0.042, 95% CI: 0.002
0.082). CONCLUSIONS: While GAT was biased by corneal CCT; DCT readings were
independent of corneal morphometry.
PMID- 21893263
TI - [Hereditary glaucoma associated with oculodentodigital dysplasia].
AB - CASE REPORT: A newborn evaluated at 20 days old due to occasional nystagmus. Her
mother had presented with oculodentodigital dysplasia (ODDD) and glaucoma. The
physical examination revealed opaque micro-corneas, and horizontal nystagmus. The
tonometry showed 35 mm Hg in OD and 40 mm Hg in OS and the fundus examination was
normal. She had a narrow nasal bridge with narrow nostrils, and fourth and fifth
finger syndactylyl in both hands. A bilateral trabeculectomy was performed with a
good response. DISCUSSION: ODDD is a rare autosomal dominant disease with
heterogeneous phenotype manifestations. The most frequent cause of loss of visual
acuity is the glaucoma, requiring long-term follow up with periodical control of
the intraocular pressure (IOP).
PMID- 21893264
TI - [Retinal detachment associated with morning glory syndrome].
AB - CASE REPORT: A twenty three year old woman was diagnosed of a morning glory
papillary anomaly, then with normal visual acuity (VA). Nine years later, the VA
decreased to 0.4, secondary to a serous macular detachment, confirmed by optical
coherence tomography (OCT). After treatment with C2F6 gas injection, positioning,
and peripapillary laser, the VA improved to 0.7 and the foveolar area reattached.
DISCUSSION: The morning glory Syndrome usually has an early diagnosis due to poor
visual acuity. Thirty eight percent of the cases have retinal detachment. We show
an unusual case of morning glory syndrome with a serous detachment, successfully
treated with gas and laser.
PMID- 21893265
TI - [Pupillary block acute glaucoma due to acrylic intraocular lens posterior
dislocation after Nd:YAG capsulotomy].
AB - CASE REPORT: We present the clinical case of a 68 year-old woman who developed a
pupillary block acute glaucoma due to vitreous hernia into anterior chamber
following posterior dislocation of the intraocular lens one month after an Nd:YAG
capsulotomy. DISCUSSION: We should consider these symptoms in the differential
diagnosis of pseudophakic acute glaucoma, especially when visualisation of the
anterior chamber is difficult due to corneal oedema and Nd:YAG capsulotomy was
done.
PMID- 21893266
TI - [The eyes of the Catalan Roman].
PMID- 21893267
TI - [Phototoxicity: ultraviolet radiation and cataracts].
PMID- 21893268
TI - Hepatology update: current management and new therapies.
PMID- 21893270
TI - Hepatitis B: modern end points of treatment and the specter of viral resistance.
AB - The goal of antiviral treatment of chronic hepatitis B is to prevent the
complications of cirrhosis, hepatic decompensation, HCC, and death. Because these
clinical outcomes may take a long period of time to develop, it is important to
use intermediate or surrogate end points to evaluate the efficacy and response to
antiviral treatment, and to determine whether treatment can be safely stopped,
especially given concern for the development of antiviral resistance with NUC
therapy. Although normalization of ALT and suppression of HBV DNA viral
replication are associated with favorable outcomes, the durability of their
response is low, and these end points are insufficient markers for stopping
treatment. HBeAg seroconversion is currently used to discontinue NUC treatment in
patients with HBeAg-positive chronic hepatitis B, whereas the stopping rule for
HBeAg-negative disease relies on HBsAg loss. However, HBsAg loss occurs very
infrequently and is not a practical end point for clinical use, although
quantitative HBsAg levels may be useful in identifying patients who could achieve
a sustained virologic response to treatment.
PMID- 21893269
TI - Emerging therapies in hepatitis C: dawn of the era of the direct-acting
antivirals.
AB - The HCV viral life cycle provides targets for drug development at virtually every
step, and many new drugs aimed at these targets are currently being developed.
Clinical practice takes a major step forward this year with the arrival of
telaprevir and boceprevir, which will be added to the current standard of care of
pegIFNalpha/RBV. Patients will need to be monitored closely and counseled
extensively, and clinicians will need to learn the new response-guided therapy
algorithms with these therapies. Although there remains work to be done in the
field of HCV, these therapies will allow many more patients the opportunity to
eradicate HCV infection.
PMID- 21893271
TI - Noninvasive tools to assess hepatic fibrosis: ready for prime time?
AB - Often regarded as the gold standard for fibrosis assessment, liver biopsy carries
associated risks; however, it is less than ideal. The need for noninvasive
assessment of hepatic fibrosis for disease staging, prognosis, progression, and
treatment response is clear. Advances in serologic testing and conventional
imaging techniques have reduced the need for liver biopsy. Areas of research
include defining cutoff values for specific diseases, further head-to-head
comparisons of noninvasive modalities, examination of algorithms using both serum
markers and imaging, and the cost-effectiveness of these various tests for
diagnostic as well as screening purposes.
PMID- 21893272
TI - Acute liver failure: current practice and recent advances.
AB - ALF is an important cause of liver-related morbidity and mortality. Advances in
the management of ICH and SIRS, and cardiorespiratory, metabolic, and renal
support have improved the outlook of such patients. Early transfer to a liver
transplant center is essential. Routine use of NAC is recommended for patients
with early hepatic encephalopathy, irrespective of the etiology. The role of
hypothermia remains to be determined. Liver transplantation plays a critical
role, particularly for those with advanced encephalopathy. Several detoxification
and BAL support systems have been developed to serve as a bridge to
transplantation or to spontaneous recovery. However, such systems lack sufficient
reliability and efficacy to be applied routinely in clinical practice. Hepatocyte
and stem cell transplantation may provide valuable adjunctive therapy in the
future.
PMID- 21893273
TI - Nonalcoholic fatty liver disease: pharmacologic and surgical options.
AB - The last decade has seen many studies examining the prevalence and natural
history of NAFLD in the United States and it is clear that this disease is likely
to be an important cause of liver-related morbidity in the future. Several
pharmacologic therapies have shown some promise; currently, vitamin E and insulin
sensitizing agents such as pioglitazone can be considered in appropriate cases.
Conservative measures to promote weight loss still have a role to play, but the
obesity epidemic in the Western World has reached such proportions that bariatric
surgery is proving to be an attractive option for patients with a BMI greater
than 35 to 40 kg/m2. Well-designed prospective studies are required to ensure
that all of these therapies are safe and effective in the long term. Newer agents
will likely be investigated as the pathogenesis of NAFLD and fibrosis progression
in NASH are further elucidated.
PMID- 21893274
TI - Managing varices: drugs, bands, and shunts.
AB - Drugs, bands, and shunts have all been used in the treatment of varices and
variceal hemorrhage and have resulted in improved outcomes. However, the specific
use of each of these therapies depends on the setting (primary or secondary
prophylaxis, treatment of AVH) and on patient characteristics. The indications
for each are summarized in Table 4.
PMID- 21893275
TI - Hepatorenal syndrome: do the vasoconstrictors work?
AB - The development of hepatorenal syndrome (HRS) is related to many changes
associated with advanced cirrhosis. Because vasoconstrictors correct systemic and
splanchnic hemodynamic abnormalities, they are effective treatments for HRS,
although only in approximately 40% of HRS patients. Emerging data show that
combination treatment with vasoconstrictors and TIPS may yield better outcomes
than either alone. All HRS patients should be assessed for liver transplantation.
Reversing HRS before transplantation is associated with better long-term
survival. Combined liver- kidney transplantation is indicated for those with
irreversible kidney injury. Otherwise, there is some merit in performing a liver
transplant first and only considering a kidney transplant later.
PMID- 21893276
TI - Hepatocellular carcinoma: locoregional and targeted therapies.
AB - HCC is a leading cause of morbidity and mortality worldwide. Advances in cancer
screening and surveillance have allowed for earlier detection of tumors,
affording greater treatment potential. The advent of locoregional therapies has
generated greater treatment options for patients with HCC. Either alone or in
combination as an adjuvant or neoadjuvant therapy, these novel approaches
continue to hold promise for improving morbidity and/or mortality of patients
with HCC. The emergence of systemic molecular targeted therapies increases the
role of translational science. Whereas surgical resection and transplantation
conventionally form the cornerstone of curative approaches, the advancement of
locoregional therapies holds great promise in adding to the curative
armamentarium.
PMID- 21893277
TI - Alcoholic hepatitis: prognostic models and treatment.
AB - Alcoholic hepatitis is a distinct subset of alcoholic liver disease. Inflammation
and oxidative stress are the two main pathogenetic mechanisms involved in its
pathogenesis. Patients with mild disease usually improve with conservative
management. However, about 30-50% of those with severe disease succumb to their
illness within about 1 month. Therefore, assessment of disease severity is
important and practical issue. Currently, hepatologists do not have an ideal
scoring system available. With survival benefit of only about 50% with
corticosteroids and pentoxifylline, there is need to develop newer and better
treatment options to manage these patients. This article also deals with
controversies surrounding the role and use of liver transplantation in patients
with alcoholic hepatitis.
PMID- 21893278
TI - Liver transplantation in the 21st century: expanding the donor options.
AB - Over the past decade, use of ECD organs for OLT has allowed many transplant
programs to afford patients access to an otherwise scarce resource and to
maintain center volume. Although overall posttransplant outcomes are inferior to
results with optimal, whole-liver grafts, aggressive utilization of ECD and DCD
organs significantly lowers median wait-times for OLT, MELD score at OLT, and
death while awaiting transplantation. It is incumbent on the transplant community
to provide continued scrutiny of the many factors involved in ECD organ
utilization, evaluate the degree of risk and benefit such allografts may impart
on particular recipients, and thereby provide suitable "matching" to maximize
favorable outcomes. Transplant caregivers need to provide patients with evidence
based care decisions, be good stewards of a scarce resource, and maintain
threshold survival results for their programs. This requires balancing the
urgency with which a transplant is needed and the utility of such a transplant.
There is a clear necessity to pursue additional donor research to improve use of
these marginal grafts and assess interventions that enhance the safety of ECD
livers.
PMID- 21893279
TI - Long-term management of the liver transplant recipient: pearls for the practicing
gastroenterologist.
AB - Liver transplantation is becoming more common and patients are surviving longer
after transplantation. Special care must be paid to the long-term management of
these patients because they are at increased risk for medical problems,
malignancies, and adverse effects from immunosuppression. A stable and continuing
relationship must be developed between the physician and the patient to optimize
the long-term outcomes for these individuals.
PMID- 21893280
TI - Ribonuclease J: how to lead a double life.
PMID- 21893281
TI - Trapping small caffeine in a large GPCR pocket.
PMID- 21893282
TI - Assembling good amyloid: some structures at last.
PMID- 21893283
TI - Symmetry-restrained flexible fitting for symmetric EM maps.
AB - Many large biological macromolecules have inherent structural symmetry, being
composed of a few distinct subunits, repeated in a symmetric array. These
complexes are often not amenable to traditional high-resolution structural
determination methods, but can be imaged in functionally relevant states using
cryo-electron microscopy (cryo-EM). A number of methods for fitting atomic-scale
structures into cryo-EM maps have been developed, including the molecular
dynamics flexible fitting (MDFF) method. However, quality and resolution of the
cryo-EM map are the major determinants of a method's success. In order to
incorporate knowledge of structural symmetry into the fitting procedure, we
developed the symmetry-restrained MDFF method. The new method adds to the cryo-EM
map-derived potential further restraints on the allowed conformations of a
complex during fitting, thereby improving the quality of the resultant structure.
The benefit of using symmetry-based restraints during fitting, particularly for
medium to low-resolution data, is demonstrated for three different systems.
PMID- 21893284
TI - RNA tertiary interactions in a riboswitch stabilize the structure of a kink turn.
AB - The kink turn is a widespread RNA motif that introduces an acute kink into the
axis of duplex RNA, typically comprising a bulge followed by a G?A and A?G pairs.
The kinked conformation is stabilized by metal ions, or the binding of proteins
including L7Ae. We now demonstrate a third mechanism for the stabilization of k
turn structure, involving tertiary interactions within a larger RNA structure.
The SAM-I riboswitch contains an essential standard k-turn sequence that kinks a
helix so that its terminal loop can make a long-range interaction. We find that
some sequence variations in the k-turn within the riboswitch do not prevent SAM
binding, despite preventing the folding of the k-turn in isolation. Furthermore,
two crystal structures show that the sequence-variant k-turns are conventionally
folded within the riboswitch. This study shows that the folded structure of the k
turn can be stabilized by tertiary interactions within a larger RNA structure.
PMID- 21893285
TI - Unusual, dual endo- and exonuclease activity in the degradosome explained by
crystal structure analysis of RNase J1.
AB - RNase J is an essential enzyme in Bacillus subtilis with unusual dual
endonuclease and 5'-to-3' exonuclease activities that play an important role in
the maturation and degradation of mRNA. RNase J is also a component of the
recently identified "degradosome" of B. subtilis. We report the crystal structure
of RNase J1 from B. subtilis to 3.0 A resolution, analysis of which reveals it to
be in an open conformation suitable for binding substrate RNA. RNase J is a
member of the beta-CASP family of zinc-dependent metallo-beta-lactamases. We have
exploited this similarity in constructing a model for an RNase J1:RNA complex.
Analysis of this model reveals candidate-stacking interactions with conserved
aromatic side chains, providing a molecular basis for the observed enzyme
activity. Comparisons of the B. subtilis RNase J structure with related enzymes
reveal key differences that provide insights into conformational changes during
catalysis and the role of the C-terminal domain.
PMID- 21893286
TI - Molecular basis for the recognition and cleavage of RNA by the bifunctional 5'-3'
exo/endoribonuclease RNase J.
AB - RNase J is a key member of the beta-CASP family of metallo-beta-lactamases
involved in the maturation and turnover of RNAs in prokaryotes. The B. subtilis
enzyme possesses both 5'-3' exoribonucleolytic and endonucleolytic activity, an
unusual property for a ribonuclease. Here, we present the crystal structure of T.
thermophilus RNase J bound to a 4 nucleotide RNA. The structure reveals an RNA
binding channel that illustrates how the enzyme functions in 5'-3'
exoribonucleolytic mode and how it can function as an endonuclease. A second,
negatively charged tunnel leads from the active site, and is ideally located to
evacuate the cleaved nucleotide in 5'-3' exonucleolytic mode. We show that B.
subtilis RNase J1, which shows processive behavior on long RNAs, behaves
distributively for substrates less than 5 nucleotides in length. We propose a
model involving the binding of the RNA to the surface of the beta-CASP domain to
explain the enzyme's processive action.
PMID- 21893287
TI - Quantitative analysis of the interaction strength and dynamics of human IgG4 half
molecules by native mass spectrometry.
AB - Native mass spectrometry (MS) is a powerful technique for studying noncovalent
protein-protein interactions. Here, native MS was employed to examine the
noncovalent interactions involved in homodimerization of antibody half molecules
(HL) in hinge-deleted human IgG4 (IgG4Deltahinge). By analyzing the concentration
dependence of the relative distribution of monomer HL and dimer (HL)(2) species,
the apparent dissociation constant (K(D)) for this interaction was determined. In
combination with site-directed mutagenesis, the relative contributions of
residues at the CH3-CH3 interface to this interaction could be characterized and
corresponding K(D) values quantified over a range of 10(-10)-10(-4) M. The
critical importance of this noncovalent interaction in maintaining the intact
dimeric structure was also proven for the full-length IgG4 backbone. Using time
resolved MS, the kinetics of the interaction could be measured, reflecting the
dynamics of IgG4 HL exchange. Hence, native MS has provided a quantitative view
of local structural features that define biological properties of IgG4.
PMID- 21893288
TI - Structural basis for complex formation between human IRSp53 and the translocated
intimin receptor Tir of enterohemorrhagic E. coli.
AB - Actin assembly beneath enterohemorrhagic E. coli (EHEC) attached to its host cell
is triggered by the intracellular interaction of its translocated effector
proteins Tir and EspF(U) with human IRSp53 family proteins and N-WASP. Here, we
report the structure of the N-terminal I-BAR domain of IRSp53 in complex with a
Tir-derived peptide, in which the homodimeric I-BAR domain binds two Tir
molecules aligned in parallel. This arrangement provides a protein scaffold
linking the bacterium to the host cell's actin polymerization machinery. The
structure uncovers a specific peptide-binding site on the I-BAR surface,
conserved between IRSp53 and IRTKS. The Tir Asn-Pro-Tyr (NPY) motif, essential
for pedestal formation, is specifically recognized by this binding site. The site
was confirmed by mutagenesis and in vivo-binding assays. It is possible that
IRSp53 utilizes the NPY-binding site for additional interactions with as yet
unknown partners within the host cell.
PMID- 21893289
TI - Atomic resolution insights into curli fiber biogenesis.
AB - Bacteria produce functional amyloid fibers called curli in a controlled,
noncytotoxic manner. These extracellular fimbriae enable biofilm formation and
promote pathogenicity. Understanding curli biogenesis is important for
appreciating microbial lifestyles and will offer clues as to how disease
associated human amyloid formation might be ameliorated. Proteins encoded by the
curli specific genes (csgA-G) are required for curli production. We have
determined the structure of CsgC and derived the first structural model of the
outer-membrane subunit translocator CsgG. Unexpectedly, CsgC is related to the N
terminal domain of DsbD, both in structure and oxido-reductase capability.
Furthermore, we show that CsgG belongs to the nascent class of helical outer
membrane macromolecular exporters. A cysteine in a CsgG transmembrane helix is a
potential target of CsgC, and mutation of this residue influences curli assembly.
Our study provides the first high-resolution structural insights into curli
biogenesis.
PMID- 21893291
TI - Characterization of the structure and function of Escherichia coli DegQ as a
representative of the DegQ-like proteases of bacterial HtrA family proteins.
AB - HtrA family proteins play a central role in protein quality control in the
bacterial periplasmic space. DegQ-like proteases, a group of bacterial HtrA
proteins, are characterized by a short LA loop as compared with DegP-like
proteases, and are found in many bacterial species. As a representative of the
DegQ-like proteases, we report that Escherichia coli DegQ exists in vivo
primarily as a trimer (substrate-free) or dodecamer (substrate-containing).
Biochemical analysis of DegQ dodecamers revealed that the major copurified
protein substrate is OmpA. Importantly, wild-type DegQ exhibited a much lower
proteolytic activity, and thus higher chaperone-like activity, than DegP.
Furthermore, using cryo-electron microscopy we determined high-resolution
structures of DegQ 12- and 24-mers in the presence of substrate, thus revealing
the structural mechanism by which DegQ moderates its proteolytic activity.
PMID- 21893290
TI - Crystal structure of cGMP-dependent protein kinase reveals novel site of
interchain communication.
AB - The cGMP-dependent protein kinase (PKG) serves as an integral component of second
messenger signaling in a number of biological contexts including cell
differentiation, memory, and vasodilation. PKG is homodimeric and large
conformational changes accompany cGMP binding. However, the structure of PKG and
the molecular mechanisms associated with protomer communication following cGMP
induced activation remain unknown. Here, we report the 2.5 A crystal structure of
a regulatory domain construct (aa 78-355) containing both cGMP binding sites of
PKG Ialpha. A distinct and segregated architecture with an extended central helix
separates the two cGMP binding domains. Additionally, a previously
uncharacterized helical domain (switch helix) promotes the formation of a
hydrophobic interface between protomers. Mutational disruption of this
interaction in full-length PKG implicates the switch helix as a critical site of
dimer communication in PKG biology. These results offer new structural insight
into the mechanism of allosteric PKG activation.
PMID- 21893293
TI - Monoenergetic imaging of dual-energy CT reduces artifacts from implanted metal
orthopedic devices in patients with factures.
AB - RATIONALE AND OBJECTIVES: The purpose of this study was to optimize photon energy
setting to reduce metal artifact of computed tomography (CT) images from
implanted metal orthopedic devices in patients with fractures with monoenergetic
imaging of dual-energy CT. MATERIALS AND METHODS: This study included 47 patients
with factures who underwent metal orthopedic device implanting. After dual-energy
CT scan, monoenergetic software was used to postprocess with the following six
photon energies: 40 kiloelectron-voltage (keV), 70 keV, 100 keV, 130 keV, 160
keV, and 190 keV. Two radiologists evaluated and rated the reformatted images
with six different photon energies and average weighted 120 kVp images according
to 4-score scale. The Wilcoxon rank-sum test was used to compare image quality
scores for total, internal, and external metal orthopedic devices. Interreader
agreement for image quality scoring was calculated. RESULTS: Monoenergetic
imaging of dual-energy CT improved the quality of CT images in the fracture
patients with metal orthopedic devices compared to the average weighted 120 kVp
images for the total, external, and internal metal orthopedic devices (all P
values < .01). Optimal keV setting with the lowest metal artifact was 130 keV for
total, internal, and external metal orthopedic devices. Good interreader
agreement was found for the evaluation of image quality for total, internal, and
external metal orthopedic devices. CONCLUSIONS: Monoenergetic imaging of dual
energy CT improves quality of CT images in patients with metal orthopedic devices
after fracture. Reformatted images at 130 keV have the optimal quality for total,
internal, and external metal orthopedic devices.
PMID- 21893292
TI - Biophysical and computational studies of membrane penetration by the GRP1
pleckstrin homology domain.
AB - The pleckstrin homology (PH) domain of the general receptor for phosphoinositides
1 (GRP1) exhibits specific, high-affinity, reversible binding to
phosphatidylinositol (3,4,5)-trisphosphate (PI(3,4,5)P(3)) at the plasma
membrane, but the nature and extent of the interaction between this bound complex
and the surrounding membrane environment remains unclear. Combining equilibrium
and nonequilibrium molecular dynamics (MD) simulations, NMR spectroscopy, and
monolayer penetration experiments, we characterize the membrane-associated state
of GRP1-PH. MD simulations show loops flanking the binding site supplement the
interaction with PI(3,4,5)P(3) through multiple contacts with the lipid bilayer.
NMR data show large perturbations in chemical shift for these loop regions on
binding to PI(3,4,5)P(3)-containing DPC micelles. Monolayer penetration
experiments and further MD simulations demonstrate that mutating hydrophobic
residues to polar residues in the flanking loops reduces membrane penetration.
This supports a "dual-recognition" model of binding, with specific GRP1-PH
PI(3,4,5)P(3) interactions supplemented by interactions of loop regions with the
lipid bilayer.
PMID- 21893294
TI - Computational analysis of thoracic multidetector row HRCT for segmentation and
quantification of small airway air trapping and emphysema in obstructive
pulmonary disease.
AB - RATIONALE AND OBJECTIVES: Obstructive pulmonary disease phenotypes are related to
variable combinations of emphysema and small-airway disease, the latter
manifested as air trapping (AT) on imaging. The investigators propose a method to
extract AT information quantitatively from thoracic multi-detector row high
resolution computed tomography (HRCT), validated by pulmonary function testing
(PFT) correlation. MATERIALS AND METHODS: Seventeen patients with obstructive
pulmonary disease who underwent HRCT and PFT within a 3-day interval were
retrospectively identified. Thin-section volumetric HRCT in inspiration and
expiration was registered and analyzed using custom-made software. Nonaerated
regions of lung were segmented through exclusion of voxels > -50 Hounsfield units
(HU); emphysematous areas were segmented as voxels < -950 HU on inspiratory
images. Small-airway AT volume (ATV) was segmented as regions of lung voxels
whose attenuation values increased by less than a specified change threshold (set
from 5 to 300 HU in 25-HU increments) between inspiration and expiration.
Inspiratory and expiratory total segmented lung volumes, emphysema volume (EV),
and ATV for each threshold were subsequently calculated and correlated with PFT
parameters. RESULTS: A strong positive correlation was obtained between total
segmented lung volume in inspiration and total lung capacity (r = 0.83). A strong
negative correlation (r = -0.80) was obtained between EV and the ratio between
forced expiratory volume in 1 second and forced vital capacity. Stronger negative
correlation with forced expiratory volume in 1 second/forced vital capacity (r =
0.85) was demonstrated when ATV (threshold, 50 HU) was added to EV, indicating
improved quantification of total AT to predict obstructive disease severity. A
moderately strong positive correlation between ATV and residual volume was
observed, with a maximum r value of 0.72 (threshold, 25 HU), greater than that
between EV and residual volume (r = 0.58). The benefit of ATV quantification was
greater in a subgroup of patients with negligible emphysema compared to patients
with moderate to severe emphysema. CONCLUSIONS: Small-airway AT segmentation in
conjunction with emphysema segmentation through computer-assisted methodologies
may provide better correlations with key PFT parameters, suggesting that the
quantification of emphysema-related and small airway-related components of AT
from thoracic HRCT has great potential to elucidate phenotypic differences in
patients with chronic obstructive pulmonary disease.
PMID- 21893296
TI - Breast tomosynthesis: state-of-the-art and review of the literature.
PMID- 21893295
TI - The frequency and spectrum of thymus 2-[fluorine-18] fluoro-2-deoxy-D-glucose
uptake patterns in hyperthyroidism patients.
AB - RATIONALE AND OBJECTIVES: Thymic hyperplasia is associated with hyperthyroidism.
Increased thymus 2-[fluorine-18]fluoro-2-deoxy-D-glucose (FDG) uptake in
hyperthyroidism patients has been reported. The aim of this study was to analyze
the FDG positron emission tomography (PET) thymus uptake spectrum in patients
with active hyperthyroidism with correlation with serum hormones. MATERIALS AND
METHODS: The prospective study included FDG PET scans from 65 hyperthyroidism
patients and 30 subjects with euthyroid status as control group. The intensity of
FDG uptake in thyroid and thymus regions was graded subjectively on a five-point
scale and semi-quantitatively by measuring standard uptake value (SUV).
Correlation coefficient between thymus SUV and serum thyroxine, triiodothyronine,
thyrotropin, thyroid peroxidase antibodies (TPO Ab), thyrotropin receptor
autoantibody (TR Ab), and thymulin were analyzed. RESULTS: Among 65
hyperthyroidism patients, 30 (46.2%) and 39 (60%) patients showed thyroid and
thymus FDG uptake, respectively. The frequency of thymus uptake FDG was high in
patients younger than age 40 (28/31, 90.3%). The patterns of the thymic FDG
uptake include inverted V or triangular, separated triangular, united
nontriangular, unilateral right or left extension, and focal midline. Focal
midline FDG uptake was the most common pattern (15/39, 38.5%). None of the
control group showed thymus FDG uptake. The correlation coefficient between the
FDG uptake SUV levels in thymus and serum hormones, thyrotropin, TPO Ab, TR Ab,
and thymulin levels were all low (P > .05). CONCLUSIONS: In FDG PET scan, thymus
activity was common in hyperthyroidism patients; this should not be misdiagnosed
as a malignancy in patients exhibiting weight loss.
PMID- 21893297
TI - Perfusion computed tomography evaluation of partial hepatic ischemia reperfusion
in a rabbit model.
AB - RATIONALE AND OBJECTIVES: An animal model of partial hepatic ischemia/reperfusion
injury (I/R) has benefits for decision making and clinical management after liver
transplantation or massive hepatic resection. The aim of this study was to
evaluate the change in perfusion parameters after partial hepatic I/R in rabbits
using multislice computed tomography perfusion imaging. MATERIALS AND METHODS:
Thirty rabbits underwent 60 minutes of left hepatic lobar ischemia followed by
0.5, 2, 6, 12, and 24 hours of reperfusion (six rabbits were used for each
reperfusion interval). An additional six rabbits served as sham-operated
controls. The perfusion indices of hepatic arterial perfusion, hepatic portal
perfusion, total liver perfusion, and hepatic perfusion index were measured.
Levels of serum aspartate transaminase and alanine transaminase and liver
histopathology at different time points were also examined. RESULTS: Hepatic
microvascular flow patterns showed heterogeneity in the 6-hour, 12-hour, and 24
hour groups. Computed tomographic perfusion parameters were significantly
different between infarcted liver tissue and viable liver tissue. In poorly
enhancing tissues in the 6-hour, 12-hour, and 24-hour groups, hepatic portal
perfusion and total liver perfusion were lower compared to the sham group, but
hepatic arterial perfusion of poorly enhancing tissues significantly increased in
the 6-hour group and then decreased slightly from 12 to 24 hours after
reperfusion. The hepatic perfusion index was always higher compared to that of
the sham group. Hepatic arterial perfusion, hepatic portal perfusion, total liver
perfusion, and hepatic perfusion index in the noninfarcted areas decreased slowly
from 6 to 24 hours after reperfusion. The levels of alanine transaminase and
aspartate transaminase in the I/R groups significantly increased after
reperfusion and were correlated with the computed tomographic perfusion indices
of infarcted liver tissue. CONCLUSIONS: Computed tomographic perfusion can
dynamically monitor the pathologic processes of liver I/R and reveal the
underlying microvascular disorder, improving clinical management after liver
surgery.
PMID- 21893298
TI - Effects of radiofrequency ablation on normal lung tissue in a swine model.
AB - RATIONALE AND OBJECTIVES: To determine the effect of radiofrequency (RF) ablation
on normal lung tissue in an animal model. MATERIALS AND METHODS: RF ablation of
lung tissue was performed on eight swine under computed tomographic control.
Group A (n = 4) received peripheral ablation (subpleural needle placement) and
group B (n = 4) received central ablation (hilar needle placement). RF ablation
was applied via a single 4.5-gauge internally cooled electrode with a 2-cm tip
for 12 minutes. The ablation was monitored with computed tomography at 3, 7, and
12 minutes, and 10 minutes after ablation. After 3, 7, 40, and 60 days, computed
tomography was performed, and the animals were sacrificed to examine the lung
tissue both macroscopically and histopathologically. RESULTS: There were no
deaths from RF ablation. In group A, coagulative necrosis was resorbed almost
completely and transformed into a fibrotic scar after 60 days. No pneumothorax,
pleural effusion, or lung abscess was observed. In group B, there was also a
transformation of the necrosis into connective tissue. Neither the pulmonary
vessels nor the bronchi of the hilum abutting the coagulative necrosis were
damaged. After 60 days, no vascular thrombosis, bleeding, aneurysm, bronchial
stenosis, or bronchopulmonary fistula was observed. CONCLUSION: RF ablation of
lung tissue affects coagulation necrosis, causing scar transformation. There was
no damage to either great vessels or bronchi. The application of RF ablation for
tumors located in or near functional structures appears feasible without severe
complications.
PMID- 21893299
TI - Utilizing a PACS-integrated ultrasound-guided breast biopsy simulation exercise
to reinforce the ACR practice guideline for ultrasound-guided percutaneous breast
interventional procedures during radiology residency.
AB - RATIONALE AND OBJECTIVES: A picture archiving and communication system (PACS)
integrated ultrasound-guided (USG) breast intervention simulation exercise was
designed for radiology residency education. The purpose of this study was to
describe the initial experience and determine if resident understanding of the
American College of Radiology (ACR) practice guideline for the performance of USG
percutaneous breast interventional procedures and procedural confidence is
improved with the implementation of this simulation exercise. MATERIALS AND
METHODS: Radiology residents (n = 11) volunteered to perform percutaneous USG
cyst aspiration, 14-gauge automated core biopsy, and 10-gauge vacuum core biopsy
on turkey breast phantoms, with an emphasis on capturing ultrasound images
demonstrating appropriate documentation of the procedure and image annotation
according to the ACR practice guideline for USG percutaneous interventions. The
images were transmitted to the PACS for subsequent attending radiologist review.
Survey responses regarding procedural confidence and knowledge of the ACR
practice guideline were compared between residents with and without the simulator
experience. RESULTS: Residents with simulation exercise experience showed
statistically significant increases in confidence performing USG core biopsies,
operating biopsy devices and ultrasound equipment, and knowledge of appropriate
needle positioning and image annotation and documentation according to the ACR
practice guideline. The increased confidence seen in performing USG cyst
aspiration barely missed statistical significance (P = .056), likely because of
residents' baseline familiarity with the procedure. CONCLUSIONS: A PACS
integrated USG breast intervention simulation exercise increases residents'
procedural confidence and understanding of the ACR practice guideline for the
performance of USG percutaneous breast interventional procedures.
PMID- 21893300
TI - Puberty.
PMID- 21893301
TI - Are we monitoring what we think we are monitoring?
PMID- 21893302
TI - American Society for Pain Management Nursing guidelines on monitoring for opioid
induced sedation and respiratory depression.
AB - As the complexity of analgesic therapies increases, priorities of care must be
established to balance aggressive pain management with measures to prevent or
minimize adverse events and to ensure high quality and safe care. Opioid
analgesia remains the primary pharmacologic intervention for managing pain in
hospitalized patients. Unintended advancing sedation and respiratory depression
are two of the most serious opioid-related adverse events. Multiple factors,
including opioid dosage, route of administration, duration of therapy, patient
specific factors, and desired goals of therapy, can influence the occurrence of
these adverse events. Furthermore, there is an urgent need to educate all members
of the health care team about the dangers and potential attributes of
administration of sedating medications concomitant with opioid analgesia and the
importance of initiating rational multimodal analgesic plans to help avoid
adverse events. Nurses play an important role in: 1) identifying patients at risk
for unintended advancing sedation and respiratory depression from opioid therapy;
2) implementing plans of care to assess and monitor patients; and 3) intervening
to prevent the worsening of adverse events. Despite the frequency of opioid
induced sedation, there are no universally accepted guidelines to direct
effective and safe assessment and monitoring practices for patients receiving
opioid analgesia. Moreover, there is a paucity of information and no consensus
about the benefits of technology-supported monitoring, such as pulse oximetry
(measuring oxygen saturation) and capnography (measuring end-tidal carbon
dioxide), in hospitalized patients receiving opioids for pain therapy. To date,
there have not been any randomized clinical trials to establish the value of
technologic monitoring in preventing adverse respiratory events. Additionally,
the use of technology-supported monitoring is costly, with far-reaching
implications for hospital and nursing practices. As a result, there are
considerable variations in screening for risk and monitoring practices. All of
these factors prompted the American Society for Pain Management Nursing to
approve the formation of an expert consensus panel to examine the scientific
basis and state of practice for assessment and monitoring practices for adult
hospitalized patients receiving opioid analgesics for pain control and to propose
recommendations for patient care, education, and systems-level changes that
promote quality care and patient safety.
PMID- 21893303
TI - Improved practices for safe administration of intravenous bolus morphine in a
pediatric setting.
AB - Postoperative pain control is a clinical imperative, for which morphine is a
preferred opioid. However, interpatient variability and drug accumulation with
repeated doses, as well as medication errors, may result in respiratory arrest
with this medication. Early detection of respiratory depression is essential for
safe use of morphine, following both initial and repeated doses. A
multidisciplinary team contributed to development of an intravenous (IV) bolus
morphine monitoring guideline that reflects current knowledge of morphine
pharmacokinetics. Monitoring over a 22-week period in a postsurgical unit was
then assessed via record review. A total of 270 postsurgical patients received a
first dose of IV bolus morphine, with 784 subsequent doses also administered.
Complete monitoring (heart rate, respiratory rate, blood pressure, sedation
score, oxygen saturation, and pain score) after the morphine bolus was documented
at baseline and 10 and 20 minutes for 34%, 30%, and 23%, respectively, of the
patients; partial monitoring (respiratory rate and oxygen saturation) was
documented for an additional 22%, 15%, and 9% of patients; 43% of subsequent
morphine doses were followed with complete monitoring, and an additional 30% with
at least partial monitoring. Adherence to the monitoring procedure fluctuated
over the study period with no consistent upward or downward trend. A small number
of children exhibited a reduced respiratory rate potentially indicating
respiratory depression, but no child required antidote or respiratory support.
Despite suboptimal guideline adherence, potential signs of respiratory depression
were detected that might otherwise have gone unnoticed. This validates the
improved guideline and suggests that some incidents may have remained undetected.
Front-line staff must be involved to optimize change, champion the initiative,
and promote patient safety.
PMID- 21893304
TI - Barriers to pediatric pain management: a nursing perspective.
AB - This study describes strategies used by the Joint Clinical Practice Council of
Children's Hospital of Wisconsin to identify barriers perceived as interfering
with nurses' (RNs) ability to provide optimal pain management. A survey was used
to ascertain how nurses described optimal pain management and how much nurses
perceived potential barriers as interfering with their ability to provide that
level of care. The survey, "Barriers to Optimal Pain management" (adapted from
Van Hulle Vincent & Denyes, 2004), was distributed to all RNs working in all
patient care settings. Two hundred seventy-two surveys were returned. The five
most significant barriers identified were insufficient physician (MD) orders,
insufficient MD orders before procedures, insufficient time to premedicate
patients before procedures, the perception of a low priority given to pain
management by medical staff, and parents' reluctance to have patients receive
pain medication. Additional barriers were identified through narrative comments.
Information regarding the impact of the Acute Pain Service on patient care, RNs'
ability to overcome barriers, and RNs' perception of current pain management
practices is included, as are several specific interventions aimed at improving
or ultimately eliminating identified barriers.
PMID- 21893305
TI - Critical care nurses' experiences: "a good relationship with the patient is a
prerequisite for successful pain relief management".
AB - There is a lack of studies describing how critical care nurses experience
assessing and treating pain in patients receiving postoperative care in an
intensive care unit (ICU). The aim of this study was to describe those
experiences. Qualitative personal interviews with six critical care nurses in an
ICU in northern Sweden were conducted during 2009. The interview texts were
subjected to qualitative content analysis, which resulted in the formulation of
one theme and four categories. It was important to be able to recognize signs of
pain in patients unable to communicate verbally. In older patients, anxiety could
be interpreted as an indication of pain. Pain was primarily assessed by means of
a visual analog scale. Being unable to treat pain successfully was experienced as
failing in one's work. Pharmacologic treatment was always the first choice for
relief. The environment was experienced as a hindrance to optimal nursing care,
because all postoperative patients shared a room with only curtains between them.
The work of assessing and treating pain in patients receiving postoperative care
is an important and frequent task for critical care nurses, and knowledge in the
field is essential if the patients are to receive optimal nursing care and
treatment. Patients cared for in an ICU might benefit from nonpharmacologic
treatment. Being without pain after surgery implies increased well-being and
shorter hospitalization for the patient.
PMID- 21893306
TI - Hispanic older adults' osteoarthritis pain communication.
AB - Better understanding of how Hispanic older adults describe their chronic pain
might suggest ways to support Hispanic older adults to talk about important pain
information with their practitioner. The study aim was to describe how Hispanic
older adults communicate pain information, including the amount of pain
information and communication processes employed. A secondary analysis with a
descriptive design was used. The data were from a larger primary study that
tested the effect of practitioner pain question phrasing on the amount of pain
information described by older adults with osteoarthritis pain. The sample for
this secondary analysis was composed of the 24 Hispanic older adults with chronic
osteoarthritis pain. In the primary study older adults watched and orally
responded to a videotape of a practitioner asking about their pain. Pain content
from the patient responses was content analyzed by two independent raters.
Communication processes were also content analyzed by two independent raters
using a priori criteria from communication accommodation theory (clarity, syntax,
complexity, explicitness, and staying on topic). Participants described a mean of
5.5 (SD 3.39) items of pain information. The majority stayed on topic, and one
half spoke clearly and explicitly. Hispanic older adults with osteoarthritis pain
concisely describe clinically important pain information when given the
opportunity to do so.
PMID- 21893307
TI - Risk factors for opioid-induced excessive respiratory depression.
AB - Opioid use has increased significantly over the past ten years and so has the
incidence of reportable adverse events, such as respiratory depression and/or
arrest. It is important for nurses to understand and know how to assess patients
for risk factors for respiratory depression secondary to opioid therapy. This
paper presents the pharmacodynamics of opioids, the risk factors for excessive
respiratory depression, recommendations for identifying patients at high risk,
and interventions to prevent adverse effects. After reading this paper, nurses
will have the knowledge to provide safe administration of opioid medications for
the management of acute pain.
PMID- 21893308
TI - Common iliac vein stenosis: a risk factor for oral contraceptive-induced deep
vein thrombosis.
AB - OBJECTIVE: The objective of the study was to determine whether women with
significant left common iliac vein stenosis who also use combined oral
contraceptives (COCs) have a combined likelihood of deep vein thrombosis (DVT)
greater than each independent risk. STUDY DESIGN: This was a case-control study
comparing 35 women with DVT against 35 age-matched controls. Common iliac vein
diameters were measured from computed tomography and magnetic resonance imaging.
Logistic regression modeling was used with adjustment for risk factors. RESULTS:
DVT was associated with COC use (P = .022) and with increasing degrees of common
iliac vein stenosis (P = .004). Compared with women without venous stenosis or
COC use, the odds of DVT in women with a 70% venous stenosis who also use COCs
was associated with a 17-fold increase (P = .01). CONCLUSION: Venous stenosis and
COC use are independent risk factors for DVT. Women concurrently exposed to both
have a multiplicative effect resulting in an increased risk of DVT. We recommend
further studies to investigate this effect and its potential clinical
implications.
PMID- 21893309
TI - Passive immunization: the forgotten arm of immunologically based strategies for
disease containment.
AB - Passive immunization provides temporary protection in a naive subject who has
been exposed to an infectious pathogen when vaccination is unavailable or has not
been given before exposure. Despite the recent attention that has been given to
adult-directed vaccines, antibody-based therapeutic strategies have received
little discussion yet remain an important part of infectious disease containment.
This review examines some of the more common clinical situations in which an
obstetrician-gynecologist may need to have expertise related to passive
immunization. Potential future uses for this modality are presented.
PMID- 21893310
TI - First-trimester uterine scar assessment by transvaginal ultrasound.
AB - OBJECTIVE: The objective of the study was to describe the assessment of lower
segment uterine scar (LSCS) by transvaginal ultrasound (TVUS) during a first
trimester scan. STUDY DESIGN: Patients with a history of LSCS were prospectively
enrolled over a 6 month period. Four groups were defined: type 1A, thin scar
within cervicoisthmic canal (CIC); type 1B, thin above the internal os (IO); type
2A, dehiscent within the CIC; type 2B, dehiscent above the IO. Accuracy of first
trimester TVUS was investigated by blind testing a panel of 14 operators over a
web-based dataset. RESULTS: The scar was visualized in 122 of 123 patients
enrolled. Types 1A, 1B, 2A, and 2B occurred in 49.2%, 3.3%, 38.3%, and 9.2%,
respectively. When blind tested, fetal medicine specialists achieved a median
sensitivity of 82% and specificity of 100% for the detection of a scar. These
were 83% and 87% for nonspecialists. CONCLUSION: First-trimester uterine scar
assessment may become a valuable tool in early recognition of patients at risk of
subsequent perinatal complications.
PMID- 21893311
TI - Is ovarian volume estimation reliable when compared with true volume?
AB - OBJECTIVE: We aimed to evaluate the agreement of 2-dimensional (2D) and 3
dimensional (3D) ultrasonography (USG) with true ovarian volume (OV), as
calculated precisely after oophorectomy. STUDY DESIGN: A total of 46 ovaries from
30 patients were prospectively enrolled. Preoperatively, all ovaries were
assessed by 2D and 3D USG for volume estimation and results were compared with
true OV that was calculated with Archimedes' principles following oophorectomy.
RESULTS: The correlation coefficients of 2D and 3D USG with true OV were similar
(0.65 vs 0.67, respectively). The mean bias (upper and lower limits of agreement)
between 2D and true OV was 1.41 (-3.84 to 6.66) mL. The respective figure for 3D
and true OV were 0.33 (-4.71 to 5.37) mL. While estimation by 2D USG brought 18%
larger, 3D USG revealed 11% smaller values than the true OV. CONCLUSION: Three
dimensional OV estimation might present improvement in means of lower mean bias
than 2D USG.
PMID- 21893312
TI - Ergonomic factors on task performance in laparoscopic surgery training.
AB - This paper evaluates the effect of ergonomic factors on task performance and
trainee posture during laparoscopic surgery training. Twenty subjects without
laparoscopic experience were allotted into 2 groups. Group 1 was trained under
the optimal ergonomic simulation setting according to current ergonomic
guidelines (Condition A). Group 2 was trained under non-optimal ergonomic
simulation setting that can often be observed during training in a skills lab
(Condition B). Posture analysis showed that the subjects held a much more neutral
posture under Condition A than under Condition B (p<0.001). The subjects had less
joint excursion and experienced less discomfort in their neck, shoulders, and
arms under Condition A. Significant difference in task performance between
Conditions A and B (p<0.05) was found. This study shows that the optimal
ergonomic simulation setting leads to better task performance. In addition, no
significant differences of task performance, for Groups 1 and 2 using the same
test setting were found. However, better performance was observed for Group 1. It
can be concluded that the optimal and non-optimal training setting have different
learning effects on trainees' skill learning.
PMID- 21893313
TI - [Add that...].
PMID- 21893314
TI - Different involutionary changes in bone mineral density with age in three
skeletal sites in healthy Polish women.
AB - The aim of the study was to estimate the differences in bone mineral density
(BMD) at three skeletal sites, with regard to age and menopausal status. The
study was conducted between 2001 and 2006 in the Polish city of Wroclaw and the
sample was comprised of 440 healthy female inhabitants aged 40-88years. The
measurements of bone mineral density were taken at three sites: femoral neck,
Ward's triangle and trochanter major. Two bone mineral density characteristics
were used in further analysis: absolute measure of bone mineral density (BMD)
expressed in g/(100mm)(2), and % of BMD of the peak value calculated for young
adults (20-45, USA reference population). Pre- and postmenopausal status was
defined according to occurrence of menstruation within the last 60days. The
changes in bone mineral density with age showed significantly different patterns
in different skeletal sites. While the decrease in bone mineral density in the
femoral neck and Ward's triangle were parallel and gradual, the changes in
trochanter major were very small and between the age groups 51-55 and 71-75,
nearly unnoticeable. A comparison between pre- and postmenopausal women aged 46
55, showed a significant effect of menopausal status. The average bone mineral
densities in the three skeletal sites were higher in premenopausal than in
postmenopausal women. The highest value of bone mineral density was found in the
femoral neck, significantly lower in Ward's triangle, and a little lower (non
significantly) in the trochanter major than in the Ward's triangle.
Postmenopausal women had a little higher BMD value in the trochanter major than
in the Ward's triangle site.
PMID- 21893315
TI - Fall related injuries: a retrospective medical review study in North India.
AB - INTRODUCTION: Falls have been identified as a leading cause of injury-related
morbidity and mortality in India. However, very little is known about the context
and characteristics of such falls. The aim of this study was to describe the
context and characteristics of fall related injuries in patients admitted to
hospital for fall injury. METHODS: Medical records of patients presenting at the
Emergency Department of the Nehru Hospital, Chandigarh, India between March 2008
and February 2009, were reviewed by trained investigators. All injury cases were
identified and fall related injury cases were assigned an ICD 10, Chapter XX,
External causes of morbidity and mortality code. A review of medical records was
conducted to determine the context, nature and site of injury associated with a
fall event. RESULTS: Ten percent (7049) of hospital emergency presentations were
due to injuries, and falls were the second leading cause (20%, 1407). Seventy-six
percent of the fall related presentations were in males. More than one third
(36%) of the fall related presentations occurred in those aged 0-14 years old.
Falls from building or structures (35%, 499) were the leading cause for all ages
except for those older than 60 years, where same level falls due to slipping,
tripping and stumbling (40%, 57) were predominant. Half of all the falls resulted
in head injury. Nearly 10% of patients presenting for fall related injury died.
CONCLUSION: Fall related injuries are an important contributor to hospital
emergency presentations, particularly falls from buildings in children, and slips
and trips in older people. Given the high proportion of falls that resulted in
head injury and death, there is a significant need to develop appropriate
interventions to prevent such falls.
PMID- 21893316
TI - Effects of ramp negotiation, paving type and shoe sole geometry on toe clearance
in young adults.
AB - Trips are a major cause of falls and result from involuntary contact of the foot
with the ground during the swing phase of gait. Adequate toe clearance during
swing is therefore crucial for safe locomotion. To date, little is known about
the effects of environmental factors and footwear on toe clearance. This study
reports on modulation of toe clearance and toe clearance variability in response
to changes in ground inclination, paving type, and shoe sole geometry. Toe
clearance and toe clearance variability for ten healthy young adults were
calculated two-fold: a) for the commonly-used position on the foremost part of
the sole of the shoe and b) for the lowest of a total of 7 sole positions,
located between the metatarsals and the toe tip across the entire width of the
sole. Utilizing a full-factorial design we found that toe clearance was affected
by ground inclination, paving type, and sole geometry regardless of the
computational method used (with p-values<0.01) but the use of the foremost part
of the sole for toe clearance calculation results is an overestimation of this
value. Our findings highlight the importance of considering footwear and
environmental factors when assessing the risk of tripping. Future work needs to
investigate to which extent the same factors affect toe clearance in more
vulnerable parts of the population.
PMID- 21893317
TI - Reequilibration time of superficially porous silica based columns in gradient
elution reversed phase liquid chromatography.
AB - Between repetitive analyses using gradient elution liquid chromatography the
column must be reequilibrated to the initial conditions, extending run times. We
studied the reequilibration time of three superficially porous silica columns
compared to one fully porous silica column on a chromatograph with a reduced
flush-out volume. Post-gradient acetone injections made at the interface of the
pure organic-highly aqueous phase show anomalous, pressure-related band focusing,
and increased retention compared to injections on either side of the interface.
These anomalies are explained by applying the Buckley-Leverett theory of oil
displacement in sands to column reequilibration. Reequilibration was shown to
occur quickly, with less than three column volumes of conditioning solvent, and
depends on the reproducibility as required by the application. Offline LC-GC was
used to quantitate the percent acetonitrile eluting from each column post
gradient. After an initial, large expulsion of acetonitrile, a steady small
amount (~0.03%) of acetonitrile is detected long after the column is considered
equilibrated. The limiting variable with column equilibration is not the
desorption of organic modifier from the stationary phase, but rather the pressure
required to force the aqueous phase into the pores.
PMID- 21893318
TI - Determination of organic acid impurities in lactic acid obtained by fermentation
of sugarcane juice.
AB - Lactic acid produced by fermentation process mostly contains a number of
aliphatic carboxylic acids as impurities. In this work, carboxylic acid
impurities in lactic acid samples from a number of sources were determined at ppm
levels. A simple HPLC method was developed that utilized a new generation polar
embedded reverse phase, 20mM phosphate buffer at pH 2.20 (+/-0.05) and UV
detection at 210 nm. The method enabled quantitative analysis of the above acids
in lactic acid matrix. The experimental conditions for column temperature, mobile
phase pH and flow rate were optimized. A detailed validation of the method was
performed for linearity, precision, accuracy, selectivity, limit of detection
(LOD), limit of quantitation (LOQ), ruggedness and repeatability and
reproducibility (R&R).
PMID- 21893319
TI - Quantitative trace analysis of eight chloramphenicol isomers in urine by chiral
liquid chromatography coupled to tandem mass spectrometry.
AB - Chloramphenicol is a broad-spectrum antibiotic with, apart from its human
medicinal use, veterinary abuse in all major food-producing animals.
Chloramphenicol occurs in four stereoisomers (all para-nitro substituted) and
furthermore four meta-nitro analogs of chloramphenicol exist. In this paper these
are referred to as eight chloramphenicol isomers. According to EU regulations an
analytical method should be able to discriminate the analyte from interfering
substances that might be present in the sample, including isomers. For the first
time a quantitative method for the analysis of trace levels of eight
chloramphenicol isomers in urine by chiral liquid chromatography in combination
with tandem mass spectrometric detection is reported. The separation of the
isomers on the analytical column, the clean-up of urine and the selectivity of
the monitored product ions turned out to be critical parameters. To obtain
reproducible retention isocratic elution on a chiral AGP column was applied. For
urine samples matrix compounds present in the final extract caused decreased
retention of the isomers on the chiral stationary phase and a lack of
chromatographic resolution. Therefore an extended clean-up procedure that
combines solid phase extraction and liquid-liquid extraction had to be developed.
The final method was fully validated and showed satisfactory performance for all
isomers with decision limits (CCalpha) ranging from 0.005 to 0.03 MUg L(-1) and
within-laboratory reproducibility of all isomers below 20% at the minimum
required performance limit level of 0.3 MUg L(-1).
PMID- 21893320
TI - Kinetic plot based comparison of the efficiency and peak capacity of high
performance liquid chromatography columns: theoretical background and selected
examples.
AB - The present contribution reviews the foundations of the kinetic-plot method for
the direct comparison of the kinetic performance of different chromatographic
support and operating modes. The method directly uses experimental data collected
for a specific sample and operating condition of one's interest, and is
applicable both under isocratic- and gradient-elution conditions. Experimental
proof is provided for the strong relation between the kinetic performance of a
given support under isocratic and gradient conditions: a material offering
superior kinetic performances under isocratic conditions will remain superior
under gradient conditions and vice versa provided the comparison occurs under
unbiased conditions. In addition, a review is made of the recent literature using
the kinetic-plot method to compare and assess the kinetic performance of high
performance HPLC columns and their operation mode.
PMID- 21893321
TI - Microfluidic circuit analysis II: implications of ion conservation for
microchannels connected in series.
AB - A mathematical framework for analysing electrokinetic flow in microchannel
networks is outlined. The model is based on conservation of volume and total
charge at network junctions, but in contrast to earlier theories also
incorporates conservation of ion charge there. The model is applied to mixed
pressure-driven/electro-osmotic flows of binary electrolytes through homogeneous
microchannels as well as a 4:1:4 contraction-expansion series network. Under
conditions of specified volumetric flow rate and ion currents, non-linear steady
state phenomena may arise: when the direction of the net co-ion flux is opposite
to the direction of the net volumetric flow, two different fully developed,
steady-state flow solutions may be obtained. Model predictions are compared with
two-dimensional computational fluid dynamics (CFD) simulations. For systems where
two steady states are realisable, the ultimate steady behaviour is shown to
depend in part upon the initial state of the system.
PMID- 21893322
TI - Prevalence of dementia and mild cognitive impairment in the elderly living in
nursing and veteran care homes in Xi'an, China.
AB - DESIGN: Cross-sectional surveys using cluster sampling. OBJECTIVES: To explore
the prevalence of dementia and mild cognitive impairment (MCI) in several nursing
homes (NHs) and veteran care homes (VCHs) in Xi'an City and their various risk
factors. PARTICIPANTS AND MEASUREMENTS: 264 native elderly people from four NHs
and two VCHs were examined with several screening tests for dementia: Mini Mental
State Examination (MMSE), Activities of Daily Living (ADL) and Hasegawa Dementia
Scale (HDS). Diagnosis of dementia and MCI were made according to DSM-III-R and
Petersen's criteria. Socio-demographic characteristics and past history were also
collected. RESULTS: Dementia prevalence was 36.7% in those living in NHs and
VCHs, much higher than that of normal population and the prevalence of MCI was
13.3% which was the same as in normal population. Dementia was associated with
old age, female, low education level and living in NHs. Men had significantly
higher prevalence odds for MCI. Very old age, living in NHs and severe ADL
impairment were correlated with higher prevalence odds for dementia and MCI.
CONCLUSION: The prevalence of dementia in the elderly living in groups in Xi'an
was high, especially living in NHs.
PMID- 21893323
TI - Idiopathic Parkinson's syndrome. Preface.
PMID- 21893324
TI - Caucasicosides E-M, furostanol glycosides from Helleborus caucasicus.
AB - Nine furostanol glycosides, namely caucasicosides E-M, were isolated from the
MeOH extract of the leaves of Helleborus caucasicus, along with 11 known
compounds including nine furostanol glycosides, a bufadienolide and an
ecdysteroid. Their structures were established by the extensive use of 1D and 2D
NMR experiments along with ESIMS(n) analyses. The steroidal composition of leaves
of H. caucasicus shows as particular feature the occurrence of steroidal
compounds belonging to the 5beta series, unusual for Helleborus species, and in
particular, caucasicosides F-H are based on a 5beta-polyhydroxylated steroidal
aglycon never reported before.
PMID- 21893325
TI - Terpenoids and phenethyl glucosides from Hyssopus cuspidatus (Labiatae).
AB - Monoterpenoids (3 and 4), sesquiterpenoid (2), diterpenoid (1) and four phenethyl
glucosides (5-8), together with fourteen known compounds, were isolated from the
whole herb of Hyssopus cuspidatus. Their structures were determined by
spectroscopic means. The abietane-type diterpenoids (1, 9, 10), rosmarinic acid
(15) and salvigenin (17) inhibited leukotriene (LT) C(4) secretion from primary
alveolar cells of Wistar rats.
PMID- 21893326
TI - Pitfalls in avoiding operation for autoimmune pancreatitis.
AB - BACKGROUND: Despite improved clinical characterization, autoimmune pancreatitis
is often still diagnosed only after a major operative procedure. This study seeks
to elucidate the circumstances that contribute to an inaccurate preoperative
diagnosis. METHODS: Two independent reviewers identified retrospectively an
institutional cohort of 68 patients with adequate clinical data to support the
diagnosis of autoimmune pancreatitis. Further data regarding presentation,
diagnostic studies, and clinical course was abstracted from medical records.
Comparative analyses were performed between those patients who underwent major
operative procedures and those who did not. RESULTS: Fifty-three patients
underwent operative intervention as their initial treatment. Compared to the 15
patients avoiding operation, these patients were less likely to have diffuse
pancreatic enlargement identified on pretreatment imaging (8% vs 80%) or to have
pretreatment serum IgG4 level evaluations (11% vs 100%). Among the 21 patients in
whom IgG4 levels were first checked postoperatively, only 12 had increases of at
least twice the upper limit of normal. Pretreatment fine needle aspirates were
interpreted incorrectly as definite or suspicious for adenocarcinoma in 12
patients, of whom 10 underwent operation. Clinically important postoperative
disease recurrence was suspected or proven in 13 patients. CONCLUSION: Pitfalls
leading to major pancreatic resections in autoimmune pancreatitis include
unnecessarily high thresholds for initiating serum IgG4 evaluation, false
positive cytologic evaluations for malignancy, and failure to recognize non
classic initial presentations, or recurrence of disease. Better diagnostic
strategies are needed, but awareness of these specific findings should help to
decrease the number of patients undergoing operation for unrecognized autoimmune
pancreatitis.
PMID- 21893327
TI - Differences in metabolic urinary abnormalities in stone forming and nonstone
forming patients with primary hyperparathyroidism.
AB - BACKGROUND: Hyperparathyroidism is associated with hypercalciuria and
nephrolithiasis. Urine calcium excretion decreases after parathyroidectomy, but
whether there is a differential decrease between stone and nonstone formers
remains controversial. We evaluated differences between stone formers and non
stone formers in serum and urinary parameters before and after parathyroidectomy.
METHODS: 90 patients, 40 with and 50 without a history of nephrolithiasis
underwent parathyroidectomy for hyperparathyroidism; 24-hour urine samples were
collected before and after parathyroidectomy. Overall, 92% of patients provided
samples before parathyroidectomy and 63% after parathyroidectomy. Preoperative,
postoperative and changes in urinary parameters were evaluated. RESULTS:
Preoperative hypercalciuria was present in ~65% in both groups (P = .68).
Parathyroidectomy decreased serum levels of calcium, parathyroid hormone, and
urinary calcium, but there were no differences between stone formers and nonstone
formers. Stone formers were 12-fold (P = .001) more likely to resolve an increase
in supersaturation of calcium oxalate (SSCaOx), and after adjustment for age,
sex, and BMI were 46-fold (P = .002) more likely to resolve an increase in
SSCaOx. After parathyroidectomy, the rate of stone recurrence was 23% and male
sex (aOR 20, P = .032) and increasing BMI (aOR 1.23, P = .038) were the only
independent predictors of stone recurrence after adjusting for age. No other
factor evaluated preoperatively, postoperatively, or the change after
parathyroidectomy differentiated stone and nonstone formers or predicted stone
recurrence. CONCLUSION: Metabolic evaluation did not differentiate stone formers
from nonstone formers reliably. Stone formers were more likely to resolve an
increase in SSCaOx after parathyroidectomy. Male sex and increasing BMI were
independently associated with stone recurrence after parathyroidectomy.
PMID- 21893328
TI - The angiogenic factor Del1 prevents apoptosis of endothelial cells through
integrin binding.
AB - BACKGROUND: Del1 is a secreted protein that is expressed in the endothelium
during development and can stimulate angiogenesis through integrin binding and
signaling. We were interested in the specific effects of del1 on endothelial cell
biology to gain insight into its biologic role during angiogenesis. METHODS:
Primary endothelial cells were treated with a variety of inducers of apoptosis
and anoikis followed by assays for numbers of apoptotic cells, and harvest of
total protein for immunoblot analysis. RESULTS: Del1 prevented endothelial cell
apoptosis in response to TNFalpha/IFNgamma, etoposide, and anoikis, but had no
effect on proliferation. The anti-apoptotic effect was mediated specifically
through binding of integrin alphavbeta3 by the RGD motif. FAK/ERK and Akt
signaling were both necessary to mediate the anti-apoptotic effect of Del1 with
the exception of anoikis, which required only Akt activation. CONCLUSION: Del1
has been previously shown to promote vascular smooth muscle cell adhesion,
migration, and proliferation. We demonstrate here that Del1 prevented apoptosis
of endothelial cells in cell culture through integrin binding without any effect
on proliferation.
PMID- 21893329
TI - Determination of acoustic impedances of multi matching layers for narrowband
ultrasonic airborne transducers at frequencies <2.5 MHz - Application of a
genetic algorithm.
AB - The effective ultrasonic energy radiation into the air of piezoelectric
transducers requires using multilayer matching systems with accurately selected
acoustic impedances and the thickness of particular layers. One major problem of
ultrasonic transducers, radiating acoustic energy into air, is to find the proper
acoustic impedances of one or more matching layers. This work aims at developing
an original solution to the acoustic impedance mismatch between transducer and
air. If the acoustic impedance defences between transducer and air be more, then
finding best matching layer(s) is harder. Therefore we consider PZT (lead
zirconate titanate piezo electric) transducer and air that has huge acoustic
impedance deference. The vibration source energy (PZT), which is used to generate
the incident wave, consumes a part of the mechanical energy and converts it to an
electrical one in theoretical calculation. After calculating matching layers, we
consider the energy source as layer to design a transducer. However, this part of
the mechanical energy will be neglected during the mathematical work. This
approximation is correct only if the transducer is open-circuit. Since the
possibilities of choosing material with required acoustic impedance are limited
(the counted values cannot always be realized and applied in practice) it is
necessary to correct the differences between theoretical values and the
possibilities of practical application of given acoustic impedances. Such a
correction can be done by manipulating other parameters of matching layers (e.g.
by changing their thickness). The efficiency of the energy transmission from the
piezoceramic transducer through different layers with different thickness and
different attenuation enabling a compensation of non-ideal real values by
changing their thickness was computer analyzed (base on genetic algorithm).
Firstly, three theoretical solutions were investigated. Namely, Chebyshev,
Desilets and Souquet theories. However, the obtained acoustic impedances do not
necessarily correspond to a nowadays available material. Consequently, the values
of the acoustic impedances are switched to the nearest values in a large material
database. The switched values of the acoustic impedances do not generally give
efficient transmission coefficients. Therefore, we proposed, in a second step,
the use of a genetic algorithm (GA) to select the best acoustic impedances for
matching layers from the material database for a narrow band ultrasonic
transducer that work at frequency below the 2.5MHz by considering attenuation.
However this bank is rich, the results get better. So the accuracy of the propose
method increase by using a lot of materials with exact data for acoustic
impedance and their attenuation, especially in high frequency. This yields highly
more efficient transmission coefficient. In fact by using increasing number of
layer we can increase our chance to find the best sets of materials with valuable
both in acoustic impedance and low attenuation. Precisely, the transmission
coefficient is almost equal to unity for the all studied cases. Finally the
effect of thickness on transmission coefficient is investigated for different
layers. The results showed that the transmission coefficient for air media is a
function of thickness and sensitive to it even for small variation in thickness.
In fact, the sensitivity increases when the differences of acoustic impedances to
be high (difference between PZT and air).
PMID- 21893330
TI - Climate change: links to global expansion of harmful cyanobacteria.
AB - Cyanobacteria are the Earth's oldest (~3.5 bya) oxygen evolving organisms, and
they have had major impacts on shaping our modern-day biosphere. Conversely,
biospheric environmental perturbations, including nutrient enrichment and
climatic changes (e.g. global warming, hydrologic changes, increased frequencies
and intensities of tropical cyclones, more intense and persistent droughts),
strongly affect cyanobacterial growth and bloom potentials in freshwater and
marine ecosystems. We examined human and climatic controls on harmful (toxic,
hypoxia-generating, food web disrupting) bloom-forming cyanobacteria (CyanoHABs)
along the freshwater to marine continuum. These changes may act synergistically
to promote cyanobacterial dominance and persistence. This synergy is a formidable
challenge to water quality, water supply and fisheries managers, because bloom
potentials and controls may be altered in response to contemporaneous changes in
thermal and hydrologic regimes. In inland waters, hydrologic modifications,
including enhanced vertical mixing and, if water supplies permit, increased
flushing (reducing residence time) will likely be needed in systems where
nutrient input reductions are neither feasible nor possible. Successful control
of CyanoHABs by grazers is unlikely except in specific cases. Overall, stricter
nutrient management will likely be the most feasible and practical approach to
long-term CyanoHAB control in a warmer, stormier and more extreme world.
PMID- 21893331
TI - Concentrations and possible sources of polychlorinated biphenyls in the surface
water of the Yangtze River Delta, China.
AB - In this study, polychlorinated biphenyls (PCBs) pollution in the surface water of
the Yangtze River Delta (YRD) was investigated. A total of 26 samples were
collected from water bodies in three cities within the YRD during the dry season
from October to November of 2009. The total PCBs (dissolved plus particulate)
ranged from 1.23 to 16.6 ng L(-1) and were dominated by tri-, tetra-, and penta
chlorinated biphenyls. The mean PCBs in the Beijing-Hangzhou Grand Canal and the
Yangtze River were 8.84 and 3.36 ng L(-1), respectively. A t-test showed that
there were no significant differences in the concentration of samples from the
metropolitan area and the development zone. According to the Chinese national
environmental quality standards for surface water (GB 3838-2002), the
concentrations of PCBs observed in this study do not pose a hazard to aquatic or
human health. Overall, this study described the PCB concentration and homolog
distribution patterns in one of the most rapidly developing areas in China, and
the results can be used as reference levels for future PCB monitoring programs.
PMID- 21893332
TI - Kinetics and mechanism of propachlor reductive transformation through
nucleophilic substitution by dithionite.
AB - Chloroacetanilide herbicides are extensively used in the control of weeds and
have widely resulted in nonpoint contamination of groundwater and soil resources.
In the attempt to achieve better remediation for herbicide-contaminated
resources, we investigated the reductive transformation of propachlor through
nucleophilic substitution by dithionite (S(2)O(4)(2-)). Results showed that
propachlor underwent rapid dechlorination in the presence of dithionite. The
reaction was of second-order kinetics and strongly influenced by pH and
temperature. At pH 7.0 and temperature 308K, the rate constant of propachlor
dechlorination was estimated at 123.4+/-0.7M(-1)h(-1). Within the pH range tested
(3.0-9.5), higher pH promoted the ionization of dithionite, resulting in a more
active nucleophilic reagent of S(2)O(4)(2-) to enhance the propachlor
transformation rate. Similarly, higher reaction temperature overcame the
activation barrier of steric hindrance in propachlor structure and accelerated
the excitation of dithionite, in which higher rate constants of propachlor
reductive dechlorination were obtained. Dechlorination was found to be the first
and necessary step of propachlor nucleophilic substitution by dithionite. Sulfur
nucleophile substituted compounds, including propachlor dithionite, propachlor
ethanesulfonic acid (ESA), and hydroxyl propachlor, were identified as the
dechlorination products of propachlor, indicating bimolecular nucleophilic
substitution (S(N)2) as the mechanism for propachlor transformation initiated by
dithionite.
PMID- 21893333
TI - Is PCBs concentration variability between and within freshwater fish species
explained by their contamination pathways?
AB - Many chemical, physiological, and trophic factors are known to affect
bioaccumulation of polychlorinated biphenyls (PCBs) in biota. Understanding the
primary factors affecting fish contamination is critical for predicting and
assessing risks to upper-trophic level consumers, including humans. Here we
identify PCB contamination pathways that could explain within- and between
species variability in fish concentration levels. Three freshwater river fish
species (barbel, chub and bream) were sampled at three sites along the Rhone
River (France) where fish consumption is partially prohibited because of PCB
levels exceeding the European health-based benchmark. The trophic position was
assessed using an innovative approach based on stable isotope analyses and
Bayesian inference, which takes into account both isotope data variability and
parameter uncertainty. The effect of foraging habitat on fish contamination was
addressed using stable isotope mixing models. The fish trophic position and PCB
concentrations were found to be unrelated while the exploitation of sediment
detrital carbon as a food source appeared to be a critical factor affecting fish
contamination. Fish length, PCB concentration of the sediment, and individual
fish foraging habitat (exploitation of detrital versus planktonic carbon sources)
explained 80% of within- and between-species variability observed in PCB
concentrations. These results, obtained for species that have overlapping TPs and
exploit different carbon sources, reveal that the important factor in fish PCB
contamination is not only what fish consume, but also and essentially the feeding
location.
PMID- 21893334
TI - Biodegradation of endosulfan by Mortieralla sp. strain W8 in soil: Influence of
different substrates on biodegradation.
AB - To examine the bioremediation potential of Mortierella sp. strain W8 in
endosulfan contaminated soil, the fungus was inoculated into sterilized and
unsterilized soil spiked with endosulfan. Wheat bran and cane molasses were used
as substrates to understand the influence of different organic materials on the
degradation of endosulfan in soil. Strain W8 degraded alpha- and beta-endosulfan
in both sterilized and unsterilized soil. In unsterilized soil with wheat
bran+W8, alpha- and beta- endosulfan were degraded by approximately 80% and 50%,
respectively after 28 d incubation against the initial endosulfan concentration
(3 mg kg(-1) dw). The corresponding values for alpha- and beta-endosulfan
degradation with wheat bran only were 50% and 3%. Endosulfan diol metabolite was
detected after 14 d incubation in wheat bran+W8 whereas it was not found with
wheat bran only. Production of endosulfan sulfate, the main metabolite of
endosulfan, was suppressed with wheat bran+W8 treatment compared with wheat bran
only. It was demonstrated that wheat bran is a more suitable substrate for strain
W8 than cane molasses. Wheat bran+W8 is a superior fungus and substrate mix for
bioremediation in soil contaminated with endosulfan.
PMID- 21893335
TI - Diagnostic value of intraoperative examination of sentinel lymph node in early
cervical cancer: a prospective, multicenter study.
AB - OBJECTIVES: Sentinel lymph node (SLN) biopsy is a surgical procedure proposed in
early cervical cancer. This technique yields the potential interest to reduce the
morbidity of complete lymphadenectomy, which could then be performed only in case
of positive SLN. Intraoperative examination has a major per-operative role in
predicting nodal involvement and preventing a second step procedure. The aim of
this study was to assess the diagnostic value of intraoperative examination with
frozen section (FS) or imprint cytology (IC) of SLNs in early cervical cancer.
METHODS: Prospective study in 7 centers (01/2005-06/2007) including patients with
stage IA1 and lymphovascular space involvement to IB1 cervical cancer (squamous
cell carcinoma, adenocarcinoma or adenosquamous carcinoma). SLNs were detected
with a combined method (Tc99m+blue dye) and then removed laparoscopically.
Intraoperative examination (FS or IC) was not systematically performed but
recommended in case of macroscopical nodal enlargement in 5 centers. Results of
intraoperative examination were compared with final histology performed by
Hematoxylin-Eosin-Safran staining and immunohistochemistry. The diagnostic value
of intraoperative examination was calculated. RESULTS: One hundred and thirty
nine patients were analyzed in the study. The combined detection rate was 97.8%
per patient, with 454 detected SLNs. One hundred and two patients (73.4%) had an
intraoperative examination (97 patients with FS and 5 with IC). Among patients
with intraoperative examination, 5 SLNs were positive (all with macrometastasis
at final histology), as compared with 22 metastatic nodes at final result. The 17
false negative SLNs were: 4 macrometastasis, 4 micrometastasis and 9 isolated
tumor cells. Sensitivity of the intraoperative examination per node was 20.7%
[95%CI: 7.8%-45.4%] and the negative predictive value 93.0% [95%CI: 89.0%-95.9%].
CONCLUSIONS: Intraoperative examination of SLNs by FS and IC has a poor
diagnostic value. This is mainly related to micrometastasis and isolated tumor
cells, which are not detected by intraoperative techniques. Other techniques,
like new molecular assays, should be investigated to improve intraoperative
assessment of SLNs.
PMID- 21893336
TI - Integrating a relaxation response-based curriculum into a public high school in
Massachusetts.
AB - Academic and societal pressures result in U.S. high school students feeling
stressed. Stress management and relaxation interventions may help students
increase resiliency to stress and overall well-being. The objectives of this
study were to examine the feasibility (enrollment, participation and
acceptability) and potential effectiveness (changes in perceived stress, anxiety,
self-esteem, health-promoting behaviors, and locus of control) of a relaxation
response (RR)-based curriculum integrated into the school day for high school
students. The curriculum included didactic instruction, relaxation exercises,
positive psychology, and cognitive restructuring. The intervention group showed
significantly greater improvements in levels of perceived stress, state anxiety,
and health-promoting behaviors when compared to the wait list control group. The
intervention appeared most useful for girls in the intervention group. The
results suggest that several modifications may increase the feasibility of using
this potentially effective intervention in high schools.
PMID- 21893337
TI - Maternal cultural values and parenting practices: longitudinal associations with
Chinese adolescents' aggression.
AB - Interrelations among cultural values, parenting practices, and adolescent
aggression were examined using longitudinal data collected from Chinese
adolescents and their mothers. Adolescents' overt and relational aggression were
assessed using peer nominations at Time 1 (7th grade) and Time 2 (9th grade).
Mothers reported endorsement of cultural values (collectivism and social harmony)
and parenting practices (psychological control and inductive reasoning) at Time
1. While controlling for Time 1 adolescent aggression, maternal collectivism and
social harmony indirectly and longitudinally linked to adolescent aggression
through maternal parenting practices. Specifically, maternal collectivism was
positively related to inductive reasoning, which, in turn, negatively related to
adolescent overt aggression at Time 2. Similarly, maternal social harmony
negatively related to psychological control that positively predicted later
adolescent relational aggression. Results of the present study shed light on
mechanisms through which culture may indirectly influence adolescent aggression.
PMID- 21893339
TI - A syncytin-like endogenous retrovirus envelope gene of the guinea pig
specifically expressed in the placenta junctional zone and conserved in
Caviomorpha.
AB - Syncytins are genes of retroviral origin that have been co-opted by mammalian
hosts for a function in placentation. Two such genes have already been identified
in simians, as well as two distinct, unrelated ones in Muridae and a fifth in the
rabbit. Here we searched for similar genes in the guinea pig, which belongs to
the Caviomorpha lineage within the Hystricognathi suborder of rodents and
displays a placental structural organization with several characteristic features
comparable to those of the human organ, including deep trophoblast invasion of
maternal tissues. An in silico search for envelope (env) genes with full coding
capacity identified a candidate gene that showed specific expression in the
placenta, as revealed by RT-qPCR using RNAs from a large panel of tissues. This
gene belongs to an endogenous retroviral element present at a single-copy in the
guinea pig genome, still displaying a retroviral organization - with a degenerate
gag and pol, but an intact env gene. In situ hybridization of guinea pig placenta
sections demonstrated specific expression at the level of the invasive
trophoblast-containing junctional zone, as observed in humans for syncytin-1 and
consistent with a role in invasion of the maternal uterine tissues. The
identified gene displays a conserved open reading frame in the Caviomorpha,
consistent with an entry date >30 million years, and sequence analyses showed
purifying selection of the gene. Conclusively, despite the absence of a
demonstrated fusogenic activity, it is likely that the identified env gene - that
we named syncytin-like env-Cav1 - exerts a physiological function possibly
related to trophoblast invasion, in the course of caviomorph placentation.
PMID- 21893338
TI - Real time monitoring of biomaterial-mediated inflammatory responses via
macrophage-targeting NIR nanoprobes.
AB - Medical implant-mediated inflammatory responses, often involving high levels of
macrophages, are typically determined by histological analyses. These methods
however are time consuming and require many animals to monitor the kinetics of
inflammatory reactions and to generate reproducible outcomes. Recent studies have
shown that activated macrophages in inflamed tissue express high levels of folate
receptor (FR). In this study, FR-targeting NIR nanoprobes were fabricated and
then tested for their ability to detect and quantify the extent of biomaterial
mediated inflammatory responses in vivo. Indeed, FR-targeting nanoprobes
preferentially accumulate on activated macrophage surfaces. When administered
intravenously, we found that the FR-targeting nanoprobes distinctively gathered
in the inflamed tissues and that a different extent of FR-targeting nanoprobe
gathering could be found in tissues implanted with different types of
biomaterials. Most importantly, we found that there was a good relationship
between the extent of inflammatory reactions and the intensity of nanoprobe
associated NIR signal in tissue. Our results support that FR-targeting NIR
nanoprobes can be used to monitor and quantify the extent of macrophage
recruitment and the degree of an implants' biocompatibility in real time.
PMID- 21893341
TI - A combination of methotrexate and irradiation promotes cell death in NK/T-cell
lymphoma cells via down-regulation of NF-kappaB signaling.
AB - Nasal NK/T-cell lymphoma (NKTL) is a highly aggressive disease. Although
radiotherapy is the first-line of treatment for NKTL, the clinical outcome is
poor. Thus, there is a need for an effective radiosensitizer to improve the
survival rate of patients. NF-kappaB activation contributes to cell survival as
well as chemo- and radio-resistance in various cancer cells. In NKTL, the
constitutive activation of NF-kappaB is also a critical factor. In the present
study, we used two EBV-expressing NKTL cell lines (Hank-1 and NK-92) to evaluate
the radiosensitizing effect of methotrexate (MTX), highlighting the role of NF
kappaB. Combined treatment of MTX and IR significantly induced apoptosis and
growth inhibition in both NKTL cells. The synergistic cytotoxicity was correlated
with blocking nuclear NF-kappaB and suppressing expression of NF-kappaB-mediated
anti-apoptotic proteins. These data suggest that the combined treatment with MTX
and IR can inhibit IR-induced NF-kappaB activation in NKTL cells. Taken together,
co-treatment with MTX and IR may provide a therapeutic advantage for patients
with NKTL.
PMID- 21893340
TI - A study of serum concentrations and dermal levels of NGF in atopic dermatitis and
healthy subjects.
AB - Nerve growth factor (NGF) was reported to be increased in the serum and skin of
atopic dermatitis (AD) patients, to the extent that serum nerve growth factor
levels were proposed to serve as a marker of disease severity. We studied NGF
levels in the serum and dermis using skin microdialysis and attempted to
correlate them with disease severity. We also examined if potential differences
between morning and evening levels of NGF can explain the phenomenon of nocturnal
itch. In addition, neurogenic inflammation and itch were induced using histamine
iontophoresis in lesional and non-lesional skin and the effect of experimental
itch on dermal NGF concentration was examined. We found that systemic (serum) and
eczematous skin levels of NGF in AD are significantly lower in comparison to
healthy controls. Serum NGF decreases from morning to late afternoon in both
groups. Interestingly, serum NGF levels were correlated to disease severity in
the morning in AD, although the NGF concentration in AD were significantly lower
than in the healthy group. The local itch and neurogenic inflammation induction
via experimental histamine reduced local NGF levels in the eczema and non
lesional skin in atopics, but not in the healthy controls, where it was slightly
increased. The higher the clinical severity of the eczema, a significantly less
pronounced effect of neurogenic inflammation on the local levels of NGF was
found. The availability of measurable NGF might be reduced by a higher expression
of NGF receptors. The fluctuations of NGF levels during the day suggest a complex
modulation of this neurotrophin, potentially linked to stress or to an altered
neurophysiological mechanism.
PMID- 21893342
TI - Runoff of genotoxic compounds in river basin sediment under the influence of
contaminated soils.
AB - Contaminated sites must be analyzed as a source of hazardous compounds in the
ecosystem. Contaminant mobility in the environment may affect sources of surface
and groundwater, elevating potential risks. This study looked at the genotoxic
potential of samples from a contaminated site on the banks of the Taquari River,
RS, Brazil, where potential environmental problems had been identified
(pentachlorophenol, creosote and hydrosalt CCA). Samplers were installed at the
site to investigate the drainage material (water and particulate soil matter)
collected after significant rainfall events. Organic extracts of this drained
material, sediment river samples of the Taquari River (interstitial water and
sediment organic extracts) were evaluated by the Salmonella/microsome assay to
detect mutagenicity and by Allium cepa bioassays (interstitial water and whole
sediment samples) to detect chromosomal alterations. Positive mutagenicity
results in the Salmonella/microsome assay of the material exported from the area
indicate that contaminant mixtures may have drained into the Taquari River. This
was confirmed by the similarity of mutagenic responses (frameshift indirect
mutagens) of organic extracts from soil and river sediment exported from the main
area under the influence of the contaminated site. The Allium cepa test showed
significant results of cytotoxicity, mutagenic index and chromosome aberration in
the area under the same influence. However, it also showed the same similarity in
positive results at an upstream site, which probably meant different
contaminants. Chemical compounds such as PAHs, PCF and chromium, copper and
arsenic were present in the runoff of pollutants characteristically found in the
area. The strategy employed using the Salmonella/microsome assay to evaluate
effects of complex contaminant mixtures, together with information about the main
groups of compounds present, allowed the detection of pollutant dispersion routes
from the contaminated site to the Taquari River sediment.
PMID- 21893343
TI - Cardiopulmonary exercise test in patients with subacute pulmonary emboli.
AB - OBJECTIVE: Patients presenting with suspected pulmonary embolism (PE) may present
a challenge, particularly if diagnostic testing is not immediately available or
clinically not indicated (iodine allergy, pregnancy, renal dysfunction). These
patients have abnormal regional gas exchange that can be recognized by a
cardiopulmonary exercise test (CPET), which may become helpful in their
evaluation. METHODS: A retrospective analysis was performed of outpatients
evaluated for subacute exertional dyspnea of 2 to 12 weeks duration with a test
for PE and CPET. A total of 108 patients met inclusion criteria. Thirty patients
(27.8%) had confirmed PE. RESULTS: The patients with PE had increased nadir
ventilatory equivalent ratio for carbon dioxide (VE/VCO(2)), decreased peak
oxygen uptake/predicted, and decreased end exercise saturation (P < .005 for
all). All patients but 1 had normal breathing reserve (>15%). A normal nadir
VE/VCO(2) excluded PE with 100% sensitivity. By using a "flow chart strategy,"
the exercise test had 92.8% sensitivity and 92.1% specificity for PE. Eight
patients with PE died during follow-up (3.8 +/- 4.6 years), 6 of PE-related
causes. Peak VO(2)/kg was the best predictor of all-cause mortality and nadir
VE/VCO(2) for PE-related mortality. There were no serious complications from any
of the exercise tests. CONCLUSION: PE may be excluded by a normal nadir VE/VCO(2)
in patients presenting with subacute dyspnea. A combination of decreased peak
VO(2)/kg, increased nadir VE/VCO(2), normal breathing reserve, and exercise
induced desaturation may be sensitive and specific for PE. CPET may assist in
identifying subacute PE in patients with contraindications to use of computed
tomography angiography or ventilation perfusion scans.
PMID- 21893344
TI - Superior vena cava and right atrium wall infective endocarditis in patients
receiving hemodialysis.
AB - Infective endocarditis is significantly more common and causes greater morbidity
and mortality in patients receiving hemodialysis than in the general population.
Episodes of bacteremia during hemodialysis are primarily the result of frequent
vascular access through an arteriovenous fistula, a vascular graft, or an
indwelling vascular catheter. This leads to dialysis access infection and
secondary bacteremia. We describe 4 cases of patients receiving hemodialysis,
with an indwelling intravascular dialysis catheter, who developed right-sided
endocarditis with vegetations located exclusively on the superior vena cava and
right atrium wall. All patients had persistent bacteremia with Staphylococcus,
secondary to an indwelling intravascular hemodialysis catheter, which led to
seeding of the right-sided cardiac wall, causing infective endocarditis. The
rates of acceptance for hemodialysis are increasing, along with improved survival
in this group of patients. This will probably lead to an increase in the
incidence of infective endocarditis, with atypical presentations such as superior
vena cava and right-sided cardiac wall endocarditis.
PMID- 21893345
TI - Inexperienced nurses and doctors are equally efficient in managing the airway in
a manikin model.
AB - OBJECTIVE: The aim of the present study was to investigate whether minimally
trained medical and nursing school graduates would be equally efficient in
placing a laryngeal mask airway (LMA) and in intubating the trachea with the
Macintosh blade or a videolaryngoscope in a manikin model. Airway management is
an essential skill for both physicians and nurses who may be confronted with a
critically ill patient, because in the emergency department the airway is not
exclusively managed by medical personnel. Several studies have shown that other
healthcare professionals are not any less efficient in securing the airway.
METHODS: Ninety-six graduates from medical and nursing faculties comprised our
study population. After a brief educational session, participants were randomly
allocated into 3 groups to secure the airway in manikins with 3 techniques: LMA
(The Laryngeal Mask Company Limited, Buckinghamshire, UK) insertion and
intubation with the Macintosh blade and with a videolaryngoscope (GlideScope,
Verathon Inc, Bothell, WA). The number of attempts until the first successful
intubation, time required for the first successful attempt, and severity of
dental trauma were assessed. RESULTS: No statistically significant difference was
observed between physicians and nurses in the number of attempts and in the time
required for the first successful attempt with any of the 3 techniques studied.
From the 3 techniques studied, LMA placement was the fastest (P < .001). No
significant difference was observed between physicians and nurses in the severity
of dental trauma. CONCLUSION: Nurses are as efficient as physicians in managing
the airway safely and adequately with the 3 different techniques in manikins.
PMID- 21893347
TI - Infants' sensitivity to pictorial depth cues: a review and meta-analysis of
looking studies.
AB - This paper reviews habituation-dishabituation and preferential-looking studies on
the emergence of sensitivity to pictorial depth cues in infancy. This research
can be subdivided into two groups. While one group of studies has established
responsiveness to pictorial depth cues at 3-5 months of age, the other has found
that the ability to extract pictorial 3D information emerges at about 6 months.
In the former, young infants were tested for their ability to distinguish between
displays that differ in spatial information provided by pictorial depth cues. The
results of these studies might demonstrate that 3-5-month-old infants perceive
spatial layout from pictorial cues. It is possible, however, that the infants in
these studies responded primarily to low-level, two-dimensional stimulus
differences. In contrast, the second group of studies controlled for the
potential influence of lower-level stimulus features on the infants' experimental
performance and more unambiguously demonstrated sensitivity to pictorial depth
information in infants 6 months of age and older. In sum, the divergent findings
of studies in this area may be resolved by assuming substantial developmental
progress in infant sensitivity to pictorial depth cues during the first months of
life.
PMID- 21893346
TI - Modulation of the humoral immune response by targeting CD40 and FcgammaRII/III;
delivery of soluble but not particulate antigen to CD40 enhances antibody
responses with a Th1 bias.
AB - Targeted delivery of antigen improves immunogenicity and can obviate the use of
adjuvants. In addition to molecular targeting based on affinity interactions,
particle-based antigen targeting to myeloid cells is also an efficient means to
enhance immune responses. We compared the efficiency of targeting a model
antigen, streptavidin, to CD40 and low affinity Fc gamma receptors II and III,
either in a soluble or in a particulate form. Single chain fragments targeting
these receptors were used to generate soluble tetramers with streptavidin or to
decorate streptavidin coated nanobeads, and mice were immunized with the
different formulations. Whereas particulate presentation of streptavidin enhanced
total IgG1 and IgG2a levels, overall antigen specific antibody production
increased in the case of targeted soluble antigen only, as assessed by reverse
protein arrays and ELISPOT. In particular, soluble CD40 targeted antigen induced
the strongest IgG2a responses, suggesting a Th1 bias compared to FcgammaRII/III
targeting. Combined targeting to these receptors did not further increase
immunogenicity. Thus, in our model, affinity targeting of soluble antigen to CD40
proved to be superior to particle-mediated delivery both in terms of antibody
quantity and quality.
PMID- 21893348
TI - Gaze aversion during social interaction in preterm infants: a function of
attention skills?
AB - Preterm infants avert their gaze more often and for longer periods in early
social interactions compared to full term infants. In previous studies this
finding is interpreted as being a function of the higher degree of parental
stimulation that is often found in parents of preterm children. The current study
explores an additional hypothesis. Since the development of general visual
attention abilities is found to be less optimal in preterm children, it is
possible that less optimal maturation of attention abilities partially explains
the elevated gaze aversion in a social context. Therefore, the current study
investigated the association between gaze aversion in a social context and the
ability to disengage and shift visual attention in a non-social context in 20
preterm and 42 full term infants aged 4 and 6 months. Results confirm that
preterm infants are slower to shift their attention in a non-social context and
that they avert their gaze more often in a social context compared to full term
children. Furthermore, more frequent gaze aversion during social interaction at 6
months was related to longer disengagement and the shifting of attention at 4 and
6 months, but only within the preterm group. The results suggest that attention
maturation is less optimal in preterm children; this can be observed in a non
social as well as a social context. Less attention maturation in preterm children
can negatively influence the amount of time they can stay actively involved in
social interaction.
PMID- 21893349
TI - Decreased serotonin content and reduced agonist-induced aggregation in platelets
of patients chronically medicated with SSRI drugs.
AB - BACKGROUND: Chronic treatment with selective serotonin reuptake inhibitors
(SSRIs) reduces the risk and severity of cardiovascular diseases. SSRIs block the
serotonin transporter, thereby inhibiting serotonin (5-HT) uptake into
presynaptic neurons as well as into platelets where 5-HT is stored in dense
granules. When 5-HT is released in response to agonists it enhances platelet
aggregation induced by injury-related signals. Chronic administration of SSRIs
may thus reduce platelet aggregability secondary to depletion of platelets'
serotonin stores. METHODS: The study included ten DSM-IV-TR major depression
(MDD) and four obsessive compulsive disorder (OCD) patients and fourteen healthy
untreated age- and sex-matched controls. The patients were chronically medicated
(6-108 months) with various SSRIs. Platelet serotonin content was assessed in
fresh samples of platelet rich plasma (PRP) using radioimmunoassay. ADP,
collagen, arachidonic acid and epinephrine were used as inducers of platelet
aggregation measured in PRP by turbometric method in a microplate reader.
RESULTS: Lower platelet serotonin content (66%; p<0.05) and lower ADP, collagen
or epinephrine-induced platelet aggregation (10-52%; p<0.05) were detected in PRP
of SSRI-medicated patients, while no such effect was obtained with arachidonic
acid. LIMITATIONS: The small sample size and the co-treatment with non-SSRI drugs
such as benzodiazepines. CONCLUSION: Patients chronically medicated with SSRIs
exhibit lower platelet 5-HT content and reduced platelet aggregation induced by
ADP, collagen and epinephrine, but not by arachidonic acid. Our observations may
explain the increased bleeding risk associated with chronic SSRI treatment as
well as the reported beneficial effect of SSRIs in prevention of recurrent
myocardial infarction.
PMID- 21893350
TI - Paraoxonase and arylesterase activity and total oxidative/anti-oxidative status
in patients with chronic adenotonsillitis.
AB - OBJECTIVES: The aim of this study was to investigate serum paraoxonase,
arylesterase activities along with determination of oxidative status via
measurement of total oxidant status (TOS), total antioxidant status (TAS) and
oxidative stress index (OSI) in children with recurrent adenotonsillitis during
pre- and post-adenotonsillectomy period and to compare results with data from
healthy subjects. METHODS: We performed a prospective controlled trial on
adenoidectomy and/or tonsillectomy patients. A total of 47 subjects, including 22
patients with recurrent adenotonsillitis and 25 healthy controls were enrolled in
this study. Peripheral venous blood samples were taken from patients before
adenotonsillectomy and a second sample was obtained in first month
postoperatively. In the control group, blood samples from healthy volunteers were
collected for one time only. Serum paraoxonase, arylesterase activities, TOS, TAS
and OSI levels were measured. RESULTS: Paraoxonase, arylesterase activity, TAS
and TOS levels were significantly higher in preoperative group compared to
control group (P<0.001, P=0.003, 0.003 and 0.005, respectively). However, OSI
level was similar in preoperative group compared to control group (P=0.25). In
the post-operative group, paraoxonase, arylesterase activities, TAS and OSI
levels were lower as compared to preoperative group but differences were
statistically insignificant (P=0.483, 0.265, 0.149 and 0.090, respectively). TOS
level in post-operative group was significantly lower than the preoperative group
(P<0.001). In the post-operative group, paraoxonase and arylesterase activities
were significantly higher as compared to control group (P=0.004 and 0.02,
respectively). TOS and OSI levels were significantly lower in post-operative
group compared to control group (P=0.001 and 0.02, respectively). However, TAS
was similar between post-operative and control groups (P=0.464). CONCLUSIONS:
Based on data obtained from this study, we may state that paraoxonase,
arylesterase activities with TAS, TOS and OSI levels of patients with chronic
adenotonsillitis shows alterations due to oxidant/antioxidant imbalance induced
by frequent infections.
PMID- 21893351
TI - Early prelingual auditory development and speech perception at 1-year follow-up
in Mandarin-speaking children after cochlear implantation.
AB - OBJECTIVE: The primary purpose of the current study was to evaluate early
prelingual auditory development (EPLAD) and early speech perception
longitudinally over the first year after cochlear implantation in Mandarin
speaking pediatric cochlear implant (CI) recipients. Outcome measures were
designed to allow comparisons of outcomes with those of English-speaking
pediatric CI recipients reported in previous research. METHOD: A hierarchical
outcome assessment battery designed to measure EPLAD and early speech perception
was used to evaluate 39 pediatric CI recipients implanted between the ages of 1
and 6 years at baseline and 3, 6, and 12 months after implantation. The battery
consists of the Mandarin Infant-Toddler Meaningful Auditory Integration Scale
(ITMAIS), the Mandarin Early Speech Perception (MESP) test, and the Mandarin
Pediatric Speech Intelligibility (MPSI) test. The effects of age at implantation,
duration of pre-implant hearing aid use, and Mandarin dialect exposure on
performance were evaluated. EPLAD results were compared with the normal
developmental trajectory and with results for English-speaking pediatric CI
recipients. MESP and MPSI measures of early speech perception were compared with
results for English-speaking recipients obtained with comparable measures.
RESULTS: EPLAD, as measured with the ITMAIS/MAIS, was comparable in Mandarin- and
English-speaking pediatric CI recipients. Both groups exceeded the normal
developmental trajectory when hearing age in CI recipients and chronological age
in normal were equated. Evidence of significant EPLAD during pre-implant hearing
aid use was observed; although at a more gradual rate than after implantation.
Early development of speech perception, as measures with the MESP and MPSI tests,
was also comparable for Mandarin- and English-speaking CI recipients throughout
the first 12 months after implantation. Both Mandarin dialect exposure and the
duration of pre-implant hearing aid use significantly affected measures of early
speech perception during this time period. CONCLUSIONS: EPLAD and early speech
perception exhibited similar patterns of improvement during the first 12 months
after early cochlear implantation. The duration of pre-implant hearing aid use
had a significant positive effect on both categories of outcome measures.
Consistent post-implant EPLAD trajectories and early speech perception results
provide objective evidence that can guide best practices in early intervention
protocols.
PMID- 21893352
TI - Cochlear implantation in children with cerebral palsy.
AB - OBJECTIVE: Few studies have looked at the outcomes of children with complex needs
following cochlear implantation. Increasing evidence supports the case for
implantation in these children. To date there is very little evidence available
evaluating the role of cochlear implantation in children with cerebral palsy. In
this paper we look at the Manchester Cochlear Implant Programme's experience of
implantation in 36 children with cerebral palsy. METHODS: A retrospective review
of prospectively collected data for all children with cerebral palsy was
undertaken. Cognitive and physical disability was scored by members of the
cochlear implant team. A modified version of Geers and Moogs 1987 Speech
Reception Score was used to assess outcome. Data was analysed looking at the
relationship between cognitive and physical impairment, age at implantation and
the SRS outcomes. RESULTS: This study demonstrated that children with cerebral
palsy and a mild cognitive impairment do significantly better following
implantation than those with a severe impairment (p=0.008). Children with mild
physical impairment did not appear to do significantly better than those with
moderate or severe impairments (mild versus severe p=0.13). Age at implantation
was not a significant prognostic factor in this study group. CONCLUSIONS:
Children with complex needs are increasingly being referred for consideration of
cochlear implantation. Further research is required to help guide candidacy, but
each case must be considered individually. Higher functioning does appear to be
the most important prognostic indicator regarding outcome but the effect of
modest improvement in sound perception should not be underestimated.
PMID- 21893354
TI - A cross-sectional analysis of age and sex patterns in grip strength, tooth loss,
near vision and hearing levels in Chinese aged 50-74 years.
AB - By focusing on four health variables, handgrip strength, near visual acuity,
tooth loss and hearing level, this study examined the different patterns of age
related changes in these variables in Chinese aged from 50 to 74 years, as well
as explored the relationship among the variables in a cross-sectional sample of
2006 individuals. The data exhibited high quality with a low missing rate of
under 5% in any age groups for each variable. Effects of age and sex on the
changes in the four health variables were assessed using multiple regression
models with age and sex interactions included. Upon the highly significant
effects of age on all four measurements, we observed substantially higher grip
strength for men who, however, exhibited a faster age-related decline than for
women. No sex difference or age-sex interaction was found in the number of teeth
lost. Near visual acuity displayed a faster age-related decline in women than in
men but neither the overall sex difference nor age-sex interaction reached
statistical significance. For hearing function, while no sex difference was found
at middle frequency, women had better sensitivity at high frequency and men were
more sensitive at low frequency. Multivariate analysis did not support an age
related common mechanism underlying the four health variables.
PMID- 21893353
TI - Nitric oxide and protein phosphatase 2A provide novel therapeutic opportunities
in ER-negative breast cancer.
AB - Basal-like breast cancer is an aggressive disease with limited therapeutic
options because these tumors frequently express the 'triple-negative' phenotype.
We have recently reported that inducible nitric oxide synthase (NOS2) is a strong
predictor of survival in patients with estrogen receptor negative [ER(-)] breast
cancer, and that NOS2 expression is correlated with a basal-like phenotype.
Recent reports also describe the pro-tumor effects of NO in breast and many other
types of cancer. NO promotes cancer progression by activating several oncogenic
signaling pathways such as extracellular signal-regulated kinases (ERK)-1/2,
phosphoinositide 3-kinases (PI3K)/Akt, and c-Myc. Protein phosphatase 2A (PP2A)
is a tumor suppressor that negatively regulates the same cancer-related signaling
pathways that are activated by NO. PP2A activity is suppressed in tumor cells,
but potential pharmacological agents have recently been described to increase
PP2A activity in ER(-) breast cancer cells. We examine here the various functions
of NO and PP2A in breast cancer and propose a novel mechanism by which activation
of PP2A antagonizes NO signaling that promotes ER(-) breast cancer.
PMID- 21893355
TI - Platelet-derived chemokines, PF-4 and RANTES, are significantly increased in
hemodynamically significant degenerative aortic stenosis.
PMID- 21893356
TI - Horse owners' biosecurity practices following the first equine influenza outbreak
in Australia.
AB - A cross-sectional study was conducted involving 759 Australian horse owners to
determine their biosecurity practices and perceptions one year after the 2007
equine influenza outbreak and to investigate the factors influencing these
perceptions and practices. A web link to an online questionnaire was sent to 1224
horse owners as a follow-up to a previous study to obtain information about
biosecurity perceptions and practices, impacts of the 2007 EI outbreak,
demographic information and information about horse industry involvement. Ordinal
logistic regression analyses were conducted to determine factors associated with
poor biosecurity practices. Biosecurity compliance (low, medium, high), as
determined by horse owners' responses to a 16-item question on the frequency of
various biosecurity measures, was used as the outcome variable in ordinal
logistic regression analyses. Variables with a univariable p-value <=0.2 were
eligible for inclusion in multivariable models built using a manual stepwise
approach. Variables with a p-value <0.05 in multivariable models were retained in
the final model. Two potential confounders - age and gender of participants -
were included in the final model irrespective of their p-values. Thirty percent
of the respondents had low biosecurity compliance and were performing biosecurity
practices 'not very often' or 'never'. Younger people, people with two or more
children, those who were not involved with horses commercially and those who had
no long-term business impacts resulting from the 2007 EI outbreak were more
likely to have lower biosecurity compliance. People who were not fearful of a
future outbreak of equine influenza in Australia and those who thought their
current hygiene and access control practices were not very effective in
protecting their horses also had poor biosecurity practices. In this
observational study we identified factors associated with a group of horse owners
with low levels of biosecurity compliance. As this cross-sectional study only
assesses associations, the identified factors should be further investigated in
order to be considered in the design of extension activities to increase horse
owners' biosecurity compliance.
PMID- 21893358
TI - Does better disease management in primary care reduce hospital costs? Evidence
from English primary care.
AB - We apply cross-sectional and panel data methods to a database of 5 million
patients in 8000 English general practices to examine whether better primary care
management of 10 chronic diseases is associated with reduced hospital costs. We
find that only primary care performance in stroke care is associated with lower
hospital costs. Our results suggest that the 10% improvement in the general
practice quality of stroke care between 2004/5 and 2007/8 reduced 2007/8 hospital
expenditure by about L130 million in England. The cost savings are due mainly to
reductions in emergency admissions and outpatient visits, rather than to lower
costs for patients treated in hospital or to reductions in elective admissions.
PMID- 21893357
TI - School quality and the education-health relationship: evidence from blacks in
segregated schools.
AB - In this paper, we estimate the effect of school quality on the relationship
between schooling and health outcomes using the substantial improvements in the
quality of schools attended by black students in the segregated southern states
during the mid-1900s as a source of identifying variation. Using data from the
National Health Interview Survey, our results suggest that improvements in school
quality, measured as the pupil-teacher ratio, average teachers' wage, and length
of the school year, amplify the beneficial effects of education on several
measures of health in later life, including self-rated health, smoking, obesity,
and mortality.
PMID- 21893359
TI - Biocontrol as a strategy to reduce the impact of ochratoxin A and Aspergillus
section Nigri in grapes.
AB - The efficacy of two strains of Kluyveromyces thermotolerans in preventing the
growth and ochratoxin A (OTA) accumulation of ochratoxigenic fungi both "in
vitro" and "in situ" was evaluated. The data from this study showed that both
yeast strains were able to control Aspergillus carbonarius and A. niger aggregate
species growth and ochratoxin A accumulation. The inhibitory effects were
dependent on the ochratoxigenic species, yeast strains, a(w) and temperature
evaluated and their interactions. Over all conditions assayed, ochratoxin A
accumulation was reduced from 3% to 100% and the growth rate from 11% to 82.5%,
depending on conditions. These results are promising for future development of a
bio-pesticide.
PMID- 21893360
TI - Combined effect of selected non-thermal technologies on Escherichia coli and
Pichia fermentans inactivation in an apple and cranberry juice blend and on
product shelf life.
AB - The combination of novel, non-thermal technologies for preservation purposes is a
recent trend in food processing research. In the present study, non-thermal
hurdles such as ultraviolet light (UV) (5.3 J/cm2), high intensity light pulses
(HILP) (3.3 J/cm2), pulsed electric fields (PEF) (34 kV/cm, 18 Hz, 93 MUs) or
manothermosonication (MTS) (4bar, 43 degrees C, 750 W, 20 kHz) were examined.
The objective was to establish the potential of these technologies, applied
individually or in paired sequences, to inactivate Escherichia coli and Pichia
fermentans inoculated in a fresh blend of apple and cranberry juice. The shelf
life evaluation of selected non-thermally treated samples was conducted over 35
days and compared to pasteurised samples and untreated juices. All treatments
applied individually significantly reduced (1.8-6.0 log cfu/ml) microbial counts
compared to the untreated sample (p<0.01). Furthermore, UV treatment produced
significantly greater inactivation (p<0.05) for E. coli compared to P.
fermentans. Combinations of non-thermal hurdles consisting of UV or HILP followed
by either PEF or MTS resulted in comparable reductions for both microorganisms (p
>= 0.05) to those observed in thermally pasteurised samples (approx. 6 log
cfu/ml). Thermally pasteurised samples had a shelf life exceeding 35 days, while
that of UV+PEF and HILP+PEF-treated samples was 14 and 21 days, respectively.
These results indicate that combinations of these non-thermal technologies could
successfully reduce levels of E. coli and P. fermentans in apple and cranberry
juice, although optimisation is required in order to further extend shelf life.
PMID- 21893361
TI - Actual distribution of Cronobacter spp. in industrial batches of powdered infant
formula and consequences for performance of sampling strategies.
AB - The actual spatial distribution of microorganisms within a batch of food
influences the results of sampling for microbiological testing when this
distribution is non-homogeneous. In the case of pathogens being non-homogeneously
distributed, it markedly influences public health risk. This study investigated
the spatial distribution of Cronobacter spp. in powdered infant formula (PIF) on
industrial batch-scale for both a recalled batch as well a reference batch.
Additionally, local spatial occurrence of clusters of Cronobacter cells was
assessed, as well as the performance of typical sampling strategies to determine
the presence of the microorganisms. The concentration of Cronobacter spp. was
assessed in the course of the filling time of each batch, by taking samples of
333 g using the most probable number (MPN) enrichment technique. The occurrence
of clusters of Cronobacter spp. cells was investigated by plate counting. From
the recalled batch, 415 MPN samples were drawn. The expected heterogeneous
distribution of Cronobacter spp. could be quantified from these samples, which
showed no detectable level (detection limit of -2.52 log CFU/g) in 58% of
samples, whilst in the remainder concentrations were found to be between -2.52
and 2.75 log CFU/g. The estimated average concentration in the recalled batch was
-2.78 log CFU/g and a standard deviation of 1.10 log CFU/g. The estimated average
concentration in the reference batch was -4.41 log CFU/g, with 99% of the 93
samples being below the detection limit. In the recalled batch, clusters of cells
occurred sporadically in 8 out of 2290 samples of 1g taken. The two largest
clusters contained 123 (2.09 log CFU/g) and 560 (2.75 log CFU/g) cells. Various
sampling strategies were evaluated for the recalled batch. Taking more and
smaller samples and keeping the total sampling weight constant, considerably
improved the performance of the sampling plans to detect such a type of
contaminated batch. Compared to random sampling, stratified random sampling
improved the probability to detect the heterogeneous contamination.
PMID- 21893362
TI - Comparison of healthcare costs between local and immigrant HIV populations living
in Southern Alberta, Canada.
AB - OBJECTIVE: To compare the direct cost of care for Canadian and non-Canadian born
HIV patients accessing initial HIV care. METHODS: Drug, inpatient, and outpatient
costs were collected for patients initiating HIV care at the Southern Alberta
Clinic between 1/1/2000 and 3/31/2008 and followed until 3/31/2009.
Demographic/clinical characteristics were obtained at initial visit. Country of
birth determined Canadian (CBP) vs. foreign born (FBP) patients. Costs are mean
cost per patient per month (PPPM) in 2009 Cdn$. RESULTS: Of 281 patients, 103
were FBP. 86% were born in sub-Saharan Africa. FBP were more likely to be female
(87% vs. 50%), younger (median 33 vs. 38 years), and heterosexual (93 vs.
35%)(all p<.01) compared to CBP. 74% FBP had CD4<350/mm(3) at initial visit
compared to 61% of CBP (p<.05). Subsequent health outcomes for FBP/CBP were
similar. Total mean costs PPPM were higher for CBP ($1174 vs. $1067, p<.05), and
higher for all CD4 categories primarily due to the costs of non-HIV admissions
among CBP injecting drug users (IDU), a risk group not represented within the FBP
population of this study. Drugs costs were higher for FBP but lower for inpatient
and outpatient costs. CONCLUSIONS: Once engaged in regular HIV treatment and
care, costs and outcomes for FBP were not significantly higher than CBP. Policy
decisions concerning FBP with HIV should not be based solely on cost issues.
PMID- 21893363
TI - Chemotherapy in elderly patients with advanced non-small cell lung cancer.
AB - Because of increasing life expectancy and of higher risk of cancer with ageing,
lung cancer in elderly is a frequent disease. For a long time nihilism influenced
treatment decisions in elderly patients with advanced non-small cell lung cancer.
Since the beginning of the last decade single agent chemotherapy has been
accepted as standard of care, vinorelbine and gemcitabine being the most
frequently used drugs in Europe and US, docetaxel in Japan. Platinum-based
doublets have been shown to be superior to monotherapy in young and fit patients
with advanced non-small cell lung cancer. Although there were some indications
from subgroup analyses of clinical trials not specifically dedicated to elderly
patients that a platinum-based doublet might also benefit to older patients,
there was no definitive proof of concept until ASCO meeting 2010. At this meeting
results of a phase 3 trial showed that PS 0-2 patients, aged 70-89 years drove a
significant benefit from a treatment with carboplatin associated to weekly
paclitaxel compared to a monotherapy. Thus, the paradigm of treatment in elderly
patients should perhaps be modified from a single agent to doublet chemotherapy.
Whether other platinum-based doublets would provide the same benefit as the
specific one studied remains to be evaluated.
PMID- 21893364
TI - Trends in incidence of small cell lung cancer and all lung cancer.
AB - BACKGROUND: The incidence of small cell lung cancer (SCLC) is often quoted as
'around 20%' of all lung cancers but is reportedly decreasing over time. We
analysed the trends in incidence of SCLC and compared these with the trends in
lung cancer overall among males and females in South East England. METHODS: We
identified 237,792 patients diagnosed with lung cancer (ICD-10 C33-C34) between
1970 and 2007. We used a Poisson regression age-cohort model to estimate the age
specific rates in the 1890-1960 birth cohorts. We computed age-standardised
incidence rates using the European standard population. In addition, we analysed
the trends of lung cancer subtypes according to morphology. RESULTS: In the most
recent time period, SCLC accounted for 10% and 11% of cases of all lung cancer
among males and females, respectively. Among the morphologically specified lung
cancers, SCLC accounted for 15% and 17% among males and females, respectively.
There was a decrease of SCLC incidence over time and by birth cohort in both
sexes. The decrease in SCLC was more marked than that in all lung cancers.
CONCLUSION: The decrease in SCLC incidence rates may reflect decreases in the
prevalence of cigarette smoking, and changes in the type of cigarettes smoked.
PMID- 21893365
TI - Stimulation of B7-H3 (CD276) directs the differentiation of human marrow stromal
cells to osteoblasts.
AB - Previous studies showed that B7-H3 (CD276), a cytokine involved in the activation
of T lymphocytes, regulates murine bone formation. However, the role of B7-H3 in
bone formation is barely understood. Herewith, we report, that stimulation of B7
H3 promotes the differentiation of human marrow stromal cells (hMSCs) to
osteoblasts. With 4H7, a new monoclonal antibody against human B7-H3, we have
identified B7-H3 is located on the surface of human marrow stromal cells.
Evermore, we have found that increase of B7-H3 levels are correlated with the
differentiation course of hMSCs. Stimulation of B7-H3 with 4H7 antibody
considerably increases the numbers of osteoblasts generated from the hMSCs in the
presence of inducing medium containing dexamethasone, sodium beta
glycerophosphate and l-ascorbic acid. 4H7 treatments significantly increase
osteoblast markers including alkaline phosphatase (ALP), and osteocalcin (OC)
after day 7 and day 14 of the inducing hMSCs differentiation. The numbers of
mineralized nodules of osteoblasts have been remarkly increased after 21 days of
induced differentiation of hMSCs. However, stimulation effects of 4H7 antibody on
membrane B7-H3 has been eliminated by addition of B7-H3Fc fusion protein. These
results indicate 4H7 antibody specifically stimulates the membrane B7-H3 and
directs the differentiation of hMSCs. Furthermore, our study also shows that
stimulation of B7-H3 increases the expression of osteoprotein (OPG), and
decreases the expression of its cognate ligand, the receptor activator of nuclear
factor kappaB ligand (RANKL).
PMID- 21893366
TI - Expression of a cloned sweet potato catalase SPCAT1 alleviates ethephon-mediated
leaf senescence and H2O2 elevation.
AB - In this report a full-length cDNA, SPCAT1, was isolated from ethephon-treated
mature L3 leaves of sweet potato. SPCAT1 contained 1479 nucleotides (492 amino
acids) in its open reading frame, and exhibited high amino acid sequence
identities (ca. 71.2-80.9%) with several plant catalases, including Arabidopsis,
eggplant, grey mangrove, pea, potato, tobacco and tomato. Gene structural
analysis showed that SPCAT1 encoded a catalase and contained a putative conserved
internal peroxisomal targeting signal PTS1 motif and calmodulin binding domain
around its C-terminus. RT-PCR showed that SPCAT1 gene expression was enhanced
significantly in mature L3 and early senescent L4 leaves and was much reduced in
immature L1, L2 and completely yellowing senescent L5 leaves. In dark- and
ethephon-treated L3 leaves, SPCAT1 expression was significantly enhanced
temporarily from 0 to 24h, then decreased gradually until 72h after treatment.
SPCAT1 gene expression levels also exhibited approximately inverse correlation
with the qualitative and quantitative H(2)O(2) amounts. Effector treatment showed
that ethephon-enhanced SPCAT1 expression was repressed by antioxidant reduced
glutathione, NADPH oxidase inhibitor diphenylene iodonium (DPI), calcium ion
chelator EGTA and de novo protein synthesis inhibitor cycloheximide. These data
suggest that elevated reactive oxygen species H(2)O(2), NADPH oxidase, external
calcium influx and de novo synthesized proteins are required and associated with
ethephon-mediated enhancement of sweet potato catalase SPCAT1 expression.
Exogenous application of expressed catalase SPCAT1 fusion protein delayed or
alleviated ethephon-mediated leaf senescence and H(2)O(2) elevation. Based on
these data we conclude that sweet potato SPCAT1 is an ethephon-inducible
peroxisomal catalase, and its expression is regulated by reduced glutathione,
DPI, EGTA and cycloheximide. Sweet potato catalase SPCAT1 may play a
physiological role or function in cope with H(2)O(2) homeostasis in leaves caused
by developmental cues and environmental stimuli.
PMID- 21893367
TI - Hallucinogenic drugs in pre-Columbian Mesoamerican cultures.
AB - INTRODUCTION: The American continent is very rich in psychoactive plants and
fungi, and many pre-Columbian Mesoamerican cultures used them for magical,
therapeutic and religious purposes. OBJECTIVES: The archaeological, ethno
historical and ethnographic evidence of the use of hallucinogenic substances in
Mesoamerica is reviewed. RESULTS: Hallucinogenic cactus, plants and mushrooms
were used to induce altered states of consciousness in healing rituals and
religious ceremonies. The Maya drank balche (a mixture of honey and extracts of
Lonchocarpus) in group ceremonies to achieve intoxication. Ritual enemas and
other psychoactive substances were also used to induce states of trance. Olmec,
Zapotec, Maya and Aztec used peyote, hallucinogenic mushrooms (teonanacatl:
Psilocybe spp) and the seeds of ololiuhqui (Turbina corymbosa), that contain
mescaline, psilocybin and lysergic acid amide, respectively. The skin of the toad
Bufo spp contains bufotoxins with hallucinogenic properties, and was used since
the Olmec period. Jimson weed (Datura stramonium), wild tobacco (Nicotiana
rustica), water lily (Nymphaea ampla) and Salvia divinorum were used for their
psychoactive effects. Mushroom stones dating from 3000 BC have been found in
ritual contexts in Mesoamerica. Archaeological evidence of peyote use dates back
to over 5000 years. Several chroniclers, mainly Fray Bernardino de Sahagun,
described their effects in the sixteenth century. CONCLUSIONS: The use of
psychoactive substances was common in pre-Columbian Mesoamerican societies.
Today, local shamans and healers still use them in ritual ceremonies in
Mesoamerica.
PMID- 21893368
TI - Epilepsy in the process of artistic creation of Dostoevsky.
AB - INTRODUCTION: Fyodor M. Dostoevsky (Moscow, 1821-Saint Petersburg, 1881) suffered
epilepsy throughout his full literary career. The aim here is to understand his
condition in light of his novels, correspondence and his contemporaries' accounts
as well as by later generations of neurologists. DEVELOPMENT: From Murin and
Ordynov (The landlady, 1847) to Smerdyakov (The brothers Karamazov, 1879-1880),
Dostoevsky portrayed up to six characters with epilepsy in his literature. Apart
from making an intelligent use of the disease by incorporating it into his
novels, his seminal idea -that a moment of happiness is worth a lifetime- was
probably inspired by his epileptic aura. Through epilepsy, Dostoevsky also found
a way to freedom from perpetual military servitude. The first symptoms of the
epilepsy presented in early adulthood (late 1830s to early 1840s), but he was
only diagnosed a decade later. In 1863 he went abroad seeking expert advice from
Romberg and Trousseau. In the first retrospective study of Dostoevsky's literary
epilepsy, Stephenson and Isotoff noticed the influence of Carus' Psyche (1848) in
the preparation of his characters, whilst his epilepsy has inspired later
generations of epileptologists. CONCLUSIONS: Dostoevsky offers an insight into
the natural history of an epilepsy, which in contemporary scientific terms would
be classified as cryptogenic localization-related of probable temporal lobe
origin. Above all, Dostoevsky's case illustrates the good use of a common
neurological disorder by a remarkable writer who transformed suffering into art
and a disadvantage into an advantage.
PMID- 21893369
TI - [Rolandic epilepsy clinical variants and their influence on the prognosis].
AB - INTRODUCTION: Benign epilepsy with central-temporal spikes (BECTS) is the most
common epileptic syndrome in childhood. It is an age-dependent, genetically
determined and benign condition. The aim of this study is to describe the
clinical course and prognosis in 60 patients with BECTS diagnosed in our
hospital. PATIENTS AND METHODS: We made a retrospective review of patients
diagnosed with BECTS in a University Hospital (1995-2009). They were divided into
2 groups: 1) Patients who met all BECTS classical criteria. 2) Patients who met
all the criteria but one (less than 4 years; diurnal seizures; atypical EEG
abnormalities). RESULTS: A total of 60 patients, 34 males and 26 females were
included, with 31 patients in group 1 and 29 in group 2. The mean age at onset in
group 1: 7.45 years, group 2: 6.55 years. Medical treatment was indicated in
32.2% of patients in group 1 and 41.3% in group 2. The outcome was favourable in
the majority: 58% in group 1 and 62.1% in group 2 were free of seizures after 1
year. Average age in which it disappeared: 8.54 years in group 1 and 7.84 years
in group 2. There were no statistically significant differences in any of these
parameters. CONCLUSIONS: Unlike that published by some authors, we have not
identified any poor outcome factors in patients with BECTS in this study, meaning
that an accurate diagnosis correlates with a good prognosis and excellent
neurological outcome.
PMID- 21893370
TI - [Clinical usefulness of the Spanish version of the Mississippi Aphasia Screening
Test (MASTsp): validation in stroke patients].
AB - OBJECTIVE: Clinical validation of the Spanish version of the Mississippi Aphasia
Screening Test (MASTsp) as a screening test for language disorders in patients
who have suffered a stroke. MATERIAL AND METHODS: A total of 29 patients who had
suffered a stroke and had aphasia after a left hemispheric lesion were evaluated
with the MASTsp, the Boston Diagnostic Aphasia Examination and the Token Test at
baseline and after six months of rehabilitation. Two expert speech-therapists
evaluated twelve aphasic patients to determine the inter-observer reliability.
This sample was assessed twice in the same week to analyse the reproducibility of
the test (test-retest reliability). Aphasic patients were compared with a matched
sample of non-aphasic patients with vascular lesions in the right hemisphere (n
=29) and a group of healthy subjects (n=60) stratified by age and educational
level. RESULTS: The MASTsp showed a good convergent validity, interobserver
validity, test-retest reliability and a moderate sensitivity to detect changes
over time. A diagnostic cut-off <90 on the MASTsp total test score is proposed.
CONCLUSIONS: The MASTsp is a valid tool for the detection and monitoring of
language problems in patients with stroke.
PMID- 21893372
TI - Increased systemic side effects of prostaglandin analogue eye drops in patients
with palatal defects.
PMID- 21893371
TI - Synthesis and SAR optimization of diketo acid pharmacophore for HCV NS5B
polymerase inhibition.
AB - Hepatitis C virus (HCV) NS5B polymerase is a key target for anti-HCV therapeutics
development. Here we report the synthesis and biological evaluation of a new
series of alpha,gamma-diketo acids (DKAs) as NS5B polymerase inhibitors. We
initiated structure-activity relationship (SAR) optimization around the furan
moiety of compound 1a [IC(50) = 21.8 MUM] to achieve more active NS5B inhibitors.
This yielded compound 3a [IC(50) = 8.2 MUM] bearing the 5-bromobenzofuran-2-yl
moiety, the first promising lead compound of the series. Varying the furan moiety
with thiophene, thiazole and indazole moieties resulted in compound 11a [IC(50) =
7.5 MUM] bearing 3-methylthiophen-2-yl moiety. Finally replacement of the
thiophene ring with a bioisosteric phenyl ring further improved the inhibitory
activity as seen in compounds 21a [IC(50) = 5.2 MUM] and 24a [IC(50) = 2.4 MUM].
Binding mode of compound 24a using glide docking within the active site of NS5B
polymerase will form the basis for future SAR optimization.
PMID- 21893373
TI - Uptake and translocation of arsenite by Pteris vittata L.: effects of glycerol,
antimonite and silver.
AB - AsIII uptake in living cells is through aquaglyceroporin transporters, but it is
unknown in arsenic-hyperaccumulator Pteris vittata. We investigated the effects
of AsIII analogs glycerol and antimonite (SbIII) at 0-100 mM and aquaporin
inhibitor AgNO(3) at 0-0.1 mM on the uptake of 0.1 mM AsIII or AsV by P. vittata
over 1-2 h. Glycerol or SbIII didn't impact AsIII or AsV uptake by P. vittata (p
< 0.05), with As concentrations in the fronds and roots being 4.4-6.3 and 3.9-6.2
mg/kg. However, 0.01 mM AgNO(3) reduced As concentrations in the fronds and roots
by 64% and 58%. Hence, AsIII uptake in P. vittata might be via an aquaporin
transporter different from glycerol and SbIII transporters. Further as AsIII
analogs and aquaporin inhibitor had no impact on AsV uptake, AsIII and AsV were
likely taken up by different transporters in P. vittata. Our results imply a
different AsIII transporter in P. vittata from other plants.
PMID- 21893374
TI - Deserving to a point: unauthorized immigrants in San Francisco's universal access
healthcare model.
AB - In the "decidedly hostile" federal context toward unauthorized immigrants in
American healthcare (Newton & Adams, 2009, p. 422), a few subnational governments
have implemented strategies seeking to expand their access to and utilization of
care. In this article, I draw on interviews conducted with 36 primary care
providers working in San Francisco's public safety net between May and September
2009 to examine how such inclusive local policies work. On one hand, San
Francisco's inclusive local policy climate both encourages and reinforces public
safety-net providers' views of unauthorized immigrants as patients morally
deserving of equal care, and helps them to translate their inclusive views into
actual behaviors by providing them with increased financial resources. On the
other hand, both hidden and formal barriers to care remain in place, which limits
public safety-net providers' abilities to extend equal care to unauthorized
immigrants even within this purportedly inclusive local policy context. I discuss
the implications of the San Francisco case for policymakers, providers, and
immigrants elsewhere.
PMID- 21893375
TI - Uncertainty in medicine: meanings of menopause and hormone replacement therapy in
medical textbooks.
AB - Menopause is understood, portrayed, and experienced in diverse ways. The dominant
biomedical perspective medicalizes menopause as a biological 'hormone deficiency'
requiring 'treatment' with hormone replacement therapy (HRT). Alternative
perspectives view menopause as discursively located within particular socio
historical times and places, or privilege women's embodied experiences of
menopause. We argue that the meanings of menopause and HRT should be debated
within a context where the biological and the social, or the body and culture,
intersect. The present study examined international medical student textbooks as
sites of current biomedical knowledge, communicated for a new generation of
health professionals. We undertook a Foucauldian discourse analysis on eight
widely-used, international medical textbooks across physiology, pathology and
pharmacology subject areas to identify the ways in which menopause and HRT are
portrayed. Our results showed that menopause continues to be represented through
dominant culturally infused 'failure' discourses and is portrayed as a 'precursor
to disease' with HRT as the treatment. However, this knowledge is somewhat
destabilized by a discourse of 'uncertainty and speculation' regarding the
physiology of menopause and the potential effects of HRT. Knowledge about
menopause, osteoporosis, and HRT was constructed as tentative, but the 'quest'
for new knowledge was constructed as on the verge of 'exciting discoveries'. We
argue that bio-social understandings of menopause and HRT, and their medical
uncertainties, need to be addressed in medical curricula to ensure that doctors
engage with midlife women in appropriate and positive ways, especially given the
increased call for women's involvement in decision-making at this time.
PMID- 21893376
TI - Racial and ethnic disparities in hospital care resulting from air pollution in
excess of federal standards.
AB - This study investigates racial and ethnic disparities in hospital admission and
emergency room visit rates resulting from exposure to ozone and fine particulate
matter levels in excess of federal standards ("excess attributable risk"). We
generate zip code-level ambient pollution exposures and hospital event rates
using state datasets, and use pollution impact estimates in the epidemiological
literature to calculate excess attributable risk for racial/ethnic groups in
California over 2005-2007. We find that black residents experienced roughly 2.5
times the excess attributable risk of white residents. Hispanic residents were
exposed to the highest levels of pollution, but experienced similar excess
attributable risk to whites. Asian/Pacific Islander residents had substantially
lower excess attributable risk compared to white. We estimate the distinct
contributions of exposure and other factors to these results, and find that
factors other than exposure can be critical determinants of pollution-related
disparities.
PMID- 21893377
TI - Public, private, neither, both? Publicness theory and the analysis of healthcare
organisations.
AB - In England recent health reforms have resulted in a shift of emphasis from
targets to outcomes, and to the provision of healthcare by any willing provider.
The outcomes described encompass clinical and public service outcomes such as
choice and access. The range of organisations providing healthcare services is
large and increasing. Whilst many are clearly located in either the public or
private sectors, others have features of both public and private organisations,
and are not easily characterised as either one or the other. Analytical
frameworks are generally underdeveloped, and have not kept pace with changes in
organisation forms. This article reviews how public and private organisations
have been compared in organisation theory, describes previous work on dimensional
publicness in both non-healthcare and healthcare organisations, and presents a
publicness grid for hospitals in England. Publicness is defined as a
characteristic of an organisation which reflects the extent to which the
organisation is influenced by political authority. In establishing a link between
publicness and public service outcomes it is suggested that core publicness,
dimensional publicness (consisting of political and economic authority) and
normative publicness (public sector values) all have to be taken into account,
since all have an impact on these outcomes. A framework is described that can be
used to map the relationships between public service outcomes and publicness. How
the framework can be applied in practice to healthcare organisations is described
through the development of appropriate indicators and measures. Publicness theory
can help health administrators and researchers understand and better manage
public service outcomes. Some of the research questions that might be addressed
using this approach are considered. Further development of the publicness concept
in healthcare is advocated.
PMID- 21893378
TI - Are we finally on the right track in treating "difficult" erectile dysfunction
patients?
PMID- 21893379
TI - Local mild hypothermia with thrombolysis for acute ischemic stroke within a 6-h
window.
AB - OBJECTIVE: To determine the safety and efficacy of combined local mild
hypothermia and IV rtPA in treating acute ischemic stroke (AIS) patients with MRI
perfusion- and diffusion-weighted imaging (PWI/DWI) mismatch within a 6-h stroke
window. METHODS: AIS patients within 6 h of a minimum 20% PWI/DWI MRI mismatch
were randomly assigned to 3 groups: local mild hypothermia with IV rtPA (Group
A); IV rtPA (Group B); or conventional anti-platelet aggregation (Group C).
Mortality and National Institutes of Health Stroke Scale (NIHSS) and the modified
Rankin Scale (mRS) score and Barthel Index (BI) were used in evaluation. RESULTS:
There were significant differences in NIHSS 24 h after treatment among the three
groups (P<0.001). Based on mRS and BI, more patients in Groups A and B showed
favorable outcomes than patients in Group C (P=0.017 and P=0.009, respectively);
however, there were no significant efficacy differences between Groups A and B.
The incidence of symptomatic ICH and the mortality rates within 90 days in the 3
groups were similar. In addition, there were no significant differences in NIHSS
improvement at 24 h and favorable outcomes 90 days after IV rtPA treatment
between patients within 3 h and 3-6 h from symptom onset. CONCLUSIONS: There was
no benefit of combined local hypothermia/IV rtPA treatment compared to IV rtPA
alone. PWI/DWI mismatching on MRI can be a selection criteria for IV rtPA
treatment within a 6-h window.
PMID- 21893380
TI - Propagation of herpes simplex virus via pontine fiber in a case of brainstem
encephalitis.
PMID- 21893381
TI - Endostar enhances the antineoplastic effects of combretastatin A4 phosphate in an
osteosarcoma xenograft.
AB - Vascular-targeting agents (VTAs) can be divided into two groups: anti
angiogenesis agents and vascular disrupting agents (VDAs). The purpose of this
study was to evaluate the antineoplastic activity of a combination of the anti
angiogenesis agent, Endostar, and the VDA combretastatin, A4 phosphate (CA4P).
This study is the first to evaluate the activity of this combination against
tumors and the first to investigate the activity of the combination against
osteosarcoma. Endostar combined with CA4P had a good anti-tumor effect with no
significant toxicity, and was at least not inferior to adriamycin, which is the
main drug for osteosarcoma. The use of VDAs combined with anti-angiogenic drugs
can result in significantly enhanced anti-tumor effects, providing a novel
approach to cancer treatment, which could effectively complement standard
treatments. It is believed that this exciting new treatment has the potential to
transform the management of cancer.
PMID- 21893382
TI - Establishment of a mammary carcinoma cell line from Syrian hamsters treated with
N-methyl-N-nitrosourea.
AB - Clearly new breast cancer models are necessary in developing novel therapies. To
address this challenge, we examined mammary tumor formation in the Syrian hamster
using the chemical carcinogen N-methyl-N-nitrosourea (MNU). A single 50mg/kg
intraperitoneal dose of MNU resulted in a 60% incidence of premalignant mammary
lesions, and a 20% incidence of mammary adenocarcinomas. Two cell lines, HMAM4A
and HMAM4B, were derived from one of the primary mammary tumors induced by MNU.
The morphology of the primary tumor was similar to a high-grade poorly
differentiated adenocarcinoma in human breast cancer. The primary tumor stained
positively for both HER-2/neu and pancytokeratin, and negatively for both
cytokeratin 5/6 and p63. When the HMAM4B cell line was implanted subcutaneously
into syngeneic female hamsters, tumors grew at a take rate of 50%. A tumor
derived from HMAM4B cells implanted into a syngeneic hamster was further
propagated in vitro as a stable cell line HMAM5. The HMAM5 cells grew in female
syngeneic hamsters with a 70% take rate of tumor formation. These cells
proliferate in vitro, form colonies in soft agar, and are aneuploid with a modal
chromosomal number of 74 (the normal chromosome number for Syrian hamster is 44).
To determine responsiveness to the estrogen receptor (ER), a cell proliferation
assay was examined using increasing concentrations of tamoxifen. Both HMAM5 and
human MCF-7 (ER positive) cells showed a similar decrease at 24h. However, MDA-MB
231 (ER negative) cells were relatively insensitive to any decrease in
proliferation from tamoxifen treatment. These results suggest that the HMAM5 cell
line was likely derived from a luminal B subtype of mammary tumor. These results
also represent characterization of the first mammary tumor cell line available
from the Syrian hamster. The HMAM5 cell line is likely to be useful as an
immunocompetent model for human breast cancer in developing novel therapies.
PMID- 21893383
TI - Exploration of target genes of HOXA13 in esophageal squamous cell carcinoma cell
line.
AB - Homeobox genes encode transcriptional factors which regulate cell proliferation
and differentiation and have been found to be deregulated in many tumors.
Previously, we found that the median survival time of patients with ESCC
(Esophageal squamous cell carcinoma) expressing HOXA13 was significantly shorter
than those with HOXA13-negative ESCC and we also demonstrated that knockdown of
HOXA13 blocked cell proliferation in vitro and in vivo. In this study, we
examined the protein expression changes after HOXA13 knockdown by 2-dimentional
electrophoresis. Forty-five spots were significantly different, among which 24
were down-regulated and 21 were up-regulated after HOXA13 knockdown. The proteins
from 14 gel-spots were further characterized by MALDI-TOF MS, among which,
AnnexinA2, MnSOD and ERAB, are validated by Western Blot analysis.
Transcriptional target analysis revealed that HOXA13 regulated several cell
signaling pathways that are critically involved in cell proliferation, survival
and migration. These results provide an additional support to a hypothesis that
HOXA13 might participate in the carcinogenesis of ESCC.
PMID- 21893384
TI - Co-treatment of converter slag and pyrrhotite tailings via high pressure
oxidative leaching.
AB - High pressure oxidative acid leaching (HPOXAL) was successfully applied to slow
cooled converter slags from Vale's operations in Sudbury (Ontario, Canada).
Extractions of Ni, Co and Cu exceeded 90% within 15-20 min and levelled at 95-97%
after 45 min at 250 degrees C, 90 psi O(2) overpressure and 70 g/L initial
H(2)SO(4). Pyrrhotite tailings with ~ 0.6% Ni content were also tested as a
source of sulphuric acid in high pressure oxidation. Co-leaching of pyrrhotite
tailings with converter slags at the same temperature, oxygen partial pressure
and equivalent stoichiometric H(2)SO(4) was found to have kinetics similar to
that of leaching with sulphuric acid. Lowering the addition of pyrrhotite
tailings (and hence, the acidity) was found to have a detrimental effect on the
kinetics of leaching and final extractions (especially at 250 degrees C), and
cause precipitation of metal sulphates. Continuous on-line acidity measurements
were facilitated in experiments with an electrodeless conductivity sensor. It was
shown that acid plays a major role in the conversion of fayalite to hematite and
silica, and the dissolution of the base metals, while oxygen overpressure (or
dispersion efficiency) determines the rate of acid generation and re-generation.
PMID- 21893385
TI - Alpha thalassemia major--a hematological prelude to an oncological problem.
AB - Alpha thalassemia major and choriocarcinoma are two poles apart clinical
entities. While the former represents an autosomal recessive disorder of
hemoglobin synthesis, the later constitutes an aggressive malignant tumor usually
of placental origin with predilection for women above 35 years, and those with
history of hydatidiform mole and previous spontaneous abortion. Surprisingly,
these two entities share strikingly similar geographic distribution. Although
dietary deficiency esp of carotene and animal fat, and difference in methodology
of study has been blamed for high occurrence of choriocarcinoma in Asia region, a
very plausible explanation may be the high rate of spontaneous abortion in this
region secondary to high incidence of alpha thalassemia major. While it is
worthwhile investigating the factors that increases the risk of choriocarcinoma
on account of its high prevalence in Southeast Asia, understanding the
influential role of alpha thalassemia in its strikingly higher occurrence will
definitely aid in further reducing its incidence to a minimum.
PMID- 21893386
TI - Similar hyporesponsiveness of the dorsomedial prefrontal cortex in problem
gamblers and heavy smokers during an inhibitory control task.
AB - BACKGROUND: Behavioral addictions like pathological gambling share many clinical
characteristics with substance dependence. In addition, both types of disorders
are associated with impairments in inhibitory control. Studies in patients with
substance use disorders point to hyporesponsiveness of the dorsomedial prefrontal
cortex. However, no such data exist on behavioral addictions. METHODS: Using
functional magnetic resonance imaging, we investigated the neural circuitry
associated with impaired response inhibition in a group of male problem gamblers
(n=17) using a stop signal task. We included control conditions tailored to
specifically isolate neural correlates of inhibitory control. To investigate the
specificity of effects, a group of heavy smokers (n=18) and a group of healthy
controls (n=17) were also included. RESULTS: Groups did not differ in behavioral
performance on the stop signal task. However, both problem gamblers and heavy
smokers showed hyporesponsiveness of the dorsomedial prefrontal cortex compared
to healthy controls, during successful as well as failed response inhibition.
These effects were robust against adjustments for depression and adult attention
deficit scores. CONCLUSIONS: These findings suggest that hypoactivation of the
inhibition circuit is a shared neural mechanism in substance use disorders and
behavioral addictions. As such, they support the reclassification of pathological
gambling as a behavioral addiction in DSM-V.
PMID- 21893387
TI - Mutant prevention concentration and phenotypic and molecular basis of
fluoroquinolone resistance in clinical isolates and in vitro-selected mutants of
Escherichia coli from dogs.
AB - The antibacterial activity, selection of Escherichia coli (E. coli) mutants and
mechanisms of fluoroquinolone resistance were investigated by integrating the
minimum inhibitory concentration (MIC), mutant prevention concentration (MPC) and
in vitro dynamic model approaches. Difloxacin and orbifloxacin, for which the
above information has been scarce, were used. A range of area under curve over a
24h interval (AUC(24h))/MIC ratios and selected E. coli strains were investigated
using the dynamic models. Continuous incubation for three days in the presence of
difloxacin or orbifloxacin resulted in losses in E. coli susceptibility. An
AUC(24h)/MIC (AUC(24h)/MPC)-dependent fluoroquinolone activity and selection of
E. coli mutants was confirmed. Maximum losses in susceptibility occurred at
AUC(24h)/MIC ratios of 54 (orbifloxacin) and 57.3 (difloxacin). AUC(24h)/MIC
ratios of 169.8 (orbifloxacin) and 199.5 (difloxacin) were estimated to be
protective against the selection of E. coli mutants, and the corresponding ratios
based on AUC(24h)/MPC predictions were 34 (orbifloxacin) and 36.3 (difloxacin).
When integrating our in vitro data with pharmacokinetic data in dogs, the
conventional clinical doses of both drugs were found to be inadequate to attain
the above protective values for 90% of the mutant subpopulation
(AUC(24h)/MPC(90)). Both target mutations, esp. at codon 83 (Ser to Leu) of gyrA,
and overexpression of efflux pumps contributed to resistance development, with
mutants also showing decreased susceptibility to enrofloxacin and marbofloxacin.
Additional studies would determine the role of mutations found outside the QRDR,
at codon 24 of gyrA, and at codon 116 of parC, and establish the significance of
these observations in vivo.
PMID- 21893388
TI - Quality of life and health status after prophylactic salpingo-oophorectomy in
women who carry a BRCA mutation: A review.
AB - Prophylactic salpingo-oophorectomy is recommended to women who carry a BRCA1 or
BRCA2 mutation at age 35 or after childbearing is complete. This procedure is the
mainstay of ovarian and fallopian tube cancer prevention in these women.
Therefore an understanding of the short and long-term impact of the surgery is
essential. Salpingo-oophorectomy, particularly when done prior to natural
menopause, may impact on several aspects of quality of life and health. The
health benefits of this surgery (cancer prevention) should outweigh the costs of
the procedure in terms of quality of life and long term health. In this review,
the impact of this surgery on quality of life and health in women who carry a
BRCA mutation is discussed. Preliminary studies have focused on short-term
effects, such as quality of life. In the short term, overall quality of life
appears to be similar before and after surgery, however vasomotor symptoms
related to surgical menopause and changes in sexual functioning are common. HRT
appears to mitigate some but not all of these symptoms. Women report high levels
of satisfaction with their decision to have the surgery despite the impact of
prophylactic salpingo-oophorectomy. Studies of the long term health and quality
of life after salpingo-oophorectomy in women who carry a BRCA mutation have not
yet been published.
PMID- 21893389
TI - Novel V97G ASAH1 mutation found in Farber disease patients: unique appearance of
the disease with an intermediate severity, and marked early involvement of
central and peripheral nervous system.
AB - Farber disease is a rare inherited lysosomal storage disorder caused by
ceramidase deficiency that leads to accumulation of ceramide in various tissues.
Mutations within ASAH1 encoding for acid ceramidase are responsible for the
disease. Here we report two siblings with Farber disease who carry a novel V97G
with the parents and a sister being asymptomatic carriers. The mutation site was
found to be highly conserved among different species using ClustalW2 alignment.
Functional prediction tools indicated the mutation to be pathogenic. Electron
microscopy based ultrastructural studies using skin biopsy showed inclusion of
enlarged lysosomes and presence of the zebra bodies. The T1 weighted magnetic
resonance images of the brain indicated diffuse loss of the deep white matter
volume predominantly along the occipital horns of the lateral ventricle with
subsequent facet dilatation of the supratentorial and infratentorial ventricular
system. This is the first report of a detailed clinical and molecular analysis of
cases with Farber disease from Saudi Arabia.
PMID- 21893390
TI - Long-term effectiveness of ethosuximide, valproic acid, and lamotrigine in
childhood absence epilepsy.
AB - PURPOSE: We performed this study to evaluate the long-term efficacy and
tolerability of ethosuximide (ESX), valproic acid (VPA), and lamotrigine (LTG) as
initial monotherapies for patients with newly diagnosed childhood absence
epilepsy. METHODS: We retrospectively reviewed the medical records of 128
patients (45 boys and 83 girls) diagnosed with childhood absence epilepsy at the
Seoul National University Hospital. The diagnosis was based on the criteria
proposed by Panayiotopoulos in 2005. We measured the seizure-free rate and the
retention rate observed during 2 years of treatment. Follow-up
electroencephalography (EEG), any reported adverse events, and reasons for
antiepileptic drug (AED) discontinuation were reviewed. RESULTS: The seizure-free
rate of ESX (84%) was significantly higher than that of VPA (62%) and LTG (53%)
at 3 months. The seizure-free rate of ESX (90%) was significantly higher than
that of LTG (63%) at 6 months. After 9 months, there was no significant
difference in seizure-free rate among the three groups. There were no significant
differences among the three groups in terms of normalization of EEG at 12 months
(ESX, 77%; VPA, 83%; and LTG, 64%), retention rate throughout the whole treatment
period, and adverse-event rates (ESX, 25%; VPA, 29%; and LTG, 14%). CONCLUSION:
This study suggests that ESX, VPA, and LTG are equally effective in the long-term
treatment of newly diagnosed CAE patients. However, the onset of efficacy was
faster for ESX compared with VPA or LTG. Efficacy, tolerability, and adverse
event profiles should be carefully considered when selecting AEDs to treat
individual patients with CAE.
PMID- 21893391
TI - Review of MRI technique and imaging findings in athletic pubalgia and the "sports
hernia".
AB - The clinical syndrome of athletic pubalgia has prematurely ended many promising
athletic careers, has made many active, fitness conscious adults more sedentary,
and has served as a diagnostic and therapeutic conundrum for innumerable trainers
and physicians worldwide for decades. This diagnosis actually arises from one or
more lesions within a spectrum of musculoskeletal and visceral injuries. In
recent years, MRI has helped define many of these syndromes, and has proven to be
both sensitive and specific for numerous potential causes of athletic pubalgia.
This text will provide a comprehensive, up to date review of expected and
sometimes unexpected MRI findings in the setting of athletic pubalgia, and will
delineate an imaging algorithm and MRI protocol to help guide radiologists and
other clinicians dealing with refractory, activity related groin pain in an
otherwise young, healthy patient. There is still more to be learned about
prevention and treatment plans for athletic pubalgia lesions, but accurate
diagnosis should be much less nebulous and difficult with the use of MRI as a
primary imaging modality.
PMID- 21893392
TI - Hip pain from the orthopeadic point of view.
AB - The hip and its disorders represent a clinical, diagnostic and therapeutic
challenge greater than any other joints. Clinical symptoms are usually non
specific and provocative maneuvers are sometimes unreliable, pain might be
referred even from other joints and many times accurate assessment need imaging
techniques. New surgical options, especially the latest development of hip
arthroscopy has open horizons for treating intraarticular disorders. In this
review article we will review basic clinical assessment and what we need as
orthopeadic surgeons from radiology.
PMID- 21893393
TI - Comparative pharmacokinetics of three marker compounds in mBHT and single-herb
extract after oral administration to rats.
AB - Modified Bo-Yang-Hwan-O-Tang (mBHT) is a decoction of 12 herbs traditionally used
in the treatment of cerebral and cardiac stroke and vascular dementia.
Paeoniflorin (PF), calycosin-7-O-beta-D-glycoside (CY), and salvianolic acid B
(SB) are marker compounds for extracts of the herbs Paeoniae Radix, Astragali
Radix, and Salviae Miltiorrhizae Radix, respectively, and are used to assess the
quality of mBHT. This study examined the pharmacokinetics of these three marker
compounds following oral administration of each herb extract alone and in
combination as mBHT in rats. The concentrations of the three compounds in rat
plasma were determined by high-performance liquid chromatography, using a C18
column (2.1*150 mm, 5 MUm) and mobile phases of methanol-water-formic acid
(10:90:0.05, v/v) and methanol-water (90:10, v/v). The results indicated that the
pharmacokinetic parameters of Paeoniae Radix extract group and mBHT group were
very similar, while those of Salviae Miltiorrhizae Radix extract group and mBHT
group were significantly different (P<0.05, t-test). The T(max), AUC and T(1/2)
of SB for Salviae Miltiorrhizae Radix extract group were 54.7 min, 598.7 MUg
min/ml and 37.4 min, respectively. However, these values increased to 77.6 min,
915.9 MUg min/ml and 53.7 min for mBHT group, supposing that excretion of SB
could be more retarded when administered in mBHT than in Salviae Miltiorrhizae
Radix extract.
PMID- 21893394
TI - Expanding the term "Design Space" in high performance liquid chromatography (I).
AB - The current article presents a novel approach to applying Quality by Design (QbD)
principles to the development of high pressure reversed phase liquid
chromatography (HPLC) methods. Four common critical parameters in HPLC--gradient
time, temperature, pH of the aqueous eluent, and stationary phase--are evaluated
within the Quality by Design framework by the means of computer modeling software
and a column database, to a satisfactory degree. This work proposes the
establishment of two mutually complimentary Design Spaces to fully depict a
chromatographic method; one Column Design Space (CDS) and one Eluent Design Space
(EDS) to describe the influence of the stationary phase and of the mobile phase
on the separation selectivity, respectively. The merge of both Design Spaces into
one is founded on the continuous nature of the mobile phase influence on
retention and the great variety of the stationary phases available.
PMID- 21893395
TI - Initial experiences with a multidisciplinary approach to decreasing the length of
hospital stay for patients undergoing unilateral mastectomy.
AB - BACKGROUND: We hypothesized that the introduction of a short-stay pathway would
result in a significant reduction in length of stay for patients undergoing
unilateral mastectomy, without a negative impact on patient safety. MATERIALS AND
METHODS: As part of a quality improvement project, a multidisciplinary committee
designed a 1-day stay program for unilateral mastectomy patients. The study
period was the first year after the 1-day pathway had routinely been implemented.
We report on consecutive patients undergoing unilateral mastectomy +/- tissue
expander at Memorial Sloan-Kettering Cancer Center from July 1, 2009 to June 30,
2010. The primary endpoint was the percentage of patients discharged on
postoperative day 1. Secondary endpoints included the incidence of postoperative
complications within 30 days of surgery, reoperations, readmissions, and urgent
care visits within 7 days. RESULTS: Over a 12-month period, 537 patients
underwent unilateral mastectomy. Of those, 82.7% (444/537) were performed on a 1
day hospitalization basis, compared with 9.6% in 2008, before implementation of
the 1-day plan. The 30-day complication rate was 6.1% (33/537). Overall, 2.6% of
all patients had reoperation for hematoma (14/537), 0.9% had to be readmitted
(5/537), and 1.5% (8/537) attended the urgent-care department. If all patients
had stayed in the hospital for more than 1 day, none of the readmissions and only
2 urgent-care visits would have been prevented. CONCLUSIONS: This study shows
that a 1-day stay following mastectomy is easy to implement and safe for patients
if a multidisciplinary team is involved in planning and implementation.
PMID- 21893396
TI - Pingyangmycin sclerotherapy for infantile hemangiomas in oral and maxillofacial
regions: an evaluation of 66 consecutive patients.
AB - The management of infantile hemangiomas remains a subject of controversy. The
purpose of this study was to investigate the indications and treatment results of
intralesional injection of pingyangmycin for treatment of infantile hemangiomas.
In a prospective study of 66 patients, the effectiveness of intralesional
injection of pingyangmycin was evaluated and documented. The lesions were all
located in the oral and maxillofacial regions. The smallest lesion was 1.0 cm *
0.7 cm and the largest was 4.6 cm * 3.8 cm. Amongst the 66 infants who underwent
sclerotherapy with pingyangmycin, cure was obtained in 74% (49/66) of patients,
marked improvement of the treated lesion occurred in 14% (9/66), improvement
occurred in 12% (8/66), and a lack of response was not observed in any patient.
All patients were regularly followed up for 1-4 years following pingyangmycin
treatment, and they demonstrated the same good results over this time.
Intralesional injection of pingyangmycin was a reliable and effective therapeutic
choice for infantile oral and maxillofacial hemangiomas, as it shortened the
involution time and decreased the influence induced by these potentially
countenance-influenced tumours with few complications.
PMID- 21893397
TI - Extracellular proteases in epilepsy.
AB - During the last decade, multiple data have been obtained, pointing to an
involvement of extracellular, including extrasynaptic, proteolysis in epilepsy
pathogenesis. The most productive avenues of investigations have been analyses of
seizure-evoked gene and protein expression patterns, both hypothesis-driven and
unbiased (e.g., DNA microarrays), complemented by functional analyses in animal
models, as well as expression and gene polymorphism studies carried out on human
tissue In result, serine proteases (e.g., tPA, thrombin, trypsin-like proteases,
etc.), metalloproteinases, natural protease inhibitors, as well as complement
components, and reelin have been identified as a novel molecular system, emerging
as a key factor in the development of epilepsy, in addition to well known
contribution of ion channels and signal transduction pathways. The extracellular
location of the enzymes makes them particularly attractive potential targets for
future pharmacological therapeutic interventions.
PMID- 21893398
TI - Selective electrooxidation of uric acid in presence of ascorbic acid at a room
temperature ionic liquid/nickel hexacyanoferarrate nanoparticles composite
electrode.
AB - A novel amperometric sensor for the determination of uric acid was fabricated
using room temperature ionic liquid and nickel hexacyanoferrate nanoparticle
composite which was immobilized on paraffin wax impregnated graphite electrode.
The nickel hexacyanoferrate nanoparticle was characterized by UV-vis, X-ray
diffraction and field emission scanning electron microscopy. The electrochemical
behavior of the modified electrode was investigated in detail by electrochemical
impedance spectroscopy, cyclic voltammetry and differential pulse voltammetry.
Various experimental parameters influencing the electrochemical behavior of the
modified electrode were optimized by varying the supporting electrolyte, scan
rate and pH. The apparent electron transfer rate constant (K(s)) and charge
transfer coefficient (alpha) of the modified electrode were found to be 1.358(+/-
0.02)cm/s and 0.65, respectively from cyclic voltammetry. The sensor exhibited an
excellent electrocatalytic activity towards the oxidation of uric acid. The
interference from ascorbic acid was easily overcome by coating the modified
electrode with PEDOT layer. Under optimal condition, the determination range for
uric acid is from 1.0 * 10(-6)M to 2.6 * 10(-3)M and the detection limit was 3.3
* 10(-7)M (3sigma). The proposed method has been used for the determination of
uric acid in human urine samples.
PMID- 21893399
TI - Submicroparticles composed of amphiphilic chitosan derivative for oral insulin
and curcumin release applications.
AB - Amphiphilic polymers for dual drug delivery have been a focus of research in
recent years. We have previously developed and characterized Lauroyl sulphated
chitosan (LSCS). Here biological characterizations like mucoadhesion,
cytotoxicity, calcium binding, tight junction opening and enzymatic degradation
studies were performed to understand its applicability. In vitro drug release
properties of both hydrophilic insulin and hydrophobic curcumin were carried out.
The biological activity and stability of released insulin were also studied. The
stability studies of encapsulated curcumin and uptake studies have also been
carried out. LSCS showed strong mucoadhesion and 100% of non-toxicity. LSCS could
transiently open tight junctions between Caco-2 cells and thus increase the
paracellular permeability. LSCS enhanced calcium binding properties and decreased
enzymatic degradation rate retaining insulin activity. LSCS could protect
curcumin from photodegradation and could also enter into the cells. From release
studies, LSCS was found to be a suitable candidate for both drugs.
PMID- 21893400
TI - Voltammetric behaviour of antimalarial drug artesunate in solubilized systems.
AB - The voltammetric behaviour of artesunate is studied at glassy carbon electrode in
different buffer systems using square wave, differential pulse and cyclic
voltammetric techniques. The peak current is linear with the drug concentration
in the range 4.0-40 MUg mL(-1) for serum, plasma and urine. The mean percentage
recoveries of the drug, urine, plasma and serum samples are 98.6-100.2%. No
electroactive interferences from the excipients and endogenous substance could be
observed in the pharmaceutical dosage forms and in biological samples.
PMID- 21893401
TI - Modified Wu-Zi-Yan-Zong prescription, a traditional Chinese polyherbal formula,
suppresses lipopolysaccharide-induced neuroinflammatory processes in rat
astrocytes via NF-kappaB and JNK/p38 MAPK signaling pathways.
AB - Neuroinflammation plays an important role in several neurodegenerative diseases.
In this study, we investigated the anti-inflammatory properties of modified Wu-Zi
Yan-Zong prescription (MWP), a traditional Chinese polyherbal formula, in primary
cultured rat astrocytes treated with lipopolysaccharide (LPS). The results showed
that MWP significantly inhibited release of nitric oxide (NO) and prostaglandin E
(PGE), as well as expressions of inducible nitric oxide synthase (iNOS) and
cyclooxygenase (COX)-2 in LPS-induced rat astrocytes. Mechanism study indicated
that MWP significantly inhibited nuclear factor-kappa B (NF-kappaB) inflammatory
signaling pathway through attenuating inhibitor of nuclear factor-kappaB
(IkappaB) degradation and down-regulating IkappaB kinases (IKKs) phosphorylation
level. Moreover, MWP also decreased c-Jun NH(2)-terminal kinase (JNK)/p38 mitogen
activated protein kinase (MAPK) phosphorylation, which play an important role in
the induction of proinflammatory gene expressions. At last, MWP protected neurons
from LPS-activated astrocytes in neuron-astrocyte co-culture system. Taken
together, our results suggest that MWP may act to suppress neuroinflammatory
response in LPS-stimulated rat astrocytes via NF-kappaB and JNK/p38 MAPK
signaling cascades, and MWP may be a useful agent for prevention and treatment of
neuroinflammatory disease.
PMID- 21893402
TI - In vitro antifungal, anti-elastase and anti-keratinase activity of essential oils
of Cinnamomum-, Syzygium- and Cymbopogon-species against Aspergillus fumigatus
and Trichophyton rubrum.
AB - This study was aimed to evaluate effects of certain essential oils namely
Cinnamomum verum, Syzygium aromaticum, Cymbopogon citratus, Cymbopogon martini
and their major components cinnamaldehyde, eugenol, citral and geraniol
respectively, on growth, hyphal ultrastructure and virulence factors of
Aspergillus fumigatus and Trichophyton rubrum. The antifungal activity of
essential oils and their major constituents was in the order of
cinnamaldehyde>eugenol>geraniol=C. verum>citral>S. aromaticum>C. citratus>C.
martini, both in liquid and solid media against T. rubrum and A. fumigatus. Based
on promising antifungal activity of eugenol and cinnamaldehyde, these oils were
further tested for their inhibitory activity against ungerminated and germinated
conidia in test fungi. Cinnamaldehyde was found to be more active than eugenol.
To assess the possible mode of action of cinnamaldehyde, electron microscopic
studies were conducted. The observations revealed multiple sites of action of
cinnamaldehyde mainly on cell membranes and endomembranous structures of the
fungal cell. Further, test oils were also tested for their anti-virulence
activity. More than 70% reduction in elastase activity was recorded in A.
fumigatus by the oils of C. verum, C. martini, eugenol, cinnamaldehyde and
geraniol. Similar reduction in keratinase activity in A. niger was recorded for
the oils of C. martini and geraniol. Maximum reduction (96.56%) in elastase
activity was produced by cinnamaldehyde whereas; geraniol caused maximum
inhibition (97.31%) of keratinase activity. Our findings highlight anti-elastase
and anti-keratinase activity of above mentioned essential oils as a novel
property to be exploited in controlling invasive and superficial mycoses.
PMID- 21893403
TI - Proteomic analysis of the molecular response of Raji cells to maslinic acid
treatment.
AB - Maslinic acid, a natural pentacyclic triterpene has been shown to inhibit growth
and induce apoptosis in some tumour cell lines. We studied the molecular response
of Raji cells towards maslinic acid treatment. A proteomics approach was employed
to identify the target proteins. Seventeen differentially expressed proteins
including those involved in DNA replication, microtubule filament assembly,
nucleo-cytoplasmic trafficking, cell signaling, energy metabolism and
cytoskeletal organization were identified by MALDI TOF-TOF MS. The down
regulation of stathmin, Ran GTPase activating protein-1 (RanBP1), and microtubule
associated protein RP/EB family member 1 (EB1) were confirmed by Western
blotting. The study of the effect of maslinic acid on Raji cell cycle regulation
showed that it induced a G1 cell cycle arrest. The differential proteomic changes
in maslinic acid-treated Raji cells demonstrated that it also inhibited
expression of dUTPase and stathmin which are known to induce early S and G2 cell
cycle arrests. The mechanism of maslinic acid-induced cell cycle arrest may be
mediated by inhibiting cyclin D1 expression and enhancing the levels of cell
cycle-dependent kinase (CDK) inhibitor p21 protein. Maslinic acid suppressed
nuclear factor-kappa B (NF-kappaB) activity which is known to stimulate
expression of anti-apoptotic and cell cycle regulatory gene products. These
results suggest that maslinic acid affects multiple signaling molecules and
inhibits fundamental pathways regulating cell growth and survival in Raji cells.
PMID- 21893404
TI - A homeopathic remedy for early COPD.
PMID- 21893406
TI - Fluorescent europium-modified polymer nanoparticles for rapid and sensitive
anthrax sensors.
AB - Novel fluorescent polyacrylonitrile nanoparticles were synthesized by
microemulsion polymerization and Schiff base modification. By further
modification with europium, the polyacrylonitrile nanoparticles could be used as
a highly sensitive and rapid sensor for Bacillus anthracis spore detection in
aqueous solution. The europium-modified polyacrylonitrile nanoparticles were
readily combined with dipicolinic acid as a unique biomarker of B. anthracis,
leading to high fluorescence emission. These nanoparticles enabled ratiometric
detection without instrument-specific calibration due to the internal
fluorescence reference. Additionally, the europium-modified polyacrylonitrile
nanoparticle sensors exhibited a remarkable limit of detection (10pM) for
dipicolinic acid and outstanding selectivity (160*) over aromatic ligands in
aqueous solution. The ultrafine nanoparticle sensor showed a high capability for
detecting anthrax due to the increased surface area-to-volume ratio and enhanced
dispersibility.
PMID- 21893405
TI - Engineering and optimization of an allosteric biosensor protein for peroxisome
proliferator-activated receptor gamma ligands.
AB - The peroxisome proliferator-activated receptor gamma (PPARgamma or PPARG) belongs
to the nuclear receptor superfamily, and is a potential drug target for a variety
of diseases. In this work, we constructed a series of bacterial biosensors for
the identification of functional PPARgamma ligands. These sensors entail modified
Escherichia coli cells carrying a four-domain fusion protein, comprised of the
PPARgamma ligand binding domain (LBD), an engineered mini-intein domain, the E.
coli maltose binding protein (MBD), and a thymidylate synthase (TS) reporter
enzyme. E. coli cells expressing this protein exhibit hormone ligand-dependent
growth phenotypes. Unlike our published estrogen (ER) and thyroid receptor (TR)
biosensors, the canonical PPARgamma biosensor cells displayed pronounced growth
in the absence of ligand. They were able to distinguish agonists and antagonists,
however, even in the absence of agonist. To improve ligand sensitivity of this
sensor, we attempted to engineer and optimize linker peptides flanking the
PPARgamma LBD insertion point. Truncation of the original linkers led to
decreased basal growth and significantly enhanced ligand sensitivity of the
PPARgamma sensor, while substitution of the native linkers with optimized G(4)S
(Gly-Gly-Gly-Gly-Ser) linkers further increased the sensitivity. Our studies
demonstrate that the properties of linkers, especially the C-terminal linker,
greatly influence the efficiency and fidelity of the allosteric signal induced by
ligand binding. Our work also suggests an approach to increase allosteric
behavior in this multidomain sensor protein, without modification of the
functional LBD.
PMID- 21893407
TI - Quantitative microscopy and imaging tools for the mechanical analysis of
morphogenesis.
AB - The importance of mechanical signals during embryogenesis and development,
through both intercellular and extracellular signals, is coming into focus. It is
widely hypothesized that physical forces help to guide the shape, cellular
differentiation and the patterning of tissues. To test these ideas many classical
engineering principles and imaging technologies are being adapted. Recent
advances in microscopy, mechanical testing and genetic and pharmacological
techniques, alongside computational models are helping to dissect the activity of
mechanical signals in development at the cellular and molecular level. These
inroads are providing maps of mechanical changes in tissue structure and
stiffness, and will permit deeper insights into the role of mechanics in both
developmental biology and disease.
PMID- 21893408
TI - Imaging heart development using high-resolution episcopic microscopy.
AB - Development of the heart in vertebrate embryos is a complex process in which the
organ is continually remodelled as chambers are formed, valves sculpted and
connections established to the developing vascular system. Investigating the
genetic programmes driving these changes and the environmental factors that may
influence them is critical for our understanding of congenital heart disease. A
recurrent challenge in this work is how to integrate studies as diverse as those
of cardiac gene function and regulation with an appreciation of the localised
interactions between cardiac tissues not to mention the manner in which both may
be affected by cardiac function itself. Meeting this challenge requires an
accurate way to analyse the changes in 3D morphology of the developing heart,
which can be swift or protracted and both dramatic or subtle in consequence. Here
we review the use of high-resolution episcopic microscopy as a simple and
effective means to examine organ structure and one that allows modern computing
methods pioneered by clinical imaging to be applied to the embryonic heart.
PMID- 21893409
TI - Tuning cell shape change with contractile ratchets.
AB - Throughout the lifespan of an organism, shape changes are necessary for cells to
carry out their essential functions. Nowhere is this more dramatic than embryonic
development and gastrulation, when cell shape changes drive large-scale
rearrangements in tissue architecture to establish the body plan of the organism.
A longstanding question for both cell and developmental biologists has been how
are forces generated to change cell shape? Recent studies in both cell culture
and developing embryos have combined live imaging, computational analysis,
genetics, and biophysics to identify ratchet-like behaviors in actomyosin
networks that operate to incrementally change cell shape, drive cell movement,
and deform tissues. Our analysis of several cell shape changes leads us to
propose four regulatory modules associated with ratchet-like deformations that
are tuned to generate diverse cell behaviors, coordinating cell shape change
across a tissue.
PMID- 21893410
TI - Image analysis for understanding embryo development: a bridge from microscopy to
biological insights.
AB - The digital reconstruction of the embryogenesis of model organisms from 3D+time
data is revolutionizing practices in quantitative and integrative Developmental
Biology. A manual and fully supervised image analysis of the massive complex data
acquired with new microscopy technologies is no longer an option and automated
image processing methods are required to fully exploit the potential of imaging
data for biological insights. Current developments and challenges in biological
image processing include algorithms for microscopy multiview fusion, cell nucleus
tracking for quasi-perfect lineage reconstruction, segmentation, and validation
methodologies for cell membrane shape identification, single cell gene expression
quantification from in situ hybridization data, and multidimensional image
registration algorithms for the construction of prototypic models. These tools
will be essential to ultimately produce the multilevel in toto reconstruction
that combines the cell lineage tree, cells, and tissues structural information
and quantitative gene expression data in its spatio-temporal context throughout
development.
PMID- 21893411
TI - Optimization of butanol production from tropical maize stalk juice by
fermentation with Clostridium beijerinckii NCIMB 8052.
AB - Mixed sugars from tropical maize stalk juice were used to carry out butanol
fermentation with Clostridium beijerinckii NCIMB 8052. Batch experiments
employing central composite design (CCD) and response surface methodology (RSM)
optimization were performed to evaluate effects of three factors, i.e. pH,
initial total sugar concentration, and agitation rate on butanol production.
Optimum conditions of pH 6.7, sugar concentration 42.2g/L and agitation rate 48
rpm were predicted, under which a maximum butanol yield of 0.27 g/g-sugar was
estimated. Further experiments demonstrated that higher agitation facilitated
acetone production, leading to lower butanol selectivity in total acetone-butanol
ethanol (ABE). While glucose and fructose are more preferable by C. beijerinckii,
sucrose can also be easily degraded by the microorganism. This study indicated
that RSM is a useful approach for optimizing operational conditions for butanol
production, and demonstrated that tropical maize, with high yield of biomass and
stalk sugars, is a promising biofuel crop.
PMID- 21893412
TI - Removal of highly elevated nitrate from drinking water by pH-heterogenized
heterotrophic denitrification facilitated with ferrous sulfide-based autotrophic
denitrification.
AB - The performance of acetic acid-supported pH-heterogenized heterotrophic
denitrification (HD) facilitated with ferrous sulfide-based autotrophic
denitrification (AD) was investigated in upflow activated carbon-packed column
reactors for reliable removal of highly elevated nitrate (42 mg NO(3)-Nl(-1)) in
drinking water. The use of acetic acid as substrate provided sufficient internal
carbon dioxide to completely eliminate the need of external pH adjustment for HD,
but simultaneously created vertically heterogenized pH varying from 4.8 to 7.8 in
the HD reactor. After 5-week acclimation, the HD reactor developed a moderate
nitrate removal capacity with about one third of nitrate removal occurring in the
acidic zone (pH 4.8-6.2). To increase the treatment reliability, acetic acid
supported HD was operated under 10% carbon limitation to remove >85% of nitrate,
and ferrous sulfide-based AD was supplementally operated to remove residual
nitrate and formed nitrite without excess of soluble organic carbon, nitrite or
sulfate in the final effluent.
PMID- 21893413
TI - Cellulose extraction from orange peel using sulfite digestion reagents.
AB - Orange peel (OP) was used as raw material for cellulose extraction. Two different
pulping reagents were used, sodium sulfite and sodium metabisulfite. The effect
of the main process parameters, sulfite agent dosage and reaction duration, on
cellulose yield was investigated. A central composite rotatable design involving
two variables at five levels and response surface methodology were used for the
optimization of cellulose recovery. Other two invariable parameters were reaction
temperature and hydromodulus. The optimum yields, referred to the weight of
double extracted OP, were 40.4% and 45.2% for sodium sulfite and sodium
metabisulfite digestions, respectively. The crude celluloses were bleached with
hypochlorite and oxygen. The physicochemical characterization data of these
cellulose materials indicate good levels of purity, low crystallinities, good
whitenesses, good water retention and moderate molecular weights. According to
these specific properties the recovered celluloses could be used as fillers,
water absorbents, or as raw materials for cellulose derivatives.
PMID- 21893414
TI - Non-indigenous microorganisms in the Antarctic: assessing the risks.
AB - The Antarctic continent is frequently cited as the last pristine continent on
Earth. However, this view is misleading for several reasons. First, there has
been a rapid increase in visitors to Antarctica, with large increases at research
bases and their environs and to sites of major tourist interest (e.g. historical
sites and concentrations of megafauna). Second, although substantial efforts are
made to avoid physical disturbance and contamination by chemical, human and other
wastes at these sites, little has been done to prevent the introduction of non
indigenous microorganisms. Here, we analyse the extent and significance of
anthropogenic introduction of microbial 'contaminants' to the Antarctic
continent. We conclude that such processes are unlikely to have any immediate
gross impact on microbiological community structure or function, but that
increased efforts are required to protect the unique ecosystems of Antarctica
from microbial and genetic contamination and homogenisation.
PMID- 21893415
TI - Potential AMPK activators of cucurbitane triterpenoids from Siraitia grosvenorii
Swingle.
AB - AMP-activated kinase (AMPK) as a key controller in the regulation of whole-body
energy homeostasis, plays an important role in protecting the body from metabolic
diseases. Recently, improved glucose, lipid utility and increased insulin
sensitivity were observed on several diabetic rodent models treated with crude
mogrosides isolated from the fruit of Siraitia grosvenorii Swingle, but the
precise active compounds responsible for the anti-diabetic activity of this plant
have not been clearly identified. In our current work, acid hydrolysis of crude
mogrosides provided five new cucurbitane triterpenoids (1-4, 8), along with three
known ones (5-7). The main aglycone mogrol (7) and compounds 4 and 8 were found
to be potent AMPK activators in the HepG2 cell line. This result suggested AMPK
activation by the mogroside aglycones 7 and 8 was proved to contribute at least
partially to the anti-hyperglycemic and anti-lipidemic properties in vivo of S.
grosvenorii.
PMID- 21893416
TI - Design and synthesis of inhibitors of noroviruses by scaffold hopping.
AB - A scaffold hopping strategy was employed to identify new chemotypes that inhibit
noroviruses. The replacement of the cyclosulfamide scaffold by an array of
heterocyclic scaffolds lead to the identification of additional series of
compounds that possessed anti-norovirus activity in a cell-based replicon system.
PMID- 21893417
TI - Fibrosis of pulmonary vascular remodeling in carotid artery-jugular vein shunt
pulmonary artery hypertension model of rats.
AB - OBJECTIVE: The aim of the present study was to observe the changes of
hemodynamics, stereology in pulmonary vascular remodeling and messenger RNA
(mRNA) expressions of transforming growth factor beta 1, and receptors in carotid
artery-jugular vein (CA-JV) shunt pulmonary artery hypertension model of rats.
METHODS: Thirty-six Sprague-Dawley rats were randomized into three groups: CA-JV
group, monocrotaline (MCT) administration group, and control group. Left CA-JV
shunts were established in CA-JV group. Dorsal subcutaneous injections of MCT (60
mg kg(-1)) were received in MCT group. Ligations of left common carotid artery
and external jugular vein were performed in control group. Right ventricular
systolic pressure (RVSP) measurement, histological evaluation of the pulmonary
tissue, and mRNA levels of transforming growth factor beta 1 (TGFbeta1), receptor
1 and receptor 2, were investigated after 6 weeks on MCT group, and after 12
weeks on both control and CA-JV groups. RESULTS: Compared with control group,
RVSP, percentage of fibrous tissue (F%) in pulmonary arterioles, mRNA levels of
TGFbeta1, and receptors of CA-JVand MCT groups increased significantly. Severe
hemodynamics change was found in MCT groups. On the other hand, CA-JV group
demonstrated more obvious fibrogenesis and TGFbeta1 signals' upregulation in two
pulmonary artery hypertension (PAH) models. CONCLUSIONS: CA-JV shunt model of
rats was a well-established PAH animal model simulating congenital heart disease
with systemic-pulmonary shunt.
PMID- 21893419
TI - Infantile epilepsy associated with mosaic 2q24 duplication including SCN2A and
SCN3A.
AB - Epilepsies can be caused by specific genetic anomalies or by non-genetic factors,
but in many cases the underlying cause is unknown. Mutations in the SCN1A and
SCN2A genes are reported in childhood epilepsies; in particular SCN1A was found
mutated in patients with Dravet syndrome and with generalized epilepsy with
febrile seizures plus (GEFS+). In this paper we report a patient presenting with
an atypical epileptic syndrome whose phenotype partially overlaps both Dravet
syndrome and benign familial neonatal-infantile seizures (BFNIS). Array-CGH
analysis suggested the presence of a mosaic duplication (about 12Mb) at the level
of chromosome 2q23.3q24.3 involving SCN2A and SCN3A genes. Additional analyses
(radiolabeled RFLP and quantitative PCR) confirmed the mosaicism of the
duplication. We suggest that the array-CGH analysis is mandatory for children
presenting with epilepsy and psycho-motor retardation even without dysmorphisms
or other clinical features suggesting a specific genetic/epileptic syndrome. The
analysis must nevertheless be performed taking into account the possibility of a
mosaicism.
PMID- 21893418
TI - Induction of regulatory Tr1 cells and inhibition of T(H)17 cells by IL-27.
AB - Accumulating evidence indicates that IL-27, a member of the IL-12 family of
cytokines, alleviates the severity of autoimmune diseases in both mice and men.
The IL-27-induced activation of signal transducer and activator of transcription
(Stat)1 and Stat3 promotes the generation of IL-10- producing type 1 regulatory T
(Tr1) cells that inhibit effector T cells. In addition, IL-27 also suppresses the
development of pathogenic IL-17-producing CD4(+) T cells (T(H)17) cells
suggesting that pharmacological manipulations of IL-27 signaling pathway could be
exploited therapeutically in regulating tissue inflammation. Here, we review how
IL-27 controls inflammation through the regulation of Tr1 and T(H)17 responses.
PMID- 21893420
TI - Infrainguinal bypass for peripheral arterial occlusive disease: when arms save
legs.
AB - OBJECTIVES: Determine if arm veins are good conduits for infrainguinal
revascularisation and should be used when good quality saphenous vein is not
available. DESIGN: Retrospective study. MATERIALS AND METHODS: We evaluated a
consecutive series of infrainguinal bypass (IB) using arm vein conduits from
March 2001 to December 2006.We selected arm vein by preoperative ultrasound
mapping to identify suitable veins. We measured vein diameter and assessed vein
wall quality. We followed patients with systematic duplex imaging at 1 week, 1,
3, 6 and 12 months, and annually thereafter. We treated significative stenoses
found during the follow-up. RESULTS: We performed 56 infrainguinal
revascularisation using arm vein conduits in 56 patients. Primary patency rates
at 1, 2 and 3 years were 65%, 51% and 47%. Primary assisted patencies at 1, 2 and
3 years were 96%, 96% and 82%. Secondary patency rates at 1, 2 and 3 years were
92%, 88% and 88%. The three-year limb salvage rate was 88%. CONCLUSIONS: We
conclude that infrainguinal bypass using arm vein for conduits gives good patency
rates, if selected by a preoperative US mapping to use the best autogenous
conduit available.
PMID- 21893422
TI - [Practice communities 2.0 in the health professional environment].
PMID- 21893421
TI - Characterizing protein motions from structure.
AB - To clarify the extent structure plays in determining protein dynamics, a
comparative study is made using three models that characterize native state
dynamics of single domain proteins starting from known structures taken from four
distinct SCOP classifications. A geometrical simulation using the framework
rigidity optimized dynamics algorithm (FRODA) based on rigid cluster
decomposition is compared to the commonly employed elastic network model
(specifically the Anisotropic Network Model ANM) and molecular dynamics (MD)
simulation. The essential dynamics are quantified by a mode subspace constructed
from ANM and a principal component analysis (PCA) on FRODA and MD trajectories.
Aggregate conformational ensembles are constructed to provide a basis for
quantitative comparisons between FRODA runs using different parameter settings to
critically assess how the predictions of essential dynamics depend on a priori
arbitrary user-defined distance constraint rules. We established a range of
physicality for these parameters. Surprisingly, FRODA maintains greater intra
consistent results than obtained from MD trajectories, comparable to ANM.
Additionally, a mode subspace is constructed from PCA on an exemplar set of
myoglobin structures from the Protein Data Bank. Significant overlap across the
three model subspaces and the experimentally derived subspace is found. While
FRODA provides the most robust sampling and characterization of the native basin,
all three models give similar dynamical information of a native state, further
demonstrating that structure is the key determinant of dynamics.
PMID- 21893423
TI - A multicenter retrospective study of childhood brucellosis in Chicago, Illinois
from 1986 to 2008.
AB - OBJECTIVES: To determine risk factors in children for the acquisition of
Brucella, clinical presentation, treatment, and disease outcomes. METHODS: A
retrospective multicenter chart review was undertaken of children identified with
brucellosis from 1986 to 2008 at three tertiary care centers in Chicago,
Illinois, USA. The charts were reviewed for data regarding risk factors for
acquisition, clinical presentation, and outcomes. RESULTS: Twenty-one charts were
available for review. The median age was 6.5 years (range 2-14 years); 62% were
female. Ethnic background was 67% Hispanic and 24% Arabic. Risk factors included
travel to an endemic area (86%), particularly Mexico, and consumption of
unpasteurized milk products (76%). Common findings included fever (95%),
bacteremia (86%), elevated liver transaminases (80%), constitutional symptoms
(76%), splenomegaly (60%), and hepatomegaly (55%). Relapse occurred in three of
six subjects started on single drug treatment, but in only one of 15 subjects who
started on two or more drugs (p=0.053). No relapses occurred in children whose
initial therapy included rifampin or those administered three-drug regimens.
CONCLUSIONS: Brucella is an infrequent pathogen but should be considered in
children with compatible epidemiologic and clinical characteristics. Blood
cultures should be obtained, and initial therapy with two or more drugs may
decrease the risk of relapse.
PMID- 21893424
TI - Can vertebral density changes be explained by intervertebral disc degeneration?
AB - One of the major problems facing the elderly spine is the occurrence of vertebral
fractures due to low bone mass. Although typically attributed to osteoporosis,
disc degeneration has also been suggested to play a role in vertebral fractures.
Existing bone adaptation theories and simulations may explain the biomechanical
pathway from a degenerated disc to an increased fracture risk. A finite element
model of a lumbar segment was created and calibrated. Subsequently the disc
properties were varied to represent either a healthy or degenerated disc and the
resulting bone adaptation was simulated. Disc degeneration resulted in a shift of
load from the nucleus to the annulus. The resulting bone adaptation led to a
dramatically reduced density of the trabecular core and to an increased density
in the vertebral walls. Degeneration of just the nucleus, and in particular the
dehydration of the nucleus, resulted in most of this bone density change.
Additional annulus degeneration had much less of an effect on the density values.
The density decrease in the trabecular core as seen in this study matches
clinical observations. Therefore, bone remodeling theories can assists in
explaining the potential synergistic effects of disc degeneration and
osteoporotis in the occurrence of vertebral fractures.
PMID- 21893425
TI - Beat-to-beat heart rate detection in multi-lead abdominal fetal ECG recordings.
AB - Reliable monitoring of fetal condition often requires more information than is
provided by cardiotocography, the standard technique for fetal monitoring.
Abdominal recording of the fetal electrocardiogram may offer valuable additional
information, but unfortunately is troubled by poor signal-to-noise ratios during
certain parts of pregnancy. To increase the usability of abdominal fetal ECG
recordings, an algorithm was developed that enhances fetal QRS complexes in these
recordings and thereby provides a promising method for detecting the beat-to-beat
fetal heart rate in recordings with poor signal-to-noise ratios. The method was
evaluated on generated recordings with controlled signal-to-noise ratios and on
actual recordings that were performed in clinical practice and were annotated by
two independent experts. The evaluation on the generated signals demonstrated
excellent results (sensitivity of 0.98 for SNR>=1.5). Only for SNR<2, the
inaccuracy of the fetal heart rate detection exceeded 2 ms, which may still
suffice for cardiotocography but is unacceptable for analysis of the beat-to-beat
fetal heart rate variability. The sensitivity and positive predictive value of
the method in actual recordings were reduced to approximately 90% for SNR<=2.4,
but were excellent for higher signal-to-noise ratios.
PMID- 21893426
TI - A theoretical model for comparing UK costs of contact lens replacement
modalities.
AB - PURPOSE: To develop a theoretical 'cost-per-wear' model of contact lens wear, as
tool for UK practitioners to assist patients in determining the most cost
effective lens replacement and wearing frequency protocols. METHODS: The annual
cost of professional fees, contact lenses and solutions when wearing daily, two
weekly and monthly replacement contact lenses was determined for spherical, toric
and multifocal prescription types. This annual cost was divided by the number
times lenses are worn per year, resulting in a cost-per-wear. RESULTS: The cost
per-wear for two weekly and monthly replacement contact lenses is similar, both
decreasing with increasing frequency of wear. The cost-per-wear of daily
replacement lenses is lower than for reusable lenses when worn 1-2 days per week
(DPW), but higher when worn 4-7 DPW. The 'cross-over point' for spherical lenses
at which the cost-per-wear is virtually the same for the three replacement
frequencies, approximately L2.06, occurs at 3 DPW. The cross-over point for toric
lenses is at 4 DPW with daily compared to two weekly replacement lenses (L2.06)
and between 2-3 DPW with daily compared to monthly replacement contact lenses
(L2.39). The crossover point for multifocal lenses of all replacement frequencies
is between 4 and 5 DPW (L1.79). CONCLUSIONS: In general, daily replacement
contact lenses are more cost-effective when worn on a part-time basis (1-3 DPW)
and reusable lenses are more cost-effective when worn full-time (4-7 DPW). This
cost-per-wear model will assist practitioners in making an informed decision when
offering advice to patients relating to the most suitable replacement modality.
PMID- 21893427
TI - L-arginine trifluoroacetate salt bridges in its solid state compound: the low
temperature three dimensional structural determination of L-arginine
bis(trifluoroacetate) crystal and its vibrational spectral analysis.
AB - Structural varieties of L-arginine trifluoroacetate (abbreviated as LATF) and L
arginine bis(trifluoroacetate), LABTF, in the solid state compounds were observed
and analyzed by the nuclear magnetic resonance (NMR) spectroscopy. The
guanidinium-carboxylate interaction plays an important role involving in the
crystal structure construction. Conformational changes of L-Arg(+) and L-Arg(2+)
cations result from the intrinsic structural difference by hydrogen bonding and
electrostatic interactions. The low-temperature structure of its crystalline
salt, L-arginine bis(trifluoroacetate), was determined to describe the hydrogen
bonding interactions. In comparison with the crystal structure at room
temperature, the low-temperature L-Arg(2+) cations present tiny conformational
difference and the rotational disorder of CF(3) group disappears. FT-IR and Raman
spectra were investigated and hydrogen bonding interactions were analyzed on the
basis of its vibrational spectra. Results indicate that this type interaction is
greatly contributive to the structural features and vibrational spectral
properties.
PMID- 21893428
TI - Medical importance of Sindbis virus in south-west Germany.
PMID- 21893429
TI - Second external quality assessment of the molecular diagnostic of West Nile
virus: are there improvements towards the detection of WNV?
AB - BACKGROUND: WNV epidemics occur worldwide, new WNV isolates were isolated in
southern-east Europe belonging to WNV lineage 2. A first international
proficiency study on WNV indicted that some laboratories were not able to detect
WNV lineage 2 virus genome by their PCR diagnostic assays. Therefore an actual
External Quality Assessment with both virus lineages was performed to monitor the
improvements in molecular diagnostics. OBJECTIVES: To asses the proficiency of
laboratories to detect West Nile virus with molecular diagnostic tests. STUDY
DESIGN: A test panel of different WNV isolates and virus dilutions was given to
26 laboratories to test the samples with their routine diagnostic methods.
RESULTS: Twenty-one participating laboratories provided 28 data set results. WNV
lineage 1 was detected with high overall efficiency of 92% (67.9-100%) but two
different WNV lineage 2 strains were detected at lower rates (mean = 73%, 67.9
75%) by the different PCR assays. 93% of the laboratories were able to detect a
WNV lineage 1 with a concentration of 1.2*10(4)copies/ml but the detection rate
was decreased to 68% for 1.2*10(3)copies/ml. One laboratory generated false
positive result from the non-virus control samples and 29% of the datasets showed
false-positive results for non-WNV flavivirus samples. CONCLUSIONS: The WNV EQA
showed an improved proficiency of laboratories as compared to the first EQA.
However, the data suggest that problems in the detection of both lineages were
still present since the first proficiency test was performed in 2006. Further
proceedings versus the detection of both lineages are needed particularly for in
house assays.
PMID- 21893430
TI - From genes to therapeutic targets for psychiatric disorders - what to expect?
AB - Neuropsychiatric disorders as schizophrenia, autism and mood disorders represent
one of the leading causes of disability. The cost of bringing a drug to the
market is increasing and becoming more risky. Pharmaceutical investments in
neuroscience are decreasing. At the same time we are facing an unprecedented rate
of discovery in human genetics. Genes predisposing for common diseases including
psychiatric disorders are being identified. The knowledge derived from the
identification of genes relevant for psychiatric disorders holds the promise of
providing truly innovative therapeutic interventions. The process of approving
new psychiatric drugs, is however complex, lengthy and requires a well
orchestrated and funded effort of multiple disciplines. In this article a brief
overview of the key learning obtained from the conduction genome-wide association
studies, thus far, is given in an attempt to provide a realistic view on the
potential contribution of human genetics to drug discovery in psychiatry.
PMID- 21893431
TI - High resolution 3D perspective of Plasmodium biology: advancing into a new era.
AB - Apicomplexan parasites exhibit a great variety of complex life cycles that
require adaptation to different niches of parasitism. They invade different host
cells and highjack their biological functions. Plasmodium falciparum, responsible
for the deadliest form of human malaria, causes disease while completely
remodeling the erythrocytes of its human host through mechanisms that are only
partly understood. Recent developments in ultrastructural technologies offer new
opportunities to investigate fundamental aspects in the biology of the parasite
in a three-dimensional (3D) perspective. Here we bring together recent work on
host cell invasion, hemoglobin uptake, protein export and nuclear dynamics. A
comprehensive 3D view of the ultrastructural biology of the parasite may shed new
light on cellular mechanisms that underlie the pathogenicity of P. falciparum.
PMID- 21893433
TI - Mre11-Rad50 complex crystals suggest molecular calisthenics.
AB - Recently published crystal structures of different Mre11 and Rad50 complexes show
the arrangement of these proteins and imply dramatic ligand-induced
rearrangements with important functional consequences.
PMID- 21893434
TI - Acinar cell carcinoma: a possible diagnosis in patients without intrapancreatic
tumour.
AB - BACKGROUND: Acinar cell carcinomas of the pancreas are rare neoplasms. Usually
diagnosed at an advanced stage, in general they are large solid pancreatic
tumours with an average size of more than 10 cm. AIMS AND RESULTS: We report 3
cases of acinar cell carcinomas involving the peripancreatic lymph nodes, the
liver hilum and the colon respectively, without clinical or pathological evidence
of pancreatic tumours. These highly cellular neoplasms showed a predominantly
acinar cell differentiation intermingled with a ductal component, with
intracellular or extracellular mucin production by at least 25% of tumour cells.
In addition, one case showed endocrine differentiation. Diffuse immunoreactivity
for acinar enzymes trypsin and chymotrypsin was present in all cases. CONCLUSION:
The occurrence of acinar cell carcinomas outside the pancreas underlines the
notion that acinar cell carcinomas may originate in extrapancreatic sites and
probably develop from heterotopic or metaplastic pancreatic foci present along
the biliary tract.
PMID- 21893432
TI - Toxoplasma gondii effectors are master regulators of the inflammatory response.
AB - Toxoplasma is a highly successful parasite that establishes a life-long chronic
infection. To do this, it must carefully regulate immune activation and host cell
effector mechanisms. Here we review the latest developments in our understanding
of how Toxoplasma counteracts the immune response of the host, and in some cases
provokes it, through the use of specific parasite effector proteins. An emerging
theme from these discoveries is that Toxoplasma effectors are master regulators
of the pro-inflammatory response, which elicits many of the toxoplasmacidal
mechanisms of the host. We speculate that combinations of these effectors present
in certain Toxoplasma strains work to maintain an optimal parasite burden in
different hosts to ensure parasite transmission.
PMID- 21893435
TI - Elastography-based assessment of primary biliary cirrhosis staging.
PMID- 21893436
TI - Chromoendoscopy in small bowel capsule endoscopy: Blue mode or Fuji Intelligent
Colour Enhancement?
AB - INTRODUCTION: Virtual chromoendoscopy is used to enhance surface patterns and
colour differences. One type of virtual chromoendoscopy is the Fuji Intelligent
Colour Enhancement (FICE). Although widely applied in conventional endoscopy,
data on FICE application in capsule endoscopy are limited. Furthermore, the
validity of Blue filter (feature of RAPID((r)) software) has not been examined.
AIM/S: We aimed to qualitatively evaluate the use of FICE and Blue filter
enhancement, in images of lesions obtained during small bowel capsule endoscopy,
comparing them with similar, conventional (white light) images. METHODS: A total
of 167 images (6 different lesion categories) obtained from 200 capsule endoscopy
examinations. Two gastroenterologists examined the images with white light, FICE
and Blue filter in regards to the visibility of blood vessels, the contrast of
the mucosal surface, and the demarcation of lesion borders. The agreed scores
were: improved, similar, worse. Inter-observer agreement was calculated. RESULTS:
For all lesion categories, Blue filter provided image improvement (compared to
white light) in 83%, (inter-observer agreement: 0.786). With FICE 1, improvement
was observed in 34%, worse image in 55.9%, (inter-observer agreement: 0.646).
With FICE 2, improvement was observed in 8.6%, worse in 77.5%, (inter-observer
agreement: 0.617). With FICE 3, improvement was seen in 7.7%, worse in 79.9%
(inter-observer agreement: 0.669). CONCLUSION: Comparing with FICE, Blue filter
offers better image enhancement in capsule endoscopy.
PMID- 21893437
TI - Phase II study of pharmacogenetic-tailored therapy in elderly colorectal cancer
patients.
AB - BACKGROUND: Retrospective analyses suggested that a pharmacogenetic approach may
allow a tailored selection of chemotherapy for metastatic colorectal cancer. AIM:
We conducted a phase II study of pharmacogenetic-selected first-line chemotherapy
in elderly patients with advanced colorectal cancer, with the aim to improve
efficacy and to reduce toxicity in this group of patients. METHODS: 24 patients
were enrolled in this study. Chemotherapy regimen was prospectively assigned
based on TS, DPD, ERCC-1 and UGT1A1 genotyping results. Twelve patients (50%)
were treated with modified FOLFIRI, 11 patients (46%) with modified FOLFOX6 and 1
(4%) with De Gramont regimen. RESULTS: A partial remission was obtained in 4
cases (17%), stable disease in 8 cases (33%) and progressive disease in 12 cases
(50%). Grade 3-4 neutropenia was observed in 7 patients (29%) and diarrhoea in 3
cases (12%). The trial was then interrupted according to study design requiring
13 partial remissions out of the first 24 patients enrolled as the necessary
response rate level in order to continue. CONCLUSION: Prospective selection of
chemotherapy based on TS, DPD, ERCC-1 and UGT1A1 expression in elderly advanced
colorectal cancer patients failed to confirm previous results. A more accurate
validation of retrospective findings is warranted before these molecular markers
can be used for treatment selection in the clinical practice.
PMID- 21893438
TI - Appearance concerns comparisons among persons with body dysmorphic disorder and
nonclinical controls with and without aesthetic training.
AB - Body dysmorphic disorder (BDD) concerns may be on a continuum with normal
appearance concerns, differing only quantitatively. As emerging evidence suggests
that an increased aesthetic sensitivity plays a role in BDD, individuals with BDD
(n=50) were compared with a control group of individuals with an education or
employment in art and design related fields (n=50) and a control group of
individuals without aesthetic training (n=50). Participants completed a
demographic questionnaire and a series of measures for depression, BDD
symptomatology, and body image. Most controls (with and without aesthetic
training) reported appearance concerns and expressed comparable ideals to those
with BDD. However, BDD participants differed by using negative, emotive, and
morally based descriptions for their defect(s), spending a greater time
preoccupied with their defect(s) causing increased interference with functioning,
performing appearance-related behaviors more frequently, and experiencing greater
distress when performing those behaviors.
PMID- 21893439
TI - Prevention of preterm birth.
AB - Preterm birth (delivery before 37 completed weeks of gestation) is common and
rates are increasing. In the past, medical efforts focused on ameliorating the
consequences of prematurity rather than preventing its occurrence. This approach
resulted in improved neonatal outcomes, but it remains costly in terms of both
the suffering of infants and their families and the economic burden on society.
Increased understanding of the pathophysiology of preterm labor has altered the
approach to this problem, with increased focus on preventive strategies. Primary
prevention is a limited strategy which involves public education, smoking
cessation, improved nutritional status and avoidance of late preterm births.
Secondary prevention focuses on recurrent preterm birth which is the most
recognisable risk factor. Widely accepted strategies include cervical cerclage,
progesterone and dedicated clinics. However, more research is needed to explore
the role of antibiotics and anti-inflammatory treatments in the prevention of
this complex problem.
PMID- 21893440
TI - Clinical features predicting identification of CDKN2A mutations in Italian
patients with familial cutaneous melanoma.
AB - CDKN2A is the most common, most penetrant gene whom germline mutations
predisposing to cutaneous familial melanoma (FAM). Multiple primary melanoma
(MPM), early age at onset, >2 affected members and pancreatic cancer are
consistent features predicting positive test. However, the impact that cumulative
clinical features have on the likelihood of molecular testing is unknown. In this
work, genotype-phenotype correlations focused on selected clinical features were
performed in 100 Italian FAM unrelated patients. Molecular studies of CDKN2A
mutations were performed by direct sequencing. Statistical study included
multiple correspondence analysis, uni- and multivariate analyses, and individual
patient's probability calculation. MPM, >2 affected family members, Breslow
thickness >0.4mm, and age at onset <=41 years were the unique independent
features predicting positive CDKN2A screening. The rate of positive testing
ranged from 93.2% in the presence of all of them, to 0.4% in their absence. The
contribution of each of them was quantified accordingly, with MPM being the most
significant. These findings confirm previous data and add novel insights for the
role of accurate patients' selection in CDKN2A screening.
PMID- 21893442
TI - Prevalence of Janus Kinase 2 mutations in patients with unusual site venous
thrombosis.
AB - We aimed to study patients with splanchnic vein thrombosis (SVT) and cerebral
vein thrombosis (CVT) searching for JAK2 mutations. We evaluated 14 patients
(median age: 41.5 years) with portal vein thrombosis (PVT) = 7; mesenteric vein
thrombosis (MVT) = 3; and CVT = 4. JAK2 V617F was assessed by allele specific PCR
of peripheral blood DNA. In addition, DNA was sequenced for other JAK2 mutations.
Other inherited and acquired thrombophilia risk factors were evaluated. JAK2
V617F was positive in four out of seven patients with PVT and in one CVT patient.
These five patients had a diagnosis of myelo-proliferative disorder (MPD) at the
moment of the occurrence of thrombosis (n = 2) or later (n = 2). Patients with
MVT and CVT were negative for JAK2 V617F, except one patient with CVT and a
diagnosis of essential thrombocythemia. No other JAK2 mutations were found in
this cohort. Besides MPD, other thrombophilia risk factors were identified in
five patients. One patient had MPD as well as thrombophilia risk factor. In this
group, 4 out of 7 of the patients with PVT carried the JAK2 V617F mutation with
or without overt MPD. However, the investigation of other JAK2 mutations may not
be necessary in patients with thrombosis at unusual sites.
PMID- 21893441
TI - Maternal prenatal urinary phthalate metabolite concentrations and child mental,
psychomotor, and behavioral development at 3 years of age.
AB - BACKGROUND: Research suggests that prenatal phthalate exposures affect child
executive function and behavior. OBJECTIVE: We evaluated associations between
phthalate metabolite concentrations in maternal prenatal urine and mental, motor,
and behavioral development in children at 3 years of age. METHODS: Mono-n-butyl
phthalate (MnBP), monobenzyl phthalate (MBzP), monoisobutyl phthalate (MiBP), and
four di-2-ethylhexyl phthalate metabolites were measured in a spot urine sample
collected from 319 women during the third trimester. When children were 3 years
of age, the Mental Development Index (MDI) and Psychomotor Development Index
(PDI) were measured using the Bayley Scales of Infant Development II, and
behavior problems were assessed by maternal report on the Child Behavior
Checklist. RESULTS: Child PDI scores decreased with increasing loge MnBP
[estimated adjusted beta-coefficient = -2.81; 95% confidence interval (CI):
4.63, -1.0] and loge MiBP (beta = -2.28; 95% CI: -3.90, -0.67); odds of motor
delay increased significantly [per loge MnBP: estimated adjusted odds ratio (OR)
= 1.64; 95% CI: 1.10, 2.44; per loge MiBP: adjusted OR =1.82; 95% CI: 1.24,
2.66]. In girls, MDI scores decreased with increasing loge MnBP (beta = -2.67;
95% CI: -4.70, -0.65); the child sex difference in odds of mental delay was
significant (p = 0.037). The ORs for clinically withdrawn behavior were 2.23 (95%
CI: 1.27, 3.92) and 1.57 (95% CI: 1.07, 2.31) per loge unit increase in MnBP and
MBzP, respectively; for clinically internalizing behaviors, the OR was 1.43 (95%
CI: 1.01, 1.90) per loge unit increase in MBzP. Significant child sex differences
were seen in associations between MnBP and MBzP and behaviors in internalizing
domains (p < 0.05). CONCLUSION: Certain prenatal phthalate exposures may decrease
child mental and motor development and increase internalizing behaviors.
PMID- 21893443
TI - [Instability in multiple atherosclerotic plaques in patients who died of acute
myocardial infarction].
AB - In acute coronary syndromes inflammatory process plays an important role in
atherosclerotic plaque instability. Our aim was to evaluate the presence and
distribution of vulnerable plaques and inflammatory infiltrates in patients who
died of acute myocardial infarction in comparison to patients who died of non
coronary heart disease. We analyzed pathologic studies of the heart of 68
patients who died of acute myocardial infarction and 15 patients who died of non
coronary heart disease. The presence of thrombus, intraplaque hemorrhage,
endothelial rupture and inflammatory infiltrates were registered. In patients who
died of myocardial infarction, we found thrombus in 73.5% of the involved
arteries and in 28.7% of the non involved (p < 0.0001). Intraplaque hemorrhage
was found in 70.5% of involved arteries and in 39.7% of the non involved (p <
0.0001); endothelial rupture in 29.4% of involved arteries and in 3.7% of non
involved arteries (p < 0.0001). There was no difference in the presence of
inflammatory infiltrates (76.5% versus 68.4%). Comparing with patients who have
died of non-coronary heart disease, the presence of thrombus was significantly
higher (73.5% vs. 13.3%; p < 0.0001), as well as the presence of intraplaque
hemorrhage (70.5% vs. 0%; p < 0.0001) and of inflammatory infiltrates in
atherosclerotic plaques (76.5% vs. 46.6%; p = 0.021). In patients who died of
acute myocardial infarction we observed plaque instability and inflammatory
activity, not only in the infarct related artery but also in the non involved
arteries.
PMID- 21893444
TI - [Comparison between CKD-EPI and MDRD-equations to estimate glomerular filtration
rate in chronic kidney disease patients].
AB - The MDRD equation to estimate glomerular filtration rate (GFR) is the most widely
used strategy to assess chronic kidney disease. Nonetheless, for the individual
patient the true GFR can be underestimated with the risk of diagnosing a more
elevated CKD stage. This novel CKD-EPI equation would improve accuracy and
precision of estimations, and several authors recommend this new equation replace
the former. In our country there is only a limited registration of these
comparisons performed on a large number of patients. Therefore, our aim was to
develop a comparison in a wide cohort of patients. The concordance between both
equations to assign the GFR stages was determined by using the MDRD formula as a
reference. The mean difference of GFR obtained with both equations as well as the
Bland-Altman analysis were calculated. A cohort of 9319 individuals, of whom 67%
were females, aged 58 +/- 20 years, with serum creatinine values of 1.6 +/- 1.03
mg/dl, was studied. In the whole group, CKD-EPI displayed an average GFR 0.61
ml/min/1.73 m2 larger than MDRD (p: NS). For CKD stages 2 and 3A the mean
estimated GFR difference was 6.95 +/- 4.76 and 3.21 +/- 3.31, while the
concordance was 81 and 74% respectively. The percentage of patients with GFR < 60
ml/min/1.73 m2, decreased from 76.3% with the former equation to 70.1% with the
latter. The novel equation CKD-EPI reduces the number of patients with GFR values
lower than 60 ml/min/1.73 m2 and consequently assigns a higher GFR stage to a
considerable quantity of individuals.
PMID- 21893445
TI - [Quinolone resistant Campylobacter jejuni strains isolated from humans and from
poultry].
AB - Eight quinolone resistant Campylobacter jejuni strains isolated from humans with
diarrheal disease were compared with 23 isolates from chicken and from laying
hens. Samples were cultured on selective agar in microaerophilia, identified by
conventional tests, and conserved in 17% glycerol at -70 C. Clones were
determined by RAPD-PCR employing the 1254 primer (Stern NJ). Five patterns were
obtained. Patterns I, II, and V were found in both poultry and human isolates.
Pattern I was obtained from poultry in a domestic henhouse. Pattern III was only
obtained from humans whereas pattern IV was only obtained from poultry. A 95.3%
of clones were found in both, humans and poultry. According to these results
colonization by quinolone resistant strains could be the origin of this human
infection, acquired by ingestion.
PMID- 21893446
TI - [Ultraviolet radiation impact on seasonal variations of serum 25-hydroxy-vitamin
D in healthy young adults in Buenos Aires].
AB - Exposure to sunlight (ES) is the main source of vitamin D. There are few reports
regarding the seasonal variations of serum 25(OH) vitamin D in young adults and
its correlation with ultraviolet radiation dose (UVRd). Our aims were to
determine 25OHD variations in young adults and assess the correlation between
25OHD levels, dietary calcium intake (DCI) and the UVRd. Eighty two healthy
volunteers were prospectively studied: 42 women and 40 men. Serum 25OHD, calcium,
phosphorus and magnesium were measured at the end of winter and at the end of
summer. ES and UVRd were determined hourly in winter and summer. Seasonal
variation in serum 25OHD levels was observed with significantly higher levels in
summer in both gender. Serum 25OHD <20 ng/ml was more frequently found in winter
(42.6% in women and 50% in men). The ES and UVRd were significantly lower in
winter vs. summer. ES and UVRd positively correlated with 25OHD only in winter in
both men and women. DCI was lower than recommended and did not correlate with
25OHD levels.
PMID- 21893447
TI - Neutrophil predominance in induced sputum from asthmatic patients. Therapeutic
implications and role of clara cell 16-KD protein.
AB - Eosinophil is considered to be a main protagonist in asthma; however, often
discordances between clinical manifestations and response to treatment are
observed. We aimed to determine the occurrence of neutrophil predominance in
asthma and to identify its characteristics on the basis of clinical-functional
features, induced sputum cellular pattern and soluble molecules, to guide the
appropriated anti-inflammatory therapy. A total of 41 patients were included in
randomized groups: 21-40 year-old, with stable mild-to-severe asthma, steroid
naive and non-smokers. An induced sputum sample was obtained under basal
conditions, a second one after treatment with budesonide (400 ug b.i.d.) or
montelukast (10 mg/d) for six weeks, and a final one after a 4-week washout
period. By cytospin we evaluated eosinophil (EP) or neutrophil predominance (NP),
and in supernatant we determined LTE4, and CC16. Peak expiratory flow variability
(PEFV) was measured. A total of 23/41 patients corresponded to EP and 18/41
patients to NP. The PEFV was higher in EP than in NP. LTE4 was higher with NP
than with EP. No difference was found for CC16. Montelukast reduced the
predominant cell in both subsets, whereas budesonide only reduced eosinophils in
EP. Budesonide and montelukast reduced PEFV in EP but not in NP. Considering the
total treated-samples in each subset, CC16 level increased significantly in EP.
IN CONCLUSION: a NP subset of asthmatic patients was identified. These patients
show a lower bronchial lability; the leukotriene pathway is involved which
responds to anti-leukotriene treatment. This phenotype shows a poor recovery of
CC16 level after treatment.
PMID- 21893448
TI - [Antibody deficiencies. A survey from three Clinical Immunology Centers for
adults in Buenos Aires city].
AB - Antibody deficiency (AD) comprises a group of diseases characterized by the
inability to develop an effective antibody mediated immune response. These
patients suffer mainly of encapsulated extracellular bacterial infections of the
respiratory tract. The aim of our study was to describe the clinical
characteristics of 128 patients with suspected or confirmed AD who were referred
to 3 immunodeficiency centers for adults, from June 2004 to December 2009. Three
of these patients (2.3%) consulted for recurrent infections only once, without
sufficient data for a proper classification, and were excluded. Of the remaining
125 patients, in 21 (16.8%) AD was excluded, 8 cases (6.4%) were diagnosed with
secondary antibody immunodeficiency (SAD) and 96 (76.8%) with primary antibody
immunodeficiency (PAD). SAD causes were: one renal failure, one phenytoin use,
two monoclonal gammopathy and four B cell lymphoma. The causes of these 96 PAD
were: 57 common variable immunodeficiency, 12 X-linked agammaglobulinaemia, 10
selective IgA deficiency, seven IgG1 deficiency, three hyper-IgM syndrome, three
IgM deficiency, two X-linked proliferative syndrome, one Good syndrome and one
antibody functional deficiency. Sixty-seven patients were in follow up at the
time of completion of the study, 25 of them were on follow up at the beginning of
the study. Among the 58 patients with indication of gamma globulin replacement
therapy, 54 were in treatment at the end of the study. In four patients the
initial diagnosis of PAD was not confirmed.
PMID- 21893449
TI - Premalignant quiescent melanocytic nevi do not express the MHC class I chain
related protein A.
AB - The MHC class I chain-related protein A (MICA) is an inducible molecule almost
not expressed by normal cells but strongly up-regulated in tumor cells. MICA
expressing cells are recognized by natural killer (NK) cells, CD8+ abTCR and
gdTCR T lymphocytes through the NKG2D receptor. Engagement of NKG2D by MICA
triggers IFN-g secretion and cytotoxicity against malignant cells. Although most
solid tumors express MICA and this molecule is a target during immune
surveillance against tumors, it has been observed that high grade tumors from
different histotypes express low amounts of cell surface MICA due to a
metalloprotease-induced shedding. Also, melanomas develop after a complex process
of neotransformation of normal melanocytes. However, the expression of MICA in
premalignant stages (primary human quiescent melanocytic nevi) remains unknown.
Here, we assessed expression of MICA by flow cytometry using cell suspensions
from 15 primary nevi isolated from 11 patients. When collected material was
abundant, cell lysates were prepared and MICA expression was also analyzed by
Western blot. We observed that MICA was undetectable in the 15 primary nevi
(intradermic, junction, mixed, lentigo and congenital samples) as well as in
normal skin, benign lesions (seborrheic keratosis), premalignant lesions (actinic
keratosis) and benign basocellular cancer. Conversely, a primary recently
diagnosed melanoma showed intense cell surface MICA. We conclude that the onset
of MICA expression is a tightly regulated process that occurs after melanocytes
trespass the stage of malignant transformation. Thus, analysis of MICA expression
in tissue sections of skin samples may constitute a useful marker to
differentiate between benign and malignant nevi.
PMID- 21893450
TI - [Increase of regulatory T cells in the lymph node of cathepsin L mutant mice].
AB - Regulatory CD4+CD25+Foxp3+ T cells (Treg) have been implicated in different
pathologies including cancer, infections and autoimmune diseases and in the
rejection of allogeneic organ transplantation. Thus, modulation of Treg activity
has a great potential in the treatment of these pathologies. Herein, we evaluated
the influence of cathepsin L (CTSL) on Treg homeostasis. CTSL mutant mice
(CTSLnkt/nkt) showed a decrease in the absolute number of thymic Treg cells. In
contrast, the absolute number of lymph node Treg cells and their frequency within
CD4+ cells were increased. The absence of CTSL activity in CD4+ T cells -and not
in their environment- increased the proliferation rate of lymph node CD4+ T
cells. Treg and T CD4+ conventional (CD4+CD25-Foxp3-) cells from mutant mice
showed similar increases in their proliferative levels as compared with control
mice, suggesting that although proliferation contributes to the increases in
their number, the augmentation in the frequency of Treg cells is not only
associated to increases in proliferation. Furthermore, the Treg apoptosis rate
was not decreased in the lymph node of CTSLnkt/nkt mice. Taking into account that
the daily CD4+ thymic production is diminished in mutant mice, our results
suggest that peripheral Treg increases are probably not the result of increased
thymic output and raise the possibility that a conversion to Treg phenotype would
be favored in the CD4+ T cells peripheral pool of CTSL mutant mice.
PMID- 21893451
TI - [Temporary occlusion of atrial septal defect in the Lutembacher syndrome].
AB - We report the case of an 82 year-old woman with symptoms of advanced heart
failure and pulmonary arterial hypertension. An echocardiogram showed an ostium
secundum type atrial septal defect and concomitant mitral valve stenosis
(Lutembacher syndrome). Echocardiographic assessment of mitral pathology was
hampered by the interatrial septal defect. Transient percutaneous occlusion test
of the atrial septal defect was performed and severe mitral valve stenosis was
detected. Atrial septal defect size modified the clinical manifestations and the
transient occlusion test helped to decide the therapeutic strategy.
PMID- 21893452
TI - [Granulomatous meningitis, crescentic glomerulonephritis and vasculitis].
AB - Meningeal involvement is an infrequent manifestation of Wegener's granulomatosis.
Clinical manifestations can be headache with high protein level in the
cerebrospinal fluid and an enhanced MRI signal of granulomatous thickening of the
duramater in the brain. We report a 57 year-old male with Wegener granulomatosis
with onset manifestations of asymptomatic granulomatous meningitis, upper
respiratory tract, ears and orbits involvement. He progressively developed ANCA
positive multiple mononeuritis and crescentic glomerulonephritis. The diagnostic
confirmation of Wegener's granulomatosis based on a positive ANCA test and on the
evidence of systemic disease (crescentic glomerulonephritis and involvement of
the upper respiratory tract, ears, orbits, peripheral nerves and duramater)
allowed a prompt initiation of aggressive immunosuppressive treatment with
systemic cyclophosphamide and high - dosis corticosteroids. The patient entered
into a sustained clinical remission with mild residual neurosensorial hearing
loss and renal failure.
PMID- 21893453
TI - [Post-traumatic false (pseudo) aneurysm of the left main coronary artery].
AB - Coronary pseudo-aneurysm is an extremely rare entity. Its natural history is
scarcely known and its treatment is controversial. We report a case of pseudo
aneurysm of the left main coronary artery associated with an antero-apical
infarct of the left ventricle diagnosed five years after a cardiac arrest
following a non-penetrating thoracic trauma. The patient was treated
conservatively because percutaneous or surgical correction were not considered
suitable for this lesion. A multidetector computed tomography coronary angiogram
performed 10 years after the initial event showed no evidence of progression.
PMID- 21893454
TI - [Rhinosinusal mucormycosis].
PMID- 21893455
TI - [Three-dimensional reconstruction of persistent left superior vena cava during
atrial fibrillation ablation].
PMID- 21893456
TI - [Dilated left atrial appendage by peri-prosthetic mitral leak].
PMID- 21893457
TI - [Postpartum cerebral venous sinus thrombosis].
PMID- 21893458
TI - [Update on the treatment of endemic hemolytic uremic syndrome. Pathogenesis and
treatment of the most severe systemic complication of infections by Shiga toxin
producing Escherichia coli].
AB - The typical form of hemolytic uremic syndrome (HUS) is the major complication of
Shiga toxin-producing Escherichia coli (STEC) infections. HUS is a critical
health problem in Argentina since it is the main cause of acute renal failure in
children and the second cause of chronic renal failure, giving account for 20% of
renal transplants in children and adolescents in our country. In spite of the
extensive research in the field, the mainstay of treatment for patients with HUS
is supportive therapy, and there are no specific therapies preventing or
ameliorating the disease course. In this review, we present the current knowledge
about pathogenic mechanisms and discuss traditional and innovative therapeutic
approaches, with special focus in national status and contributions made by
Argentinean groups.
PMID- 21893459
TI - [Epigenetics and epigenome. A step forward in the etiology and potential
treatment of neurological diseases].
AB - The mechanisms of epigenetic regulation play an important role in the development
and function of body systems. Failure in the maintenance of this regulation as
well as environmental factors could contribute to the development of multiple
diseases in genetically predisposed patients. Although the molecular mechanisms
responsible for the etiology of most diseases are unknown, there is evidence of
both genetic and environmental factors that could influence this development.
Recent findings involve epigenetic mechanisms in the origin of various diseases.
This review aims to describe in detail the mechanisms of epigenetic regulation
and the known findings involving the dysfunction of these mechanisms as a
possible cause of various diseases.
PMID- 21893460
TI - [Lymphangioleiomyomatosis: an orphan disease in search for treatment].
PMID- 21893461
TI - [Juan Carlos Fasciolo, disciple of Houssay and discoverer of angiotensin].
PMID- 21893462
TI - [Clinicopathological conferences].
PMID- 21893463
TI - The huge world of small RNAs: regulating networks of microRNAs (review).
AB - MicroRNAs (miRNAs) are a recently discovered class of small, non-coding RNAs
which do not code proteins. MiRNAs regulate gene expression by inhibiting protein
translation from the messenger RNA. MiRNAs may function in networks, forming a
complex relationship with diseases. Furthermore, specific miRNAs have significant
correlation with diseases of divergent origin. After identification of disease
associated miRNAs, their tissue expression could be altered in a beneficial way
by inhibiting or mimicking their effects. Thus, modifying the expression of
miRNAs is a potential future gene-therapeutic tool to influence post
transcriptional regulation of multiple genes in a single therapy. In this review
we introduce the biogenesis, mechanism of action and future aspects of miRNAs.
Research on the post-transcriptional regulation of gene expression by miRNA may
reshape our understanding of diseases and consequently may bring new diagnostic
markers and therapeutic agents. Therapeutic use of miRNAs is already under
clinical investigation in RNA interference trials.
PMID- 21893464
TI - Non-ST elevation myocardial infarction: a new pathophysiological concept could
solve the contradiction between accepted cause and clinical observations.
AB - Non-ST elevation myocardial infarction (NSTEMI) and ST elevation infarction have
many differences in their appearance and prognosis. A comprehensive search made
us form a new hypothesis that a further cause also existsin NSTEMI: an acute,
critical increase in the already existing high microvascular resistance in
addition to the subtotal coronary artery occlusion. Various findings and studies
can be interpreted only by our hypothesis: hemodynamic findings, ECG changes,
autopsy reports and clinical observations (different long-time prognosis and
different result of acute revascularization therapy in NSTEMI, similarities of
NSTEMI with other clinical symptoms where increased microvascular resistance can
be supposed without coronary artery disease). OBJECTIVE: Despite similarities in
the underlying pathologic mechanism non-ST elevation myocardial
infarction(NSTEMI) and ST elevation infarction (STEMI) have many differences in
their clinical presentation and prognosis. METHOD: A systematic review of the
literature about NSTEMI and the blood supply of the myocardium made us form a
hypothesis that a further cause also exists in addition to the accepted cause of
NSTEMI (subtotal coronaryartery occlusion): an acute, critical increase in an
already existing high intramyocardial microvascular resistance. EVIDENCE:
Knowledge about microcirculation disturbances in ischemic heart disease and
development of microcirculation damage can be fitted in our hypothesis. Various
findings and studies can be interpreted only by our hypothesis: hemodynamic
findings, ECG changes, autopsy reports and clinical observations about NSTEMI.
The latest ones involve the different long-time prognosis and different result of
acute revascularization therapy in STEMI and NSTEMI. Regarding the repolarization
changes on the ECG NSTEMI shows similarities with other clinical symptoms where
increased intramyocardial microvascular resistance can be supposed without
coronary artery disease: false positive exercise stress test, supraventricular
tachycardia, left ventricular strain and conduction disturbances. CONCLUSION: The
acute treatment of NSTEMI should aim to improve the blood inflow to the stiff
myocardiumand/or impaired microvascular system and decrease the high
microvascular resistance.
PMID- 21893465
TI - Comparison and recalculation of the very different QTc interval durations in
young healthy women.
AB - The aim was to use some simple way for easier comparison, other expression or
recalculation of the QTc duration from one formula to another in order to remove
the large QTc differences. METHODS AND RESULTS: The QT interval duration in 138
young healthy women was taken from our archive. The measured QT interval was
corrected for heart rate (QTc) according to nine published regression equations
(Bazett, Fridericia, Hodges, Sarma, Lecocq, Rautaharju, Sagie=Framingham,
Arrowood, Malik) and compared mathematically by the Pearson correlation
coefficient R and graphically by linear correlation.Correlation between two
different QTc durations can be of three kinds: small (e.g. Bazett's versus
Malik's formulas, R=0.0525, p=0.5405, the QTc values are much dispersed in the
graph), larger (Malik's versus Sarma's formulas, R=0.939, p<0.01, the values are
less dispersed), and identical (the Rautaharju's versus Arrowood's formulas,
R=1.000, p<0.01, all QTc points are situated on one line). The linear correlation
QTc1 = a+b.QTc2 enables recalculation from one QTc formula into another, if
necessary.In conclusion, the QTc interval durations are differently long
according to the QTc formula used. The correlations with the large Pearson R
coefficient indicate the QTc pairs inappropriate for recalculation, the small R
values indicate the appropriate pairs for recalculation.
PMID- 21893467
TI - Relationship between the heart rate and E/A ratio in athletic and non-athletic
males.
AB - To clarify whether the higher E/A quotient of male athletes is a favourable
change in the intrinsic relaxation properties of the left ventricle. METHODS:
Peak early (E) and atrial blood flow velocities (A) were assessed by Doppler
echocardiography at rest in 1237 males (939 athletes) in Hungary. Data were
collected between 1993 - 2009. Relationship between E/A and resting heart rate
(HR), was determined by linear regression analysis. RESULTS: The E/A decreased
with age, the rate of decrease was slower in the physically trained subjects,
except in children. In children, adolescent-young and young adult subjects E/A
against HR equations of the athletic and nonathletic groups were similar,
differences between the means were only due to the differences of the HR. In the
31 - 44 yr old males, the intercepts of the athletes' regression lines were
higher. The oldest (>44 yr) active subjects showed a significant regression while
sedentary males did not. CONCLUSIONS: The HR-independent beneficial effect of
regular physical training on the diastolic function manifests itself at the older
ages. The impact of resting HR should always be taken into consideration when
assessing intergroup differences in the E/A ratio, especially when studying the
effect of exercise training upon cardiac function.
PMID- 21893466
TI - The effect of dietary hempseed on atherogenesis and contractile function in
aortae from hypercholesterolemic rabbits.
AB - Hempseed contains a unique combination of both omega-3 and omega-6
polyunsaturated fatty acids. In other studies, supplementation of the diet with
selected polyunsaturated fatty acids has induced significant, beneficial
cardiovascular effects. The purpose of the present study is to determine if
hempseed ingestion over an 8-week period may provide protection to rabbits
against the deleterious effects associated with dietary cholesterol
supplementation. METHODS: Male albino New Zealand White rabbits were randomly
divided into one of six groups: the control diet (RG), the control diet then
supplemented with (wt/wt) 5% coconut oil (CO), or 10% hempseed (HP), or 0.5%
cholesterol (OL), or with both 10% hempseed and 0.5% cholesterol (OLHP) or with
10% hempseed that was partially delipidated (SC). Each day the rabbits were fed
125 grams of the appropriate diet over an 8-week period. Fatty acid analysis of
tissue and diets was determined using gas chromatography. Vascular function
testing of aortic rings was done in order to assess the response of the tissue to
both contraction and relaxation stimuli. Aortic atherosclerotic plaque was
quantified. RESULTS: Cholesterol supplementation to the diet induced significant
aortic plaque development. Dietary hempseed did not generate protection. The
aorta obtained from rabbits fed the cholesterol-supplemented chow also exhibited
defects in their contractile responses to KCl and norepinephrine and in
relaxation to sodium nitroprusside (SNP).The addition of hempseed to this diet
did not generate any improvement in contractile responses but had a modest
protective effect on the cholesterol-induced defects in SNP-induced relaxation.
CONCLUSIONS: Our data demonstrate that dietary hempseed provides mildly
beneficial effects against contractile dysfunction associated with
atherosclerotic vessels in the cholesterol-fed rabbit.
PMID- 21893468
TI - Preserved structural and functional characteristics of common carotid artery in
properly treated normoglycemic women with gestational diabetes mellitus.
AB - Women with gestational diabetes mellitus (GDM) are at high risk of subsequently
developing type 2 diabetes mellitus which is an important cardiovascular risk
factor. We have evaluated whether preclinical morphological and functional
arterial changes are present in GDM. Diameter, intima-media thickness (IMT),
intima-media cross-section area (IMCSA) and elasticity features (compliance,
distensibility coefficient, circumferential strain, stiffness index (SI) alpha
and beta, incremental elastic modulus) of the common carotid arteries (CCA) were
studied in the 3rd trimester in 25 women with GDM, and 17 normal pregnant women
matched for age and body mass index using an ultrasonographic vessel wall
movement tracking system and applanation tonometry. Mean IMT, IMCSA and SI alpha
tended to be larger, whereas compliance was smaller in women with GDM but none of
these differences were significant. Serum glucose (4.99 +/- 0.51 vs. 4.79 +/-
0.61 mmol/L, p=0.37) and HbA1c (5.33 +/- 0.27 vs. 5.36 +/- 0.47 mmol/L, p=0.85)
proved normoglycemia in both groups. In conclusion, by the combination of methods
we applied in this case control study, neither morphological nor functional
characteristics of large elastic arteries differ significantly between well
treated normoglycemic women with GDM and non-diabetic pregnant women in the 3rd
trimester.
PMID- 21893469
TI - Influence of resistance training on cardiorespiratory endurance and muscle power
and strength in young athletes.
AB - The purpose of this study was to investigate the influence of additional
resistance training on cardiorespiratory endurance in young (15.8 +/- 0.8 yrs)
male basketball players. Experimental group subjects (n=23) trained twice per
week for 12 weeks using a variety of general free-weight and machine exercises
designed for strength acquisition, beside ongoing regular basketball training
program. Control group subject (n=23) participated only in basketball training
program. Oxygen uptake (VO(2max)) and related gas exchange measures were
determined continuously during maximal exercise test using an automated
cardiopulmonary exercise system. Muscle power of the extensors and flexors was
measured by a specific computerized tensiometer. Results from the experimental
group (VO(2max) 51.6 +/- 5.7 ml.min(-1).kg(-1) pre vs. 50.9 +/- 5.4 ml.min(
1).kg(-1) post resistance training) showed no change (p>0.05) in
cardiorespiratory endurance, while muscle strength and power of main muscle
groups increased significantly. These data demonstrate no negative
cardiorespiratory performance effects on adding resistance training to ongoing
regular training program in young athletes.
PMID- 21893470
TI - Examining physical activity and inactivity in 9-12 years old children.
AB - Information about children's daily physical activity in Eastern-Europe is
essential because of the increasing prevalence in overweight and obesity. Sixty
three children (age=11.16 +/- 1.10 years; 33 boys and 30 girls) from two public
elementary schools had their physical activity objectively measured using uni
axial accelerometer every 5 seconds for five consecutive days (3 weekdays, 2
weekend days). After data cleaning, 10 children were excluded from database.
There were no significant differences in boys' and girls' BMI (17.6 +/- 2.2 vs.
17.1 +/- 2.4) and BF% (18.0 +/- 5.2 vs. 19.4 +/- 5.0). Children engaged in more
sedentary, light, moderate, and vigorous physical activity and had higher counts
per minute during weekdays compared to weekend days. There were no sex
differences in moderate to vigorous physical activity (87.6 +/- 22.4 vs. 93.5 +/-
20.6 min and 53.6 +/- 21.3 vs. 59.4 +/- 22.0 min) during weekday and weekend
days. Data indicated that 96% of the girls and 92% of the boys met the
international physical activity guideline for children of 60 minutes of MVPA, on
weekdays.
PMID- 21893471
TI - Exercise changes volatiles in exhaled breath assessed by an electronic nose.
AB - Exercise-caused metabolic changes can be followed by monitoring exhaled
volatiles; however it has not been previously reported if a spectrum of exhaled
gases is modified after physical challenge. We have hypothesized that changes in
volatile molecules assessed by an electronic nose may be the reason for the
alkalization of the exhaled breath condensate (EBC) fluid following physical
exercise.Ten healthy young subjects performed a 6-minute running test. Exhaled
breath samples pre-exercise and post-exercise (0 min, 15 min, 30 min and 60 min)
were collected for volatile pattern ("smellprint") determination and pH
measurements (at 5.33 kPa CO2), respectively. Exhaled breath smellprints were
analyzed using principal component analysis and were related to EBC
pH.Smellprints (p=0.04) and EBC pH (p=0.01) were altered during exercise
challenge. Compared to pre-exercise values, smellprints and pH differed at 15
min, 30 min and 60 min following exercise (p<0.05), while no difference was found
at 0 min post-exercise. In addition, a significant correlation was found between
volatile pattern of exhaled breath and EBC pH (p=0.01, r=-0.34).Physical exercise
changes the pattern of exhaled volatiles together with an increase in pH of
breath. Changes in volatiles may be responsible for increase in EBC pH.
PMID- 21893472
TI - Complex effects of imatinib on spontaneous and oxytocin-induced contractions in
human non-pregnant myometrium.
AB - Human myometrium includes two important cell populations involved in its
contractility: smooth muscle fibers and interstitial cells. The pacemaking
mechanism is not yet identified, but it is possible that myometrial smooth muscle
cells contract in response to a signal generated by c-kit positive interstitial
cells. The aim of this study was to investigate the effects of imatinib as a c
kit receptor antagonist on the spontaneous or oxytocin (OT) induced contractions
of human non-pregnant myometrium in vitro. Myometrial strips were obtained from
non-pregnant women (reproductive age) undergoing hysterectomy for benign
indications. The strips were suspended in organ baths for recording of isometric
tension. Imatinib effects were assessed on spontaneous contraction and after
preexposure to OT.Direct exposure of myometrial strips to imatinib inhibits both
amplitude and frequency of contractions (80-320 MUM) in a dose dependent manner.
Amplitude reverted back to 90% of the baseline amplitude by consequent addition
of imatinib (until 480 MUM). Total inhibition of myometrial contraction was
obtained after addition of OT 60 nM. If myometrium was pre-exposed to OT (320
nM), imatinib 80-160 MUm increased amplitude, while decreasing frequency. These
data provide evidence that telocytes may be involved as modulators of the
spontaneous contractions of the non-pregnant human uterus, via a tyrosine-kinase
independent signaling pathway.
PMID- 21893473
TI - Does oxidative stress play a role in altered plasma protein homeostasis in
pregnancy-induced hypertension?
AB - BACKGROUND: Pregnancy-induced hypertension (PIH) is associated with oxidative
stress and low plasma proteins. This study explored the effect of oxidative
stress on plasma protein level in PIH. METHODS: Serum total proteins (TP),
albumin, globulin, malondialdehyde, protein carbonyls (PC) and protein bound
sialic acid (PBSA) were measured in gestational hypertensive, pre-eclamptic,
eclamptic and healthy pregnant women (n=20/group). Serum proteins were separated
by electrophoresis for assessing protein damage. RESULTS: Serum TP and albumin
decreased and malondialdehyde, PC & PBSA increased significantly in all PIH
subgroups as compared to healthy pregnant subjects. Serum globulin levels
decreased only in eclampsia. Malondialdehyde and PC negatively correlated with
albumin level. Protein fragmentation and aggregation in eclampsia were evident
from electrophoretogram. CONCLUSION: We conclude that oxidative damages to
proteins contribute to decreased serum protein levels in PIH and hypersialylation
of plasma proteins is probably body's protective mechanism to combat oxidative
protein damage in PIH.
PMID- 21893474
TI - An enhanced method for accessory pathway localization in case of Wolff-Parkinson
White syndrome.
AB - This paper presents an analysis of the Arruda accessory pathway localization
method for patients suffering from Wolff-Parkinson-White syndrome, with
modifications to increase the overall accuracy. The Arruda method was tested on a
total of 79 cases, and 91.1% localization performance was reached. After a deeper
analysis of each decision point of the Arruda localization method, we considered
that the lead aVF was not as relevant as other leads (I, II, III, V1) used. The
branch of the decision tree, which evaluates the left ventricle positions, was
entirely replaced using different decision criteria based on the same biological
parameters. The modified algorithm significantly improves the localization
accuracy in the left ventricle, reaching 94.9%. An accurate localization
performance of non-invasive methods is relevant because it can enlighten the
necessary invasive interventions, and it also reduces the discomfort caused to
the patient.
PMID- 21893475
TI - Fasting increases palmitic acid incorporation into rat hind-limb intramuscular
acylglycerols while short-term cold exposure has no effect.
AB - The aim of the study was to investigate the palmitic acid incorporation into
intramuscular acylglycerols in perfused hind-limb skeletal muscles of different
fibre types in rats either fasted for 48 h or exposed to cold (6 degrees C) for
12 h. Hind-limb preparations of fasted and cold exposed rats were perfused with
buffers containing tritium labelled and cold palmitic acid. Palmitic acid
incorporation into intracellular lipid pools in the soleus, plantaris, red and
white gastrocnemius and red and white quadriceps was measured. It was found that
fasting increased approximately 2-fold palmitic acid incorporation in all muscles
examined regardless of the fibre type composition of the muscle. On the other
hand, exposure to cold had no effect on the palmitic acid incorporation into
intramuscular acylglycerols regardless the muscle fibre type. The increased
incorporation of palmitic acid into acylglycerols in fasted animals is in line
with data showing that 48 h fasting stimulates the expression of plasma membrane
proteins putatively facilitating fatty acid uptake. It appears that although 12 h
cold exposure increases the use of fatty acids as energy substrates it does not
alter the incorporation of palmitic acid into intramuscular acylglycerols in the
perfused rat hind-limb.
PMID- 21893477
TI - [Dipeptidyl-peptidase-4 inhibitors (gliptins): a new class of oral antidiabetic
drugs].
AB - Nearly 90% of the diabetic patients are suffering of type 2 diabetes while
approximately 60-65% of patients with type 2 diabetes are treated with oral
antidiabetic drugs. In the last couple of years a new treatment option, namely
incretin-based therapy, became available. The dipeptidyl-peptidase-4-inhibitors
(gliptins) are designated as incretin enhancers. Using gliptins, sustained
glycemic control can be achieved without gaining weight and increasing the risk
of hypoglycemia. All gliptins (sitagliptin, vildagliptin, saxagliptin,
linagliptin) can be used as tablets without a need for dose titration. For
treating patients with type 2 diabetes, gliptins can primarily be used in
combination with metformin.
PMID- 21893478
TI - [Role of gastrointestinal inflammations in the development and treatment of
depression].
AB - Recent studies have revealed that inflammation, among other factors, may be
involved in the pathogenesis of depression. One line of studies has shown that
depression is frequently associated with manifest gastrointestinal inflammations
and autoimmune diseases as well as with cardiovascular diseases,
neurodegenerative diseases, type 2-diabetes and also cancer, in which chronic low
grade inflammation is a significant contributing factor. Thus depression may be a
neuropsychiatric manifestation of a chronic inflammatory syndrome. Another line
of studies has shown that the primary cause of inflammation may be the
dysfunction of the "gut-brain axis". Although, this is a bidirectional mechanism,
life style factors may primarily affect the symbiosis between host mucous
membrane and the microbiota. Local inflammation through the release of cytokines,
neuropeptides and eicosanoids may also influence the function of the brain and of
other organs. Role of metabolic burst due to inflammation represents a new aspect
in both pathophysiology and treatment of the depression. Finally, an increasing
number of clinical studies have shown that treating gastrointestinal
inflammations with probiotics, vitamin B, D and omega 3 fatty acids, through
attenuating proinflammatory stimuli to brain, may also improve depression
symptoms and quality of life. All these findings justify an assumption that
treating gastrointestinal inflammations may improve the efficacy of the currently
used treatment modalities of depression and related diseases. However, further
studies are certainly needed to confirm these findings.
PMID- 21893479
TI - [Changes in the incidence of multiresistant pathogens and its consequences in the
intensive care unit].
AB - Incidence of nosocomial infections and antibiotics resistance in intensive care
units is increasing worldwide. Blood-stream infections of Gram-negative non
fermentive bacteria are associated with higher mortality. AIM AND METHODS: The
aim of this study was to compare the antibiotic sensitivity of nosocomial blood
stream infections between years 2008-2010. RESULTS: There was no difference in
the sensitivity of methycillin-resistant Staphylococcus aureus and extended
spectrum beta lactamase producing Klebsiella spp. and Escherichia coli infections
between the two years examined. Antibiotic resistance of Acinetobacter baumannii
and Pseudomonas infections showed a marked increase in 2010 when compared to that
found in 2008: there was no multiresistant Acinetobacter infection in samples
obtained in 2008, but all these infections were found to be sensitive only to
colistin in samples investigated in 2010. Sensitivity of Pseudomonas infections
to carbapenems and piperacillin/tazobactam decreased significantly during this
time. In addition, the authors found that the mortality of multiresistant Gram
negative blood-stream infections was higher compared to that caused by non
multiresistant bacteria. CONCLUSIONS: These results emphasize the importance of
infection control, adequate dosing and timing of antibiotics, and an appropriate
number of nurses in intensive care unit.
PMID- 21893480
TI - [Polarized light as an epigenetic factor in inhibition of inflammation; a genome
wide expression analysis in recurrent respiratory diseases of children].
AB - Whole-body polarized light therapy has been primarily investigated in various
clinical observations and in a few in vitro model systems. AIMS: In the present
study, clinical and molecular effects of whole-body polarized light treatment on
children suffering from recurrent respiratory infection were studied. METHODS:
Incidence and duration of respiratory symptoms as well as the length of
appropriate antibiotic therapy have been measured. Simultaneously, genome-wide
gene expression pattern was examined by whole genome cDNA microarray in
peripheral lymphocytes of children. RESULTS: Twenty of twenty five children
showed a marked clinical improvement, while in five of twenty five had poor or no
changes. Gene expression pattern of the peripheral lymphocytes of the patients
was compared in favorable and poor responders. Lymphocytes of the children with a
documented improved clinical response to polarized light therapy showed a
decrease in the expression of chemokine genes, such as CXCL1, CXCL2, IL-8 and in
that of the tumor necrosis alpha (TNFalpha) gene. On the contrary, a rapid
elevation was found in the expression of gene encoding for CYP4F2, a leukotriene
B(4)-metabolizing enzyme. In children with poor clinical response to polarized
light therapy, no similar changes were detected in the gene expression pattern of
the lymphocytes. CONCLUSIONS: Improved clinical symptoms and modified gene
expression profile of lymphocytes reveals anti-inflammatory effect upon whole
body polarized light irradiation.
PMID- 21893481
TI - [Diaphragmatic hernia or hiatus hernia: a diagnostic problem in 5-month-old
infant].
AB - Authors present a case of a 5-month-old infant, in whom following an uneventful
perinatal adaptation, symptoms of recurrent respiratory infections, vomiting and
growth failure developed. Based on chest X-ray, right-sided diaphragmatic hernia
was suspected. However, barium swallow examination delineated the stomach above
the right diaphragm. The case report draws attention to the differential
diagnostic difficulties between congenital diaphragmatic and hiatal hernia.
PMID- 21893483
TI - Management of the greater trochanteric pain syndrome: a systematic review.
AB - INTRODUCTION: Greater trochanteric pain syndrome (GTPS) is a debilitating
condition characterized by lateral hip pain located at or around the greater
trochanter. SOURCE OF DATA: We performed a comprehensive search of Pubmed,
Medline, Ovid, Google Scholar and Embase databases, from inception of the
database to 20th of June 2011, using a variety of keywords. We identified 52
relevant abstracts of articles published in peer-reviewed journals. Fourteen
studies reporting the outcomes of patients undergoing conservative and surgical
management of GTPS were selected. AREAS OF AGREEMENT: Significant pain relief and
improved outcomes were observed after conservative and surgical management of
GTPS. The modified Coleman methodology score averaged 44.7 (range from 14 to 82),
evidencing an overall low-to-moderate quality of the studies. Repetitive low
energy radial shock wave therapy and home training approach provide beneficial
effect over months, with almost 80% success rate at 15 months. AREAS OF
CONTROVERSY: Poor available data extracted from small studies do not allow
definitive conclusions to be drawn on the best treatment for GTPS. GROWING
POINTS: Further multi-centre prospective studies are necessary to confirm the
general validity of the findings reported. AREAS TIMELY FOR DEVELOPING RESEARCH:
Future research and trials should focus on the application and effectiveness of
the various conservative modalities for management of GTPS. CONCLUSION: The
effectiveness of the various treatment modalities needs to be tested in carefully
conducted randomized controlled trials.
PMID- 21893484
TI - Soothing suffering swimmers: a systematic review of the epidemiology, diagnosis,
treatment and rehabilitation of musculoskeletal injuries in competitive swimmers.
AB - BACKGROUND: This review details the examination, diagnosis, treatment and
management of injuries encountered by competitive swimmers. Primarily, these
involve the shoulder, however, the spine, knee and hip can be involved. Using the
Coleman methodology score, we show that the methods used in obtaining and
reporting clinical findings and intervention results could be improved. Where
possible, we suggest improvements. SOURCES OF DATA: A literature review was
conducted in English, Italian, French and German using PubMed, Google Scholar and
Ovid search engines with strict inclusion/exclusion criteria. AREAS OF AGREEMENT:
Poor technique, and high training intensity and distance are the most common
cause of missed swim practice and competition through injury. AREAS OF
CONTROVERSY: Few articles agree on a single method of each of clinical
examination, diagnosis, treatment and rehabilitation. GROWING POINTS: Articles
are consistently retrospective with few investigating spine, hip and knee
injuries. AREAS TIMELY FOR DEVELOPING RESEARCH: Clinical findings and
rehabilitation methods should be reported using a more structured method possibly
based on the Coleman methodological scoring system.
PMID- 21893485
TI - Intracardiac emboli as first presentation of cardiac AL amyloidosis.
PMID- 21893486
TI - Current concepts on coronary revascularization in diabetic patients.
AB - Diabetic mellitus (DM) patients with coronary artery disease (CAD) are at higher
risk of cardiovascular events compared with non-DM individuals. While aggressive
cardiovascular prevention and adequate blood glucose control remain cornerstones
of therapy, the decision when and how to proceed to coronary revascularization in
an individual DM patient should be based on the extent of CAD, ischaemic burden,
ventricular function, as well as comorbidities. While in patients with stable
symptoms, moderate CAD on coronary angiography and preserved left ventricular
function a conservative strategy may be a valuable initial strategy, in patients
with acute coronary syndromes (ACS) an early invasive approach should be
favoured. The revascularization strategy for DM patients with complex multivessel
CAD should be discussed within a heart team consisting of cardiologists, cardiac
surgeons, and anaesthesiologists. In general, the threshold for coronary artery
bypass surgery (CABG) should be lower for DM than for non-DM individuals. In
patients undergoing percutaneous coronary intervention, the use of drug-eluting
stents (DES) and--in the setting of ACS--of potent platelet inhibitors, such as
prasugrel or ticagrelor, should be favoured. In the near future, multiple
strategies may further favourably impact the prognosis of DM patients undergoing
coronary revascularization. These include alternative antiplatelet agents such as
thromboxane receptor inhibitors, the broad use of second generation DES, and
possibly the implantation of bioresorbable stents. Coronary artery bypass surgery
outcomes may also further improve by wide implementation of arterial
revascularization, reduction in perioperative stroke by avoiding clamping of the
aorta, reduction in wound infection by minimally invasive techniques, and
optimization of post-operative medical management.
PMID- 21893487
TI - Rates of downstream invasive coronary angiography and revascularization: computed
tomographic coronary angiography vs. Tc-99m single photon emission computed
tomography.
AB - AIMS: Computed tomographic coronary angiography (CTA) appears to be a useful
modality for the detection of obstructive coronary artery disease (CAD). Recent
data suggest that CTA may reduce the frequency of normal invasive coronary
angiograms. However, there remains concern that the implementation of CTA could
increase referrals to invasive coronary angiography (ICA). To further support the
clinical acceptance of CTA, it is important to compare CTA to another accepted
modality such as single photon emission computed tomography (SPECT). We followed
a cohort of 64-slice CTA patients and a matched cohort of Tc-99m SPECT patients
to determine downstream referrals for ICA and revascularization. METHODS AND
RESULTS: Consecutive CTA patients (without history of revascularization or
cardiac transplantation) were prospectively enrolled and compared with a Tc-99m
SPECT cohort (matched for age, gender, and Morise score). Each CTA and SPECT was
evaluated for obstructive CAD and patients were followed for downstream ICA and
revascularization. Of the 1221 patients in each cohort, 129 (10.6%) CTA patients
and 125 (10.2%) SPECT patients were referred to ICA. Of those referred to ICA,
obstructive CAD was confirmed in 105 (81.4%) CTA patients and in 88 (70.4%) SPECT
patients. Differences in false positive rates were significantly lower in the CTA
than the SPECT cohort (9.7 and 25.8%, respectively, P = 0.009). Rates of
revascularization were similar in the CTA and SPECT cohorts (6.2 vs. 5.9%,
respectively). CONCLUSION: Compared with SPECT, CTA had similar referrals for ICA
and revascularization rates but lower false positive rates. Computed tomographic
coronary angiography appears to be a viable non-invasive diagnostic modality and
does not appear to negatively impact upon ICA resources.
PMID- 21893488
TI - Normal chest radiograph and ground glass opacities on a thoracic computed
tomographic scan in a patient with diffuse alveolar haemorrhage due to dual
antiplatelet therapy prescribed after patent foramen ovale percutaneous device
closure.
PMID- 21893489
TI - Acute pulmonary vasodilator response in paediatric and adult pulmonary arterial
hypertension: occurrence and prognostic value when comparing three response
criteria.
AB - AIMS: To assess the occurrence and prognostic value of acute vasodilator response
(AVR) in paediatric vs. adult pulmonary arterial hypertension, and
idiopathic/hereditary pulmonary arterial hypertension (iPAH/HPAH) vs. pulmonary
arterial hypertension associated with congenital heart disease (PAH-CHD) using
three different response criteria. METHODS AND RESULTS: Ninety-nine PAH patients
underwent AVR testing (37 children, 62 adults; 70 iPAH/HPAH, 29 PAH-CHD). Three
response criteria from clinical practice were used to define AVR. The number of
responders was evaluated separately in subgroups based on age, diagnosis, and
presence of a non-restrictive post-tricuspid shunt. Numbers of responders varied
importantly using the different criteria but were always higher in iPAH/HPAH,
compared with PAH-CHD. The number of responders did not differ between paediatric
and adult iPAH/HPAH. No responders were identified in patients with a post
tricuspid shunt. Acute vasodilator response was associated with improved survival
using all three criteria. Low baseline mean right atrial pressure (mRAP) was
associated with improved survival in adults (P< 0.001). High baseline mean
pulmonary arterial pressure (mPAP)/mean systemic arterial pressure (mSAP) and
pulmonary vascular resistance (PVR)/systemic vascular resistance (SVR) were
associated with worse survival, statistically independent from age, diagnosis,
and the presence of a post-tricuspid shunt. CONCLUSION: The proportion of
patients with AVR highly depends on the used criteria, but did not differ between
paediatric and adult iPAH/HPAH. Current response criteria are not suitable for
patients with a post-tricuspid shunt. In both children and adults without post
tricuspid shunts, AVR was associated with improved survival independent of the
used criteria. Nevertheless, prognostic value in the individual patient was
limited. Baseline mRAP showed a good correlation with survival for adult PAH
patients, but not for children. High baseline mPAP/mSAP and PVR/SVR was
associated with worse prognosis independent from age, diagnosis, or the presence
of a post-tricuspid shunt.
PMID- 21893490
TI - Association of cerebral blood flow with the development of cardiac death or
urgent heart transplantation in patients with systolic heart failure.
AB - AIMS: Although cerebral blood flow (CBF) is known to be low in patients with
advanced systolic heart failure (HF), little is known of the prognostic
significance of this observation. We investigated whether CBF might be associated
with the development of adverse outcomes in systolic HF, and whether it might
provide prognostic information in addition to that provided by exercise tests.
METHODS AND RESULTS: We performed a prospective observational study involving 224
systolic HF patients (left ventricular ejection fraction <=35%). The study
endpoint was the occurrence of cardiac death or urgent heart transplantation.
Global CBF was measured using radionuclide angiography. Clinical, biochemical,
echocardiographic, and exercise data were also obtained. During follow-up (median
36 months), 52 patients experienced death or urgent transplantation.
Multivariable analysis showed that global CBF, the minute ventilation/carbon
dioxide production (VE/VCO(2)) slope, New York Heart Association functional class
>=III, symptom duration >=12 months, serum sodium, and serum creatinine were
associated with the development of the endpoint. Patients with a CBF <35.4
mL/min/100 g were at increased risk of death or urgent transplantation (hazard
ratio = 2.47; 95% confidence interval, 1.35-4.52). The addition of global CBF to
a prognostic model including the VE/VCO(2) slope increased the C-index for the
prediction of adverse outcomes with borderline significance. CONCLUSION: Cerebral
blood flow was associated with the development of long-term outcomes in systolic
HF, and therefore may be useful in identifying patients suitable for heart
transplantation. This finding is especially relevant for patients in whom
exercise tests may not be performed sufficiently.
PMID- 21893492
TI - Maternal mortality and Millennium Development Goal 5.
AB - INTRODUCTION: The maternal mortality ratio (MMR) is a key indicator for
measurement of progress against Millennium Development Goal 5 (MDG 5). For many
countries, especially those with a presumed high number of maternal deaths, only
estimates are available. SOURCES OF DATA: Recent global estimates and the reasons
for high maternal mortality are reviewed. AREAS OF AGREEMENT: There is
international consensus that efforts to reduce maternal mortality globally need
to be intensified. AREAS OF CONTROVERSY: Many countries lack accurate data on
number of deaths in women of reproductive age and number of births. Therefore,
statistical modelling has been used to calculate estimates, which generally have
wide confidence intervals and may be disputed by individual countries. GROWING
POINTS: There is renewed focus on MMR as 2015 approaches. AREAS TIMELY FOR
DEVELOPING RESEARCH: There is a need to adapt and implement methods for measuring
maternal mortality to generate more accurate estimates. More data on cause of
death are needed.
PMID- 21893493
TI - The epidemiology of thyroid disease.
AB - INTRODUCTION: Thyroid disorders are prevalent and their manifestations are
determined by the dietary iodine availability. SOURCES OF DATA: Data from
screening large population samples from USA and Europe. AREAS OF AGREEMENT: The
most common cause of thyroid disorders worldwide is iodine deficiency, leading to
goitre formation and hypothyroidism. In iodine-replete areas, most persons with
thyroid disorders have autoimmune disease. AREAS OF CONTROVERSY: Definition of
thyroid disorders, selection criteria used, influence of age and sex,
environmental factors and the different techniques used for assessment of thyroid
function. GROWING POINTS: Increasing incidence of well-differentiated thyroid
cancer. Environmental iodine influences the epidemiology of non-malignant thyroid
disease. AREAS TIMELY FOR DEVELOPING RESEARCH: Iodine supplementation of
populations with mild-to-moderate iodine deficiency. An evidence-based strategy
for the risk stratification, treatment and follow-up of benign nodular thyroid
disease. Is there any benefit in screening adults for thyroid dysfunction?
PMID- 21893494
TI - Green tea gets molecular.
AB - Green tea and its major polyphenolic flavonoid, epigallocatechin gallate (EGCG),
have been credited with cancer chemopreventive activity for many years; the
mechanism for this activity, however, has remained obscure. Now, as reported in
this issue of the journal (beginning on page 1366), Urusova and colleagues showed
direct binding of EGCG to the peptidyl prolyl cis/trans isomerase Pin1, which
inhibited Pin1 enzymatic activity. They showed that Pin1 expression is required
for EGCG effects on cell growth, c-Jun activation, and transcription regulation
mediated by NF-kappaB and activator protein-1. The data provide a glimpse of the
mechanism of action of EGCG and set a new bar for the future study of natural
products with chemopreventive activity.
PMID- 21893495
TI - HPV-associated Oropharyngeal Cancers--Are They Preventable?
AB - It is not known whether a human papillomavirus (HPV)-induced oropharyngeal
precancerous lesion could be identified by screening with a pap test equivalent
or whether one even exists. In this issue of the journal (beginning on page
1378), Fakhry and colleagues report their results showing that cytologic
evaluation of the oropharynx, although useful in detecting invasive oropharyngeal
cancers, may have limited utility as a screening modality for detecting
precancer. These findings argue against the potential for secondary prevention of
HPV-associated oropharyngeal cancers through screening for and preventing the
progression of precancer and highlight the opportunity for primary prevention
through prophylactic HPV vaccination, if proven efficacious and cost-effective.
PMID- 21893496
TI - Brush-based cytology screening in the tonsils and cervix: there is a difference!
AB - This perspective on the report by Fakhry and colleagues in this issue of the
journal (beginning on page 1378) examines the diagnostic accuracy of a "Pap-test
equivalent" for screening for human papillomavirus (HPV)-associated cancers in
the tonsils. HPV infection is strongly associated with cancer development in the
oropharynx (tonsils and base of tongue) and cervix; the data discussed here
underscore the differences in screening for cervical versus oropharyngeal
malignancies and discuss some of the challenges and limitations associated with
screening for tonsillar premalignancy and early cancers.
PMID- 21893497
TI - Hunting for the causes of meningioma--obesity is a suspect.
AB - In this issue of the journal, Michaud and colleagues report a 48% increased risk
of meningioma in obese individuals compared with individuals with a normal body
mass index (BMI). This large prospective cohort study adds weight to the
suggested link between BMI and meningioma, thus contributing to the growing
number of cancer sites likely associated with body fatness. Although the exact
mechanisms underlying the BMI-meningioma link are unclear, possible mediators
include hormonal factors, immunologic response, and levels of insulin or insulin
like growth factors, each of which has been implicated by various levels of
evidence in meningioma risk. Understanding the relationships between body
fatness, height, and hormonal and immunologic factors could provide important
clues to the etiology of meningioma and may have implications for the early
detection and prevention of these tumors.
PMID- 21893498
TI - Personalizing CA125 levels for ovarian cancer screening.
AB - Screening trials for the early detection of ovarian cancer in the general
population and in patients at a high risk for this disease have so far failed to
show a reduction of ovarian cancer-specific mortality. Current screening
modalities include pelvic examinations, transvaginal ultrasounds, and cancer
antigen 125 (CA125) serum marker levels, which are associated with a high false
positive rate. The last decade has witnessed significant modifications in the
interpretation of serum CA125 that extend beyond a static CA125 cutoff point. The
Risk of Ovarian Cancer Algorithm (ROCA) incorporates changes of CA125 levels over
time and an individual's age-specific risk. Ongoing screening trials have
incorporated ROCA, but it is still unclear whether the algorithm will increase
the sensitivity and specificity of early ovarian cancer diagnosis. A very recent
study analyzed baseline CA125 serum marker levels from high-risk patients
included in ovarian cancer screening trials conducted by the Cancer Genetics
Network and the Gynecologic Oncology Group. The findings show that the
distribution of CA125 serum marker levels in this population is significantly
affected by various demographic and clinical factors, in particular menopausal
status and oral contraceptive use in premenopausal patients. The data suggest
that CA125 cutoff points might have to be stratified for subgroups of patients to
reduce false-positive results. These intriguing observations will need to be
validated in future screening trials for ovarian cancer.
PMID- 21893499
TI - Predicting adherence to tamoxifen for breast cancer adjuvant therapy and
prevention.
AB - Treatment with the selective estrogen receptor modulator (SERM) tamoxifen for 5
years has produced dramatic breast cancer-related benefits in (a) the adjuvant
setting, with 30% to 50% reductions in recurrence, contralateral disease, and
mortality and (b) the prevention setting of healthy high-risk women, where
tamoxifen reduces the risk of invasive and noninvasive breast cancer by 50%.
Despite these striking data, adherence to tamoxifen is low, and low adherence is
associated with poor survival. Although toxicity is a major predictor of poor
adherence after starting therapy, pretreatment (baseline) predictors of poor
tamoxifen adherence have been minimally studied. The adherence-survival link
underscores the critical need to identify early predictors of poor adherence, and
recent work is beginning to address this need. A major baseline predictor of poor
adherence to prevention is current smoking, which is interestingly absent from
studies of adherence to adjuvant therapy. Other important prevention adherence
factors include breast cancer risk, extremes of age, non-white ethnicity, low
socioeconomic status, and alcohol use. The strongest adjuvant therapy predictors
are age (especially very young), ethnicity, and socioeconomic status. Future
studies involving prospective systematic evaluation of these and other potential
predictors in endocrine chemoprevention (e.g., other SERMs and aromatase
inhibitors) are critical, as is the development of effective/targeted
interventions to improve adherence and thus treatment outcomes in at-risk women.
PMID- 21893500
TI - Large prospective study of ovarian cancer screening in high-risk women: CA125 cut
point defined by menopausal status.
AB - Previous screening trials for early detection of ovarian cancer in postmenopausal
women have used the standard CA125 cut-point of 35 U/mL, the 98th percentile in
this population yielding a 2% false positive rate, whereas the same cut-point in
trials of premenopausal women results in substantially higher false positive
rates. We investigated demographic and clinical factors predicting CA125
distributions, including 98th percentiles, in a large population of high-risk
women participating in two ovarian cancer screening studies with common
eligibility criteria and screening protocols. Baseline CA125 values and clinical
and demographic data from 3,692 women participating in screening studies
conducted by the National Cancer Institute-sponsored Cancer Genetics Network and
Gynecologic Oncology Group were combined for this preplanned analysis. Because of
the large effect of menopausal status on CA125 levels, statistical analyses were
conducted separately in pre- and postmenopausal subjects to determine the impact
of other baseline factors on predicted CA125 cut-points on the basis of 98th
percentile. The primary clinical factor affecting CA125 cut-points was menopausal
status, with premenopausal women having a significantly higher cut-point of 50
U/mL, while in postmenopausal subjects the standard cut-point of 35 U/mL was
recapitulated. In premenopausal women, current oral contraceptive (OC) users had
a cut-point of 40 U/mL. To achieve a 2% false positive rate in ovarian cancer
screening trials and in high-risk women choosing to be screened, the cut-point
for initial CA125 testing should be personalized primarily for menopausal status
(50 for premenopausal women, 40 for premenopausal on OC, and 35 for
postmenopausal women).
PMID- 21893501
TI - Silent acute gastric dilatation due to Salmonella infection in a diabetic
elderly.
AB - Acute gastric dilatation is a potentially life-threatening entity that has been
reported in patients with some acute infections like pneumonia and staphylococcal
bacteremia. We describe a case of acute gastric dilatation presenting atypically
in a 65-year-old diabetic with Salmonella diarrhoea. By the fourth day of
hospital admission the patient's abdomen was distended in the absence of pain,
nausea or vomiting. An abdominal radiograph showed marked gastric dilatation with
no evidence of obstruction or ileus. With nasogastric tube insertion and
initiation of intravenous antibiotics, the stomach was back to normal size. It is
likely that Salmonella infection was the major cause of acute gastric dilatation
in this patient.
PMID- 21893502
TI - Measures of everyday competence in older adults with cognitive impairment: a
systematic review.
AB - BACKGROUND: The issue of safety of the cognitively impaired elderly people living
alone has been continuously raised. Traditional psychometric measures of
cognitive abilities may not adequately reflect older adults' functioning in a
real everyday context. OBJECTIVES: To conduct a systematic review on instruments
available for evaluating the everyday problem-solving or everyday competence of
the elderly with cognitive impairment and to critically review the measurement
properties of the identified instruments. METHODS: We searched the databases such
as Cinahl, Medline, PsycINFO, AARP Ageline, ProQuest and the Cochrane Library for
the time period between January 1995 and December 2010. Reference lists of the
included papers were also manually searched. RESULTS: Five instruments were
included. All the instruments focused their framework on Instrumental Activities
of Daily Living (IADL) domains which meet well with suggestions from other
studies on the importance of IADL in determining an elderly individual's
capability to live independently in the community. No available instruments for
the moderate to severe impairment group were identified under this review.
CONCLUSIONS: Few existing instruments to assess the ability of everyday problem
solving of the elderly with cognitive impairment can be identified in the
literature. Further research validating them against functional, real-world
outcomes is needed.
PMID- 21893503
TI - Are characteristics of the school district associated with active transportation
to school in Danish adolescents?
AB - BACKGROUND: This study sought to determine the influence of individual factors on
active transportation to school among Danish seventh graders and whether school
district factors are associated with such behaviour independently of individual
factors. METHODS: Mixed effects logistic regression models determined the effects
of individual (gender, family affluence, enjoyment of school and academic
performance) and school district factors (educational level, household savings,
land use and size) on active transportation to school (by foot, bicycle or other
active means) among 10 380 pupils aged 13-15 years nested in 407 school
districts. RESULTS: Of all students, 64.4% used active transportation to school
daily. Boys, those with perceived higher school performance and those with lower
family affluence were more likely to use active transportation to school. After
adjustment for all individual factors listed above, high household savings at the
school district level was associated with higher odds of active transportation to
school. As factors of land use, low level of farming land use and high proportion
of single houses were associated with active transportation to school.
CONCLUSIONS: Policies aiming at reducing social inequalities at the school
district level may enhance active transportation to school. School districts with
farming land use face barriers for active transportation to school, requiring
special policy attention.
PMID- 21893504
TI - Predicting the future prevalence of cigarette smoking in Italy over the next
three decades.
AB - BACKGROUND: Smoking prevalence in Italy decreased by 37% from 1980 to now. This
is due to changes in smoking initiation and cessation rates and is in part
attributable to the development of tobacco control policies. This work aims to
estimate the age- and sex-specific smoking initiation and cessation probabilities
for different time periods and to predict the future smoking prevalence in Italy,
assuming different scenarios. METHODS: A dynamic model describing the evolution
of current, former and never smokers was developed. Cessation and relapse rates
were estimated by fitting the model with smoking prevalence in Italy, 1986-2009.
The estimated parameters were used to predict prevalence, according to scenarios:
(1) 2000-09 initiation/cessation; (2) half initiation; (3) double cessation; (4)
Scenarios 2+3; (5) triple cessation; and (6) Scenarios 2+5. RESULTS: Maintaining
the 2000-09 initiation/cessation, the 10% goal will not be achieved within next
three decades: prevalence will stabilize at 12.1% for women and 20.3% for men.
The goal could be rapidly achieved for women by halving initiation and tripling
cessation (9.9%, 2016), or tripling cessation only (10.4%, 2017); for men halving
initiation and tripling cessation (10.8%, 2024), or doubling cessation and
halving initiation (10.5%, 2033), or tripling cessation only (10.8%, 2033).
CONCLUSION: The 10% goal will be achieved within the next few decades, mainly by
increasing smoking cessation. Policies to reach this goal would include
increasing cigarette taxes, introducing total reimbursement of smoking cessation
treatment, with a further development of quitlines and smoking cessation
services. These measures are not yet fully implemented in Italy.
PMID- 21893505
TI - Perceived discrimination outside health care settings and health care utilization
of Turkish and Moroccan GP patients in the Netherlands.
AB - BACKGROUND: Problematic interethnic relationships, expressed by feelings of
discrimination, may contribute to ethnic variations in health and health care
utilization. The impact of daily perceived discrimination on (mental) health has
been shown. Less is known about the effect of everyday discrimination on the
health care utilization. We examined the relationship between perceived
discrimination of Turkish and Moroccan patients on GP health care utilization in
the Netherlands and on health services use in the home country. METHODS: Cohort
study within the second Dutch National Survey of General Practice (2001).
Interviews were conducted with 416 Turkish and 381 Moroccan respondents, and
repeated in 2005 among respectively 118 and 102 participants. Linear, logistic
and zero-inflated binomial regression models were used for the analyses. RESULTS:
Perceived discrimination was associated with non-attendance to the GP. Perceived
quality of GP care was not a mediator in this relationship. No evidence was found
for substitution of health care utilization in the home country to health care in
the host country. GP attenders had higher odds of using health care in the home
country than non-attenders. Over time, a lasting discrimination feeling was
related to persistent non-attendance at the GP practice. CONCLUSION: Ethnic
minority patients who feel discriminated may avoid GP health care. Further
research is warranted on magnitude and health effects of such potential
underutilization. Information on perceived discrimination within health care
settings would increase insight into the profile of non-attenders, and on
possible measures to better target interventions at a group at risk of
underutilization.
PMID- 21893506
TI - Good friends, high income or resilience? What matters most for elderly patients?
AB - BACKGROUND: Chronically ill patients need to adapt to their impaired life
condition. Social (e.g. social support), material (e.g. income) and personal
(e.g. mastery) resources are needed to cope with this challenge. It is, however,
less clear whether these factors also contribute to 'relatively successful
functioning' and whether these effects are disease specific or generic across
chronic diseases. METHODS: Baseline data from 361 Dutch men and women aged>=60
years who were mildly depressed and diagnosed with type 2 diabetes or chronic
obstructive pulmonary disease (COPD) were used. These persons participated in the
'Depression in Elderly with Long-Term Afflictions' (DELTA) study. Logistic
regression analyses were used to study the independent association of social
support, income and mastery (independent variables) with physical, mental and
social functioning (dependent variables). RESULTS: A high level of mastery is
significantly associated with physical, mental and social functioning in the
total study population, as well as in subgroups of patients with COPD or
diabetes. This relationship remained significant after controlling for
confounding factors such as gender, age, educational level and the other
remaining resources. In diabetes patients, high levels of social support and
income also contributed significantly to successful social functioning.
CONCLUSION: Our findings suggest that rather than having good friends and a high
income, having a high level of mastery (resilience) might best help chronically
ill patients in coping with and adapting to their often co-morbid condition.
Further longitudinal research is necessary to unravel the long-term effects of
mastery, income and social support on 'relatively successful functioning' in
chronically ill patients.
PMID- 21893507
TI - Parental education as a predictor of offspring behavioural and physiological
cardiovascular disease risk factors.
AB - BACKGROUND: Childhood socio-economic disadvantage has been shown to be associated
with an elevated rate of cardiovascular disease (CVD) events in adulthood. The
objective of this study is to examine associations between mothers' and fathers'
education and offspring CVD risk factors. METHODS: The Oslo Youth Study (n = 498)
was initiated in 1979. Children (age 11-15 years) attending six schools and their
parents were included. Information on education was collected for parents and
participants. Participants were followed through 2006 (age 40 years). Information
about physical activity, diet, smoking, binge drinking, body mass index (BMI), s
cholesterol, s-triglycerides and blood pressure was collected in 1981, 1991 and
2006. RESULTS: Fathers' education was inversely associated with participants' BMI
at 15 and 25 years, cholesterol at 25 and 40 years, triglycerides at 25 years and
systolic blood pressure at 15 and 25 years (regression coefficients -0.18 to
0.11; P < 0.05 for all). The effects were weakened after adjusting for
participants' own education. Maternal education showed no association with these
risk factors. After controlling for participants' own education, associations
between parental education and behavioural risk factors in adulthood were few.
CONCLUSION: Any impact of parental education on offspring CVD risk factors seemed
to be mediated via subject's own education. Parental education offered little
predictive capacity for offspring CVD risk factors.
PMID- 21893508
TI - Therapeutic approach for patients with catecholaminergic polymorphic ventricular
tachycardia: state of the art and future developments.
AB - Catecholaminergic polymorphic ventricular tachycardia (CPVT) is an inherited
arrhythmia syndrome characterized by bidirectional or polymorphic ventricular
arrhythmias under conditions of increased sympathetic activity in young patients
with structurally normal hearts. Patients with CPVT are at high risk of
developing life-threatening ventricular arrhythmias when untreated. A wide
variety of arrhythmic event rates on conventional therapy, with beta-blockers as
the cornerstone, has been reported. Here, we systematically review all available
studies describing the efficacy of beta-blocker therapy for prevention of
arrhythmic events in CPVT. Because of heterogeneity between the studies, a random
effects meta-analysis model was used to assess the efficacy of beta-blocker
therapy in preventing any arrhythmic event [syncope, aborted cardiac arrest
(ACA), and sudden cardiac death (SCD)], near-fatal arrhythmic events (ACA and
SCD), and fatal arrhythmic events. Eleven studies including 403 patients, of whom
354 (88%) had a beta-blocker prescribed, were identified. Mean follow-up ranged
from 20 months to 8 years. Estimated 8-year arrhythmic, near-fatal, and fatal
event rates were 37.2% [95% confidence interval (CI): 16.6-57.7], 15.3% (95% CI:
7.4-23.3), and 6.4% (95% CI: 3.2-9.6), respectively. In addition, we review the
recent developments in alternate chronic treatment options for CPVT patients,
including calcium channel blockers, flecainide, left cardiac sympathetic
denervation, and implantable cardioverter defibrillators. A new treatment
strategy is proposed, including a stepwise addition of the alternate treatment
options to beta-blockers in patients who do not respond sufficiently to this
first-line therapy. Finally, future developments in chronic treatment options and
acute treatment options of ventricular arrhythmias are discussed.
PMID- 21893509
TI - Dreaming of a 'Swiss Army Knife' for atrial fibrillation ablation ...
PMID- 21893510
TI - Successful ablation of sinus node reentrant tachycardia using remote magnetic
navigation system.
AB - Ablation of sinus node reentrant tachycardia (SNRT) may be difficult with risk of
sinus node injury by using conventional catheters. We report successful ablation
of SNRT by using remote magnetic navigation system (Stereotaxis).
PMID- 21893511
TI - Reduced fluoroscopy exposure during ablation of atrial fibrillation using a novel
electroanatomical navigation system: a multicentre experience.
AB - AIMS: Catheter ablation of atrial fibrillation (AF) focuses on pulmonary vein
(PV) ablation with or without additional atrial substrate modification. These
procedures require significant fluoroscopy exposure. A new 3D non-fluoroscopic
navigation system (CARTO((r)) 3 System, Biosense Webster, CA, USA) that allows
precise location visualization of diagnostic and ablation catheters was evaluated
for its impact on fluoroscopic exposure during AF ablation procedures. METHODS
AND RESULTS: Two groups of patients were treated by our centres for drug
refractory AF. One group was treated using the new CARTO((r)) 3 system to guide
catheter ablation (Group A, 117 patients). The other group was treated using the
CARTO((r)) XP system (Biosense Webster) 3 months previously (Group B, 123
patients). For both groups, circumferential PV ostia ablation was performed; PV
isolation was validated using a circular catheter placed at each ostium. There
was no difference in any clinical characteristics (age, sex, AF type, left atrium
diameter and volume, and heart disease) among the two study groups. The mean
number of PVs identified and isolated per patient was similar in both groups, as
were the mean procedural duration and radiofrequency time. However, mean
fluoroscopic time was significantly reduced in Group A (15.9+/-12.3 min) as
compared with Group B (26+/-15.1 min) (P < 0.001). CONCLUSION: This multicentre
observational study demonstrates a significant reduction of fluoroscopy exposure
using a new 3D non-fluoroscopic mapping system to guide AF catheter ablation.
PMID- 21893512
TI - Histological findings around electrodes in pacemaker and implantable cardioverter
defibrillator patients: comparison of steroid-eluting and non-steroid-eluting
electrodes.
AB - AIMS: To analyse histological findings surrounding the electrodes in
pacemaker/implantable cardioverter-defibrillator (PM/ICD) patients. To compare
histology around steroid-eluting and non-steroid ventricular pacing electrodes.
METHODS AND RESULTS: In autopsied PM/ICD patients histopathological findings
around the electrodes were determined. Seventy patients were studied, PM(58),
ICD(12), mean age 75.1 +/- 9.3 years. The implantation-death interval was 4.0 +/-
3.3 years. Most causes of death were cardiac (PM 52%, ICD 58%). The majority of
atrial electrodes were attached to the endocardium and most ventricular
electrodes were found in the myocardium (P <= 0.001). The maximum thickness of
the fibrous electrode sheath was greatest for the ICD ventricular electrodes.
Some electrodes were covered with fibrin thrombi and granulation tissue, most
frequently in the ICD ventricular electrodes. The fibrous sheath usually
contained chronic inflammatory cells and in some cases particles of foreign
material, foreign body giant cells, and haematogenous pigment. The tissue around
steroid-eluting ventricle PM electrodes was compared with the tissue around the
non-steroid-eluting ventricle PM electrodes; granulation tissue, foreign
material, giant cells being found more frequently around the steroid-eluting
electrodes. The fibrous sheath was slightly thinner in the steroid-eluting
electrodes. The histology around four coronary sinus electrodes was described.
CONCLUSIONS: Atrial electrodes were attached more superficially to the
endocardium while PM and ICD ventricular electrodes were more frequently embedded
in the myocardium. The electrodes were covered by a connective tissue sheath as a
result of thrombus organization. This process persisted most frequently around
ICD ventricular electrodes. Only borderline differences were found between the
histological findings around steroid-eluting and non-steroid-eluting PM
ventricular electrodes.
PMID- 21893513
TI - Golgi body motility in the plant cell cortex correlates with actin cytoskeleton
organization.
AB - The actin cytoskeleton is involved in the transport and positioning of Golgi
bodies, but the actin-based processes that determine the positioning and motility
behavior of Golgi bodies are not well understood. In this work, we have studied
the relationship between Golgi body motility behavior and actin organization in
intercalary growing root epidermal cells during different developmental stages.
We show that in these cells two distinct actin configurations are present,
depending on the developmental stage. In small cells of the early root elongation
zone, fine filamentous actin (F-actin) occupies the whole cell, including the
cortex. In larger cells in the late elongation zone that have almost completed
cell elongation, actin filament bundles are interspersed with areas containing
this fine F-actin and areas without F-actin. Golgi bodies in areas with the fine
F-actin exhibit a non-directional, wiggling type of motility. Golgi bodies in
areas containing actin filament bundles move up to 7 MUm s-1. Since the motility
of Golgi bodies changes when they enter an area with a different actin
configuration, we conclude that the type of movement depends on the actin
organization and not on the individual organelle. Our results show that the
positioning of Golgi bodies depends on the local actin organization.
PMID- 21893514
TI - Characterization of Arabidopsis CTP:3-deoxy-D-manno-2-octulosonate
cytidylyltransferase (CMP-KDO synthetase), the enzyme that activates KDO during
rhamnogalacturonan II biosynthesis.
AB - In plant cells, boron (B) occurs predominantly as a borate ester associated with
rhamnogalacturonan II (RG-II), but the function of this B-RG-II complex has yet
to be investigated. 3-Deoxy-D-manno-2-octulosonic acid (KDO) is a specific
component monosaccharide of RG-II. Mutant plants defective in KDO biosynthesis
are expected to have altered RG-II structure, and would be useful for studying
the physiological function of the B-RG-II complex. Here, we characterized
Arabidopsis CTP:KDO cytidylyltransferase (CMP-KDO synthetase; CKS), the enzyme
activating KDO as a nucleotide sugar prior to its incorporation into RG-II. Our
analyses localized the Arabidopsis CKS protein to mitochondria. The Arabidopsis
CKS gene occurs as a single-copy gene in the genome, and we could not obtain cks
null mutants from T-DNA insertion lines. Analysis using +/cks heterozygotes in
the quartet1 background demonstrated that the cks mutation rendered pollen
infertile through the inhibition of pollen tube elongation. These results suggest
that KDO is an indispensable component of RG-II, and that the complete B-RG-II
complex is essential for the cell wall integrity of rapidly growing tissues.
PMID- 21893515
TI - Mannitol transport and mannitol dehydrogenase activities are coordinated in Olea
europaea under salt and osmotic stresses.
AB - The intracellular accumulation of organic compatible solutes functioning as
osmoprotectants, such as polyols, is an important response mechanism of several
plants to drought and salinity. In Olea europaea a mannitol transport system
(OeMaT1) was previously characterized as a key player in plant response to
salinity. In the present study, heterotrophic sink models, such as olive cell
suspensions and fruit tissues, and source leaves were used for analytical,
biochemical and molecular studies. The kinetic parameters of mannitol
dehydrogenase (MTD) determined in cells growing in mannitol, at 25 degrees C and
pH 9.0, were as follows: K(m), 54.5 mM mannitol; and V(max), 0.47 MUmol h-1 mg-1
protein. The corresponding cDNA was cloned and named OeMTD1. OeMTD1 expression
was correlated with MTD activity, OeMaT1 expression and carrier-mediated mannitol
transport in mannitol- and sucrose-grown cells. Furthermore, sucrose-grown cells
displayed only residual OeMTD activity, even though high levels of OeMTD1
transcription were observed. There is evidence that OeMTD is regulated at both
transcriptional and post-transcriptional levels. MTD activity and OeMTD1
expression were repressed after Na+, K+ and polyethylene glycol (PEG) treatments,
in both mannitol- and sucrose-grown cells. In contrast, salt and drought
significantly increased mannitol transport activity and OeMaT1 expression. Taken
together, these studies support that olive trees cope with salinity and drought
by coordinating mannitol transport with intracellular metabolism.
PMID- 21893516
TI - Comparison of human blood concentrations of collectin kidney 1 and mannan-binding
lectin.
AB - Mannan-binding lectin (MBL) was first discovered as a collectin in animal blood,
and was shown to have such unique characteristics as a collage-like domain and a
carbohydrate recognition domain. We recently identified human collectin kidney 1
(CL-K1, COLEC11) from a human kidney cDNA library. To quantitate the CL-K1
concentration in blood, we developed several polyclonal and monoclonal antibodies
using recombinant human CL-K1 in CHO cells and the CL-K1 fragment in Escherichia
coli. Using these antibodies, we established a sandwich enzyme-linked
immunosorbent assay (ELISA) system. The concentration of CL-K1 in human plasma
was 0.34 +/- 0.13 ug/ml and that in MBL was 1.72 +/- 1.51 ug/ml. Concentrations
of MBL are often low due to its single nucleotide polymorphisms (SNPs) which seem
to be related to an opsonic defect. However, no low concentrations of CL-K1 were
observed on testing over two hundred blood samples. We also found that the blood
concentration of CL-K1 was not dependent on gender or age and did not correlate
completely with that of MBL. The ELISA system developed in this study will be
useful for elucidating the physiological and pathophysiological role of CL-K1 in
humans.
PMID- 21893517
TI - Gaussian interaction profile kernels for predicting drug-target interaction.
AB - MOTIVATION: The in silico prediction of potential interactions between drugs and
target proteins is of core importance for the identification of new drugs or
novel targets for existing drugs. However, only a tiny portion of all drug-target
pairs in current datasets are experimentally validated interactions. This
motivates the need for developing computational methods that predict true
interaction pairs with high accuracy. RESULTS: We show that a simple machine
learning method that uses the drug-target network as the only source of
information is capable of predicting true interaction pairs with high accuracy.
Specifically, we introduce interaction profiles of drugs (and of targets) in a
network, which are binary vectors specifying the presence or absence of
interaction with every target (drug) in that network. We define a kernel on these
profiles, called the Gaussian Interaction Profile (GIP) kernel, and use a simple
classifier, (kernel) Regularized Least Squares (RLS), for prediction drug-target
interactions. We test comparatively the effectiveness of RLS with the GIP kernel
on four drug-target interaction networks used in previous studies. The proposed
algorithm achieves area under the precision-recall curve (AUPR) up to 92.7,
significantly improving over results of state-of-the-art methods. Moreover, we
show that using also kernels based on chemical and genomic information further
increases accuracy, with a neat improvement on small datasets. These results
substantiate the relevance of the network topology (in the form of interaction
profiles) as source of information for predicting drug-target interactions.
AVAILABILITY: Software and Supplementary Material are available at
http://cs.ru.nl/~tvanlaarhoven/drugtarget2011/. CONTACT: tvanlaarhoven@cs.ru.nl;
elenam@cs.ru.nl. SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 21893518
TI - SiTaR: a novel tool for transcription factor binding site prediction.
AB - MOTIVATION: Prediction of transcription factor binding sites (TFBSs) is crucial
for promoter modeling and network inference. Quality of the predictions is
spoiled by numerous false positives, which persist as the main problem for all
presently available TFBS search methods. RESULTS: We suggest a novel approach,
which is alternative to widely used position weight matrices (PWMs) and Hidden
Markov Models. Each motif of the input set is used as a search template to scan a
query sequence. Found motifs are assigned scores depending on the non-randomness
of the motif's occurrence, the number of matching searching motifs and the number
of mismatches. The non-randomness is estimated by comparison of observed numbers
of matching motifs with those predicted to occur by chance. The latter can be
calculated given the base compositions of the motif and the query sequence. The
method does not require preliminary alignment of the input motifs, hence avoiding
uncertainties introduced by the alignment procedure. In comparison with PWM-based
tools, our method demonstrates higher precision by the same sensitivity and
specificity. It also tends to outperform methods combining pattern and PWM
search. Most important, it allows reducing the number of false positive
predictions significantly. AVAILABILITY: The method is implemented in a tool
called SiTaR (Site Tracking and Recognition) and is available at http://sbi.hki
jena.de/sitar/index.php. CONTACT: ekaterina.shelest@hki-jena.de SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 21893519
TI - Integrated pathway-level analysis of transcriptomics and metabolomics data with
IMPaLA.
AB - SUMMARY: Pathway-level analysis is a powerful approach enabling interpretation of
post-genomic data at a higher level than that of individual biomolecules. Yet, it
is currently hard to integrate more than one type of omics data in such an
approach. Here, we present a web tool 'IMPaLA' for the joint pathway analysis of
transcriptomics or proteomics and metabolomics data. It performs over
representation or enrichment analysis with user-specified lists of metabolites
and genes using over 3000 pre-annotated pathways from 11 databases. As a result,
pathways can be identified that may be disregulated on the transcriptional level,
the metabolic level or both. Evidence of pathway disregulation is combined,
allowing for the identification of additional pathways with changed activity that
would not be highlighted when analysis is applied to any of the functional levels
alone. The tool has been implemented both as an interactive website and as a web
service to allow a programming interface. AVAILABILITY: The web interface of
IMPaLA is available at http://impala.molgen.mpg.de. A web services programming
interface is provided at http://impala.molgen.mpg.de/wsdoc. CONTACT:
kamburov@molgen.mpg.de; r.cavill@imperial.ac.uk; h.keun@imperial.ac.uk
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online.
PMID- 21893520
TI - Semi-supervised learning improves gene expression-based prediction of cancer
recurrence.
AB - MOTIVATION: Gene expression profiling has shown great potential in outcome
prediction for different types of cancers. Nevertheless, small sample size
remains a bottleneck in obtaining robust and accurate classifiers. Traditional
supervised learning techniques can only work with labeled data. Consequently, a
large number of microarray data that do not have sufficient follow-up information
are disregarded. To fully leverage all of the precious data in public databases,
we turned to a semi-supervised learning technique, low density separation (LDS).
RESULTS: Using a clinically important question of predicting recurrence risk in
colorectal cancer patients, we demonstrated that (i) semi-supervised
classification improved prediction accuracy as compared with the state of the art
supervised method SVM, (ii) performance gain increased with the number of
unlabeled samples, (iii) unlabeled data from different institutes could be
employed after appropriate processing and (iv) the LDS method is robust with
regard to the number of input features. To test the general applicability of this
semi-supervised method, we further applied LDS on human breast cancer datasets
and also observed superior performance. Our results demonstrated great potential
of semi-supervised learning in gene expression-based outcome prediction for
cancer patients. CONTACT: bing.zhang@vanderbilt.edu. SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 21893521
TI - Distribution, enrichment and principal component analysis for possible sources of
naturally occurring and anthropogenic radionuclides in the agricultural soil of
Punjab state, India.
AB - Enrichment factor (EF) of elements including geo-accumulation indices for soil
quality and principal component analysis (PCA) were used to identify the
contributions of the origin of sources in the studied area. Results of (40)K,
(137)Cs, (238)U and (232)Th including their decay series isotopes in the
agricultural soil of Mansa and Bathinda districts in the state of Punjab were
presented and discussed. The measured mean radioactivity concentrations for
(238)U, (232)Th and (40)K in the agricultural soil of the studied area differed
from nationwide average crustal abundances by 51, 17 and 43 %, respectively. The
sequence of the EFs of radionuclides in soil from the greatest to the least was
found to be (238)U > (40)K > (226)Ra > (137)Cs > (232)Th > (228)Ra. Even though
the enrichment of naturally occurring radionuclides was found to be higher, they
remained to be in I(geo) class of '0', indicating that the soil is uncontaminated
with respect to these radionuclides. Among non-metals, N showed the highest EF
and belonged to I(geo) class of '2', indicating that soil is moderately
contaminated due to intrusion of fertiliser. The resulting data set of elemental
contents in soil was also interpreted by PCA, which facilitates identification of
the different groups of correlated elements. The levels of the (40)K, (238)U and
(232)Th radionuclides showed a significant positive correlation with each other,
suggesting a similar origin of their geochemical sources and identical behaviour
during transport in the soil system.
PMID- 21893522
TI - Modelling functional trait acclimation for trees of different height in a forest
light gradient: emergent patterns driven by carbon gain maximization.
AB - Forest trees show large changes in functional traits as they develop from a
sapling in the shaded understorey to an adult in the light-exposed canopy. The
adaptive function of such changes remains poorly understood. The carbon gain
hypothesis suggests that these changes should be adaptive (acclimation) and that
they serve to maximize net vegetative or reproductive growth. We explore the
carbon gain hypothesis using a mechanistic model that combines an above-ground
plant structure, a biochemical photosynthesis model and a biophysical stomatal
conductance model. Our simulations show how forest trees that maximize their
carbon gain increase their total leaf area, sapwood area and leaf photosynthetic
capacity with tree height and light intensity. In turn, they show how forest
trees increased crown stomatal conductance and transpiration, and how the carbon
budget was affected. These responses in functional traits to tree height (and
light availability) largely differed from the responses exhibited by exposed
trees. Forest and exposed trees nevertheless shared a number of emergent
patterns: they showed a similar decrease in the average leaf water potential and
intercellular CO(2) concentration with tree height, and kept almost constant
values for the ratio of light absorption to electron transport capacity, the
ratio of photosynthetic capacity to water supply capacity, and nitrogen
partitioning between electron transport and carboxylation. While most of the
predicted qualitative responses in individual traits are consistent with field or
lab observations, the empirical support for capacity balances is scarce. We
conclude that modelling functional trait optimization and carbon gain
maximization from underlying physiological processes and trade-offs generates a
set of predictions for functional trait acclimation and maintenance of capacity
balances of trees of different height in a forest light gradient, but actual
tests of the predicted patterns are still scarce.
PMID- 21893523
TI - The sleeping brain as a complex system.
AB - 'Complexity science' is a rapidly developing research direction with applications
in a multitude of fields that study complex systems consisting of a number of
nonlinear elements with interesting dynamics and mutual interactions. This Theme
Issue 'The complexity of sleep' aims at fostering the application of complexity
science to sleep research, because the brain in its different sleep stages adopts
different global states that express distinct activity patterns in large and
complex networks of neural circuits. This introduction discusses the
contributions collected in the present Theme Issue. We highlight the potential
and challenges of a complex systems approach to develop an understanding of the
brain in general and the sleeping brain in particular. Basically, we focus on two
topics: the complex networks approach to understand the changes in the functional
connectivity of the brain during sleep, and the complex dynamics of sleep,
including sleep regulation. We hope that this Theme Issue will stimulate and
intensify the interdisciplinary communication to advance our understanding of the
complex dynamics of the brain that underlies sleep and consciousness.
PMID- 21893524
TI - Large-scale functional brain networks in human non-rapid eye movement sleep:
insights from combined electroencephalographic/functional magnetic resonance
imaging studies.
AB - This paper reviews the existing body of knowledge on the neural correlates of
spontaneous oscillations, functional connectivity and brain plasticity in human
non-rapid eye movement (NREM) sleep. The first section reviews the evidence that
specific sleep events as slow waves and spindles are associated with transient
increases in regional brain activity. The second section describes the changes in
functional connectivity during NREM sleep, with a particular focus on changes
within a low-frequency, large-scale functional brain network. The third section
will discuss the possibility that spontaneous oscillations and differential
functional connectivity are related to brain plasticity and systems
consolidation, with a particular focus on motor skill acquisition. Implications
for the mode of information processing per sleep stage and future experimental
studies are discussed.
PMID- 21893525
TI - Characterizing the complexity of brain and mind networks.
AB - Recent studies of brain connectivity and language with methods of complex
networks have revealed common features of organization. These observations open a
window to better understand the intrinsic relationship between the brain and the
mind by studying how information is either physically stored or mentally
represented. In this paper, we review some of the results in both brain and
linguistic networks, and we illustrate how modelling approaches can serve to
comprehend the relationship between the structure of the brain and its function.
On the one hand, we show that brain and neural networks display dynamical
behaviour with optimal complexity in terms of a balance between their capacity to
simultaneously segregate and integrate information. On the other hand, we show
how principles of neural organization can be implemented into models of memory
storage and recognition to reproduce spontaneous transitions between memories,
resembling phenomena of memory association studied in psycholinguistic
experiments.
PMID- 21893526
TI - Causal density and integrated information as measures of conscious level.
AB - An outstanding challenge in neuroscience is to develop theoretically grounded and
practically applicable quantitative measures that are sensitive to conscious
level. Such measures should be high for vivid alert conscious wakefulness, and
low for unconscious states such as dreamless sleep, coma and general anaesthesia.
Here, we describe recent progress in the development of measures of dynamical
complexity, in particular causal density and integrated information. These and
similar measures capture in different ways the extent to which a system's
dynamics are simultaneously differentiated and integrated. Because conscious
scenes are distinguished by the same dynamical features, these measures are
therefore good candidates for reflecting conscious level. After reviewing the
theoretical background, we present new simulation results demonstrating
similarities and differences between the measures, and we discuss remaining
challenges in the practical application of the measures to empirically obtained
data.
PMID- 21893527
TI - Assessing interactions in the brain with exact low-resolution electromagnetic
tomography.
AB - Scalp electric potentials (electroencephalogram; EEG) are contingent to the
impressed current density unleashed by cortical pyramidal neurons undergoing post
synaptic processes. EEG neuroimaging consists of estimating the cortical current
density from scalp recordings. We report a solution to this inverse problem that
attains exact localization: exact low-resolution brain electromagnetic tomography
(eLORETA). This non-invasive method yields high time-resolution intracranial
signals that can be used for assessing functional dynamic connectivity in the
brain, quantified by coherence and phase synchronization. However, these measures
are non-physiologically high because of volume conduction and low spatial
resolution. We present a new method to solve this problem by decomposing them
into instantaneous and lagged components, with the lagged part having almost pure
physiological origin.
PMID- 21893529
TI - Non-homogeneous extracellular resistivity affects the current-source density
profiles of up-down state oscillations.
AB - Rhythmic local field potential (LFP) oscillations observed during deep sleep are
the result of synchronized electrical activities of large neuronal ensembles,
which consist of alternating periods of activity and silence, termed 'up' and
'down' states, respectively. Current-source density (CSD) analysis indicates that
the up states of these slow oscillations are associated with current sources in
superficial cortical layers and sinks in deep layers, while the down states
display the opposite pattern of source-sink distribution. We show here that a
network model of up and down states displays this CSD profile only if a frequency
filtering extracellular medium is assumed. When frequency filtering was modelled
as inhomogeneous conductivity, this simple model had considerably more power in
slow frequencies, resulting in significant differences in LFP and CSD profiles
compared with the constant-resistivity model. These results suggest that the
frequency-filtering properties of extracellular media may have important
consequences for the interpretation of the results of CSD analysis.
PMID- 21893528
TI - Towards a model-based integration of co-registered
electroencephalography/functional magnetic resonance imaging data with realistic
neural population meshes.
AB - Brain activity can be measured with several non-invasive neuroimaging modalities,
but each modality has inherent limitations with respect to resolution, contrast
and interpretability. It is hoped that multimodal integration will address these
limitations by using the complementary features of already available data.
However, purely statistical integration can prove problematic owing to the
disparate signal sources. As an alternative, we propose here an advanced neural
population model implemented on an anatomically sound cortical mesh with freely
adjustable connectivity, which features proper signal expression through a
realistic head model for the electroencephalogram (EEG), as well as a
haemodynamic model for functional magnetic resonance imaging based on blood
oxygen level dependent contrast (fMRI BOLD). It hence allows simultaneous and
realistic predictions of EEG and fMRI BOLD from the same underlying model of
neural activity. As proof of principle, we investigate here the influence on
simulated brain activity of strengthening visual connectivity. In the future we
plan to fit multimodal data with this neural population model. This promises
novel, model-based insights into the brain's activity in sleep, rest and task
conditions.
PMID- 21893530
TI - The thalamic low-threshold Ca2+ potential: a key determinant of the local and
global dynamics of the slow (<1 Hz) sleep oscillation in thalamocortical
networks.
AB - During non-rapid eye movement sleep and certain types of anaesthesia, neurons in
the neocortex and thalamus exhibit a distinctive slow (<1 Hz) oscillation that
consists of alternating UP and DOWN membrane potential states and which
correlates with a pronounced slow (<1 Hz) rhythm in the electroencephalogram.
While several studies have claimed that the slow oscillation is generated
exclusively in neocortical networks and then transmitted to other brain areas,
substantial evidence exists to suggest that the full expression of the slow
oscillation in an intact thalamocortical (TC) network requires the balanced
interaction of oscillator systems in both the neocortex and thalamus. Within such
a scenario, we have previously argued that the powerful low-threshold Ca(2+)
potential (LTCP)-mediated burst of action potentials that initiates the UP states
in individual TC neurons may be a vital signal for instigating UP states in
related cortical areas. To investigate these issues we constructed a
computational model of the TC network which encompasses the important known
aspects of the slow oscillation that have been garnered from earlier in vivo and
in vitro experiments. Using this model we confirm that the overall expression of
the slow oscillation is intricately reliant on intact connections between the
thalamus and the cortex. In particular, we demonstrate that UP state-related LTCP
mediated bursts in TC neurons are proficient in triggering synchronous UP states
in cortical networks, thereby bringing about a synchronous slow oscillation in
the whole network. The importance of LTCP-mediated action potential bursts in the
slow oscillation is also underlined by the observation that their associated
dendritic Ca(2+) signals are the only ones that inform corticothalamic synapses
of the TC neuron output, since they, but not those elicited by tonic action
potential firing, reach the distal dendritic sites where these synapses are
located.
PMID- 21893531
TI - Quantitative modelling of sleep dynamics.
AB - Arousal is largely controlled by the ascending arousal system of the hypothalamus
and brainstem, which projects to the corticothalamic system responsible for
electroencephalographic (EEG) signatures of sleep. Quantitative physiologically
based modelling of brainstem dynamics theory is described here, using realistic
parameters, and links to EEG are outlined. Verification against a wide range of
experimental data is described, including arousal dynamics under normal
conditions, sleep deprivation, stimuli, stimulants and jetlag, plus key features
of wake and sleep EEGs.
PMID- 21893532
TI - Circadian regulation of sleep-wake behaviour in nocturnal rats requires multiple
signals from suprachiasmatic nucleus.
AB - The dynamics of sleep and wake are strongly linked to the circadian clock. Many
models have accurately predicted behaviour resulting from dynamic interactions
between these two systems without specifying physiological substrates for these
interactions. By contrast, recent experimental work has identified much of the
relevant physiology for circadian and sleep-wake regulation, but interaction
dynamics are difficult to study experimentally. To bridge these approaches, we
developed a neuronal population model for the dynamic, bidirectional,
neurotransmitter-mediated interactions of the sleep-wake and circadian regulatory
systems in nocturnal rats. This model proposes that the central circadian
pacemaker, located within the suprachiasmatic nucleus (SCN) of the hypothalamus,
promotes sleep through single neurotransmitter-mediated signalling to sleep-wake
regulatory populations. Feedback projections from these populations to the SCN
alter SCN firing patterns and fine-tune this modulation. Although this model
reproduced circadian variation in sleep-wake dynamics in nocturnal rats, it
failed to describe the sleep-wake dynamics observed in SCN-lesioned rats. We thus
propose two alternative, physiologically based models in which neurotransmitter-
and neuropeptide-mediated signalling from the SCN to sleep-wake populations
introduces mechanisms to account for the behaviour of both the intact and SCN
lesioned rat. These models generate testable predictions and offer a new
framework for modelling sleep-wake and circadian interactions.
PMID- 21893533
TI - The multiple time scales of sleep dynamics as a challenge for modelling the
sleeping brain.
AB - A particular property of the sleeping brain is that it exhibits dynamics on very
different time scales ranging from the typical sleep oscillations such as sleep
spindles and slow waves that can be observed in electroencephalogram (EEG)
segments of several seconds duration over the transitions between the different
sleep stages on a time scale of minutes to the dynamical processes involved in
sleep regulation with typical time constants in the range of hours. There is an
increasing body of work on mathematical and computational models addressing these
different dynamics, however, usually considering only processes on a single time
scale. In this paper, we review and present a new analysis of the dynamics of
human sleep EEG at the different time scales and relate the findings to recent
modelling efforts pointing out both the achievements and remaining challenges.
PMID- 21893534
TI - Vaccines and global health.
AB - Vaccines have made a major contribution to global health in recent decades but
they could do much more. In November 2011, a Royal Society discussion meeting,
'New vaccines for global health', was held in London to discuss the past
contribution of vaccines to global health and to consider what more could be
expected in the future. Papers presented at the meeting reviewed recent successes
in the deployment of vaccines against major infections of childhood and the
challenges faced in developing vaccines against some of the world's remaining
major infectious diseases such as human immunodeficiency virus (HIV), malaria and
tuberculosis. The important contribution that development of more effective
veterinary vaccines could make to global health was also addressed. Some of the
social and financial challenges to the development and deployment of new vaccines
were reviewed. The latter issues were also discussed at a subsequent satellite
meeting, 'Accelerating vaccine development', held at the Kavli Royal Society
International Centre. Delegates at this meeting considered challenges to the more
rapid development and deployment of both human and veterinary vaccines and how
these might be addressed. Papers based on presentations at the discussion meeting
and a summary of the main conclusions of the satellite meeting are included in
this issue of Philosophical Transactions of the Royal Society B.
PMID- 21893535
TI - Contribution of the GAVI Alliance to improving health and reducing poverty.
AB - The Global Alliance for Vaccines and Immunization (GAVI), now 10 years old, was
established as a successful and innovative public-private partnership to deal
with a fundamental inequity. The poorest children in the poorest parts of the
world were being denied access to life-saving vaccines simply on the basis of
cost. GAVI has been successful in mobilizing significant funding from donors and
through innovative financing instruments, immunizing large numbers of children.
GAVI has been less successful, at least in the time frames first envisaged, at
quickly reducing the prices of new and under-used vaccines to levels affordable
by the poorest countries. Vaccines remain some of the most cost effective of
public health interventions. As GAVI seeks to introduce a new set of vaccines to
tackle major killers such as pneumonia and diarrhoea, and emerging threats such
as cervical cancer, it needs to raise significant additional funds. There is no
single solution. Multiple and new instruments will be required to raise finance
both globally and at the country level, and also to incentivize industry and
others to provide vaccines at affordable prices to the poorest countries.
PMID- 21893537
TI - Twenty-first century vaccines.
AB - In the twentieth century, vaccination has been possibly the greatest revolution
in health. Together with hygiene and antibiotics, vaccination led to the
elimination of many childhood infectious diseases and contributed to the increase
in disability-free life expectancy that in Western societies rose from 50 to 78
85 years (Crimmins, E. M. & Finch, C. E. 2006 Proc. Natl Acad. Sci. USA 103, 498
503; Kirkwood, T. B. 2008 Nat. Med 10, 1177-1185). In the twenty-first century,
vaccination will be expected to eliminate the remaining childhood infectious
diseases, such as meningococcal meningitis, respiratory syncytial virus, group A
streptococcus, and will address the health challenges of this century such as
those associated with ageing, antibiotic resistance, emerging infectious diseases
and poverty. However, for this to happen, we need to increase the public trust in
vaccination so that vaccines can be perceived as the best insurance against most
diseases across all ages.
PMID- 21893536
TI - Innate immunity and adjuvants.
AB - Innate immunity was for a long time considered to be non-specific because the
major function of this system is to digest pathogens and present antigens to the
cells involved in acquired immunity. However, recent studies have shown that
innate immunity is not non-specific, but is instead sufficiently specific to
discriminate self from pathogens through evolutionarily conserved receptors,
designated Toll-like receptors (TLRs). Indeed, innate immunity has a crucial role
in early host defence against invading pathogens. Furthermore, TLRs were found to
act as adjuvant receptors that create a bridge between innate and adaptive
immunity, and to have important roles in the induction of adaptive immunity. This
paradigm shift is now changing our thinking on the pathogenesis and treatment of
infectious, immune and allergic diseases, as well as cancers. Besides TLRs,
recent findings have revealed the presence of a cytosolic detector system for
invading pathogens. I will review the mechanisms of pathogen recognition by TLRs
and cytoplasmic receptors, and then discuss the roles of these receptors in the
development of adaptive immunity in response to viral infection.
PMID- 21893538
TI - Progress in the rational design of an AIDS vaccine.
AB - Human immunodeficiency virus-1 (HIV-1) has a high degree of genetic and antigenic
diversity that has impeded the development of an effective vaccine using
traditional methods. We are attempting to develop an AIDS vaccine by employing
strategies that include structural biology and computational modelling, in an
effort to develop immunogens capable of eliciting neutralizing antibodies of the
requisite breadth and potency against circulating strains of HIV-1.
PMID- 21893539
TI - Towards universal influenza vaccines?
AB - Vaccination is the most cost-effective way to reduce the considerable disease
burden of seasonal influenza. Although seasonal influenza vaccines are effective,
their performance in the elderly and immunocompromised individuals would benefit
from improvement. Major problems related to the development and production of
pandemic influenza vaccines are response time and production capacity as well as
vaccine efficacy and safety. Several improvements can be envisaged. Vaccine
production technologies based on embryonated chicken eggs may be replaced by cell
culture techniques. Reverse genetics techniques can speed up the generation of
seed viruses and new mathematical modelling methods improve vaccine strain
selection. Better understanding of the correlates of immune-mediated protection
may lead to new vaccine targets besides the viral haemagglutinin, like the
neuraminidase and M2 proteins. In addition, the role of cell-mediated immunity
could be better exploited. New adjuvants have recently been shown to increase the
breadth and the duration of influenza vaccine-induced protection. Other studies
have shown that influenza vaccines based on different viral vector systems may
also induce broad protection. It is to be expected that these developments may
lead to more universal influenza vaccines that elicit broader and longer
protection, and can be produced more efficiently.
PMID- 21893541
TI - Tuberculosis vaccines: beyond bacille Calmette-Guerin.
AB - Tuberculosis (TB) disease caused by Mycobacterium tuberculosis (M. tb) remains
one of the leading infectious causes of death and disease throughout the world.
The only licensed vaccine, Mycobacterium bovis bacille Calmette-Guerin (BCG)
confers highly variable protection against pulmonary disease. An effective
vaccination regimen would be the most efficient way to control the epidemic.
However, BCG does confer consistent and reliable protection against disseminated
disease in childhood, and most TB vaccine strategies being developed incorporate
BCG to retain this protection. Cellular immunity is necessary for protection
against TB and all the new vaccines in development are focused on inducing a
strong and durable cellular immune response. There are two main strategies being
pursued in TB vaccine development. The first is to replace BCG with an improved
whole organism mycobacterial priming vaccine, which is either a recombinant BCG
or an attenuated strain of M. tb. The second is to develop a subunit boosting
vaccine, which is designed to be administered after BCG vaccination, and to
enhance the protective efficacy of BCG. This article reviews the leading
candidate vaccines in development and considers the current challenges in the
field with regard to efficacy testing.
PMID- 21893540
TI - Developing vaccines against foot-and-mouth disease and some other exotic viral
diseases of livestock.
AB - Vaccines remain the main tool for the control of livestock viral diseases that
pose a serious threat to animal and occasionally human health, reduce food
security, distort trade in animals and their products, and undermine agricultural
development in poor countries. Globalization and climate change increase the
likelihood for new patterns of emergence and spread of livestock viruses.
Conventionally attenuated and killed virus products have had spectacular success,
and recent examples include the global eradication of rinderpest and the control
of bluetongue in the UK and northern Europe. However, in many cases, livestock
vaccines could benefit from improvement in some properties (e.g. stability, speed
of onset and duration of immunity, and breadth of cross-protection to different
serotypes or strains) and in some cases are not available at all. Compared with
human vaccines, uptake of livestock products is highly cost-sensitive and their
use may also need to be compatible with post-vaccination screening methods to
determine whether or not animals continue to be infected. Requirements and
prospects for new or improved vaccines are described for some priority viral
diseases with potential for transboundary spread, particularly for foot-and-mouth
disease.
PMID- 21893542
TI - Contribution of vaccines to our understanding of pneumococcal disease.
AB - Pneumonia is the leading cause of mortality in children in developing countries
and is also the leading infectious cause of death in adults. The most important
cause of pneumonia is the Gram-positive bacterial pathogen, Streptococcus
pneumoniae, also known as the pneumococcus. It has thus become the leading
vaccine-preventable cause of death and is a successful and diverse human
pathogen. The development of conjugate pneumococcal vaccines has made possible
the prevention of pneumococcal disease in infants, but has also elucidated
aspects of pneumococcal biology in a number of ways. Use of the vaccine as a
probe has increased our understanding of the burden of pneumococcal disease in
children globally. Vaccination has also elucidated the clinical spectrum of
vaccine-preventable pneumococcal infections; the identification of a biological
niche for multiple pneumococcal serotypes in carriage and the differential
invasiveness of pneumococcal serotypes; the impact of pneumococcal transmission
among children on disease burden in adults; the role of carriage as a precursor
to pneumonia; the plasticity of a naturally transformable pathogen to respond to
selective pressure through capsular switching and the accumulation of antibiotic
resistance determinants; and the role of pneumococcal infections in
hospitalization and mortality associated with respiratory viral infections,
including both seasonal and pandemic influenza. Finally, there has been a recent
demonstration that pneumococcal pneumonia in children may be an important cause
of hospitalization for those with underlying tuberculosis.
PMID- 21893543
TI - Evaluation of vaccines against enteric infections: a clinical and public health
research agenda for developing countries.
AB - Enteric infections are a major cause of morbidity and mortality in developing
countries. To date, vaccines have played a limited role in public health efforts
to control enteric infections. Licensed vaccines exist for cholera and typhoid,
but these vaccines are used primarily for travellers; and there are two
internationally licensed vaccines for rotavirus, but they are mainly used in
affluent countries. The reasons that enteric vaccines are little used in
developing countries are multiple, and certainly include financial and political
constraints. Also important is the need for more cogent evidence on the
performance of enteric vaccines in developing country populations. A partial
inventory of research questions would include: (i) does the vaccine perform well
in the most relevant settings? (ii) does the vaccine perform well in all
epidemiologically relevant age groups? (iii) is there adequate evidence of
vaccine safety once the vaccines have been deployed in developing countries? (iv)
how effective is the vaccine when given in conjunction with non-vaccine
cointerventions? (v) what is the level of vaccine protection against all relevant
outcomes? and (vi) what is the expected population level of vaccine protection,
including both direct and herd vaccine protective effects? Provision of evidence
addressing these questions will help expand the use of enteric vaccines in
developing countries.
PMID- 21893545
TI - Therapeutic vaccines for chronic diseases: successes and technical challenges.
AB - Chronic, non-communicable diseases are the major cause of death and disability
worldwide and have replaced infectious diseases as the major burden of society in
large parts of the world. Despite the complexity of chronic diseases, relatively
few predisposing risk factors have been identified by the World Health
Organization. Those include smoking, alcohol abuse, obesity, high cholesterol and
high blood pressure as the cause of many of these chronic conditions. Here, we
discuss several examples of vaccines that target these risk factors with the aim
of preventing the associated diseases and some of the challenges they face.
PMID- 21893544
TI - Vaccines against malaria.
AB - There is no licenced vaccine against any human parasitic disease and Plasmodium
falciparum malaria, a major cause of infectious mortality, presents a great
challenge to vaccine developers. This has led to the assessment of a wide variety
of approaches to malaria vaccine design and development, assisted by the
availability of a safe challenge model for small-scale efficacy testing of
vaccine candidates. Malaria vaccine development has been at the forefront of
assessing many new vaccine technologies including novel adjuvants, vectored prime
boost regimes and the concept of community vaccination to block malaria
transmission. Most current vaccine candidates target a single stage of the
parasite's life cycle and vaccines against the early pre-erythrocytic stages have
shown most success. A protein in adjuvant vaccine, working through antibodies
against sporozoites, and viral vector vaccines targeting the intracellular liver
stage parasite with cellular immunity show partial efficacy in humans, and the
anti-sporozoite vaccine is currently in phase III trials. However, a more
effective malaria vaccine suitable for widespread cost-effective deployment is
likely to require a multi-component vaccine targeting more than one life cycle
stage. The most attractive near-term approach to develop such a product is to
combine existing partially effective pre-erythrocytic vaccine candidates.
PMID- 21893546
TI - Cancer vaccines.
AB - While vaccines are primarily thought of in terms of their use for prevention of
infectious diseases, they can potentially be used to prevent or treat cancer.
This manuscript explores the rationale for vaccines and immunotherapies for
cancer from both the scientific and the global needs perspectives. Pathogens that
are aetiologic agents of certain cancers provide perhaps the most obvious
successful examples of the prophylactic utility of vaccines (such as the
hepatitis B vaccine) to prevent not just the infectious disease (hepatitis), but
the potential subsequent cancer (hepatocellular carcinoma). The use of monoclonal
antibodies illustrates the effectiveness of the immune system for cancer therapy.
In addition, the increased understanding of the role and mechanisms of the immune
system in the processes of immune surveillance, as well as of its failure during
immunosuppression, have yielded better insights into how to design cancer
vaccines and immunotherapies. Examples of targets for cancer vaccines will be
discussed, as will the challenges and few successes in this arena.
PMID- 21893547
TI - Accelerating introduction of new vaccines: barriers to introduction and lessons
learned from the recent Haemophilus influenzae type B vaccine experience.
AB - Adoption of new vaccines in developing countries is critical to reducing child
mortality and meeting Millennium Development Goal 4. However, such introduction
has historically suffered from significant delays that can be attributed to
various factors including (i) lack of recognition of the value of a vaccine, (ii)
factors related to weak health systems, and (iii) policy considerations.
Recently, the Global Alliance for Vaccines and Immunization (GAVI) supported
efforts to accelerate the introduction of Haemophilus influenzae type b (Hib)
vaccines in developing countries, which resulted in a significant surge in
vaccine adoption by these countries. The experience with Hib vaccines, as well as
similar efforts by GAVI to support the introduction of new pneumococcal and
rotavirus vaccines, provides a strategy for new vaccine adoption that is reviewed
in this paper, providing a useful model to help accelerate the uptake of other
life-saving vaccines. This strategy addresses barriers for vaccine adoption by
focusing on three major areas: (i) communications to increase awareness about the
various factors needed for evidence-based decisions that meet a country's health
goals; (ii) research activities to answer key questions that support vaccine
introduction and long-term programme sustainability; and (iii) coordination with
the various stakeholders at global, regional and country levels to ensure
successful programme implementation.
PMID- 21893548
TI - Vaccines and future global health needs.
AB - Increased international support for both research into new vaccines and their
deployment in developing countries has been evident over the past decade. In
particular, the GAVI Alliance has had a major impact in increasing uptake of the
six common infant vaccines as well as those against hepatitis B and yellow fever.
It further aims to introduce pneumococcal and rotavirus vaccines in the near
future and several others, including those against human papillomavirus,
meningococcal disease, rubella and typhoid not long after that. In addition,
there is advanced research into vaccines against malaria, HIV/AIDS and
tuberculosis. By 2030, we may have about 20 vaccines that need to be used in the
developing world. Finding the requisite funds to achieve this will pose a major
problem. A second and urgent question is how to complete the job of global polio
eradication. The new strategic plan calls for completion by 2013, but both pre
eradication and post-eradication challenges remain. Vaccines will eventually
become available beyond the field of infectious diseases. Much interesting work
is being done in both autoimmunity and cancer. Cutting across disease groupings,
there are issues in methods of delivery and new adjuvant formulations.
PMID- 21893549
TI - Accelerating vaccine development and deployment: report of a Royal Society
satellite meeting.
AB - The Royal Society convened a meeting on the 17th and 18th November 2010 to review
the current ways in which vaccines are developed and deployed, and to make
recommendations as to how each of these processes might be accelerated. The
meeting brought together academics, industry representatives, research sponsors,
regulators, government advisors and representatives of international public
health agencies from a broad geographical background. Discussions were held under
Chatham House rules. High-throughput screening of new vaccine antigens and
candidates was seen as a driving force for vaccine discovery. Multi-stakeholder,
small-scale manufacturing facilities capable of rapid production of clinical
grade vaccines are currently too few and need to be expanded. In both the human
and veterinary areas, there is a need for tiered regulatory standards,
differentially tailored for experimental and commercial vaccines, to allow
accelerated vaccine efficacy testing. Improved cross-fertilization of knowledge
between industry and academia, and between human and veterinary vaccine
developers, could lead to more rapid application of promising approaches and
technologies to new product development. Identification of best-practices and
development of checklists for product development plans and implementation
programmes were seen as low-cost opportunities to shorten the timeline for
vaccine progression from the laboratory bench to the people who need it.
PMID- 21893550
TI - Predicting superficial venous incompetence with strain gauge plethysmography.
AB - BACKGROUND: With currently available effective interventional methods to treat
superficial venous insufficiency, it becomes particularly important to have a
simple and reliable method to evaluate the location and severity of venous
reflux. To date, there are few studies that evaluated plethysmography with and
without tourniquet application to differentiate superficial from deep venous
incompetence. OBJECTIVES: To determine if strain gauge plethysmography (SGP) with
and without tourniquet application can be used to distinguish between the
superficial and deep venous components of venous incompetence. METHODS: We
conducted a prospective study using SGP with and without tourniquet application
and duplex ultrasound (duplex US) to assess the severity and location of venous
incompetence in 62 patients (85 limbs, 42 women, with an age range of 32-81
years) referred to our vascular laboratory for haemodynamic evaluation. Based on
duplex US results, patients were diagnosed with superficial (SVI), deep and
superficial (mixed) and deep vein incompetence (DVI). RESULTS: Mixed incompetence
was the most common type. Twenty-three out of 33 limbs in the SVI group
normalized their refill rate (RR) with tourniquet application (69.6%).
Normalization of the RR with tourniquet application was less common in the mixed
(n: 17 out of 40, 42.5%) and DVI (n: 2 out of 6, 33.3%) groups. CONCLUSION: SGP
with tourniquet application is a simple and fast technique that can identify
patients with SVI, based on RR improvement, who probably would benefit more from
ablation procedures. Further studies evaluating impact of SGP with tourniquet
test results on clinical outcome of SVI invasive treatment are warranted.
PMID- 21893551
TI - Interface pressure and stiffness in different combinations of compression
material.
AB - OBJECTIVES: To compare the interface pressure and stiffness between various
combinations of compression material. METHODS: Using a stiffness-determining
device, the interface pressure and stiffness were determined in several
combinations of five elastic stockings and two elastic bandages. RESULTS: In the
double stockings system, the interface pressure increased significantly and the
highest stiffness was observed in double short-stretch stockings. When short
stretch bandages were applied over either short- or long-stretch stockings, a
significant increase in stiffness was observed at any initial pressure compared
with the single use of short-stretch stockings. In double bandage systems, when
short-stretch bandages were applied over either short- or long-stretch bandages,
the stiffness increased significantly. When long-stretch bandages were used over
short-stretch bandages, the stiffness decreased significantly. CONCLUSIONS: The
stiffness is changeable according to the combinations of material, such as double
stockings, double bandages and the wearing of bandages over stockings. The use of
short-stretch bandages as the outside layer produces the highest stiffness at a
high initial pressure.
PMID- 21893552
TI - Performance of endovenous foam sclerotherapy in the USA.
AB - PURPOSE: To assess practice patterns of endovenous foam sclerotherapy (EFS) use
in the USA. METHODS: A multidisciplinary panel of US experts was convened and
developed a questionnaire to assess use of EFS. US attendees at the American
College of Phlebology 2009 Annual Congress were asked to complete the
questionnaire. RESULTS: Of 776 questionnaires distributed, 239 were completed
(31%). The majority of respondents (87%) reported using EFS for the treatment of
venous disorders. Foam sclerotherapy was used by a wide variety of specialists in
every region of the USA. The most common indication was sclerosis of recurrent
truncal or tributary veins of the leg. There was variation among practitioners in
the indications for use, pre- and postprocedural evaluation and procedure
methodology. CONCLUSIONS: The results of this questionnaire show widespread usage
of EFS and are important in the development of national quality improvement
guidelines for the performance of EFS.
PMID- 21893553
TI - Relationship between platelet indices and spontaneous echo contrast in patients
with mitral stenosis.
AB - AIMS: To determine the association of platelet indices with spontaneous echo
contrast (SEC) in patients with mitral stenosis. METHODS AND RESULTS: A total of
232 consecutive patients with mitral stenosis who undergoing mitral balloon
valvuloplasty were enrolled to the study. Patients were divided into two groups
according to the formation of SEC in the left atrium. Group 1: mitral stenosis
complicated with SEC; Group 2: mitral stenosis without SEC. Transthoracic
echocardiography and transoesophageal echocardiography were performed for each
patient. Complete blood counting parameters were measured and all routine
biochemical tests were performed. There were 133 patients (mean age 42 +/- 11 and
74% female) in the SEC(-) group and 99 patients (mean age 45 +/- 10 and 64%
female) in the SEC(+) group. Plateletcrit (0.25 +/- 0.06 vs. 0.27 +/- 0.07, P =
0.043) and mean platelet volume (MPV) levels (9.4 +/- 1.1 vs. 10.4 +/- 1.2, P <
0.001) were significantly higher in the SEC(+) group. When we divided the SEC(+)
patients into four subgroups according to previously reported criteria, MPV
levels increased to correlate with the degree of SEC (P < 0.001). At multivariate
analysis, MPV levels [odds ratio (OR) 2.365, 95% confidence interval (CI) 1.720
3.251; P < 0.001] and PCT levels (OR 2.699, 95% CI 1.584-4.598; P= 0.033) are
independent risk factors of SEC in patients with mitral stenosis. CONCLUSION: In
patients with mitral stenosis, cheaply and easily measurable platelet indices
including MPV and PCT levels are associated with the presence of SEC and are
independent risk factors of SEC.
PMID- 21893554
TI - Development and validation of the cognitive-behavioral therapy skills
questionnaire.
AB - Although several theories exist to describe why patients improve in cognitive
behavioral therapy (CBT), in only a limited number of studies has CBT skill
acquisition been examined, particularly among patients with complex clinical
profiles. Thus, the overarching aim of this research was to develop a tool to
measure patients' use of CBT skills, such that it would have clinical utility for
patients and therapists during treatment. In Study 1, the authors developed an
initial set of items for the Cognitive-Behavioral Therapy Skills Questionnaire
(CBTSQ). They submitted these items to an exploratory factor analysis in an
initial administration (n = 350) and to a confirmatory factor analysis in a
second administration (n = 378). Results indicated that there were two factors
(Behavioral Activation and Cognitive Restructuring) with good factor structure
and internal consistency, and both the factors evidenced expected relationships
with other constructs. In Study 2, the criterion validity of the CBTSQ was
investigated on a patient sample in a CBT-oriented treatment setting. Results
showed that CBTSQ scores increased following treatment, and Cognitive
Restructuring and Behavioral Activation scores predicted reduction of overall
psychiatric symptoms and depression. Thus, the CBTSQ appears to be a promising
measure of CBT skill acquisition and treatment outcome as well as an instrument
that can help patients and therapists monitor progress specifically related to a
CBT skills training treatment approach.
PMID- 21893555
TI - Mediastinal mass with superior vena cava syndrome.
PMID- 21893556
TI - Immunohistochemical characterization of calcitonin gene-related peptide in the
trigeminal system of the familial hemiplegic migraine 1 knock-in mouse.
AB - INTRODUCTION: Familial hemiplegic migraine type 1 (FHM-1) is caused by mutations
in the CACNA1A gene, with the R192Q mutation being the most common. Elevated
calcitonin gene-related peptide (CGRP) levels in acute migraine and clinical
trials using CGRP receptor antagonists suggest CGRP-related mechanisms are
important in migraine. METHODS: Wild-type and R192Q knock-in mice were
anaesthetized and perfused. Using immunohistochemical staining, the expression of
CGRP in the trigeminocervical complex (TCC) and in the trigeminal and dorsal root
ganglia was characterized. RESULTS: There was a 38% reduction in the percentage
of CGRP-immunoreactive cells in the trigeminal ganglia (p < 0.001) of R192Q knock
in mice compared to wild-type animals. The size distribution profile of CGRP
immunoreactive cells within the trigeminal ganglia demonstrated no significant
difference in cell diameter between the two groups (p >= 0.56). CGRP expression
was also reduced in thoracic ganglia of R192Q knock-in mice (21% vs. 27% in wild
type group; p < 0.05), but not in other ganglia. In addition, decreased CGRP
immunoreactivity was observed in the superficial laminae of the TCC in R192Q
knock-in mice, when compared to the control group (p < 0.005). CONCLUSION: The
data demonstrates that the FHM-1 CACNA1A mutation alters CGRP expression in the
trigeminal ganglion and TCC. This suggests further study of these animals is
warranted to characterize better the role of these mutations in the neurobiology
of migraine.
PMID- 21893557
TI - Topiramate in the treatment of migraine: a kainate (glutamate) receptor
antagonist within the trigeminothalamic pathway.
AB - BACKGROUND: The development of new agents for the preventive treatment of
migraine is the greatest unmet need in the therapeutics of primary headaches.
Topiramate, an anticonvulsant drug, is an effective anti-migraine preventive
whose mechanism of action is not fully elucidated. Since glutamate plays a major
role in migraine pathophysiology, the potential action of topiramate through
glutamatergic mechanisms is of considerable interest. METHODS: Recordings of
neurons in the trigeminocervical complex (TCC) and the ventroposteromedial
thalamic nucleus (VPM) of anesthetized rats were made using electrophysiological
techniques. The effects of intravenous or microiontophorezed topiramate on
trigeminovascular activation of second- and third-order neurons in the
trigeminothalamic pathway were characterized. The potential interactions of
topiramate with the ionotropic glutamate receptors were studied using
microiontophoresis. RESULTS: Both intravenous and microiontophorized topiramate
significantly inhibited trigeminovascular activity in the TCC and VPM. In both
nuclei microiontophoretic application of topiramate significantly attenuated
kainate receptor-evoked firing but had no effect on N-methyl-d-aspartic acid or
alpha-amino-3-hydroxyl-5-methyl-4-isoxazole-propionate receptor activation.
CONCLUSION: The data demonstrate for the first time that topiramate modulates
trigeminovascular transmission within the trigeminothalamic pathway with the
kainate receptor being a potential target. Understanding the mechanism of action
of topiramate may help in the design of new medications for migraine prevention,
with the data pointing to glutamate-kainate receptors as a fruitful target to
pursue.
PMID- 21893558
TI - The effect of amlodipine besylate, losartan potassium, olmesartan medoxomil, and
other antihypertensives on central aortic blood pressure and biomarkers of
vascular function.
AB - Biomarkers are being increasingly used in the study of cardiovascular disease
because they provide readily quantifiable surrogate endpoints and allow accurate
assessment of the effects of therapy on particular pathological processes.
However, in order to be useful, biomarkers must be relevant, predictable,
accurate, and reproducible. There is compelling evidence from large-scale
clinical trials that inhibitors of the renin-angiotensin system [angiotensin
converting enzyme inhibitors and angiotensin type II receptor blockers (ARBs)]
and calcium channel blockers (CCBs) may have beneficial effects beyond blood
pressure control in the treatment of hypertension. Biomarkers are expected to
provide further insight into these beneficial effects and allow for quantitative
assessment. This review summarizes the published clinical evidence on the effects
of various antihypertensive drugs, particularly ARBs (e.g. losartan and
olmesartan medoxomil) and CCBs (e.g. amlodipine), alone and in combination with
other agents (e.g. hydrochlorothiazide), on central aortic pressure and the
biomarkers high-sensitivity C-reactive protein (hsCRP), adiponectin, cystatin C,
homeostasis model assessment of insulin resistance (HOMA-IR), procollagen, tumor
necrosis factor-alpha, and interleukin-6. Of these biomarkers, the benefits of
antihypertensive therapy on hsCRP, adiponectin, and HOMA-IR reflect a potential
for quantifiable long-term vascular benefits.
PMID- 21893559
TI - The facts behind niacin.
AB - Although low-density lipoprotein cholesterol (LDL-C) lowering represents the
mainstay of current lipid treatment, high-density lipoprotein cholesterol (HDL-C)
has generated increasing interest as a secondary therapeutic target because of
strong evidence that serum HDL-C concentration is inversely associated with
coronary heart disease risk. Niacin is a lipid-altering drug that has been used
to lower cholesterol since the 1950s. In addition to its LDL-C-lowering effects,
niacin is the most effective agent currently available for raising HDL-C. Despite
its long history as a lipid-altering drug, only limited data are available
regarding its clinical benefit alone and in combination with other agents, and
the majority of studies investigating its impact on clinical outcomes are from
the pre-statin area. Several studies have demonstrated a beneficial effect of
treatment with niacin in combination with statin therapy on surrogate
cardiovascular markers (e.g. carotid intima-media thickness). However, the
clinical significance of these surrogate markers has been questioned. Two large
randomized trials will address whether niacin-statin combination therapy is an
appropriate therapeutic alternative to statin monotherapy.
PMID- 21893560
TI - Exercise attenuates the premature cardiovascular aging effects of type 2 diabetes
mellitus.
AB - Type 2 diabetes mellitus (T2D) is an example of a disease process that results in
decrements in function additional to those imposed by the inexorable 'primary
aging' process. These decrements due to disease, rather than primary aging, can
be termed 'secondary aging', and include the premature development (as early as
adolescence) of asymptomatic preclinical cardiovascular abnormalities (e.g.
endothelial dysfunction, arterial stiffness, diastolic dysfunction), as well as
impaired exercise performance. These abnormalities are important, as they are
associated with greater cardiovascular morbidity and mortality in people with and
without T2D. A better understanding of the pathophysiology of secondary
cardiovascular aging in people with T2D is warranted, and an evaluation of the
benefits of existing treatments for these abnormalities is useful (e.g. exercise
training). The focus of this review is to discuss the data relevant to the
following key postulates: (a) T2D causes premature cardiovascular aging; (b) in
contrast to primary cardiovascular aging, the premature cardiovascular aging of
T2D may be modifiable with exercise. The exercise-focused perspective for this
review is appropriate because impairments in exercise performance are markers of
premature cardiovascular aging in T2D, and also because exercise training shows
promise to attenuate some aspects of cardiovascular aging during the preclinical
stage.
PMID- 21893561
TI - An analysis of the metabolic syndrome phenotype in systemic lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is associated with an increased risk of
coronary heart disease (CHD) not fully explained by classic risk factors.
Metabolic syndrome (MetS) is associated with an increased risk of CHD in the
general population and whilst its prevalence is increased in SLE, its phenotypic
expression may differ. We studied 200 women with SLE and 100 controls and
compared the prevalence of MetS and its individual components. We examined
whether any SLE features were associated with MetS and whether MetS in SLE
patients was associated with carotid plaque. Patients with SLE were more likely
to meet the MetS criteria (age-adjusted OR 2.1 (1.1-3.8)). However, this was not
due to increased central obesity (median waist circumference 84 cm vs. 82 cm, p =
0.65) but rather increased prevalence of hypertension (p <0.01) and low HDL
cholesterol (p = 0.01). In a multivariable analysis, age, disease duration, low
complement C3 and corticosteroid use ever, were associated with the presence of
MetS in SLE. Overall MetS was not associated with the presence of carotid plaque
in either SLE or controls. We have shown that MetS is more prevalent in SLE, but
the lupus-MetS phenotype reflects risk factor changes driven by disease activity
and steroid exposure, rather than obesity. Reliance on clinical measures of
central obesity to consider MetS in SLE is not reliable and continued attention
to individual CHD risk factors is recommended.
PMID- 21893562
TI - Significance of glomerular activation of the alternative pathway and lectin
pathway in lupus nephritis.
AB - The objective of the present study was to elucidate the association between
glomerular complement depositions belonging to the alternative (AP) and lectin
(LP) pathways, and clinical findings of lupus nephritis (LN). Immunofluorescence
(IF) was performed on 17 LN patients using antibodies against factor B, factor H,
properdin, mannose-binding lectin (MBL) and L-ficolin. Compared with factor
B/factor H negative patients (n = 9), positive patients (n = 8) showed longer
duration of LN (p < 0.05) and more severe interstitial fibrosis (p < 0.05).
Eleven patients had properdin deposition in glomeruli, and in three of them, with
a duration of LN of less than 1 month, factor B was undetectable. Compared with
properdin negative patients (n = 6), positive patients (n = 11) showed
significantly higher urinary protein excretion (p < 0.01). MBL/L-ficolin positive
patients (n = 11) also had significantly higher urinary protein excretion (p <
0.05) compared with negative patients (n = 6). An independent association was
found between glomerular deposition of properdin and that of MBL/L-ficolin (p <
0.01) in addition to factor B/factor H. Traces of glomerular activation of AP and
LP reflected the clinical status of LN. It appears that glomerular deposition of
each complement component, especially properdin, may be an index of the
histological activity of LN.
PMID- 21893563
TI - Mobile phone tracking could help target aid after disasters, study shows.
PMID- 21893564
TI - FDA is to hold public meeting to discuss reasons for drug shortages.
PMID- 21893565
TI - Tobacco company makes freedom of information request for university's research.
PMID- 21893566
TI - Haiti reconstruction is failing to reduce maternal mortality, report warns.
PMID- 21893567
TI - Manufacturer recalls commonly used painkiller amid fears of possible sabotage.
PMID- 21893568
TI - Can a multifaceted educational intervention targeting both nurses and physicians
change the prescribing of antibiotics to nursing home residents? A cluster
randomized controlled trial.
AB - OBJECTIVES: To assess the impact of a multifaceted educational intervention
concerning treatment of infections in the nursing home setting. METHODS: We used
a cluster randomized controlled trial. Fifty-eight nursing homes in Sweden were
randomly assigned either to educational intervention or control. The intervention
consisted of small educational group sessions with nurses and physicians,
feedback on prescribing, presentation of guidelines and written materials. The
primary outcome was the proportion of quinolones prescribed for lower urinary
tract infection (UTI) in women. Secondary outcomes were for all infections:
number of UTIs per resident, proportion of recorded infections treated with an
antibiotic, proportion of infections handled by physicians as 'wait and see', and
for lower UTI in women, proportion of nitrofurantoin. RESULTS: Of the 58 nursing
homes, 46 completed the study. A total of 702 and 540 infections were recorded
pre- and post-intervention. The proportion of quinolones decreased significantly
in the intervention and control groups, by -0.196 (9/93 to 36/123) and -0.224
(4/66 to 31/109), respectively [95% confidence interval (CI) -0.338, -0.054 and
0.394, -0.054], but the difference between intervention and control groups was
not significant, with an absolute risk reduction of 0.028 (95% CI -0.193, 0.249).
The changes in proportion of infections treated with antibiotics and proportion
of infections handled by physicians as 'wait and see' was significant in
comparison with controls: -0.124 (95% CI -0.228, -0.019) and 0.143 (95% CI 0.047,
0.240). No intervention effect could be seen for the other outcomes. CONCLUSIONS:
The educational intervention had no effect on the primary outcome, but decreased
the overall prescribing of antibiotics.
PMID- 21893569
TI - Human ZG16p recognizes pathogenic fungi through non-self polyvalent mannose in
the digestive system.
AB - Human zymogen granule protein 16 (ZG16p) contains a Jacalin-like lectin domain,
although its glycan-binding properties are not fully understood. Here, we
screened the glycan-binding specificity of ZG16p by recently developed
glycoconjugate microarray. ZG16p appeared to exhibit selective binding to alpha-
and beta-linked mannose-polyacrylamide-biotin probes. In more quantitative
analysis using frontal affinity chromatography, dissociation constants to two
types of polyvalent mannose, i.e. high-density mannose and yeast mannan, were
determined to be 1.3 and 1.7 uM, respectively. Mutation of the evolutionarily
conserved amino acid Asp151, which is involved in sugar binding among the Jacalin
related lectins (JRLs), abolished binding activity to mannose. By
immunohistochemical staining, ZG16p was specifically detected in mucus-secreting
cells of the digestive system such as serosanguineous acinar cells of the parotid
gland, acinar cells of the pancreas and goblet cells of the intestine. Finally,
we showed that ZG16p recognizes pathogenic Candida and Malassezia species in a
polyvalent mannose-dependent manner. We propose that ZG16p is a novel member of
mannose-specific JRLs, which recognizes pathogenic fungi through non-self
polyvalent mannose in the digestive system.
PMID- 21893571
TI - Papaya epicarp extract protects against hydrogen peroxide-induced oxidative
stress in human SH-SY5Y neuronal cells.
AB - Recent studies indicated that regular consumption of antioxidant-rich foods
reduces cellular oxidative stress and protects against health-related problems.
This study aimed to assess the in vitro antioxidant properties of the papaya
epicarp extract against hydrogen peroxide (H(2)O(2))-induced oxidative stress in
human SH-SY5Y neuronal cells. Our study revealed that papaya epicarp extract
acted as a potent free radical scavenger and provided neuroprotection against
H(2)O(2)-induced oxidative stress. Papaya epicarp extract ameliorated glutathione
depletion, restored total antioxidant capacity and augmented the inhibition of
antioxidant enzymes (catalase, glutathione peroxidases and superoxide dismutase).
In conclusion, papaya epicarp extract can be used as a functional dietary
ingredient that might help in reducing the neurological health problems
associated with various oxidative stress insults.
PMID- 21893570
TI - Phytotherapy in a rat model of hyperoxaluria: the antioxidant effects of
quercetin involve serum paraoxonase 1 activation.
AB - Serum paraoxonase 1 (PON1) has been reported to be an important contributor to
the antioxidant and anti-inflammatory activities of HDL, avoiding LDL oxidation.
The activity of this enzyme is reduced in patients with renal insufficiency,
caused by elevated oxidative stress and disturbances of apolipoprotein
metabolism. Therapeutic utilization of antioxidants to control renal oxidative
stress may be an effective therapy in renal protection. The aim was to
investigate the protective effects of several antioxidant compounds against the
oxidative stress associated to renal failure induced by ethylene glycol (EG),
focusing on the possible role of serum PON1 activity. Fifty-four male Wistar rats
were randomly assigned to six groups (n = 9): an untreated control (C) group, an
EG-treated group, a catechin (CAT)-treated group, an epicatechin (EPI)-treated
group, a quercetin (QUE)-treated group and a folk herbal extract (FHE)-treated
group. After 16 d of treatment, calcium oxalate lithiasis was induced in the rats
using EG. After eight days (treatment + EG), the animals were sacrificed. EG
treatment impaired kidney composition, increased oxidative damage, and decreased
serum paraoxonase and arylesterase activities. CAT, QUE and the FHE Fagolitos
improved oxidative status by enhancing antioxidant defenses - superoxide
dismutase and PON1 activities - and reducing oxidative damage, thus reinforcing
the idea of a possible role of PON1 in the protective effects of QUE against the
deleterious consequences of oxidative stress in kidney.
PMID- 21893572
TI - Janus kinase/signal transducer and activator of transcription 3 signaling pathway
is crucial in chemokine production from hepatocytes infected by dengue virus.
AB - Hepatocytes are one of the important targets in dengue virus (DV) infection.
Chemokines produced in DV infection play important immunopathogenic roles. We
previously showed that DV infection can directly activate signal transducer and
activator of transcription 3 (STAT3) in dendritic cells. In the present study, we
examined the possible involvement of the Janus kinase (JAK)/STAT3 pathway in
chemokine production from DV-infected hepatocytes. HepG2 cells were infected by
DV. The activation of STAT3, nuclear factor-kappaB (NF-kappaB) and other
transcription factors was determined by Western blotting or electrophoretic
mobility shift assay. The concentrations of chemokines were measured by enzyme
linked immunosorbent assay. Virus titers were determined by plaque assays. A
genetic manipulation with short hairpin RNA (shRNA) was applied to knock-down
STAT3. Chemotaxis assays were used to evaluate cell migration. We observed that
DV infection induced phosphorylation of STAT3 and its DNA-binding activity and
such effects were attenuated by the inhibitor of JAK2 or JAK3. Blocking JAK2 or
JAK3 reduced DV-induced cell migration and production of chemokines like
interleukin-8 and regulated upon activation, normal T-cell expressed and secreted
(RANTES). At high doses, the JAK2 but not JAK3 inhibitor could significantly
inhibit DV production. Knocking down STAT3 with shRNA suppressed DV-induced
STAT3, NF-kappaB and AP-1 activation. Furthermore, reduction of STAT3 suppressed
DV-induced chemokine production and cell migration but had no effect on virus
production. In conclusion, the results show that the JAK/STAT3 pathway is
critical in chemokine production from DV-infected hepatocytes. Targeting this
pathway may be of benefit in the therapy of DV-induced immunopathologies.
PMID- 21893573
TI - We need to talk about dying.
PMID- 21893574
TI - Tools and techniques to solve ethical problems.
PMID- 21893575
TI - Citations for the Human Rights and Nursing Awards 2011.
PMID- 21893576
TI - Ethical aspects of researching subjective experiences in early-stage dementia.
AB - This article is based on a qualitative longitudinal study that followed the
subjective experiences of both people living with dementia and their family
members during the early stages of the illness. The purpose of this article is to
describe and reflect on the ethical and methodological issues that occurred
during data collection. The article focuses on the situation of the person with
dementia and the family member and the role of the researcher when conducting the
research interviews. Based on the results of this study, conducting research
interviews with people with dementia and their family members poses several
ethical and methodological challenges that must be addressed. In doing so,
ethically sound dementia-specific research methods will be actively developed
enhancing our understanding of living with dementia and providing new insights
into the care of people with dementia and their family members.
PMID- 21893577
TI - Sustaining citizenship: people with dementia and the phenomenon of social death.
AB - Social death is apparent when people are considered unworthy of social
participation and deemed to be dead when they are alive. Some marginalized groups
are more susceptible to this treatment than others, and one such group is people
with dementia. Studies into discrimination towards older people are well
documented and serve as a source of motivation of older people's social movements
worldwide. Concurrently, theories of ageing and care have been forthcoming in a
bid to improve the quality of responses to older people in times of need.
Included in this theorizing has been the analysis of values and approaches that
paid carers convey to citizens who require their help. In this article, the
values and approaches of social workers and mental health nurses bring to people
with dementia are considered within the context of social life and social death.
It is based on a small study that undertook to critically examine how
participation of people with dementia was facilitated. A thanatological lens was
used to interpret inclusive and exclusive practices which potentially create
opportunity for participation or reinforce the loss of citizenship for older
people with dementia.
PMID- 21893578
TI - Dignified death: concept development involving nurses and doctors in pediatric
intensive care units.
AB - The aim of this study was to develop the concept of the dignified death of
children in Brazilian pediatric intensive care units (PICUs). The Hybrid Model
for Concept Development was used to develop a conceptual structure of dignified
death in PICUs in an attempt to define the concept. The fieldwork study was
carried out by means of in-depth interviews with nine nurses and seven physicians
working in PICUs. Not unexpectedly, the concept of dignified death was found to
be a complex phenomenon involving aspects related to decisions made by the
multidisciplinary team as well as those related to care of the child and the
family. Knowledge of the concept's dimensions can promote reflection on the part
of healthcare professionals regarding the values and beliefs underlying their
conduct in end-of-life situations. Our hope is that this study may contribute to
theoretic and methodological development in the area of end-of-life care.
PMID- 21893579
TI - Comparison of nurse educators' and nursing students' descriptions of teaching
codes of ethics.
AB - This study analysed teaching of nurses' codes of ethics in basic nursing
education in Finland. A total of 183 educators and 214 students responded to a
structured questionnaire. The data was analysed by SPSS. Teaching of nurses'
codes was rather extensive. The nurse-patient relationship was highlighted.
Educators assessed their teaching statistically significantly more extensive than
what students' perceptions were. The use of teaching and evaluation methods was
conventional, but differences between the groups concerning the use of these
methods were statistically significant. Students' knowledge of and their ability
to apply the codes was mediocre. Most educators and students assessed educators'
knowledge of the codes as adequate for teaching. These educators also taught the
codes more extensively and these students perceived the teaching as more
extensive. Otherwise educators' and students' socio-demographic variables had
little association with the teaching. Research should focus on the organization
and effectiveness of ethics education, and on educators' competence.
PMID- 21893581
TI - Let the fog be lifted: screening for hepatitis B virus before biological therapy.
PMID- 21893582
TI - Autoantibodies as biomarkers for the prediction of neuropsychiatric events in
systemic lupus erythematosus.
AB - OBJECTIVE: Neuropsychiatric events occur unpredictably in systemic lupus
erythematosus (SLE) and most biomarker associations remain to be prospectively
validated. This study examined a disease inception cohort of 1047 SLE patients to
determine which autoantibodies at enrolment predicted subsequent neuropsychiatric
events. METHODS: Patients with a recent SLE diagnosis were assessed prospectively
for up to 10 years for neuropsychiatric events using the American College of
Rheumatology case definitions. Decision rules of graded stringency determined
whether neuropsychiatric events were attributable to SLE. Associations between
the first neuropsychiatric event and baseline autoantibodies (lupus anticoagulant
(LA), anticardiolipin, anti-beta(2) glycoprotein-I, anti-ribosomal P and anti-NR2
glutamate receptor) were tested by Cox proportional hazards regression. RESULTS:
Disease duration at enrolment was 5.4 +/- 4.2 months, follow-up was 3.6 +/- 2.6
years. Patients were 89.1% female with mean (+/-SD) age 35.2 +/- 13.7 years.
495/1047 (47.3%) developed one or more neuropsychiatric event (total 917 events).
Neuropsychiatric events attributed to SLE were 15.4% (model A) and 28.2% (model
B). At enrolment 21.9% of patients had LA, 13.4% anticardiolipin, 15.1% anti
beta(2) glycoprotein-I, 9.2% anti-ribosomal P and 13.7% anti-NR2 antibodies. LA
at baseline was associated with subsequent intracranial thrombosis (total n=22)
attributed to SLE (model B) (HR 2.54, 95% CI 1.08 to 5.94). Anti-ribosomal P
antibody was associated with subsequent psychosis (total n=14) attributed to SLE
(model B) (HR 3.92, 95% CI 1.23 to 12.5, p=0.02). Other autoantibodies did not
predict neuropsychiatric events. CONCLUSION: In a prospective study of 1047
recently diagnosed SLE patients, LA and anti-ribosomal P antibodies are
associated with an increased future risk of intracranial thrombosis and lupus
psychosis, respectively.
PMID- 21893583
TI - Long-term safety, efficacy and inhibition of radiographic progression with
abatacept treatment in patients with rheumatoid arthritis and an inadequate
response to methotrexate: 3-year results from the AIM trial.
AB - OBJECTIVE: To evaluate abatacept treatment over 3 years in patients with
rheumatoid arthritis (RA) refractory to methotrexate (MTX). METHODS: Patients
randomised to abatacept or placebo (+MTX) during the 1-year double-blind period
of the Abatacept in Inadequate responders to Methotrexate (AIM) trial received
open-label abatacept (+MTX) in the long-term extension (LTE). Safety was assessed
for patients who received >= 1 dose of abatacept, regardless of randomisation
group. Efficacy was assessed for patients randomised to abatacept who entered the
LTE. RESULTS: 433 and 219 patients were randomised and treated with abatacept or
placebo, respectively; 378 and 161 entered the LTE. At year 3, 440/539 patients
were ongoing. No unexpected safety events were observed in the LTE. By year 3,
incidence rates of adverse event and serious adverse events were 249.8/100 and
15.1/100 patient-years, respectively. Incidence rates were generally stable over
time. At year 3, 84.8%, 63.4% and 37.5% of patients achieved American College of
Rheumatology (ACR) criteria of 20, 50 and 70, respectively, compared with 82.3%,
54.3% and 32.4% of patients at year 1. Mean changes in Genant-modified Sharp
scores were reduced progressively over 3 years, with significantly greater
inhibition during year 3 compared with year 2 (p=0.022 for total score).
CONCLUSION: In MTX-inadequate responders with RA, abatacept provided consistent
safety and sustained efficacy over 3 years. The data suggest an increasing
inhibitory disease-modifying effect on radiographic progression.
PMID- 21893584
TI - A case of progressive multifocal leukoencephalopathy in a patient with
sarcoidosis.
PMID- 21893585
TI - Identification of novel proteins associated with yeast snR30 small nucleolar RNA.
AB - H/ACA small nucleolar RNPs (snoRNPs) that guide pseudouridylation reactions are
comprised of one small nucleolar RNA (snoRNA) and four common proteins (Cbf5,
Gar1, Nhp2 and Nop10). Unlike other H/ACA snoRNPs, snR30 is essential for the
early processing reactions that lead to the production of 18S ribosomal RNA in
the yeast Saccharomyces cerevisiae. To determine whether snR30 RNP contains
specific proteins that contribute to its unique functional properties, we devised
an affinity purification strategy using TAP-tagged Gar1 and an RNA aptamer
inserted in snR30 snoRNA to selectively purify the RNP. Northern blotting and pCp
labeling experiments showed that S1-tagged snR30 snoRNA can be selectively
purified with streptavidin beads. Protein analysis revealed that aptamer-tagged
snR30 RNA was associated with the four H/ACA proteins and a number of additional
proteins: Nop6, ribosomal proteins S9 and S18 and histones H2B and H4. Using
antibodies raised against Nop6 we show that endogenous Nop6 localizes to the
nucleolus and that it cosediments with snR30 snoRNA in sucrose density gradients.
We demonstrate through primer extension experiments that snR30 snoRNA is required
for cleavages at site A0, A1 and A2, and that the absence of Nop6 decreases the
efficiency of cleavage at site A2. Finally, electron microscopy analyses of
chromatin spreads from cells depleted of snR30 snoRNA show that it is required
for SSU processome assembly.
PMID- 21893586
TI - Is the sequence-specific binding of aminoacyl-tRNAs by EF-Tu universal among
bacteria?
AB - Three base pairs in the T-stem are primarily responsible for the sequence
specific interaction of tRNA with Escherichia coli and Thermus thermophilus EF
Tu. While the amino acids on the surface of EF-Tu that contact aminoacyl-tRNA (aa
tRNA) are highly conserved among bacteria, the T-stem sequences of individual
tRNA are variable, making it unclear whether or not this protein-nucleic acid
interaction is also sequence specific in other bacteria. We propose and validate
a thermodynamic model that predicts the DeltaG degrees of any tRNA to EF-Tu
using the sequence of its three T-stem base pairs. Despite dramatic differences
in T-stem sequences, the predicted DeltaG degrees values for the majority of
tRNA classes are similar in all bacteria and closely match the DeltaG degrees
values determined for E. coli tRNAs. Each individual tRNA class has evolved to
have a characteristic DeltaG degrees value to EF-Tu, but different T-stem
sequences are used to achieve this DeltaG degrees value in different bacteria.
Thus, the compensatory relationship between the affinity of the tRNA body and the
affinity of the esterified amino acid is universal among bacteria. Additionally,
we predict and validate a small number of aa-tRNAs that bind more weakly to EF-Tu
than expected and thus are candidates for acting as activated amino acid donors
in processes outside of translation.
PMID- 21893587
TI - Structural and functional insight into the mechanism of an alkaline exonuclease
from Laribacter hongkongensis.
AB - Alkaline exonuclease and single-strand DNA (ssDNA) annealing proteins (SSAPs) are
key components of DNA recombination and repair systems within many prokaryotes,
bacteriophages and virus-like genetic elements. The recently sequenced beta
proteobacterium Laribacter hongkongensis (strain HLHK9) encodes putative homologs
of alkaline exonuclease (LHK-Exo) and SSAP (LHK-Bet) proteins on its 3.17 Mb
genome. Here, we report the biophysical, biochemical and structural
characterization of recombinant LHK-Exo protein. LHK-Exo digests linear double
stranded DNA molecules from their 5'-termini in a highly processive manner.
Exonuclease activities are optimum at pH 8.2 and essentially require Mg(2+) or
Mn(2+) ions. 5'-phosphorylated DNA substrates are preferred over dephosphorylated
ones. The crystal structure of LHK-Exo was resolved to 1.9 A, revealing a
'doughnut-shaped' toroidal trimeric arrangement with a central tapered channel,
analogous to that of lambda-exonuclease (Exo) from bacteriophage-lambda. Active
sites containing two bound Mg(2+) ions on each of the three monomers were located
in clefts exposed to this central channel. Crystal structures of LHK-Exo in
complex with dAMP and ssDNA were determined to elucidate the structural basis for
substrate recognition and binding. Through structure-guided mutational analysis,
we discuss the roles played by various active site residues. A conserved two
metal ion catalytic mechanism is proposed for this class of alkaline
exonucleases.
PMID- 21893588
TI - Secondary structure is required for 3' splice site recognition in yeast.
AB - Higher order RNA structures can mask splicing signals, loop out exons, or
constitute riboswitches all of which contributes to the complexity of splicing
regulation. We identified a G to A substitution between branch point (BP) and 3'
splice site (3'ss) of Saccharomyces cerevisiae COF1 intron, which dramatically
impaired its splicing. RNA structure prediction and in-line probing showed that
this mutation disrupted a stem in the BP-3'ss region. Analyses of various COF1
intron modifications revealed that the secondary structure brought about the
reduction of BP to 3'ss distance and masked potential 3'ss. We demonstrated the
same structural requisite for the splicing of UBC13 intron. Moreover, RNAfold
predicted stable structures for almost all distant BP introns in S. cerevisiae
and for selected examples in several other Saccharomycotina species. The
employment of intramolecular structure to localize 3'ss for the second splicing
step suggests the existence of pre-mRNA structure-based mechanism of 3'ss
recognition.
PMID- 21893589
TI - Cation binding to 15-TBA quadruplex DNA is a multiple-pathway cation-dependent
process.
AB - A combination of explicit solvent molecular dynamics simulation (30 simulations
reaching 4 us in total), hybrid quantum mechanics/molecular mechanics approach
and isothermal titration calorimetry was used to investigate the atomistic
picture of ion binding to 15-mer thrombin-binding quadruplex DNA (G-DNA) aptamer.
Binding of ions to G-DNA is complex multiple pathway process, which is strongly
affected by the type of the cation. The individual ion-binding events are
substantially modulated by the connecting loops of the aptamer, which play
several roles. They stabilize the molecule during time periods when the bound
ions are not present, they modulate the route of the ion into the stem and they
also stabilize the internal ions by closing the gates through which the ions
enter the quadruplex. Using our extensive simulations, we for the first time
observed full spontaneous exchange of internal cation between quadruplex molecule
and bulk solvent at atomistic resolution. The simulation suggests that expulsion
of the internally bound ion is correlated with initial binding of the incoming
ion. The incoming ion then readily replaces the bound ion while minimizing any
destabilization of the solute molecule during the exchange.
PMID- 21893590
TI - Interfaces between bacterial and eukaryotic "neuroecology".
AB - The sensory capacity of bacteria and macroalgae (seaweeds) is limited with
respect to many modalities (visual, auditory) common in "higher" organisms such
as animals. Thus, we expect that other modalities, such as chemical signaling and
sensing, would play particularly important roles in their sensory ecology. Here,
we discuss two examples of chemical signaling in bacteria and seaweeds: (1) the
role of chemical defenses and quorum-sensing (QS) regulatory systems in bacterial
colonization and infection of the red alga Delisea pulchra and their ecological
consequences, and (2) the regulation of dispersal and differentiation by nitric
oxide (NO) in bacterial biofilms. Consistent with the goals of neuroecology, in
both cases, we investigate the links between specific signal-mediated molecular
mechanisms, and ecological outcomes, for populations or assemblages of bacteria
or seaweeds. We conclude by suggesting that because of the fundamental role
played by chemical signaling in bacteria, bacterial systems, either by themselves
or in interactions with other organisms, have much to offer for understanding
general issues in neuroecology. Thus, further integration of microbiology with
the biology of eukaryotes would seem warranted and is likely to prove
illuminating.
PMID- 21893591
TI - Morphometric MRI analysis improves detection of focal cortical dysplasia type II.
AB - Focal cortical dysplasias type II (FCD II) are highly epileptogenic lesions
frequently causing pharmacoresistant epilepsy. Detection of these lesions on MRI
is still challenging as FCDs may be very subtle in appearance and might escape
conventional visual analysis. Morphometric MRI analysis is a voxel-based post
processing method based on algorithms of the statistical parametric mapping
software (SPM5). It creates three dimensional feature maps highlighting brain
areas with blurred grey-white matter junction and abnormal gyration, and thereby
may help to detect FCD. In this study, we evaluated the potential diagnostic
value of morphometric analysis as implemented in a morphometric analysis
programme, compared with conventional visual analysis by an experienced
neuroradiologist in 91 patients with histologically proven FCD II operated on at
the University Hospital of Bonn between 2000 and 2010 (FCD IIa, n = 17; IIb, n =
74). All preoperative MRI scans were evaluated independently (i) based on
conventional visual analysis by an experienced neuroradiologist and (ii) using
morphometric analysis. Both evaluators had the same clinical information
(electroencephalography and semiology), but were blinded to each other's results.
The detection rate of FCD using morphometric analysis was superior to
conventional visual analysis in the FCD IIa subgroup (82% versus 65%), while no
difference was found in the FCD IIb subgroup (92% versus 91%). However, the
combination of conventional visual analysis and morphometric analysis provided
complementary information and detected 89 out of all 91 FCDs (98%). The
combination was significantly superior to conventional visual analysis alone in
both subgroups resulting in a higher diagnostic sensitivity (94% versus 65%, P =
0.031 for FCD IIa; 99% versus 91%, P = 0.016 for FCD IIb). In conclusion, the
additional application of morphometric MRI analysis increases the diagnostic
sensitivity for FCD II in comparison with conventional visual analysis alone.
Since detection of FCDs on MRI during the presurgical evaluation markedly
improves the chance of becoming seizure free postoperatively, we apply
morphometric analysis in all patients who are MRI-negative after conventional
visual analysis at our centre.
PMID- 21893592
TI - Interictal-like network activity and receptor expression in the epileptic human
lateral amygdala.
AB - While the amygdala is considered to play a critical role in temporal lobe
epilepsy, conclusions on underlying pathophysiological mechanisms have been
derived largely from experimental animal studies. Therefore, the present study
aimed to characterize synaptic network interactions, focusing on spontaneous
interictal-like activity, and the expression profile of transmitter receptors in
the human lateral amygdala in relation to temporal lobe epilepsy.
Electrophysiological recordings, obtained intra-operatively in vivo in patients
with medically intractable temporal lobe epilepsy, revealed the existence of
interictal activity in amygdala and hippocampus. For in vitro analyses, slices
were prepared from surgically resected specimens, and sections from individual
specimens were used for electrophysiological recordings, receptor
autoradiographic analyses and histological visualization of major amygdaloid
nuclei for verification of recording sites. In the lateral amygdala, interictal
like activity appeared as spontaneous slow rhythmic field potentials at an
average frequency of 0.39 Hz, which occurred at different sites with various
degrees of synchronization in 33.3% of the tested slices. Pharmacological
blockade of glutamate alpha-amino-3-hydroxy-5-methyl-4-isoxazolepropionic acid
receptors, but not N-methyl-D-aspartate receptors, abolished interictal-like
activity, while the gamma-aminobutyric acid A-type receptor antagonist
bicuculline resulted in a dampening of activity, followed by highly synchronous
patterns of slow rhythmic activity during washout. Receptor autoradiographic
analysis revealed significantly higher alpha-amino-3-hydroxy-5-methyl-4
isoxazolepropionic acid, kainate, metabotropic glutamate type 2/3, muscarinic
type 2 and adrenoceptor alpha(1) densities, whereas muscarinergic type 3 and
serotonergic type 1A receptor densities were lower in the lateral amygdala from
epileptic patients in comparison to autopsy controls. Concerning gamma
aminobutyric acid A-type receptors, agonist binding was unaltered whereas
antagonist binding sites were downregulated in the epileptic lateral amygdala,
suggesting an altered high/low-affinity state ratio and concomitant reduced pool
of total gamma-aminobutyric acid A-type receptors. Together these data indicate
an abnormal pattern of receptor densities and synaptic function in the lateral
nucleus of the amygdala in epileptic patients, involving critical alterations in
glutamate and gamma-aminobutyric acid receptors, which may give rise to domains
of spontaneous interictal discharges contributing to seizure activity in the
amygdala.
PMID- 21893593
TI - Ruth Lehmann: germ cells do things differently. Interview by Caitlin Sedwick.
PMID- 21893594
TI - New tools for JCB.
AB - New technologies and approaches in cell biology research necessitate new venues
for information sharing and publication. JCB continues its support of innovation
in publishing with the launch of Tools, a new article type for the description of
methods and high-throughput datasets, and of a new interface for the JCB
DataViewer for hosting high-content screening datasets in their entirety.
PMID- 21893595
TI - Bringing Rad52 foci into focus.
PMID- 21893597
TI - The nucleolus directly regulates p53 export and degradation.
AB - The correlation between stress-induced nucleolar disruption and abrogation of p53
degradation is evident after a wide variety of cellular stresses. This link may
be caused by steps in p53 regulation occurring in nucleoli, as suggested by some
biochemical evidence. Alternatively, nucleolar disruption also causes
redistribution of nucleolar proteins, potentially altering their interactions
with p53 and/or MDM2. This raises the fundamental question of whether the
nucleolus controls p53 directly, i.e., as a site where p53 regulatory processes
occur, or indirectly, i.e., by determining the cellular localization of p53/MDM2
interacting factors. In this work, transport experiments based on heterokaryons,
photobleaching, and micronucleation demonstrate that p53 regulatory events are
directly regulated by nucleoli and are dependent on intact nucleolar structure
and function. Subcellular fractionation and nucleolar isolation revealed a
distribution of ubiquitylated p53 that supports these findings. In addition, our
results indicate that p53 is exported by two pathways: one stress sensitive and
one stress insensitive, the latter being regulated by activities present in the
nucleolus.
PMID- 21893596
TI - Cytoskeleton in motion: the dynamics of keratin intermediate filaments in
epithelia.
AB - Epithelia are exposed to multiple forms of stress. Keratin intermediate filaments
are abundant in epithelia and form cytoskeletal networks that contribute to cell
type-specific functions, such as adhesion, migration, and metabolism. A perpetual
keratin filament turnover cycle supports these functions. This multistep process
keeps the cytoskeleton in motion, facilitating rapid and protein biosynthesis
independent network remodeling while maintaining an intact network. The current
challenge is to unravel the molecular mechanisms underlying the regulation of the
keratin cycle in relation to actin and microtubule networks and in the context of
epithelial tissue function.
PMID- 21893598
TI - Cdk1 uncouples CtIP-dependent resection and Rad51 filament formation during M
phase double-strand break repair.
AB - DNA double-strand break (DSB) resection, which results in RPA-bound single
stranded DNA (ssDNA), is activated in S phase by Cdk2. RPA-ssDNA activates the
ATR-dependent checkpoint and homology-directed repair (HDR) via Rad51-dependent
mechanisms. On the other hand, the fate of DSBs sustained during vertebrate M
phase is largely unknown. We use cell-free Xenopus laevis egg extracts to examine
the recruitment of proteins to chromatin after DSB formation. We find that S
phase extract recapitulates a two-step resection mechanism. M-phase chromosomes
are also resected in cell-free extracts and cultured human cells. In contrast to
the events in S phase, M-phase resection is solely dependent on MRN-CtIP. Despite
generation of RPA-ssDNA, M-phase resection does not lead to ATR activation or
Rad51 chromatin association. Remarkably, we find that Cdk1 permits resection by
phosphorylation of CtIP but also prevents Rad51 binding to the resected ends. We
have thus identified Cdk1 as a critical regulator of DSB repair in M phase. Cdk1
induces persistent ssDNA-RPA overhangs in M phase, thereby preventing both
classical NHEJ and Rad51-dependent HDR.
PMID- 21893599
TI - DLK induces developmental neuronal degeneration via selective regulation of
proapoptotic JNK activity.
AB - The c-Jun N-terminal kinase (JNK) signaling pathway is essential for neuronal
degeneration in multiple contexts but also regulates neuronal homeostasis. It
remains unclear how neurons are able to dissociate proapoptotic JNK signaling
from physiological JNK activity. In this paper, we show that the mixed lineage
kinase dual leucine zipper kinase (DLK) selectively regulates the JNK-based
stress response pathway to mediate axon degeneration and neuronal apoptosis
without influencing other aspects of JNK signaling. This specificity is dependent
on interaction of DLK with the scaffolding protein JIP3 to form a specialized JNK
signaling complex. Local activation of DLK-based signaling in the axon results in
phosphorylation of c-Jun and apoptosis after redistribution of JNK to the cell
body. In contrast, regulation of axon degeneration by DLK is c-Jun independent
and mediated by distinct JNK substrates. DLK-null mice displayed reduced
apoptosis in multiple neuronal populations during development, demonstrating that
prodegenerative DLK signaling is required in vivo.
PMID- 21893600
TI - Coatomer and dimeric ADP ribosylation factor 1 promote distinct steps in membrane
scission.
AB - Formation of coated vesicles requires two striking manipulations of the lipid
bilayer. First, membrane curvature is induced to drive bud formation. Second, a
scission reaction at the bud neck releases the vesicle. Using a reconstituted
system for COPI vesicle formation from purified components, we find that a
dimerization-deficient Arf1 mutant, which does not display the ability to
modulate membrane curvature in vitro or to drive formation of coated vesicles, is
able to recruit coatomer to allow formation of COPI-coated buds but does not
support scission. Chemical cross-linking of this Arf1 mutant restores vesicle
release. These experiments show that initial curvature of the bud is defined
primarily by coatomer, whereas the membrane curvature modulating activity of
dimeric Arf1 is required for membrane scission.
PMID- 21893602
TI - Ca(2+) permeable AMPA receptors switch allegiances: mechanisms and consequences.
AB - The subunit composition of synaptic AMPA receptors can undergo dynamic changes
during physiological functioning and under pathological conditions. This switch
in AMPA receptor phenotype involves changes in the level of GluA2 subunits that
are mediated via regulated AMPA receptor trafficking, modification of local
protein synthesis and altered gene transcription of GluA2 subunits. Incorporation
of the GluA2 subunits into an AMPA receptor alters a number of key biophysical
properties, including Ca(2+) permeability and the waveform of the synaptic
current. These changes alter the ability of synaptic currents to evoke an action
potential and therefore have a profound effect on the computational capability of
individual neurons and thus the output of neuronal circuits.
PMID- 21893601
TI - Comparative RNAi screening identifies a conserved core metazoan actinome by
phenotype.
AB - Although a large number of actin-binding proteins and their regulators have been
identified through classical approaches, gaps in our knowledge remain. Here, we
used genome-wide RNA interference as a systematic method to define metazoan actin
regulators based on visual phenotype. Using comparative screens in cultured
Drosophila and human cells, we generated phenotypic profiles for annotated actin
regulators together with proteins bearing predicted actin-binding domains. These
phenotypic clusters for the known metazoan "actinome" were used to identify
putative new core actin regulators, together with a number of genes with
conserved but poorly studied roles in the regulation of the actin cytoskeleton,
several of which we studied in detail. This work suggests that although our
search for new components of the core actin machinery is nearing saturation,
regulation at the level of nuclear actin export, RNA splicing, ubiquitination,
and other upstream processes remains an important but unexplored frontier of
actin biology.
PMID- 21893604
TI - Income differences in stroke mortality: a 12-year follow-up study of the Swedish
working population.
AB - AIMS: This study explored the association between income and stroke mortality in
the total working population in Sweden and examined whether the associations
differ by gender or for stroke subtypes intracerebral haemorrhage (ICH) or brain
infarction (BI). METHODS: This was a register-based study among nearly 3 million
working women and men (30-64 years in 1990) with a 12-year follow up (1991-2002)
for mortality from stroke (4886 deaths). Income was measured as annual registered
income from work in 1990. Gender-specific Cox regressions were applied with
adjustments for sociodemographic covariates. RESULTS: The age-adjusted hazard
ratio (95% confidence interval) of lowest versus highest income quartile was 1.80
(1.48-2.19) for any stroke, 1.68 (1.29-2.17) for ICH and 2.23 (1.53-3.22) for BI
in women, and the corresponding figures for men were 2.12 (1.92-2.34), 2.02 (1.77
2.31), and 2.09 (1.77-2.46). Adjustment for covariates attenuated these
associations to 1.69 (1.33-2.15) for any stroke and 1.56 (1.14-2.14) for ICH in
women and to 1.98 (1.74-2.24) for any stroke and 1.77 (1.44-2.19) for BI in men.
In contrast, adjustment for covariates amplified the estimates to 2.36 (1.52
3.66) for BI in women and to 2.05 (1.73-2.44) for ICH in men. CONCLUSIONS: Risk
of stroke mortality was highest in the lowest income group, with a gradient for
the intermediate groups, in both women and men. The risk of mortality from BI was
highest in women with the lowest income and the risk of ICH was highest in men
with the lowest income.
PMID- 21893603
TI - SOCS1 is essential for regulatory T cell functions by preventing loss of Foxp3
expression as well as IFN-{gamma} and IL-17A production.
AB - Regulatory T cells (T(reg) cells) maintain immune homeostasis by limiting
inflammatory responses. SOCS1 (suppressor of cytokine signaling 1), a negative
regulator of cytokine signaling, is necessary for the suppressor functions of
T(reg) cells in vivo, yet detailed mechanisms remain to be clarified. We found
that Socs1(-/-) T(reg) cells produced high levels of IFN-gamma and rapidly lost
Foxp3 when transferred into Rag2(-/-) mice or cultured in vitro, even though the
CNS2 (conserved noncoding DNA sequence 2) in the Foxp3 enhancer region was fully
demethylated. Socs1(-/-) T(reg) cells showed hyperactivation of STAT1 and STAT3.
Because Foxp3 expression was stable and STAT1 activation was at normal levels in
Ifngamma(-/-)Socs1(-/-) T(reg) cells, the restriction of IFN-gamma-STAT1
signaling by SOCS1 is suggested to be necessary for stable Foxp3 expression.
However, Ifngamma(-/-)Socs1(-/-) T(reg) cells had hyperactivated STAT3 and higher
IL-17A (IL-17) production compared with Ifngamma(-/-)Socs1(+/+) T(reg) cells and
could not suppress colitis induced by naive T cells in Rag2(-/-) mice. In vitro
experiments suggested that cytokines produced by Socs1(-/-) T(reg) cells and
Ifngamma(-/-)Socs1(-/-) T(reg) cells modulated antigen-presenting cells for
preferential Th1 and Th17 induction, respectively. We propose that SOCS1 plays
important roles in T(reg) cell integrity and function by maintaining Foxp3
expression and by suppressing IFN-gamma and IL-17 production driven by STAT1 and
STAT3, respectively.
PMID- 21893605
TI - Daily steps among Finnish adults: variation by age, sex, and socioeconomic
position.
AB - AIMS: The aim of this study was to provide descriptive population-based pedometer
data from adults aged 30-45 years in Finland, and to compare daily step counts
with evidence-based indices. METHODS: The data was collected from 1853
participants in 7 consecutive days in winter 2007-08 in part of 27-year follow up
of the Cardiovascular Risk in Young Finns study. RESULTS: The participants took
(mean+/-standard deviation) 7499 +/- 2908 steps/day. Step counts included 1925 +/
2052 aerobic steps/day gathered in bouts of at least 10 min continuous
ambulatory activity. Women had more total steps than men ((7824 +/- 2925 vs. 7089
+/- 2774; p < 0.001). Although participants had higher mean total steps on
weekdays than on weekend days, they took more aerobic steps on weekend days than
weekdays (p < 0.001). High-level non-manual work, and unemployment were
associated with having fewer total steps, but high-level non-manual workers had
more aerobic steps than other occupation groups. According to pedometer
thresholds proposed by Tudor-Locke and Basset, 26% of men and 16% of women could
be classified as inactive (<5000 steps/day) and 20% of women and 15% of men would
be classified as active (>10,000 steps/day). CONCLUSIONS: We conclude that about
one-quarter of men and one-fifth of women are considered as inactive, based on
the number of daily total steps. Our results suggest that total steps may provide
a very different picture of activity from aerobic steps; important differences
are evident by socioeconomic position and day of the week.
PMID- 21893606
TI - Academic achievement and smoking: is self-efficacy an important factor in
understanding social inequalities in Finnish adolescents?
AB - AIMS: Poor academic achievement is strongly related to smoking but studies that
examine the mechanism between academic achievement and smoking are lacking. The
aim of this study, therefore, was to examine the smoking-related cognitions (i.e.
attitude, social influence, self-efficacy and intention to smoke) in relation to
academic achievement and determine whether these cognitions explain different
patterns of smoking. METHODS: The study uses the data of a longitudinal study
that was carried out in Finland, and the sample comprised 1,096 students in
grades seven to nine. RESULTS: During the seventh-grade students with poor
academic achievement reported more positive attitudes to smoking and a greater
social influence of their peers regarding smoking, weaker self-efficacy to refuse
smoking and more intentions to smoke in the future compared to students with high
academic achievement. Moreover, the follow-up analyses after a 24-month interval
revealed that self-efficacy to refuse smoking of students with poor grades had
become weaker compared to students with high grades. Furthermore, the influence
of seventh-grade academic achievement predicting ninth-grade weekly smoking was
partially mediated through the self-efficacy beliefs and the intention to smoke.
CONCLUSIONS: Differences in academic achievement may have an impact on
adolescents' self-efficacy beliefs and the intention to smoke in the future. To
reduce health inequalities a strong input on continuing research to improve
smoking prevention methods, especially for students with low academic
achievement, is needed.
PMID- 21893607
TI - Exposure to secondhand smoke in Finnish workplaces and compliance with national
smoke-free workplace legislation.
AB - AIMS: The present study examined time trends and associations in exposure to
secondhand smoke (SHS) at work in Finland in 1985-2008 and compliance with
national smoke-free workplace legislation that has been enforced since 1995.
METHODS: The study population comprised respondents of nationally representative
annual postal surveys from 1985 to 2008. The differences in the prevalence of SHS
exposed respondents were measured with particular reference to workplace size and
workplace smoking arrangements. RESULTS: From 1985 to 2008 daily exposure to SHS
at work decreased in all workplaces. The annual decrease was largest in 1994-95
when the smoke-free workplace legislation was enacted. The proportion of exposed
employees in workplaces with designated smoking rooms was two-fold compared to
employees in workplaces where no one smoked, and this ratio remained unchanged
between 1995 and 2008. Employees in small workplaces were exposed most and
exposure to SHS was lowest in the largest workplaces. CONCLUSIONS: Totally smoke
free workplaces give better protection against the exposure to SHS than
workplaces with designated smoking areas. We urge a law reform that does not
allow any designated smoking rooms indoors. In the prevention of SHS exposure,
special attention should be directed to small workplaces.
PMID- 21893608
TI - Health-related risk factors for disability pensions due to musculoskeletal
diagnoses: a 30-year Finnish twin cohort study.
AB - AIMS: There is a need to better understand work incapacity due to musculoskeletal
disorders (MSD) and the factors that contribute to being granted disability
pension (DP) with such disorders. A twin cohort study would serve a powerful tool
responding to this knowledge gap by providing information on factors affecting DP
when controlling for family background. The purpose was to investigate the
incidence of and risk factors for DP due to any MSD (n=1,819) and specifically
due to osteoarthritis (OA, n=677) in a twin cohort of 24,043 people over a 30
year follow-up. METHODS: Data on twin pairs from a mailed questionnaire during
the baseline year of 1975 were followed up with register data regarding DP,
emigration, old-age pension, and death. For statistical analysis, univariate and
multivariate Cox proportional hazard ratios were estimated. RESULTS: Baseline
musculoskeletal pain, frequency of use of analgesics, body mass index, and
chronic diseases, as well as education and social class were significant risk
factors for DP due to MSD at follow-up in both sexes. These factors were also the
significant predictors of DP due to OA in men. In women, DP due to OA was best
predicted by baseline musculoskeletal pain and lower social class. CONCLUSIONS:
The risk of DP due to MSD and OA seemed to be influenced by comorbidities,
educational level and social class. Analyses of twin pairs discordant for DP
confirmed the results. Accumulated health problems and chronic conditions during
the life course may lead to permanent work incapacity.
PMID- 21893609
TI - Effect of different levels of short-term feed intake on folliculogenesis and
follicular fluid and plasma concentrations of lactate dehydrogenase, glucose, and
hormones in Hu sheep during the luteal phase.
AB - This study investigated the effects of short-term food restriction or
supplementation on folliculogenesis and plasma and intrafollicular metabolite and
hormone concentrations. Ewes were randomly assigned to three groups: the control
group received a maintenance diet (M) while the supplemented group and restricted
group received 1.5*M and 0.5*M respectively on days 6-12 of their estrous cycle.
Estrus was synchronized by intravaginal progestogen sponges for 12 days. On days
7-12, blood samples were taken. After slaughter, the ovarian follicles were
classified and the follicular fluid was collected. Compared with restriction,
supplementation shortened the estrous cycle length, decreased the number of
follicles 2.5-3.5 mm and follicular fluid estradiol (E2) concentration, increased
the number of follicles>3.5 mm and plasma glucose, insulin and glucagon
concentrations, and augmented the volume of follicles>2.5 mm. Restricted ewes had
higher intrafollicular insulin concentration, but it was similar to that of
supplemented ewes. Compared with follicles<=2.5 mm, the intrafollicular glucose
and E2 concentrations were increased and the testosterone, insulin, and glucagon
concentrations and lactate dehydrogenase (LDH) activity were decreased in
follicles>2.5 mm. Only in restricted ewes were intrafollicular LDH and
testosterone concentrations in follicles<=2.5 mm not different from those in
follicles<=2.5 mm. In conclusion, the mechanism by which short-term dietary
restriction inhibits folliculogenesis may involve responses to intrafollicular
increased E2, testosterone, and LDH levels in late-stage follicles. This may not
be due to the variation of intrafollicular insulin level but rather due to
decreased circulating levels of glucose, insulin, and glucagon.
PMID- 21893611
TI - The role of an open-space CCTV system in limiting alcohol-related assault
injuries in a late-night entertainment precinct in a tropical Queensland city,
Australia.
AB - Closed circuit television (CCTV) systems which incorporate real-time
communication links between camera room operators and on-the-ground security may
limit injuries resulting from alcohol-related assault. This pilot study examined
CCTV footage and operator records of security responses for two periods totalling
22 days in 2010-2011 when 30 alcohol-related assaults were recorded.
Semistructured discussions were conducted with camera room operators during 18 h
of observation. Camera operators were proactive, efficiently directing street
security to assault incidents. The system intervened in 40% (n=12) of alcohol
related assaults, limiting possible injury. This included three incidents judged
as potentially preventable. A further five (17%) assault incidents were also
judged as potentially preventable, while 43% (n=13) happened too quickly for
intervention. Case studies describe security intervention in each category.
Further research is recommended, particularly to evaluate the effects on
preventing injuries through targeted awareness training to improve responsiveness
and enhance the preventative capacity of similar CCTV systems.
PMID- 21893610
TI - Hedgehog signalling promotes germ cell survival in the rat testis.
AB - Hedgehog (Hh) signalling has a crucial role in testis development. Sertoli cell
derived desert hedgehog (DHH) guides the formation of testis cords and
differentiation of foetal-type Leydig cells. Dhh mutant mice are infertile due to
a block in germ cell differentiation, hypogonadism and hypoandrogenism. Hh
signalling pathway components are also expressed in postnatal testis. In the rat
testis the transcription factor of the Hh pathway, glioma-associated oncogene
homologue (GLI1), is expressed by a wide variety of germ cells. This suggests
that Hh signalling is involved in spermatogenesis at many different levels. Our
data show that canonical Hh signalling is turned off in early condensing
spermatids that strongly express the negative regulator of the pathway,
suppressor of fused (SUFU). Most of the Hh pathway specific mRNAs display the
highest values in stages II-VI of the rat seminiferous epithelial cycle. The key
endocrine regulator of germ cell differentiation, FSH, down-regulates Dhh mRNA
levels in vitro. Hh signalling inhibition in vitro leads to massive apoptosis of
germ cells. In prepubertal rat testis imatinib mesylate-induced inhibition of
tyrosine kinases impinges on Dhh transcript levels and Hh signalling. Our data
indicate that Hh signalling is part of the paracrine signalling network in the
rat testis. It promotes the survival of germ cells and is suppressed by FSH.
PMID- 21893612
TI - Safety incidents in family medicine.
AB - OBJECTIVE: To discuss the characteristics of incidents reported to the Medical
Safety in Community Practice (MSCP) safety learning system. METHODS: Members of
family physician offices in the Alberta Health Services--Calgary zone,
confidentially reported patient safety incidents via web or fax from September
2007 to August 2010. The incident reporting form contained both open-ended and
closed questions. Incidents were reviewed for their characteristics. RESULTS: A
total of 19 family practices participated in MSCP. A total of 264 useable reports
were collected. Reporting was higher when practices first joined and then
decreased. There was an average of 1.4 reports per month. Physicians submitted
the majority of reports. Physicians and nurses were more likely to report an
incident than office staff. The vast majority of reported incidents were judged
to have 'virtually certain evidence of preventability' (93%). Harm was associated
with 50% of incidents. Only 1% of the incidents had a severe impact. The top four
types of incidents reported were documentation (41.4%), medication (29.7%),
clinical administration (18.7%) and clinical process (17.5%). CONCLUSION: MSCP
has developed and implemented the first safety learning system in Canada for
family practice. All clinic members were encouraged to submit reports, but most
of the incidents were reported by physicians. The vast majority of incidents
reported were preventable with limited severity. The most frequently reported
types of incidents fell into the categories of documentation and medication. The
low reporting rates suggest that for family practices incident reporting may not
be the most effective method to determine the types and frequency of incidents in
family medicine.
PMID- 21893613
TI - Transfusion-associated babesiosis in the United States: a description of cases.
AB - BACKGROUND: Babesiosis is a potentially life-threatening disease caused by
intraerythrocytic parasites, which usually are tickborne but also are
transmissible by transfusion. Tickborne transmission of Babesia microti mainly
occurs in 7 states in the Northeast and the upper Midwest of the United States.
No Babesia test for screening blood donors has been licensed. OBJECTIVE: To
ascertain and summarize data on U.S. transfusion-associated Babesia cases
identified since the first described case in 1979. DESIGN: Case series. SETTING:
United States. PATIENTS: Case patients were transfused during 1979-2009 and had
posttransfusion Babesia infection diagnosed by 2010, without reported evidence
that another transmission route was more likely than transfusion. Implicated
donors had laboratory evidence of infection. Potential cases were excluded if all
pertinent donors tested negative. MEASUREMENTS: Distributions of ascertained
cases according to Babesia species and period and state of transfusion. RESULTS:
159 transfusion-associated B. microti cases were included; donors were implicated
for 136 (86%). The case patients' median age was 65 years (range, <1 to 94
years). Most cases were associated with red blood cell components; 4 were linked
to whole blood-derived platelets. Cases occurred in all 4 seasons and in 22 (of
31) years, but 77% (122 cases) occurred during 2000-2009. Cases occurred in 19
states, but 87% (138 cases) were in the 7 main B. microti-endemic states. In
addition, 3 B. duncani cases were documented in western states. LIMITATION: The
extent to which cases were not diagnosed, investigated, reported, or ascertained
is unknown. CONCLUSION: Donor-screening strategies that mitigate the risk for
transfusion transmission are needed. Babesiosis should be included in the
differential diagnosis of unexplained posttransfusion hemolytic anemia or fever,
regardless of the season or U.S. region. PRIMARY FUNDING SOURCE: None.
PMID- 21893614
TI - Screening for lung cancer: it works, but does it really work?
AB - After the publication of the NLST (National Lung Screening Trial) results,
physicians will be faced with whether to begin ordering low-dose computed
tomography (LDCT) of the chest to screen for lung cancer in patients with a
history of tobacco use. Despite the encouraging reduction in deaths observed by
using LDCT in the NLST study population, recommending adoption of lung cancer
screening in general practice is premature. Lessons learned from prostate and
breast cancer screening should remind us that the reductions in deaths expected
with screening are unfortunately not as readily achievable as initially believed.
Furthermore, the potential harms of false-positive findings on chest computed
tomography are very real. The morbidity and even mortality associated with
invasive diagnostic testing and surgical resection due to false- and true
positive findings on computed tomography are likely to increase when the approach
taken in the NLST is applied in non-specialty care settings and among the
population at highest risk, namely, those with smoking-related comorbid
conditions. Although the NLST results are perhaps encouraging, they do not tell
us enough that we can be sure that patients who undergo LDCT in an attempt to
find early-stage lung cancer will have more benefit than harm.
PMID- 21893615
TI - Screening for lung cancer: for patients at increased risk for lung cancer, it
works.
AB - Screening for lung cancer is not currently recommended, even in persons at high
risk for this condition. Most patients with lung cancer present with symptomatic
disease that is usually at an incurable, advanced stage. The recently reported
NLST (National Lung Screening Trial) showed a 20% decrease in deaths from lung
cancer in high-risk persons undergoing screening with low-dose computed
tomography of the chest compared with chest radiography. The high-risk group
included in the trial comprised asymptomatic persons aged 55 to 74 years, with
smoking history of at least 30 pack-years. Screening with low-dose computed
tomography detected more cases of early-stage lung cancer and fewer cases of
advanced-stage cancer, confirming that screening has shifted the stage of cancer
at diagnosis and provides more persons with the opportunity for curative
treatment. Although computed tomography screening has risks and limitations, the
20% decrease in deaths is the single most dramatic decrease ever reported for
deaths from lung cancer, with the possible exception of smoking cessation.
Physicians should offer computed tomography screening for lung cancer to patients
who fit the high-risk profile defined in the NLST.
PMID- 21893616
TI - Transfusion-associated babesiosis: shouldn't we be ticked off?
PMID- 21893617
TI - Summaries for patients. Outcomes after gastric bypass and duodenal switch
surgery.
PMID- 21893618
TI - Summaries for patients. Improving each additional lifestyle factor further
reduces the risk for diabetes.
PMID- 21893619
TI - Summaries for patients. Does cognitive impairment increase the risk for death in
older adults?
PMID- 21893620
TI - In the clinic. Sickle cell disease.
AB - This issue provides a clinical overview of sickle cell disease focusing on
prevention, diagnosis, treatment, practice improvement, and patient information.
Readers can complete the accompanying CME quiz for 1.5 credits. Only ACP members
and individual subscribers can access the electronic features of In the Clinic.
Non-subscribers who wish to access this issue of In the Clinic can elect "Pay for
View." Subscribers can receive 1.5 category 1 CME credits by completing the CME
quiz that accompanies this issue of In the Clinic. The content of In the Clinic
is drawn from the clinical information and education resources of the American
College of Physicians (ACP), including PIER (Physicians' Information and
Education Resource) and MKSAP (Medical Knowledge and Self Assessment Program).
Annals of Internal Medicine editors develop In the Clinic from these primary
sources in collaboration with the ACP's Medical Education and Publishing division
and with assistance of science writers and physician writers. Editorial
consultants from PIER and MKSAP provide expert review of the content. Readers who
are interested in these primary resources for more detail can consult
www.acponline.org, http://pier.acponline.org, and other resources referenced
within each issue of In the Clinic.
PMID- 21893621
TI - Weight loss, cardiovascular risk factors, and quality of life after gastric
bypass and duodenal switch: a randomized trial.
AB - BACKGROUND: Gastric bypass and duodenal switch are currently performed bariatric
surgical procedures. Uncontrolled studies suggest that duodenal switch induces
greater weight loss than gastric bypass. OBJECTIVE: To determine whether duodenal
switch leads to greater weight loss and more favorable improvements in
cardiovascular risk factors and quality of life than gastric bypass. DESIGN:
Randomized, parallel-group trial. (ClinicalTrials.gov registration number:
NCT00327912) SETTING: 2 academic medical centers (1 in Norway and 1 in Sweden).
PATIENTS: 60 participants with a body mass index (BMI) between 50 and 60 kg/m(2).
INTERVENTION: Gastric bypass (n = 31) or duodenal switch (n = 29). MEASUREMENTS:
The primary outcome was the change in BMI after 2 years. Secondary outcomes
included anthropometric measures; concentrations of blood lipids, glucose,
insulin, C-reactive protein, and vitamins; and health-related quality of life and
adverse events. RESULTS: Fifty-eight of 60 participants (97%) completed the
study. The mean reductions in BMI were 17.3 kg/m(2) (95% CI, 15.7 to 19.0
kg/m(2)) after gastric bypass and 24.8 kg/m(2) (CI, 23.0 to 26.5 kg/m(2)) after
duodenal switch (mean between-group difference, 7.44 kg/m(2) [CI, 5.24 to 9.64
kg/m(2)]; P < 0.001). Total cholesterol concentration decreased by 0.24 mmol/L
(CI, -0.03 to 0.50 mmol/L) (9.27 mg/dL [CI, -1.16 to 19.3 mg/dL]) after gastric
bypass and 1.07 mmol/L (CI, 0.79 to 1.35 mmol/L) (41.3 mg/dL [CI, 30.5 to 52.1
mg/dL]) after duodenal switch (mean between-group difference, 0.83 mmol/L [CI,
0.48 to 1.18 mmol/L]; 32.0 mg/dL [CI, 18.5 to 45.6 mg/dL]; P <= 0.001).
Reductions in low-density lipoprotein cholesterol concentration, anthropometric
measures, fat mass, and fat-free mass were also greater after duodenal switch (P
<= 0.010 for each between-group comparison). Both groups had reductions in blood
pressure and mean concentrations of glucose, insulin, and C-reactive protein,
with no between-group differences. The duodenal switch group, but not the gastric
bypass group, had reductions in concentrations of vitamin A and 25-hydroxyvitamin
D. Most Short Form-36 Health Survey dimensional scores improved in both groups,
with greater improvement in 1 of 8 domains (bodily pain) after gastric bypass.
From surgery until 2 years, 10 participants (32%) had adverse events after
gastric bypass and 18 (62%) after duodenal switch (P = 0.021). Adverse events
related to malnutrition occurred only after duodenal switch. LIMITATION: Clinical
experience was greater with gastric bypass than with duodenal switch at the study
centers. CONCLUSION: Duodenal switch surgery was associated with greater weight
loss, greater reductions of total and low-density lipoprotein cholesterol
concentrations, and more adverse events. Improvements in other cardiovascular
risk factors and quality of life were similar after both procedures. PRIMARY
FUNDING SOURCE: South-Eastern Norway Regional Health Authority.
PMID- 21893623
TI - Cognitive impairment: an independent predictor of excess mortality: a cohort
study.
AB - BACKGROUND: Dementia is a leading cause of death among older adults, but less is
known about the mortality risk associated with milder forms of cognitive
impairment. OBJECTIVE: To determine whether cognitive impairment is independently
associated with increased long-term mortality in primary care patients aged 60
years and older. DESIGN: Linkage of electronic health records from a cohort
recruited between January 1991 and May 1993 with data from the National Death
Index through 31 December 2006. SETTING: A public safety-net hospital and its
community health centers. PATIENTS: 3957 older adults aged 60 to 102 years who
were screened at scheduled primary care appointments. MEASUREMENTS: At baseline,
patients were screened for cognitive impairment by using the Short Portable
Mental Status Questionnaire and were categorized into groups with no, mild, or
moderate to severe cognitive impairment. Baseline data from comprehensive
electronic health records were linked with vital status obtained from the
National Death Index. Kaplan-Meier survival curves compared time to death for the
groups with cognitive impairment. Cox proportional hazards models controlled for
mortality risk factors. RESULTS: At baseline, 3157 patients had no cognitive
impairment, 533 had mild impairment, and 267 had moderate to severe impairment.
Overall, 2385 of the 3957 patients (60.3%) died during the observation period:
1812 (57.4%) patients with no cognitive impairment, 363 (68.1%) patients with
mild impairment, and 210 (78.7%) patients with moderate to severe impairment.
Both mild and moderate to severe cognitive impairment were associated with
increased mortality hazard independent of other mortality risk factors (hazard
ratio, 1.184 [95% CI, 1.051 to 1.334] and for mild impairment 1.447 [CI, 1.235 to
1.695] for moderate to severe impairment). Median survival for all 3957
participants was 129 months. Median survival for participants with no, mild, and
moderate to severe cognitive impairment was 138, 106, and 63 months,
respectively. LIMITATIONS: Cognition was assessed only at enrollment by using a
screening instrument. Participants were drawn from a single safety-net health
system and had low educational and socioeconomic status, which limits
generalizability to other populations. Changes in cognition, function, and
comorbid conditions were not measured over time. CONCLUSION: Both mild and
moderate to severe cognitive impairment as identified by the Short Portable
Mental Status Questionnaire are associated with an increased risk for mortality.
PRIMARY FUNDING SOURCE: Agency for Healthcare Research and Quality.
PMID- 21893622
TI - Lifestyle factors and risk for new-onset diabetes: a population-based cohort
study.
AB - BACKGROUND: Epidemiologic data on the combined influence of several lifestyle
factors on diabetes risk are rare, particularly among older adults. OBJECTIVE: To
examine how combinations of lifestyle risk factors relate to the 11-year risk for
incident diabetes. DESIGN: Population-based prospective cohort study. SETTING:
National Institutes of Health (NIH)-AARP Diet and Health Study. PARTICIPANTS:
114,996 men and 92,483 women, aged 50 to 71 years in 1995 to 1996, without
evidence of heart disease, cancer, or diabetes. MEASUREMENTS: A comprehensive
survey of demographic characteristics and lifestyle factors, including dietary
intake, body weight and height, physical activity, smoking, and alcohol
consumption at baseline (1995 to 1996). Low-risk groups were formed by
dichotomizing each lifestyle factor. Incident self-reported, physician-diagnosed
diabetes was identified with a follow-up survey in 2004 to 2006. RESULTS: 11,031
men (9.6%) and 6969 women (7.5%) developed new-onset diabetes. For each
additional lifestyle factor in the low-risk group, the odds for diabetes were 31%
lower (odds ratio [OR], 0.69 [95% CI, 0.68 to 0.71]) among men and 39% lower (OR,
0.61 [CI, 0.60 to 0.63]) among women. Men and women whose diet score, physical
activity level, smoking status, and alcohol use were all in the low-risk group
had ORs for diabetes of 0.61 (CI, 0.56 to 0.66) and 0.43 (CI, 0.34 to 0.55),
respectively. When absence of overweight or obesity was added, the respective ORs
were 0.28 (CI, 0.23 to 0.34) and 0.16 (CI, 0.10 to 0.24) for men and women.
Results did not differ by family history of diabetes or level of adiposity.
LIMITATION: The study was observational, with potential for residual confounding.
CONCLUSION: Lifestyle factors, when considered in combination, are associated
with a substantial reduction in risk for diabetes. PRIMARY FUNDING SOURCE: The
NIH-AARP Diet and Health Study was supported by the Intramural Research Program
of the NIH.
PMID- 21893625
TI - Maintaining connections: some thoughts on the value of intensive care unit
rounding for general medicine ward teams.
AB - When established ward patients are unexpectedly transferred to an intensive care
unit (ICU), the ward team should continue to follow them. Although there may be
reasons not to do so, the advantages outweigh the obstacles. Great pedagogic
value can be gained from following patients after acute decompensation, but a
more important reason is that by following patients into the ICU, the ward team
can enact for both patients and their families the twin virtues of caring and
continuity. Doing so also demonstrates the highest ideals of medicine-that we are
focused not on defined areas of turf, but on our patient's well-being. It shows
that we are not merely doing narrowly defined "shift work," but that we truly
care about our patients. Rounding on established patients who have been
transferred into the ICU is the sort of behavior that undergirds the fundamental
bases of professionalism. It takes a few minutes from a busy day, but it can be
incredibly beneficial for families, patients, and the ideals of medicine.
PMID- 21893624
TI - Analysis of informed consent document utilization in a minimal-risk genetic
study.
AB - BACKGROUND: The signed informed consent document certifies that the process of
informed consent has taken place and provides research participants with
comprehensive information about their role in the study. Despite efforts to
optimize the informed consent document, only limited data are available about the
actual use of consent documents by participants in biomedical research.
OBJECTIVE: To examine the use of online consent documents in a minimal-risk
genetic study. DESIGN: Prospective sibling cohort enrolled as part of a genetic
study of hematologic and common human traits. SETTING: University of Michigan
Campus, Ann Arbor, Michigan. PARTICIPANTS: Volunteer sample of healthy persons
with 1 or more eligible siblings aged 14 to 35 years. Enrollment was through
targeted e-mail to student lists. A total of 1209 persons completed the study.
MEASUREMENTS: Time taken by participants to review a 2833-word online consent
document before indicating consent and identification of a masked hyperlink near
the end of the document. RESULTS: The minimum predicted reading time was 566
seconds. The median time to consent was 53 seconds. A total of 23% of
participants consented within 10 seconds, and 93% of participants consented in
less than the minimum predicted reading time. A total of 2.5% of participants
identified the masked hyperlink. LIMITATION: The online consent process was not
observed directly by study investigators, and some participants may have viewed
the consent document more than once. CONCLUSION: Few research participants
thoroughly read the consent document before agreeing to participate in this
genetic study. These data suggest that current informed consent documents,
particularly for low-risk studies, may no longer serve the intended purpose of
protecting human participants, and the role of these documents should be
reassessed. PRIMARY FUNDING SOURCE: National Institutes of Health.
PMID- 21893626
TI - Long-term opioid therapy reconsidered.
AB - In the past 20 years, primary care physicians have greatly increased prescribing
of long-term opioid therapy. However, the rise in opioid prescribing has outpaced
the evidence regarding this practice. Increased opioid availability has been
accompanied by an epidemic of opioid abuse and overdose. The rate of opioid
addiction among patients receiving long-term opioid therapy remains unclear, but
research suggests that opioid misuse is not rare. Recent studies report increased
risks for serious adverse events, including fractures, cardiovascular events, and
bowel obstruction, although further research on medical risks is needed. New data
indicate that opioid-related risks may increase with dose. From a societal
perspective, higher-dose regimens account for the majority of opioids dispensed,
so cautious dosing may reduce both diversion potential and patient risks for
adverse effects. Limiting long-term opioid therapy to patients for whom it
provides decisive benefits could also reduce risks. Given the warning signs and
knowledge gaps, greater caution and selectivity are needed in prescribing long
term opioid therapy. Until stronger evidence becomes available, clinicians should
err on the side of caution when considering this treatment.
PMID- 21893627
TI - Primum non nocere.
PMID- 21893628
TI - A lot to ask.
PMID- 21893629
TI - No question of denial.
PMID- 21893630
TI - The systemic capillary leak syndrome.
PMID- 21893631
TI - Effect of patient self-testing and self-management of long-term anticoagulation
on major clinical outcomes.
PMID- 21893632
TI - Off-label use of recombinant factor VIIa.
PMID- 21893633
TI - Off-label use of recombinant factor VIIa.
PMID- 21893634
TI - A hemorrhage of off-label use.
PMID- 21893635
TI - Individualizing glycemic targets in type 2 diabetes mellitus.
PMID- 21893636
TI - Targeting interleukin-5 in refractory and relapsing Churg-Strauss syndrome.
PMID- 21893637
TI - Prevalence of polypharmacy exposure among hospitalized children in the United
States.
AB - OBJECTIVE: To assess the prevalence and patterns of exposure to drugs and
therapeutic agents among hospitalized pediatric patients. DESIGN: Retrospective
cohort study. SETTING: A total of 411 general hospitals and 52 children's
hospitals throughout the United States. PATIENTS: A total of 587 427 patients
younger than 18 years, excluding healthy newborns, hospitalized in 2006,
representing one-fifth of all pediatric admissions in the United States. MAIN
OUTCOME MEASURES: Daily and cumulative exposure to drugs and therapeutic agents.
RESULTS: The most common exposures varied by patient age and by hospital type,
with acetaminophen, albuterol, various antibiotics, fentanyl, heparin, ibuprofen,
morphine, ondansetron, propofol, and ranitidine being among the most prevalent
exposures. A considerable fraction of patients were exposed to numerous
medications: in children's hospitals, on the first day of hospitalization,
patients younger than 1 year at the 90th percentile of daily exposure to distinct
medications received 11 drugs, and patients 1 year or older received 13 drugs; in
general hospitals, 8 and 12 drugs, respectively. By hospital day 7, in children's
hospitals, patients younger than 1 year at the 90th percentile of cumulative
exposure to distinct distinct medications had received 29 drugs, and patients 1
year or older had received 35; in general hospitals, 22 and 28 drugs,
respectively. Patients with less common conditions were more likely to be exposed
to more drugs (P = .001). CONCLUSION: A large fraction of hospitalized pediatric
patients are exposed to substantial polypharmacy, especially patients with rare
conditions.
PMID- 21893639
TI - Pediatric polypharmacy: time to lock the medicine cabinet?
PMID- 21893640
TI - Parental smoking and the risk of middle ear disease in children: a systematic
review and meta-analysis.
AB - OBJECTIVE: A systematic review and meta-analysis of studies of the association
between secondhand tobacco smoke (SHTS) and middle ear disease (MED) in children.
DATA SOURCES: MEDLINE, EMBASE, and CAB abstracts (through December 2010) and
reference lists. STUDY SELECTION: Sixty-one epidemiological studies of children
assessing the effect of SHTS on outcomes of MED. Articles were reviewed, and the
data were extracted and synthesized by 2 researchers. MAIN OUTCOME EXPOSURES:
Children's SHTS exposure. MAIN OUTCOME MEASURES: Middle ear disease in children.
RESULTS: Living with a smoker was associated with an increased risk of MED in
children by an odds ratio (OR) of 1.62 (95% CI, 1.33-1.97) for maternal postnatal
smoking and by 1.37 (95% CI, 1.25-1.50) for any household member smoking.
Prenatal maternal smoking (OR, 1.11; 95% CI, 0.93-1.31) and paternal smoking (OR,
1.24; 95% CI, 0.98-1.57) were associated with a nonsignificant increase in the
risk of MED. The strongest effect was on the risk of surgery for MED, where
maternal postnatal smoking increased the risk by an OR of 1.86 (95% CI, 1.31
2.63) and paternal smoking by 1.83 (95% CI, 1.61-2.07). CONCLUSIONS: Exposure to
SHTS, particularly to smoking by the mother, significantly increases the risk of
MED in childhood; this risk is particularly strong for MED requiring surgery. We
have shown that per year 130 200 of child MED episodes in the United Kingdom and
292 950 of child frequent ear infections in the United States are directly
attributable to SHTS exposure in the home.
PMID- 21893641
TI - Acute and posttraumatic stress symptoms in a prospective gene x environment study
of a university campus shooting.
AB - CONTEXT: The serotonin transporter (SLC6A4) has been associated with several
stress-related syndromes including posttraumatic stress disorder (PTSD). The
ability to detect meaningful associations is largely dependent on reliable
measures of preexisting trauma. OBJECTIVE: To study the association of genetic
variants within SLC6A4 with acute and posttraumatic stress symptoms in a civilian
cohort with known levels of preexisting trauma and PTSD symptoms collected prior
to a shared index traumatic event. DESIGN: Ongoing longitudinal study. SETTING:
On February 14, 2008, a lone gunman shot multiple people on the campus of
Northern Illinois University in DeKalb, Illinois, killing 5 and wounding 21. As
part of an ongoing longitudinal study on that campus, a cohort of female
undergraduate students, interviewed prior to the shooting, completed follow-up
trauma-related measures including PTSD symptom severity (follow-up survey was
launched 17 days postshooting; n = 691). To obtain DNA, salivary samples were
collected from a subset of the original study population based on willingness to
participate (n = 276). PARTICIPANTS: Two hundred four undergraduate women. MAIN
OUTCOME MEASURES: SLC6A4 polymorphisms STin2, 5-HTTLPR, and rs25531 were
genotyped in 235 individuals. RESULTS: We found that although the STin2 variant
and 5-HTTLPR alone did not associate with increased PTSD symptoms, rs25531 and
the 5-HTTLPR multimarker genotype (combined 5-HTTLPR and rs25531) were associated
with significantly increased acute stress disorder symptoms at 2 to 4 weeks
postshooting (n = 161; P < .05). This association remained significant when
controlling for race and for level of shooting exposure (n = 123; P < .007). The
association was most robust with the 5-HTTLPR multimarker genotype and avoidance
symptoms (P = .003). CONCLUSION: These data suggest that differential function of
the serotonin transporter may mediate differential response to a severe trauma.
When examined in a relatively homogenous sample with shared trauma and known
prior levels of child and adult trauma, the 5-HTTLPR multimarker genotype may
serve as a useful predictor of risk for PTSD-related symptoms in the weeks and
months following the trauma.
PMID- 21893642
TI - Molecular and genetic evidence for abnormalities in the nodes of Ranvier in
schizophrenia.
AB - CONTEXT: Genetic, neuroimaging, and molecular neurobiological evidence support
the hypothesis that the disconnectivity syndrome in schizophrenia (SZ) could
arise from failures of saltatory conduction and abnormalities at the nodes of
Ranvier (NOR) interface where myelin and axons interact. OBJECTIVE: To identify
abnormalities in the expression of oligodendroglial genes and proteins that
participate in the formation, maintenance, and integrity of the NOR in SZ.
DESIGN: The messenger RNA (mRNA) expression levels of multiple NOR genes were
quantified in 2 independent postmortem brain cohorts of individuals with SZ, and
generalizability to protein expression was confirmed. The effect of the ANK3
genotype on the mRNA expression level was tested in postmortem human brain. Case
control analysis tested the association of the ANK3 genotype with SZ. The ANK3
genotype's influence on cognitive task performance and functional magnetic
resonance imaging activation was tested in 2 independent cohorts of healthy
individuals. SETTING: Research hospital. Patients Postmortem samples from
patients with SZ and healthy controls were used for the brain expression study (n
= 46) and the case-control analysis (n = 272). Healthy white men and women
participated in the cognitive (n = 513) and neuroimaging (n = 52) studies. MAIN
OUTCOME MEASURES: The mRNA and protein levels in postmortem brain samples,
genetic association with schizophrenia, cognitive performance, and blood
oxygenation level-dependent functional magnetic resonance imaging. RESULTS: The
mRNA expression of multiple NOR genes was decreased in schizophrenia. The ANK3
rs9804190 C allele was associated with lower ANK3 mRNA expression levels, higher
risk for SZ in the case-control cohort, and poorer working memory and executive
function performance and increased prefrontal activation during a working memory
task in healthy individuals. CONCLUSIONS: These results point to abnormalities in
the expression of genes and protein associated with the integrity of the NOR and
suggest them as substrates for the disconnectivity syndrome in SZ. The
association of ANK3 with lower brain mRNA expression levels implicates a
molecular mechanism for its genetic, clinical, and cognitive associations with
SZ.
PMID- 21893643
TI - Emergency treatment of deliberate self-harm.
AB - CONTEXT: Although concern exists over the quality of emergency mental health
services, little is known about the mental health care of adults who are admitted
to emergency departments for deliberately harming themselves and then discharged
to the community. OBJECTIVE: To describe the predictors of emergency department
discharge, the emergency mental health assessments, and the follow-up outpatient
mental health care of adult Medicaid beneficiaries treated for deliberate self
harm. DESIGN: A retrospective longitudinal cohort analysis. SETTING: National
Medicaid claims data supplemented with county-level sociodemographic variables
and Medicaid state policy survey data. PARTICIPANTS: Adults aged 21 to 64 years
who were treated in emergency departments for 7355 episodes of deliberate self
harm, focusing on those who were discharged to the community (4595 episodes).
MAIN OUTCOME MEASURES: Rates and adjusted risk ratios (ARRs) of discharge to the
community, mental health assessments in the emergency department, and outpatient
mental health visits during the 30 days following the emergency department visit.
RESULTS: Most patients (62.5%) were discharged to the community. Emergency
department discharge was directly related to younger patient age (21-31 years vs
45-64 years) (ARR, 1.18 [99% confidence interval {CI}, 1.10-1.25]) and self-harm
by cutting (ARR, 1.18 [99% CI, 1.12-1.24]) and inversely related to poisoning
(ARR, 0.84 [99% CI, 0.80-0.89]) and recent psychiatric hospitalization (ARR, 0.74
[99% CI, 0.67-0.81]). Approximately one-half of discharged patients (47.5%)
received a mental health assessment in the emergency department, and a similar
percentage of discharged patients (52.4%) received a follow-up outpatient mental
health visit within 30 days. Follow-up mental health care was directly related to
recent outpatient mental health care (ARR, 2.30 [99% CI, 2.11-2.50]) and
treatment in a state with Medicaid coverage of mental health clinic services
(ARR, 1.13 [99% CI, 1.05-1.22]) and inversely related to African American (ARR,
0.86 [99% CI, 0.75-0.96]) and Hispanic (ARR, 0.86 [99% CI, 0.75-0.99])
race/ethnicity. CONCLUSION: Most adult Medicaid beneficiaries who present for
emergency care for deliberate self-harm are discharged to the community, and many
do not receive emergency mental health assessments or follow-up outpatient mental
health care.
PMID- 21893644
TI - Pediatrics tackles child sexual abuse.
PMID- 21893645
TI - Paternal vs maternal kangaroo care for procedural pain in preterm neonates: a
randomized crossover trial.
AB - OBJECTIVE: To test paternal vs maternal kangaroo care (KC) to reduce pain from
heel lance. DESIGN: Randomized crossover design. SETTING: Three university
affiliated level III neonatal intensive care units. PATIENTS: Sixty-two preterm
neonates at 28 to 36 weeks' gestational age who were expected to stay in the
neonatal intensive care unit for at least 2 blood sampling procedures, without
major congenital anomalies, grade III or IV intraventricular hemorrhage, or
periventricular leukomalacia; without surgical interventions; not receiving
parenteral analgesics or sedatives within 72 hours; and with parental consent.
INTERVENTION: During 2 separate medically ordered heel lance procedures at least
24 hours apart, infants were held in KC for 30 minutes before and during the
procedure with the mother or with the father, and with the other parent in the
subsequent session. Which parent came first was randomized. MAIN OUTCOME
MEASURES: The Premature Infant Pain Profile and time for heart rate to return to
baseline were the primary outcomes. RESULTS: At 30 and 60 seconds after the heel
lance, infants in maternal KC displayed significantly lower scores on the
Premature Infant Pain Profile than when in paternal KC (30 seconds mean
difference, 1.435 [95% confidence interval, 0.232-2.632]); 60 seconds mean
difference, 1.548 [95% confidence interval, 0.069-3.027]). At 90 and 120 seconds,
there were no differences. The difference in time to return to KC heart rate
before the heel lance was significant, with the time in maternal KC being 204
seconds and in paternal KC, 246 seconds (mean difference, 42 seconds [95%
confidence interval, 5.16-81.06 seconds]). CONCLUSIONS: Mothers were marginally
more effective than fathers in decreasing pain response. Future research should
address feasibility issues and nonparent providers of KC during painful
procedures. TRIAL REGISTRATION: clinicaltrials.gov Identifier: ISRCTN51481987.
PMID- 21893646
TI - Relationship between weekend catch-up sleep and poor performance on attention
tasks in Korean adolescents.
AB - OBJECTIVE: To investigate the relationship between insufficient sleep and poor
attention in Korean adolescents, adjusting for potential confounding factors of
depressed mood and habitual snoring. DESIGN: School-based cross-sectional study.
SETTING: Eight high schools in 3 cities in the Republic of Korea. PARTICIPANTS: A
sample of 2638 urban high school students (42.2% male and 57.8% female; mean [SD]
age, 17.3 [0.6] years [age range, 14-19 years]) completed questionnaires and
computerized attention tasks during the school term. EXPOSURE: Weekend catch-up
sleep. MAIN OUTCOME MEASURES: Self-reported sleep schedules and habits, including
sleep duration, bedtime, wake-up time, depressed mood, and habitual snoring. Also
measured were numbers of omission and commission errors on computerized attention
tasks. RESULTS: The mean (SD) sleep duration on weekdays was 5 hours 42 minutes
(1 hour 0 minutes) per day and on weekends was 8 hours 24 minutes (1 hour 36
minutes) per day. The mean (SD) weekend catch-up sleep was 2 hours 42 minutes (1
hour 42 minutes) per day. After adjusting for age, sex, depressed mood (Beck
Depression Inventory score, >=10), habitual snoring, and weekday sleep duration,
increased weekend catch-up sleep was significantly associated with more omission
and commission errors on sustained attention tasks (P < .001 and P = .03,
respectively) and on divided attention tasks (P = .01 and P = .04, respectively).
CONCLUSIONS: Increased weekend catch-up sleep as an indicator of insufficient
weekday sleep is associated with poor performance on objective attention tasks.
Assessment of catch-up sleep and sleep duration may be useful for physicians to
evaluate sleep insufficiency and its adverse effects on attention in adolescents.
PMID- 21893647
TI - Compliance with recommendations and opportunities for vaccination at ages 11 to
12 years: evaluation of the 2009 national immunization survey-teen.
AB - OBJECTIVES: To determine vaccination coverage at selected ages and by birth
cohort and to assess whether all indicated vaccines were administered during
vaccination visits. DESIGN: Population-based cross-sectional study. SETTING:
National Immunization Survey-Teen 2009 telephone interview. PARTICIPANTS: United
States adolescents aged 13 to 17 years with provider-reported vaccination
histories (N = 20 066). MAIN OUTCOME MEASURES: Among all adolescents and by birth
cohort: coverage estimates for 3 childhood vaccines (measles-containing,
hepatitis B, and varicella) and 3 adolescent vaccines (tetanus-diphtheria and/or
tetanus-diphtheria-acellular pertussis, meningococcal-containing, and human
papillomavirus for girls) at selected ages. RESULTS: By age 11 years, most
adolescents had obtained the childhood vaccines. Receipt of a tetanus-diphtheria
and/or tetanus-diphtheria-acellular pertussis vaccine at ages 11 to 12 years
increased significantly from the 1991 to 1996 birth cohort (33.8% vs 68.2%, P <
.001); receipt of meningococcal-containing vaccine at ages 11 to 12 years
increased significantly from the 1993 to 1996 birth cohort (8.4% vs 50.0%, P <
.001). Among girls, receipt of human papillomavirus vaccine at ages 11 to 12
years increased significantly from the 1994 to 1996 birth cohort (11.1% vs 30.5%,
P < .001). Overall, 54.9% of adolescents received at least 1 vaccination visit at
ages 11 to 12 years. Among adolescents who made a vaccination visit at ages 11 to
12 years and were eligible for vaccination, 19.5% did not receive tetanus
diphtheria and/or tetanus-diphtheria-acellular pertussis, 60.9% did not receive
meningococcal-containing, and 62.4% did not receive human papillomavirus
vaccines. CONCLUSIONS: Receipt of vaccines at the recommended ages of 11 to 12
years appears to be increasing; however, providers often do not administer all
indicated vaccines during a vaccination visit.
PMID- 21893648
TI - Learning problems in kindergarten students with extremely preterm birth.
AB - OBJECTIVES: To assess learning problems among kindergarten students with
extremely preterm birth and to identify risk factors. DESIGN: Cohort study.
SETTING: Children's hospital. PARTICIPANTS: A cohort of 148 children born between
January 1, 2001, and December 31, 2003, with extremely preterm birth, defined as
less than 28 weeks' gestation or having a birth weight of less than 1000 g, and
111 classmate control individuals born at term with normal birth weight.
INTERVENTIONS: The children were enrolled in the study during their first year in
kindergarten and were assessed on measures of learning progress. MAIN OUTCOME
MEASURES: Achievement testing, teacher ratings of learning progress, and
individual educational assistance. RESULTS: Children with extremely preterm birth
had lower mean standard scores than controls on achievement tests of spelling
(8.52; 95% confidence interval, 4.58-12.46) and applied mathematics (11.02; 6.76
15.28). They had higher rates of substandard learning progress by teacher report
in written language (odds ratio, 4.23; 95% CI, 2.32-7.73) and mathematics (7.08;
2.79-17.95). Group differences in mathematics achievement and in teacher ratings
of learning progress were statistically significant even in children without
neurosensory deficits or low global cognitive ability. Neonatal risk factors,
early childhood neurodevelopmental impairment, and socioeconomic status predicted
learning problems in children with extremely preterm birth; however, many
children with problems were not enrolled in a special education program.
CONCLUSIONS: Learning problems in children with extremely preterm birth are
evident in kindergarten and are associated with neonatal and early childhood risk
factors. Our findings support efforts to provide more extensive monitoring and
interventions before and during the first year of school.
PMID- 21893649
TI - Controller medication use and sleep problems in pediatric asthma: a longitudinal
case-crossover analysis.
AB - OBJECTIVE: To determine the effect of asthma controller medication use, choice,
and adherence on sleep in children with asthma. DESIGN: A case-crossover analysis
examining within-subject differences in controller use between time points with
and without sleep problems, based on survey data from 3 time points (baseline, 6
months, and 1 year) of a randomized trial. SETTING: Families were recruited from
2 area practice networks; all assessments were completed by parents online.
PARTICIPANTS: Children with asthma, aged 2 to 10 years, as identified by asthma
related medical encounters and prescription fills. MAIN EXPOSURES: Parent report
of asthma controller medication use (yes/no), type (inhaled corticosteroid or
leukotriene inhibitor), and adherence (daily use, nonadherent use, or nonuse).
MAIN OUTCOME MEASURES: Children's sleep problems, as defined by parent report
regarding how often the child had difficulty falling asleep or experienced
daytime sleepiness. RESULTS: The analysis included 482 children; 82.6% and 75.9%
completed the 6-month and 1-year follow-up visits, respectively. Sleep problems
were common, with 19.4% of parents at baseline reporting frequent problems with
the child falling asleep and 12.1% reporting frequent daytime sleepiness.
Compared with children who did not use a controller medication, children had a
decreased risk of problems falling asleep during periods with daily controller
use (odds ratio [OR], 0.34; 95% confidence interval [CI], 0.13-0.92), with a
trend toward an effect in those with nonadherent use (0.47; 0.20-1.12). Any
controller use, regardless of adherence, was also associated with decreased odds
of daytime sleepiness (OR, 0.69; 95% CI, 0.51-0.94). When controller class was
examined, leukotriene inhibitors were associated with significantly decreased
odds of problems falling asleep (OR, 0.18; 95% CI, 0.04-0.78), with or without
concomitant use of inhaled corticosteroids, but the results for use of inhaled
corticosteroids alone were not statistically significant (0.69; 0.32-1.53).
CONCLUSIONS: Controller medications appear to be effective in reducing sleep
problems in children with asthma, and leukotriene inhibitor medications may be
especially effective in this population.
PMID- 21893651
TI - Patient volume and quality of care for young children hospitalized with acute
gastroenteritis.
AB - OBJECTIVE: To explore the relationship between the volume of children admitted to
the hospital with acute gastroenteritis and adherence to recommended quality
indicators. DESIGN: Retrospective cohort study. SETTING: Premier Perspective
clinical and financial information systems database (Premier Inc, Charlotte,
North Carolina). PARTICIPANTS: A total of 12,604 otherwise healthy children aged
3 months to 10 years hospitalized between January 1, 2007, and December 31, 2009,
at 280 US hospitals with International Classification of Diseases, Ninth Revision
diagnosis codes indicating acute gastroenteritis. MAIN EXPOSURE: Volume of
hospital admissions per year of children with acute gastroenteritis. MAIN OUTCOME
MEASURES: Quality indicators for overuse and misuse of care in the management of
acute gastroenteritis based on nationally published guidelines. These include
blood testing, stool studies, use of antibiotics, and use of nonrecommended
antiemetic or antidiarrheal medications (hereafter referred to as nonrecommended
medications). RESULTS: Selected blood, stool, and rotavirus tests (overuse
indicators) were performed in 85%, 46%, and 56% of children, respectively. Six
percent of children received nonrecommended medications, and 26% received
antibiotics (misuse indicators). Higher volumes of hospital admission for acute
gastroenteritis were associated with less use of blood tests (odds ratio [OR],
0.67 [95% confidence interval {CI}, 0.50-0.89]), nonrecommended medications (OR,
0.84 [95% CI, 0.76-0.93]), and antibiotics (OR, 0.93 [95% CI, 0.86-0.99]).
Children admitted to hospitals in the 25th vs 75th percentile of patient volume
had a 10%, 30%, and 10% increased chance of having blood tests, nonrecommended
medications, and antibiotics ordered, respectively. CONCLUSIONS: In a nationally
representative sample of hospitals that care for children with acute
gastroenteritis, higher patient volumes were associated with greater adherence to
established quality indicators. Further investigation is needed to identify the
hospital characteristics driving the volume-quality relationship for this common
pediatric condition.
PMID- 21893652
TI - Picture of the month--quiz case. Plastic bronchitis.
PMID- 21893653
TI - What have we learned about early treatment of Pseudomonas aeruginosa infection in
infants and children with cystic fibrosis?
PMID- 21893654
TI - How parents can help children cope with procedures and pain.
PMID- 21893650
TI - Comparative efficacy and safety of 4 randomized regimens to treat early
Pseudomonas aeruginosa infection in children with cystic fibrosis.
AB - OBJECTIVE: To investigate the efficacy and safety of 4 antipseudomonal treatments
in children with cystic fibrosis with recently acquired Pseudomonas aeruginosa
infection. DESIGN: Randomized controlled trial. SETTING: Multicenter trial in the
United States. PARTICIPANTS: Three hundred four children with cystic fibrosis
aged 1 to 12 years within 6 months of P aeruginosa detection. INTERVENTIONS:
Participants were randomized to 1 of 4 antibiotic regimens for 18 months (six 12
week quarters) between December 2004 and June 2009. Participants randomized to
cycled therapy received tobramycin inhalation solution (300 mg twice a day) for
28 days, with oral ciprofloxacin (15-20 mg/kg twice a day) or oral placebo for 14
days every quarter, while participants randomized to culture-based therapy
received the same treatments only during quarters with positive P aeruginosa
cultures. MAIN OUTCOME MEASURES: The primary end points were time to pulmonary
exacerbation requiring intravenous antibiotics and proportion of P aeruginosa
positive cultures. RESULTS: The intention-to-treat analysis included 304
participants. There was no interaction between treatments. There were no
statistically significant differences in exacerbation rates between cycled and
culture-based groups (hazard ratio, 0.95; 95% confidence interval [CI], 0.54
1.66) or ciprofloxacin and placebo (hazard ratio, 1.45; 95% CI, 0.82-2.54). The
odds ratios of P aeruginosa- positive culture comparing the cycled vs culture
based group were 0.78 (95% CI, 0.49-1.23) and 1.10 (95% CI, 0.71-1.71) comparing
ciprofloxacin vs placebo. Adverse events were similar across groups. CONCLUSIONS:
No difference in the rate of exacerbation or prevalence of P aeruginosa
positivity was detected between cycled and culture-based therapies. Adding
ciprofloxacin produced no benefits. TRIAL REGISTRATION: ClinicalTrials.gov
Identifier: NCT00097773.
PMID- 21893655
TI - Chauvet cave: the Panel of Horses.
PMID- 21893656
TI - Changes in cortical thickness during the course of illness in schizophrenia.
AB - CONTEXT: Whether cortical thickness changes in schizophrenia over time are more
pronounced relative to the changes that can be attributed to normal aging has not
been studied. OBJECTIVE: To compare patients with schizophrenia and healthy
control participants on cortical thickness change. DESIGN: A 5-year longitudinal
study comparing schizophrenic patients and healthy controls using 2 magnetic
resonance images of the brain. SETTING: Patients were recruited from the
Department of Psychiatry at the University Medical Centre Utrecht and from other
psychiatric hospitals in the Netherlands. Healthy controls were recruited via
advertisement in newspapers and notice boards. PARTICIPANTS: Ninety-six
schizophrenic patients and 113 healthy controls aged 16 to 56 years. MAIN OUTCOME
MEASURES: Cortical thickness and change in cortical thickness on a vertex-by
vertex basis across the cortical mantle, measures of functional and symptomatic
outcome, and cumulative intake of antipsychotics during the scan interval.
RESULTS: At baseline, the schizophrenic patients had thinner left orbitofrontal
and right parahippocampal and superior temporal cortices and a thicker superior
parietal lobule and occipital pole compared with the controls. Mean cortical
thickness did not differ between the groups. Over time, excessive cortical
thinning was found in widespread areas on the cortical mantle, most pronounced
bilaterally in the temporal cortex and in the left frontal area. Poor outcome in
patients was associated with more pronounced cortical thinning. Higher cumulative
intake of typical antipsychotics during the scan interval was associated with
more pronounced cortical thinning, whereas higher cumulative intake of atypical
antipsychotic medication was associated with less pronounced cortical thinning.
CONCLUSIONS: In schizophrenia, the cortex shows excessive thinning over time in
widespread areas of the brain, most pronounced in the frontal and temporal areas,
and progresses across the entire course of the illness. The excessive thinning of
the cortex appears related to outcome and medication intake.
PMID- 21893657
TI - The effect of early trauma exposure on serotonin type 1B receptor expression
revealed by reduced selective radioligand binding.
AB - CONTEXT: Serotonergic dysfunction is implicated in the pathogenesis of
posttraumatic stress disorder (PTSD), and recent animal models suggest that
disturbances in serotonin type 1B receptor function, in particular, may
contribute to chronic anxiety. However, the specific role of the serotonin type
1B receptor has not been studied in patients with PTSD. OBJECTIVE: To investigate
in vivo serotonin type 1B receptor expression in individuals with PTSD, trauma
exposed control participants without PTSD (TC), and healthy (non-trauma-exposed)
control participants (HC) using positron emission tomography and the recently
developed serotonin type 1B receptor selective radiotracer [(11)C]P943. DESIGN:
Cross-sectional positron emission tomography study under resting conditions.
SETTING: Academic and Veterans Affairs medical centers. PARTICIPANTS: Ninety-six
individuals in 3 study groups: PTSD (n = 49), TC (n = 20), and HC (n = 27). Main
Outcome Measure Regional [(11)C]P943 binding potential (BP(ND)) values in an a
priori-defined limbic corticostriatal circuit investigated using multivariate
analysis of variance and multiple regression analysis. RESULTS: A history of
severe trauma exposure in the PTSD and TC groups was associated with marked
reductions in [(11)C]P943 BP(ND) in the caudate, the amygdala, and the anterior
cingulate cortex. Participant age at first trauma exposure was strongly
associated with low [(11)C]P943 BP(ND). Developmentally earlier trauma exposure
also was associated with greater PTSD symptom severity and major depression
comorbidity. CONCLUSIONS: These data suggest an enduring effect of trauma history
on brain function and the phenotype of PTSD. The association of early age at
first trauma and more pronounced neurobiological and behavioral alterations in
PTSD suggests a developmental component in the cause of PTSD.
PMID- 21893658
TI - Grief in children and adolescents bereaved by sudden parental death.
AB - CONTEXT: Major advances have been made in our understanding of the phenomenology
and course of grief in adults. However, little is known about the course of grief
in children and adolescents. OBJECTIVE: We report on the course of children's and
adolescents' grief reactions after sudden parental death and the effect of those
reactions on subsequent psychiatric and functional status. DESIGN: Longitudinal
study (July 1, 2002, through January 16, 2007) of bereaved children, adolescents,
and families, with yearly comprehensive assessments as long as 3 years after
parental death. SETTING: Bereaved children and adolescents and their surviving
parents recruited through coroners' records and a newspaper advertisement.
PARTICIPANTS: A total of 182 parentally bereaved children and adolescents aged 7
through 18 years whose parent died due to suicide, unintentional injury, or
sudden natural causes. MAIN OUTCOME MEASURES: Grief, functional impairment, and
incident depression. RESULTS: Three distinct trajectories of grief reactions were
observed in the study participants. In 1 group, which consisted of 10.4% of the
sample, grief reactions showed no change 33 months after death. Children and
adolescents with prolonged grief reactions had higher rates of previous personal
history of depression. Prolonged grief made unique contributions to increased
levels of functional impairment, even after controlling for the clinical
characteristics before and after the death. Conversely, prolonged grief in
children, adolescents, and the surviving caregiver predisposed children and
adolescents to an increased hazard of incident depression. Another group (30.8%)
showed increased grief reactions 9 months after the death, which gradually
decreased over time. Despite this finding, grief reactions in this group also
were associated with functional impairment and increased risk of incident
depression. CONCLUSIONS: Grief reactions abate over time for most children and
adolescents bereaved by sudden parental death; however, a subset shows increased
or prolonged grief reactions, which in turn increases the risk of functional
impairment and depression. Research regarding interventions designed to relieve
the burden of grief in bereaved children and adolescents are needed. Such efforts
also should assess and address grief reactions in the surviving parent.
PMID- 21893659
TI - Bereavement-related depressive episodes: characteristics, 3-year course, and
implications for the DSM-5.
AB - CONTEXT: The DSM-IV criteria for major depressive episodes exclude brief episodes
that are better accounted for by bereavement. However, a proposal has been made
to remove this exclusion from the DSM-5. OBJECTIVES: To compare the demographic
and psychiatric characteristics of participants with bereavement-related, single,
brief (<2 months) depressive episodes and other types of depressive episodes and
to compare the future risk of depression between these groups and participants
without a history of depression at baseline. DESIGN: A longitudinal, community
based, epidemiologic study conducted from August 1, 2001, through May 31, 2002
(wave 1), and from August 1, 2004, through September 30, 2005 (wave 2). SETTING:
The US general population, including residents of Hawaii and Alaska.
PARTICIPANTS: Participants in the National Epidemiologic Survey on Alcohol and
Related Conditions waves 1 (n = 43 093) and 2 (n = 34 653). MAIN OUTCOME
MEASURES: Demographic characteristics, age at onset, history of depression in
first-degree relatives, impairment in role functioning, psychiatric
comorbidities, lifetime mental health service use, and new depressive episodes
during the 3-year follow-up period. RESULTS: Compared with participants with
other types of depression, those with bereavement-related, single, brief
depressive episodes were more likely to experience later onset and to be black
but less likely to have had impairment in role functioning, comorbid anxiety
disorders, or a treatment history at baseline. Participants with bereavement
related, single, brief episodes were less likely than those with bereavement
unrelated, single, brief episodes to experience fatigue, increased sleep,
feelings of worthlessness, and suicidal ideations. The risk of new depressive
episodes during the follow-up period among participants with bereavement-related,
single, brief episodes was significantly lower than among participants with
bereavement-unrelated, single, brief episodes and other types of depression but
similar to the risk among the participants from the general population with no
baseline history of depression. CONCLUSIONS: Bereavement-related, single, brief
depressive episodes have distinct demographic and symptom profiles compared with
other types of depressive episodes and are not associated with increased risk of
future depression. The findings support preserving the DSM-IV bereavement
exclusion criterion for major depressive episodes in the DSM-5.
PMID- 21893660
TI - Suicide categories by patterns of known risk factors: a latent class analysis.
AB - CONTEXT: Multiple risk factors contribute to suicides; however, patterns of co
occurrence among these factors have not been fully identified. OBJECTIVES: To
assess patterns of known suicide-related risk factors, classify suicide decedents
by these patterns, track class proportions during a 6-year period, and
characterize decedents across the classes to help focus prevention strategies.
DESIGN, SETTING, AND PARTICIPANTS: Latent class analysis was conducted using 2003
2008 data from the National Violent Death Reporting System. The population
included 28 703 suicide decedents from 12 US states. MAIN OUTCOME MEASURES: The
known risk factors included having the following: mental health conditions; a sad
or depressed mood; substance abuse problems; medical problems; recent crises;
financial, job, and legal problems; intimate partner and other relationship
problems; and perpetrated interpersonal violence. RESULTS: Nine distinct patterns
of risk factors emerged. Of these classes, 1 only endorsed mental health-related
factors and 1 only endorsed alcohol- and substance abuse-related factors;
however, 7 classes of decedents had distinct patterns of factors that spanned
multiple domains. For example, 5 of these classes had mental health factors with
other risks (eg, substance abuse, financial problems, relationship problems, a
recent crisis, and medical problems). Two classes had recent crises with
relationship problems; one of these classes also had high probabilities for
criminal problems and interpersonal violence. Class proportions differed during
the 6 years. Differences across classes by demographic and event characteristics
were also found. CONCLUSIONS: Most suicide decedents could be classified by
patterns of risk factors. Furthermore, most classes revealed a need for more
connected services across medical, mental health/substance abuse, and
court/social service systems. Reducing fragmentation across these agencies and
recruiting family, friend, and community support for individuals experiencing
mental health problems and/or other stress might significantly reduce suicides.
PMID- 21893661
TI - Utility of combinations of biomarkers, cognitive markers, and risk factors to
predict conversion from mild cognitive impairment to Alzheimer disease in
patients in the Alzheimer's disease neuroimaging initiative.
AB - CONTEXT: Biomarkers have become increasingly important in understanding
neurodegenerative processes associated with Alzheimer disease. Markers include
regional brain volumes, cerebrospinal fluid measures of pathological Abeta1-42
and total tau, cognitive measures, and individual risk factors. OBJECTIVE: To
determine the discriminative utility of different classes of biomarkers and
cognitive markers by examining their ability to predict a change in diagnostic
status from mild cognitive impairment to Alzheimer disease. DESIGN: Longitudinal
study. PARTICIPANTS: We analyzed the Alzheimer's Disease Neuroimaging Initiative
database to study patients with mild cognitive impairment who converted to
Alzheimer disease (n = 116) and those who did not convert (n = 204) within a 2
year period. We determined the predictive utility of 25 variables from all
classes of markers, biomarkers, and risk factors in a series of logistic
regression models and effect size analyses. SETTING: The Alzheimer's Disease
Neuroimaging Initiative public database. OUTCOME MEASURES: Primary outcome
measures were odds ratios, pseudo- R(2)s, and effect sizes. RESULTS: In
comprehensive stepwise logistic regression models that thus included variables
from all classes of markers, the following baseline variables predicted
conversion within a 2-year period: 2 measures of delayed verbal memory and middle
temporal lobe cortical thickness. In an effect size analysis that examined rates
of decline, change scores for biomarkers were modest for 2 years, but a change in
an everyday functional activities measure (Functional Assessment Questionnaire)
was considerably larger. Decline in scores on the Functional Assessment
Questionnaire and Trail Making Test, part B, accounted for approximately 50% of
the predictive variance in conversion from mild cognitive impairment to Alzheimer
disease. CONCLUSIONS: Cognitive markers at baseline were more robust predictors
of conversion than most biomarkers. Longitudinal analyses suggested that
conversion appeared to be driven less by changes in the neurobiologic trajectory
of the disease than by a sharp decline in functional ability and, to a lesser
extent, by declines in executive function.
PMID- 21893663
TI - Posttraumatic stress disorder subtypes invalid.
PMID- 21893662
TI - Temporal relationship between depression and dementia: findings from a large
community-based 15-year follow-up study.
AB - CONTEXT: Late-life depression is associated with increased risk of dementia, but
the temporal relationship between depression and development of dementia remains
unclear. OBJECTIVES: To examine the association between risk of dementia and
baseline depressive symptoms; history of depression, particularly early-life (<50
years) vs late-life depression (>=50 years); and individual domains of the Center
for Epidemiologic Studies Depression Scale. DESIGN: A large cohort with initially
nondemented participants was followed up biennially for up to 15 years. Baseline
depressive symptoms were assessed using the 11-item version of the Center for
Epidemiologic Studies Depression Scale; presence of significant depressive
symptoms was defined as a score of 11 or greater. Self-reported history of
depression was collected at the baseline interview. Cox proportional hazards
regression was used to assess the association between depression and dementia
risk. SETTING: Population-based cohort drawn from members of the Group Health
Cooperative in Seattle, Washington. PARTICIPANTS: A cohort of 3410 participants
without dementia aged at least 65 years. RESULTS: During a mean of 7.1 years of
follow-up, 658 participants (19.3%) developed dementia. At baseline, 9.4% of
participants had presence of significant depressive symptoms, and 21.2% reported
a history of depression. The adjusted hazard ratio for dementia associated with
baseline depressive symptoms was 1.71 (95% confidence interval, 1.37-2.13), after
adjusting for age at entry, sex, educational level, and wave of enrollment.
Compared with participants without depression history, those with late-life
depression were at increased dementia risk (adjusted hazard ratio, 1.46; 95%
confidence interval, 1.16-1.84), but early-life depression had no association
with dementia risk (1.10 [0.83-1.47]). Depressed mood (adjusted hazard ratio,
1.48; 95% confidence interval, 1.25-1.76) and perceived performance difficulty
(1.39 [1.15-1.67]) were independently associated with dementia. CONCLUSION: This
study confirmed that late-life depression is associated with increased risk of
dementia and supplied evidence that late-life depression may be an early
manifestation of dementia rather than increasing risk for dementia.
PMID- 21893664
TI - Pearls & oy-sters: status epilepticus from hyperammonemia after lung transplant.
PMID- 21893666
TI - Teaching neuroimages: excessive fragmentary hypnic myoclonus.
PMID- 21893665
TI - Teaching neuroimages: punctuate and curvilinear enhancement peppering the pons
responsive to steroids.
PMID- 21893667
TI - Neurology (1997-2006): "A man's reach must exceed his grasp"--from Andrea del
Sarto, Robert Browning.
PMID- 21893668
TI - Psychogenic nonepileptic seizures in US veterans.
AB - OBJECTIVES: Psychogenic nonepileptic seizures (PNES) are frequently encountered
in epilepsy monitoring units (EMU) and can result in significant long-term
disability. We reviewed our experience with veterans undergoing seizure
evaluation in the EMU to determine the time delay to diagnosis of PNES, the
frequency of PNES, and cumulative antiepileptic drug (AED) treatment. We compared
veterans with PNES to civilians with PNES studied in the same EMU. METHODS: We
reviewed records of all patients admitted to one Veterans Affairs Medical Center
(VAMC) EMU over a 10-year interval. These patients included 203 veterans and 726
civilians from the university affiliate. The percentage of patients with PNES was
calculated for the veteran and civilian groups. Fifty veterans with only PNES
were identified. Each veteran with PNES was matched to the next civilian patient
with PNES. The 2 groups were compared for interval from onset of the habitual
spells to EMU diagnosis, cumulative AED treatment, and other measures. RESULTS:
PNES were identified in 25% of veterans and 26% of civilians admitted to the EMU.
The delay from onset of spells to EMU diagnosis averaged 60.5 months for veterans
and 12.5 months for civilians (p < 0.001). Cumulative AED treatment was 4 times
greater for veterans with PNES as compared to civilians (p < 0.01). Fifty-eight
percent of veterans with PNES were thought to have seizures related to traumatic
brain injury. CONCLUSIONS: The results indicate a substantial delay in the
diagnosis of PNES in veterans as compared to civilians. The delay is associated
with greater cumulative AED treatment.
PMID- 21893670
TI - Depiction of the neuroscientific principles of human motion 2 millennia ago by
Lucretius.
AB - Titus Lucretius Carus was an ancient Roman philosopher of the Epicurean school
whose epic poem On the Nature of Things described numerous aspects of the natural
world. In fact, much contemporary scientific understanding is consistent with or
inspired by his work. Among Lucretius's contributions to neurology were his
descriptions of epileptic seizures, sleep, and his theory of vision. This report
identifies how Lucretius's description of human motion recognized the fundamental
principles understood by contemporary neurologists and neuroscientists, namely
the importance of the mind and intelligence in determining whether to move, in
the initiation of motion and its effect on the rest of the body; the importance
of mental imagery and perception of the motor task's nature and workload in
addition to the necessary systemic changes occurring in parallel with the muscle
activity. Lucretius was the first commentator to introduce into Epicurean poetry
the concept of such a mechanism consisting of a logical order of processes which
are still consistent with modern concepts.
PMID- 21893671
TI - Comment: Lucretius and the epicurean neurologist.
PMID- 21893672
TI - Incidence of epilepsy: a systematic review and meta-analysis.
AB - OBJECTIVE: To estimate the pooled incidence of epilepsy from published studies
and investigate sources of heterogeneity in the estimates. METHODS: We searched
online databases for incidence studies and used meta-analytic methods to analyze
the data. RESULTS: Thirty-three articles met the entry criteria. The median
incidence of epilepsy was 50.4/100,000/year (interquartile range [IQR] 33.6
75.6), while it was 45.0 (IQR 30.3-66.7) for high-income countries and 81.7 (IQR
28.0-239.5) for low- and middle-income countries. Population-based studies had
higher incidence estimates than hospital-based studies (p = 0.02) while
retrospective study design was associated with lower estimates than prospective
studies (p = 0.04). CONCLUSION: We provide data that could potentially be used to
assess the burden and analyze the trends in incidence of epilepsy. Our results
support the need for large population-based incidence studies of epilepsy.
PMID- 21893673
TI - The forgotten nails: hemionychophagia.
PMID- 21893674
TI - Pearls & oy-sters: a distinctive watershed area in the vertebrobasilar territory.
PMID- 21893675
TI - First-order Horner syndrome due to ipsilateral thalamic hemorrhage.
PMID- 21893676
TI - Indolent course of progressive multifocal leukoencephalopathy during natalizumab
treatment in MS.
PMID- 21893677
TI - Objective assessment of increase in breathing resistance of N95 respirators on
human subjects.
AB - Face masks or respirators are commonly worn by medical professionals and patients
for protection against respiratory tract infection and the spread of illnesses,
such as severe acute respiratory syndrome and pandemic influenza (H1N1).
Breathing discomfort due to increased breathing resistance is known to be a
problem with the use of N95 respirators but there is a lack of scientific data to
quantify this effect. The purpose of this study was to assess objectively the
impact of wearing N95 face masks on breathing resistance. A total of 14 normal
adult volunteers (seven males and seven females) were recruited in this study.
Nasal airflow resistance during inspiration and expiration was measured using a
standard rhinomanometry and nasal spirometry. A modified full face mask was
produced in-house in order to measure nasal resistance with the use of N95 (3M
8210) respirators. The results showed a mean increment of 126 and 122% in
inspiratory and expiratory flow resistances, respectively, with the use of N95
respirators. There was also an average reduction of 37% in air exchange volume
with the use of N95 respirators. This is the first reported study that
demonstrates quantitatively and objectively the substantial impairment of nasal
airflow in terms of increased breathing resistance with the use of N95
respirators on actual human subjects.
PMID- 21893678
TI - Identification of novel oxidized levuglandin D2 in marine red alga and mouse
tissue.
AB - In animals, the product of cyclooxygenase reacting with arachidonic acid,
prostaglandin(PG)H(2), can undergo spontaneous rearrangement and nonenzymatic
ring cleavage to form levuglandin(LG)E(2) and LGD(2). These LGs and their isomers
are highly reactive gamma-ketoaldehydes that form covalent adducts with proteins,
DNA, and phosphatidylethanolamine in cells. Here, we isolated a novel oxidized
LGD(2) (ox-LGD(2)) from the red alga Gracilaria edulis and determined its planar
structure. Additionally, ox-LGD(2) was identified in some tissues of mice and in
the lysate of phorbol-12-myristate-13-acetate (PMA)-treated THP-1 cells incubated
with arachidonic acid using LC-MS/MS. These results suggest that ox-LGD(2) is a
common oxidized metabolite of LGD(2). In the planar structure of ox-LGD(2), H8
and H12 of LGD(2) were dehydrogenated and the C9 aldehyde was oxidized to a
carboxylic acid, which formed a lactone ring with the hydrated ketone at C11.
These structural differences imply that ox-LGD(2) is less reactive with amines
than LGs. Therefore, ox-LGD(2) might be considered a detoxification metabolite of
LGD(2).
PMID- 21893679
TI - 5-HT1A receptor agonists enhance pyramidal cell firing in prefrontal cortex
through a preferential action on GABA interneurons.
AB - 5-HT(1A) receptors (5-HT1AR) are expressed by pyramidal and gamma-aminobutyric
acidergic (GABAergic) neurons in medial prefrontal cortex (mPFC). Endogenous
serotonin inhibits mPFC pyramidal neurons via 5-HT1AR while 5-HT1AR agonists,
given systemically, paradoxically excite ventral tegmental area-projecting
pyramidal neurons. This enhances mesocortical dopamine function, a process
involved in the superior efficacy of atypical antipsychotic drugs on negative and
cognitive symptoms of schizophrenia. Moreover, the 5-HT1AR-induced increase of
pyramidal discharge may also contribute to the maintenance of activity patterns
required for working memory, impaired in schizophrenia. Given the importance of
these processes, we examined the neurobiological basis of pyramidal activation
through 5-HT1AR using the prototypical agent 8-OH-DPAT. (+/-)8-OH-DPAT (7.5
MUg/kg i.v.) increased discharge rate and c-fos expression in rat mPFC pyramidal
neurons. Local blockade of GABA(A) inputs with gabazine (SR-95531) avoided (+/-)8
OH-DPAT-induced excitations of pyramidal neurons. Moreover, (+/-)8-OH-DPAT
administration reduced the discharge rate of mPFC fast-spiking GABAergic
interneurons at doses exciting pyramidal neurons. Activation of other 5-HT1AR
subpopulations (raphe nuclei or hippocampus) does not appear to contribute to
pyramidal excitations. Overall, the present data suggest a preferential action of
(+/-)8-OH-DPAT on 5-HT1AR in GABAergic interneurons. This results in pyramidal
disinhibition and subsequent downstream excitations of subcortical structures
reciprocally connected with PFC, such as midbrain dopaminergic neurons.
PMID- 21893680
TI - White-matter connectivity between face-responsive regions in the human brain.
AB - Face recognition is of major social importance and involves highly selective
brain regions thought to be organized in a distributed functional network.
However, the exact architecture of interconnections between these regions remains
unknown. We used functional magnetic resonance imaging to identify face
responsive regions in 22 participants and then employed diffusion tensor imaging
with probabilistic tractography to establish the white-matter pathways between
these functionally defined regions. We identified strong white-matter connections
between the occipital face area (OFA) and fusiform face area (FFA), with a
significant right-hemisphere predominance. We found no evidence for direct
anatomical connections between FFA and superior temporal sulcus (STS) or between
OFA and STS, contrary to predictions based on current cognitive models. Instead,
our findings point to segregated processing along a ventral extrastriate visual
pathway to OFA-FFA and another more dorsal system connected to STS and
frontoparietal areas. In addition, early occipital areas were found to have
direct connections to the amygdala, which might underlie a rapid recruitment of
limbic brain areas by visual inputs bypassing more elaborate extrastriate
cortical processing. These results unveil the structural neural architecture of
the human face recognition system and provide new insights on how distributed
face-responsive areas may work together.
PMID- 21893681
TI - Is the link between anatomical structure and function equally strong at all
cognitive levels of processing?
AB - Whereas low-level sensory processes can be linked to macroanatomy with great
confidence, the degree to which high-level cognitive processes map onto anatomy
is less clear. If function respects anatomy, more accurate intersubject
anatomical registration should result in better functional alignment. Here, we
use auditory functional magnetic resonance imaging and compare the effectiveness
of affine and nonlinear registration methods for aligning anatomy and functional
activation across subjects. Anatomical alignment was measured using normalized
cross-correlation within functionally defined regions of interest. Functional
overlap was assessed using t-statistics from the group analyses and the degree to
which group statistics predict high and consistent signal change in individual
data sets. In regions related to early stages of auditory processing, nonlinear
registration resulted in more accurate anatomical registration and stronger
functional overlap among subjects compared with affine. In frontal and temporal
areas reflecting high-level processing of linguistic meaning, nonlinear
registration also improved the accuracy of anatomical registration. However,
functional overlap across subjects was not enhanced in these regions. Therefore,
functional organization, relative to anatomy, is more variable in the frontal and
temporal areas supporting meaning-based processes than in areas devoted to
sensory/perceptual auditory processing. This demonstrates for the first time that
functional variability increases systematically between regions supporting lower
and higher cognitive processes.
PMID- 21893682
TI - Lateralized auditory cortical alpha band activity and interregional connectivity
pattern reflect anticipation of target sounds.
AB - The anticipation of stimuli facilitates the top-down preparation of neuronal
tissue involved in the processing of forthcoming targets. Increasing evidence in
the visual modality emphasizes the anticipatory adjustment of visual cortex
excitability through modulations of oscillatory alpha power. In the auditory
system, however, this relationship has not yet been established. Furthermore, the
association between anticipatory modulations of auditory alpha power and a
potential top-down network within these anticipatory preparation processes
remains unexplained. To disclose these processes, we recorded
magnetoencephalography while visually cuing participants to attend to either ear
and to anticipate forthcoming auditory stimuli. For the cue-stimulus phase, we
expected an asymmetric modulation of auditory alpha power when attending to the
left or right ear, assuming that frontoparietal regions would phase synchronize
with the auditory cortex in an asymmetric pattern. Beamformer source solutions
demonstrate an asymmetric modulation of auditory alpha power following visual
cues expressed in a strong right auditory alpha power increase when attending to
the right ear. Furthermore, the right auditory cortex is functionally connected
to the frontal eye fields during the ipsilateral alpha increase. Altogether,
these results contribute significantly to the understanding of how auditory
anticipation acts on a local as well as on a network level.
PMID- 21893683
TI - Functional connectivity between anatomically unconnected areas is shaped by
collective network-level effects in the macaque cortex.
AB - Coherent spontaneous blood oxygen level-dependent (BOLD) fluctuations have been
intensely investigated as a measure of functional connectivity (FC) in the
primate neocortex. BOLD-FC is commonly assumed to be constrained by the
underlying anatomical connectivity (AC); however, cortical area pairs with no
direct AC can also have strong BOLD-FC. On the mechanism generating FC in the
absence of direct AC, there are 2 possibilities: 1) FC is determined by signal
flows via short connection patterns, such as serial relays and common afferents
mediated by a third area; 2) FC is shaped by collective effects governed by
network properties of the cortex. In this study, we conducted functional magnetic
resonance imaging in anesthetized macaque monkeys and found that BOLD-FC between
unconnected areas depends less on serial relays through a third area than on
common afferents and, unexpectedly, common efferents, which does not match the
first possibility. By utilizing a computational model for interareal BOLD-FC
network, we show that the empirically detected AC-FC relationships reflect the
configuration of network building blocks (motifs) in the cortical anatomical
network, which supports the second possibility. Our findings indicate that FC is
not determined solely by interareal short connection patterns but instead is
substantially influenced by the network-level cortical architecture.
PMID- 21893684
TI - Contextual factors influencing readiness for dissemination of obesity prevention
programs and policies.
AB - Within the realm of obesity prevention research, there have been many promising
interventions to improve physical activity and nutrition among diverse target
populations. However, very little information is known about the dissemination
and replication of these interventions. In 2007 and 2008 as part of a larger
obesity prevention initiative, Missouri Foundation for Health funded 19 community
based programs throughout the state that showed promise of being model practices
and committed to promoting their dissemination. Semi-structured key informant
interviews were conducted with 64 individuals across the grant sites to help
stage their readiness for dissemination. Through these interviews, the project
team was able to identify the variables that impact a program's readiness for
widespread distribution. Some factors contributing to readiness include: strong
intervention planning and an existing sustainability plan; physical space
available for the intervention; staff and monetary resources; administrative buy
in; community buy-in and engagement; a strong partner base and an agency with a
healthy and active mission. These findings add to the literature by
systematically identifying a set of key contextual variables. The qualitative
data collected support a proposed framework and helps to establish a process for
maintaining successful interventions based on several important factors that
impact dissemination.
PMID- 21893685
TI - Potential effectiveness of specific anti-smoking mass media advertisements among
Australian Indigenous smokers.
AB - Aboriginal and Torres Strait Islander Australians (Indigenous Australians) have
more than twice the smoking prevalence of non-Indigenous Australians. Anti
smoking campaigns have demonstrated success in the general population but little
is known about their impact among Indigenous people. A total of 143 Indigenous
and a comparison group of 156 non-Indigenous smokers from South Australia were
shown 10 anti-smoking advertisements representing a range of advertisements
typically aired in Australia. Participants rated advertisements on a five-point
Likert scale assessing factors including message acceptance and personalized
effectiveness. On average, Indigenous people rated the mainstream advertisements
higher than non-Indigenous people and were more likely to report that they
provided new information. Advertisements with strong graphic imagery depicting
the health effects of smoking were rated highest by Indigenous smokers.
Advertisements featuring real people describing the serious health consequences
of smoking received mixed responses. Those featuring an ill person were rated
higher by Indigenous people than those featuring the family of the person
affected by a smoking-related disease. With limited Indigenous-specific messages
available and given the finite resources of most public health campaigns,
exposure to mainstream strong graphic and emotive first-person narratives about
the health effects of smoking are likely to be highly motivating for Indigenous
smokers.
PMID- 21893686
TI - The photoperiod, circadian regulation and chronodisruption: the requisite
interplay between the suprachiasmatic nuclei and the pineal and gut melatonin.
AB - The current scientific literature is replete with investigations providing
information on the molecular mechanisms governing the regulation of circadian
rhythms by neurons in the suprachiasmatic nucleus (SCN), the master circadian
generator. Virtually every function in an organism changes in a highly regular
manner during every 24-hour period. These rhythms are believed to be a
consequence of the SCN, via neural and humoral means, regulating the intrinsic
clocks that perhaps all cells in organisms possess. These rhythms optimize the
functions of cells and thereby prevent or lower the incidence of pathologies.
Since these cyclic events are essential for improved cellular physiology, it is
imperative that the SCN provide the peripheral cellular oscillators with the
appropriate time cues. Inasmuch as the 24-hour light:dark cycle is a primary
input to the central circadian clock, it is obvious that disturbances in the
photoperiodic environment, e.g., light exposure at night, would cause disruption
in the function of the SCN which would then pass this inappropriate information
to cells in the periphery. One circadian rhythm that transfers time of day
information to the organism is the melatonin cycle which is always at low levels
in the blood during the day and at high levels during darkness. With light
exposure at night the amount of melatonin produced is compromised and this
important rhythm is disturbed. Another important source of melatonin is the
gastrointestinal tract (GIT) that also influences the circulating melatonin is
the generation of this hormone by the entero-endocrine (EE) cells in the gut
following ingestion of tryptophan-containing meal. The consequences of the
altered melatonin cycle with the chronodisruption as well as the alterations of
GIT melatonin that have been linked to a variety of pathologies, including those
of the gastrointestinal tract.
PMID- 21893687
TI - Cardiovascular effects of the combination of levosimendan and valsartan in
hypertensive Dahl/Rapp rats.
AB - Hypertension is the main risk factor for left ventricular hypertrophy and
development of diastolic heart failure. There is no yet treatment, which can
effectively reduce mortality in patients suffering from heart failure with
preserved systolic function. We tested whether the calcium sensitizer
levosimendan and the AT1-receptor antagonist valsartan could protect from salt
induced hypertension, cardiovascular mortality and heart failure in Dahl/Rapp
salt-sensitive rats fed for 7 weeks with a high salt diet (8% NaCl). Levosimendan
(1 mg/kg/day via drinking water) and valsartan (30 mg/kg in the food)
monotherapies and their combination prevented mortality in Dahl/Rapp rats. The
drug combination evoked an additive effect on blood pressure, cardiac
hypertrophy, cardiomyocyte cross-sectional area, target organ damage and
myocardial ANP mRNA expression. There was a close correlation between systolic
blood pressure and cardiac hypertrophy, cardiac and renal damage. As compared to
Dahl/Rapp controls kept on low-salt diet (NaCl 0.3%). The high salt rats
exhibited impaired diastolic relaxation as assessed by isovolumic relaxation
time. Levosimendan alone and in combination with valsartan, improved diastolic
relaxation without significantly improving systolic function. Our findings are
evidence for an additive effect between levosimendan and valsartan on blood
pressure and a blood pressure-dependent protection against the development of
salt-induced target organ damage. The present study also demonstrates that
levosimendan, alone or in combination with valsartan, can correct diastolic
dysfunction induced by salt-dependent hypertension.
PMID- 21893688
TI - CellfoodTM improves respiratory metabolism of endothelial cells and inhibits
hypoxia-induced reactive oxygen species (ros) generation.
AB - Endothelial mitochondria, the major site of ATP generation, modulate the
intracellular dynamics of reactive oxygen species (ROS), which, in turn, control
endothelial function. Adequate oxygen (O(2)) supply is required by endothelial
cells (EC). Both hypoxia and hyperoxia may favor the overproduction of ROS
leading to oxidative stress, mitochondrial damage and endothelial dysfunction. We
investigated the capability and mechanisms of CellfoodTM (CF), an antioxidant
compound, to modulate O(2) availability and mitochondrial respiratory metabolism
and to regulate ROS generated by hypoxia in EC in vitro. Human umbilical vein
endothelial cells (HUVEC) and ECV-304 were evaluated for the O(2) consumption
using a Clark's electrode. The O(2) consumption rate rose, during the first
minutes after CF addition and was associated with increase in mitochondrial
oxidative capacity and good cell viability. Similar behaviours were observed when
EC were exposed to CF for up to 8 days. The O(2) consumption increased and was
accompanied by both intracellular rise of ATP and maintainment of LDH
concentration. Hypoxia-induced ROS generation was significantly inhibited by CF,
through the up-regulated expression of MnSOD, an anti-oxidant responsible for
mitochondrial function preservation. The EC hypoxic response is mediated by the
hypoxia master regulator HIF-1alpha whose activation was attenuated by CF, in
concomitance with MnSOD up-regulation. Our results suggest a role for CF in
improoving respiratory metabolism and in activating anti-oxidant mechanisms in
EC, thus preserving endothelial function.
PMID- 21893689
TI - Long-term effect of prazosin administration on blood pressure, heart and
structure of coronary artery of young spontaneously hypertensive rats.
AB - The sympathetic nervous system belongs to the essential systems participating in
blood pressure (BP) regulation. Inhibitory intervention into the key point of its
operation (alfa 1 adrenoceptors) in the prehypertensive period of spontaneously
hypertensive rats (SHR) might affect the development of the hypertension in later
ontogenic periods. We studied the long-term effect of prazosin administration on
the cardiovascular system of young Wistar rats and SHR. Four-week-old animals
were used: Wistar rats, SHR, and Wistar rats and SHR receiving prazosin (10
mg/kg/day in tap water) by gavage. Blood pressure (BP) was measured weekly by the
plethysmographic method. After six weeks under anaesthesia, the carotid artery
was cannulated for BP registration, and the jugular vein was cannulated for
administration of drugs. Afterwards, the animals were perfused with a
glutaraldehyde fixative at a pressure of 120 mmHg. The septal branch of the left
descending coronary artery was processed using electron microscopy. The prazosin
administration evoked the following results in both groups: a decrease of BP and
heart/body weight ratio, enhancement of hypotensive responses to acetylcholine
(0.1 MUg, 1 MUg, and 10 MUg), and an increase in the inner diameter of the
coronary artery without changes in wall thickness, cross sectional area (CSA)
(tunica intima+media), CSA of smooth muscle cells, and extracellular matrix. In
the SHR group, a reduction was observed in BP increase after noradrenaline (1
MUg) application. CSA of endothelial cells which was decreased in the SHR
(compared to the control Wistar rats) was increased after prazosin treatment (up
to control value). Long-term prazosin administration from early ontogeny
partially prevented some pathological alterations in the cardiovascular system of
SHR.
PMID- 21893690
TI - Effect of phoshpodiesterase 4 (PDE4) inhibibtors on eotaxin expression in humen
bronchial epithelial cells.
AB - The increasing number of eosinophils into bronchoaelvolar space is observed
during noninfectious inflammatory lung diseases. Eotaxins (eotaxin-1/CCL11,
eotaxin-2/CCL24, eotaxin-3/CCL26) are the strongest chemotactic agents for
eosinophils. Inhibitors of phosphodiesterase 4 (PDE4), the enzyme decomposing
cAMP, are anti-inflammatory agents which act through cAMP elevation and inhibit
numerous steps of allergic inflammation. The effect of PDE4 inhibitors on eotaxin
expression is not known in details. The aim of our study was to evaluate the
influence of PDE4 inhibitors: rolipram and RO-20-1724 on expression of eotaxins
in bronchial epithelial cell line BEAS-2B. Cells were preincubated with PDE4
inhibitors or dexamethasone for 1 hour and then stimulated with IL-4 or IL-13
alone or in combination with TNF-alpha. After 48 hours eotaxin protein level was
measured by ELISA and mRNA level by real time PCR. RESULTS: PDE4 inhibitors
decreased CCL11 and CCL26 expression only in cultures co-stimulated with TNF
alpha. In cultures stimulated with IL-4 and TNF-alpha rolipram and RO-20-1724
diminished CCL11 mRNA expression by 34 and 37%, respectively, and CCL26 by 43 and
47%. In cultures stimulated with IL-13 and TNF-alpha rolipram and RO-20-1724
decreased expression of both eotaxins by about 50%. These results were confirmed
at the protein level. The effect of PDE4 inhibitors on eotaxin expression in BEAS
2B cells, in our experimental conditions, depends on TNF-alpha contribution.
PMID- 21893691
TI - Defining the blood plasma protein repertoire of seven day old dairy calves - a
preliminary study.
AB - During the early postnatal period in calves various adaptational changes occur.
These functional, morphological and also metabolic alteration are reflected by
blood plasma protein changes as they are secreted and shed from many cells and
tissues. Blood plasma protein pattern of an adult cattle differs in some respect
when compared with neonatal calves. There exist a very few data concerning 2-D
maps of neonatal calves blood plasma. The above prompted us to establish protein
pattern of this biological fluid characteristic of healthy, 7 day old, Polish
Black-and-White (Polish Friesian) breed calves. Blood plasma proteins of the
isoelectric point ranging from 4.0 to 7.0 were analyzed by the aid of high
resolution two-dimensional electrophoresis (2-DE). Subsequently, 79 excised
protein spots corresponding to 23 different gene products were identified using
matrix-assisted laser desorption/ionisation mass spectrometer (MALDI-TOF MS).
Protein map obtained in the present study may be useful in assessing the changes
in the calves blood plasma protein profiles occurring in response to different
physiological and/or pathophysiological factors.
PMID- 21893692
TI - Effect of partial and complete blockade of vanilloid (TRPV1-6) and ankyrin
(TRPA1) transient receptor potential ion channels on urinary bladder motor
activity in an experimental hyperosmolar overactive bladder rat model.
AB - The study investigated the mechanisms through which the hyperosmolarity might
induce detrusor overactivity (DO). We compared the bladder activity in response
to partial and complete blockade of TRPV1-6 and TRPA1 receptors. Experiments were
performed on 42 rats. DO was induced by using hyperosmolar saline. All animals
were randomly divided into six groups. The measurements represent the average of
five bladder micturition cycles. Hyperosmolar saline induced DO. The complete
blockade of TRPV1-6 and TRPA1 prevented DO. The partial blockade of TRPV1 didn't
prevented DO. In the voiding phase periodical bladder contractions complexes
occurred leading to slow urine flow due to bladder distension. Ruthenium red and
capsaicin resulted in complete disorganisation of detrusor muscle contractility
impairing urine voiding and leading to constantly lasting urine retention in
healthy rats. CONCLUSIONS: hyperosmolar-induced DO is mediated by TRPV and TRPA1
channels; the hyperosmolar stimuli of urinary bladder might be transmitted mostly
via ruthenium red sensitivity pathway.
PMID- 21893693
TI - Evaluation of melatonin effectiveness in the adjuvant treatment of ulcerative
colitis.
AB - Ulcerative colitis (UC) is a chronic disease characterized by the variable
clinical picture with the inflammatory changes which can involve the whole colon
or its distal part. The current treatments for UC are mostly nonspecific, not
always effective, and often accompanied by serious side effects. Therefore, there
is a considerable interest in finding alternative and more tolerable treatments
for this serious disease. Several lines of experimental studies have shown that
melatonin (MEL) regulates the extensive gut immune system and exerts
antiinflammatory and immunomodulatory effects suggesting its beneficial action in
UC by reducing and controlling inflammation. The study aimed at evaluating the
effect of MEL on the activity of inflammatory process and sustaining the
remission in patients with UC. It comprised 60 patients with left-sided UC,
divided in two equal groups of 30 patients each (38 women and 22 men, aged 26-49
years), similar in both groups, who were in clinical remission for the last 12
months. Patients, during a next period of 12 months, were given mesalazine in
daily doses 2 x 1.0 g and melatonin 5 mg daily at bedtime (group I) or placebo
(group II). All the patients on MEL adjuvant treatment remained in remission
during 12 months of observation with The Mayo Clinic Disease Activity Index
(MCDAI) values 1.50+/-0.51 at the beginning and 2.75+/-1.86 points after 12
months. In the placebo group significantly higher MCDAI values were observed than
in patients on MEL after 6, 9 and 12 months. At the inclusion MCDAI was 1.61+/
0.68 points and at the end of observation it reached the value of 5.10+/-2.22
points. In MEL group CRP level remained within the normal range during the course
of the study (from 3.49+/-1.40 to 4.17+/-2.10 mg/dl). Whereas in the placebo
group from the end of the third month the steady rise in CRP blood concentration
was noted from 3.85+/-1.29 to 13.13+/-6.08 mg/dl. Parallelly to CRP rise a
significant decrease in hemoglobin concentration in blood from 12.05+/-0.69 to
10.93+/-0.81 g/dl was observed in patients receiving placebo and the values
significantly differed between the groups after 3 (p<0.05), 6, 9 and 12 months
(p<0.01). The level of anxiety and the intensity of depression in patients on
adjuvant MEL decreased during the study but there were no statistical differences
noted between the groups. The results of the study allowed drawing the conclusion
that adjuvant melatonin therapy may help in sustaining remission in patients with
UC.
PMID- 21893694
TI - Serum gastrin and gastric enterochromaffin-like cells during estrous cycle,
pregnancy and lactation, and in response to estrogen-like agents in rats.
AB - Histamine-containing enterochromaffin-like (ECL) cells are numerous in the
gastric mucosa. They operate under the control of gastrin. ECL-cell tumors
(gastric carcinoids) may arise as a consequence of sustained hypergastrinemia.
For reasons unknown, such tumors have a female preponderance both in laboratory
animals and humans. The present study consisted of four experiments exploring the
possibility that gender-related factors might affect rat ECL cells. 1) A gender
difference in terms of serum gastrin concentration and oxyntic mucosal histidine
decarboxylase (HDC) activity appeared in Sprague-Dawley but not Wistar rats.
Ultrastructural appearance of the ECL cells did not differ between genders. 2)
During the different phases of the estrous cycle, the serum gastrin
concentration, HDC activity and histamine concentration did not change. 3) During
pregnancy, the serum gastrin concentration was suppressed, while it was increased
during lactation. The HDC activity and the histamine concentration of the oxyntic
mucosa were correlated with the levels of circulating gastrin. 4) Twelve-month
treatment with estrogen-like agents, dieldrin and/or toxaphene (alone or in
combination) was without any effect on the ECL cells neither in male nor in
female rats. In conclusion, the ECL cells are under the control of gastrin, but
probably not hormones that involve in the estrous cycle and pregnancy and
lactation in rats. Possible gender-related factors behind the female
preponderance of ECL-cell tumors remain unknown.
PMID- 21893695
TI - On the benefit of whey-cultured Lactobacillus casei in murine colitis.
AB - The objective of this study was to examine the prophylactic and therapeutic
effect of whey-cultured Lactobacillus casei (L. casei) in a murine model of
colitis. Colitis was induced by intracolonic administration of a mixture of 2,4,6
trinitrobenzenesulphonic acid (TNBS)/absolute ethanol in male Wistar rats.
Animals were divided into 5 groups including sham (normal group), control
(vehicle-treated), positive control (dexamethasone 1 mg/kg/day, orally),
prevention (10(8) cfu L. casei/day, orally, 14 days before induction of colitis),
and treatment (10(8) cfu L. casei/day, orally, 14 days after induction of
colitis). After 14-days treatment, the animals were sacrificed on the day 15.
Distal colons were removed for examining histological and biochemical assays.
Biomarkers including TNF-alpha, myeloperoxidase (MPO), and lipid peroxidation
(LPO) were measured in the homogenate of colon. Results indicated an apparent
improvement in colon histopathology scores, TNF-alpha, MPO, and LPO in the
treatment group, whereas prevention group did not demonstrate positive efficacy
in prevention of colonic damage. It is concluded that L. casei grown in whey
culture is very effective in ameliorating both biochemical and histopathological
markers of colitis if used post induction of colitis but not if used before
induction of colitis. The difference between effects of L. casei when used pre
colitis and post-colitis confirms its mechanism of action as an anti toxic stress
agent. Further studies should be made in IBD patients.
PMID- 21893696
TI - Effects of peroxisome proliferator-activated receptors-gamma ligands on dextran
sodium sulphate-induced colitis in rats.
AB - Recent studies indicate the involvement of peroxisone proliferator-activated
receptor-gamma (PPAR-gamma) in the inflammatory reaction. The exact mechanism of
PPAR-gamma action has not been elucidated. It is supposed that PPAR-gamma
regulates transcription of genes responsible for encoding cytokines involved in
the inflammatory response. The latest studies, carried out to explain the
pathogenesis of non-specific colitis, confirm beneficial effects of PPAR-gamma
agonists on attenuation of colon inflammation. The aim of the present study was
to assess the effects of nuclear PPAR-gamma activity on the course of
experimental acute colitis induced by intragastric administration of dextran
sodium sulphate (DSS) using the PPAR-gamma agonist rosiglitazone and the
antagonist BADGE in rats. Colitis in Wistar rats was induced by 1.5% DSS
administered in drinking water for 8 days. Animals with induced colitis received
rosiglitazone, bisphenol A diglycidyl ether (BADGE) or both substances. After
decapitation, colons were macroscopically and histopathologically evaluated.
Levels of interleukin-1beta (IL-1beta), interleukin-6 (IL-6), interleukin-10 (IL
10), tumor necrosis factor-alpha (TNF-alpha) and myeloperoxidase (MPO) were
determined in serum and colon homogenates using ELISA. In rats with
experimentally induced colitis receiving rosiglitazone, the inflammatory reaction
was found to be markedly limited; ulceration, oedema and infiltration activity
were reduced. The activated PPAR-gamma inhibit the expression of proinflammatory
factors, such as IL-6, TNF-alpha, and neutrophil chemotaxis, which was evidenced
by MPO reduction in serum and colon homogenates mediated by rosiglitazone. The
positive effects of rosiglitazone on expression of IL-10 were also demonstrated.
During the short period of observation, BADGE did not increase histopathological
inflammatory markers.
PMID- 21893697
TI - Antibiotic treatment with ampicillin accelerates the healing of colonic damage
impaired by aspirin and coxib in the experimental colitis. Importance of
intestinal bacteria, colonic microcirculation and proinflammatory cytokines.
AB - Non-steroidal anti-inflammatory drugs (NSAIDs) are widely used for their anti
inflammatory, analgesic and antipyretic effects, however their use is associated
with the broad spectrum of side effects observed in human as well as the
experimental animals. Despite damaging activity of NSAIDs in upper
gastrointestinal (GI) tract, these drugs exert deleterious influence in lower GI
tract, including colon. The role of GI microflora in the pathogenesis of NSAIDs
induced experimental colonic damage is not completely understood. The aim of this
study was 1) to evaluate the relative importance of the GI microflora on the
experimental colonic damage in the presence of caused by NSAID, and 2) to assess
the efficacy of antibiotic treatment with ampicillin on the process of healing of
colitis. We compared the effect of vehicle, ASA applied 40 mg/kg intragastrically
(i.g.) or the selective cyclooxygenase (COX)-2 inhibitor, celecoxib (25 mg/kg
i.g.) without or with ampicillin treatment (800 mg/kg i.g.) administered
throughout the period of 10 days, on the intensity of TNBS-induced colitis in
rats. The severity of colonic damage, the alterations in the colonic blood flow
(CBF) and myeloperoxidase (MPO) activity, the mucosal expression of TNF-alpha, IL
1beta, COX-2, VEGF and iNOS and the plasma concentration of TNF-alpha and IL
1beta were assessed. In all rats, the faeces samples as well as those from the
colonic mucosa, blood, liver and spleen underwent microbiological evaluation for
intestinal bacterial species including Escherichia coli and Enterococcus spp. The
administration of TNBS resulted in macroscopic and microscopic lesions
accompanied by the significant fall in the CBF, an increase in tissue weight and
4-5-fold rise in the MPO activity and a significant increase in the plasma IL
1beta and TNF-alpha levels. ASA or celecoxib significantly increased the area of
colonic lesions, enhanced MPO activity and caused the marked increase in colonic
tissue weight and plasma IL-1beta and TNF-alpha levels, as well as an
overexpression of mRNA for IL-1beta and TNF-alpha, COX-2, VEGF and iNOS in the
colonic tissue. ASA and coxib also resulted also in a significant increase of E.
coli counts in the stool at day 3 and day 10 day of the observation compared with
the intact rats. Moreover, E. coli translocation from the colon to the blood and
extraintestinal organs such as liver and spleen in the group of rats treated
without or with ASA and coxib. E. coli was the most common bacteria isolated from
these organs. Treatment with ampicillin significantly attenuated the ASA- or
celecoxib-induced increase in plasma levels of IL-1beta and TNF-alpha and
suppressed the mucosal mRNA expression for IL-1beta and TNF-beta, COX-2, iNOS and
VEGF in the colonic mucosa. Ampicillin administration caused a significant fall
in the number of E. coli in the faeces at day 3 and day 10 of observation in ASA-
and coxib-treated rats with colitis. Antibiotic therapy markedly reduced
bacterial translocation to the colonic tissue and the extraintestinal organs such
as the liver and spleen. We conclude that administration of ASA and to lesser
extent of celecoxib, delays the healing of experimental colitis and enhances the
alterations in colonic blood flow, proinflammatory markers such as IL-1beta, TNF
alpha, COX-2, iNOS and VEGF and increased intestinal mucosal permeability
resulting in the intestinal bacterial translocation to the blood, spleen and
liver. Antibiotic treatment with ampicillin is effective in the diminishing of
the severity of colonic damage, counteracts both the NSAID-induced fall in
colonic microcirculation and bacterial E.coli translocation to the
extraintestinal organs.
PMID- 21893698
TI - The common adiponutrin variant p.I148M does not confer gallstone risk but affects
fasting glucose and triglyceride levels.
AB - Recently the common adiponutrin (PNPLA3) polymorphism p.I148M has been identified
as a genetic determinant of severe forms of non-alcoholic fatty liver disease and
alcoholic liver disease. Additionally, insulin resistance - linked to the
development of non-alcoholic steatohepatitis - increases the risk of developing
gallstones. Here we assessed whether the PNPLA3 p.I148M (c.444 C-G) polymorphism
affects glucose and lipid levels and increases gallstone risk. We analysed 229
individuals with gallstones from 108 families (age 24-80 years, BMI 17-55
kg/m(2)) and 258 gallstone-free controls (age 20-70 years, BMI 14-43 kg/m(2)).
Fasting glucose, triglyceride and cholesterol serum levels were determined. The
p.I148M polymorphism was genotyped using a PCR-based assay with 5'-nuclease and
fluorescence detection. Case-control association tests and nonparametric linkage
(NPL) analysis in sib-pairs were performed. Individuals carrying the [GG]
genotype had significantly (P<0.0001) higher median fasting glucose levels as
compared to [GC] and [CC] carriers. After adjustment for multiple testing, we
detected a trend for an association between triglyceride levels and variant
adiponutrin in gallstone patients (P=0.032), and gallstone cases carrying the
genotype [CC] presented with significantly higher triglyceride levels than the
corresponding controls (P<0.003). No significant effects on cholesterol
metabolism were detected. Neither genotype distributions nor NPL scores provided
evidence for association or linkage between the PNPLA3 variant and gallstones. In
conclusion, homozygous carriers of the PNPLA3 risk allele display higher fasting
glucose. Although this adiponutrin variant may affect triglyceride homeostasis,
it does not increase the risk of cholelithiasis.
PMID- 21893699
TI - Effect of maternal tobacco smoking or exposure to second-hand smoke on the levels
of 4-(methylnitrosamino)-1-(3-pyridyl)-1-butanol (NNAL) in urine of mother and
the first urine of newborn.
AB - Tobacco smoking during pregnancy is associated with a variety of negative
consequences not only for the mother, but also for the developing fetus. Many
studies have shown that carcinogens contained in tobacco smoke permeate across
the placenta, and are found in fetus. The aim of the study was to determine the
prenatal exposure to tobacco-specific carcinogenic N-nitrosamines on the basis of
measurements of 4-(methylnitrosamino)-1-(3-pyridyl)-1-butanol (NNAL) in urine of
smoking and second-hand smoke (SHS) exposed women and in the first urine of their
newborns. A questionnaire documenting demographics and socio-economical data,
smoking habits and exposure to SHS was completed by 121 delivering women near or
at term. Maternal concentrations of cotinine and NNAL were measured in urine of
the mother and the first urine of her newborn infant by liquid chromatography
tandem mass spectrometry (LC/MS/MS). The mean concentration of cotinine was 439.2
ng/mg creatinine and NNAL concentration in urine of smoking women was 74.0 pg/mg
creatinine, and for her newborn 78.6 pg/mg creatinine. Among mothers exposed to
SHS, cotinine and NNAL mean concentration were 23.1 ng/mg creatinine, and 26.4
pg/mg creatinine. In newborns of SHS exposed mothers during pregnancy the mean
concentration of NNAL was 34.1 pg/mg creatinine, respectively. Active tobacco
smoking as well as passive exposure to smoking during pregnancy is an important
source of tobacco specific N-nitrosamines to the fetuses as evidenced by
increased concentrations of this carcinogen. Determination of NNAL in maternal
urine samples can be a useful biomarker of prenatal exposure of newborn to
carcinogenic nitrosamines.
PMID- 21893700
TI - Chemopreventive effects of Calluna vulgaris and Vitis vinifera extracts on UVB
induced skin damage in SKH-1 hairless mice.
AB - Solar ultraviolet radiation (UV) is a major cause of non-melanoma skin cancer in
humans. Photochemoprevention with natural products represents a simple but very
effective strategy in the management of cutaneous neoplasia. The study
investigated the protective activity of Calluna vulgaris (Cv) and red grape seeds
(Vitis vinifera L, Burgund Mare variety) (BM) extracts in vivo on UVB-induced
deleterious effects in SKH-1 mice skin. Forty SKH-1 mice were randomly divided
into 4 groups (n=10): control, UVB irradiated, Cv + UVB irradiated, BM+UVB
irradiated. Both extracts were applied topically on the skin in a dose of 4 mg/40
MUl/cm(2) before UVB exposure - single dose. The effects were evaluated in skin
24 hours after irradiation through the presence of cyclobutane pyrimidine dimers
(CPDs) and sunburn cells, tumor necrosis factor-alpha (TNF-alpha), interleukin
(IL)-6 levels. The antioxidant activity of BM extract was higher than those of Cv
extract as determined using stable free radical DPPH assay and ABTS test. One
single dose of UVB generated formation of CPDs (p<0.0001) and sunburn cells
(p<0.0002) and increased the cytokine levels in skin (p<0.0001). Twenty hours
following irradiation BM extract inhibited UVB-induced sunburn cells (p<0.02) and
CPDs formation (p<0.0001). Pretreatment with Cv and BM extracts resulted in
significantly reduced levels of IL-6 and TNF-alpha compared with UVB alone
(p<0.0001). Our results suggest that BM extracts might be a potential candidate
in preventing the damages induced by UV in skin.
PMID- 21893701
TI - Bio-Search Computing: integration and global ranking of bioinformatics search
results.
AB - In the Life Sciences, numerous questions can be addressed only by comprehensively
searching different types of data that are inherently ordered, or are associated
with ranked confidence values. We previously proposed Search Computing to support
the integration of the results of search engines with other data and
computational resources. This paper presents how well known bioinformatics
resources can be described as search services in the search computing framework
and integrated analyses over such services can be carried out. An initial set of
bioinformatics services has been described and registered in the search computing
framework and a bioinformatics search computing (Bio-SeCo) application using
these services has been created. This current prototype application, the
available services that it uses, the queries that are supported, the kind of
interaction that is therefore made available to the users, and the future
scenarios are here described and discussed.
PMID- 21893702
TI - Magnetic biodegradable Fe3O4/CS/PVA nanofibrous membranes for bone regeneration.
AB - In recent years, interest in magnetic biomimetic scaffolds for tissue engineering
has increased considerably. The aim of this study is to develop magnetic
biodegradable fibrous materials with potential use in bone regeneration. Magnetic
biodegradable Fe(3)O(4)/chitosan (CS)/poly vinyl alcohol (PVA) nanofibrous
membranes were achieved by electrospinning with average fiber diameters ranging
from 230 to 380 nm and porosity of 83.9-85.1%. The influences of polymer
concentration, applied voltage and Fe(3)O(4) nanoparticles loading on the
fabrication of nanofibers were investigated. The polymer concentration of 4.5
wt%, applied voltage of 20 kV and Fe(3)O(4) nanoparticles loading of lower than 5
wt% could produce homogeneous, smooth and continuous Fe(3)O(4)/CS/PVA nanofibrous
membranes. X-ray diffraction (XRD) data confirmed that the crystalline structure
of the Fe(3)O(4), CS and PVA were maintained during electrospinning process.
Fourier transform infrared spectroscopy (FT-IR) demonstrated that the Fe(3)O(4)
loading up to 5 wt% did not change the functional groups of CS/PVA greatly.
Transmission electron microscopy (TEM) showed islets of Fe(3)O(4) nanoparticles
evenly distributed in the fibers. Weak ferrimagnetic behaviors of membranes were
revealed by vibrating sample magnetometer (VSM) test. Tensile test exhibited
Young's modulus of membranes that were gradually enhanced with the increase of
Fe(3)O(4) nanoparticles loading, while ultimate tensile stress and ultimate
strain were slightly reduced by Fe(3)O(4) nanoparticles loading of 5%.
Additionally, MG63 human osteoblast-like cells were seeded on the magnetic
nanofibrous membranes to evaluate their bone biocompatibility. Cell growth
dynamics according to MTT assay and scanning electron microscopy (SEM)
observation exhibited good cell adhesion and proliferation, suggesting that this
magnetic biodegradable Fe(3)O(4)/CS/PVA nanofibrous membranes can be one of
promising biomaterials for facilitation of osteogenesis.
PMID- 21893703
TI - A unified approach for social-medical discovery.
AB - In this paper we describe a novel social-medical discovery solution, based on an
idea of social and medical data unification. Built on foundations of exploratory
search technologies, the proposed discovery solution is better tailored for the
social-medical discovery task. We then describe its implementation within the IBM
Medics system and discuss a sample usecase which demonstrates several new social
medical discovery opportunities.
PMID- 21893704
TI - Information provision for adolescents with cancer.
AB - Recent research has provided a detailed insight into what information cancer
patients as a generic group require and we now understand that this requirement
changes during the disease episode. This paper will focus on the information
needs of adolescent cancer patients as little research has been done in this area
and unlike every other group of cancer patients very little improvement in
information provision and clinical outcomes for this small but important group of
people has occurred over the past 20 years. Adolescents have specialised needs
and have for too long been grouped either with young children or adults. This
paper describes our current knowledge regarding their special needs and outlines
future directions to facilitate equality in information provision for this group.
PMID- 21893705
TI - Electronic symptom reporting by patients: a literature review.
AB - A literature review has been conducted to gain an overview of which technologies
and patient groups have previously been employed in scientific studies with
regard to patients reporting symptoms electronically. This paper presents
preliminary results from the review, based on the abstracts from relevant
publications. The Medline database search identified 974 publications. Of these,
235 (24%) met the inclusion/exclusion criteria. The number of studies has
increased heavily over the past two decades. A lot of the studies are small with
regard to sample size, but we see that the number of studies increase over time.
Cancer and lung diseases are the largest diagnosis groups. Cancer symptom
reporting seems to take place inside the healthcare institutions, while lung
disease and musculoskeletal disease reporting mainly take place at home via
Internet.
PMID- 21893706
TI - Increasing physical activity through health-enabling technologies: the project
"being strong without violence".
AB - Due to the increasing prevalence of adiposity in children numerous schools are
introducing prevention programmes. Among these is "Gewaltlos Starksein" ("Being
strong without violence"), a project of Hauptschule Sophienstrabetae
Braunschweig, Germany (a general education secondary school for grades 5-10).
This study aims to discover possible increases in activity through "Gewaltlos
Starksein" where health-enabling technologies play a major role. A prospective
intervention study with a span of 1.5 years was designed to measure this increase
in activity. Partners in this study were Hauptschule Sophienstrabetae as the
intervention group and Grund- und Hauptschule Pestalozzistrabetae as control
group. Data collection was performed using a multi-sensor device, and
questionnaires. Confirmatory data analysis of average metabolic equivalent (METs)
yielded no significant results. Exploratory analysis showed interesting results,
especially concerning the number of steps during leisure time. Descriptive
analysis of questionnaires showed that all children enjoy physical activity.
There were differences in sports team participation, open-air games and club
affiliation. The study could not prove that the intervention "Gewaltlos
Starksein" improves physical activity in children. However, the increased leisure
activity step count indicates that "Gewaltlos Starksein" has positive effects on
children's behaviour. This should be investigated in a further study in
cooperation with psychologists.
PMID- 21893707
TI - Review of mobile terminal-based tools for diabetes diet management.
AB - Changing dietary habits is one of the most challenging tasks of diabetes self
management. Mobile terminals are increasingly used as platforms for tools to
support diet management and health promotion. We present literature describing
mobile terminal-based support tools for management of diabetes focused on diet.
We also propose a summary of key success factors for designing such tools and
discuss recommendations for future research.
PMID- 21893708
TI - Interaction between COPD patients and healthcare professionals in a cross-sector
tele-rehabilitation programme.
AB - This paper explores how technology affects the interaction between chronic
obstructive pulmonary disease (COPD) patients and healthcare professionals in a
cross-sector tele-rehabilitation programme. The qualitative analysis has shown
that a community of rehabilitation can be created despite the presence of long
distance technology. In the tele-rehabilitation programme, the interaction
between the COPD patients at home and the healthcare professionals at the clinic
has evolved with dialogue as the basis for mutual learning processes and new
relationships. Managed properly, rehabilitation at a distance can be both
effective and satisfying.
PMID- 21893709
TI - Enhancing self-efficacy for self-management in people with cystic fibrosis.
AB - This paper reports on a research trial designed to evaluate the benefits of a
health mentoring programme supported with a web and mobile phone based self
monitoring application for enhancing self-efficacy for self-management skills and
quality of life for people with CF. This randomised, single-blind controlled
trial evaluated two strategies designed to improve self-management behaviour and
quality of life. Task-specific self-efficacy was fostered through mentorship and
self-monitoring via a mobile phone application. Trial participants were
randomised into one of three groups: Control, Mentor-only and Mentor plus mobile
phone. Analysis and discussion focus on the experiences of participants through a
methodology utilising descriptive statistics and semi-structured interviews. The
results highlight the challenges of stimulating self-management behaviours
particularly in adolescents and in the evaluation of the role of mobile
applications in supporting them.
PMID- 21893710
TI - Evaluation of a Hyperlinked Consumer Health Dictionary for reading EHR notes.
AB - In this paper, we report on a pilot study conducted to test the usefulness and
understandability of definitions in a Consumer Health Dictionary (IVS-CHD). Our
two main goals for this study were to evaluate functionality of the dictionary
when embedded in electronic health records (EHR) and determine the methodology
for our larger-scale project to iteratively develop the IVS-CHD. The hyperlinked
IVS-CHD was made available to thoracic surgery patients reading their own EHR. We
asked patients to rate definitions on two 5-level Likert items measuring
perceived usefulness and understandability. We also captured the terms that
patients wanted defined, but that were not included in the IVS-CHD. Preliminary
results indicate the types of problems that must be avoided when creating
definitions, for example, that patients prefer detailed explanations that include
medical outcomes, and that do not use "unfamiliar" terms they must also look up.
We also have gained insight into the types of terms that patients want defined
from their EHR notes, especially certain abbreviations. Patients further
commented on the experience of reading EHR notes directly from the same system
used by healthcare personnel and the help strategy of linking the contents to a
hyperlinked dictionary.
PMID- 21893711
TI - A pilot assessment of why patients choose not to participate in self-monitoring
oral anticoagulant therapy.
AB - Patients suffering from heart diseases often face lifelong oral anticoagulant
therapy. Traditionally, the patient's general practitioner takes care of the
treatment. An alternative management scheme is a self-monitoring setup where the
patient monitors and manages the oral treatment himself. Despite international
evidence of reduced thrombosis risk and death rate among patients enrolled in
self-monitoring, a majority of eligible patients deselect this opportunity.
Little is about the causes if this. This study is a pilot assessment of why
patients, located in the North Denmark Region, choose not to participate. The
study is based on qualitative interviews with two nurses working in a medical
practice and two patients participating in conventional anticoagulant therapy.
The results of this study seem to suggest that at least some patients feel a lack
of information to base their decision regarding self-monitoring or conventional
management on and that the knowledge among the health personnel at the medical
clinics should be increased.
PMID- 21893712
TI - Mobile peer support in diabetes.
AB - As in other domains, there has been unprecedented growth in diabetesrelated
social media in the past decade. Although there is not yet enough evidence for
the clinical benefits of patient-to-patient dialogue using emergent social media,
patient empowerment through easier access to information has been proven to
foster healthy lifestyles, and to delay or even prevent progression of secondary
illnesses. In the design of diabetes-related social media, we need access to
personal health data for modelling the core disease-related characteristics of
the user. We discuss design aspects of mobile peer support, including acquisition
of personal health data, and design artefacts for a healthcare recommender
system. We also explore mentoring models as a tool for managing the transient
relationships among peers with diabetes. Intermediate results suggest acquiring
health data for modelling patients' health status is feasible for implementing a
personalized and mobile peer-support system.
PMID- 21893713
TI - Evolution of health web certification through the HONcode experience.
AB - Today, the Web is a media with increasing pervasiveness around the world. Its use
is constantly growing and the medical field is no exception. With this large
amount of information, the problem is no longer about finding information but
assessing the credibility of the publishers as well as the relevance and accuracy
of the documents retrieved from the web. This problem is particularly relevant in
the medical area which has a direct impact on the well-being of citizens and in
the Web 2.0 context where information publishing is easier than ever. To address
the quality of the medical Internet, the HONcode certification proposed by the
Health On the Net Foundation (HON) is certainly the most successful initiative.
The aims of this paper are to present certification activity through the HONcode
experience and to show that certification is more complex than a simple code of
conduct. Therefore, we first present the HONcode, its application and its current
evolutions. Following that, we give some quantitative results and describe how
the final user can access the certified information.
PMID- 21893714
TI - Personal health data: patient consent in information age.
AB - In this paper we report on findings related to treatment of patient consent in
various circumstances and geographic domains; explore transfer of health data
between custodians and geo-political entities; and emphasize importance of
educating general public about issues related to handling health data. A specific
set of questions about consent/legislation and related issues in the Canada, the
USA and the EU are addressed in an attempt to answer them systematically. This
comparison identifies similarities and differences along a set of dimensions.
PMID- 21893715
TI - Emotions and personal health information management: some implications for
design.
AB - This work reflects on the translation of a paper-based information system into an
electronic one, taking account of the emotional dimension of material artifacts.
A qualitative analysis carried out through semi-structured interviews enabled us
to describe laypeople's healthcare practices, and specifically the use of
"pediatric booklets", which are paper health diaries designed to provide parents
with a repository of the most relevant clinical data about their children. Our
analysis reveals that parents' use of the booklet does not depend only on the
clinical relevance of the information contained in it. Its success rather depends
on practices that reshape the booklet's original meaning. In particular, parents
use booklets as containers for other clinical records, and they consider them
more as objects of affection and symbols of their caring for their children than
as clinical tools with instrumental value in themselves. In the discussion we
consider the risks of dematerializing health information tools by underestimating
the relevance of the emotional side.
PMID- 21893716
TI - Socio-technical challenges in designing a web-based communication platform.
AB - This paper takes a socio-technical perspective to analyze the ongoing practices
of making an eHealth infrastructure, namely a web-based communication platform,
which aims to improve healthcare delivery in Norway. The platform is planned to
support interaction between patients and healthcare providers, patient access to
personal health information, and dissemination of health knowledge to the public.
The analysis is based on the 'scales of infrastructure' concept found in
Information Systems research, which shows the complexity of the design,
development and implementation process across three scales of activities for
achieving durability: institutionalization, organizing work, and technology
enactment. The case analysis brings the non-linearity of the ongoing practices to
the foreground, enabling a more in-depth understanding of the relationship
between technology design and infrastructural work.
PMID- 21893717
TI - Results of the 10 HON survey on health and medical internet use.
AB - The Internet is increasingly being used as a means to search and communicate
health information. As the mission of Health on the Net Foundation (HON) is to
guide healthcare consumers and professionals to trustworthy online information,
we have been interested in seeing the trend of the attitudes towards Internet use
for health purposes since 1996. This article presents the results of the
10th HON survey conducted in July-August 2010 (in English and French).
It was hosted on the HON site with links from Facebook and Twitter and from
HONcode certified web sites. There were 524 participants coming mainly from
France (28%), the UK (18%) and the USA (18%). 65% of participants represented the
"general public", while the remaining 35% were professionals. Information quality
remains the main barrier users encounter while looking for health information
online; at the same time, 79% believe they critically assess online content. Both
patients and physicians consider the Internet to be helpful in facilitating their
communication during consultations, although professionals are more sceptic than
the general public. These results justify the continuing efforts of HON to raise
public awareness regarding online health information and the ethical, quality and
transparency issues, and to educate and guide users towards trustworthy health
information.
PMID- 21893718
TI - Social connectedness through ICT and the influence on wellbeing: the case of the
CareRabbit.
AB - The CareRabbit has been introduced as a technological innovation in the care for
children, enabling family and friends to stay in touch while the child is
hospitalized. This study addresses influence of this innovation on the wellbeing
of the children, and uses the validated KINDL questionnaire, eliciting
information from children and parents at the end of hospitalization. A baseline
and an experimental measurement are compared. The children in the CareRabbit
group scored slightly higher on the KINDL questionnaire than children in the
control group. For young children (age 4-7) the difference was large. Initial
findings indicate that CareRabbit has a positive influence on wellbeing, although
sample size and measured differences limit the support for this conclusion. The
measured difference suggests that CareRabbit may be more valuable for younger
children.
PMID- 21893719
TI - Technological choices for mobile clinical applications.
AB - The rise of cheaper and more powerful mobile devices make them a new and
attractive platform for clinical applications. The interaction paradigm and
portability of the device facilitates bedside human-machine interactions. The
better accessibility to information and decision-support anywhere in the hospital
improves the efficiency and the safety of care processes. In this study, we
attempt to find out what are the most appropriate Operating System (OS) and
Software Development Kit (SDK) to support the development of clinical
applications on mobile devices. The Android platform is a Linux-based, open
source platform that has many advantages. Two main SDKs are available on this
platform: the native Android and the Adobe Flex SDK. Both of them have
interesting features, but the latter has been preferred due its portability at
comparable performance and ease of development.
PMID- 21893720
TI - Modified Rand method to derive quality indicators: a case study in cardiac
rehabilitation.
AB - Quality indicators (QIs) are increasingly used to summarize quality of care and
to give professionals' performance feedback. We have previously developed a
continuous multifaceted guideline implementation strategy that integrates
computerized decision support with feedback on QIs and benchmarking. This paper
focuses on development of QIs, and presents results of a case study in the field
of cardiac rehabilitation. We present a modified Rand method that combines
results from a literature search and guideline review with knowledge of an expert
and patient panel in an extensive rating and consensus procedure. All sources
contributed to the final set of 18 QIs for cardiac rehabilitation.
PMID- 21893721
TI - A cloud-based semantic wiki for user training in healthcare process management.
AB - Successful healthcare process design requires active participation of users who
are familiar with the cooperative and collaborative nature of healthcare
delivery, expressed in terms of healthcare processes. Hence, a reusable,
flexible, agile and adaptable training material is needed with the objective to
enable users instill their knowledge and expertise in healthcare process
management and (re)configuration activities. To this end, social software, such
as a wiki, could be used as it supports cooperation and collaboration anytime,
anywhere and combined with semantic web technology that enables structuring
pieces of information for easy retrieval, reuse and exchange between different
systems and tools. In this paper a semantic wiki is presented as a means for
developing training material for healthcare providers regarding healthcare
process management. The semantic wiki should act as a collective online memory
containing training material that is accessible to authorized users, thus
enhancing the training process with collaboration and cooperation capabilities.
It is proposed that the wiki is stored in a secure virtual private cloud that is
accessible from anywhere, be it an excessively open environment, while meeting
the requirements of redundancy, high performance and autoscaling.
PMID- 21893722
TI - Reference architecture of application services for personal wellbeing information
management.
AB - Personal information management has been proposed as an important enabler for
individual empowerment concerning citizens' wellbeing and health information. In
the MyWellbeing project in Finland, a strictly citizen-driven concept of "Coper"
and related architectural and functional guidelines have been specified. We
present a reference architecture and a set of identified application services to
support personal wellbeing information management. In addition, the related
standards and developments are discussed.
PMID- 21893723
TI - Development of a web-based decision support system for insulin self-titration.
AB - Insulin is the most potent agent for the treatment of diabetes mellitus. However
insulin treatment requires frequent evaluation of blood glucose levels and
adjustment of the insulin dose. This process is called titration. To guide
patients with type 2 diabetes using once-daily long-acting insulin, we have
developed a web-based decision support system for insulin self-titration. The
purpose of this paper is to provide an overview of the phases of development and
the final design of the system. We reviewed the literature, consulted an expert
panel, and conducted interviews with patients to elicit system requirements. This
revealed four important aspects: the insulin titration algorithm, the handling of
hypoglycemic events, telemedicine functionalities, and visiting frequency
monitoring. We used these requirements to develop a fully functional system.
PMID- 21893724
TI - TreC - a REST-based regional PHR.
AB - The Personal Health Record (PHR) is progressively becoming a fundamental tool to
allow people to control their health. User needs, however, impose to design a PHR
solution that must offer a great flexibility in terms of managing heterogeneous
health data, composing data in higher level concepts and interfacing the PHR with
different devices to collect and visualize data. We propose to adopt REST as core
of a regional PHR architecture and present a PHR based on this architecture
implemented and tested in our Province.
PMID- 21893725
TI - Next generation neonatal health informatics with Artemis.
AB - This paper describes the deployment of a platform to enable processing of
currently uncharted high frequency, high fidelity, synchronous data from medical
devices. Such a platform would support the next generation of informatics
solutions for neonatal intensive care. We present Artemis, a platform for real
time enactment of clinical knowledge as it relates to multidimensional data
analysis and clinical research. Through specific deployment examples at two
different neonatal intensive care units, we demonstrate that Artemis supports: 1)
instantiation of clinical rules; 2) multidimensional analysis; 3) distribution of
services for critical care via cloud computing; and 4) accomplishing 1 through 3
using current technology without a negative impact on patient care.
PMID- 21893726
TI - Limitations in physicians' knowledge when assessing dementia diseases - an
evaluation study of a decision-support system.
AB - There is a need to provide tools for the medical professional at the point of
care in the assessment of a suspected dementia disease. Early diagnosis is
important in order to provide appropriate care so that the disease does not cause
unnecessary suffering for the patient and relatives. DMSS (Dementia Management
and Support System) is a clinical decision-support system that provides support
in the diagnosis of a dementia disease, which is in use in controlled clinical
evaluation settings in four countries. This paper reports the results of
evaluations done in use environments in these places during a period of two
years. Data in 218 patient cases were collected by 21 physicians during their use
of the system in clinical practice. In 50 of the cases the use of the system were
also observed and the physicians were interviewed in 88 cases. The collected data
and inferences made by the system were analyzed. To summarize the results, DMSS
gave appropriate support considering the patient case, available information and
the user's skills and knowledge in the domain. However, the results also
illuminated the need for extended and personalized support for the less skilled
physician in the assessment of basic information about patients.
PMID- 21893728
TI - An OCL-compliant GELLO Engine.
AB - GELLO, an expression language for clinical decision support, has been approved as
an HL7/ANSI normative standard for years. Unfortunately, there are few GELLO
engines available in use, and the limited tooling seems to hamper a widespread
adoption of GELLO. The objective of this paper is to validate the availability of
implementing an OCL-compliant GELLO engine. Experimental results show that our
GELLO engine runs successfully in a clinical guideline-based decision support
system for chronic disease management.
PMID- 21893727
TI - A generic system for critiquing physicians' prescriptions: usability,
satisfaction and lessons learnt.
AB - Clinical decision support systems have been developed to help physicians to take
clinical guidelines into account during consultations. The ASTI critiquing module
is one such systems; it provides the physician with automatic criticisms when a
drug prescription does not follow the guidelines. It was initially developed for
hypertension and type 2 diabetes, but is designed to be generic enough for
application to all chronic diseases. We present here the results of usability and
satisfaction evaluations for the ASTI critiquing module, obtained with GPs for a
newly implemented guideline concerning dyslipaemia, and we discuss the lessons
learnt and the difficulties encountered when building a generic DSS for
critiquing physicians' prescriptions.
PMID- 21893729
TI - Improvement of inter-services communication through a CDSS dedicated to
myocardial perfusion scintigraphy.
AB - This study addresses the question of communication between medical wards and the
nuclear medicine department for the realization of myocardial perfusion
scintigraphy. It analyses the effects of a reminder for completing the content of
an order form. It shows that the CDSS impacted ordering practices. It could be
seen as a system enabling to structure the information and improve the quality of
orders.
PMID- 21893730
TI - Prognostic data-driven clinical decision support - formulation and implications.
AB - Existing Clinical Decision Support Systems (CDSSs) typically rely on rule-based
algorithms and focus on tasks like guidelines adherence and drug prescribing and
monitoring. However, the increasing dominance of Electronic Health Record
technologies and personalized medicine suggest great potential for prognostic
data-driven CDSS. A major goal for such systems would be to accurately predict
the outcome of patients' candidate treatments by statistical analysis of the
clinical data stored at a Health Care Organization. We formally define the
concepts involved in the development of such a system, highlight an inherent
difficulty arising from bias in treatment allocation, and propose a general
strategy to address this difficulty. Experiments over hypertension clinical data
demonstrate the validity of our approach.
PMID- 21893731
TI - Knowledge-based surveillance for preventing postoperative surgical site
infection.
AB - At least one out of every twenty people admitted to a Canadian hospital will
acquire an infection. These hospital-acquired infections (HAIs) take a profound
individual and system-wide toll, resulting in thousands of deaths and hundreds of
millions of dollars in additional expenses each year. Surveillance for HAIs is
essential to develop and evaluate prevention and control efforts. In nearly all
healthcare institutions, however, surveillance for HAIs is a manual process,
requiring highly trained infection control practitioners to consult multiple
information systems and paper charts. The amount of effort required for discovery
and integration of relevant data from multiple sources limits the current
effectiveness of HAIs surveillance. In this research, we apply knowledge modeling
and semantic technologies to facilitate the integration of disparate data and
enable automatic reasoning with these integrated data to identify events of
clinical interest. In this paper, we focus on Surgical Site Infections (SSIs),
which account for a relatively large fraction of all hospital acquired
infections.
PMID- 21893732
TI - Factors known to influence acceptance of clinical decision support systems.
AB - Clinical Decision Support Systems (CDSS) have been shown to improve clinical
performance and patient outcomes, but the failure rate of such systems is still
over 50 percent. To contribute to a wider understanding of issues surrounding
CDDS acceptance, we performed a systematic review of studies that evaluated CDSS
implementations in clinical care to determine the factors that are associated
with acceptance of CDSS by physicians. The factors that were found were
categorized according to the HOT-fit framework. The mapping of factors concerning
CDSS acceptance on the HOT-fit framework revealed gaps in each domain of the
framework and showed that research has mainly focused on human and technology
factors and a lack of research on organizational factors. A potential area of
research could thus be studying the organizational factors that may influence
CDSS acceptance.
PMID- 21893733
TI - Cross-frontier information provision in the ALIAS European project.
AB - The ALIAS project addresses medical services and information inadequacy to ensure
healthcare provisions in Alpine space where telemedicine services are not widely
exploited and linguistic barriers represent an obstacle. Alpine space touristic
vocation makes its healthcare structures periodically inadequate to face a
widened request of services supply. On the other hand, a major receptivity of
those structures during the rest of the year is unnecessary due to the low
density of local residents. ALIAS is aimed at linking together a number of
hospitals enabling the creation of a network shaping the ALIAS Virtual Hospital
Network for sharing medical information and adopting telemedicine services to
improve the efficiency of hospitals in Alpine Space areas. This article focuses
on the clinical information provision service provided in ALIAS and on the
translation service that has been associated to it.
PMID- 21893735
TI - Towards an interoperable information infrastructure providing decision support
for genomic medicine.
AB - Genetic dispositions play a major role in individual disease risk and treatment
response. Genomic medicine, in which medical decisions are refined by genetic
information of particular patients, is becoming increasingly important. Here we
describe our work and future visions around the creation of a distributed
infrastructure for pharmacogenetic data and medical decision support, based on
industry standards such as the Web Ontology Language (OWL) and the Arden Syntax.
PMID- 21893734
TI - Event-driven architecture for health event detection from multiple sources.
AB - Early detection of potential health threats is crucial for taking actions in
time. It is unclear in which information source an event is reported first and,
information from various sources can be complementing. Thus, it is important to
search for information in a very broad range of sources. Furthermore, real-time
processing is necessary to deal with the huge amounts of incoming data in time.
Event-driven architectures are designed to address such challenges. This will be
shown in this paper by presenting the architecture of a public health
surveillance system that follows this style. Starting from concrete user
requirements and scenarios, we introduce the architecture with its components for
content collection, data analysis and integration. The system will allow for the
monitoring of events in real-time as well as retrospectively.
PMID- 21893736
TI - Identifying patients for clinical trials using fuzzy ternary logic expressions on
HL7 messages.
AB - Identifying eligible patients is one of the most critical parts of any clinical
trial. The process of recruiting patients for the third phase of any clinical
trial is usually done manually, informing relevant physicians or putting notes on
bulletin boards. While most necessary information is already available in
electronic hospital information systems, required data still has to be looked up
individually. Most university hospitals make use of a dedicated communication
server to distribute information from independent information systems, e.g.
laboratory information systems, electronic health records, surgery planning
systems. Thus, a theoretical model is developed to formally describe inclusion
and exclusion criteria for each clinical trial using a fuzzy ternary logic
expression. These expressions will then be used to process HL7 messages from a
communication server in order to identify eligible patients.
PMID- 21893737
TI - Towards a metadata registry for evaluating augmented medical interventions.
AB - Quality evaluation in the field of Augmented Surgery is strategic for public
health policies. It implies to be able to effectively perform evaluation of
Quality in term of Expected Medical Benefit (EMB). The notion of EMB is complex
and not standardized in this field. To define and to evaluate EMB, it is
necessary to discover the knowledge on the domain targeted by the device and to
structure it. This paper presents first parts of this work. Focused on navigated
knee surgery, it led us to obtain two main results: the identification of a new
criterion for evaluating EMB obtained thanks to the formalization of a new kind
of metadata. These encouraging results seem to offer new perspectives for the
evaluation of devices from the field of augmented surgery.
PMID- 21893738
TI - A comparison of internal versus external risk-adjustment for monitoring clinical
outcomes.
AB - Internal and external prognostic models can be used to calculate severity of
illness adjusted mortality risks. However, it is unclear what the consequences
are of using an external model instead of an internal model when monitoring an
institution's clinical performance. Theoretically, using an internal prognostic
model is preferred while external models are often more widely available. In this
simulation study we explored the difference between the use of internal and
external models on the degree and types of warning signals given by RA-EWMA
control charts in the detection of increasing mortality in the ICU. Increases in
mortality were correctly detected in 60% of cases (after 24 months) with the
internal model, regardless of prior ICU performance. When using the external risk
adjustment model, such increases were only detected for the average and poor
performing ICUs. When the mortality rate was held constant, using the external
model resulted in many incorrect warning signals. We conclude that the use of
internal risk-adjustment models is preferable for monitoring clinical
performance.
PMID- 21893739
TI - Interoperability driven integration of biomedical data sources.
AB - In this paper, we introduce a data integration methodology that promotes
technical, syntactic and semantic interoperability for operational healthcare
data sources. ETL processes provide access to different operational databases at
the technical level. Furthermore, data instances have they syntax aligned
according to biomedical terminologies using natural language processing. Finally,
semantic web technologies are used to ensure common meaning and to provide
ubiquitous access to the data. The system's performance and solvability
assessments were carried out using clinical questions against seven healthcare
institutions distributed across Europe. The architecture managed to provide
interoperability within the limited heterogeneous grid of hospitals. Preliminary
scalability result tests are provided.
PMID- 21893740
TI - Creating knowledge archive in the internet medical consultant for decision
support at the point of care.
AB - The Internet Medical Consultant - IMC is a knowledge sharing system for
physicians. The system's main purpose is to collect and store the communication
between its users and to provide easy retrieval of stored information. The system
provides access to human generated knowledge at the point of care. Having that
kind of knowledge at hand can be very helpful for physicians when they make
decisions. This paper describes the process of knowledge capturing, creating and
searching the knowledge archive, for final utilisation of that knowledge at point
of care.
PMID- 21893741
TI - Architecture of a decision support system to improve clinicians' interpretation
of abnormal liver function tests.
AB - The objective of this work was to create a self-working computerized clinical
decision support system (CDSS) able to analyze liver function tests (LFTs) in
order to provide diagnostic suggestions and helpful care support to clinicians.
We developed an expert system that processes exclusively para-clinical
information to provide diagnostic propositions. Drugs are a major issue in
dealing with abnormal LFTs, therefore we created a drug-disease causality
assessment tool to include drugs in the differential diagnosis. Along with the
results, the CDSS will guide clinicians in the care process offering them case
specific support in the form of guidelines, order sets and references to recent
articles. The CDSS will be implemented in Geneva University Hospitals clinical
information system (CIS) during year 2011. For the time being, preliminary tests
have been conducted on case reports chosen randomly on Pubmed. Considered as
medical challenges, case reports were nevertheless processed correctly by the
program to the extent that 18 cases out of 20 were diagnosed accurately.
PMID- 21893742
TI - Push and pull models to manage patient consent and licensing of multimedia
resources in digital repositories for case-based reasoning.
AB - Patient consents for distribution of multimedia constitute a significant element
of medical case-based repositories in medicine. A technical challenge is posed by
the right of patients to withdraw permission to disseminate their images or
videos. A technical mechanism for spreading information about changes in
multimedia usage licenses is sought. The authors gained their experience by
developing and managing a large (>340 cases) repository of virtual patients
within the European project eViP. The solution for dissemination of license
status should reuse and extend existing metadata standards in medical education.
Two methods: PUSH and PULL are described differing in the moment of update and
the division of responsibilities between parties in the learning object exchange
process. The authors recommend usage of the PUSH scenario because it is better
adapted to legal requirements in many countries. It needs to be stressed that the
solution is based on mutual trust of the exchange partners and therefore is most
appropriate for use in educational alliances and consortia. It is hoped that the
proposed models for exchanging consents and licensing information will become a
crucial part of the technical frameworks for building case-based repositories.
PMID- 21893743
TI - Next steps in evaluation and evidence - from generic to context-related.
AB - INTRODUCTION: E-health systems are increasingly important and widespread, but
their selection and implementation are still frequently based on belief, rather
than scientific evidence, and adverse effects are not systematically addressed.
Progress is being made in promoting generic evaluation methodologies as a source
of scientific evidence, but effort is now needed to consider methods for special
situations. METHOD: Review of five evaluation contexts - national e-health plans,
telemedicine, Health Informatics 3.0, usability and economics. CONCLUSION:
Identification of requirements for approaches to be developed in these five
settings.
PMID- 21893744
TI - Virtual ward round.
AB - "Virtual Ward Round" is a web-based blended learning tool. The program simulates
hospital ward rounds. Within a virtual environment, students make diagnosis and
order treatments. Tutors prepare cases easily to ensure realistic cases directly
linked to the corresponding classes. The program "Virtual Ward Round" will
hopefully be enrichment to the curriculum-based teaching.
PMID- 21893745
TI - Professional development of health informatics in Northern Ireland.
AB - This paper addresses the assessment and verification of health informatics
professional competencies. Postgraduate provision in Health Informatics was
targeted at informatics professionals working full-time in the National Health
Service, in Northern Ireland, United Kingdom. Many informatics health service
positions do not require a formal informatics background, and as we strive for
professionalism, a recognized qualification provides important underpinning. The
course, delivered from a computing perspective, builds upon work-based
achievement and provides insight into emerging technologies associated with the
'connected health' paradigm. The curriculum was designed with collaboration from
the Northern Ireland Health and Social Care ICT Training Group. Material was
delivered by blended learning using a virtual learning environment and face-to
face sessions. Professional accreditation was of high importance. The aim was to
provide concurrent qualifications: a postgraduate certificate, awarded by the
University of Ulster and a professional certificate validated and accredited by a
professional body comprising experienced health informatics professionals.
Providing both qualifications puts significant demands upon part-time students,
and a balance must be achieved for successful completion.
PMID- 21893746
TI - How important is theory in health informatics? A survey of UK academics.
AB - The disciplinary status of health informatics remains unclear. Is it an art or a
science? Does it have a body of theory? A survey was devised for UK academics
that teach or research health informatics. Forty-six responses were received,
twenty-five from the target group (representing between a quarter and a third of
the population of interest). Health informatics is not perceived to have a well
known and clearly definable body of theory, but there is a clear demand for a
more theoretical basis for the discipline. Journals and conferences were rated as
the best sources of theory and seven key textbooks were identified.
PMID- 21893747
TI - Better quality in healthcare through gamified simulation based skill training
application.
AB - Although the screening of abdominal aortic diameter helps to identify men with
abdominal aortic aneurysm and saves lives, there is need to coordinate and
synchronize screening personnel's way to work. This article describes the design
of a game based skill training application that could give the screening
personnel an additional opportunity to refine measuring of abdominal aortic
diameter in ultrasound images. The design work follows the steps of the Goal
Directed design process. Consequently, the design activities are divided into six
phases: the Research, Modelling, Requirements Definition, Framework Definition,
Refinement and Development support. The design process described in this paper
finishes with usability testing of an interactive prototype. The evaluation of
the design was conducted with end users by studying their subjective ratings and
performance on given tasks. The overall results of the usability testing show
that the interactive prototype of the skill training application is not yet fully
usable. Consequently, further improvement of the interface design is needed. The
identified usability issues and collected qualitative and quantitative material
about the interaction between test participants and the interface can guide the
next design iteration and lead to more usable design.
PMID- 21893748
TI - Implementation of a web-based interactive virtual patient case simulation as a
training and assessment tool for medical students.
AB - OBJECTIVE: Structured Clinical Examinations (OSCE) are resource intensive, not
practical as teaching tools, and their reliability depends on evaluators.
Computer-based case simulations ("virtual patients", VP) have been advocated as
useful and reliable tools for teaching clinical skills and evaluating competence.
We have developed an internet-based VP system designed both for practice and
assessment of medical students. The system uses interactive dialogue with natural
language processing, and is designed for history taking, evaluation of physical
examination, including recognition of visual findings and heart and lung sounds,
and ordering lab-and imaging tests. The system includes a practice modality that
provides feedback, and a computerized OSCE. The reliability of our system was
assessed over the last three years by comparing the clinical competence of
medical students in similar VP and human OSCE. A total of 262 students were
evaluated with both exam modalities. The correlation between the two exams scores
was highly significant (p<0.001). Alpha Cronbach for the computerized exam was
0.82-0.89 in the 3 years, and was substantially higher than that of the
conventional OSCE each year. We conclude that a computerized VP OSCE is a
reliable examination tool, with the advantage of providing also a training
modality.
PMID- 21893749
TI - Online CME usage patterns.
AB - The paper reports the findings of the analysis of a sample of 829 online
Continuous Medical Education (CME) enrolments aimed at inspecting users'
preferences and behaviours. The contents of the analyzed course are provided as
online SCORM (Sharable Content Object Reference Model) resources together with
the corresponding Pdf downloadable versions allowing different usage patterns
(online only, Pdf only, online AND Pdf, mixed online OR Pdf). The results point
out that there is not a specific preference for one of the four patterns and that
most of the users access both navigable modules and Pdf documents. Demographic
characteristics and initial knowledge level do not influence the choice of a
specific usage pattern that probably depends on internal or context factors. From
the point of view of knowledge acquisition, the four patterns are equivalent. As
regards users' behaviour, the analysis has pointed out two issues: 1) the
attitude to conclude the course in a short time and to reach good test scores,
but not the excellence; 2) learning activity tracing data were not available for
all the enrolments. Cues for discussion are proposed.
PMID- 21893750
TI - How do nursing students perceive the notion of EHR? an empirical investigation.
AB - This paper describes an empirical study aiming to assess nursing students'
perceptions on Electronic Health Record (EHR) concepts and their potential future
attitude towards use. Based on the theoretical ground of Rogers' Innovation
Diffusion Theory and other research works, a formulated model was empirically
validated among ninety nursing undergraduates. Data analysis was based on partial
least squares path modeling. Results highlighted the very strong significant
effect of relative advantage and observability as well as the significant effect
of perceived ease of use to attitude towards using EHR systems. The study
findings are discussed along with limitations and future work in the current
field.
PMID- 21893751
TI - Recording and podcasting of lectures for students of medical school.
AB - Information and communication technology (ICT) becomes an important way for the
knowledge transmission, especially in the field of medicine. Podcasting (mobile
broadcast content) has recently emerged as an efficient tool for distributing
information towards professionals, especially for e-learning contents.The goal of
this work is to implement software and hardware tools for collecting medical
lectures at its source by direct recording (halls and classrooms) and provide the
automatic delivery of these resources for students on different type of devices
(computer, smartphone or videogames console). We describe the overall
architecture and the methods used by medical students to master this technology
in their daily activities. We highlight the benefits and the limits of the
Podcast technologies for medical education.
PMID- 21893752
TI - Developing an electronic health record for intractable diseases in Japan.
AB - Because intractable diseases result from unidentifiable causes and are very
difficult to treat, they require a lifelong epidemiology database. Japan does not
use global unique identifiers, such as social security numbers, so we conducted a
feasibility study regarding an electronic health record (EHR). An EHR can be used
as a lifelong database and reduce conventional administrative work. However, it
will be necessary to develop additional tools to overcome issues specific to
Japan before an EHR can be implemented.
PMID- 21893753
TI - Three key concerns for a successful EPR deployment and usage.
AB - The health care environment is unique because of the large and complex
organisation with a traditional hierarchic structure that is governed by laws and
regulations. This paper examines how a large Swedish health care organisation
work with usability issues regarding Electronic Patient Record (EPR) deployment
and usage. EPR systems have great impact on work environment and clinical work
routines will not be performed in the same way as before. This paper analyse how
the EPR management and core business understand their EPR responsibilities and
work with usability aspects at different levels in the organisations. The paper
reveals that there is a conflict about responsibility between EPR management and
core business management. The reasons for the confusion are contradictive
understanding of what an EPR system is, an IT system or a tool for the core
business to perform better health care work. This leads to that care staff's
experience regarding the EPR system's usability, is not being listened to within
the organisation. Three key concerns for a successful EPR deployment and usage
are identified and further analysed; education, evaluation and support &
improvement ideas.
PMID- 21893754
TI - Implementation of an open source provider organization registry service.
AB - Healthcare Information Exchange Networks (HIEN) enables the exchange of medical
information between different institutions. One of the biggest problems running a
HIEN is the unique identification of the care providers. The provider and
organisation registry service (PORS) has to provide a unique identifier for care
providers. The concept and the implementation of PORS will be described in this
article. Finally the PORS implementation will be compared with the Integrating
the Healthcare Enterprise (IHE) profile for a Healthcare Provider Directory
(HPD).
PMID- 21893755
TI - Implementation and experimentation of TEDIS: an information system dedicated to
patients with pervasive developmental disorders.
AB - This article aims at describing the implementation and experimentation of TEDIS,
an information system dedicated to patients with Pervasive Developmental
Disorder. The experiment included 30 prospective patient records aged from 3.2 to
7.5 with an average of 6.3. Preliminary patient data analysis highlighted the
need of improving the data collection process, by making relevant data
systematically and accurately documented. Despite a small study ample size, data
analysis also showed the interest of such information system in making evident
improvements in patient care and resources allocation after medical and clinical
expert assessment.
PMID- 21893756
TI - Traceability of patient records usage: barriers and opportunities for improving
user interface design and data management.
AB - Although IT governance practices (like ITIL, which recommends on the use of audit
logs for proper service level management) are being introduced in many Hospitals
to cope with increasing levels of information quality and safety requirements,
the standard maturity levels of hospital IT departments is still not enough to
reach the level of frequent use of audit logs. This paper aims to address the
issues related to the existence of AT in patient records, describe the Hospitals
scenario and to produce recommendations. Representatives from four hospitals were
interviewed regarding the use of AT in their Hospital IS. Very few AT are known
to exist in these hospitals (average of 1 per hospital in an estimate of 21
existing IS). CIOs should to be much more concerned with the existence and
maintenance of AT. Recommendations include server clock synchronization and using
advanced log visualization tools.
PMID- 21893757
TI - Important ingredients for health adaptive information systems.
AB - Healthcare information systems frequently do not truly meet clinician needs, due
to the complexity, variability, and rapid change in medical contexts. Recently
the internet world has been transformed by approaches commonly termed 'Web 2.0'.
This paper proposes a Web 2.0 model for a healthcare adaptive architecture. The
vision includes creating modular, user-composable systems which aim to make all
necessary information from multiple internal and external sources available via a
platform, for the user to use, arrange, recombine, author, and share at will,
using rich interfaces where advisable. Clinicians can create a set of 'widgets'
and 'views' which can transform data, reflect their domain knowledge and cater to
their needs, using simple drag and drop interfaces without the intervention of
programmers. We have built an example system, MedWISE, embodying the user-facing
parts of the model. This approach to HIS is expected to have several advantages,
including greater suitability to user needs (reflecting clinician rather than
programmer concepts and priorities), incorporation of multiple information
sources, agile reconfiguration to meet emerging situations and new treatment
deployment, capture of user domain expertise and tacit knowledge, efficiencies
due to workflow and human-computer interaction improvements, and greater user
acceptance.
PMID- 21893758
TI - Everyday ethical dilemmas arising with electronic record use in primary care.
AB - The introduction of electronic medical record systems (EMRs) into primary care
settings alters work practices, introduces new challenges, and new roles. In the
process of integrating an EMR into a primary care setting, clinic staff faced
ethical challenges in their everyday work practices resulting from workarounds
undertaken to compensate for a poor fit between system design and work practices,
issues related to system access, and governance gaps. Examples of these issues
are presented, and implications for system design are discussed.
PMID- 21893759
TI - The shift in workarounds upon implementation of computerized physician order
entry.
AB - Workarounds are working processes deviating from formal rules or intended work
methods to smooth workflow and circumvent problems without eliminating them.
Former research focused on workarounds in the medication use process after
implementation of computerized physician order entry (CPOE). This study on 2
wards of a general hospital shows that workarounds exist in both paper-based and
electronic prescribing systems. After CPOE implementation workarounds present in
the paper-based system had disappeared or remained existent, and new ones had
emerged.
PMID- 21893760
TI - Task analysis and interoperable application services for service event
management.
AB - In addition to the information specifications for electronic health records,
functional and behavioral capabilities need to be agreed to achieve
interoperability. In this paper, we present results from task analysis and
specification of software services to support the management of service events.
The work has been performed to support the management of the nationally shared
EPR in Finland. The results support the specification of information sharing and
composition in relation to healthcare workflows and activities. The specification
of a functional reference model and software services for the management of
service events and encounters promotes the integration of shared EHR and systems
adaptability for migration towards interoperable electronic health records in
healthcare networks.
PMID- 21893761
TI - Organs transplantation - how to improve the process?
AB - The transplant of cadaveric organs must be performed in a short period of time in
order to achieve satisfactory results. In Hospital S. Joao (HSJ), a large
Portuguese hospital, during 2008 and 2009, 65 and 61 respectively potential
donors were identified, but 12 and 19 of them were not validated as such in time.
The number of validated donors could increase if the information workflow between
donor hospitals and coordinator offices became more efficient. The goal of this
work is to design and implement a multi-agent software platform to assist the
information workflow between donor hospitals and coordinator offices. Through
several meetings with HSJ coordinator office it was characterized a set of basic
data that would allow coordinator offices to early identify possible organs
donors. This preliminary characterization provided the necessary grounds for the
development of an agent based software application allowing the storage and
management of potential donors' information and optimizing the information
workflow. The information workflow and the current communication processes
characterization allowed the development of a multi-agent web platform, providing
a way to assist the information workflow, between coordinator hospitals and their
attached hospitals network. The platform also improves direct communication
between coordinator offices about most relevant facts. By using this tool or a
similar one the information workflow between donor hospitals and coordinator
offices can become more efficient, optimizing the pre-transplantation tasks and
consequently the number of successful transplants in our country.
PMID- 21893762
TI - A reference architecture for integrated EHR in Colombia.
AB - The implementation of national EHR infrastructures has to start by a detailed
definition of the overall structure and behavior of the EHR system (system
architecture). Architectures have to be open, scalable, flexible, user accepted
and user friendly, trustworthy, based on standards including terminologies and
ontologies. The GCM provides an architectural framework created with the purpose
of analyzing any kind of system, including EHR system's architectures. The
objective of this paper is to propose a reference architecture for the
implementation of an integrated EHR in Colombia, based on the current state of
system's architectural models, and EHR standards. The proposed EHR architecture
defines a set of services (elements) and their interfaces, to support the
exchange of clinical documents, offering an open, scalable, flexible and
semantically interoperable infrastructure. The architecture was tested in a pilot
tele-consultation project in Colombia, where dental EHR are exchanged.
PMID- 21893763
TI - Integration services to enable regional shared electronic health records.
AB - eHealth is expected to integrate a comprehensive set of patient data sources into
a coherent continuum, but implementations vary and Portugal is still lacking on
electronic patient data sharing. In this work, we present a clinical information
hub to aggregate multi-institution patient data and bridge the information silos.
This integration platform enables a coherent object model, services-oriented
applications development and a trust framework. It has been instantiated in the
Rede Telematica de Saude (www.RTSaude.org) to support a regional Electronic
Health Record approach, fed dynamically from production systems at eight partner
institutions, providing access to more than 11,000,000 care episodes, relating to
over 350,000 citizens. The network has obtained the necessary clearance from the
Portuguese data protection agency.
PMID- 21893764
TI - Towards smart environments using smart objects.
AB - Barcodes, RFID, WLAN, Bluetooth and many more technologies are used in hospitals.
They are the technological bases for different applications such as patient
monitoring, asset management and facility management. However, most of these
applications exist side by side with hardly any integration and even
interoperability is not guaranteed. Introducing the concept of smart objects
inspired by the Internet of Things can improve the situation by separating the
capabilities and functions of an object from the implementing technology such as
RFID or WLAN. By aligning technological and business developments smart objects
have the power to transform a hospital from an agglomeration of technologies into
a smart environment.
PMID- 21893766
TI - Building the technical infrastructure to support a study on drug safety in a
general hospital.
AB - We describe reorganization steps and the required technical infrastructure to
support a multidisciplinary research project aimed at improving the safety of
drug therapy in an emergency department (ED) of a community hospital. Assessment
of drug safety required consolidation of data from various sources in a single
source approach. We solved this by transferring digital data from the hospital
information system (HIS) and attached clinical systems into a pseudonymized study
database (secuTrial), which is also used as a web based data capturing tool to
rate drug associated risk situations, extended by a technical extension for
dynamic upload of further data. Paper-based documentation in the ED was digitized
using a digital pen technology.
PMID- 21893765
TI - Interoperability in hospital information systems: a return-on-investment study
comparing CPOE with and without laboratory integration.
AB - Despite its many advantages, using a computerized patient record is still
considered as a time consuming activity for care providers. In numerous
situations, time is wasted because of the lack of interoperability between
systems. In this study, we aim to assess the time gains that nursing teams could
achieve with a tightly integrated computerized order entry system. Using a time
motion method, we compared expected versus effective time spent managing
laboratory orders for two different computerized systems: one integrated, the
other not integrated. Our results tend to show that nurses will complete their
task an average of five times faster than their expected performance (p<0.001).
We also showed that a tightly integrated system provides a threefold speed gain
for nurses compared to a non-integrated CPOE with the laboratory information
system (p<0.001). We evaluated the economic benefit of this gain, therefore
arguing for a strong interoperability of systems, in addition to patient safety
benefits.
PMID- 21893767
TI - Implementing change in a diverse and politicized landscape.
AB - Based on the experiences from an ongoing IT implementation project, this paper
illustrates the complexity of large scale projects through the concept of
diversity. The analysis shows how, no matter how mature the project becomes at
coping with local diversity, it is still vulnerable to contextual diversity;
especially when this is politicized. The paper concludes by pointing to the
special responsibilities this puts on higher level decision makers.
PMID- 21893768
TI - Characteristics of German hospitals adopting health IT systems - results from an
empirical study.
AB - Hospital characteristics that facilitate IT adoption have been described by the
literature extensively, however with controversial results. The aim of this study
therefore is to draw a set of the most important variables from previous studies
and include them in a combined analysis for testing their contribution as single
factors and their interactions. Total number of IT systems installed and number
of clinical IT systems in the hospital were used as criterion variables. Data
from a national survey of German hospitals served as basis. Based on a stepwise
multiple regression analysis four variables were identified to significantly
explain the degree of IT adoption (60% explained variance): 1) hospital size, 2)
IT department, 3) reference customer and 4) ownership (private vs. public). Our
results replicate previous findings with regard to hospital size and ownership.
In addition our study emphasizes the importance of a reliable internal structure
for IT projects (existence of an IT department) and the culture of testing and
installing most recent IT products (being a reference customer). None of the
interactions between factors was significant.
PMID- 21893769
TI - Nursing information system: a relevant substitute of the paper nursing record.
AB - OBJECTIVE: A teaching hospital in the Netherlands has developed a Nursing
Information System (NIS). After the NIS was implemented in six wards in March
2009, the NIS was evaluated. Since micro-relevance is a key-factor in adoption of
Information Systems, the objective of this study is to reveal which aspects of
the NIS are micro-relevant to nurses. METHODS: A paper questionnaire was
distributed among all 195 nurses, who used the system. Included in the research
were 93 (47.7%) respondents. Also six NIS-users were interviewed, using the USE
IT-model. RESULTS: Nurses mainly used those functions of the NIS, which were
essential for reporting or retrieving patient information. The NIS was
appreciated for supplying unhampered access to complete, legible, structured
patient data. CONCLUSIONS: For nurses the NIS is a good substitute for the paper
record. The micro-relevance of other functionality than supplying information
seems to be low.
PMID- 21893770
TI - GP connector - a tool to enable access for general practitioners to a standards
based personal and electronic health record in the Rhine-Neckar region.
AB - Electronic health records (EHR) as well as personal health records (PHR) are in
widespread use today. Since several years the University Hospital Heidelberg is
implementing a so-called personal and electronic health record (PEHR). The joint
approach is standards-based and includes several needed services. However a
remained unresolved issue is how to connect general practitioners (GP) and their
systems to the record. This work describes a tool called GP Connector that
provides access for GPs to the PEHR within the law. GPs can profit from all
advantages of the PEHR usage. Only adding documents to the record comfortably
through standards-based interfaces remains still open. Thus, deep integration of
the PEHR into primary systems is preferable anytime. Yet the continuous trend
towards multi-institutional health network may also pave the way for standards
based interfaces also in the field of practice management systems.
PMID- 21893771
TI - Proposal of an end-to-end emergency medical system.
AB - A new comprehensive emergency system has been proposed to facilitate and
computerize all the processes involved in an emergency from the initial contact
to the ambulance emergency system, to finding the right and nearest available
ambulance, and through to accessing a Smart Online Electronic Health Record
(SOEHR). The proposed system will critically assist in pre-hospital treatments,
indentify availability of the nearest available specialized hospital and
communicate with the Hospital Emergency Department System (HEDS) to provide early
information about the incoming patient for preparation to receive and assist.
PMID- 21893772
TI - The general practitioner in the Giant's web.
AB - Most General Practitioners (GPs) in Norway use Electronic Health Record (EHR)
systems to support their daily work processes. These systems were developed with
basis in local needs. Electronic collaboration between the different actors has
developed over time. Larger national projects like the ePrescription and the Core
EHR are examples of projects that interact with the GPs EHR systems. The
requirements from these projects need to be addressed by the vendors of the EHR
systems. At the same time the GPs see a need for further development of their EHR
systems to make them more suited as tools to support the daily work processes.
This paper addresses the how GPs can influence on the design and development of
their EHR systems in a situation with a preexisting installed base of systems and
increasing requirements from many actors.
PMID- 21893773
TI - When information sharing is not enough.
AB - This paper explores information sharing in multidisciplinary clinical
collaboration between three hospitals. Our study draws on qualitative interviews
with surgeons and radiologists in two county hospitals and one university
hospital. The analysis shows that the actors shared a restricted amount of
information about the patients they have in common and that different actors used
the shared information in different ways. However, much communication was still
needed to clarify and negotiate the meaning of shared data and its implications
for collaborative care. To conclude, while the arguments for a shared information
space may appear convincing, the communication practice observed should
illustrate that IS also needs to support the communicative process in clinical
collaborative work.
PMID- 21893774
TI - Information and communication needs of healthcare workers in the perioperative
domain.
AB - Perioperative work requires the collaborative efforts of a multitude of actors.
Coordinating such collaboration is challenging, and coordination breakdowns may
be very expensive and jeopardize patient safety. We studied the needs for status
information and projection of future status and events for key actors in the
perioperative environment. We found that information and projection needs
differed significantly between actors. While just-in-time notifications sufficed
for some, others were dependent on projections to provide high quality and
efficient care. Finally, information on current status and support in projecting
the future unfolding of events could improve actors situated coordination
capabilities.
PMID- 21893775
TI - Clinical situations and information needs of physicians during treatment of
diabetes mellitus patients: a triangulation study.
AB - Physicians should have access to the information they need to provide the most
effective health care. Medical knowledge and patient-oriented information is
dynamic and expanding rapidly so there is a rising risk of information overload.
We investigated the information needs of physicians during treatment of Diabetes
mellitus patients, using a combination of interviews, observations, literature
research and analysis of recorded medical information in hospitals as part of a
methodical triangulation. 446 information items were identified, structured in a
set of 9 main categories each, as well as 6 time windows, 10 clinical situations
and 68 brief queries. The physician's information needs as identified in this
study will now be used to develop sophisticated query tools to efficiently
support finding of information in an electronic health record.
PMID- 21893776
TI - A constructivist approach? using formative evaluation to inform the electronic
prescription service implementation in primary care, England.
AB - As part of the National Programme for IT (NPfIT) in England, the Electronic
Prescription Service (EPS) is being implemented in two releases. The first
release placed barcodes on prescriptions and is widely implemented. Release two
(EPS2), the electronic transmission of prescriptions between GP, pharmacy and the
reimbursement body, has just started implementation. On the NPfIT agenda,
community pharmacies have been predicted to benefit from changes in work practice
following the full EPS implementation. The study focused on how the advanced EPS
(EPS2) might alter dispensing work practice in community pharmacies on issues
such as workflow and workload; and the bearing of these issues on improvement in
quality of service and safety. This paper demonstrates how findings of the pre
implementation study were used to provide formative feedback to the implementers.
A mixed ethnographical method that combined non- participant observations,
shadowing and interviews, before and after implementation, was used to
qualitatively study eight community pharmacies across three early adopter Primary
Care Trusts (PCTs) in England. Key implementation issues were fed-back to the
PCTs as part of the EPS2 rolling-out process. Staff access to dispensing
terminals needs to be improved if electronic dispensing is to be encouraged.
Also, as a safety issue, pharmacists are planning to print off electronic
prescriptions (tokens) and dispense from them. Although safer, this could
increase workload. The EPS2 could positively alter work practice by improving
certain demanding aspects of dispensing whilst reducing human errors. For
example, the high demand of customers handing in prescriptions and waiting for
them to be dispensed could be reduced through automation. Also, the extreme
variation in workload during various times of the day could be evened out to
improve workflow and provide a better service; however, in order for this to be
fully realized, technical issues such as number of staff per dispensing station
and dispensing from tokens would need to be addressed.
PMID- 21893777
TI - Can cloud computing benefit health services? - a SWOT analysis.
AB - In this paper, we discuss cloud computing, the current state of cloud computing
in healthcare, and the challenges and opportunities of adopting cloud computing
in healthcare. A Strengths, Weaknesses, Opportunities and Threats (SWOT) analysis
was used to evaluate the feasibility of adopting this computing model in
healthcare. The paper concludes that cloud computing could have huge benefits for
healthcare but there are a number of issues that will need to be addressed before
its widespread use in healthcare.
PMID- 21893778
TI - Medical providers' dental information needs: a baseline survey.
AB - Articulation of medical and dental practices has been strongly called for based
on the many oral-systemic connections. With the rapid development and adoption of
electronic health records, the feasibility of integrating medical and dental
patient data should be strongly considered. The objective of this study was to
develop an initial understanding of the medical providers' core dental
information needs and opinion of integrated medical-dental electronic health
record (iEHR) environment in their workflow. This was achieved by administering a
13 question survey to a group of 1,197 medical care providers employed by
Marshfield Clinic in Wisconsin, United States. The survey received a response
rate of 35%. The responses were analyzed based on provider 'Role' and
'Specialty'. The majority of the respondents felt the need for patient's dental
information to coordinate or provide effective medical care. An integrated
electronic health record environment could facilitate this holistic patient care
approach.
PMID- 21893779
TI - What makes an information system more preferable for clinicians? a qualitative
comparison of two systems.
AB - Two different information systems with respect to their ability to afford
clinicians' needs in the chemotherapy medication process were implemented in a
large Dutch academic hospital. A commercially available Computerized Physician
Order Entry (CPOE) system was not appreciated because clinicians believed that it
could not support complex chemotherapy process. Later, a home-grown IT system
with the capability of prescribing chemotherapy medications based on standard
care protocols was appreciated and fully used by clinicians. We evaluated both
systems from their users' perspective to find the sources of clinicians'
preference and to trace them back to their Systems Development Life Cycle (SDLC).
PMID- 21893780
TI - Does PACS facilitate work practice innovation in the intensive care unit?
AB - Picture Archiving and Communication Systems (PACS) allow the fast delivery of
imaging studies to clinicians at the point-of-care, supporting quicker decision
making. PACS has the potential to have a significant impact in the Intensive Care
Unit (ICU) where critical decisions are made on a daily basis, particularly
during ward rounds. We aimed to examine how accessing image information is
integrated into ward rounds and if the presence of PACS produced innovations in
ward round practices. We observed ward rounds and conducted interviews with ICU
doctors at three hospitals with differing levels of PACS availability and
computerization. Imaging results were infrequently viewed by clinicians during
ward rounds in two ICUs: one without PACS and one which had both PACS and bedside
computers. In the third ICU, where PACS was only available at a central
workstation, images were frequently viewed throughout the daily round and
integrated into decisions about patient care. The presence of bedside computers
does not automatically result in innovations to work practice. Despite the
ability to utilize PACS at the bedside to support decision-making, use was
varied. Research to understand how the complexities and context of the ICU
contribute to work practice innovation and why practice changes differ is
required.
PMID- 21893781
TI - Innovation in intensive care nursing work practices with PACS.
AB - Doctors are the main users of x-rays and other medical images in hospitals and as
such picture archive and communication systems (PACS) have been designed to
improve their work processes and clinical care by providing them with faster
access to images. Nurses working in intensive care units (ICUs) also access
images as an integral part of their work, yet no studies have examined the impact
of PACS on the work of intensive care nurses. Our study aimed to examine whether
and how ICU nurses view and use images and whether access to PACS promotes
innovation in work practices. We interviewed (n=49) and observed (n=23) nurses in
three Australian metropolitan teaching hospital ICUs with varying degrees of PACS
implementation. Our study found that nurses with access to PACS were able to
independently and easily access images, did so more frequently when required, and
perceived that this had the potential to positively impact upon patient safety.
Those without PACS usually viewed images more traditionally as part of a ward
round. The introduction of PACS to ICU settings promotes changes in nursing work
practices by providing nurses with the ability to act more autonomously, with the
potential to enhance patient care.
PMID- 21893782
TI - Evaluation of telephone triage and advice services: a systematic review on
methods, metrics and results.
AB - Telephone triage and advice services (TTAS) have been increasingly used to assess
patients' symptoms, provide information and refer patients to appropriate levels
of care (attempting to pursue efficiency and quality of care gains while ensuring
safety). However, previous reviews have pointed out for the need for adequately
evaluating TTAS. AIMS: To review TTAS evaluation studies, compile methodologies
and metrics used and compare results. Systematic search in PubMed database; data
collection and categorization by TTAS features and context, type of evaluation,
methods, metrics and results; critical assessment of studies; discussion on
research needs. 395 articles screened, 55 of them included in the analysis. In
conclusion, several aspects of TTAS impact on healthcare systems remain unclear
either due to a lack of research (e.g. on long term clinical outcomes, clinical
pathways, safety, enhanced access) or because of huge disparities in existing
studies on the accuracy of advice, patient compliance, system use, satisfaction
and economic evaluation. Further research on TTAS impact is required, comprising
multiple perspectives and broad range of metrics.
PMID- 21893783
TI - Human factors based recommendations for the design of medication related clinical
decision support systems (CDSS).
AB - This study is part of a research project aiming at developing advanced functions
of medication related CDSS to support the monitoring of patients' therapeutic
treatments based mainly on corresponding lab values. We adopted a user-centred
approach to the design of these advanced CDSS functions. We collected existing
recommendations in the literature and completed previous Human Factors (HF) field
studies and analyses by focused observations and modeling. We present resulting
HF based recommendations for the design of such advanced medication CDSS and
focus more specifically on two innovative high level recommendations completing
those already existing in the literature. For illustration purposes, an example
of the operationalization of one of the recommendation is presented.
PMID- 21893784
TI - Making a web based ulcer record work by aligning architecture, legislation and
users - a formative evaluation study.
AB - The University Hospital of North Norway selected a web-based ulcer record used in
Denmark, available from mobile phones. Data was stored in a common database and
easily accessible. According to Norwegian legislation, only employees of the
organization that owns an IT system can access the system, and use of mobile
units requires strong security solutions. The system had to be changed. The paper
addresses interactions in order to make the system legal, and assesses
regulations that followed. By addressing conflicting scripts and the contingent
nature of knowledge, we conducted a formative evaluation aiming at improving the
object being studied. Participatory observation in a one year process, minutes
from meetings and information from participants, constitute the data material. In
the technological domain, one database was replaced by four. In the health care
delivery domain, easy access was replaced by a more complicated log on procedure,
and in the domain of law and security, a clarification of risk levels was
obtained, thereby allowing for access by mobile phones with today's
authentication mechanisms. Flexibility concerning predefined scripts was
important in all domains. Changes were made that improved the platform for
further development of legitimate communication of patient data via mobile units.
The study also shows the value of formative evaluations in innovations.
PMID- 21893785
TI - Assessing the role of a site visit in adopting activity driven methods.
AB - Healthcare activities rely heavily on socio-technical information systems. Such
systems should be developed according to a socio-technical approach. The Activity
Driven (AD) approach has been developed to contribute to the early phases of
information system development in healthcare. Multi-professional and multi
disciplinary education in teams has been used to introduce the approach to
prospective analysts, including "lay" healthcare professionals. 'Almost real life
cases' have been emphasized as promoters of learning. This paper reports on a
study on site visits as a crucial element for adopting socio-technical methods of
analysis in healthcare. The paper presents feedback collected from an intensive
course on health information systems development held in Mozambique. The results
indicate the high importance of site visits - not only as a starting point of
system analysis but also as a crucial promoter to learning socio-technical
methods. Based on the results, needs for improvements are identified to the
usability of AD tools and to the practical arrangements of site visits.
PMID- 21893786
TI - A multi-method study of factors associated with hospital information system
success in South Africa.
AB - A combination of interpretivist and positivist techniques was used to develop and
refine a conceptual model of factors associated with computerised hospital
information system (CHIS) success in South Africa. Data from three case studies
of CHIS use in level 2 public sector hospitals were combined to develop a
conceptual model containing seven factors associated with CHIS success at
hospital level. This conceptual model formed the basis of a fourth case study
which aimed to confirm and refine the initial conceptual model. In the third
phase of the study, a survey of CHIS use was conducted in 30 hospitals across two
South African provinces, each using one of three different CHISs. Relationships
between hospital-level factors of the conceptual model and user assessment of
CHIS success were examined. A revised conceptual model of CHIS use was developed
on the basis of the survey results. The use of a multi-method approach made it
possible to generalise results from the case studies to multiple CHIS
implementations in two provinces.
PMID- 21893787
TI - Assessing biocomputational modelling in transforming clinical guidelines for
osteoporosis management.
AB - Biocomputational modelling as developed by the European Virtual Physiological
Human (VPH) Initiative is the area of ICT most likely to revolutionise in the
longer term the practice of medicine. Using the example of osteoporosis
management, a socio-economic assessment framework is presented that captures how
the transformation of clinical guidelines through VPH models can be evaluated.
Applied to the Osteoporotic Virtual Physiological Human Project, a consequent
benefit-cost analysis delivers promising results, both methodologically and
substantially.
PMID- 21893788
TI - Technical data evaluation of a palliative care web-based documentation system.
AB - A technical analysis of the web-based patient documentation system, eKernPaP, was
conducted. The system is used by interdisciplinary pediatric palliative care
teams in Germany to document outpatient care. The data of the system and the data
of an external web analytic system have been evaluated. The results gave an
overview how the system is used and what information is generated. A detailed
analysis of singular forms showed that not all forms were filled in completely.
With the help of the external web analytic system the navigation behavior of the
users could be retraced. The users followed the given navigation from top to
bottom. An existing exception in this pattern turned out to be misplacement and
will be corrected in the next version. The technical analysis proved to be a good
tool for improving a web-based documentation system.
PMID- 21893789
TI - Extracting gait parameters from raw electronic walkway data.
AB - Spatiotemporal gait parameters are very important for the detection of gait
impairments and associated conditions. Current methods to measure such
parameters, e.g. electronic walkways or force plates, are costly and can only be
used in a laboratory. The new generation of raw data accelerometers might be a
cheap and flexible alternative. We conducted a small feasibility study with 50
subjects from the KORA-Age project exploring the output of GAITRite and Actigraph
GT3X. We open-sourced a package to extract and process raw data from GAITRite.
The most promising location for the accelerometer seems to be at the ankle. The
use of accelerometers showed to be simple and reliable, indicating that they can
be used in daily life to extract gait parameters.
PMID- 21893790
TI - Safe storage and multi-modal search for medical images.
AB - Modern hospitals produce enormous amounts of data in all departments, from
images, to lab results, medication use, and release letters. Since several years
these data are most often produced in digital form, making them accessible for
researchers to optimize the outcome of care process and analyze all available
data across patients. The Geneva University Hospitals (HUG) are no exception with
its daily radiology department's output of over 140'000 images in 2010, with a
majority of them being tomographic slices. In this paper we introduce tools for
uploading and accessing DICOM images and associated metadata in a secure Grid
storage. These data are made available for authorized persons using a Grid
security framework, as security is a main problem in secondary use of image data,
where images are to be stored outside of the clinical image archive. Our tool
combines the security and metadata access of a Grid middleware with the visual
search that uses GIFT.
PMID- 21893791
TI - Respiration tracking using the Wii remote game controller.
AB - Respiration exercises are an important part in the pulmonary rehabilitation of
COPD (chronic obstructive pulmonary disease) patients. Furthermore, previous
research has demonstrated that showing respiration pattern helps the patients to
improve their breathing skills. We have developed a low cost and non-invasive
prototype based on the Wii remote game controller infrared camera to provide BPM
(breaths per minute) measurement as feedback. It can also be a comfortable
solution without wires, batteries or any kind of electronics but just wearing
passive markers. The lab evaluation with 7 healthy individuals showed that this
approach is feasible when users are resting of their exercise. The BPM monitored
during the tests presented less than 15% of maximum error and the RMSE (root mean
square error) was lower than 6% in all the tests. Further research is needed to
evaluate and adapt the system for COPD patients. In addition, more work is needed
to develop applications that can be built to motivate and guide the users.
PMID- 21893792
TI - A nomenclature for the analysis of continuous sensor and other data in the
context of health-enabling technologies.
AB - Due to the progress in technology, it is possible to capture continuous sensor
data pervasively and ubiquitously. In the area of health-enabling and ambient
assisted technologies we are faced with the problem of analyzing these data in
order to improve or at least maintain the health status of patients. But due to
the interdisciplinarity of this field every discipline makes use of their own
analyzing methods. In fact, the choice of a certain analyzing method often solely
depends on the set of methods known to the data analyst. It would be an advantage
if the data analyst would know about all available analyzing methods and their
advantages and disadvantages when applied to the manifold of data. In this paper
we propose a nomenclature that structures existing analyzing methods and assists
in the choice of a certain method that fits to a given measurement context and a
given problem.
PMID- 21893793
TI - Image-based classification of parkinsonian syndromes using T2'-atlases.
AB - Parkinsonian syndromes (PS) are genetically and pathologically heterogeneous
neurodegenerative disorders. Clinical distinction between different PS can be
difficult, particularly in early disease stages. This paper describes an
automatic method for the distinction between classical Parkinson's disease (PD)
and progressive supranuclear palsy (PSP) using T2' atlases. This procedure is
based on the assumption that regional brain iron content differs between PD and
PSP, which can be selectively measured using T2' MR imaging. The proposed method
was developed and validated based on 33 PD patients, 10 PSP patients, and 24
healthy controls. The first step of the proposed procedure comprises T2' atlas
generation for each group using affine and following non-linear registration. For
classification, a T2' dataset is registered to the atlases and compared to each
one of them using the mean sum of squared differences metric. The dataset is
assigned to the group for which the corresponding atlas yields the lowest value.
The evaluation using leave-one-out validation revealed that the proposed method
achieves a classification accuracy of 91%. The presented method might serve as
the basis for an improved automatic classification of PS in the future.
PMID- 21893794
TI - Cell edge detection in JPEG2000 wavelet domain - analysis on sigmoid function
edge model.
AB - Big virtual microscopy images (80K x 60K pixels and larger) are usually stored
using the JPEG2000 image compression scheme. Diagnostic quantification, based on
image analysis, might be faster if performed on compressed data (approx. 20 times
less the original amount), representing the coefficients of the wavelet
transform. The analysis of possible edge detection without reverse wavelet
transform is presented in the paper. Two edge detection methods, suitable for
JPEG2000 bi-orthogonal wavelets, are proposed. The methods are adjusted according
calculated parameters of sigmoid edge model. The results of model analysis
indicate more suitable method for given bi-orthogonal wavelet.
PMID- 21893796
TI - Defining and reconstructing clinical processes based on IHE and BPMN 2.0.
AB - This paper describes the current status and the results of our process management
system for defining and reconstructing clinical care processes, which contributes
to compare, analyze and evaluate clinical processes and further to identify high
cost tasks or stays. The system is founded on IHE, which guarantees standardized
interfaces and interoperability between clinical information systems. At the
heart of the system there is BPMN, a modeling notation and specification
language, which allows the definition and execution of clinical processes. The
system provides functionality to define healthcare information system independent
clinical core processes and to execute the processes in a workflow engine.
Furthermore, the reconstruction of clinical processes is done by evaluating an
IHE audit log database, which records patient movements within a health care
facility. The main goal of the system is to assist hospital operators and
clinical process managers to detect discrepancies between defined and actual
clinical processes and as well to identify main causes of high medical costs.
Beyond that, the system can potentially contribute to reconstruct and improve
clinical processes and enhance cost control and patient care quality.
PMID- 21893795
TI - Using multimodal mining to drive clinical guidelines development.
AB - We present exploratory investigations of multimodal mining to help designing
clinical guidelines for antibiotherapy. Our approach is based on the assumption
that combining various sources of data, such as the literature, a clinical
datawarehouse, as well as information regarding costs will result in better
recommendations. Compared to our baseline recommendation system based on a
question-answering engine built on top of PubMed, an improvement of +16% is
observed when clinical data (i.e. resistance profiles) are injected into the
model. In complement to PubMed, an alternative search strategy is reported, which
is significantly improved by the use of the combined multimodal approach. These
results suggest that combining literature-based discovery with structured data
mining can significantly improve effectiveness of decision-support systems for
authors of clinical practice guidelines.
PMID- 21893797
TI - Facilitating access to laboratory guidelines by modeling their contents and
designing a computerized user interface.
AB - Laboratory tests are not always prescribed appropriately. Guidelines for some
important laboratory tests have been developed by expert panels in the Parisian
region to maximize the appropriateness of laboratory medicine. However; these
recommendations are not frequently consulted by physicians and nurses. We
developed a system facilitating consultation of these guidelines, to increase
their usability. Elements of information contained in these documents were
identified and included in recommendations of different categories. UML modeling
was used to represent these categories and their relationships to each other in
the guidelines. We used the generated model to implement a computerized
interface. The prototype interface, based on web-based technology was found to be
rapid and easy to use. By clicking on provided keywords, information about the
subject sought is highlighted whilst retaining the entire text of the guideline
on-screen.
PMID- 21893798
TI - Evaluation of multi-terminology super-concepts for information retrieval.
AB - BACKGROUND: Following a recent change in the indexing policy for French quality
controlled health gateway CISMeF, multiple terminologies are now being used for
indexing in addition to MeSH(r). OBJECTIVE: To evaluate precision and recall of
super-concepts for information retrieval in a multi-terminology paradigm compared
to MeSH-only. METHODS: We evaluate the relevance of resources retrieved by multi
terminology super-concepts and MeSH-only super-concepts queries. RESULTS: Recall
was 8-14% higher for multi-terminology super-concepts compared to MeSH only super
concepts. Precision decreased from 0.66 for MeSH only super-concepts to 0.61 for
multi-terminology super-concepts. Retrieval performance was found to vary
significantly depending on the super-concepts (p<10-4) and indexing
methods (manual vs automatic; p<0.004). CONCLUSION: A multi-terminology paradigm
contributes to increase recall but lowers precision. Automated tools for indexing
are not accurate enough to allow a very precise information retrieval.
PMID- 21893799
TI - Framework model and principles for trusted information sharing in pervasive
health.
AB - Trustfulness (i.e. health and wellness information is processed ethically, and
privacy is guaranteed) is one of the cornerstones for future Personal Health
Systems, ubiquitous healthcare and pervasive health. Trust in today's healthcare
is organizational, static and predefined. Pervasive health takes place in an open
and untrusted information space where person's lifelong health and wellness
information together with contextual data are dynamically collected and used by
many stakeholders. This generates new threats that do not exist in today's
eHealth systems. Our analysis shows that the way security and trust are
implemented in today's healthcare cannot guarantee information autonomy and
trustfulness in pervasive health. Based on a framework model of pervasive health
and risks analysis of ubiquitous information space, we have formulated principles
which enable trusted information sharing in pervasive health. Principles imply
that the data subject should have the right to dynamically verify trust and to
control the use of her health information, as well as the right to set situation
based context-aware personal policies. Data collectors and processors have
responsibilities including transparency of information processing, and openness
of interests, policies and environmental features. Our principles create a base
for successful management of privacy and information autonomy in pervasive
health. They also imply that it is necessary to create new data models for
personal health information and new architectures which support situation
depending trust and privacy management.
PMID- 21893800
TI - Populating the i2b2 database with heterogeneous EMR data: a semantic network
approach.
AB - In an ongoing effort to share heterogeneous electronic medical record (EMR) data
in an i2b2 instance between the University Hospitals Munster and Erlangen for
joint cancer research projects, an ontology based system for the mapping of EMR
data to a set of common data elements has been developed. The system translates
the mappings into local SQL scripts, which are then used to extract, transform
and load the facts data from each EMR into the i2b2 database. By using Semantic
Web standards, it is the authors' goal to reuse the laboriously compiled "mapping
knowledge" in future projects, such as a comprehensive cancer ontology or even a
hospital-wide clinical ontology.
PMID- 21893801
TI - A novel way of standardized and automized retrieval of timing information along
clinical pathways.
AB - Improving the effectiveness and efficiency of acute healthcare is very important
nowadays. Optimization of clinical pathways regarding quality, time and costs is
one of the key management strategies of critical diseases such as heart attack
and stroke. To identify workflow bottlenecks requires a thorough understanding
about both the hospital environment (e.g. IT-systems) and processes (e.g.
clinical pathways). Having in mind the interoperability issues in hospitals, the
standardized division- and system-crossing time measurement is still a challenge.
Therefore this paper presents a novel way of structured and standardized
retrieval of timing information along the clinical pathway of time-critical
diseases in the context of hospital IT-systems, which represents a promising
opportunity to identify workflow bottlenecks over several departmental and system
borders.
PMID- 21893802
TI - Computing the compliance of physician drug orders with guidelines using an OWL2
reasoner and standard drug resources.
AB - Assessing the conformity of a physician's prescription to a given recommended
prescription is not obvious since both prescriptions are expressed at different
levels of abstraction and may concern only a subpart of the whole order. Recent
formalisms (OWL2) and tools (reasoners) from the semantic web technologies are
becoming available to represent defined concepts and to handle classification
services. We propose a generic framework based on such technologies, using
available standardized drug resources, to compute the compliance of a given drug
order to a recommended prescription, such that the subsumption relationship
yields the conformity relationship between the order and the recommendation. The
ATC drug classification has been used as a local ontology. The method has been
successfully implemented for arterial hypertension management for which we had a
sample of antihypertensive orders. However, supplemental standardized drug
knowledge is needed to correctly compare drug orders to recommended orders.
PMID- 21893803
TI - Automatic definition of the oncologic EHR data elements from NCIT in OWL.
AB - Semantic interoperability based on ontologies allows systems to combine their
information and process them automatically. The ability to extract meaningful
fragments from ontology is a key for the ontology re-use and the construction of
a subset will help to structure clinical data entries. The aim of this work is to
provide a method for extracting a set of concepts for a specific domain, in order
to help to define data elements of an oncologic EHR. METHOD: a generic extraction
algorithm was developed to extract, from the NCIT and for a specific disease
(i.e. prostate neoplasm), all the concepts of interest into a sub-ontology. We
compared all the concepts extracted to the concepts encoded manually contained
into the multi-disciplinary meeting report form (MDMRF). RESULTS: We extracted
two sub-ontologies: sub-ontology 1 by using a single key concept and sub-ontology
2 by using 5 additional keywords. The coverage of sub-ontology 2 to the MDMRF
concepts was 51%. The low rate of coverage is due to the lack of definition or
mis-classification of the NCIT concepts. By providing a subset of concepts
focused on a particular domain, this extraction method helps at optimizing the
binding process of data elements and at maintaining and enriching a domain
ontology.
PMID- 21893804
TI - Developing a model for the adequate description of electronic communication in
hospitals.
AB - Adequate information and communication systems (ICT) can help to improve the
communication in hospitals. Changes to the ICT-infrastructure of hospitals must
be planed carefully. In order to support a comprehensive planning, we presented a
classification of 81 common errors of the electronic communication on the MIE
2008 congress. Our objective now was to develop a data model that defines
specific requirements for an adequate description of electronic communication
processes We first applied the method of explicating qualitative content analysis
on the error categorization in order to determine the essential process details.
After this, we applied the method of subsuming qualitative content analysis on
the results of the first step. A data model for the adequate description of
electronic communication. This model comprises 61 entities and 91 relationships.
The data model comprises and organizes all details that are necessary for the
detection of the respective errors. It can be for either used to extend the
capabilities of existing modeling methods or as a basis for the development of a
new approach.
PMID- 21893805
TI - Contextualization in automatic extraction of drugs from hospital patient records.
AB - Information Extraction (IE) from medical texts aims at the automatic recognition
of entities and relations of interests. IE is based on shallow analysis and
considers only sentences containing important words. Thus IE of drugs from
discharge letters can identify as 'current' some past or future medication
events. This article presents heuristic observations enabling to filter drugs
that are taken by the patients during the hospitalization. These heuristics are
based on the default PR structure and linguistic expressions signaling temporal
and conditional markers. They are integrated in a system for drug extraction from
hospital Patient Records (PRs) in Bulgarian language. Present evaluation results
are summarized as well.
PMID- 21893806
TI - Revisiting the area under the ROC.
AB - The Receiver-Operating Characteristic curve or ROC has been a long standing and
well appreciated tool to assess performance of classifiers or diagnostic tests.
Likewise, the Area Under the ROC (AUC) has been a metric to summarize the power
of a test or ability of a classifier in one measurement. This article aims to
revisit the AUC, and ties it to key characteristics of the noncentral
hypergeometric distribution. It is demonstrated that this statistical
distribution can be used in modeling the behaviour of classifiers, which is of
value for comparing classifiers.
PMID- 21893807
TI - Service delivery for e-Health applications.
AB - E-Health applications have to take the business perspective into account. This is
achieved by adding a fourth layer reflecting organizational and business
processes to an existing three layer model for IT-system functionality and
management. This approach is used for designing a state-wide e-Health service
delivery allowing for distributed responsibilities: clinical organizations act on
the fourth layer and have established mutual cooperation in this state-wide
approach based on collectively outsourced IT-system services. As a result, no
clinical organization can take a dominant role based on operating the IT-system
infrastructure. The implementation relies on a central infrastructure with
extended means to guarantee service delivery: (i) established redundancy within
the system architecture, (ii) actively controlled network and application
availability, (iii) automated routine performance tests fulfilling regulatory
requirements and (iv) hub-to-spoke and end-to-end authentication. As a result,
about half of the hospitals and some practices of the state have signed-up to the
services and guarantee long-term sustainability by sharing the infrastructural
costs. Collaboration takes place for more than 1000 patients per month based on
second opinion, online consultation and proxy services for weekend and night
shifts.
PMID- 21893808
TI - A KPI framework for process-based benchmarking of hospital information systems.
AB - Benchmarking is a major topic for monitoring, directing and elucidating the
performance of hospital information systems (HIS). Current approaches neglect the
outcome of the processes that are supported by the HIS and their contribution to
the hospital's strategic goals. We suggest to benchmark HIS based on clinical
documentation processes and their outcome. A framework consisting of a general
process model and outcome criteria for clinical documentation processes is
introduced.
PMID- 21893809
TI - Medical knowledge evolution query constraining aspects.
AB - In this paper we present a first analysis towards better understanding of the
query constraining aspects of knowledge, as expressed in the most used public
medical bibliographic database MEDLINE. Our results indicate, possibly not
surprising, that new terms occur, but also that traditional terms are replaced by
more specific ones or even go out of use as they become common knowledge. Hence,
as knowledge evolve over time, search methods may benefit from becoming more
sensitive to knowledge expression, to enable finding new, as well as older,
relevant database contents.
PMID- 21893810
TI - Optimal asymmetrical SVM using pattern search. A health care application.
AB - This paper considers the model selection problem for Support Vector Machines. A
well-known derivative Pattern Search method, which aims to tune hyperparameter
values using an empirical error estimate as a steering criterion, is proposed.
This approach is experimentally evaluated on a health care problem which involves
discriminating nosocomially infected patients from non-infected patients. The
Hooke and Jeeves Pattern Search (HJPS) method is shown to improve the results
achieved by Grid Search (GS) in terms of solution quality and computational
efficiency. Unlike most other parameter tuning techniques, our approach does not
require supplementary effort such as computation of derivatives, making them well
suited for practical purposes. This method produces encouraging results: it
exhibits good performance and convergence properties.
PMID- 21893811
TI - Factuality levels of diagnoses in Swedish clinical text.
AB - Different levels of knowledge certainty, or factuality levels, are expressed in
clinical health record documentation. This information is currently not fully
exploited, as the subtleties expressed in natural language cannot easily be
machine analyzed. Extracting relevant information from knowledge-intensive
resources such as electronic health records can be used for improving health care
in general by e.g. building automated information access systems. We present an
annotation model of six factuality levels linked to diagnoses in Swedish clinical
assessments from an emergency ward. Our main findings are that overall agreement
is fairly high (0.7/0.58 F-measure, 0.73/0.6 Cohen's kappa, Intra/Inter). These
distinctions are important for knowledge models, since only approx. 50% of the
diagnoses are affirmed with certainty. Moreover, our results indicate that there
are patterns inherent in the diagnosis expressions themselves conveying
factuality levels, showing that certainty is not only dependent on context cues.
PMID- 21893812
TI - Network analysis of possible anaphylaxis cases reported to the US vaccine adverse
event reporting system after H1N1 influenza vaccine.
AB - The identification of signals from spontaneous reporting systems plays an
important role in monitoring the safety of medical products. Network analysis
(NA) allows the representation of complex interactions among the key elements of
such systems. We developed a network for a subset of the US Vaccine Adverse Event
Reporting System (VAERS) by representing the vaccines/adverse events (AEs) and
their interconnections as the nodes and the edges, respectively; this subset we
focused upon included possible anaphylaxis reports that were submitted for the
H1N1 influenza vaccine. Subsequently, we calculated the main metrics that
characterize the connectivity of the nodes and applied the island algorithm to
identify the densest region in the network and, thus, identify potential safety
signals. AEs associated with anaphylaxis formed a dense region in the
'anaphylaxis' network demonstrating the strength of NA techniques for pattern
recognition. Additional validation and development of this approach is needed to
improve future pharmacovigilance efforts.
PMID- 21893813
TI - Using pharmacogenetics knowledge to increase accuracy of alerts for adverse drug
events.
AB - Adverse drug event (ADE) has significant implications on patient safety and is
recognized as a major cause of fatalities and hospital expenses. Although some
medical systems today can help reduce the number of ADE occurrences, these
primarily take into account clinical factors-even though recent studies show the
significance of genetic profiles in ADE detection. Incorporating pharmacogenetics
knowledge and data from genetic test results into these systems can improve the
accuracy of preliminary alerts about potential ADEs. However, pharmacogenetics
knowledge is unstructured, making it inappropriate for use in a system that
involves automatic processing. We propose a methodology that can help incorporate
the pharmacogenetics knowledge. Specifically, we show how pharmacogenetics
knowledge can be expressed in a medical system and used together with the patient
genetic data to provide alerts about ADEs at the point of care.
PMID- 21893814
TI - Schizophrenia prediction with the adaboost algorithm.
AB - This paper presents an adaBoost approach for schizophrenia relapse prediction.
The data for the adaBoost are extracted from patients answers to Early Warning
Signs questionnaires sent regularly via mobile phone messages. The performance of
the adaBoost algorithm is confronted with current ITAREPS system with sensitivity
0.65 and specificity 0.73. AdaBoost has the same sensitivity 0.65 but higher
specificity 0.84 and is then ready to became the part of the ITAREPS care
program.
PMID- 21893815
TI - Applying one-vs-one and one-vs-all classifiers in k-nearest neighbour method and
support vector machines to an otoneurological multi-class problem.
AB - We studied how the splitting of a multi-class classification problem into
multiple binary classification tasks, like One-vs-One (OVO) and One-vs-All (OVA),
affects the predictive accuracy of disease classes. Classifiers were tested with
an otoneurological data using 10-fold cross-validation 10 times with k-Nearest
Neighbour (k-NN) method and Support Vector Machines (SVM). The results showed
that the use of multiple binary classifiers improves the classification
accuracies of disease classes compared to one multi-class classifier. In general,
OVO classifiers worked out better with this data than OVA classifiers.
Especially, the OVO with k-NN yielded the highest total classification
accuracies.
PMID- 21893816
TI - Roogle: an information retrieval engine for clinical data warehouse.
AB - High amount of relevant information is contained in reports stored in the
electronic patient records and associated metadata. R-oogle is a project aiming
at developing information retrieval engines adapted to these reports and designed
for clinicians. The system consists in a data warehouse (full-text reports and
structured data) imported from two different hospital information systems.
Information retrieval is performed using metadata-based semantic and full-text
search methods (as Google). Applications may be biomarkers identification in a
translational approach, search of specific cases, and constitution of cohorts,
professional practice evaluation, and quality control assessment.
PMID- 21893817
TI - Truecasing clinical narratives.
AB - Truecasing, or capitalization, is the rewriting of each word of an input text
with its proper case information. Many medical texts, especially those from
legacy systems, are still written entirely in capitalized letters, hampering
their readability. We present a pilot study that uses the World Wide Web as a
corpus in order to support automatic truecasing. The texts under scrutiny were
German-language pathology reports. By submitting token bigrams to the Google Web
search engine we collected enough case information so that we achieved 81.3%
accuracy for acronyms and 98.5% accuracy for normal words. This is all the more
impressive as only half of the words used in this corpus existed in a standard
medical dictionary due to the excessive use of ad-hoc single-word nominal
compounds in German. Our system performed less satisfactory for spelling
correction, and in three cases the proposed word substitutions altered the
meaning of the input sentence. For the routine deployment of this method the
dependency on a (black box) search engine must be overcome, for example by using
cloud-based Web n-gram services.
PMID- 21893818
TI - Checking coding completeness by mining discharge summaries.
AB - Incomplete coding is a known problem in hospital information systems. In order to
detect non-coded secondary diseases we developed a text classification system
which scans discharge summaries for drug names. Using a drug knowledge base in
which drug names are linked to sets of ICD-10 codes, the system selects those
documents in which a drug name occurs that is not justified by any ICD-10 code
within the corresponding record in the patient database. Treatment episodes with
missing codes for diabetes mellitus, Parkinson's disease, and asthma/COPD were
subject to investigation in a large German university hospital. The precision of
the method was 79%, 14%, and 45% respectively, roughly estimated recall values
amounted to 43%, 70%, and 36%. Based on these data we predict roughly 716 non
coded diabetes cases, 13 non-coded Parkinson cases, and 420 non-coded asthma/COPD
cases among 34,865 treatment episodes.
PMID- 21893819
TI - Healthcare professionals' experiences with EHR-system access control mechanisms.
AB - Access control mechanisms might influence on the information seeking and
documentation behavior of clinicians. In this study, we have surveyed healthcare
professionals in nursing homes and hospitals on their attitudes to, and
experiences with using access control mechanisms. In some situations, the access
control mechanisms of the EHR system made clinicians postpone documentation work.
Their practice of reading what others have documented was also influenced. Not
all clinicians logged out of the system when they left a workstation, and some
clinicians reported to do some of their documentation work in the name of others.
The reported practices might have implications for the safety of the patient.
PMID- 21893820
TI - Personal health information on display: balancing needs, usability and
legislative requirements.
AB - Large wall-mounted screens placed at locations where health personnel pass by
will assist in self-coordination and improve utilisation of both resources and
staff at hospitals. The sensitivity level of the information visible on these
screens must be adapted to a close-to-public setting, as passers-by may not have
the right or need to know anything about patients being treated. We have
conducted six informal interviews with health personnel in order to map what kind
of information they use when identifying their patients and their next tasks. We
have compared their practice and needs to legislative requirements and conclude
that it is difficult, if not impossible, to fulfil all requirements from all
parties.
PMID- 21893821
TI - Watermarking - a new way to bring evidence in case of telemedicine litigation.
AB - When dealing with medical data sharing, in particular within telemedicine
applications, there is a need to ensure information security. Being able to
verify that the information belongs to the right patient and is from the right
source or that it has been rerouted or modified is a major concern. Watermarking,
which is the embedding of security elements, such as a digital signature, within
a document, can help to ensure that a digital document is reliable. However, at
the same time, questions arise about the validity of watermarking-based proof. In
this paper, beyond the technical aspects, we discuss the legal acceptability of
watermarking in the context of telemedicine applications.
PMID- 21893822
TI - Sharing sensitive personal health information through Facebook: the unintended
consequences.
AB - The purpose of this paper was to explore the types of sensitive health
information posted by individuals through social network media sites such as
Facebook. The researcher found several instances in which individuals, who could
be identified by their user profiles, posted personal and sensitive health
information related to mental and genetic disorders and sexually transmitted
diseases. The data suggest that Facebook users should be made aware of the
potential harm that may occur when sharing sensitive health information publicly
through Facebook. Ethical considerations in undertaking such research are also
examined.
PMID- 21893823
TI - End-to-end security for personal telehealth.
AB - Personal telehealth is in rapid development with innovative emerging applications
like disease management. With personal telehealth people participate in their own
care supported by an open distributed system with health services. This poses new
end-to-end security and privacy challenges. In this paper we introduce new end-to
end security requirements and present a design for consent management in the
context of the Continua Health Alliance architecture. Thus, we empower patients
to control how their health information is shared and used in a personal
telehealth eco-system.
PMID- 21893824
TI - The epidemiologic surveillance of dengue-fever in French Guiana: when
achievements trigger higher goals.
AB - The epidemiology of dengue fever in French Guiana is marked by a combination of
permanent transmission of the virus in the whole country and the occurrence of
regular epidemics. Since 2006, a multi data source surveillance system was
implemented to monitor dengue fever patterns, to improve early detection of
outbreaks and to allow a better provision of information to health authorities,
in order to guide and evaluate prevention activities and control measures. This
report illustrates the validity and the performances of the system. We describe
the experience gained by such a surveillance system and outline remaining
challenges. Future works will consist in the use of other data sources such as
environmental factors in order to improve knowledge on virus transmission
mechanisms and determine how to use them for outbreaks prediction.
PMID- 21893825
TI - Prescribing history to identify candidates for chronic condition medication
adherence promotion.
AB - Poor adherence to long-term prescription medication is a frequent problem that
undermines pharmacological control of important risk factors such as
hypertension. A medication possession ratio (MPR) can be calculated from Practice
Management System (PMS) data to provide a convenient indicator of adherence. We
investigate how well prior MPR predicts later MPR, taking MPR<80% as indicative
of 'non-adherence,' to assess the potential value of MPR calculation on PMS data
for targeting adherence promotion activities by general practices. We examine PMS
data for two New Zealand metropolitan general practices, one with a predominantly
Pacific caseload, across 2008 and 2009. We find prevalence of non-adherence in
2009 to be 51.63% (95% confidence interval [CI] 47.9-55.3) for patients at the
Pacific practice and 28.09% (95% CI 25.0-31.1) at the other practice for patients
who are demonstrably active with the practice in 2009. The positive predictive
value (PPV) of 2008 non-adherence for 2009 non-adherence is 71.80% (95% CI, 66.5
77.1) and negative predictive value (NPV) 61.52% (95% CI 56.9-66.1) for the
Pacific practice; PPV is 61.38% (95% CI 54.6-68.2) and NPV is 82.19% (95% CI 79.2
85.2) for the other practice. The results indicate good potential for decision
support tools to target adherence promotion.
PMID- 21893826
TI - Challenges for signal generation from medical social media data.
AB - Early detection of disease outbreaks is crucial for public health officials to
react and report in time. Currently, novel approaches and sources of information
are investigated to address this challenge. For example, data sources such as
blogs or Twitter messages become increasingly important for epidemiologic
surveillance. In traditional surveillance, statistical methods are used to
interpret reported number of cases or other indicators to potential disease
outbreaks. For analyzing data collected from other data sources, in particular
for data extracted from unstructured text, it is still unclear whether these
methods can be applied. This paper surveys existing methods for interpreting data
for signal generation in public health. In particular, problems to be addressed
when applying them to social media data will be summarized and future steps will
be highlighted.
PMID- 21893827
TI - Providing trust and interoperability to federate distributed biobanks.
AB - Biomedical research requires large numbers of well annotated, quality-assessed
samples which often cannot be provided by a single biobank. Connecting biobanks,
researchers and service providers raises numerous challenges including trust
among partners and towards the infrastructure as well as interoperability
problems. Therefore we develop a holistic, open-source and easy-to-use IT
infrastructure. Our federated approach allows partners to reflect their
organizational structures and protect their data sovereignty. The search service
and the contact arrangement processes increase data sovereignty without
stigmatizing for rejecting a specific cooperation. The infrastructure supports
daily processes with an integrated basic sample manager and user-definable
electronic case report forms. Interfaces for existing IT systems avoid re
entering of data. Moreover, resource virtualization is supported to make
underutilized resources of some partners accessible to those with insufficient
equipment for mutual benefit. The functionality of the resulting infrastructure
is outlined in a use-case to demonstrate collaboration within a translational
research network. Compared to other existing or upcoming infrastructures, our
approach has ultimately the same goals, but relies on gentle incentives rather
than top-down imposed progress.
PMID- 21893828
TI - Web 2.0 in healthcare: state-of-the-art in the German health insurance landscape.
AB - The Internet is increasingly used as a source for information and knowledge. Even
in the field of healthcare, information is widely available. Patients and their
relatives increasingly use the Internet in order to search for healthcare
information and applications. "Health 2.0" - the increasing use of Web 2.0
technologies and tools in Electronic Healthcare - promises new ways of
interaction, communication, and participation for healthcare. In order to explore
how Web 2.0 applications are in general adopted and implemented by health
information providers, we analysed the websites of all German health insurances
companies regarding their provision of Web 2.0 applications. As health insurances
play a highly relevant role in the German healthcare system, we conduct an
exploratory survey in order to provide answers about the adoption and
implementation of Web 2.0 technologies. Hence, all 198 private and public health
insurances were analysed according to their websites. The results show a wide
spread diffusion of Web 2.0 applications but also huge differences between the
implementation by the respective insurances. Therefore, our findings provide a
foundation for further research on aspects that drive the adoption.
PMID- 21893829
TI - Improving the transparency of health information found on the internet through
the honcode: a comparative study.
AB - This study aims to show that health websites not asking for HONcode certification
(Control sample websites A) do not respect elementary ethical standards such as
the HONcode. The HONcode quality and ethical standards and the certification
process have been developed by the Health on the Net Foundation to improve the
transparency of the health and medical information found on the Internet. We
compared the compliance with the 8 HONcode principles, and respectively the
respect of principles 1 (authority), 4 (assignment), 5 (justification) and 8
(honesty in advertising and editorial policy) by certified websites (A) and by
health websites which have not requested the certification (B). The assessment of
the HONcode compliance was performed by HON evaluators by the same standards for
all type of sites. Results shows that 0.6% of health websites not asking for
HONcode certification does respect the eight HONcode ethical standards vs. 89% of
certified websites. Regarding the principles 1, 4, 5 and 8, 1.2% of B respect
these principles vs. 92% for A. The certification process led health websites to
respect the ethical and quality standards such as the HONcode, and disclosing the
production process of the health website.
PMID- 21893831
TI - Relevance and usability of a computerized patient simulator for continuous
medical education of isolated care professionals in sub-saharan Africa.
AB - OBJECTIVE: to explore the relevance and usability of using a computerized patient
simulator as a tool for continuous medical education and decision support for
health professionals in district hospitals in Sub-Saharan Africa. METHODS: based
on the diagnosis pathway and decision analysis in uncertainty context,
interactive clinical vignettes are developed using VIPS, a computerized patient
simulator, taking into account clinical problem situations whose relevance was
identified. Vignettes were adapted to take into account local epidemiology,
availability of diagnostic and therapeutic resources, and local socio-cultural
constraints. The evaluation on VIPS software was made by care professionals and
students. RESULTS: a computerized patient simulator can be used to provide
initial and continuing medical education in Sub-Saharan Africa. But many
challenges exist. CONCLUSION: further research is needed to measure potential
improvements in knowledge, skills, decision-making abilities as well as patient
outcome.
PMID- 21893830
TI - Data privacy preservation in telemedicine: the PAIRSE project.
AB - The preservation of medical data privacy and confidentiality is a major challenge
in eHealth systems and applications. A technological solution based on advanced
information and communication systems architectures is needed in order to
retrieve and exchange the patient's data in a secure and reliable manner. In this
paper, we introduce the project PAIRSE, Preserving Privacy in Peer to Peer (P2P)
environments, which proposes an original web service oriented framework
preserving the privacy and confidentiality of shared or exchanged medical data.
PMID- 21893832
TI - Applications of medical intelligence in remote monitoring.
AB - Prevention and rehabilitation efficiency can greatly benefit from the application
of intelligent, 24 hour tele-diagnostics and tele-care information systems. Tele
monitoring also supports a new level of medical supervision over the patient's
lifestyle. In this paper we briefly present the architecture and development
phase results of the Alpha remote monitoring system. The novelty of the system is
the unified and flexible processing of various signals retrieved from modern,
unobtrusive devices in an efficient signal abstraction framework. The signals
include PIR motion sensors that record patient movement in the home,
physiological signals and also patient responses in various tests performed on
the GUI of the central home unit. We have developed and tested the prototype
system with promising results.
PMID- 21893833
TI - Virtual TeleRehab: a case study.
AB - We examined the efficacy of a remotely based occupational therapy intervention. A
40-year-old woman who suffered a stroke participated in a telerehabilitation
program. The intervention method is based on virtual reality gaming to enhance
the training experience and to facilitate the relearning processes. The results
indicate that Virtual TeleRehab is an effective method for motivational,
economical, and practical reasons by combining game-based rehabilitation in the
home with weekly distance meetings.
PMID- 21893834
TI - Patient empowerment by increasing information accessibility in a telecare system.
AB - Patient empowerment is important in order to increase the quality of the medical
care and the life quality of the patients. In this respect, the paper describes
how a telecare system can become more "friendly" with the assisted persons
(elderly people or post-discharged patients) due to a specific feature addressing
the patient access to information from medical texts. The according service is
part of the server of a tele-care/tele-assistance system (TELEASIS) and adapts
the medical text to "patient" lay person language, contributing in this respect
to the patient empowerment process. This component is based on an original
terminology interpretation engine which is being briefly described in this paper.
The TELEASIS system has a specific interface dedicated to medical personnel
allowing the addition and assignment of medical text to patients or group of
patients, which can be later accessed by the patients adapted to a patient
friendly language. The medial texts are saved on a central medical information
database which contains different content formats (text, multimedia, videos). As
a conclusion, the adapted information available for the assisted persons and the
communication channels established in the system increase the possibility of
patients being better informed on their health status.
PMID- 21893835
TI - A standard based approach for biomedical knowledge representation.
AB - The new generation of health information standards, where the syntax and
semantics of the content is explicitly formalized, allows for interoperability in
healthcare scenarios and analysis in clinical research settings. Studies
involving clinical and genomic data include accumulating knowledge as
relationships between genotypic and phenotypic information as well as
associations within the genomic and clinical worlds. Some involve analysis
results targeted at a specific disease; others are of a predictive nature
specific to a patient and may be used by decision support applications.
Representing knowledge is as important as representing data since data is more
useful when coupled with relevant knowledge. Any further analysis and cross
research collaboration would benefit from persisting knowledge and data in a
unified way. This paper describes a methodology used in Hypergenes, an EC FP7
project targeting Essential Hypertension, which captures data and knowledge using
standards such as HL7 CDA and Clinical Genomics, aligned with the CEN EHR 13606
specification. We demonstrate the benefits of such an approach for clinical
research as well as in healthcare oriented scenarios.
PMID- 21893836
TI - Ontology-based framework for electronic health records interoperability.
AB - The use of Electronic Health Records (EHR) is wide spread in healthcare. One of
the most challenging tasks for EHR systems is to achieve computable semantic
interoperability. To address EHR interoperability, a number of standardization
efforts are progressing, however these standards are either incomplete in terms
of functionality or lacking specification of precise meaning of underlying data.
This paper describes an interoperable EHR framework that uses an ontology-based
approach to facilitate exchange of information and knowledge among EHR. Based on
the proposed framework, an interoperability scenario between a Personal Health
Record System, an EHR and a Laboratory System is described.
PMID- 21893837
TI - Ontology-based knowledge management for personalized adverse drug events
detection.
AB - Since Adverse Drug Event (ADE) has become a leading cause of death around the
world, there arises high demand for helping clinicians or patients to identify
possible hazards from drug effects. Motivated by this, we present a personalized
ADE detection system, with the focus on applying ontology-based knowledge
management techniques to enhance ADE detection services. The development of
electronic health records makes it possible to automate the personalized ADE
detection, i.e., to take patient clinical conditions into account during ADE
detection. Specifically, we define the ADE ontology to uniformly manage the ADE
knowledge from multiple sources. We take advantage of the rich semantics from the
terminology SNOMED-CT and apply it to ADE detection via the semantic query and
reasoning.
PMID- 21893838
TI - A formal analysis of HL7 version 2.x.
AB - Working interoperability not only requires harmonized system's architectures, but
also the same interpretation of technical specifications in order to guide the
development processes. But sometimes a specification has not made the underlying
model explicit which would enable a coherent understanding. This paper analyses
the structures of the HL7 Version 2.x communication standard's family and
presents an UML class diagram for it.
PMID- 21893839
TI - Simplifying HL7 Version 3 messages.
AB - HL7 Version 3 offers a semantically robust method for healthcare interoperability
but has been criticized as overly complex to implement. This paper reviews
initiatives to simplify HL7 Version 3 messaging and presents a novel approach
based on semantic mapping. Based on user-defined definitions, precise transforms
between simple and full messages are automatically generated. Systems can be
interfaced with the simple messages and achieve interoperability with full
Version 3 messages through the transforms. This reduces the costs of HL7
interfacing and will encourage better uptake of HL7 Version 3 and CDA.
PMID- 21893840
TI - Creating an ontology driven rules base for an expert system for medical
diagnosis.
AB - Expert systems of the 1980s have failed on the difficulties of maintaining large
rule bases. The current work proposes a method to achieve and maintain rule bases
grounded on ontologies (like NCIT). The process described here for an expert
system on plasma cell disorder encompasses extraction of a sub-ontology and
automatic and comprehensive generation of production rules. The creation of rules
is not based directly on classes, but on individuals (instances). Instances can
be considered as prototypes of diseases formally defined by "destrictions" in the
ontology. Thus, it is possible to use this process to make diagnoses of diseases.
The perspectives of this work are considered: the process described with an
ontology formalized in OWL1 can be extended by using an ontology in OWL2 and
allow reasoning about numerical data in addition to symbolic data.
PMID- 21893841
TI - A methodology and supply chain management inspired reference ontology for
modeling healthcare teams.
AB - Numerous studies and strategic plans are advocating more team based healthcare
delivery that is facilitated by information and communication technologies
(ICTs). However before we can design ICTs to support teams we need a solid
conceptual model of team processes and a methodology for using such a model in
healthcare settings. This paper draws upon success in the supply chain management
domain to develop a reference ontology of healthcare teams and a methodology for
modeling teams to instantiate the ontology in specific settings. This research
can help us understand how teams function and how we can design ICTs to support
teams.
PMID- 21893842
TI - Supporting openEHR Java desktop application developers.
AB - The openEHR community suggests that an appropriate approach for creating a
graphical user interface for an openEHR-based application is to generate forms
from the underlying archetypes and templates. However, current generation
techniques are not mature enough to be able to produce high quality interfaces
with good usability. Therefore, developing efficient ways to combine manually
designed and developed interfaces to openEHR backends is an interesting
alternative. In this study, a framework for binding a pre-designed graphical user
interface to an openEHR-based backend is proposed. The proposed framework
contributes to the set of options available for developers. In particular we
believe that the approach of combining user interface components with an openEHR
backend in the proposed way might be useful in situations where the quality of
the user interface is essential and for creating small scale and experimental
systems.
PMID- 21893843
TI - Large scale healthcare data integration and analysis using the semantic web.
AB - Healthcare data interoperability can only be achieved when the semantics of the
content is well defined and consistently implemented across heterogeneous data
sources. Achieving these objectives of interoperability requires the
collaboration of experts from several domains. This paper describes tooling that
integrates Semantic Web technologies with common tools to facilitate cross-domain
collaborative development for the purposes of data interoperability. Our approach
is divided into stages of data harmonization and representation, model
transformation, and instance generation. We applied our approach on Hypergenes,
an EU funded project, where we use our method to the Essential Hypertension
disease model using a CDA template. Our domain expert partners include clinical
providers, clinical domain researchers, healthcare information technology
experts, and a variety of clinical data consumers. We show that bringing Semantic
Web technologies into the healthcare interoperability toolkit increases
opportunities for beneficial collaboration thus improving patient care and
clinical research outcomes.
PMID- 21893844
TI - ACGT: advancing clinico-genomic trials on cancer - four years of experience.
AB - The challenges regarding seamless integration of distributed, heterogeneous and
multilevel data arising in the context of contemporary, post-genomic clinical
trials cannot be effectively addressed with current methodologies. An urgent need
exists to access data in a uniform manner, to share information among different
clinical and research centers, and to store data in secure repositories assuring
the privacy of patients. Advancing Clinico-Genomic Trials (ACGT) was a European
Commission funded Integrated Project that aimed at providing tools and methods to
enhance the efficiency of clinical trials in the -omics era. The project, now
completed after four years of work, involved the development of both a set of
methodological approaches as well as tools and services and its testing in the
context of real-world clinico-genomic scenarios. This paper describes the main
experiences using the ACGT platform and its tools within one such scenario and
highlights the very promising results obtained.
PMID- 21893845
TI - Architectural approach for providing relations in biomedical terminologies and
ontologies.
AB - The representation of multiple relations is one of the main criteria of
ontologies. In formalizing both ontologies and terminologies in biomedicine
relations are used to code axioms for the classes of the ontology. However, a
huge number of relations represented in medical ontologies and terminologies are
derived from language and formal definition is omitted. We present a strategy
based on an architectural approach to facility formal analysis of relations for
use in ontology systems in biomedicine and in general.
PMID- 21893846
TI - Integration of classifications and terminologies in Metadata registries based on
ISO/IEC 11179.
AB - Empirical medical research needs services for the maintenance of item
collections. We evaluated the appropriateness of ISO/IEC 11179 "Information
technology - Metadata Registries (MDR)" part 3 "Registry Metamodel and basic
attributes" for a national MDR. In particular, possibilities of including
classifications and terminologies (summarized as vocabularies) using the
metamodel of this standard were assessed. The hierarchical structure of
classifications and terminologies could be mapped easily to ISO's metamodel. The
Classification Markup Language (ClaML) is attractive as interface standard for
the import of classifications into the MDR. The correct linkage between data
elements and vocabularies remained unclear however. An extension of the ISO 11179
metamodel might be necessary to satisfy the predefined needs of a national MDR.
PMID- 21893847
TI - Development of a new international classification of health interventions based
on an ontology framework.
AB - : The WHO International Classification of Diseases is used in many national
applications to plan, manage and fund through case mix health care systems and
allows international comparisons of the performance of these systems. There is no
such measuring tool for health interventions or procedures. To fulfil this
requirement the WHO-FIC Network recommended in 2006 to develop an International
Classification of Health Interventions (ICHI). This initiative is aimed to
harmonise the existing national classifications and to provide a basic system for
the countries which have not developed their own classification systems. It is
based on the CEN/ISO ontology framework standard named Categorial Structure
defined from a non formal bottom up ontology approach. The process of populating
the framework is ongoing to start from a common model structure encompassing the
ICD 9CM Volume 3 granularity.
PMID- 21893848
TI - The revision of the Korean classifications of health interventions based on the
proposed ICHI semantic model and lessons learned.
AB - The Korean Medical Association and the Health Information Review Agency have
decided to re-engineer the different Korean coding systems of health
interventions based on a proposed ontology framework defined in 2010 for the
prospective International Classification of Health Interventions (ICHI). The
authors present the interim report of the project focused on this model: 5,338
procedures of the Korean version of ICD9-CM 5,150 procedures covered by Korean
health insurance and 6,619 uncovered procedure labels were processed with the
participation of 8 coders and 310 medical doctors. As of 28th January
61.8% of data was processed. The ontology framework model itself was not enough
to represent all the labels when the preliminary data from obstetrics and
gynecology was explored. However, when modified with 7 notations, it was possible
to assign each label of ICD 9 CM Volume 3 and 30 % to 57 % of specific Korean
interventions to the semantic model.
PMID- 21893849
TI - Web-based collaboration for terminology application: ICNP C-space.
AB - The purpose of this paper is to describe the ongoing evolution of a nursing
terminology that involves users in all aspects of the terminology lifecycle. A
terminology will not succeed until and unless it benefits users and contributes
to improved client outcomes at the point of care. Since the release of
ICNP(r)Version 1 in 2005, users have been necessary partners in research and
development, dissemination and education, and, to some extent, in terminology
maintenance and operations. ICNP C-Space was launched in 2008 as a platform for
collaboration among users and the ICNP team. C-Space applications include, but
are not limited to, the ICNP browser, a multi-lingual browser, catalogue
development pages, and group discussion pages. Future uses may include work
related to ICN research and networks. C-Space adds value to ICNP, ICN, and
nursing worldwide by ensuring that terminology users can contribute their
expertise to finding workable solutions and developing important products related
to ICNP.
PMID- 21893850
TI - Mapping medical records of gastrectomy patients to SNOMED CT.
AB - The purpose of this study is to explore the ability of SNOMED CT to represent
narrative statements of medical records. Narrative medical records of 281
hospitalization days of 36 patients with Gastrectomy were decomposed into single
meaning statements, and these single-meaning statements were combined into unique
statements by removing semantically redundant statements. Concepts from the
statements describing patients' problems and treatments were mapped to SNOMED CT
concepts. A total 4717 single-meaning statements were collected and these single
meaning statements were combined into 858 unique statements. Out of 677 unique
statements describing patients' problems and treatments, about 85.5% statements
were fully mapped to SNOMED CT. The rest of the statements were partially mapped.
This mapping result implies that physicians' narrative medical records can be
structured and used for an electronic medical record system.
PMID- 21893851
TI - Terminology for the description of the diagnostic studies in the field of EBM.
AB - Diagnostic systematic reviews is a relatively new area within the Evidence-Based
Medicine (EBM). Their indexing in Pubmed is not precise, which complicates their
detection when a systematic review is to be realized. In order to provide an
assistance in the selection of relevant studies, we propose to develop a
terminology describing this area and the organization of its terms. The
terminology is built with a bottom-up approach. It contains 255 terms organized
into five hierarchical levels. Only a small proportion of these terms (13%) are
already registered in MeSH. This terminology will be exploited in a dedicated web
service as a main tool for the detection of relevant diagnostic studies.
PMID- 21893852
TI - Representing knowledge, data and concepts for EHRS using DCM.
AB - With the move towards next generations of Electronic Health Record Systems
(EHRS), the focus changes from administrative and data retrieval and data entry
system capabilities towards clinical functions. The representation of the
clinical knowledge and evidence base into EHRS becomes an important asset for
health care, with its own challenges. Clinician's do want EHRS support but do not
want to standardize care, they do want unified terminology and structured data
entry but also free text. In addition, information modelers challenge each other
for the best solution, and care pathways and other workflows seem to differ for
each situation. Such diverging approaches add complexity to the already difficult
situation around Information Technology in health care, the EHRS in particular.
This paper argues that a change is necessary to adopt Detailed Clinical Modeling
as a method to organize clinical knowledge, represent concepts and define data in
such a manner that it allows for semantics to be exchanged without being trapped
in a specific technology. DCM help to fulfill the requirements for the enter data
once, reuse multiple times paradigm for EHRS.
PMID- 21893853
TI - Ontology-based automatic generation of computerized cognitive exercises.
AB - Computer-based approaches can add great value to the traditional paper-based
approaches for cognitive rehabilitation. The management of a big amount of
stimuli and the use of multimedia features permits to improve the patient's
involvement and to reuse and recombine them to create new exercises, whose
difficulty level should be adapted to the patient's performance. This work
proposes an ontological organization of the stimuli, to support the automatic
generation of new exercises, tailored on the patient's preferences and skills,
and its integration into a commercial cognitive rehabilitation tool. The
possibilities offered by this approach are presented with the help of real
examples.
PMID- 21893854
TI - Creating a magnetic resonance imaging ontology.
AB - The goal of this work is to build an ontology of Magnetic Resonance Imaging. The
MRI domain has been analysed regarding MRI simulators and the DICOM standard. Tow
MRI simulators have been analysed: JEMRIS, which is developed in XML and C++, has
a hierarchical organisation and SIMRI, which is developed in C, has a good
representation of MRI physical processes. To build the ontology we have used
Protege 4, owl2 that allows quantitative representations. The ontology has been
validated by a reasoner (Fact++) and by a good representation of DICOM headers
and of MRI processes. The MRI ontology would improved MRI simulators and eased
semantic interoperability.
PMID- 21893855
TI - Validation of the openEHR archetype library by using OWL reasoning.
AB - Electronic Health Record architectures based on the dual model architecture use
archetypes for representing clinical knowledge. Therefore, ensuring their
correctness and consistency is a fundamental research goal. In this work, we
explore how an approach based on OWL technologies can be used for such purpose.
This method has been applied to the openEHR archetype repository, which is the
largest available one nowadays. The results of this validation are also reported
in this study.
PMID- 21893856
TI - Grouping pharmacovigilance terms with semantic distance.
AB - Pharmacovigilance is the activity related to the collection, analysis and
prevention of adverse drug reactions (ADRs) induced by drugs or biologics.
Besides other methods, statistical algorithms are used to detect previously
unknown ADRs, and it was noted that groupings of ADR terms can further improve
safety signal detection. Standardised MedDRA Queries are developed to assist
retrieval and evaluation of MedDRA-coded ADR reports. Dependent on the context of
their application, different SMQs show varying degrees of specificity and
sensitivity; some appear to be over-inclusive, some might miss relevant terms.
Moreover, several important safety topics are not yet fully covered by SMQs. The
objective of this work is to propose an automatic method for the creation of
groupings of terms. This method is based on the application of the semantic
distance between MedDRA terms. Several experiments are performed, showing a
promising precision and an acceptable recall.
PMID- 21893858
TI - Using a logical information model-driven design process in healthcare.
AB - A hybrid standards-based approach has been adopted in Singapore to develop a
Logical Information Model (LIM) for healthcare information exchange. The
Singapore LIM uses a combination of international standards, including ISO13606-1
(a reference model for electronic health record communication), ISO21090
(healthcare datatypes), SNOMED CT (healthcare terminology) and HL7 v2 (healthcare
messaging). This logic-based design approach also incorporates mechanisms for
achieving bi-directional semantic interoperability.
PMID- 21893857
TI - The Archetype-enabled EHR system ZK-ARCHE - integrating the ISO/EN 13606 standard
and IHE XDS profile.
AB - The EHR system ZK-ARCHE automatically generates forms from ISO/EN 13606
archetypes. For this purpose the archetypes are augmented with components of the
reference model to achieve so-called "comprehensive archetypes". Data collected
via the forms are stored in a list which associates each value with the path of
the corresponding comprehensive archetype node coded as W3C XPath. From this list
archetype-conformant EHR extracts can be created. The system is embedded with the
IHE XDS profile to allow direct data exchange in an environment of distributed
data storage.
PMID- 21893859
TI - SNOMED CT implementation: implications of choosing clinical findings or
observable entities.
AB - Internationally, it is a priority to develop and implement semantically
interoperable health information systems.[1] One required technology is the use
of standardised clinical terminologies. The terminology, SNOMED CT, has shown
superior coverage compared to other terminologies in multiple clinical fields.
The aim of this paper is to analyse SNOMED CT implementation in an Electronic
Health Record (EHR). More specifically, differences and consequences of applying
clinical findings (CFs) as an alternative to observable entities (OEs) is
analysed. Results show that CFs represents the content of the templates with
better coverage, with more parent concepts and with a higher degree of fully
defined terms than the OEs. We discuss the possibility to further evaluate the
observable entity hierarchy to overcome a potential overlapping use of the two
hierarchies.
PMID- 21893860
TI - What is the coverage of SNOMED CT(r)on scientific medical corpora?
AB - This paper reports on the results of a large scale mapping of SNOMED CT on
scientific medical corpora. The aim is to automatically access the validity,
reliability and coverage of the Swedish SNOMED-CT translation, the largest, most
extensive available resource of medical terminology. The method described here is
based on the generation of predominantly safe harbor term variants which together
with simple linguistic processing and the already available SNOMED term content
are mapped to large corpora. The results show that term variations are very
frequent and this may have implication on technological applications (such as
indexing and information retrieval, decision support systems, text mining) using
SNOMED CT. Naive approaches to terminology mapping and indexing would critically
affect the performance, success and results of such applications. SNOMED CT
appears not well-suited for automatically capturing the enormous variety of
concepts in scientific corpora (only 6,3% of all SNOMED terms could be directly
matched to the corpus) unless extensive variant forms are generated and fuzzy and
partial matching techniques are applied with the risk of allowing the recognition
of a large number of false positives and spurious results.
PMID- 21893861
TI - Assisting the translation of the CORE subset of SNOMED CT into French.
AB - BACKGROUND: the Core Subset of SNOMED CT is part of the UMLS-Core Project
dedicated to study problem list vocabularies. SNOMED CT is not yet translated
into French. OBJECTIVE: to propose an automated method to assist the translation
of the CORE Subset of SNOMED CT into French. MATERIAL: the 2009 AA versions of
the CORE Subset of SNOMED CT and UMLS; use of four French-language terminologies
integrated into the UMLS Metathesaurus: SNOMED International, ICD10, MedDRA, and
MeSH. METHOD: an exact mapping completed by a close mapping between preferred
terms of the CORE Subset of SNOMED CT and those of the four terminologies.
RESULTS: 89% of the preferred terms of the CORE Subset of SNOMED CT are mapped
with at least one preferred term in one of the four terminologies. DISCUSSION: if
needed, synonymous terms could be added by the means of synonyms in the
terminologies; the proposed method is independent from French and could be
applied to other natural languages.
PMID- 21893862
TI - Recording associated disorders using SNOMED CT.
AB - Multidisciplinary communication about patients with multiple and often
interrelated diseases is of utmost importance to guarantee high quality of care.
In this paper we focus on storing into the electronic medical record patients'
disorders which are associated with each other, taking into account the role of
SNOMED CT. The objectives of this paper are to design and discuss possibilities
to appropriately record the associations between two disorders as defined in
SNOMED CT and to get insight into the use of the relationship "associated with"
in SNOMED CT and its consequences for data reuse. Our study showed that textual
and concept-based reproducible recording of reusable data is hampered due to
incorrect or incomplete modeling of associations between disorders in SNOMED CT.
A possible solution for this is to record constituting characteristics of
concepts directly into the record, instead of only being represented in the
terminology. Further research on binding of information models and terminologies
is needed.
PMID- 21893863
TI - SNOMED CT's RF2: Is the future bright?
AB - SNOMED CT's new RF2 format is said to come with features for better configuration
management of the SNOMED vocabulary, thereby accommodating evolving requirements
without the need for further fundamental change in the foreseeable future.
Although the available documentation is not yet convincing enough to support this
claim, the newly introduced Model Component hierarchy and associated reference
set mechanism seem to hold real promise of being able to deal successfully with a
number of ontological issues that have been discussed in the recent literature.
Backed up by a study of the old and new format and of the relevant literature and
documentation, three recommendations are presented that would free SNOMED CT from
use-mention confusions, unclear referencing of real-world entities and
uninformative reasons for change in a way that does not force SNOMED CT to take a
specific philosophical or ontological position.
PMID- 21893864
TI - Serious adverse event reporting in a medical device information system.
AB - The paper describes the design of a module that manages Serious Adverse Events
(SAEs) reporting within a Clinical investigation on Medical devices. This module
is integrated in a Medical Device Information System (MEDIS) that collects data
and documents exchanged between applicants and the National Competent Authority
during the clinical investigation lifecycle. To improve information sharing among
different stakeholders and systems MEDIS design and developed were based on the
HL7 v.3 standards. The paper provides a conceptual model on SAEs based on HL7 RIM
that underlines Medical Device characteristics.
PMID- 21893865
TI - Metadata - an international standard for clinical knowledge resources.
AB - This paper describes a new European and International standard, ISO 13119 Health
informatics - Clinical knowledge resources - Metadata that is intended for both
health professionals and patients/citizens. This standard aims to facilitate two
issues: 1) How to find relevant documents that are appropriate for the reader and
situation and 2) How to ensure that the found knowledge documents have a
sufficient or at least declared quality management? Example of use is provided
from the European Centre for Disease Control and Prevention.
PMID- 21893866
TI - Comparing existing national and international classification systems of surgical
procedures with the CEN/ISO 1828 ontology framework standard.
AB - Among different standardization strategies for biomedical terminologies the
European Standard Body CEN TC 251 followed by ISO TC 215 have stated that it was
not possible to convince the different European or international member states
using different national languages to agree on a reference clinical terminology
or to standardize a detailed language independent biomedical ontology. Since 1990
they have developed since an approach named the Categorial Structure that
standardises only the terminologies' model structure. The methodology for the
Categorial Structure development and a comparison of the different existing
classification systems based on this ontology framework is presented as a step
towards increased interoperability between biomedical terminologies through
conformity to a minimum set of ontological requirements.
PMID- 21893867
TI - Model driven development of clinical information sytems using openEHR.
AB - openEHR and the recent international standard (ISO 13606) defined a model driven
software development methodology for health information systems. However there is
little evidence in the literature describing implementation; especially for
desktop clinical applications. This paper presents an implementation pathway
using .Net/C# technology for Microsoft Windows desktop platforms. An endoscopy
reporting application driven by openEHR Archetypes and Templates has been
developed. A set of novel GUI directives has been defined and presented which
guides the automatic graphical user interface generator to render widgets
properly. We also reveal the development steps and important design decisions;
from modelling to the final software product. This might provide guidance for
other developers and form evidence required for the adoption of these standards
for vendors and national programs alike.
PMID- 21893868
TI - A metadata-based patient register for cooperative clinical research: a case study
in acute myeloid leukemia.
AB - In many medical indications clinical research is organized within study groups
which provide and maintain the clinical infrastructure for their randomized
clinical trials. Each group also manages a data center where high quality
databases store the study specific individual patient data. Sharing this data
between study groups is not straightforward. Therefore, a concept is needed which
allows to represent a detailed overview on the information available across the
cooperating groups. We propose a metadata based patient register and describe a
first prototype. It provides information about available patient data sets to
interested research partners while the typical register approach only collects a
predefined limited core data set. This register implementation enables
cooperative groups to allocate clinical data for future research projects in
distributed data sources beyond the restrictions of core data sets. Additionally,
it supports the research network in communication and data standardization and
complies with a governance structure which is compatible with ethical aspects,
privacy protection, and patient rights.
PMID- 21893869
TI - De-identifying an EHR database - anonymity, correctness and readability of the
medical record.
AB - Electronic health records (EHR) contain a large amount of structured data and
free text. Exploring and sharing clinical data can improve healthcare and
facilitate the development of medical software. However, revealing confidential
information is against ethical principles and laws. We de-identified a Danish EHR
database with 437,164 patients. The goal was to generate a version with real
medical records, but related to artificial persons. We developed a de
identification algorithm that uses lists of named entities, simple language
analysis, and special rules. Our algorithm consists of 3 steps: collect lists of
identifiers from the database and external resources, define a replacement for
each identifier, and replace identifiers in structured data and free text. Some
patient records could not be safely de-identified, so the de-identified database
has 323,122 patient records with an acceptable degree of anonymity, readability
and correctness (F-measure of 95%). The algorithm has to be adjusted for each
culture, language and database.
PMID- 21893870
TI - Service oriented data integration for a biomedical research network.
AB - In biomedical research, a variety of data like clinical, genetic, expression of
coding or non-coding ribonucleic acid (RNA) transcripts, or proteomic data are
processed to gain new insights into diseases and therapies. In transregional
research networks, geographically distributed projects work on comparable
research questions with data from different resources and in different formats.
Providing an information platform that integrates the data of the projects can
enable cross-project analysis and provides an overview of available data and
resources (tissue, blood, etc.). For a German liver cancer research network
consisting of 22 individual projects, we develop the integrated information
platform pelican - platform enhancing liver cancer networked research. In our
generic approach, data are made available to the research network by standardized
data services based on technologies provided by the cancer Biomedical Informatics
Grid (caBIG). It has shown that publishing service metadata in a corresponding
repository is a major prerequisite for automated discovery, integration, and
conversion of data records and data services. We identified data confidentiality
and intellectual property considerations as major challenges while establishing
such an integrated information platform. As a first result we implemented a
working prototype to validate our approach.
PMID- 21893871
TI - Single source information systems can improve data completeness in clinical
studies: an example from nuclear medicine.
AB - Data for clinical documentation and medical research are usually managed in
separate systems. A documentation system for myocardial scintigraphy (SPECT/CT
data) was developed, implemented and assessed in order to integrate clinical and
research documentation. This paper presents concept, implementation and results
regarding data completeness of this single source information system.
Completeness of documentation increased highly significantly (p<0.0001) after
implementation of this system.
PMID- 21893872
TI - Reporting qualitative research in health informatics: REQ-HI recommendations.
AB - To develop a set of recommendations for authors of qualitative studies in the
field of health informatics, we conducted an extensive literature search and also
manually checked major journals in the field of biomedical informatics and
qualitative research looking for papers, checklists, and guidelines pertaining to
assessing and reporting of qualitative studies. We synthesized the found criteria
to develop an initial set of reporting recommendations that are particularly
relevant to qualitative studies of health information technology systems. This
paper presents a preliminary version of these recommendations. We are planning to
refine and revise this version using comments and suggestions of experts in
evaluation of health informatics applications and publish a detailed set of
recommendations.
PMID- 21893873
TI - Cell seeding of tissue engineering scaffolds studied by Monte Carlo simulations.
AB - Tissue engineering (TE) aims at building multicellular structures in the
laboratory in order to regenerate, to repair or replace damaged tissues. In a
well-established approach to TE, cells are cultured on a biocompatible porous
structure, called scaffold. Cell seeding of scaffolds is an important first step.
Here we study conditions that assure a uniform and rapid distribution of cells
within the scaffold. The movement of cells has been simulated using the
Metropolis Monte Carlo method, based on the principle that cellular system tends
to achieve the minimum energy state. For different values of the model
parameters, evolution of the cells' centre of mass is followed, which reflects
the distribution of cells in the system. For comparison with experimental data,
the concentration of the cells in the suspension adjacent to the scaffold is also
monitored. Simulations of cell seeding are useful for testing different
experimental conditions, which in practice would be very expensive and hard to
perform. The computational methods presented here may be extended to model cell
proliferation, cell death and scaffold degradation.
PMID- 21893874
TI - The ONCO-I2b2 project: integrating biobank information and clinical data to
support translational research in oncology.
AB - The University of Pavia and the IRCCS Fondazione Salvatore Maugeri of Pavia
(FSM), has recently started an IT initiative to support clinical research in
oncology, called ONCO-i2b2. ONCO-i2b2, funded by the Lombardia region, grounds on
the software developed by the Informatics for Integrating Biology and the Bedside
(i2b2) NIH project. Using i2b2 and new software modules purposely designed, data
coming from multiple sources are integrated and jointly queried. The core of the
integration process stands in retrieving and merging data from the biobank
management software and from the FSM hospital information system. The integration
process is based on a ontology of the problem domain and on open-source software
integration modules. A Natural Language Processing module has been implemented,
too. This module automatically extracts clinical information of oncology patients
from unstructured medical records. The system currently manages more than two
thousands patients and will be further implemented and improved in the next two
years.
PMID- 21893875
TI - IT infrastructure components to support clinical care and translational research
projects in a comprehensive cancer center.
AB - This paper presents the concept of an integrated IT infrastructure framework
established at the comprehensive cancer center at the University Hospital
Erlangen. The framework is based on the single source concept where data from the
electronic medical record are reused for clinical and translational research
projects. The applicability of the approach is illustrated by two case studies
from colon cancer and prostate cancer research projects.
PMID- 21893876
TI - Using a robotic arm to assess the variability of motion sensors.
AB - For the assessment of physical activity, motion sensors have become increasingly
important. To assure a high accuracy of the generated sensor data, the
measurement error of these devices needs to be determined. Sensor variability has
been assessed with various types of mechanical shakers. We conducted a small
feasibility study to explore if a programmable robotic arm can be a suitable tool
for the assessment of variability between different accelerometers (inter-device
variability). We compared the output of the accelerometers GT1M and GT3X (both
ActiGraph) and RT3 (Stayhealthy) for two different movement sequences.
PMID- 21893877
TI - The single source architecture x4T to connect medical documentation and clinical
research.
AB - Clinical trials often require large and redundant documentation efforts, because
information systems in patient care and research are separated. In two clinical
trials we have assessed the number of study items available in the clinical
information system for re-use in clinical research. We have analysed common
standards such as HL7, IHE RFD and CDISC ODM, regulatory constraints and the
documentation process. Based on this analysis we have designed and implemented an
architecture for an integrated clinical trial documentation workflow. Key aspects
are the re-use of existing medical routine data and the integration into current
documentation workflows.
PMID- 21893878
TI - Information technology solutions to support translational research on inherited
cardiomyopathies.
AB - The INHERITANCE project, funded by the European Commission, is aimed at studying
genetic or inherited Dilated cardiomyopathies (DCM) and at understanding the
impact and management of the condition within families that suffer from heart
conditions that are caused by DCMs. The project is supported by a number of
advanced biomedical informatics tools, including data warehousing, automated
literature search and decision support. The paper describes the design of these
tools and the current status of implementation.
PMID- 21893879
TI - Emerging approaches to usability evaluation of health information systems:
towards in-situ analysis of complex healthcare systems and environments.
AB - The effective evaluation of health information technology (HIT) is currently a
major challenge. It is essential that applications we develop are usable, meet
user information needs and are shown to be safe. Furthermore, to provide
appropriate feedback to designers of systems new methods for both formative and
summative evaluation are needed as applications become more complex and
distributed. To ensure system usability a variety of methods have emerged from
the area of usability engineering that have been adapted to healthcare. The
authors have applied methods of usability engineering, working with hospitals and
other healthcare organizations designing and evaluating a range of HIT
applications. We describe how our approach to doing portable low-cost usability
testing has evolved to the use of clinical simulations conducted in-situ, within
real hospital and clinical units to rapidly evaluate the usability and safety of
healthcare information systems both before and after system release. We discuss
how this approach was extended to development of methods for conducting in-situ
clinical simulations in a range of clinical settings.
PMID- 21893880
TI - Contextualization of automatic alerts during electronic prescription:
researchers' and users' opinions on useful context factors.
AB - Computerized Physician Order Entry (CPOE) Systems can reduce the number of
medication errors and Adverse Drug Events (ADEs). However, studies have shown
that users often override alerts, as they feel these are too unspecific for the
given patient context. It is unclear, however, how alerts could be
contextualized, that is adapted to the clinical context. Based on a literature
search, we developed a list of 20 possible context factors. We asked 69
international CPOE researchers and 120 physicians from four hospitals in two
countries to judge the usefulness of each factor. Researchers judged the
following factors as most important: 1.) Severity of the effect, 2.) Clinical
status of the patient, 3.) Probability of occurrence, 4.) Risk factors of the
patient, 5.) Strength of evidence. Physicians judged the following factors as
most important: Severity of the effect, clinical status of the patients,
complexity of the case, and class of drug. These top-ranked context factors could
be used to re-design the way alerts are presented in CPOE systems, to increase
sensitivity of alerts, to reduce overriding rates, and to improve medication
safety.
PMID- 21893881
TI - Reducing clinicians' cognitive workload by system redesign; a pre-post think
aloud usability study.
AB - Interactive Health Information systems are often considered cognitively complex
by their users, leading to high cognitive burden and increased workload. This
paper explores if Think Aloud usability testing provides valuable input to
effectively redesign a web-based Data Query Tool in Intensive Care and to reduce
physicians' cognitive workload during system interaction. Pre and post redesign
usability testing demonstrated a major reduction in the cognitive task workload
after redesign of the tool. Classification of revealed usability problems by
means of the User Action Framework pointed out that usability problems related to
the cognitively planning of actions by system users foremost affected cognitive
task workload. This result may support Health Information system (re)design
efforts on how to tackle the system's cognitive complexity and in so doing
improve on its usability.
PMID- 21893882
TI - Impact of alert specifications on clinicians' adherence.
AB - Computerized alerts provided by health care information systems have been shown
to enhance clinical practice. However, clinicians still override more than half
of the alerts. This indicates that certain aspects of alerts need improvement to
fulfill their purpose of supporting clinicians in decision making. This paper
reports on a systematic review on studies evaluating alert specifications and
their impact on clinicians' alert adherence. The review revealed that use of
colors and icons to distinguish different alert severity levels and presenting
high severity alerts in an interruptive fashion increases clinicians adherence to
alert recommendations. Alert message contents that lack clinical importance or
provide incorrect texts increase alert non-adherence. Few studies have yet
focused on the impact of alert specifications on clinicians' adherence. A
research agenda is needed on alert specifications and their impact on clinicians'
adherence in order to develop alerts that truly support clinician decision
making.
PMID- 21893883
TI - Medication decision-making on hospital ward-rounds.
AB - This research explored the decision-making process of selecting medicines for
prescription on hospital ward-rounds. We aimed to determine when and with whom
medications were discussed, and in particular, whether shared decision making
(SDM) occurred on ward-rounds. As a low level of computerized decision support
was in place in the hospital at the time, we also examined whether the decision
support aided in any medication discussions. Fourteen specialty teams (46
doctors) were shadowed by the investigator while on ward-rounds and all verbal
communication about medications was noted. Most medication discussions took place
away from the patient bedside and the majority took place between two or more
doctors. While a great deal of doctor-patient communication regarding medications
took place on ward-rounds, very little of this comprised SDM. More frequently,
doctors informed patients of the medications they would be or were currently
taking. The computerized decision support had little impact on treatment decision
making. While the value of SDM is often acknowledged in the literature, it
appears to be rarely practiced on hospital ward-rounds.
PMID- 21893884
TI - A qualitative analysis of prescription activity and alert usage in a computerized
physician order entry system.
AB - Medical alerts in CPOE are overridden in most cases. The need for alerting
systems that are better adapted to physicians' needs and work processes is
recognized. Our study aims to shed some light on how medical alerts are used and
how they are integrated in the work process. Work analysis and interviews
resulted in a hierarchical task analysis of prescription during ward rounds at
the University Hospitals of Geneva. The results indicate that non-modal medical
alerts are appreciated as an "insurance" for drugs that are out of the routine
set. In the case of drugs that are often prescribed, alerts are ignored as
physicians feel comfortable prescribing them. Non-interrupting alerts do not
cognitively overcharge physicians, but the question is how to display the
numerous alerts so that they are easily accessible when needed. Further,
inexperienced physicians lack a mental representation of what evaluations the
system is doing with the prescriptions and when alerts are triggered. This may
lead to lack of trust or overconfidence, both of them potentially harmful.
PMID- 21893885
TI - Combining usability testing with eye-tracking technology: evaluation of a
visualization support for antibiotic use in intensive care.
AB - This research work is an explorative study to measure efficiency, effectiveness
and user satisfaction of a prototype called Infobiotika aiming to support
antibiotic use in intensive care. The evaluation was performed by combining
traditional usability testing with eye-tracking technology. The test was
conducted with eight intensive care physicians whereof four specialists and four
residents. During three test phases participants were asked to perform three
types of tasks, namely navigational, clinical and tasks to measure the learning
effect after 3-5 minutes free exploring time. A post-test questionnaire was used
to explore user satisfaction. Based on the results and overall observations,
Infobiotika seems to be effective and efficient in terms of supporting navigation
and also a learnable product for intensive care physicians fulfilling their need
to get an accurate overview of a patient status quickly. Applying eye-tracking
technology during usability testing has shown to be a valuable complement to
traditional methods that revealed many unexpected issues in terms of navigation
and contributed a supplementary understanding about design problems and user
performance.
PMID- 21893886
TI - Design of a mobile, safety-critical in-patient glucose management system.
AB - Diabetes mellitus is one of the most widespread diseases in the world. People
with diabetes usually have long stays in hospitals and need specific treatment.
In order to support in-patient care, we designed a prototypical mobile in-patient
glucose management system with decision support for insulin dosing. In this paper
we discuss the engineering process and the lessons learned from the iterative
design and development phases of the prototype. We followed a user-centered
development process, including real-life usability testing from the outset. Paper
mock-ups in particular proved to be very valuable in gaining insight into the
workflows and processes, with the result that user interfaces could be designed
exactly to the specific needs of the hospital personnel in their daily routine.
PMID- 21893887
TI - Facilitating the iterative design of informatics tools to advance the science of
autism.
AB - This paper describes a usability evaluation study of an innovative first
generation system (Data Dig) designed to retrieve phenotypic data from the large
SFARI data set of 2700 families each of which has one child affected with autism
spectrum disorder. The usability methods included a cognitive walkthrough and
usability testing. Although the subjects were able to learn to use the system,
more than 50 usability problems of varying severity were noted. The problems with
the greatest frequency resulted from users being unable to understand meanings of
variables, filter categories correctly, use the Boolean filter, and correctly
interpret the feedback provided by the system. Subjects had difficulty forming a
mental model of the organizational system underlying the database. This precluded
them from making informed navigation choices while formulating queries. Clinical
research informatics is a new and immensely promising discipline. However in its
nascent stage, it lacks a stable interaction paradigm to support a range of users
on pertinent tasks. This presents great opportunity for researchers to further
this science by harnessing the powers of user-centered iterative design.
PMID- 21893888
TI - Evaluation of computer usage in healthcare among private practitioners of NCT
Delhi.
AB - OBJECTIVES: 1. To evaluate the usage and the knowledge of computers and
Information and Communication Technology in health care delivery by private
practitioners. 2. To understand the determinants of computer usage by them.
METHODS: A cross sectional study was conducted among the private practitioners
practising in three districts of NCT of Delhi between November 2007 and December
2008 by stratified random sampling method, where knowledge and usage of computers
in health care and determinants of usage of computer was evaluated in them by a
pre-coded semi open ended questionnaire. RESULTS: About 77% of the practitioners
reported to have a computer and had the accessibility to internet. Computer
availability and internet accessibility was highest among super speciality
practitioners. Practitioners who attended a computer course were 13.8 times [OR:
13.8 (7.3 - 25.8)] more likely to have installed an EHR in the clinic. Technical
related issues were the major perceived barrier in installing a computer in the
clinic. CONCLUSION: Practice speciality, previous attendance of a computer
course, age of started using a computer influenced the knowledge about computers.
Speciality of the practice, presence of a computer professional and gender were
the determinants of usage of computer.
PMID- 21893889
TI - Contextual inquiry method for user-centred clinical IT system design.
AB - Little can be found in the literature about the applicability of field study
methods, particularly contextual inquiry, in the health informatics field. This
paper aims to inform and promote the use of contextual inquiry for user-oriented
design of clinical information technology (IT) systems. The paper describes how
the method was applied in two empirical studies to gather data about end-users'
needs, as well as the use and usability of dictation solutions and electronic
nursing documentation systems from the viewpoint of their end-users' in real
working surroundings. Experience indicates that, compared to typical usability
evaluation methods, contextual inquiry may provide valuable support for user
centred design activities: the method is suitable for increasing researchers'
understanding of clinical practices, contexts of work, and end-users' interaction
with numerous IT systems. However, in clinical settings there are special
challenges related to recording and privacy issues, a wide variety of clinical
practices and contexts of technology usage, as well as the hectic nature of
clinical work.
PMID- 21893890
TI - A Method to Measure the Reduction of CO2 Emissions in E-Health Applications.
AB - Climate change is perhaps the topmost challenge of our time. To prevent climate
change from severely impacting almost every facet of life on the planet,
scientific consensus points to a need to reduce the emissions of greenhouse gases
(GHG), measured in terms of CO2 equivalents (CO2e), by as
much as 80 percent by 2050. So far the focus has centered on incremental
reductions of CO2 e emissions in areas in which they are highest,
without negatively impacting the economy. But there is also a large untapped
opportunity to drive economic growth by applying transformative solutions. In
this paper, a method to evaluate CO2e reduction in the e-health
applications is presented.
PMID- 21893891
TI - Medical informatic research management in academia - the Danish setting.
AB - The condition that the Danish universities have been subject to severe changes
through the last decade has had huge consequences for management of research at
the level of a discipline as Medical Informatics. The presentation pinpoints some
of the instruments, which is on top of the management agenda in the new academic
reality in Denmark. Performance contracts, organizational structure, general
management, research constraints, ranking and performance issues, economy linked
to production, ownership, and incitements are issues affecting the way research
are done. The issue of effective research management is to navigate in this
reality, ensure inspiration and influx from other environments dealing with
medical informatics problems, in theory as well as in praxis - and shield the
individual researcher from emerging bureaucracy, leaving room for creativity.
PMID- 21893892
TI - Research management in healthcare informatics - experiences from Norway.
AB - This paper reports on the experiences with establishing a multidisciplinary
healthcare informatics research community at the Norwegian University of Science
and Technology (NTNU) in Trondheim, Norway. A multidisciplinary research group in
healthcare informatics must maintain strong connections to computer science,
social science, biomedicine and healthcare researchers. Those organizing the
research must create a milieu that fosters true collaboration across disciplines.
The researchers must have good access to healthcare institutions, to healthcare
professionals as well as to patients. A healthcare informatics laboratory creates
an arena for experiments as well as for validation of health-it technologies.
PMID- 21893893
TI - Research management: the case of RN4CAST.
AB - Successful research management requires multifunctional, equal teamwork and
efficient coordination, aiming to increase the impact of the research outcomes.
Aim of this paper is to present the strategies that have been followed to
successfully manage the RN4CAST study, one of the largest multi country research
projects ever conducted. The paper focuses on the core research strategies rather
than on the administrative management activities also required for the success of
this case report. Management of a multi-country nursing survey requires the use
of common data collection tools, applicable to every context, research protocols
supporting the scope of the research, data models for multi-country analyses and
global dissemination strategies.
PMID- 21893894
TI - eMeasures: a standard format for health quality measures.
AB - Health quality measures can be used to improve the effective use of Electronic
Health Record systems (EHRs) in health care delivery. The Health Quality Measures
Format (HQMF) is a standard for representing a health quality measure as an
electronic document. This presentation will present the standard, review the
development process of quality measures for EHR system using HL7 CDA R2, and
reflect on the outlook for eMeasures implementation and adoption.
PMID- 21893895
TI - Clinical information systems: cornerstone for an efficient hospital management.
AB - The university hospitals of Geneva are the largest consortium of public hospitals
in Switzerland. This organization is born in 1995, after a political decision to
merge the seven public and teaching hospitals of the Canton of Geneva. From an
information technologies perspective, it took several years to reach a true
unified vision of the complete organization. The clinical information system is
deployed in all sites covering in- and outpatient cares. It is seen as the
cornerstone of information management and flow in the organization, for direct
patient care and decision support, but also for the management to drive, improve
and leverage the activities, for better efficiency, quality and safety of care,
but also to drive processes. As the system has become more important for the
organization, it has required progressive changes in its governance. The high
importance of interoperability and use of formal representation has become a
major challenge in order to be able to reuse clinical information for real-time
care and management activities, and for secondary usage such as billing, resource
management, strategic planning and clinical research. This paper proposes a short
overview of the tools allowing to leverage the management for physicians, nurses,
human resources and hospital governance.
PMID- 21893896
TI - Patient centered integrated clinical resource management.
AB - The impact of funding systems on the IT systems of providers has been enormous
and have prevented the implementation of designs to focused on the health issue
of patients. The paradigm shift the Dutch Ministry of Health has taken in funding
health care has a remarkable impact on the orientation of IT systems design.
Since 2007 the next step is taken: the application of the funding concept on
chronic diseases using clinical standards as the norm. The focus on prevention
involves the patient as an active partner in the care plan. The impact of the new
dimension in funding has initiated a process directed to the development of
systems to support collaborative working and an active involvement of the patient
and its informal carers. This national approach will be presented to assess its
international potential, as all countries face the long term care crisis lacking
resources to meet the health needs of the population.
PMID- 21893897
TI - ARGOS policy brief on semantic interoperability.
AB - Semantic interoperability is one of the priority themes of the ARGOS Trans
Atlantic Observatory. This topic represents a globally recognised challenge that
must be addressed if electronic health records are to be shared among
heterogeneous systems, and the information in them exploited to the maximum
benefit of patients, professionals, health services, research, and industry.
Progress in this multi-faceted challenge has been piecemeal, and valuable lessons
have been learned, and approaches discovered, in Europe and in the US that can be
shared and combined. Experts from both continents have met at three ARGOS
workshops during 2010 and 2011 to share understanding of these issues and how
they might be tackled collectively from both sides of the Atlantic. This policy
brief summarises the problems and the reasons why they are important to tackle,
and also why they are so difficult. It outlines the major areas of semantic
innovation that exist and that are available to help address this challenge. It
proposes a series of next steps that need to be championed on both sides of the
Atlantic if further progress is to be made in sharing and analysing electronic
health records meaningfully. Semantic interoperability requires the use of
standards, not only for EHR data to be transferred and structurally mapped into a
receiving repository, but also for the clinical content of the EHR to be
interpreted in conformity with the original meanings intended by its authors.
Wide-scale engagement with professional bodies, globally, is needed to develop
these clinical information standards. Accurate and complete clinical
documentation, faithful to the patient's situation, and interoperability between
systems, require widespread and dependable access to published and maintained
collections of coherent and quality-assured semantic resources, including models
such as archetypes and templates that would (1) provide clinical context, (2) be
mapped to interoperability standards for EHR data, (3) be linked to well
specified multi-lingual terminology value sets, and (4) be derived from high
quality ontologies. There is need to gain greater experience in how semantic
resources should be defined, validated, and disseminated, how users (who
increasingly will include patients) should be educated to improve the quality and
consistency of EHR documentation and to make full use of it. There are urgent
needs to scale up the authorship, acceptance, and adoption of clinical
information standards, to leverage and harmonise the islands of standardisation
optimally, to assure the quality of the artefacts produced, and to organise end
to-end governance of the development and adoption of solutions.
PMID- 21893898
TI - Policy needs and options for a common transatlantic approach towards measuring
adoption, usage and benefits of eHealth.
AB - The European Union (EU) sponsored ARGOS project analysed current eHealth policy
thinking in both the EU and the USA, compared strategic challenges and outcomes
in selected fields, and drafted roadmaps towards developing advanced global
approaches for these issues. This policy brief focuses on better understanding
the benefits and costs of eHealth investments, assessing their overall socio
economic impact, identifying challenges and success factors, as well as measuring
and globally benchmarking the concrete usage of eHealth solutions. These are by
now key policy priorities not only of national governments and the European
Commission, but also of international institutions like WHO or OECD. There is a
strong felt transatlantic need for stocktaking, identifying lessons learned,
sharing of experience, and working together to advance these issues for the
benefit of health systems. A growing number of national and international
activities can be taken advantage of. Recommendations on how to proceed with such
transatlantic activities are proposed.
PMID- 21893899
TI - Policy needs and options for a common approach towards modelling and simulation
of human physiology and diseases with a focus on the virtual physiological human.
AB - Life is the result of an intricate systemic interaction between many processes
occurring at radically different spatial and temporal scales. Every day,
worldwide biomedical research and clinical practice produce a huge amount of
information on such processes. However, this information being highly fragmented,
its integration is largely left to the human actors who find this task
increasingly and ever more demanding in a context where the information available
continues to increase exponentially. Investments in the Virtual Physiological
Human (VPH) research are largely motivated by the need for integration in
healthcare. As all health information becomes digital, the complexity of health
care will continue to evolve, translating into an ever increasing pressure which
will result from a growing demand in parallel to limited budgets. Hence, the best
way to achieve the dream of personalised, preventive, and participative medicine
at sustainable costs will be through the integration of all available data,
information and knowledge.
PMID- 21893900
TI - Policy brief on the current status of certification of electronic Health Records
in the US and Europe.
AB - If Electronic Health Record systems are to provide an effective contribution to
healthcare, a set of benchmarks need to be set to ensure quality control and
interoperability of systems. This paper outlines the prevailing status of EHR
certification in the US and the EU, compares and contrasts established schemes
and poses opportunities for convergence of activity in the domain designed to
advance certification endeavours generally. Several EU Member States have in the
past proceeded with EHR systems quality labeling and/or certification, but these
differ in scope, in legal framework under which they operate, in policies
(legislation and financial incentives), in organization, and perhaps most
importantly in the quality criteria used for benchmarking. Harmonization,
therefore, became a must. Now, through EuroRec (with approaches ranging from self
assessment to third party certification depending on the level of confidence
needed) and its Seals, the possibility to achieve this for EHR systems has
started in the whole of Europe. The US HITECH Act also attempts to create
incentives for all hospitals and eligible providers to adopt and use electronic
information. A centerpiece of the Act is to put in place strong financial
incentives to adopt and meaningfully use EHRs. The HHS/EHR Certification
Programme makes use of ISO/IEC 170XX standards for accreditation, testing and
certification. The approved test method addresses the functional and the
interoperability requirements defined in the Final Rule criteria and standards.
To date six Authorized Testing and Certification Bodies (ATCBs) are testing and
certifying products in the US.
PMID- 21893901
TI - A proposed vision: the transatlantic observatory for meeting global health policy
challenges through information and communications technology-enabled solutions
(ARGOS).
AB - In 2010 the ARGOS project was funded by the EC (DG RELEX) to contribute to the
establishement of a "Transatlantic Observatory for meeting Global Health Policy
Challenges through Information and Communication Technology-enabled solutions" to
develop and promote common methods for responding to global eHealth challenges in
the EU and the US. The European Institute for Health Records (EuroRec) was
coordinating the project. The vision is that the Transatlantic Observatory will
act as an international platform for dialogue and collaboration on health policy
issues and will 1. build international consensus about how to improve the access,
efficiency and quality of health services through ICT, 2. promote the importance
of interoperability in eHealth, 3. help to define approaches to ensure that
health data are easily available where it is needed, 4. identify optimal
development paths.
PMID- 21893902
TI - Accelerating the deployment of a health information technology and informatics
workforce through education, training, research, and evaluation.
AB - Supported by stronger and more coordinated US federal funding and policies,
driven by goals to deliver care more efficiently, and motivated to provide high
quality care for an aging and more diverse population, public-private-sector
organisations are redoubling efforts to implement information systems. Thus,
there is a critical need to increase and broaden the pool of workers who can help
organizations maximise the effectiveness of their investments in technology.
There are in the US various current health informatics education and training
initiatives and ongoing efforts to accelerate Health IT workforce development.
PMID- 21893903
TI - eHealth informatics workforce challenges for Europe.
AB - There is an increasing demand for informatics human resources from major ehealth
developments aimed at supporting more effective healthcare in many countries.
Focus to date has been on the standards required to describe ehealth applications
and solutions; with sporadic attention to the workforce necessary to deliver
them. There are challenges to ensuring that the ehealth informatics staff
involved in production and operation of such ehealth systems are 'fit to
practice' professionals and their competences can be clearly defined. There are
currently different levels of understanding, quantification and definition of the
existing and projected workforce requirements across Europe and in the USA. This
paper highlights some of the issues to be considered across Europe in moving
towards a situation where the limitations to appropriately skilled staff being
deployed wherever necessary are reduced and free mobility of the workforce can be
enabled.
PMID- 21893904
TI - eHealth information management and informatics workforce challenges for Europe.
AB - In addition to technology services required to leverage information technology,
qualified knowledge workers familiar with information management acquisition,
storage and use and destruction are required to transform the healthcare industry
to "next generation" status and capabilities. Sound information management
principles for health information content enables less costly, yet more effective
results.
PMID- 21893905
TI - A logical approach to semantic interoperability in healthcare.
AB - Singapore is in the process of rolling out a number of national e-health
initiatives, including the National Electronic Health Record (NEHR). A critical
enabler in the journey towards semantic interoperability is a Logical Information
Model (LIM) that harmonises the semantics of the information structure with the
terminology. The Singapore LIM uses a combination of international standards,
including ISO 13606-1 (a reference model for electronic health record
communication), ISO 21090 (healthcare datatypes), and SNOMED CT (healthcare
terminology). The LIM is accompanied by a logical design approach, used to
generate interoperability artifacts, and incorporates mechanisms for achieving
unidirectional and bidirectional semantic interoperability.
PMID- 21893906
TI - Electronic medical record information system for patient consultations in Chinese
medicine.
AB - Currently there are no widely used systems to electronically record individual
patient consultations with Traditional Chinese Medicine (TCM) practitioners. As
TCM practice differs significantly from western medicine both in diagnosis and
treatment, using information systems created for western medicine is not
suitable. There is a need for information systems developed specifically for TCM
practitioners to manage patient consultation and treatments. Such system should
be designed to facilitate safe and effective practice by providing decision
support, utilising existing knowledge such as known herb-drug interactions to
signal safety risks. Utilising current and developing standards and vocabularies
such as those developed by the World Health Organisation (WHO) is important to
facilitate interoperability with other systems. To facilitate continued growth in
consumer demand, this type of system should be compatible with the needs of the
individual electronic health records and other medical systems, and provide
interfaces to external systems such as Medicare, pathology and radiology systems,
and insurance systems. This paper presents the high level design of a patient
consultation system for TCM practitioners that addresses the individual
practitioner's needs for health records entry, storage, retrieval, display and
support in managing health care delivery to patients and be part of Australia's
emerging e-health system.
PMID- 21893907
TI - Vision-based detection of unusual patient activity.
AB - Automated patient monitoring in hospital environments has gained increased
attention in the last decade. An important problem is that of behaviour analysis
of psychiatric patients, where adequate monitoring can minimise the risk of harm
to hospital staff, property and to the patients themselves. For this task, we
perform a preliminary investigation on visual-based patient monitoring using
surveillance cameras. The proposed method uses statistics of optical flow vectors
extracted from the patient movements to identify dangerous behaviour. In
addition, the method also performs foreground segmentation followed by blob
tracking in order to extract shape and temporal characteristics of blobs.
Dangerous behaviour includes attempting to break out of safe-rooms, self-harm and
fighting. The features considered include a temporal and multi-resolution
analysis of blob coarseness, blob area, movement speed and position in the room.
This information can also be used to normalise the other features according to
estimated position of the patient in the room. In this preliminary study,
experiments in a real hospital scenario illustrate the potential applicability of
the method.
PMID- 21893908
TI - BioGrid Australia and GRHANITETM: privacy-protecting subject matching.
AB - BioGrid Australia provide infrastructure for research currently spanning 16
hospital-based clinical institutions and 50 databases across Victoria, Australia.
To-date BioGrid have utilised a probabilistic record linkage engine (Sun Oracle
Java CAPS eIndex) that utilises patient identifiers (albeit in a secure manner)
during record linkage. BioGrid are now incorporating privacy-protecting record
linkage technology from the University of Melbourne Rural Health Academic Centre
(GRHANITETM). For the first time in Australia, the GRHANITETMtechnology is
allowing primary care data linkage projects to happen on a large scale (70 sites,
200 planned to date). By utilising GRHANITETMprivacy-protecting record linkage
technologies, BioGrid are now able to overcome the privacy issues inherent in
linking data across national jurisdictional boundaries. By utilising
GRHANITETMprivacy-protecting record linkage technologies all inter-jurisdictional
public health and hospital clinical data collected by BioGrid can be
systematically linked to primary care data for research for the first time. This
paper describes the architecture of the combined BioGrid and GRHANITETMsystems,
provides evidence of the efficacy of the linkage technologies and heralds the
start of a new era in privacy-protected, record linked research in Australia.
PMID- 21893909
TI - Patient journey modelling: using students as a vehicle for the diffusion of
innovation.
AB - This paper describes a case study involving third-year undergraduate computing
students and their conduct of a patient journey modeling project for the
Ambulatory Care department of a Regional Hospital in New South Wales, Australia.
The goal of the research was to determine if students, given minimal training in
an emerging patient journey modeling tool known as Essomenic, could be an
effective vehicle for the diffusion of innovation to operational staff involved
in a healthcare improvement project. Under academic supervision, students
interacted directly with staff to develop models of the current system of care
from GP referral to the completion of the patient consultation. The methodology
also included model validation, identification of opportunities for improvement,
investigation of alternative solutions and solution recommendations. Outcomes of
the project, conducted over a 14 week semester, demonstrate that the students
found the technique quick and easy to learn and that they could transfer their
new found knowledge of this innovation to healthcare staff for the purposes of
developing true and accurate representations of the current state patient
journey. Staff were then able to interact directly with the student team, using
the models as a communication medium, to identify opportunities for improvement
and understand more deeply, how changes would impact their daily tasks and
increase patient satisfaction in service delivery.
PMID- 21893910
TI - Piloting a logic-based framework for understanding organisational change process
for a health IT implementation.
AB - This paper describes how a method for evaluating organisational change based on
the theory of logical types can be used for classifying organisational change
processes to understand change after the implementation of an electronic
documentation system in a residential aged care facility. In this instance we
assess the organisational change reflected by care staff's perceptions of the
benefits of the new documentation system at one site, at pre-implementation, and
at 12 months post-implementation. The results show how a coherent view from the
staff as a whole of the personal benefits, the benefits for others and the
benefits for the organization create a situation of positive feedback leading to
embeddedness of the documentation system into the site, and a broader
appreciation of the potential capabilities of the electronic documentation
system.
PMID- 21893911
TI - ICT-based visual interventions addressing social isolation for the aged.
AB - This paper describes an approach of "visual interventions" to address the effects
of social isolation imposed by independent living on the aged. This approach
might be effective in situations where it is not possible to provide enough human
contact or interaction to stimulate and sustain a positive outlook by the aged
person. Two ICT-based visual interventions using this approach are described: a
virtual "picture album" concept which can serve as a basis for positive
reminiscence, and a virtual "daily assistant" concept to provide advice and
reminders on health aspects encountered during daily living. A methodological
approach for validating these concepts is also described.
PMID- 21893912
TI - A student-centred electronic health record system for clinical education.
AB - INTRODUCTION: Electronic Health Record (EHR) systems are an increasingly
important feature of the national healthcare system [1]. However, little research
has investigated the impact this will have on medical students' learning. As part
of an innovative technology platform for a new masters level program in medicine,
we are developing a student-centred EHR system for clinical education. A
prototype was trialed with medical students over several weeks during 2010. This
paper reports on the findings of the trial, which had the overall aim of
assisting our understanding of how trainee doctors might use an EHR system for
learning and communication in a clinical setting. BACKGROUND: In primary care and
hospital settings, EHR systems offer potential benefits to medical students'
learning: Longitudinal tracking of clinical progress towards established learning
objectives [2]; Capacity to search across a substantial body of records [3];
Integration with online medical databases [3]; Development of expertise in
creating, accessing and managing high quality EHRs [4]. While concerns have been
raised that EHR systems may alter the interaction between teachers and students
[3], and may negatively influence physician-patient communication [6], there is
general consensus that the EHR is changing the current practice environment and
teaching practice needs to respond. METHODS: Final year medical students on
clinical placement at a large university teaching hospital were recruited for the
trial. Following a four-week period of use, semi-structured interviews were
conducted with 10 participants. Audio-recorded interviews were transcribed and
data analysed for emerging themes. Study participants were also surveyed about
the importance of EHR systems in general, their familiarity with them, and
general perceptions of sharing patient records. CONCLUSIONS: Medical students in
this pilot study identified a number of educational, practical and administrative
advantages that the student-centred EHR system offered over their existing ad-hoc
procedures for recording patient encounters. Findings from this preliminary study
point to the need to introduce and instruct students' on the use of EHR systems
from their earliest clinical encounters, and to closely integrate learning
activities based on the EHR system with established learning objectives. Further
research is required to evaluate the impact of student-centred EHR systems on
learning outcomes.
PMID- 21893913
TI - Use of an electronic clinical experience portal for the education of nurses in a
critical care unit.
AB - This paper examines a clinical experience portal (CEP) that was developed for
critical care nurses to access on a personal digital assistant (PDA) while
undertaking a 12-month postgraduate program. The increasing complexity of care
provided to patients in intensive care units (ICU) in Australia and overseas
requires that health care practitioners working in this area are competent and
highly skilled, to prevent errors and adverse events. The CEP - unlike the
traditional approach which is often lacking, antiquated or encompassed in paper
records - provides opportunity for collaborative activities to occur between the
learner and the teacher in an auditable environment to enhance the quality of the
education provided. The CEP provided a method for the nurses to record their
competencies and access educational material within the framework of a
postgraduate program. The benefits of using the CEP for the education of all
healthcare professionals' are also discussed.
PMID- 21893914
TI - Health informatics competencies - underpinning e-health.
AB - There is a widespread consensus that we have an urgent need to improve our
workforce capacity in all aspects associated with the skills and knowledge
required for successful e-health and health informatics developments, associated
change management and systems implementation strategies. Such activities aim to
support various health reform policy initiatives. This paper considers the work
being undertaken by many researchers around the globe to define the range of
skills and knowledge requirements to suit this purpose. A number of requirements
and areas of specialisation are detailed. This is followed by descriptions for
competencies in general and more specifically descriptions of a set of high level
agreed Health Informatics competencies. Collectively these competencies provide a
suitable framework useful for the formal recognition of Health Informatics,
including e-health, as a nationally recognised study discipline. Nationally
agreed competencies for this discipline enables all education and training
efforts to be consistently implemented and to fit with the Australian
Qualifications Framework covering both the Vocational Education and Training
(VET) and Higher Education sectors.
PMID- 21893915
TI - Impact of admission and discharge peak times on hospital overcrowding.
AB - The ability of hospital staff to get a patient to the right bed at the right time
is dependent on bed occupancy, and is a key issue in all acute hospitals. This
paper seeks to identify the impact of admission and discharge timing on hospital
occupancy with reference to the peak in daily admissions and discharges. Patient
admissions data from 23 Queensland public hospitals was classified into
categories based on the relative timing of daily admission and discharge curves.
We found statistically significant differences in mean and peak occupancy and
patient length of stay between categories (one-way univariate ANOVA p<0.0001).
The results support early patient discharge initiatives to reduce hospital
occupancy rates.
PMID- 21893916
TI - On selecting a clinical trial management system for large scale, multi-centre,
multi-modal clinical research study.
AB - Clinical research studies offer many challenges for their supporting information
systems. AIBL assembled 1112 participants who volunteered crucial information for
a comprehensive study on neurodegenerative diseases. This paper discusses the
shortcomings of the clinical trial management system chosen to record the results
of the study. A set of guidelines was devised and a critique of five systems
ensued. OpenClinica was selected as the most appropriate option. The main
contribution of this paper is: (i) proposing a set of guidelines to determine the
appropriateness of Clinical Trial Management Systems (CTMS) solution; (ii)
providing a brief critique of existing commercial and open-sourced CTMS; and
(iii) alluding to some data migration issues and providing cues on how to address
them. We conclude that open-source CTMS are viable alternatives to the more
expensive commercial systems to conduct, record and manage clinical studies.
PMID- 21893917
TI - Synchronised integrated online e-health profiles.
AB - Web-based social networking applications have become increasingly important in
recent years. The current applications in the healthcare sphere can support the
health management, but to date there is no patient-controlled integrator. This
paper proposes a platform called Multiple Profile Manager (MPM) that enables a
user to create and manage an integrated profile that can be shared across
numerous social network sites. Moreover, it is able to facilitate the collection
of personal healthcare data, which makes a contribution to the development of
public health informatics. Here we want to illustrate how patients and physicians
can be benefited from enabling the platform for online social network sites. The
MPM simplifies the management of patients' profiles and allows health
professionals to obtain a more complete picture of the patients' background so
that they can provide better health care. To do so, we demonstrate a prototype of
the platform and describe its protocol specification, which is an XMPP
(Extensible Messaging and Presence Protocol) [1] extension, for sharing and
synchronising profile data (vCard2) between different social networks.
PMID- 21893918
TI - Mapping the Queensland Health iPharmacy Medication File to the Australian
Medicines Terminology Using Snapper.
AB - An exploratory exercise in mapping approximately 8000 medication terms from the
Queensland Health iPharmacy Medication File to the Australian Medicines
Terminology (AMT) was carried out to determine coverage, build specialist
knowledge, and inform future clinical terminology strategies. Snapper was the
mapping tool selected for this exercise. The Automap function of the tool mapped
39.2% of the items that were successfully mapped, and the remainder were manually
mapped. A total of 51.8% of the sample items were mapped to a semantically
equivalent AMT concept with 50.0% of terms being mapped to a satisfactory fully
specified term, and 1.8% of terms being mapped to a fully specified term that was
considered unsuitable for QH clinical purposes. Rules and guidelines on how to
deal with the emerging differences between the two terminologies were developed
during the course of the project. Snapper was found to be an appropriate tool for
this exercise; its functionality is being constantly refined to assist users. As
a result, this exercise will provide NEHTA with input for the national scope and
content for AMT, and QH will endeavour to prepare the iPharmacy medication file
for future interfaces with other terminologies.
PMID- 21893919
TI - Automatic extraction of cancer characteristics from free-text pathology reports
for cancer notifications.
AB - OBJECTIVE: To develop a system for the automatic classification of Cancer
Registry notifications data from free-text pathology reports. METHOD: The
underlying technology used for the extraction of cancer notification items is
based on the symbolic rule-based classification methodology, whereby formal
semantics are used to reason with the systematised nomenclature of medicine -
clinical terms (SNOMED CT) concepts identified in the free text. Business rules
for cancer notifications used by Cancer Registry coding staff were also
incorporated with the aim to mimic Cancer Registry processes. RESULTS: The system
was developed on a corpus of 239 histology and cytology reports (with 60%
notifiable reports), and then evaluated on an independent set of 300 reports
(with 20% notifiable reports). Results show that the system can reliably classify
notifiable reports with 96% and 100% specificity, and achieve an overall accuracy
of 82% and 74% for classifying notification items from notifiable reports at a
unit record level from the development and evaluation set, respectively.
CONCLUSION: Cancer Registries collect a multitude of data that requires manual
review, slowing down the flow of information. Extracting and providing an
automatically coded cancer pathology notification for review can lessen the
reliance on expert clinical staff, improving the efficiency and availability of
cancer information.
PMID- 21893920
TI - Interactive visualisation with user perspective for biological data analysis.
AB - With an astonishing amount of genomic data generated for processing in medical
field, it is essential to provide an effective methodology for understanding,
reasoning and supporting decision making of large information spaces. This paper
presents an interactive interface that provides a mechanism to analyse large
scale biological and clinical data. This aims to provide a much greater
flexibility and control for the domain experts to interactively customise the
visualisation according to their preferences.
PMID- 21893921
TI - Healthy connections: online social networks and their potential for peer support.
AB - Social and professional support for mental health is lacking in many rural areas
highlighting the need for innovative ways to improve access to services. This
study explores the potential of online social networking as an avenue for peer
support. Using a cross sectional survey, 74 secondary students answered questions
relating to internet use, online social network use and perceptions of mental
health support. Over half of the sample had experienced a need for mental health
support with 53% of participants turning to the internet. Results indicate that
online social networking sites were used regularly by 82% of the sample and 47%
believed these sites could help with mental health problems. The study concluded
that online social networking sites may be able to link young people together
with others in similar situations. The popularity and frequency of use may allow
these sites to provide information, advice and direction for those seeking help.
PMID- 21893922
TI - Building an advanced wireless end-to-end emergency medical system.
AB - Effective communication in healthcare is important and especially critical in
emergency situations. In this paper we propose a new comprehensive emergency
system which will facilitate the communication process in emergency cases from
ambulance dispatch to the patient's arrival and handover in the hospital. The
proposed system has been designed to facilitate and computerise all the processes
involved in an accident from finding the nearest ambulance through to accessing a
patient's online health record which can assist in pre-hospital treatments. The
proposed system will also locate the nearest hospital specialising in the
patient's condition and will communicate patient identification to the emergency
department. The components of the proposed system and the technologies used in
building this system are outlined in this paper as well as the challenges
expected and proposed solutions to these challenges.
PMID- 21893923
TI - Machine intelligence for health information: capturing concepts and trends in
social media via query expansion.
AB - INTRODUCTION: We aim to improve retrieval of health information from Twitter.
BACKGROUND: The popularity of social media and micro-blogs has emphasised their
potential for knowledge discovery and trend building. However, capturing and
relating concepts in these short-spoken and lexically extensive sources of
information requires search engines with increasing intelligence. METHODS: Our
approach uses query expansion techniques to associate query terms with the most
similar Twitter terms to capture trends in the gamut of information. RESULTS: We
demonstrated the value, defined as improved precision, of our search engine by
considering three search tasks and two independent annotators. We also showed the
stability of the engine with an increasing number of tweets; this is crucial as
large data sets are needed for capturing trends with high confidence. These
results encourage us to continue developing the engine for discovering trends in
health information available at Twitter.
PMID- 21893924
TI - How accurate is the electronic health record? - a pilot study evaluating
information accuracy in a primary care setting.
AB - BACKGROUND: Electronic health records (EHR) are increasingly used for both
administrative and clinical tasks with major implications for patient safety and
quality of care. This study aims to determine a baseline EHR level of accuracy
present on measurable information fields within an Australian general practice.
METHODS: Quantitative and descriptive pilot study of patients attending a private
general practice. Patients who consented to participate in the study had their
patient records reviewed to determine how many items were correct, incorrect or
not recorded in each EHR information field. Statistical analysis was performed on
the data collected. RESULTS: A total of 33 patients gave consent to participate
in this study. High levels of accuracy were found in the area of demographic
details (94%). Moderately high levels of accuracy were reported for allergies
(61%) but also a considerable percentage of non-recorded information was present
(36%). Inaccuracies in medication lists were reported in 51% of records reviewed
with 32.1% of all medications being inaccurately recorded. While over 91% of
participants had a history summary with eight or less items present, omissions
were reported for one in every five participants. There were no significant
associations present between inaccurate data and frequency of practice visits or
those with more than five past medical conditions listed in the EHR. CONCLUSION:
The study has confirmed that errors and inaccuracies exist in EHR in our
Australian pilot study. The pilot study has also allowed us to complete a trial
ensuring that a study of this type can be done safely and with correct
methodology. As health informatics plays an increasingly important role in health
care, studies of this type will better inform practitioners/ researchers in
designing systems to ensure quality electronic patient information.
PMID- 21893925
TI - A pilot study on understanding the journey of advanced prostate cancer patients.
AB - OBJECTIVE: To understand the journey of advanced prostate cancer patients for
supporting development of an innovative patient journey browser. BACKGROUND:
Prostate cancer is one of the common cancers in Australia. Due to the chronic
nature of the disease, it is important to have effective disease management
strategy and care model. Multi-disciplinary care is a well-proven approach for
chronic disease management. The Multi-disciplinary team (MDT) can function more
effectively if all the required information is available for the clinical
decision support. The development of innovative technology relies on an accurate
understanding of the advanced prostate cancer patient's journey over a prolonged
period. This need arises from the fact that advanced prostate cancer patients may
follow various treatment paths and change their care providers. As a result of
this, it is difficult to understand the actual sources of patient's clinical
records and their treatment patterns. The aim of the research is to understand
variable sources of clinical records, treatment patterns, alternative therapies,
over the counter (OTC) medications of advanced prostate cancer patients. This
study provides better and holistic understanding of advanced prostate cancer
journey. METHODS: The study was conducted through an on-line survey developed to
seek and analyse the responses from the participants. The on-line questionnaire
was carefully developed through consultations with the clinical researchers at
the Australian Prostate Cancer Research Centre-Queensland, prostate cancer
support group representatives and health informaticians at the Australian E
Health Research Centre. The non-identifying questionnaire was distributed to the
patients through prostate cancer support groups in Queensland, Australia. The
pilot study was carried out between August 2010 and December 2010. RESULTS: The
research made important observations about the advanced prostate cancer journey.
It showed that General Practitioner (GP) was the common source of patient's
clinical records (41%) followed by Urologist (14%) and other clinicians (14%).
The data analysis also showed that selenium was the common complementary
supplement (55%) used by the patients and about 48% patients did not use any OTC
drugs. The most common OTC used by the patients was Paracetamol (about 45%).
CONCLUSION: The results have provided a foundation to the architecture of the
proposed technology solution. The outcomes of this study are incorporated in
design of the proposed patient journey browser system. A basic version of the
system is currently being used at the advanced prostate cancer MDT meetings.
PMID- 21893926
TI - Observing effectiveness of pathology ordering controls in emergency departments.
AB - OBJECTIVE: To demonstrate application of data integration technology for
observing the effectiveness of interventions to control pathology orders in
Emergency Departments. BACKGROUND: Doctors frequently need to order blood tests
in the Emergency Departments as a part of diagnostic set up in Emergency
Departments. However, pathology test ordering is excessive and often unnecessary.
The excessive ordering of blood test places a significant financial burden on our
health care system. It also causes undue discomfort and worry to the patients.
There are many interventions employed to control pathology ordering in Emergency
Departments. The analysis of effectiveness of interventions is required for
improving clinical practices in Emergency Departments. However, the collection
and extraction of data on the effects of intervention can be very costly and time
consuming. Therefore, there is a need of a technology-based solution to access,
query and analyse data residing across different sources. METHODS: The research
aims to determine efficacy of an intervention called the "Traffic Light System"
through a pathology request form used to control the pathology ordering in one
adult hospital emergency department. Health Data Integration (HDI) technology was
implemented to link and query the data residing at different source systems i.e.
pathology and ED information system. The data was extracted from the Emergency
Department Information System at an adult tertiary hospital in Queensland. Twenty
weeks of pre-intervention data was collected. Twenty weeks of post-intervention
data was collected after 32-week transition interval. The data for pre
intervention, transition and post-intervention period was analysed to assess the
effectiveness of the intervention in reducing commonly ordered pathology tests
such as Full Blood Counts (FBC) and Erythrocyte Sedimentation Rate (ESR).
RESULTS: The total number of FBC tests ordered in the pre-intervention period
fell slightly in the post-intervention period (mean 42.3 vs 38.1 per 100
patients). The total number Erythrocyte Sedimentation Rate tests showed a
significant declining trend as a result of ED intervention (2.5 vs 1.4 per 100
patients, p=0.001). HDI completed the task of data extraction, manipulation and
querying in seconds. A manual check of a sample of 200 pathology test orders
shows 95.5% sensitivity, which is considered accurate enough for this purpose.
CONCLUSION: Pathology ordering can be reduced using sustainable protocols. This
work has demonstrated HDI capability to extract and link pathology data
efficiently to evaluate an ED intervention.
PMID- 21893927
TI - Is the biggest security threat to medical information simply a lack of
understanding?
AB - Connecting Australian health services and the e-health initiative is a major
focus in the current health environment. Many issues are presented as key to its
success including solving issues with confidentiality and privacy. However, the
main problem may not be these issues in sharing information but the fact that the
point of origin of such records is still relatively insecure. This paper
highlights why this may be the case. Research into the security of medical
information has shown that many primary healthcare providers are unable to create
an environment with effective information security. Numerous factors contribute
to this complex situation including a trustful environment, the resultant
security culture and the capability of individual healthcare organisations.
Further, the growing importance of new directions in the use of patient
information is considered. This paper discusses these issues and positions them
within the complex environment that is healthcare. In our current health system
infrastructure, the points of origin of patient information are our most
vulnerable. This entwined with progressively new uses of this information expose
additional security concerns, such as re-identification of information, that
require attention.
PMID- 21893928
TI - Development of an automated physical activity classification application for
mobile phones.
AB - BACKGROUND: Physical activity classification is an objective approach to assess
levels of physical activity, and indicates an individual's degree of functional
ability. It is significant for a number of the disciplines, such as behavioural
sciences, physiotherapy, etc. Accelerometry is found to be a practical and low
cost method for activity classification that could provide an objective and
efficient measurement of people's daily activities. METHODS: This paper utilises
a mobile phone with a built-in tri-axial accelerometer sensor to automatically
classify normal physical activities. A rule-based activity classification model,
which can recognise 4 common daily activities (lying, walking, sitting, and
standing) and 6 transitions between postural orientations, is introduced here. In
this model, three types of statuses (walking/ transition, lying, and
sitting/standing) are first classified based on the kinetic energy and upright
angle. Transitions are then separated from walking and assigned to the
corresponding type using upright angle algorithm. To evaluate the performance of
this developed application, a trial is designed with 8 healthy adult subjects,
who are required to perform a 6-minute activity routine with an iPhone fixed at
the waist position. RESULTS: Based on the evaluation result, our application
measures the length of time of each activity accurately and the achieved
sensitivity of each activity classification exceeds 90% while the achieved
specificity exceeds 96%. Meanwhile, regarding the transition identification, the
sensitivities are high in stand-to-sit (80%) and low in sit-to-stand (56%).
PMID- 21893929
TI - Metastatic mucinous adenocarcinoma of the ovary is characterized by advanced
patient age, small tumor size, and elevated serum CA125.
AB - BACKGROUND/AIMS: An intraoperative diagnosis in cases with primary and metastatic
ovarian carcinomas is important for adequate treatment. The aim of the present
study was to find a reliable method to discriminate primary from metastatic
mucinous adenocarcinomas (MACs) of the ovary intraoperatively. METHODS: Clinical
features of all primary and metastatic mucinous ovarian carcinomas diagnosed from
1994 to 2008 at the Osaka University and Osaka Rosai Hospitals, Osaka, Japan,
were reviewed retrospectively. RESULTS: Among the 73 MACs, 51 (70%) and 22 cases
(30%) were diagnosed as primary and metastatic ovarian carcinomas, respectively.
The distributions of tumor size, patient age, and serum CA125 level were
significantly different between primary and metastatic cases. Our algorithm that
categorizes patients >=50 years whose tumor size was <10 cm into metastatic
cases, and patients <50 years, or the ones whose tumor size was >=10 cm, into
primary tumors, provided an 84% accuracy in our population. CONCLUSIONS: A more
reliable method to discriminate primary from metastatic MACs of the ovary by
patient age and serum tumor markers was derived from our study population.
PMID- 21893930
TI - Age-dependent physiological changes in the histoarchitecture of the articular
cartilage of the rabbit mandibular condyle: a morphological and morphometric
study.
AB - Mandibular condyle articular cartilage participates in condylar postnatal growth
and is responsible for adaptations to anatomical and/or biomechanical alterations
throughout life. In a preliminary study in rabbits, differences were observed in
the thickness of the layers of articular cartilage in control animals at 5 and 6
months (generally considered adults for this purpose). This study aimed to
describe sagittally sectioned condylar cartilages stained with Picrosirius
hematoxylin in rabbits at 40 days and 5, 6, 8, 13, and 18 months to determine
when histological maturity is reached. At 40 days, 5 layers were seen: fibrous,
proliferative, transition, maturation, and hypertrophic. Older animals (5-18
months) lacked the transition layer. Fibrous, proliferative, and hypertrophic
regions were considered for morphometric analysis. The thickness of the fibrous
region did not change during the analyzed period (p = 0.1899). When proliferative
and hypertrophic regions and the total thickness of the cartilage were compared,
a difference was detected (p < 0.001). The thickness of the proliferative region
was greatest at 40 days and decreased at 5 months; however, it increased at 6
months, when it was significantly thicker than at 5, 8, 13, and 18 months. Both
the hypertrophic region and the total thickness were thickest at 40 days,
intermediate at 5, 6, and 8 months, and thinnest at 13 and 18 months. In summary,
our data suggest a physiological period of increased cartilage growth at 6
months. Additionally, rabbits at this age should be avoided in experiments
involving condylar cartilage. Finally, 13-month-old rabbits have reached
histological maturity of the condylar cartilage.
PMID- 21893931
TI - Priming of mesenchymal stem cells with oxytocin enhances the cardiac repair in
ischemia/reperfusion injury.
AB - Oxytocin stimulates the cardiomyogenesis of embryonic stem cells and adult
cardiac stem cells. We previously reported that oxytocin has a promigratory
effect on umbilical cord blood-derived mesenchymal stem cells (UCB-MSCs). In this
study, UCB-MSCs were cultured with oxytocin and examined for their therapeutic
effect in an infarcted heart. UCB-MSCs were pretreated with 100 nM oxytocin and
cardiac markers were assessed by immunofluorescence staining. Next, oxytocin
supplemented USC-MSCs (OT-USCs) were cocultured with hypoxia/reoxygenated
neonatal rat cardiomyocytes and cardiac markers and dye transfer were then
examined. For the in vivo study, ischemia/reperfusion was induced in rats, and
phosphate-buffered saline (group 1), 1-day OT-USCs (group 2), or 7-day OT-USCs
(group 3) were injected into the infarcted myocardium. Two weeks after injection,
histological changes and cardiac function were examined. UCB-MSCs expressed
connexin 43 (Cnx43), cardiac troponin I (cTnI), and alpha-sarcomeric actin (alpha
SA) after oxytocin supplementation and coculture with cardiomyocytes. Functional
gap junction formation was greater in group 3 than in groups 1 and 2. Cardiac
fibrosis and macrophage infiltration were lower in group 3 than in group 2.
Restoration of Cnx43 expression was greater in group 3 than in group 2. Cnx43-
and cTnI-positive OT-USCs in the peri-infarct zone were observed in group 2 and
more frequently in group 3. The ejection fraction (EF) was increased in groups 2
and 3 in 2 weeks. The improved EF was sustained for 4 weeks only in group 3. Our
findings suggest that the supplementation of UCB-MSCs with oxytocin can
contribute to the cardiogenic potential for cardiac repair.
PMID- 21893932
TI - Detection of four germ cell markers in rats during testis morphogenesis:
differences and similarities with mice.
AB - Germ cells are the only cells capable of transmitting genetic information from
generation to generation. Germ cell development has been widely studied in
different species. Among mammals, the mouse is the model used in the majority of
studies on germ cell differentiation, sex determination and genetics. In the
present study, we suggest that the rat is also a very important model for the
investigation of the mechanisms of germ cell development. To study rat germ cell
development and compare it with that of mouse, the germ cell markers germ cell
nuclear antigen 1 (GCNA1), OCT4, mouse vasa homologue (MVH) and specific surface
embryonic antigen 1 (SSEA1) were immunolabeled at different phases of embryonic
and postnatal development. SSEA1 and GCNA1 were not detected in rat primordial
germ cells and fetal gonocytes. GCNA1 was detected postnatally and was present
only in leptotene, zygotene and early pachytene spermatocytes. On the other hand,
in mice, these markers were detected in germ cells as soon as 11.5 days
postcoitum (dpc). MVH was detected in migrating rat primordial germ cells as well
as in those that have already colonized the gonads, whereas in mice, MVH is
detected only in germ cells that have reached the gonads. In rats, OCT4-positive
germ cells were detected from 13 to 17 dpc, but not at 19 dpc or in postnatal
testes. This is in contrast with mice that show OCT4 labeling in both embryonic
and adult testes. These data suggest that primordial germ cell development in
rats and mice shows considerable differences and that the rat may also be an
important model to study the embryonic development of germ cells.
PMID- 21893933
TI - The effect of serial passaging on the proliferation and differentiation of bovine
adipose-derived stem cells.
AB - Adipose-derived stem cells (ASCs) represent an excellent cell source for the
development of regenerative therapies for a broad variety of tissue disorders.
Commonly, in vitro expansion is necessary to obtain sufficient cell populations
for research purposes and clinical applications. Although it has been
demonstrated that human ASCs can maintain their adipogenic, chondrogenic and
osteogenic potential in long-term culture (up to 15 passages), it is not
guaranteed that a satisfactory level of differentiation is achievable in later
passages. In this study, we investigated the self-renewal and multilineage
differentiation capacity of bovine ASCs, isolated from the interdigital fat pad,
and explored how serial passaging influences the cells. A proliferation study
examined the changes in growth kinetics from passage 1 to 5, and multilineage
(adipogenesis, chondrogenesis and osteogenesis) differentiation studies were
conducted to compare the potential between passage 2 (P2) and passage 5 (P5).
From the proliferation study, a statistically significant change in the doubling
time did not appear until P5. In the differentiation study, both P2 and P5 ASCs
could be stimulated to undergo multilineage differentiation under specific
culturing conditions. However, adipogenic and chondrogenic cultures showed
significantly lower levels of differentiation in the P5-induced cultures. In
contrast, P5-induced osteogenic cultures had higher alkaline phosphatase enzyme
activity than P2-induced cultures, suggesting an increase in the osteogenic
response with serial passaging. Overall, bovine ASCs are capable of self-renewal
and multilineage differentiation; however, long-term in vitro expansion has a
negative effect on adipogenic and chondrogenic differentiation, while potentially
favoring osteogenesis.
PMID- 21893934
TI - Stable precursor fragments of vasoactive peptides in umbilical cord blood of term
and preterm infants.
AB - BACKGROUND: Though various neurohormonal systems are concurrently activated
during birth, their biological effectors are not always easy to measure due to
their short half-life in vivo, instability in biological samples, or very low
concentrations. METHODS: Using a recently discovered chemiluminescence assay, we
measured the stable precursor fragments mid-regional pro-atrial natriuretic
peptide (MR-proANP), mid-regional pro-adrenomedullin (MR-proADM), C-terminal pro
endothelin-1 (CT-proET-1) and C-terminal pro-vasopressin (CT-proAVP or copeptin)
immediately after birth in umbilical venous cord blood from 119 infants with a
gestational age of 23-42 weeks and evaluated their possible functions. RESULTS:
Cord blood levels of MR-proANP, MR- proADM, CT-proET-1, and CT-proAVP were
considerably higher compared with normal adult levels. The CT-proAVP
concentrations were 10-fold higher in term, and 70-fold higher in extremely
preterm infants. MR-proANP showed 4-fold higher levels in term infants and 20
fold higher levels in extremely preterm infants. Levels of MR-proADM and CT-proET
1 were 2- to 3-fold higher in preterm and term infants. All four parameters
showed significantly decreased values with increasing gestational age and a
significant correlation between CT-proET-1 and MR-proADM. CONCLUSION: Our results
indicate that vasoactive and natriuretic mediators play a functionally relevant
role in circulatory transition from fetal to neonatal life.
PMID- 21893935
TI - Perinatal selective serotonin reuptake inhibitor exposure: impact on brain
development and neural plasticity.
AB - Selective serotonin reuptake inhibitor (SSRI) medications are the most common
antidepressant treatment used during pregnancy and the postpartum period. Up to
10% of pregnant women are prescribed SSRIs. Serotonin plays an integral part in
neurodevelopment, and questions have been raised about the placental transfer of
SSRIs and the effects of preventing reuptake of presynaptic serotonin on fetal
neurodevelopment. Preclinical data is beginning to document a role of early
exposure to SSRIs in long-term developmental outcomes related to a number of
brain regions, such as the hippocampus, cortex and cerebellum. To date, the
majority of preclinical work has investigated the developmental effects of SSRIs
in the offspring of healthy mothers; however, more research is needed on the
effects of these medications in the face of maternal adversity. This minireview
will highlight emerging evidence from clinical and preclinical studies
investigating the impact of perinatal SSRI exposure on brain development and
neural plasticity.
PMID- 21893936
TI - Chemo- and thermosensory responsiveness of Grueneberg ganglion neurons relies on
cyclic guanosine monophosphate signaling elements.
AB - Neurons of the Grueneberg ganglion (GG) in the anterior nasal region of mouse
pups respond to cool temperatures and to a small set of odorants. While the
thermosensory reactivity appears to be mediated by elements of a cyclic guanosine
monophosphate (cGMP) cascade, the molecular mechanisms underlying the odor
induced responses are unclear. Since odor-responsive GG cells are endowed with
elements of a cGMP pathway, specifically the transmembrane guanylyl cyclase
subtype GC-G and the cyclic nucleotide-gated ion channel CNGA3, the possibility
was explored whether these cGMP signaling elements may also be involved in
chemosensory GG responses. Experiments with transgenic mice deficient for GC-G or
CNGA3 revealed that GG responsiveness to given odorants was significantly
diminished in these knockout animals. These findings suggest that a cGMP cascade
may be important for both olfactory and thermosensory signaling in the GG.
However, in contrast to the thermosensory reactivity, which did not decline over
time, the chemosensory response underwent adaptation upon extended stimulation,
suggesting that the two transduction processes only partially overlap.
PMID- 21893937
TI - From targets to treatments: a review of molecular targets in pancreatic
neuroendocrine tumors.
AB - Pancreatic neuroendocrine tumors (pancreatic NET) are relatively rare, slowly
growing tumors, although their incidence is increasing, and patients may survive
for several years with metastatic disease. Apart from symptomatic relief, there
have been few treatment options for these tumors in the past. More recently,
investigators have explored the potential of molecularly targeted agents in
treating pancreatic NET, with some success. In this review, we consider the data
supporting exploitation of different targets in pancreatic NET, including peptide
receptors, receptor tyrosine kinases (involved in tumor angiogenesis and more
directly supporting tumor growth), and intracellular targets, such as the
mammalian target of rapamycin (mTOR), which has a central role in regulating cell
growth, metabolism, and apoptosis. Probably due to the paucity of pancreatic NET,
many clinical trials to date have included heterogeneous NET populations, and
there are few randomized studies of this specific patient population. Very
recently, promising results have been achieved in placebo-controlled, phase III
trials with the multitargeted tyrosine kinase inhibitor, sunitinib, and the mTOR
inhibitor, everolimus. These agents have been approved or are currently being
reviewed by authorities for use in patients with pancreatic NET. Here we review
potential molecular targets in pancreatic NET and summarize the available data
for targeted agents from phase II and III trials open to patients with this
tumor.
PMID- 21893938
TI - The psychiatric presentation of fragile x: evolution of the diagnosis and
treatment of the psychiatric comorbidities of fragile X syndrome.
AB - Fragile X syndrome (FXS) is the leading inherited cause of mental retardation and
autism spectrum disorders worldwide. It presents with a distinct behavioral
phenotype which overlaps significantly with that of autism. Unlike autism and
most common psychiatric disorders, the neurobiology of fragile X is relatively
well understood. Lack of the fragile X mental retardation protein causes
dysregulation of synaptically driven protein synthesis, which in turn causes
global disruption of synaptic plasticity. Thus, FXS can be considered a disorder
of synaptic plasticity, and a developmental disorder in the purest sense:
mutation of the FMR1 (fragile X mental retardation 1) gene results in abnormal
synaptic development in response to experience. Accumulation of this abnormal
synaptic development, over time, leads to a characteristic and surprisingly
consistent behavioral phenotype of attention deficit, hyperactivity, impulsivity,
multiple anxiety symptoms, repetitive/perseverative/stereotypic behaviors,
unstable affect, aggression, and self-injurious behavior. Many features of the
behavioral and psychiatric phenotype of FXS follow a developmental course, waxing
and waning over the life span. In most cases, symptoms present as a mixed
clinical picture, not fitting established diagnostic categories. There have been
many clinical trials in fragile X subjects, but no placebo-controlled trials of
adequate size or methodology utilizing the most commonly prescribed psychiatric
medications. However, large and well-designed trials of investigational agents
which target the underlying pathology of FXS have recently been completed or are
under way. While the literature offers little guidance to the clinician treating
patients with FXS today, potentially disease-modifying treatments may be
available in the near future.
PMID- 21893940
TI - Progressive osteolytic calvarial lesions in children after minor head injury.
AB - OBJECTIVE: Osteolytic lesions of the skull in children have a broad differential
diagnosis including congenital, inflammatory and neoplastic lesions. Progressive
osteolysis of the skull secondary to head trauma is rare and has been poorly
characterized. METHODS: The pediatric database at our hospital was screened for
children with osteolytic lesions who had a previous mild head injury without
fracture or dural tears. We identified 2 children with circumscribed progressive
cranial osteolysis after minor head trauma detected by CT and MRI scans. Three
additional cases were found in a review of the published literature. RESULTS: Ten
children with an osteolytic skull lesion treated between January 1998 and
February 2008 were identified in our pediatric database. In 2 children there was
evidence of previous mild head injury without a skull fracture. Trauma had
occurred 7 and 2 months prior to presentation, respectively. The inner table of
the skull was intact in both cases. In 1 case, surgery was performed, and in the
other case, a wait-and-see strategy was adopted. Pathological examination in case
1 revealed an organized hemorrhage with focal papillary endothelial hyperplasia.
CONCLUSIONS: Progressive osteolytic calvarial lesions may occur in both infants
and adolescents after mild head injury. They involve either only the diploe and
outer table of the skull or both the inner and outer tables. These lesions might
be due to intradiploic or subgaleal hematomas triggering an inflammatory process.
While surgical resection can be considered to confirm a histopathological
diagnosis and to exclude other diagnoses, spontaneous reossification is possible.
PMID- 21893941
TI - Metabolic impact of growth hormone treatment in short children born small for
gestational age.
AB - BACKGROUND: Growth hormone (GH) treatment in short children born small for
gestational age (SGA) may result in metabolic changes with potential long-term
effects. METHODS: 149 short SGA children (mean birth weight 2.0 +/- 0.6 kg, age
5.5 +/- 1.5 years, height standard deviation score (SDS) -3.1 +/- 0.6) were
randomised to: low-dose GH therapy (0.033 mg/kg/day) for 2 years; high-dose GH
therapy (0.100 mg/kg/day) for 1 year, or mid-dose GH therapy (0.067 mg/kg/day)
for 1 year. Leptin, ghrelin, insulin-like growth factor-I (IGF-I), IGF binding
protein-1 (IGFBP-1), lipids, fasting blood glucose and fasting insulin were
assessed at baseline, 12 and 24 months. RESULTS: After 1 year of active
treatment, GH significantly reduced serum ghrelin and increased IGF-I SDS and
insulin levels. Regression analysis showed an inverse correlation between ghrelin
and IGF-I SDS (p < 0.001). Leptin and IGFBP-1 also declined (both p < 0.05).
Changes in insulin levels reversed upon discontinuation. Improvements in lipid
profile were nonsignificant and fasting blood glucose levels remained within the
normal range. CONCLUSION: In short SGA children, ghrelin and leptin reductions
associated with GH treatment may occur through a negative feedback loop of the GH
IGF-I axis. Consequently, via ghrelin and leptin suppression, GH treatment may
modify food intake and body composition and potentially improve long-term
metabolic outcomes.
PMID- 21893939
TI - Amygdala regulation of fear and emotionality in fragile X syndrome.
AB - Fear is a universal response to a threat to one's body or social status.
Disruption in the detection and response of the brain's fear system is commonly
observed in a variety of neurodevelopmental disorders, including fragile X
syndrome (FXS), a brain disorder characterized by variable cognitive impairment
and behavioral disturbances such as social avoidance and anxiety. The amygdala is
highly involved in mediating fear processing, and increasing evidence supports
the idea that inhibitory circuits play a key role in regulating the flow of
information associated with fear conditioning in the amygdala. Here, we review
the known and potential importance of amygdala fear circuits in FXS, and how
developmental studies are critical to understand the formation and function of
neuronal circuits that modulate amygdala-based behaviors.
PMID- 21893942
TI - Primary mucinous adenocarcinoma of the renal pelvis with elevated CEA and CA19-9.
AB - Primary adenocarcinoma of the renal pelvis is rarely reported in the literature.
Here we present a case of primary mucinous adenocarcinoma of the renal pelvis
with elevated serum carcinoembryonic antigen (CEA) and carbohydrate antigen 19-9
(CA19-9) levels. A 56-year-old woman was referred to our center with intermittent
fever and left-sided back pain for 1 month. Computed tomography showed bilateral
nephrolithiasis, mild right hydronephrosis and left pyonephrosis accompanied with
ambiguous soft tissues. A radionucleorenogram showed that the glomerular
filtration rate of the left and right kidney was 0 and 79 ml/min, respectively.
Left nephrectomy was performed without lymph node dissection. Histopathology
revealed mucinous adenocarcinoma and elevated serum CEA and CA19-9 levels were
found. She died of multiorgan metastasis after 5 months. A review of the
literature is also reported.
PMID- 21893943
TI - Pilot study of the association between the HLA region and testicular carcinoma
among Croatian patients.
AB - OBJECTIVES: To analyze the distribution of HLA alleles and HLA microsatellite
alleles in Croatian patients with testicular carcinoma, compare it with that of
healthy controls and investigate whether the polymorphism within the HLA region
could be associated with the development of testicular cancer. METHODS: Genomic
DNA was isolated from the peripheral blood of 24 patients with testicular germ
cell tumors (TGCT). Patients and controls were typed for HLA class I and class II
polymorphism by the PCR-SSO method. Nine HLA microsatellites were analyzed by PCR
and electrophoresis in an automated sequencer. RESULTS: No significant deviation
in the distribution of frequencies at HLA class I alleles was observed between
patients and controls. Among HLA class II alleles, a statistically significant
increase in the frequency of the HLA-DPB1*1701 allele was found among patients.
The frequency of the HLA-DRB1*07-DQA1*0201-DQB1*0202 haplotype was increased in
patients in comparison to the controls. Analysis of HLA microsatellites showed an
increased frequency of D6S291-3 allele (p(corr) = 0.0455, OR = 3.05) among
patients. CONCLUSIONS: The observed association of the disease and the DPB1*1701
allele as well as with the D6S291-3 allele suggests that this part of the HLA
region might be involved in the pathogenesis of TGCT. Our data provide a basis
for further studies about the correlation between the HLA region and testicular
cancer.
PMID- 21893944
TI - Drosophila as a developmental paradigm of regressive brain evolution: proof of
principle in the visual system.
AB - Evolutionary developmental biology focuses heavily on the constructive evolution
of body plan components, but there are many instances such as parasitism, cave
adaptation, or postembryonic growth rate optimization where evolutionary
regression is of adaptive value. This is particularly true in the nervous system
because of its massive energy costs. However, comparatively little effort has
thus far been made to understand the evolutionary developmental trajectories of
adaptive nervous system reduction. This review focuses on the organization and
evolution of the Drosophila larval brain, which represents an exceptional example
of miniaturization, most dramatically in the visual system. It is specifically
discussed how the dependency of outer optic lobe development on retinal
innervation can be assumed to have facilitated a first evolutionary phase of
larval visual system reduction. Afferent input-contingent development of neu- ral
compartments very likely plays a widespread role in adaptive brain evolution.
Understanding the complete deconstruction of the larval optic neuropiles in
Drosophila awaits expanded comparative analysis but has the promise to inform
about further developmental trajectories and mechanisms underlying regressive
evolution of the brain.
PMID- 21893945
TI - Participatory and persuasive telehealth.
AB - Technological advances in telehealth systems are primarily focused on sensing and
monitoring. However, these systems are limited in that they only rely on sensors
and medical devices to obtain vital signs. New research and development are
urgently needed to offer more effective and meaningful interactions between
patients, medical professionals and other individuals around the patients. Social
networking with Web 2.0 technologies and methods can meet these demands, and help
to develop a more complete view of the patient. Also many people, including the
elderly, may be resistant to change, which can reduce the efficacy of telehealth
systems. Persuasive technology and mechanisms are urgently needed to counter this
resistance and promote healthy lifestyles. In this paper, we propose the
participatory and persuasive telehealth system as a solution for these two
limitations. By integrating connected health solutions with social networking and
adding persuasive influence, we increase the chances for effective interventions
and behavior alterations.
PMID- 21893946
TI - Comments on dietary restriction, Okinawa diet and longevity.
AB - Longevity in Okinawa is considered to be a result of traditional low calorie
diet. Le Bourg suggests that Okinawa is an example of severe malnutrition, which
is harmful for later generations. We believe that current loss of longevity
advantage in Okinawa is a result of diet westernization and that the dietary
restriction is a valid way of life extension in humans.
PMID- 21893947
TI - Early intensive obstetric and medical nutrition care is associated with decreased
prepregnancy obesity impact on perinatal outcomes.
AB - BACKGROUND/AIMS: To compare the gestational weight gain and adverse perinatal
outcomes in urban Mexican women with prepregnancy overweight or obesity, under an
early intensive obstetric and nutrition program versus women with prepregnancy
normal weight. METHODS: A cohort of 546 pregnant women with prepregnancy normal
weight (n = 201, NW), overweight (n = 171, OW) or obesity (n = 174, OB), <=13
weeks of gestation and a singleton pregnancy. OW and OB groups were under early
intensive obstetric and nutritional care and NW group was under routine prenatal
care. Miscarriage, hypertensive disorders, premature rupture of membranes,
preterm birth, stillbirth, gestational diabetes mellitus (GDM) and large- or
small-for-gestational-age newborns, were compared between groups. RESULTS: Weight
gain was smaller in OB than in OW or NW (mean +/- SD): 6.1 +/- 4.4, 9.5 +/- 5.1,
10.3 +/- 5.4 kg, respectively (p < 0.001). OB women had the highest frequency of
GDM (p < 0.001), lack of spontaneous labor (p < 0.001) and preeclampsia (p <
0.001), but no other between-group differences existed. CONCLUSION: Early
intensive medical-nutrition prenatal care and adequate gestational weight gain
may contribute to decreasing most maternal and newborn adverse outcomes
associated with prepregnancy overweight or obesity.
PMID- 21893948
TI - Prenatal bystander stress alters brain, behavior, and the epigenome of developing
rat offspring.
AB - The prenatal environment, including prenatal stress, has been extensively studied
in laboratory animals and humans. However, studies of the prenatal environment
usually directly stress pregnant females, but stress may come 'indirectly',
through stress to a cage-mate. The current study used indirect prenatal bystander
stress and investigated the effects on the gross morphology, pre-weaning
behavior, and epigenome of rat offspring. Pregnant Long-Evans rats were housed
with another female rat that underwent elevated platform stress from gestational
days 12 to 16. We found that ultrasonic vocalizations of female cage-mates were
disrupted following the stress procedure. After birth, offspring were tested on
two behavioral tasks and sacrificed at postnatal day 21 (p21). Frontal cortex and
hippocampal tissue was used to measure global DNA methylation and gene expression
changes. At p21, bystander-stressed female offspring exhibited increased body
weight. Offspring behavior on the negative geotaxis task was altered by prenatal
bystander stress, and locomotor behavior was reduced in female offspring. Global
DNA methylation increased in the frontal cortex and hippocampus of bystander
stressed offspring. Microarray analysis revealed significant gene expression
level changes in 558 different genes, of which only 10 exhibited overlap between
males and females or brain areas. These alterations in gene expression were
associated with overrepresentation of 36 biological processes and 34 canonical
pathways. Prenatal stress thus does not have to be experienced by the mother
herself to influence offspring brain development. Furthermore, this type of
'indirect' prenatal stress alters offspring DNA methylation patterns, gene
expression profiles, and behavior.
PMID- 21893950
TI - Kaposi's sarcoma restricted to an immunocompromised district.
PMID- 21893949
TI - What can we learn about autism from studying fragile X syndrome?
AB - Despite early controversy, it is now accepted that a substantial proportion of
children with fragile X syndrome (FXS) meets diagnostic criteria for autism
spectrum disorder (ASD). This change has led to an increased interest in studying
the association of FXS and ASD because of the clinical consequences of their co
occurrence and the implications for a better understanding of ASD in the general
population. Here, we review the current knowledge on the behavioral,
neurobiological (i.e., neuroimaging), and molecular features of ASD in FXS, as
well as the insight into ASD gained from mouse models of FXS. This review covers
critical issues such as the selectivity of ASD in disorders associated with
intellectual disability, differences between autistic features and ASD diagnosis,
and the relationship between ASD and anxiety in FXS patients and animal models.
While solid evidence supporting ASD in FXS as a distinctive entity is emerging,
neurobiological and molecular data are still scarce. Animal model studies have
not been particularly revealing about ASD in FXS either. Nevertheless, recent
studies provide intriguing new leads and suggest that a better understanding of
the bases of ASD will require the integration of multidisciplinary data from FXS
and other genetic disorders.
PMID- 21893952
TI - Incretin receptors in non-neoplastic and neoplastic thyroid C cells in rodents
and humans: relevance for incretin-based diabetes therapy.
AB - While incretins are of great interest for the therapy of diabetes 2, the focus
has recently been brought to the thyroid, since rodents treated with glucagon
like peptide-1 (GLP-1) analogs were found to occasionally develop medullary
thyroid carcinomas. Incretin receptors for GLP-1 and glucose-dependent
insulinotropic polypeptide (GIP) were therefore measured in various rodent and
human thyroid conditions. In vitro GLP-1 and GIP receptor autoradiography were
performed in normal thyroids, C-cell hyperplasia and medullary thyroid carcinomas
in rodents. Receptor incidence and density were assessed and compared with the
receptor expression in human thyroids, medullary thyroid carcinomas, and TT
cells. GLP-1 receptors are expressed in C cells of normal rat and mice thyroids.
Their density is markedly increased in rat C-cell hyperplasia and medullary
thyroid carcinomas, where their incidence amounts to 100%. GIP receptors are
neither detected in normal rodent thyroids nor in C-cell hyperplasia, but are
present in all rat medullary thyroid carcinomas. No GLP-1 or GIP receptors are
detected in normal human thyroids. Whereas only 27% of all human medullary
thyroid carcinomas express GLP-1 receptors, up to 89% express GIP receptors in a
high density. TT cells lack GLP-1 receptors but express GIP receptors. GLP-1
receptors are frequently expressed in non-neoplastic and neoplastic C cells in
rodents while they are rarely detected in human C-cell neoplasia, suggesting
species differences. Conversely, GIP receptors appear to be massively
overexpressed in neoplastic C cells in both species. The presence of incretin
receptors in thyroid C cell lesions suggests that this organ should be monitored
before and during incretin-based therapy of diabetes.
PMID- 21893953
TI - Modified external ventricular drainage in pediatric tuberculous meningitis: is it
possible to avoid ventriculoperitoneal shunt placement?
AB - OBJECTIVE: Ventriculoperitoneal shunting is the current mainstay of treatment for
children with tuberculous meningitis with hydrocephalus. However,
ventriculoperitoneal shunting is highly associated with complications, and more
importantly, long-term, indwelling shunt devices may adversely affect children's
spirits and psychological health. Therefore, there is clearly a need to explore
methods of CSF diversion to avoid ventriculoperitoneal shunting. METHODS: We
studied 6 cases of children with tuberculous meningitis with hydrocephalus in
whom external drainage from the ventricle to the subcutaneous abdomen was
adopted. Outcomes were assessed over a 6- to 9-month follow-up period based on
improvements in radiological features, such as ventricular morphology, as well as
the need for ventriculoperitoneal shunting and any complications. RESULTS: The
drainage tubes were removed in 4 cases 4-6 months after the modified external
ventricular drainage surgery, and 2 patients went on to receive a
ventriculoperitoneal shunt. All patients' CSF protein and cell counts returned to
normal, and imaging showed improved ventricular morphology and no intracranial
secondary infection. CONCLUSION: In our preliminary study, the modified
ventricular drainage device can produce satisfactory outcomes and relatively safe
effects and may help some patients to avoid ventriculoperitoneal shunt placement.
PMID- 21893954
TI - Moyamoya syndrome after radiation therapy: case reports.
AB - Potentially harmful effects of irradiation on the developing central nervous
system have been well documented. We report 2 pediatric patients with moyamoya
syndrome developed after irradiation. CASE: A 3-year-old girl had received 4,860
cGy of postoperative radiation for optic pathway glioma. Cerebral angiography 7
months after completion of the radiation therapy revealed progressive cerebral
arterial occlusive disease, involving the internal carotid artery on either side
of the circle of Willis, with abnormal netlike vessels. Another 5-year-old girl
had received 3,600 cGy of postoperative radiation on the cerebrum for a
medulloblastoma. Two years later, she was diagnosed with moyamoya syndrome and
treated with indirect revascularization. She died due to further progressive
obstruction of the right M1 and A1 on the unoperated hemisphere, in spite of well
developed collateral circulation from the superficial temporal artery and middle
meningeal artery on the operated left hemisphere. We suggest that radiation
therapy with portals typically including carotid siphon may particularly cause
vascular damage, regardless of the tumor pathology and lead to radiation-induced
moyamoya syndrome. The prognosis may be poorer in case of poor collateral flow
and rapid progression. The radiation therapy may cause fatal vascular damage so
it should be taken into consideration when a treatment plan is being formulated
for young patients.
PMID- 21893955
TI - Focal intradural brain infections in children: an analysis of management and
outcome.
AB - INTRODUCTION: Focal intradural infections of the brain include empyema and
abscess in the supratentorial and infratentorial spaces. These are amenable to
surgical management. Various other issues may complicate the course of
management, e.g. hydrocephalus with infratentorial lesions or cortical venous
thrombosis with supratentorial lesions. Here, we review the management and
identify factors affecting outcome in these patients. MATERIALS AND METHODS: This
is a retrospective analysis of all children (aged <18 years) treated at the
National Institute of Mental Health and Neurosciences, Bangalore, India, between
1988 and 2004. Case records were analyzed to obtain clinical, radiological,
bacteriological and follow-up data. RESULTS: There were 231 children who
underwent treatment for focal intradural abscess/empyema at our institute. These
included 57 children with cerebral abscess, 65 with supratentorial empyema, 82
with cerebellar abscess and 27 with infratentorial empyema. All patients
underwent emergency surgery (which was either burr hole and aspiration of the
lesion or craniotomy/craniectomy and excision/evacuation), along with antibiotic
therapy, typically 2 weeks of intravenous and 4 weeks of oral therapy. The
antibiotic regimen was empiric to begin with and was altered if any sensitivity
pattern of the causative organism(s) could be established by culture.
Hydrocephalus was managed with external ventricular drainage initially and with
ventriculoperitoneal shunt if warranted. Mortality rates were 4.8% for cerebral
abscess, 9.6% for cerebellar abscess, 10.8% for supratentorial subdural empyema
and 3.7% for posterior fossa subdural empyema. The choice of surgery was found to
have a strong bearing on the recurrence rates and outcome in most groups, with
aggressive surgery with craniotomy leading to excellent outcomes with a low
incidence of residual/recurrent lesions. CONCLUSIONS: Antibiotic therapy,
emergency surgery and management of associated complications are the mainstays of
treatment of these lesions. We strongly advocate early, aggressive surgery with
antibiotic therapy in children with focal intradural infections.
PMID- 21893956
TI - Postoperative extra-axial cerebrospinal fluid collection--its pathophysiology and
clinical management.
AB - OBJECTIVE: External hydrocephalus, introduced by Dandy and Blackfan in 1914 [Am J
Dis Child 1914;8:406-482], is used to describe the clinical condition of
cerebrospinal fluid (CSF) accumulation in the subarachnoid space with its space
expansion and increased intracranial pressure in pediatric patients. Benign
subdural effusion, subdural hygroma and pseudohydrocephalus are some terms used
to describe some conditions, not clearly defined at the moment as separate
pathological entities. We report 3 pediatric cases which had CSF collections
after craniotomy. Neuroimaging investigations revealed CSF collection after
surgery. All 3 cases had a poor clinical course with resolution after shunting.
This report analyzes the mechanisms and pathophysiology of postoperative extra
axial CSF collections (PECC), indicating that CSF accumulations in the extra
axial spaces (inside or outside the arachnoid) after surgery have a common
pathophysiology. Therefore, the treatment protocol can be specific. PATIENTS:
Three pediatric cases, aged from 11 months to 6 years, had large tumors located
from their suprasellar region to the hypothalamus and third ventricle. The tumors
were excised surgically through either an anterior interhemispheric translamina
terminalis or a transsylvian translamina-terminalis approach or both. The surgery
conducted created a wide opening of the anterior interhemispheric or the sylvian
fissures, dissecting the lamina terminalis and Liliequist's membrane resulting in
a new artificial CSF tract from the basal cisterns to the subdural space.
Clinical symptoms such as deterioration of consciousness level developed within a
few weeks after surgery, and a follow-up computed tomography image confirmed
subdural CSF collection. Symptoms improved after ventriculoperitoneal (V-P)
shunting. DISCUSSION: External hydrocephalus, subdural effusion and the other
entities mentioned above are frequently observed in children, and little is known
about their pathophysiology. To differentiate from the whole entity of CSF
accumulation within the subdural and subarachnoid space, we use the term PECC to
specify the main pathophysiological condition resulting from a wide dissection
and opening of the arachnoid membrane and communication with the basal cisterns.
CONCLUSION: We present the occurrence of CSF collections in the extra-axial space
after surgery as the specific condition of PECC. Broadly dissecting the arachnoid
membrane, with a communication remaining with the ventricles, is the main factor
contributing to PECC, and patients have shown that V-P shunting is an effective
procedure preventing progression and effectively treating PECC.
PMID- 21893957
TI - Meningioangiomatosis in a 5-year-old boy presenting with intractable seizures.
AB - A 5-year-old boy with a history of epilepsy underwent brain magnetic resonance
imaging (MRI) because of an increase in seizure frequency. Brain MRI demonstrated
a left frontal lesion. The patient was operated upon, and gross total excision
was performed. The histological diagnosis was meningioangiomatosis. On follow-up
examination 10 years later, the patient was free of seizures and without any
evidence of tumor recurrence. Meningioangiomatosis is a rare benign hamartomatous
lesion that is associated with seizures. Complete excision is associated with
favorable long-term outcome. The novelty of the present case is the young age of
the child at presentation and the curative role of surgery considering the long
term follow-up.
PMID- 21893958
TI - Quality of health care of rosacea in Germany from the patient's perspective:
results of the national health care study Rosareal 2009.
AB - OBJECTIVES: To obtain reliable data on quality of care of rosacea and to compare
care indicators with those found in atopic dermatitis and psoriasis. PATIENTS AND
METHODS: In this cross-sectional study, clinical history, health-related quality
of life (HrQoL), medication and treatment benefit of patients with rosacea were
assessed by standardized questionnaires. Data were compared to patients with
atopic dermatitis and psoriasis. RESULTS: Almost all 475 participants (96.4%) had
received topical therapy. 24% had used cortisone ointments. The mean Dermatology
Life Quality Index (DLQI) was 4.3 +/- 4.6 (atopic dermatitis: 8.5 +/- 6.0,
psoriasis: 6.7 +/- 6.2). The Patient Benefit Index (patient-defined therapeutic
benefit) was 1.9 +/- 1.2 (psoriasis: 2.3 +/- 1.1, atopic dermatitis: 2.1 +/-
1.1). CONCLUSIONS: Only a small proportion of the rosacea patients had
substantial impairments in HrQoL. Overall, their burden appears to be lower than
in patients with atopic dermatitis or psoriasis. However, the lower therapeutic
benefit and the high proportion of topical steroid use indicate a need for
optimized therapy.
PMID- 21893959
TI - The first description of the hair follicle bulge by Franz von Leydig.
PMID- 21893961
TI - Array CGH in human leukemia: from somatics to genetics.
AB - During the past decade, array CGH has been applied to study copy number
alterations in the genome in human leukemia in relation to prediction of
prognosis or responsiveness to therapy. In the first segment of this review, we
will focus on the identification of acquired mutations by array CGH, followed by
studies on the pathogenesis of leukemia associated with germline genetic
variants, phenotypic presentation and response to treatment. In the last section,
we will discuss constitutional genomic aberrations causally related to myeloid
leukemogenesis.
PMID- 21893960
TI - Insufficient and incomplete shaving in chronic venous leg ulcers leads to a poor
prognosis of the skin graft: a histomorphological analysis.
AB - BACKGROUND: The surgical treatment for therapy-resistant venous leg ulcers is
shave therapy with mesh graft transplantation. OBJECTIVE: To demonstrate the need
of complete shaving with help of histomorphological criteria. METHODS: Biopsies
were taken from the bottom and the border of the 28 ulcers and from nonulcer skin
nearby. Specimens were analyzed in correlation to the clinical course 6 months
after the treatment (healed/nonhealed/recurrent). RESULTS: 10 ulcers healed, 10
were recurrent and 8 nonhealed. Nonhealed ulcers had an increased broadening of
collagen fibers and rete ridges and reduced elastic fibers at the border of the
ulcer. Significantly more obliterated vessels were in the upper dermis at the
border of the nonhealed ulcers. Recurrent ulcers showed more signs of
dermatoliposclerosis. CONCLUSION: In nonhealed and recurrent ulcers
histomorphological aspects of dermatoliposclerosis are still detectable. Thus, a
more extensive fibrosis seems to be associated with a poorer outcome. The
hypothesis that possibly a more aggressive shave therapy can increase the healing
rate should be verified in a prospective study.
PMID- 21893962
TI - Rorgammat+ innate lymphoid cells in intestinal homeostasis and immunity.
AB - Innate lymphoid cells (ILC) combine innate and adaptive immune functions and are
part of the first line of defense against mucosal infections. ILC are set apart
from adaptive lymphocytes by their independence on RAG genes and the resulting
absence of specific antigen receptors. In this review, we will discuss the
biology and function of intestinal ILC that express the nuclear hormone receptor
Rorgammat (encoded by the Rorc gene) and highlight their role in intestinal
homeostasis and immunity.
PMID- 21893963
TI - Difficulty in inserting 25- and 23-gauge trocar cannula during vitrectomy.
AB - PURPOSE: To determine the incidence of difficulty in inserting a 25- and 23-gauge
trocar cannula (DITC) during 25- or 23-gauge micro-incision vitrectomy surgery
(MIVS). METHODS: Retrospective, consecutive, interventional case series performed
by a single surgeon at a single centre. We defined a DITC as the condition where
at least 1 trocar cannula could not be inserted into the vitreous at the
beginning of MIVS. The incidence of DITC was calculated from 1,525 eyes, and the
pre-operative demographics of the DITC cases were compared to those of the non
DITC cases. RESULTS: The incidence of DITC for all cases was 0.6% (9 of 1,525
eyes). Overall, there were 242 eyes with a retinal detachment (RD), and 8 of the
9 eyes with DITC had an RD with an incidence of 3.3% (8 of 242 RD eyes). Seven of
these 8 eyes had a total RD, 4 also had a choroidal detachment, 4 eyes were also
myopic (>-8.0 dpt, high myopia), and 6 of the 8 eyes were hypotonic (<8 mm Hg).
The DITC cases had larger RDs (p<0.0001), a higher incidence of choroidal
detachment (p<0.0001), higher myopia (p=0.0204) and hypotony (p=0.0003) than the
non-DITC eyes with an RD. CONCLUSIONS: A large RD, a choroidal detachment, high
myopia and hypotony are significant risk factors for DITC. We recommend that MIVS
should be performed cautiously for eyes with these risk factors.
PMID- 21893965
TI - Two-year results of photodynamic therapy combined with intravitreal anti-vascular
endothelial growth factor for polypoidal choroidal vasculopathy.
AB - BACKGROUND/AIMS: To evaluate the 2-year efficacy of photodynamic therapy (PDT)
combined with intravitreal injection of anti-vascular endothelial growth factor
(anti-VEGF) in patients with polypoidal choroidal vasculopathy (PCV). METHODS:
Twenty-two eyes of 22 patients with PCV followed up for >=24 months after PDT and
anti-VEGF combination therapy were retrospectively reviewed. The patients
received intravitreal anti-VEGF (1.25 mg bevacizumab or 0.5 mg ranibizumab)
within 7 days after PDT. Eyes were retreated with PDT and anti-VEGF injection, or
with only anti-VEGF injection, when indicated. Main outcome measures were best
corrected visual acuity (BCVA) and central foveal thickness (CFT). RESULTS: The
mean baseline BCVA (0.43+/-0.33 logarithm of the minimum angle of resolution,
logMAR) was 0.28+/-0.24 at 12 months (p<0.05 vs. baseline) and 0.39+/-0.28 at 24
months (not significant). At 24 months, BCVA improved by >=0.3 logMAR in 27.3% of
the eyes, did not significantly decrease in 59.1%, and decreased by >=0.3 logMAR
in 13.6%. The mean CFT was 269.4+/-134.5 MUm at baseline and significantly
decreased to 139.6+/-45.8 MUm (12 months) and 199.6+/-72.9 MUm (24 months). PDT
was administered 1.45+/-0.86 times and anti-VEGF injected 4.45+/-1.36 times over
the 24-month period. CONCLUSION: Combined PDT and anti-VEGF injection were
effective for 2 years in PCV patients. Visual acuity significantly improved
during year 1, but the benefit diminished in year 2. Further investigations are
required to determine how to prolong the therapeutic effect of combination
therapy for PCV.
PMID- 21893964
TI - Bacterial heat shock protein 60, GroEL, can induce the conversion of naive T
cells into a CD4 CD25(+) Foxp3-expressing phenotype.
AB - Recent publications report that heat shock proteins (HSPs) can endow regulatory
responses to the systemic immune system when administered via the mucosal route,
leading to an amelioration of atherosclerosis and allergy. However, it remains
poorly understood if HSP antigens exist in the luminal contents of the
gastrointestinal tract and which types of HSP induce regulatory responses. Here
we addressed these problems, considering that numerous gut microflora and foods
are natural sources of HSPs. SDS-PAGE and immunoblotting with the anti-HSP60
antibody demonstrated the intact and degraded forms of HSP60 mainly in appendix
and large intestine of the gastrointestinal tract. No reactivity with this
antibody was observed for any of the luminal contents derived from germ-free
animals, suggesting gut microflora to be a source of the intestinal HSPs because
of lack of HSPs in animal chow diet. GroEL, a typical member of bacterial HSP60,
showed a tendency to stimulate splenocytes in germ-free mice, compared to that in
conventional mice, suggesting that resident commensal bacterial GroEL may
stimulate HSP-reactive T cells as regulatory cells in conventional animals.
Importantly, GroEL, but not mouse-derived HSP60, caused naive T cells to
differentiate into CD4(+) CD25(+) Foxp3(+) T cells, indicating that the
production of regulatory T cells depends on the type of HSP. Thus, HSPs derived
from commensal microbes can be utilized to stimulate immunoregulatory pathways
for the maintenance of intestinal homeostasis.
PMID- 21893966
TI - Pre- and postnatal ultrasound and magnetic resonance imaging of intracranial
extra-axial glioneuronal heterotopia.
AB - Glioneuronal heterotopias are rare malformations composed of differentiated
derivates of neuroectodermal tissue that include disorganized neuronal and glial
cells. They are typically located extracranially. The nasal cavity represents the
most common location. Glioneuronal heterotopias have also been reported in the
orbit, pharynx, middle ear, neck and thorax. Rarely, glioneuronal heterotopias
may be located intracranially. We are aware of only 15 patients reported with
intracranial extra-axial glioneuronal heterotopia (IEGH). We present the prenatal
ultrasound and MRI as well as the matching postnatal CT, conventional MRI and
diffusion tensor imaging findings of a newborn with an infratentorial IEGH
accompanied with craniofacial anomalies.
PMID- 21893968
TI - Phosphorylation of histone H3S10 in animal chromosomes: is there a uniform
pattern?
AB - Phosphorylation of serine 10 in histone H3 (H3S10ph) has been extensively
analyzed and appears to be a conserved chromatin change associated with
chromosome condensation in different eukaryotic organisms. In this work, we
report the distribution of H3S10ph during meiosis in monocentric and holokinetic
chromosomes of 6 insect species and in mitotic chromosomes of 7 mammalian
species, aiming to investigate the labeling patterns in phylogenetically distant
groups. The results indicated a very similar phosphorylation timing and
distribution pattern among insects. The sex chromosomes of insects analyzed were
always undercondensed and hypophosphorylated. Similarly, the micro chromosomes of
the bug Pachylis aff pharaonis were also undercondensed and hypophosphorylated.
Holokinetic chromosomes of bugs and monocentric chromosomes of grasshoppers and
beetles displayed identical phosphorylation pattern in spite of the difference in
the centromere type. Among mammals, a uniform chromosome phosphorylation was
observed in marsupials, whereas bat chromosomes displayed a longitudinal banding
pattern. These data indicate that, in general, the intensity of H3S10
phosphorylation in animal chromosomes is variable among the distinct chromosome
types and associated with the degree of chromatin condensation at metaphase, but
it may vary between different groups of animals.
PMID- 21893967
TI - On the promise of pharmacotherapies targeted at cognitive and neurodegenerative
components of Down syndrome.
AB - Down syndrome (DS) is the phenotypic consequence of trisomy 21 and is the most
common genetically defined cause of intellectual disability. The most complete,
widely available, and well-studied animal model of DS is the Ts65Dn mouse. Recent
preclinical successes in rescuing learning and memory deficits in Ts65Dn mice are
legitimate causes for optimism that pharmacotherapies for cognitive deficits in
DS might be within reach. This article provides a snapshot of potential
pharmacotherapies for DS, with emphasis on our recent results showing that the N
methyl-D-aspartate receptor antagonist memantine can reverse learning and memory
deficits in Ts65Dn mice. Because memantine has already been approved for the
therapy of Alzheimer's dementia, we have been able to very rapidly translate
these results into human research and are currently conducting a 16-week,
randomized, double-blind, placebo-controlled evaluation of the efficacy,
tolerability and safety of memantine hydrochloride on enhancing the cognitive
abilities of young adults with DS. The design and current status of this clinical
trial will be discussed, which will be followed by some speculation on the
potential impact of this and future clinical trials in the field of DS.
PMID- 21893969
TI - Hypospadias in a male (78,XY; SRY-positive) dog and sex reversal female (78,XX;
SRY-negative) dogs: clinical, histological and genetic studies.
AB - Hypospadias is rarely reported in dogs. In this study we pre-sent 2 novel cases
of this disorder of sexual development and, in addition, a case of hereditary sex
reversal in a female with an enlarged clitoris. The first case was a male Moscow
watchdog with a normal karyotype (78,XY) and the presence of the SRY gene. In
this dog, perineal hypospadias, bilateral inguinal cryptorchidism and testes were
observed. The second case, representing the Cocker spaniel breed, had a small
penis with a hypospadic orifice of the urethra, bilateral cryptorchidism, testis
and a rudimentary gonad inside an ovarian bursa, a normal female karyotype
(78,XX) and a lack of the SRY gene. This animal was classified as a compound sex
reversal (78,XX, SRY-negative) with the hypospadias syndrome. The third case was
a Cocker spaniel female with an enlarged clitoris and internally located
ovotestes. Cytogenetic and molecular analyses revealed a normal female karyotype
(78,XX) and a lack of the SRY gene, while histology of the gonads showed an
ovotesticular structure. This case was classified as a typical hereditary sex
reversal syndrome (78,XX, SRY-negative). Molecular studies were focused on coding
sequences of the SRY gene (case 1) and 2 candidates for monogenic hypospadias,
namely MAMLD1 (mastermind-like domain containing 1) and SRD5A2 (steroid-5-alpha
reductase, alpha polypeptide 2). Sequencing of the entire SRY gene, including 5'-
and 3'-flanking regions, did not reveal any mutation. The entire coding sequence
of MAMLD1 and SRD5A2 was analyzed in all the intersexes, as well as in 4
phenotypically normal control dogs (3 females and 1 male). In MAMLD1 2 SNPs,
including 1 missense substitution in exon 1 (c.128A>G, Asp43Ser), were
identified, whereas in SRD5A2 7 polymorphisms, including 1 missense SNP
(c.358G>A, Ala120Thr), were found. None of the identified polymorphisms
cosegregated with the intersexual phenotype, thus, we cannot confirm that
hypospadias may be associated with polymorphism in the coding sequence of the
studied genes.
PMID- 21893970
TI - Preventive scleral buckling and silicone oil tamponade are important for
posttraumatic endophthalmitis successfully managed with vitrectomy.
AB - AIMS: Posttraumatic endophthalmitis (PTE) managed with pars plana vitrectomy
(PPV) and a variety of other methods often resulted in retinal detachment (RD),
which deteriorates postoperative visual acuity (VA). We investigated initial
ocular conditions, surgical management and outcomes of PTE patients and analyzed
their relationship in order to find the necessary management for different
patients' conditions. METHODS: Retrospective study. Medical records of 62
consecutive patients who were diagnosed with PTE and managed with PPV were
retrospectively analyzed. The included patients were divided into two groups
depending on their initial VA, with light perception (LP) as borderline: group A
(12 cases; VA=LP) and group B (50 cases; VA>LP). Specific variables related to
surgical outcomes were analyzed, including: initial VA; intraocular foreign body;
location and length of the wound; microbiologic test; cataract extraction;
scleral buckling; silicon oil tamponade; gas tamponade, and retinal restoration.
Data were statistically analyzed by the chi2 test, by univariate analysis for
predictors and by multivariate logistic regression analysis. RESULTS: RD happened
in 19 (30.6%) of the 62 cases included. There were 6 cases (50%) in group A and
13 cases (26%) in group B which resulted in RD. Statistical analysis demonstrated
that none of the related factors were correlated to the occurrence of RD (all
factors: p>0.05) in group A, but in group B, scleral buckling (with: 2/22, 9%;
without: 11/28, 39%; p<0.05) and silicone oil tamponade (with: 0/6; without:
13/44, 30%; p<0.05) were statistically significantly correlated to the occurrence
of RD. CONCLUSIONS: Preventive scleral buckling and silicone oil tamponade are
important for patients with good initial VA (VA>LP) to be successfully managed by
PPV.
PMID- 21893971
TI - Ultrastructure of the membrana limitans interna after dye-assisted membrane
peeling.
AB - The purpose of this study was to investigate the ultrastructure of the membrana
limitans interna (internal limiting membrane, ILM) and to evaluate alterations to
the retinal cell layers after membrane peeling with vital dyes. Twenty-five
patients (25 eyes) who underwent macular hole surgery were included, whereby 12
indocyanine green (ICG)- and 13 brilliant blue G (BBG)-stained ILM were analyzed
using light, transmission electron and scanning electron microscopy. Retinal cell
fragments on the ILM were identified in both groups using immunohistochemistry.
Comparing ICG- and BBG-stained membranes, larger cellular fragments were observed
at a higher frequency in the BBG group. Thereby, the findings indicate that ICG
permits an enhanced separation of the ILM from the underlying retina with less
mechanical destruction. A possible explanation might be seen in the known
photosensitivity of ICG, which induces a stiffening and shrinkage of the ILM but
also generates retinal toxic metabolites.
PMID- 21893972
TI - Comparison of grid laser, intravitreal triamcinolone, and intravitreal
bevacizumab in the treatment of diffuse diabetic macular edema.
AB - PURPOSE: To compare the effects of grid laser (GL), intravitreal bevacizumab
(IVB), and intravitreal triamcinolone acetonide (IVTA) in diffuse diabetic
macular edema (DDME). PROCEDURES: One hundred and twenty-six patients (126 eyes)
treated with GL (modified grid), IVTA (4 mg), and IVB (1.25 mg) injections,
matched for best corrected visual acuity (BCVA) and OCT-based central macular
thickness at presentation, were enrolled. Primary outcome measure was change in
best corrected logMAR visual acuity at 1-year follow-up. RESULTS: Rates of visual
stabilization (within +/-0.2 logMAR of baseline BCVA) (71.4, 83.3, 78.6%,
respectively) were not different between the groups (p = 0.41) at 12-month follow
up. Higher rates of anatomical and functional success, however, were evident in
IVB and IVTA groups within 6 months of treatment (p < 0.05 for both). No severe
adverse effects except higher intraocular pressure (10 mm Hg from baseline) in
one third (14 eyes) of the IVTA cases, who required trabeculectomy in 2 (4.8%)
eyes, were observed. CONCLUSIONS: Intraocular injections may give favorable
results within the first 6 months, and after 6 months, GL results seem to be more
favorable in the treatment of treatment-naive, acute, nonischemic, and center
involving DDME.
PMID- 21893973
TI - IL-1 inhibition in cryopyrin-associated periodic syndrome and beyond: a million
dollar question about the injection schedule of biotherapies.
PMID- 21893974
TI - Reduced serum fetuin-A in nephrotic children: a consequence of proteinuria?
AB - BACKGROUND: The extracellular protein fetuin-A is a potent soluble inhibitor of
calcification, and its deficiency has been associated with vascular calcification
in dialysis patients. In proteinuric patients, significant urinary losses of
fetuin-A may cause low serum fetuin-A levels. METHODS: In a cross-sectional
study, urinary/serum concentrations of fetuin-A were investigated in proteinuric
children with glomerular diseases and preserved renal function (n = 58) in
comparison to healthy controls (n = 246). RESULTS: Mean fetuin-A serum
concentrations were clearly reduced in children with nephrotic syndrome (0.25 +/-
0.14 g/l, p < 0.001), slightly reduced in children with large proteinuria (0.39
+/- 0.15 g/l, p < 0.05), and comparable to controls in those with mild
proteinuria (0.45 +/- 0.14 vs. 0.46 +/- 0.12 g/l). Fetuin-A was positively
correlated with serum protein (r = 0.58), albumin (r = 0.57), and calcium (r =
0.64), but negatively correlated with proteinuria (r = -0.41), albuminuria (r =
0.46), and urinary fetuin-A excretion (r = -0.48; each p < 0.001). The fractional
excretion of fetuin-A was significantly associated with the degree of proteinuria
and serum fetuin-A levels. However, the urinary loss of fetuin-A and albumin in
nephrotic children differed by three orders of magnitude and the mean fractional
excretion of fetuin-A was only 1/10 of that of albumin (0.016 +/- 0.029 vs. 0.162
+/- 0.403%; p < 0.001). CONCLUSIONS: Fetuin-A is clearly reduced in children with
nephrotic syndrome and associated with the degree of hypoalbuminemia. This is due
to urinary fetuin-A loss and/or reduced hepatic synthesis. Persistent fetuin-A
deficiency may have an impact on cardiovascular morbidity in nephrotic children.
PMID- 21893975
TI - Epidemiology of interdialytic ambulatory hypertension and the role of volume
excess.
AB - BACKGROUND: The epidemiology of hypertension among hemodialysis (HD) patients is
difficult to describe accurately because of difficulties in the assessment of
blood pressure (BP). METHODS: Using 44-hour interdialytic ambulatory BP
measurements, we describe the epidemiology of hypertension in a cohort of 369
patients. To seek correlates of hypertension control, antihypertensive agents
were withdrawn among patients with controlled hypertension and ambulatory BP
monitoring was repeated. RESULTS: Hypertension (defined as an average ambulatory
systolic BP >=135 mm Hg or diastolic BP >=85 mm Hg, or the use of
antihypertensive medications) was prevalent in 82% of the patients and
independently associated with epoetin use, lower body mass index and fewer years
on dialysis. Although 89% of the patients were being treated, hypertension was
controlled adequately in only 38%. Poor control was independently associated with
greater antihypertensive drug use. Inferior vena cava (IVC) diameter in
expiration was associated with increased risk of poorly controlled hypertension
both in cross-sectional analysis and after withdrawal of antihypertensive drugs.
CONCLUSIONS: Interdialytic hypertension is highly prevalent and difficult to
control among HD patients. End-expiration IVC diameter is associated with poor
control of hypertension in cross-sectional analyses as well as after washout of
antihypertensive drugs. Among HD patients, an attractive target for improving
hypertension control appears to be the reduction of extracellular fluid volume.
PMID- 21893976
TI - A new endotoxin adsorber in septic shock: observational case series.
AB - AIMS: Effects of a new endotoxin adsorber on the length of noradrenaline (NA)
treatment, LPS (lipopolysaccharide) levels and SOFA (sequential organ failure
assessment) scores in septic shock were evaluated. METHODS: Two-hour
hemoperfusion with LPS adsorber was initiated in patients with septic shock and
endotoxemia. Controls were matched for age, focus and severity of illness.
RESULTS: Adsorption treatment (n = 9) exhibited a significant decrease in EAA
(endotoxin activity assay) activity (0.55 [0.44-0.68] vs. 0.25 [0.13-0.41], p =
0.019) and NA infusion rate (0.217 MUg/kg/min [0.119-0.0508] vs. 0 MUg/kg/min [0
0.09], p = 0.026) from pretreatment to 24 h post-treatment. The median decrease
in SOFA scores from pretreatment to 24 h was 3.0 points (1.5-4.5), p = 0.002.
Duration of NA infusion was significantly shorter compared to controls (39 h [31
48] vs. 54 h [43-151], p = 0.03). CONCLUSIONS: LPS adsorber treatment was
associated with a decrease in NA dose, decrease in SOFA scores and LPS
concentrations.
PMID- 21893977
TI - Reduction in serum high mobility group box-1 level by polymyxin B-immobilized
fiber column in patients with idiopathic pulmonary fibrosis with acute
exacerbation.
AB - BACKGROUND/AIM: Recent reports suggest that polymyxin B (PMX)-immobilized fiber
may have beneficial effects in idiopathic pulmonary fibrosis (IPF) with acute
exacerbation (AE). High mobility group box-1 (HMGB-1) is an important pro
inflammatory mediator that contributes to acute lung inflammation. This study was
aimed to investigate whether PMX treatment affects serum HMGB-1 levels and
oxygenation in IPF patients with AE. MATERIALS AND METHODS: Twenty IPF patients
with AE were treated by PMX. PMX treatment was carried out once daily for 2
successive days. Serum HMGB-1 levels were measured before and after PMX
treatment. We also monitored arterial oxygen tension (PaO(2))/inspiratory oxygen
fraction (FiO(2)) (P/F) ratio. PMX fiber columns were analyzed to examine whether
HMGB-1 was absorbed by PMX. RESULTS: PMX treatment significantly improved both
the serum HMGB-1 level and P/F ratio. HMGB-1 was detected in washing medium from
the PMX column. CONCLUSION: PMX treatment may reduce serum HMGB-1 and improve
oxygenation in patients with IPF with AE.
PMID- 21893978
TI - Genetic variants in the promoter region of the ALOX5AP gene and susceptibility of
ischemic stroke.
AB - BACKGROUND: Despite accumulating evidence supporting the association between
variants of the ALOX5AP gene and atherosclerotic vascular events, the precise
mechanism is still unclear. No variants in the coding sequence that lead to amino
acid substitution have been found. We investigated genetic variants in the
promoter region of the ALOX5AP gene and the association with ischemic stroke in a
north Chinese Han population. METHODS: 505 cases of ischemic stroke and 500 age-
and gender-matched controls of the north Chinese Han population were enrolled.
Genetic variants in the promoter region of the ALOX5AP gene were identified by
polymerase chain reaction and DNA sequencing. 40 cases and 40 controls were
randomly selected and compared for serum leukotriene B(4) (LTB(4)) concentration.
The effect on ischemic stroke was evaluated by logistic regression. RESULTS:
Three genetic variants were identified, including a mutation (-519 G > A), an
insertion and deletion polymorphisms (-581_582 Ins A) and a single nuclear
polymorphisms (-946 A > G). Association study showed that the II genotype of
581_582 Ins A was significantly associated with ischemic stroke of a large artery
atherosclerosis (OR = 3.50, 95% CI = 1.93-6.36, p = 0.0002) and undetermined
etiology (OR = 3.66, 95% CI = 1.92-6.94, p = 0.0006). No significant association
was found between the -519 GA genotype (OR = 0.35, 95% CI = 0.02-5.88, p = 0.46),
-946 AG genotype (OR = 1.35, 95% CI = 0.85-2.16, p = 0.21) and ischemic stroke.
There was no significant difference in serum LTB(4) concentration between cases
(n = 40) and controls (n = 40) (log serum LTB(4) of cases vs. controls: 2.67 +/-
0.14 vs. 2.73 +/- 0.18 pg/ml, p = 0.10). However, the serum LTB(4) concentration
was significantly higher in participants with the II genotype of -581_582 Ins A
(n = 12) than that of participants with the DD genotype (n = 68) (log serum
LTB(4) of participants with II genotype vs. DD genotype: 2.82 +/- 0.18 vs. 2.68
+/- 0.15 pg/ml, p = 0.01). CONCLUSION: The -581_582 Ins A polymorphism might be a
novel genetic risk factor for ischemic stroke in a north Chinese Han population.
Further studies on molecular mechanism are warranted.
PMID- 21893979
TI - Post-interventional microembolism: cortical border zone is a preferential site
for ischemia.
AB - BACKGROUND: Previous diffusion-weighted MRI (DWI) studies have indicated that 10
40% of patients have silent embolism during neurointerventional procedures.
However, lesion patterns of the embolisms have not been adequately investigated.
METHODS: DWI was taken within 7 days before and 48 h after cerebral angioplasty
and stent procedures. New lesions on the follow-up DWI were analyzed in the non
treated arterial territories. Based on the arterial territories, supratentorial
lesions were classified into cortical lesions and subcortical lesions. Cortical
lesions were subdivided into cortical border zone and cortical proper lesions.
Subcortical lesions were divided into deep perforator and internal border zone
lesions. Infratentorial lesions were divided into brainstem and cerebellar
lesions. RESULTS: 72 patients were included in this study. There were 223 new DWI
lesions (1-23 lesions) in the non-treated arterial territories of 37 patients.
There were 154 cortical lesions, 45 cerebellar lesions, 21 subcortical lesions
and 3 brainstem lesions. Analysis of the distribution pattern of cortical lesions
showed that 88 of 154 lesions were located at the cortical border zone. Of the
subcortical lesions, 13 of 21 lesions were located at the internal border zone
area, within the corona radiata and centrum ovale. Only 4 lesions were located at
the deep perforator territory. Infratentorial lesions were mostly located at the
cerebellar hemisphere (45/48). Most lesions were tiny infarcts (<5 mm diameter);
7 of 223 lesions were >10 mm in diameter. CONCLUSIONS: Interventional-angiography
related microembolisms mostly lodge in the cerebral cortical border zone area and
cerebellar hemisphere. Microembolisms to the deep perforating artery territory
are distinctly rare.
PMID- 21893980
TI - Paroxysmal atrial fibrillation is more prevalent than persistent atrial
fibrillation in acute stroke and transient ischemic attack patients.
AB - BACKGROUND: Atrial fibrillation (AF) is a common cause of ischemic stroke and
transient ischemic attack (TIA). More extensive diagnostic effort is required to
detect paroxysmal AF (pxAF) than persistent AF (pAF); the prevalence of pxAF in
stroke patients is unknown. We evaluated the prevalence of pAF and pxAF in
ischemic stroke and TIA patients. METHODS: Consecutive patients with acute
ischemic stroke/TIA were enrolled prospectively. We aimed to detect patients with
a history of AF, with AF newly diagnosed in the emergency room (ER), or with
newly diagnosed AF during a 3-month period following the event. Differences in
the frequency of AF diagnosis with respect to the disposition of patients after
ER work-up were assessed. RESULTS: A total of 692 patients were enrolled (male:
52.2%; ischemic stroke: 69.1%; TIA: 30.9%). A previously documented history of AF
was present in 19.7% (pAF: 47.1%, pxAF: 52.9%). In 3.8% of patients, AF was newly
diagnosed in the ER (pxAF: 61.5%) and in 5.2% during the 3-month follow-up
period. The overall prevalence of AF was 28.6% (pxAF: 62.6%). Previously
documented pxAF evaded diagnosis at ER presentation in 48.6%. The prevalence of
AF increased with age (p < 0.001). Patients with pxAF were younger than those
with pAF (p = 0.004) and more often female (p = 0.05). The presence of any AF was
associated with higher initial NIHSS scores (p < 0.001) and higher modified
Rankin scores after 3 months (p < 0.001). CONCLUSION: pxAF occurs more often than
pAF in stroke/TIA patients. As effective stroke prevention is available for AF,
it is important to develop and evaluate sensitive methods for detecting pxAF.
PMID- 21893981
TI - Recurrent stroke after lobar and deep intracerebral hemorrhage: a hospital-based
cohort study.
AB - BACKGROUND: Recurrent stroke rates after intracerebral hemorrhage (ICH) vary
widely between observational studies due to differences in ICH etiology and risk
for thromboembolic events. We therefore aimed to assess the patient
characteristics and prognosis after deep and lobar ICH in a well-characterized,
multicenter, hospital-based cohort. METHODS: Patients were prospectively
documented in 13 German neurological stroke centers. Of 744 patients with
spontaneous ICH discharged alive, 516 (69.4%) gave informed consent and 496
(66.7%) could be followed up by central telephone interview over a mean duration
of 2 years. RESULTS: In patients with deep ICH, the Kaplan-Meier estimate for
stroke during the first year was 5.8% (95% CI 2.9-8.7) and the overall annual
rate (calculated over a 3-year period) was 2.9% (95% CI 1.6-4.1). In patients
with lobar ICH, the Kaplan-Meier estimate for stroke during the first year was
7.8% (95% CI 3.1-12.5) and the overall annual rate was 7.2% (95% CI 3.8-10.6). At
the last follow-up before recurrent stroke or end of study, 141 patients (28.4%)
overall received antiplatelet agents, and 12 (2.4%) received oral
anticoagulation. No difference could be found for recurrent ICH under
antiplatelet agents versus no antithrombotic medication. CONCLUSION: The risk of
recurrent stroke after lobar ICH remains high beyond the first year, whereas it
decreases after 1 year in patients with deep ICH. Antiplatelets are prescribed in
a considerable number of patients even though the risk-benefit ratio after ICH
remains unknown.
PMID- 21893982
TI - Interaction studies of an anticancer alkaloid, (+)-(13aS)-deoxytylophorinine,
with calf thymus DNA and four repeated double-helical DNAs.
AB - BACKGROUND: Phenanthroindolizidine alkaloids are a family of plant-derived
compounds with significant antineoplastic activity. The specific biomolecular
targets of these alkaloids have not yet been clearly identified. (+)-(13aS)
deoxytylophorinine is a new phenanthroindolizidine alkaloid originally extracted
from the roots of Tylophora atrofolliculata and Tylophora ovata in our institute.
(+)-(13aS)-deoxytylophorinine exerts both in vitro and in vivoanticancer
activities. METHODS: The in vivo anticancer effects and toxicity of this compound
were investigated in mice, and interactions between this compound and double
helical DNA sequences were studied in detail with circular dichroic spectroscopy
and fluorescence spectroscopy. Viscosity measurements were applied to check the
interactive mode between this compound and DNA. RESULTS: Potent anticancer
effects were observed in vivo. Also, concentration-dependent interactions were
observed and this compound seemed to interact in a sequence-specific manner with
AT-repeated sequences of double-helical DNA. Such interactions were proved to be
intercalating by viscosity measurements. CONCLUSIONS: Anticancer alkaloid (+)
(13aS)-deoxytylophorinine can have sequence-specific interactions with DNA in an
intercalating manner.
PMID- 21893983
TI - Celecoxib, a selective cyclooxygenase-2 inhibitor, attenuates renal injury in a
rat model of Cisplatin-induced nephrotoxicity.
AB - BACKGROUND: Cisplatin is an effective chemotherapeutic agent successfully used in
the treatment of a wide range of tumors. Nevertheless, nephrotoxicity has
restricted its clinical use. Recent studies have strongly suggested that
inflammatory mechanisms may play an important role in the pathogenesis of
cisplatin nephrotoxicity. Celecoxib, a selective cyclooxygenase-2 inhibitor used
as anti-inflammatory, may therefore have a protective effect on cisplatin-induced
renal injury. METHODS: In the present study, rats were injected intraperitoneally
with a single dose of cisplatin (7 mg/kg) and/or celecoxib (30 mg/kg) for 5 days.
RESULTS: Nephrotoxicity manifested biochemically by elevations in serum
creatinine, blood urea nitrogen, and proteinuria, and an increase in kidney
weight as a percentage of total body weight. In addition, a marked decrease in
serum albumin was observed. Lipid peroxidation in the kidney was monitored by
measuring the malondialdehyde level and glutathione content, which were increased
and depleted, respectively. Administration of celecoxib with cisplatin attenuated
cisplatin-induced changes in kidney function parameters and oxidative stress
markers. Histopathological examination of the kidney confirmed these results.
CONCLUSION: In conclusion, this study indicates that celecoxib may be a promising
drug for clinical use as a nephroprotectant against cisplatin-induced
nephrotoxicity.
PMID- 21893984
TI - Aminoguanidine, a selective nitric oxide synthase inhibitor, attenuates
cyclophosphamide-induced renal damage by inhibiting protein nitration and
poly(ADP-Ribose) polymerase activation.
AB - BACKGROUND: Cyclophosphamide (CP) is an antineoplastic agent that is used for the
treatment of many neoplastic diseases. Renal damage is one of the dose-limiting
side effects of CP. Recent studies show that nitrosative stress plays an
important role in CP-induced renal damage. AIM: The purpose of our study was to
investigate whether aminoguanidine (AG), a selective inducible nitric oxide
synthase inhibitor, protects against CP-induced nitrosative stress and renal
damage. METHOD: Renal damage was induced in rats by administration of a single
injection of CP at a dose of 150 mg/kg body weight intraperitoneally. For the AG
pretreatment studies, the rats were injected intraperitoneally with AG at a dose
of 200 mg/kg body weight 1 h before administration of CP. The control rats
received AG or saline alone. All the rats were killed 16 h after the
administration of CP or saline. Pretreatment with AG prevented CP-induced
nitration of protein tyrosine and poly(ADP-ribose) polymerase (PARP) activation.
RESULT: Pretreatment with AG attenuated CP-induced renal damage. The present
study demonstrates that AG is effective in preventing CP-induced renal damage and
also that the protective effect is from its ability to inhibit nitric oxide
induced protein nitration and PARP activation. CONCLUSION: The present study
shows that AG can prevent CP-induced renal damage by inhibiting protein tyrosine
nitration and PARP activation. Thus, a more efficient and comfortable therapy can
be achieved for patients in need of CP treatment. AG appears to be a promising
drug for the prevention of nephrotoxicity of CP.
PMID- 21893985
TI - Pharmacology of renal endothelin receptors.
AB - Endothelin (ET)-1 is the major isoform in the human kidney where it interacts
with two G protein-coupled receptors, ET(A) and ET(B). It contains high densities
of ET receptors, but in contrast to most other peripheral organs, the majority
(70%) are of the ET(B) subtype and largely have a differential distribution to
ET(A) receptors within renal cells, thus mediating contrasting physiological and
pathophysiological actions. ET-1 remains the most potent constrictor of human
isolated vessels, including those of the kidney which are particularly sensitive
to the actions of this peptide. The pharmacological response is unusual in being
sustained for a considerable period of time and slow to wash out. Smooth muscle
cells of the renal vasculature mainly express ET(A) receptors, and ET(A)
selective antagonists fully block these constrictor responses. The vascular
endothelium only expresses ET(B) receptors. ET-1 also acts in an autocrine or
paracrine manner, and binds to ET(B) receptors to stimulate the release of
vasodilators. ET-1 is unusual amongst the mammalian bioactive peptides in
possessing two disulphide bridges, conferring resistance to enzymatic
degradation. However, the plasma half-life of ET-1 is surprisingly short as a
result of the second major function of endothelial ET(B) receptors in removing ET
1 from the circulation, mainly in the kidneys and lungs. Thus, ET(B) receptors
have a critical role in protecting target organs such as the heart and may limit
the detrimental vasoconstrictor effect caused by upregulation of ET-1 associated
with disease. Inhibition of the renal medullary ET(B) system causes sodium
retention because of its role in systemic fluid and electrolyte homeostasis.
ET(A)/ET(B) antagonists would be expected to block the beneficial vasodilatory,
clearing, and natriuretic actions of ET(B) receptors. Since many of the
deleterious actions of ET-1, vasoconstriction, mesangial cell proliferation, and
inflammation occur mainly via ET(A) receptors, selective ET(A) blockade may be
more beneficial in renal disease.
PMID- 21893986
TI - Renal function and blood pressure: molecular insights into the biology of
endothelin-1.
AB - The therapeutic implications of the actions of endothelin (ET)-1 upon renal and
cardiovascular function are evident. Among other diseases, ET-1 is recognized to
be involved in hypertension and renal failure and, in a rush to develop novel
treatments, has been extensively studied. However, given the broad localization
of the two receptors (ET(A) and ET(B)) and the diverse effects resulting from
their activation, analysis of the role of ET-1 in kidney-regulated blood pressure
remains complicated. Moreover, the actions of ET-1 depend upon the cell type and
physiological situation. To add to the complexity, both receptors often activate
opposing signaling pathways within a single cell. Thus, until recently, reliable
insights into the respective involvement of both receptors in the physiology and
pathology of the kidney were eagerly awaited. These have been obtained using mice
that are genetically modified for different members of the ET system. In this
article, the molecular biology of ET-1 and its receptors in the control of renal
vasculature tonicity, glomerular function, and management of water and salt
reabsorption is discussed. The role of renal ET-1 in the context of blood
pressure regulation will be discussed, and the potential of utilizing ET receptor
antagonism in the treatment and prevention of glomerular and proteinuric diseases
is also outlined.
PMID- 21893987
TI - Endothelin and the renal vasculature.
AB - The endothelin (ET) system comprises a family of three isopeptides (ET-1, ET-2,
and ET-3)involved in diverse physiological and pathophysiological events. ET-1 is
the major renal peptide that exerts its biological activity by binding to ET(A)
and ET(B) receptors. Both ET(A) and ET(B) receptors are expressed by renal
microvascular smooth muscle cells, where activation causes vasoconstriction.
ET(B) receptors are also expressed by microvascular endothelial cells, where
activation leads to vasodilator responses. ET-1 influences preglomerular and
postglomerular microvascular tone and thus can significantly influence renal
hemodynamics. Alteration of renal ET-1 synthesis and receptor expression has been
reported in cardiovascular diseases, and could contribute to renal injury by
altering renal microvascular reactivity. In this brief review, we will try to
summarize what is known about ET control of renal microvascular function.
PMID- 21893988
TI - Endothelin signaling and actions in the renal mesangium.
AB - Endothelins (ETs), and particularly ET-1, activate a complex network of
interconnected signaling cascades in mesangial glomerular cells, which play an
important role in the physiology and pathophysiology of the glomerulus. Excessive
stimulation of ET-1 production by mesangial cells results in activation of a wide
variety of signaling pathways in the renal mesangium, which is at least partially
responsible for glomerular damage in the setting of diabetes, hypertension, and
glomerulonephritis. Mesangial cells express both types of ET receptors (ET(A)-R
and ET(B)-R), which are G protein-coupled receptors. ET-1 induces mobilization of
Ca(2+); activation of phospholipases A, C, and D; activation of protein kinase C;
GTP-loading of several families of small GTPases; and activation of intracellular
tyrosine kinases resulting in protein tyrosine phosphorylation of adaptor,
scaffolding, and signaling proteins. ET-1-triggered posttranslational
modification of signaling molecules sets the base for the formation of multiunit
signaling complexes which define the specificity of ET signaling. Long-term
effects of ET-1 are also mediated via increased expression of particular
signaling proteins. It is likely that ET-1 acts via ET(A)-R to trigger the
contraction of mesangial cells, which decreases glomerular filtration area and
reduces the glomerular filtration rate, promoting impaired renal function.
Proliferation of mesangial cells is observed in the progress of several types of
glomerulonephritis. ET-1 is a potent mitogen of mesangial cells and the ability
of ET-1 to support mesangial cell proliferation is likely to be associated with
both recruitment of cytoplasmic tyrosine kinases which activate the Shc-Sos-Ras
Raf-MEK-ERK signaling pathway and transactivation of the EGF receptor. The
guanine nucleotide exchange factor betaPix and the adaptor protein p66(Shc) are
important players in Akt-independent inactivation of FOXO3a transcription factor.
This results in the depletion of the inhibitor of cell cycle progression
p27(kip1), and promotion of mesangial cell proliferation. Plentiful evidence
suggests an essential role of ET-1-signaling and action in the renal mesangium
for renal biology and pathobiology.
PMID- 21893990
TI - Role of endothelin in thick ascending limb sodium chloride transport.
AB - The thick ascending limb of the loop of Henle reabsorbs 20-30% of filtered sodium
chloride (NaCl) and generates the osmotic gradient necessary for water absorption
in the distal nephron. It is second only to the collecting duct as a source of
renal endothelin (ET)-1, which inhibits NaCl reabsorption in the thick ascending
limb by reducing NaCl entry into the cell via the furosemide-sensitive
Na(+)/K(+)/2 Cl(-) cotransporter. The mechanism by which this occurs appears to
be due to activation of ET(B) receptors, phosphatidylinositol 3 kinase and Akt,
and enhanced nitric oxide production by nitric oxide synthase 3. ET-1 may inhibit
thick ascending limb NaCl absorption in either an autocrine or paracrine fashion.
High-salt intake elevates ET-1 release by thick ascending limbs, although the
molecular mechanism involved is unknown. Enhanced ET-1 release and inhibition of
thick ascending limb NaCl absorption are thought to be among the mechanisms
required to eliminate a salt load without increasing blood pressure. However, we
still have much to learn about how ET-1 inhibits thick ascending limb NaCl
absorption, how release and processing of ET-1 are regulated, and the receptors
involved.
PMID- 21893991
TI - Endothelins and kidney acidification.
AB - Endothelins (ETs) were initially identified for their vasoactive properties, but
they also influence kidney tubule transport including acidification. They
contribute importantly to enhancing kidney acidification in response to an acid
challenge to systemic acid-base balance and enhance tubule acidification in
settings of chronically reduced glomerular filtration rate (GFR) as in chronic
kidney disease. ETs also contribute pathophysiologically to the increased kidney
acidification in some forms of chronic metabolic alkalosis. In addition,
chronically increased ET activity in the kidney, as in chronic kidney disease
with reduced GFR, might also mediate the progressive GFR decline observed in some
nephropathies. These exciting insights have led to the need for continued
investigation regarding the physiologic contribution of ETs to kidney
acidification and the possible pathophysiologic consequences of the associated
increased kidney ET activity.
PMID- 21893989
TI - Regulation of sodium transport in the proximal tubule by endothelin.
AB - Human essential hypertension and rodent genetic hypertension are associated with
increased sodium transport in the renal proximal tubule and medullary thick
ascending limb of Henle. The proximal tubule, which secretes endothelin (ET),
expresses the ET(B) receptor. Low (nM) concentrations of ET, via the ET(B)
receptor, inhibit sodium and water transport and ATP-driven drug secretion in the
proximal tubule. In contrast, very low (pM) and high nM concentrations of ET
increase renal proximal sodium transport, but the receptor involved remains to be
determined. The natriuretic effect of ET(B) receptor stimulation is impaired in
spontaneously hypertensive rats, due in part to a defective interaction with D(3)
dopamine and angiotensin II type 1 receptors. Impaired ET(B) receptor function in
the renal proximal tubule may be important in the pathogenesis of genetic
hypertension.
PMID- 21893992
TI - Endothelin and collecting duct sodium and water transport.
AB - The renal collecting duct (CD) produces and binds more endothelin (ET)-1 than any
other region of the kidney. ET-1 has the potential to act as an autocrine
regulator of CD function since ET-1 is secreted abluminally and ET receptors are
located primarily on the basolateral side of the CD cell. A large number of in
vitro studies have supported this notion of an autocrine function for ET-1,
demonstrating that the peptide, largely through activation of the ET(B) receptor,
inhibits both sodium (Na) and water reabsorption in the CD. The physiologic
relevance of these findings has been confirmed in vivo wherein mice with CD
specific knockout of ET-1 are hypertensive on a normal Na diet and develop
worsened hypertension associated with Na retention when placed on a high-Na diet.
Similarly, CDET-1-deficient mice demonstrate enhanced responsiveness to
vasopressin and an impaired ability to excrete an acute water load. CD-specific
knockout of both ET(A) and ET(B) receptors together causes a similar hypertensive
and Na-retaining phenotype. The mechanisms by which ET-1 exerts its effects on CD
Na and water reabsorption are being increasingly understood. ET-1 inhibits
epithelial Na channel (ENaC) activity through src- and mitogen-activated protein
kinase-dependent pathways; channel number is also reduced by a beta1Pix-dependent
mechanism. In addition, nitric oxide is an important modulator of ET-1 actions on
the ENaC, although the mechanism by which this occurs remains to be determined.
ET-1 reduces CD water reabsorption by inhibition of vasopressin-stimulated
adenylyl cyclase activity through G(i) and protein kinase C-dependent pathways,
leading to a reduction in cellular cAMP levels. Taken together, these findings
indicate that the CD ET system is an important physiologic regulator of systemic
blood pressure and volume homeostasis.
PMID- 21893993
TI - Endothelin in the control of renal sympathetic nerve activity.
AB - The kidney is densely innervated by sympathetic nerves. Increases in renal
sympathetic nerve activity (RSNA) decrease urinary sodium excretion. The kidney
also has abundant afferent sensory innervation, located primarily in the renal
pelvic wall. Sympathetic nerve fibers and afferent nerve fibers often run
separately but intertwined in the same nerve bundles in the renal pelvic wall,
providing anatomic support for a functional interaction between RSNA and afferent
renal nerve activity (ARNA). Activation of RSNA increases ARNA, which in turn
decreases RSNA by activation of the renorenal reflexes. Thus, RSNA-induced
increases in ARNA exert a powerful negative feedback control of RSNA via
activation of the renorenal reflexes in the overall goal of maintaining low RSNA
to facilitate urinary sodium excretion. A high-sodium diet enhances and a low
sodium diet reduces the RSNA-induced increases in ARNA. The physiologic
importance of the dietary-induced changes in the RSNA-mediated increases in ARNA
is underlined by salt-sensitive hypertension in rats lacking afferent renal
innervation. Endothelin (ET), ET(A) receptors (R), and ET(B)-R are present in the
renal pelvic wall. ET plays a modulatory role in the activation of the afferent
renal nerves that is dependent on dietary sodium intake. In a high-sodium diet,
increased activation of ET(B)-R facilitates the interaction between RSNA and ARNA
resulting in suppression of RSNA, via activation of the renorenal reflexes, to
limit sodium retention. In a low-sodium diet, increased activation of renal
pelvic ET(A)-R suppresses the interaction between RSNA and ARNA which increases
RSNA via impairment of the renorenal reflex mechanism, eventually leading to
sodium retention. These findings suggest that the increased renal sympathetic
nerve activity and salt-sensitive hypertension in ET-1/ET(B)-R-deficient subjects
is, at least in part, related to suppressed interaction between RSNA and ARNA.
PMID- 21893994
TI - Endothelin and podocyte injury in chronic kidney disease.
AB - The identification of patients at increased risk for chronic kidney disease
offers the potential to prevent or delay end-stage renal disease and the
associated cardiovascular events. Data from recently completed controlled
clinical trials of endothelin (ET) receptor blockers confirmed their potent
antiproteinuric effect after a number of preclinical studies. A spectrum of
proteinuric glomerular diseases results from podocyte abnormalities and, in
return, impact podocyte structure and function. Because podocytes are cells in
the glomerulus that form a crucial component of the glomerular filtration
barrier, contributing to size selectivity and maintaining a large filtration
surface, we focus on evidence that suggest ET-1 may promote podocyte injury
thereby aggravating albumin urinary loss and alteration of the glomerular
microvasculature. Systematic confrontation of animal models and studies in human
subjects should help decipher pathophysiological mechanisms whereby the local
renal ET system promotes podocyte injury and chronic kidney disease in specific
pathophysiological contexts. Current evidence suggests that more experimental and
clinical attention should be paid to conditions with increased vascular or
endocapillary ET-1 production on the one hand, and in diseases with altered
podocyte phenotype and survival such as focal segmental glomerulosclerosis and
crescentic glomerulonephritis on the other. These conclusions may assist
clinicians in creating optimal clinical trials for patients at increased risk for
or with overt chronic kidney disease.
PMID- 21893995
TI - Endothelin in diabetic renal disease.
AB - Today diabetic nephropathy is the leading course of end-stage renal disease; the
incidence and prevalence of diabetic renal disease is still continuing to
increase, particularly in the Western world. Despite improvements in diagnosis
and treatment of diabetic nephropathy, only partial renal protection is reached
with the current standard therapy regiments, including angiotensin-converting
enzyme inhibitors and/or angiotensin receptor blockers. Against this background,
it is of particular importance to learn more about the pathogenesis of diabetic
nephropathy and to find new therapeutic approaches which alone or in combination
with standard therapy have the potential to prevent or delay the progression of
diabetic nephropathy, thus improving kidney and patient survival. Among others,
endothelin (ET) receptor blockers have emerged as a potential therapeutic option
that operates on the basis of physiological and pathophysiological effects of
endothelin. Of note, the ET system was shown to be involved in hypertension and
kidney disease, particularly proteinuric nephropathies, and there is good
experimental data indicating a specific role of ET in the pathogenesis and
progression of diabetic nephropathy. ET receptor blockers have been shown to be
nephroprotective in animal models of type 1 and type 2 diabetes mellitus with the
effects partly independent of blood pressure lowering. In patients with
hypertension and diabetic nephropathies, the data is controversial and depends on
the stage of the disease and the drug used. It was only recently that a large
international clinical study (ASCEND) provided evidence for beneficial effects of
ET antagonist treatment, i.e. reduction in proteinuria. Due to the premature
termination of the study, however, hard endpoints like death could no longer be
assessed. Another very recent randomized, double-blind, placebo-controlled trial
of subjects with diabetic nephropathy also provided evidence for a specific
antiproteinuric effect of the ET receptor antagonist atrasentan on top of an
already existing blockade of the renin-angiotensin system. Thus, it appears
currently of great scientific and clinical interest to shed some light on the
role of the ET system and its blockade in diabetic nephropathy.
PMID- 21893996
TI - Endothelin, nitric oxide, and reactive oxygen species in diabetic kidney disease.
AB - The mechanism(s) of the endothelin (ET) and reactive oxygen species pathways in
conjunction with the nitric oxide (NO) pathway that promote and/or blunt the
progression of diabetic kidney disease have been the focus of many laboratories'
efforts to reveal new therapeutic targets. In both animal models and patients
with diabetic nephropathy, pharmacological blockade of ET receptors results in a
significant reduction. However, edema has been documented as a persistent side
effect. It is unclear whether selective ET(A) antagonists or nonselective ET(A/B)
antagonists are preferred in diabetic conditions. We have proposed that ET(B)
activates the NO pathway to blunt diabetes-induced nephropathy such that ET(A)
selectivity should be more efficacious. The NO pathway in diabetes facilitates
vascular dysfunction while in the renal tubular system, NO serves to blunt
disease progression. NO synthase isoform activity is also critically regulated in
diabetic kidney disease within the renal vascular and tubular systems through a
complex interaction with reactive oxygen species. We will examine the
complexities of the ET and NO pathways in diabetic kidney disease to propose
novel mechanisms for future investigation.
PMID- 21893997
TI - Endothelin in renal inflammation and hypertension.
AB - Over the years, a very large amount of evidence has accumulated indicating that
endothelin (ET)-1 is an important stimulus for inflammation. This is true for a
wide range of organ system diseases, including chronic kidney disease.
Nonetheless, our understanding of the role and mechanisms by which ET-1 promotes
the activation of both the innate and adaptive immune systems is not understood.
ET-1 can directly activate neutrophils as well as endothelial cells to stimulate
production of chemoattractant factors, such as monocyte chemoattractant factor-1,
and increase synthesis of cell adhesion molecules, such as soluble ICAM-1. The
mechanisms that trigger these events, however, are less clear. Elevated blood
pressure as well as hyperglycemia could be important factors that facilitate ET-1
dependent inflammation. While renal inflammation has not been used as an endpoint
for drug development, the rationale for the use of ET antagonists as anti
inflammatory agents in chronic kidney disease is quite strong, based on animal
studies and at least one study in humans with nondiabetic nephritis. While the
preponderance of evidence suggests that ET(A) selective antagonists are
advantageous over combined ET(A/B) receptor blockers, considerably more work
needs to be done in order to understand the complex role of ET in renal
inflammation.
PMID- 21893998
TI - Endothelin in chronic proteinuric kidney disease.
AB - The incidence of chronic kidney diseases is increasing worldwide at an alarming
rate. As this is emerging as a global threat to human health, present efforts are
concentrated on the identification of new treatments that slow or even reverse
the progression of chronic nephropathies. Endothelin (ET)-1 is a potent
vasoconstrictor peptide with proinflammatory, mitogenic, and profibrotic effects,
and it contributes to both normal renal physiology and pathology. There is robust
experimental and clinical evidence for the role of ET-1and its cognate receptors
in many progressive renal disorders. The effectiveness of ET receptor antagonists
in improving renal hemodynamics and reducing fibrosis has been largely documented
in experimental animals. However, whether selective ET(A) or dual ET(A)/ET(B)
receptor antagonists are preferable is still a matter of debate. Combined
therapies, including ET receptor antagonists, are promising to maximize partial
renoprotection achieved with blockade of the renin-angiotensin system,
particularly when treatment is given in the latter phase of the disease. The
focus of this review is to explore the role of ET-1 in kidney diseases and to
shed light on the novel pharmacological setting in chronic nephropathies.
PMID- 21893999
TI - Endothelin in renal injury due to sickle cell disease.
AB - Sickle cell disease (SCD), the first 'molecular disease' to be identified, has
been well characterized as a single amino acid molecular disorder of hemoglobin
leading to its pathological polymerization, with resulting red cell rigidity that
causes poor microvascular blood flow, with consequent tissue ischemia and
infarction. Thus, the manifestations of SCD chronic renal disease have long been
considered clinical manifestations of an obstructive vasculopathy of the arterial
and capillary microcirculation. Recently, accumulating evidence have indicated
that blood vessel functions are affected by SCD, involving abnormal vascular tone
and activated endothelium. These abnormalities are particularly prominent in the
kidney where specific biochemical conditions in the medulla and papilla favor
change in endothelial phenotype and in tubular phenotype that, in turn, may
promote dysfunction and destruction of this organ through active endothelin (ET)
1 production and signals. High ET-1 urinary output in SCD subjects at steady
state may reflect increased tubular activation of ET-1 production acting on the
collecting duct thereby favoring the constant hyposthenuria. Chronically,
augmented ET-1 concentrations in the SCD kidney would further aggravate ischemia
and sickling through actions on vasa recta and red blood cells. The kidneys
suffer multiple ischemic hits during SCD as consequences of vasos-occlusive
crisis (VOC). Blockade of ET receptors unraveled the major vasoconstrictive role
of ET-1 in the pathophysiology of VOC, stressing the pivotal role of abnormal
endothelial phenotype in this hemoglobinopathy and opening potential new
therapeutic options. At last, indirect evidence suggest that ET-1 may be involved
in the progression of chronic glomerulosclerosis affecting a number of patients.
In fact, sickle cell nephropathy is an emerging severe disease that requires
pathophysiological studies and development of specific therapies.
PMID- 21894000
TI - Endothelin in polycystic kidney disease.
AB - Autosomal dominant polycystic kidney disease (ADPKD) is the most common genetic
kidney disease in man and is caused by germline mutations in PKD1 or PKD2.
Affected patients develop progressively enlarged kidneys due to the growth of
multiple renal epithelial cysts. Several studies have demonstrated marked
intrafamilial phenotypic variability in PKD1 or PKD2 pedigrees, indicating the
importance of nonallelic factors such as genetic modifying loci in determining
individual phenotype. Endothelin (ET)-1 exerts multiple and often opposing
effects on different aspects of renal physiology through its major ET receptor
subtypes, ET(A) and ET(B). Recent studies have reported that EDN1 and EDNRA
polymorphisms can influence the age of onset of end-stage renal disease in ADPKD.
Both circulating and local ET-1 systems are abnormally activated in human disease
and experimental models, and ET(A) receptor expression is specifically
upregulated in human ADPKD kidneys. Overexpression of ET-1 in transgenic mice is
sufficient to trigger cyst initiation. However, studies utilizing selective ET(A)
and ET(B) receptor antagonists to delay cystic disease progression in rodent PKD
models have proved disappointing and do not support further extension into
clinical trials. A critical balance between ET(A) and ET(B) action in the cystic
kidney appears to be necessary to maintain kidney structure and function. Current
evidence suggests that ET-1 and its receptors act as major modifying genes for
renal disease progression in ADPKD. The future challenge will be to translate
these findings to modify disease severity or for predicting prognosis in man.
PMID- 21894001
TI - Endothelin antagonism and reversal of proteinuric renal disease in humans.
AB - Endothelin (ET)-1 is a powerful mitogen and vasoconstrictor that contributes to
cardiovascular and renal pathologies. In the kidney, ET causes vasoconstriction,
sodium retention, mesangial cell inflammation and proliferation, hypertrophy of
glomerular capillaries, and podocyte injury. The latter, due to destruction of
the glomerular filtration barrier, is a key factor for renal protein loss.
Experimental and recent clinical studies suggest that orally active drugs
inhibiting ET(A) receptors are capable of not only inhibiting the progression,
but also reversing glomerulosclerosis-related renal injury. Clinical studies
using ET receptor antagonists (ERAs) have found regression of proteinuria which
serves as a functional indicator of glomerular filtration barrier injury. The
effects of ERA therapy can be observed in the presence of inhibition of the renin
angiotensin system, suggesting arenin-angiotensin system-independent therapeutic
effect of ERAs. Thus, ET blockade is not an 'add-on' treatment, but represents an
independent therapeutic principle. This article will discuss the underlying
mechanisms of the antiproteinuric effects of ET antagonists, and summarize recent
clinical trials in the field and the therapeutic potential of the ERA class of
drugs for renal medicine.
PMID- 21894002
TI - Endothelin antagonism in patients with resistant hypertension and hypertension
nephropathy.
AB - Resistant hypertension is a failure to achieve a blood pressure (BP) goal of <
140/90 mm Hg despite treatment with at least three different antihypertensive
medications classes at a maximally tolerated dose and including a diuretic. The
most important systems that require alteration include the renin-angiotensin
aldosterone system, sympathetic nervous system, and (more recently) the
endothelin (ET) system. To date, several clinical trials have assessed the
effects of ET antagonism, both selective and nonselective, on BP control in
humans. The nonselective ET antagonist bosentan was evaluated in patients with
mild-to-moderate hypertension. Bosentan was able to lower diastolic BP when
compared to placebo and, similarly, to enalapril. Similar findings have been
published for darusentan, an ET receptor antagonist with higher affinity for the
type A receptor. More recent research has focused on the impact of ET in the
setting of resistant hypertension. Studies with darusentan as an add-on therapy
in patients with resistant hypertension found a significant BP-lowering effect of
17/10 mm Hg compared to placebo. This BP-lowering effect was similar at higher
doses. In a similar patient cohort, darusentan also lowered mean 24-hour BP to a
greater extent than the central alpha2-agonist guanfacine. Another selective
ET(A) antagonist, atrasentan, provided other benefits on metabolism in addition
to its antihypertensive effect. Atrasentan significantly decreased glucose in
diabetes and improved lipid profiles while slowing coronary artery disease
progression. Selective ET receptor blockade also has dose-dependent side effects.
In a large number of trials, almost one third of the patients suffered excessive
fluid retention and edema that was significantly higher than in the placebo
groups. One trial, ASCEND, was terminated early due to an increased incidence of
fluid retention and increased episodes of heart failure. Thus, this class of
agents is effective in resistant hypertension, but lower doses with fewer side
effects need to be developed.
PMID- 21894003
TI - Endothelin receptor blockade in patients with diabetic nephropathy.
AB - Diabetic nephropathy constitutes a major health care challenge. In the current
review we summarize the rationale and preclinical data that suggest involvement
of the endothelin (ET) system in the pathogenesis of this complication of
diabetes. Early clinical studies suggest that blockade of the ET system indeed
may have renoprotective effects, as reflected by a strong reduction in albumin
excretion. A major challenge in the clinical development of ET receptor blockades
for this indication will be to tease out the protective effects from potential
off-target effects. Of particular concern is the edema formation during ET
receptor blockade.
PMID- 21894004
TI - Endothelin antagonism in patients with nondiabetic chronic kidney disease.
AB - The incidence of chronic kidney disease (CKD) is increasing worldwide.
Cardiovascular disease is strongly associated with CKD and constitutes one of its
major causes of morbidity and mortality. Although current treatments for CKD
focus on blood pressure and proteinuria reduction, many CKD patients have ongoing
hypertension and residual proteinuria. Newer treatments are needed that not only
act on these parameters, but also slow the progression of CKD and improve the
cardiovascular risk profile of CKD patients. The endothelins (ETs) are a family
of related peptides of which ET-1 is the most powerful endogenous vasoconstrictor
and the predominant isoform in the cardiovascular and renal systems. The ET
system has been widely implicated in both cardiovascular disease and CKD. ET-1
contributes to the pathogenesis and maintenance of hypertension and arterial
stiffness, as well endothelial dysfunction and atherosclerosis. By reversal of
these effects, ET antagonists may reduce cardiovascular risk. In CKD patients,
antagonism of the ET system may be of benefit in improving renal hemodynamics and
reducing proteinuria. ET is likely also involved in the progression of renal
disease, and data are emerging that suggest a synergistic role for ET receptor
antagonists with angiotensin-converting enzyme inhibitors in slowing CKD
progression.
PMID- 21894005
TI - Endothelin antagonists in clinical trials: lessons learned.
AB - Over the past 20 years, a large number of endothelin receptor antagonists (ERAs)
have been developed, many of which underwent clinical testing. Yet, today only
two ERAs are approved for the treatment of only two clinical indications, namely
pulmonary arterial hypertension and scleroderma-related digital ulcers. Clinical
development of ERAs has been hampered by problems with dosing, with the makeup of
study cohorts, and adverse events. More recently, a number of studies evaluated
the potential of ERA treatment for proteinuric renal disease. Although several
ERAs were found to reduce proteinuria in patients with nephropathy, clinical
testing was stopped for all but one drug. The reasons for the failure of some of
these studies and general considerations about how to move forward with clinical
studies involving ERAs in renal disease are discussed in this chapter.
PMID- 21894006
TI - Remineralization potential of nano-hydroxyapatite on initial enamel lesions: an
in vitro study.
AB - The application of nano-hydroxyapatite (HA) in the repair of early caries lesion
has received considerable attention. Neither the effects of the size of HA nor
the effects of the effective pH range of nano-HA on remineralization have been
investigated comprehensively, and the protective mechanism is still open for
debate. To address these factors, the remineralization effect of nano-HA on
demineralized bovine enamel is investigated under pH cycling conditions through
surface and cross-sectional microhardness (CSMH) tests and polarized light
microscopy (PLM). The percentage of surface microhardness recovery and integrated
mineral loss obtained from CSMH tests demonstrated that nano-HA provides better
remineralization than micro-HA. However, detailed investigation using CSMH tests
and PLM indicated that nano-HA helped mineral deposition predominantly in the
outer layer of the lesion and only had a limited capacity to reduce lesion depth.
Nevertheless, the remineralization effect of nano-HA increased significantly when
the pH was less than 7.0. Clearly, nano-HA has potential as an effective repair
material and anticaries agent. Our findings also suggest that both the particle-
and ion-mediated remineralization pathways in nano-HA may contribute to the
repair of demineralized enamel.
PMID- 21894007
TI - Modulation of liver fibrosis by adipokines.
AB - Hepatic fibrosis is an integrated process triggered by chronic liver damage,
leading to the accumulation of extracellular matrix. In patients with chronic
liver disease, this process is favored by the presence of obesity or overweight,
which are also relevant risk factors for the progression of nonalcoholic
steatohepatitis. In this paper, we review the available evidence indicating the
modulation of the fibrogenic process by adipokines, a group of cytokines secreted
primarily by adipose tissue. In particular, we discuss in detail the role of
leptin and adiponectin, which favor and limit the fibrogenic process,
respectively. The possible involvement of other recently identified adipokines is
also briefly outlined.
PMID- 21894008
TI - Obesity and fatty liver are 'grease' for the machinery of hepatic fibrosis.
AB - Nonalcoholic fatty liver disease (NAFLD) starts with hepatic steatosis, which can
progress with inflammation to nonalcoholic steatohepatitis, and a subset of
patients develop progressive fibrosis and ultimately cirrhosis. In the majority
of cases, NAFLD is associated with (components of) the metabolic syndrome.
Obesity, diabetes and hepatic steatosis are also independent risk factors for
hepatic fibrosis in different chronic liver diseases. However, the question is
whether it is actually nonalcoholic steatohepatitis and not 'simple' steatosis
that promotes fibrosis progression based on hepatocellular injury. In this
review, the concept will be put forward that (1) hepatic steatosis per se is
profibrogenic, and (2) that in NAFLD development and progression of hepatic
fibrosis is not simply determined by (the degree of) hepatic inflammation. In
addition to the liver, this view is expanded to other organs affected by the
metabolic syndrome, which affects hepatic injury and fibrosis also via
extrahepatic pathophysiological alterations. In conclusion, fatty liver and the
metabolic syndrome, respectively, have to be recognized as significant lubricants
of hepatic fibrosis, and simple hepatic steatosis cannot be considered as benign.
PMID- 21894009
TI - Mechanisms balancing tolerance and immunity in the liver.
AB - The liver has a pivotal role in glucose, lipid and protein metabolism as well as
in removal of toxins and waste products. A unique microanatomy and a network of
resident scavenger cell populations specialized in endocytic uptake of antigens
and macromolecules cooperatively mediate these salient hepatic functions together
with parenchymal hepatocytes. Antigens taken up by hepatic scavenger cell
populations, such as Kupffer cells, hepatic dendritic cells, stellate cells and
liver sinusoidal endothelial cells (LSECs), can be (cross-)presented on MHC class
I and II molecules, which leads to modulation of T cell immune functions. Among
these cell populations, LSECs are endowed with the highest scavenger activity and
are the most efficient cell population in cross-presenting soluble exogenous
antigens to CD8 T cells. Together with their large number and the high cumulative
surface area, LSECs represent the hepatic cell population that is best situated
to interact with circulating T cells. Under physiological conditions, antigen
specific interaction of LSECs with CD8 T cells induces tolerance that is
characterized by nonresponsiveness towards T cell receptor-mediated stimulation.
In contrast to functional maturation of dendritic cells by activation through
pattern recognition receptors, there is no such maturation in antigen-presenting
LSECs, demonstrating that even under inflammatory conditions induction of CD8 T
cell tolerance is preserved. However, upon viral infection of LSECs, a unique
program of T cell differentiation into effector cytotoxic T cells is initiated
that is independent of currently known costimulatory signals. These results
highlight specific mechanisms operative in liver-resident antigen-presenting
cells governing the local balance between tolerance and immunity.
PMID- 21894010
TI - Role of the hepatic parenchyma in liver transplant tolerance: a paradigm
revisited.
AB - Unlike other solid organs, liver transplants are spontaneously accepted in a wide
range of animal models. In the clinic, transplanted livers also display
privileged immunological properties allowing weaning of immunosuppression therapy
in up to 20% of selected patients. To explain this phenomenon, many studies have
focused on the role of donor-derived 'passenger' leukocytes that are thought to
induce antigen-specific tolerance by migrating from the graft into recipient
secondary lymphoid tissues. Although convincing evidence exists that these cells
are able to elicit antiallograft T cell hyporesponsiveness, several studies argue
against an exclusive role for this cell population and even question whether it
is critical in conferring donor MHC-specific tolerance. Instead, these studies
suggest that the hepatic parenchyma plays a more critical role in this
phenomenon. In this review we will reinterpret the results of old and more recent
literature in light of recent advances in the field of liver immunology to
explain the contribution of both passenger leukocytes and liver tissue in the
liver tolerance effect.
PMID- 21894011
TI - Requirements and challenges of a preclinical autoimmune hepatitis mouse model.
AB - Autoimmune hepatitis (AIH) is a chronic autoimmune inflammation of the liver
usually requiring life-long immunosuppression. Steroids and azathioprin are the
standard therapy, but the therapy is accompanied by strong side effects. Due to
the fact that AIH is often recognized during late course of disease, it is
difficult to obtain knowledge about the immunological mechanisms responsible for
initiation of the disease. Current AIH models were helpful for understanding and
modulating liver immune responses, but are not suited to study mechanisms in
chronic AIH or to develop new therapies. While transgenic AIH models deal with
short-term hepatitis, models with natural antigens are either self-limited or
have unknown target antigens. Therefore, new animal models with defined onset of
AIH and a standard course of the disease are essential for a more defined
understanding of the disease and its pathophysiology. To obtain a preclinical
platform for new therapeutic approaches or to be able to prevent onset of AIH, a
positive impact of conventional standard therapeutic interventions in the model
would be helpful. For decades, AIH research has lacked such a reliable
preclinical model with chronic immune response against the liver. Initial results
in breaking tolerance against hepatocytes have only led to mild and transient
hepatitis. Transgenic models were helpful in understanding different aspects for
hepatic immune regulation. Nowadays, the fate of T cells, especially CD8+ T
cells, is the focus of research. Especially ignorance, anergy, deletion or TCR
downregulation of T cells are mechanisms of tolerance against hepatic antigens.
Furthermore, the importance of professional antigen-presenting cells and
particularly liver sinusoidal cells in liver tolerance has been demonstrated in
many studies. Other models have shown the mechanism of interaction of adaptive
and innate immune cells in the liver. Recently, approaches have been made to
establish AIH models reflecting the situation in AIH patients. This will allow
new studies in the field and will provide an opportunity to study the onset and
pathophysiology of AIH. Furthermore, these models will try new options for
therapeutic approaches and might show options of how to prevent onset of disease.
PMID- 21894012
TI - Therapeutic strategies for autoimmune hepatitis.
AB - Autoimmune hepatitis (AIH) is a disease of unknown etiology. However, a loss of
tolerance against the patient's own liver is regarded as the main pathogenetic
mechanism. Immunosuppressive therapy prolongs survival in patients with severe
AIH. Two phases of therapy have to be distinguished. In newly diagnosed AIH,
induction of remission is the main goal. Here predniso(lo)ne alone or in
combination with azathioprine has been shown to induce remission in the majority
of patients. In the past, reduction of aminotransferase levels below two times
the upper limit of normal was the aim of therapy. Nowadays, normalization of
aminotransferase levels should be achieved. The majority of patients usually
respond to therapy within 6-12 months. A significant reduction in
aminotransferase levels is achieved within a few weeks of therapy. Improvement in
clinical symptoms is followed by improvement in biochemical parameters of disease
activity and then by significant improvement in histological disease activity.
Around 20-40% of patients do not achieve remission. In these patients,
alternative therapies should be evaluated for the individual patient. Prospective
controlled trials with a larger number of patients are missing in this
population. At the moment, mycophenolate mofetil at a dose of 2 * 1 g daily,
either given alone or in combination with predniso(lo)ne, is able to achieve
remission in a significant proportion of patients. Based on recent retrospective
observations, mycophenolate mofetil is beneficial in patients who were previously
azathioprine intolerant rather than azathioprine failure patients. Again,
prospective trials are missing. Alternative drugs include cyclophosphamide,
cyclosporin A, tacrolimus and others. Women in particular suffer from steroid
specific side effects, including weight gain, moon face, diabetes, glaucoma and
bone disease. Recently, a topical steroid, budesonide, was shown to induce
disease remission in combination with azathioprine. The second phase of therapy
is maintenance of remission with the lowest possible dose in order to maintain
remission while preventing significant side effects. Careful evaluation of the
individual patients should lead to the decision whether predniso(lo)ne,
budesonide, azathioprine or a combination of one of the steroids with
azathioprine is to be used to maintain remission. Recently, a study has shown
that after 6 months of induction therapy with prednisone plus azathioprine, a
switch to budesonide in combination with azathioprine reduced steroid-specific
side effects while maintaining remission of liver disease. Therefore, the
application of the topical steroids may be helpful in maintaining remission while
reducing steroid-specific side effects. Patients with liver cirrhosis should not
be treated with budesonide since the benefit of budesonide with its 90% pass
effect in the liver is lost if the patient has already developed portal
hypertension with significant portosystemic shunting. Furthermore, there are
safety concerns regarding budesonide use in cirrhotic patients derived from
studies in primary biliary cirrhosis. If the diagnosis is correct and the
appropriate therapy is chosen, liver transplantation should be avoidable in
patients with AIH.
PMID- 21894013
TI - Success and failure of virus-specific T cell responses in hepatitis C virus
infection.
AB - Hepatitis C virus (HCV) infection is only cleared in a minority of infected
individuals, the majority of patients develop chronic infection. Chronic HCV
infection potentially leads to liver fibrosis, cirrhosis and finally
hepatocellular carcinoma. The host immune response is an important determinant in
the outcome of HCV infection. Innate as well as adaptive cellular and humoral
immune responses mediate important antiviral actions; however, virus-specific T
cell responses appear to be most critical. Indeed, strong and multispecific CD4+
as well as CD8+ T cell responses are required for viral clearance. Interestingly,
individuals who express certain HLA alleles (which are important for antigen
presentation to CD4+ and CD8+ T cells) have a higher chance to clear the virus.
The mechanisms of protection by HLA class I alleles such as HLA-B27 have been
characterized recently. In most individuals, however, the HCV-specific immune
response fails to clear the virus. Several mechanisms underlying this HCV
specific T cell failure have been identified. These include viral factors such as
viral escape mutations and immunological factors such as the expression of
inhibitory receptors, which lead to CD8+ T cell dysfunction. An in-depth
understanding of the determinants of success or failure of the HCV-specific T
cell response is critical for the development of prophylactic as well as
therapeutic vaccination regimes against HCV. Here, we will discuss the
virological and immunological determinants of HCV clearance and persistence.
PMID- 21894014
TI - Immune control of hepatitis B virus.
AB - Human hepatitis B virus (HBV) infects the liver of humans or humanoid primates.
In humans, HBV infection often causes an inflammatory liver disease - hepatitis
B. The virus is transmitted by perinatal, percutaneous and sexual exposure, as
well as by close person-to-person contact. The latter occurs especially among
young children, presumably by open cuts or sores. Vertical transmission from
mothers to their neonates, or infection during the first year of life, results in
persistent often lifelong infection in >90% of cases. In contrast, infection
during adulthood is cleared in >95% of cases, and results in lifelong protective
immunity. While a correlation between the strength of HBV-specific T cell
responses and virus clearance has been established, factors determining the
strength of a T cell response as well as factors shifting the balance from immune
tolerance to immune clearance are hardly understood. The innate immune response,
early adaptive B and T cell responses, regulatory T cells, the liver
microenvironment, and the peculiar properties of hepatocytes and nonparenchymal
liver cells to present antigen seem to play a role. Understanding this complex
interplay requires systematic immune monitoring of well characterized human
cohorts, but also experimental approaches using primary human cells and
genetically modified mouse models. Using these models, we begin to understand the
immune recognition of HBV and how it influences the outcome of HBV infection. In
this paper we review the current knowledge about virus-host interactions and how
it influences the outcome of HBV infection and describe the immune signatures
associated with clinical recovery and/or persistent infection.
PMID- 21894016
TI - Early mechanisms of glucose improvement following laparoscopic ileal
interposition associated with a sleeve gastrectomy evaluated by the euglycemic
hyperinsulinemic clamp in type 2 diabetic patients with BMI below 35.
AB - BACKGROUND: Laparoscopic ileal interposition associated with a sleeve gastrectomy
(LII-SG) is a safe and effective operation for the treatment of type 2 diabetic
(T2DM) patients with BMI below 35. The aim of this study was to evaluate insulin
sensitivity (IS) and beta-cell function using the euglycemic hyperinsulinemic
clamp (EHC) with the intravenous glucose tolerance test (IVGTT). METHODS: This
was a prospective study of 24 T2DM patients submitted to a 3-hour EHC-IVGTT
before and 1 month after LII-SG. Mean BMI was 29.0, mean age was 54.8 years and
mean duration of T2DM was 10.2 years; insulin therapy was used by 62.5% of the
patients. RESULTS: Mean BMI decreased from 29.0 to 25.8 (p < 0.001). Mean fasting
plasma glucose and mean postprandial glucose were 202 and 251.3 mg/dl and dropped
to 127.7 and 131.8 mg/dl (p < 0.001), respectively. Mean preoperative IS was 1.4
mmol.min(-1).nmol(-1) and increased to 2.2 mmol. min(-1).nmol(-1) postoperatively
(p < 0.001). Mean C-peptide AUC was 488 pmol.nmol(-1) and increased to 777 pmol.
nmol(-1) (p = 0.37). The disposition index increased from 9.4 to 36.4
postoperatively (p = 0.01). CONCLUSIONS: According to the clamp technique, II-SG
significantly improved IS and beta-cell function as early as 30 days
postoperatively in a T2DM population with a BMI of 21.9-33.8.
PMID- 21894017
TI - Characteristics of stroke in tibet autonomous region in china: a hospital-based
study of acute stroke.
AB - BACKGROUND AND PURPOSE: We know little of the current status of stroke in Tibet
Autonomous Region in China. This study was designed to investigate the
characteristics of acute stroke in Tibet. METHODS: We conducted a hospital-based
study on acute first-ever stroke in the People's Hospital of Tibet Autonomous
Region (PHOTAR), and then compared the data collected to that from West China
Hospital (WCH). RESULTS: The study included 301 inpatients from PHOTAR and 3,334
from WCH. The peak age group in PHOTAR was one decade younger than in WCH.
Intracerebral hemorrhage (ICH) was the main stroke subtype in PHOTAR (74.1%). The
prevalence of hypertension and heavy alcohol consumption prior to stroke were the
most important vascular risk factors. Treatments for stroke in PHOTAR lacked
standardization and in-hospital mortality was higher for each subtype.
CONCLUSIONS: ICH is the dominant stroke subtype in Tibet Autonomous Region, and
can be attributed to high rates of hypertension and heavy alcohol consumption.
Greater public awareness of stroke and effective management of risk factors
should be implemented immediately in Tibet.
PMID- 21894018
TI - Plasma viscosity in giant cell arteritis.
AB - BACKGROUND: Diagnosis of giant cell arteritis (GCA) is based on criteria of the
American College of Rheumatology. However, not all GCA patients meet these
criteria and treatment may be delayed in individual patients, leading to an
increased risk of complications. METHODS: In an observational study, we
investigated acute phase response markers in GCA and non-GCA patients matched for
erythrocyte sedimentation rate and CRP levels. RESULTS: Plasma viscosity (PV) was
significantly elevated in all GCA patients, but normal in non-GCA patients.
CONCLUSIONS: Our data suggest that PV may reflect a more specific component of
the acute inflammatory response in patients with GCA. Analysis of PV may
significantly contribute to a reliable diagnosis early in the course of the
disease, particularly in patients with suspected GCA that do not meet current
diagnostic criteria.
PMID- 21894019
TI - The hand pronation phenomenon: a franco-german tale.
AB - The hand pronation phenomenon due to a pyramidal tract lesion is a sign commonly
used for identifying a mild paresis, but the first descriptions of this maneuver
seem to have been only partially investigated by the historians of neuroscience.
Here we illustrate that this sign was most probably originally described by Adolf
Strumpell (1853-1925) in 1901 and subsequently re-proposed by the illustrious
French neurologist Joseph Babinski (1857-1932) in 1907, although with a slightly
different focus of application. Finally, the Pronationsphaenomen was analyzed in
detail in the subsequent work of Nikolaus Gierlich (1865-1944), a less-known
German neurologist who tried one of the first detailed reports of the
phylogenetic significance of this sign, publishing a paper in 1925. These works
are reported here, detailing the existing discrepancies, along with notes on the
relevant surrounding historical context. In particular, the undervalued
contribution of Gierlich to the history of neuroscience and to the phylogenetic
approach to semeiotics is analyzed in more detail and acknowledged.
PMID- 21894020
TI - Directional asymmetries of saccadic hypometria in patients with early Parkinson's
disease and unilateral symptoms.
AB - BACKGROUND: Saccade may be abnormal in Parkinson's disease (PD), but there have
been no systematic studies on directional asymmetries of horizontal saccades in
early PD. The aims of this study were to determine the saccadic abnormalities and
their directional asymmetries. METHODS: We recorded visually guided horizontal
prosaccades with random (random amplitudes and irregular time intervals) and
regular (fixed amplitude and regular time interval) paradigms using video
oculography in 44 patients with unilateral symptoms and signs from early PD and
in 26 controls. RESULTS: PD patients showed decreased saccadic amplitude compared
to the controls, especially during regular paradigm while the saccadic latency
did not differ between the groups. Patients with unilateral PD tended to show
more severe saccadic hypometria toward the symptomatic side during the regu- lar
paradigm, compared to normal control. CONCLUSION: Even in early PD patients,
saccadic accuracy may be abnormal. Asymmetries in saccadic hypometria are more
likely to be detected during the anticipatable saccadic paradigm, which could be
a useful adjunct in the diagnosis of early-stage PD.
PMID- 21894021
TI - Enhanced-MRI and ultrasound evaluation of painful shoulder in patients after
stroke: a pilot study.
AB - BACKGROUND: Epidemiological and radiological studies have previously been
performed to identify the possible causes of hemiplegic shoulder pain (HSP). Many
different etiologies have been postulated, though no clear correlations have
emerged, and a multifactorial pathogenesis of HSP has been proposed. Recently,
two MRI-based studies have described different shoulder findings as possible
causes of pain in chronic stroke survivors. PURPOSE: The aim of this study was to
describe the structural abnormalities of the painful shoulder in the first months
after stroke by ultrasound and enhanced MRI. The secondary aims were to identify
possible predisposing factors for HSP and to evaluate its impact on motor
recovery. METHODS: One hundred and fifty-three first-time stroke patients,
admitted to the Santa Lucia Foundation for rehabilitation, were investigated for
HSP. Twenty-five stroke patients with HSP and 16 stroke patients without shoulder
pain were included. An ultrasound evaluation and enhanced shoulder MRI were
performed for all the patients. RESULTS: Among the shoulder abnormalities
detected by both imaging studies, only capsulitis, which was detected by enhanced
shoulder MRI in 88% of the HSP patients, was independently associated with pain
(p < 0.001) and proven to be predictive of pain intensity as expressed by the VAS
score (p < 0.003). HSP correlated with a worse global recovery (p < 0.05) as well
as with male sex (p = 0.006), neglect (p = 0.02) and subluxation (p = 0.03),
although none of these features were found to be independent predictors of pain.
CONCLUSION: Adhesive capsulitis was found to be a possible cause of HSP. However,
MRI, which is more expensive than other diagnostic tools, may be considered the
gold standard tool for understanding the etiology of HSP.
PMID- 21894022
TI - Lymphocyte stimulation test for the diagnosis of non-IgE-mediated cow's milk
allergy: a step closer to a noninvasive diagnostic tool?
PMID- 21894023
TI - Shrimp allergy in Italian adults: a multicenter study showing a high prevalence
of sensitivity to novel high molecular weight allergens.
AB - BACKGROUND: Shrimp is a frequent cause of food allergy worldwide. Besides
tropomyosin, several allergens have been described recently. OBJECTIVE: We
investigated which allergens are involved in Italian shrimp-allergic adults.
METHODS: Sera from 116 shrimp-allergic patients selected in 14 Italian allergy
centers were studied. Skin prick tests with house dust mite (HDM) as well as
measurements of IgE to Pen a 1 (shrimp tropomyosin) and whole shrimp extract were
performed. All sera underwent shrimp immunoblot analysis, and inhibition
experiments using HDM extract as inhibitor were carried out on some Pen a 1
negative sera. RESULTS: Immunoblots showed much variability. IgE reactivity at
about 30 kDa (tropomyosin) was found in <50% of cases, and reactivity at about 67
kDa and >90 kDa was frequent. Further reactivities at 14-18, 25, 43-50, about 60
and about 80 kDa were detected. Most subjects had a history of shrimp-induced
systemic symptoms irrespective of the relevant allergen protein. IgE to Pen a 1
were detected in sera from 46 (41%) patients. Skin reactivity to HDM was found in
43/61 (70%) Pen 1-negative subjects and inhibition studies showed that pre
adsorption of sera with HDM extract induced a marked weakening of the signal at
>67 kDa. CONCLUSIONS: Several allergens other than tropomyosin are involved in
shrimp allergy in adult Italian patients. Some hitherto not described high
molecular weight allergens seem particularly relevant in this population and
their cross-reactivity with HDM allergens makes them novel potential panallergens
of invertebrates.
PMID- 21894024
TI - Preliminary studies on the prevention of the ovalbumin-induced allergic response
by Enterococcus faecalis CECT7121 in mice.
AB - BACKGROUND: Allergic diseases are featured by an increased production of IgE due
to an imbalance in the immune response towards a Th2 profile. In this work, the
ability of Enterococcus faecalis CECT7121 to regulate this Th2-exaggerated
response in a murine model of ovalbumin (OVA)-induced allergy was studied.
METHODS: BALB/c mice intragastrically inoculated with E. faecalis CECT7121 before
and during a subcutaneous immunization protocol with OVA were studied in
comparison with an immunized control group. The allergen-specific immune response
(IgE, IgG, IgG1 and IgG2a) was assessed. The proliferative activity of memory
splenocytes and the levels of IL-4, IL-5, IL-13, IL-10, IL-12 and IFN-gamma were
also determined. RESULTS: Upon treatment with E. faecalis CECT7121 the following
effects were observed: (1) a decrease in specific IgE levels, (2) an increase in
anti-OVA IgG2a levels, (3) the levels of anti-OVA IgG and IgG1 remained
unaltered, (4) a reduction in the proliferation rate of memory cells, (5) a
decrease in the levels of the Th2 cytokines IL-4, IL-5 and IL-13, and (6) the
secretion of IL-10, IL-12 and IFN-gamma remained unchanged. Moreover, the
incubation of human basophils with non-viable E. faecalis CECT7121 together with
an allergen preparation induced the release of beta-hexosaminidase at levels that
were lower than control reactions and similar i.g. the spontaneous release.
CONCLUSIONS: In this model, the i.g. administration of E. faecalis CECT7121
hampers the establishment of the OVA-induced allergic immune response, suggesting
that this strain could be useful for the treatment of IgE-mediated allergic
diseases.
PMID- 21894025
TI - Effect of respiratory syncytial virus infection on plasmacytoid dendritic cell
regulation of allergic airway inflammation.
AB - BACKGROUND: Respiratory syncytial virus (RSV) can infect myeloid dendritic cells
(mDCs) and regulate their function in the development of allergy. It has been
widely reported that plasmacytoid DCs (pDCs) play a critical role in antiviral
innate immunity. In contrast, not much is known about the role of pDCs in the
interaction between allergy and viral infection. The purpose of the present study
was to investigate the effect of RSV infection on pDC function in the regulation
of allergic airway inflammation in a murine model of Dermatophagoides farinae
sensitized allergic asthma. METHODS: Splenic pDCs isolated from D. farinae
sensitized donor mice were infected with live RSV ex vivo. Subsequently, these
pDCs were inoculated into the airways of D. farinae-sensitized recipient mice.
Lung pathology, lung tissue cytokine profiles, the number of regulatory T cells
(T(reg)) and mDCs as well as the effects of IL-10 neutralization in the lung
tissue of recipient mice were determined. RESULTS: Intranasal inoculation of D.
farinae-sensitized pDCs significantly inhibited the development of allergic
airway inflammation and both Th1 and Th2 immunity. Live RSV infection of these
pDCs prior to inoculation interfered with their inhibitory effects through
decreasing T(reg) and IL-10 and increasing mDCs. CONCLUSIONS: In asthmatic
airways, pDCs mediate tolerance to inhaled allergens through the regulation of
T(reg), IL-10 and mDCs. RSV infection of pDCs potentially inhibits their
immunotolerogenic effects and thus exacerbates allergic airway inflammation.
PMID- 21894027
TI - Antimicrobial peptides: promising alternatives to conventional antibiotics.
AB - Antimicrobial peptides (APs) have been described as evolutionary ancient weapons.
Produced by a wide variety of organisms as part of a non-specific immune
response, these peptides are involved in the direct destruction of various
microorganisms. Several APs have been shown to have broad activity spectra
against microorganisms such as Gram-positive and Gram-negative bacteria,
enveloped viruses, fungi and parasites. Given that resistance to a number of
antibiotics has developed in a wide range of microbes, the potential of APs as
novel therapeutic agents is being evaluated. However, optimisation of APs
designed for therapy will need to focus on such factors as their susceptibility
to proteolytic degradation and reduction of toxicity to mammalian cells. Strict
guidelines pertaining to their use should also be established to prevent or
hinder future development of bacterial resistance to such peptides.
PMID- 21894026
TI - Epitope mapping of Atlantic salmon major allergen by peptide microarray
immunoassay.
AB - BACKGROUND: IgE epitope mapping of allergens reveals important information about
antigen elicitors involved in allergic reactions. The peptide-based microarray
immunoassay offers an advantage of scale and parallel design over previous
methods of epitope mapping. It has been used to map epitopes of some food
allergens but has never been used with fish allergens. OBJECTIVE: We sought to
develop a peptide microarray immunoassay to map allergenic fish epitopes of two
isoforms of Atlantic salmon (Salmo salar) parvalbumin, Sal s 1 beta 1 and Sal s 1
beta 2. METHODS: Sera from 16 fish-allergic patients with specific IgE to salmon
parvalbumin were used. Twelve healthy volunteers were used as negative controls.
A library of overlapping peptides was synthesized commercially, representing the
primary sequence of Sal s 1 beta 1 and Sal s 1 beta 2. Peptides were used to
analyze allergen-specific IgE antibodies by immunolabeling with patient sera.
RESULTS: Three antigenic regions, not previously described, were identified in
Sal s 1 beta 1. Two of them correlated with those previously reported in Gad c 1,
parvalbumin from Baltic cod (Gadus callarias). No allergenic regions were found
in Sal s 1 beta 2. This could be explained by crucial amino acid substitutions
between isoforms. CONCLUSIONS: We have identified three antigenic regions in Sal
s 1 beta 1 using a peptide microarray immunoassay. These three sequential
epitopes formed a unique antigenic determinant in the three-dimensional model of
the protein. In addition, we proved that isoforms from the same protein might
have a different allergenic behavior.
PMID- 21894028
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 1: UK RRT incidence
in 2009: national and centre-specific analyses.
AB - INTRODUCTION: This chapter describes the characteristics of adult patients
starting renal replacement therapy (RRT) in the UK in 2009 and the acceptance
rates for RRT in Primary Care Trusts and Health Boards (PCT/HBs) in the UK.
METHODS: The basic demographics and clinical characteristics are reported on
patients starting RRT from all UK renal centres. Late presentation, defined as
time between first being seen by a nephrologist and start of RRT being <90 days
was also studied. Age and gender standardised ratios for acceptance rates in
PCT/HBs were calculated. RESULTS: In 2009, the incidence rate in the UK and
England was 109 per million population (pmp). Acceptance rates in Scotland (104
pmp), Northern Ireland (88 pmp) and Wales (120 pmp) had all fallen although Wales
still remained the country with the highest acceptance rate. There were wide
variations between PCT/HBs with respect to the standardised ratios. The median
age of all incident patients was 64.8 years (IQR 50.8, 75.1). For transplant
centres this was 63.0 years (IQR 49.0, 74.2) and for non-transplanting centres
66.3 years (IQR 52.6, 75.9). The median age for non-Whites was 57.1 years.
Diabetic renal disease remained the single most common cause of renal failure
(25%). By 90 days, 69.1% of patients were on haemodialysis, 17.7% on peritoneal
dialysis, 6.7% had had a transplant and 6.5% had died or stopped treatment. The
mean eGFR at the start of RRT was 8.6 ml/min/1.73 m2 which was similar to the
previous two years. Late presentation (<90 days) has fallen from 27% in 2004 to
19% in 2009. There was no relationship between social deprivation and
presentation pattern. CONCLUSIONS: Acceptance rates have fallen in Northern
Ireland, Scotland and Wales whilst they have plateaued in England over the last
four years. Wales continued to have the highest acceptance rate of the countries
making up the UK.
PMID- 21894029
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 6: survival and
causes of death of UK adult patients on renal replacement therapy in 2009:
national and centre-specific analyses.
AB - INTRODUCTION: These analyses examine (a) survival from the start of renal
replacement therapy (RRT), based on the total incident UK RRT population reported
to the UK Renal Registry, including the 18% who started on PD and the 6% who
received a pre-emptive transplant and (b) survival of prevalent patients. Changes
in survival between 1997 and 2008 are also reported. METHODS: Survival was
calculated for both incident and prevalent patients on RRT and compared between
the UK countries after adjustment for age. Survival of incident patients
(starting RRT during 2008) was calculated both from the start of RRT and amongst
the cohort who survived at least 90 days after RRT, both with and without
censoring at transplantation. Both Kaplan-Meier and Cox adjusted models were used
to calculate survival. Causes of death were analysed for both groups. Relative
risk of death was calculated compared with the general UK population. RESULTS:
The 2008 unadjusted 1 year after 90 day survival for patients starting RRT was
87.3%. In incident patients aged 18-64, the unadjusted 1 year survival had risen
from 85.9% in 1997 to 91.9% in 2008 and for those aged >= 65 it had risen from
64.2% to 75.8%. The age-adjusted one year survival (adjusted to age 60) of
prevalent dialysis patients rose from 85% in 2000 to 89% in 2009. Diabetic
prevalent patient one year survival rose from 76.6% in 2000 to 83.6% in 2009. The
age-standardised mortality ratio for prevalent RRT patients compared with the
general population was 19 at age 30 years and 2.4 at age 85 years. In the
prevalent RRT dialysis population, cardiovascular disease accounted for 24% of
deaths, infection 19% and treatment withdrawal 14%; 22% were recorded as
uncertain. Treatment withdrawal was a more frequent cause of death in patients
aged >= 65 at start of RRT than in younger patients. The median life years
remaining for a 25-29 year old on RRT was 20 years and 4 years for a 75+ year
old. CONCLUSIONS: Survival of patients starting RRT, has improved in the 2008
incident cohort. The relative risk of death on RRT compared with the general
population has fallen since 2001. Death rates on dialysis in the UK remained
lower than when compared with a similar aged population on dialysis in the USA.
PMID- 21894030
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 7: the relationship
between the type of vascular access used and survival in UK RRT patients in 2006.
AB - INTRODUCTION: The type of vascular access used by haemodialysis patients is
thought to be one of the predictors of patient survival. However, many previous
studies have been unable to separate the effect of access type from the effects
of other differences between patients groups or have included incident patients.
Some centres report excellent outcomes using dialysis catheters in stable
prevalent patients and challenge the current guidelines about the use of long
term catheters. This is an observational UK centre level study reporting on the
relationship between the percentage of established prevalent patients using
definitive access and the subsequent 1 year survival. METHOD: Vascular access
audit data from 2005 and UKRR survival data at 1 year for patients who had been
on HD for over 3 months was obtained from the UKRR database. Regression analysis
was used to assess the amount of variation in 1 year survival that could be
explained by the percentage of patients using an AVF or AVG in a centre. RESULTS:
From the renal centres reporting to the UKRR in 2005, 16,984 patients had
vascular access data. The mean centre level 1 year survival was 86.4% (95% CI:
82.2-90.9) and was 86.9% (95% CI: 82.8-91.2) after censoring for transplantation.
The mean percentage of haemodialysis patients using definitive access (AVF or
AVG) in a centre was 69.8% (SD 10.4). A small positive association was found
between the percentage of HD patients using an AVF or AVG in a centre and 1 year
uncensored survival (beta = 0.06, p = 0.04). The type of access in use was able
to explain 6% of the variation in centre level survival. CONCLUSIONS: To some
extent, this study has repeated work done by DOPPS and in the US but for the
first time has studied only prevalent dialysis patients and looked at the UK
dialysis population. Whilst increased venous catheter use was associated with an
increase in one year mortality of prevalent established haemodialysis patients,
this effect was very small and only accounted for some 6% of the variation in one
year mortality between renal centres. Further work using data from the current
large vascular access audit needs to be done to further elucidate best practice
within the UK.
PMID- 21894031
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 8: adequacy of
haemodialysis in UK adult patients in 2009: national and centre-specific
analyses.
AB - BACKGROUND: Outcome in patients treated with haemodialysis (HD) is influenced by
the delivered dose of dialysis. The UK Renal Association (RA) publishes Clinical
Practice Guidelines which include recommendations for dialysis dose. The urea
reduction ratio (URR) is a widely used measure of dialysis dose. AIM: To
determine the extent to which patients received the recommended dose of HD in the
UK. METHODS: All seventy-two UK renal centres submitted data to the UK Renal
Registry (UKRR). Two groups of patients were included in the analyses: the
prevalent patient population on 31st December 2009 and the incident patient
population for 2009. Centres returning data on <50% of their patient population
were excluded from centre-specific comparisons. RESULTS: Data regarding URR were
available from 63 renal centres in the UK. Fifty-one centres provided URR data on
more than 90% of prevalent patients. The proportion of patients in the UK who met
the UK Clinical Practice Guideline for URR (>65%) increased from 56% in 1998 to
85.5% in 2009. There was considerable variation between centres, with 19 centres
attaining the RA clinical practice guideline in >90% of patients and 5 centres
attaining the guideline in <70% of patients. The delivered HD dose (URR) was
lower in patients who had just commenced dialysis treatment compared to patients
who had survived longer on HD. CONCLUSIONS: The delivered dose of HD for patients
with established renal failure has increased over the last decade. Whilst the
majority of UK patients achieved the target URR there was considerable variation
between centres in the percentage of patients achieving the guideline.
PMID- 21894032
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 9: haemoglobin,
ferritin and erythropoietin amongst UK adult dialysis patients in 2009: national
and centre-specific analyses.
AB - BACKGROUND: The UK Renal Association (RA) and National Institute for Health and
Clinical Excellence (NICE) have published Clinical Practice Guidelines which
include recommendations for management of anaemia in established renal failure.
AIMS: To determine the extent to which the guidelines for anaemia management are
met in the UK. METHODS: Quarterly data were obtained regarding haemoglobin (Hb)
and factors that influence Hb from renal centres in England, Wales, Northern
Ireland (EWNI) and the Scottish Renal Registry for the incident and prevalent
renal replacement therapy (RRT) cohorts for 2009. RESULTS: In the UK, in 2009 55%
of patients commenced dialysis therapy with Hb x10.0 g/dl (median Hb 10.2 g/dl).
The median Hb of haemodialysis (HD) patients was 11.6 g/dl with an interquartile
range (IQR) of 10.6 - 12.4 g/dl. Of HD patients 85% had Hb >= 10.0 g/dl. The
median Hb of peritoneal dialysis (PD) patients in the UK was 11.7 g/dl (IQR 10.7
12.6 g/dl). Of UK PD patients, 88% had Hb >= 10.0 g/dl. The median ferritin in HD
patients in EWNI was 441 mg/L (IQR 289-629) and 96% of HD patients had a ferritin
>= 100 mg/L. The median ferritin in PD patients was 249 mg/L (IQR 142-412) with
86% of PD patients having a ferritin 5100 mg/L. In EWNI the mean Erythropoietin
Stimulating Agent (ESA) dose was higher for HD than PD patients (9,507 vs. 6,212
IU/week). CONCLUSIONS: In 2009, 56% of prevalent HD patients had a Hb >= 10.5 and
<= 12.5 g/dl compared with 54% in 2008 and 53% in 2007. Fifty-four percent of
prevalent PD patients had a Hb >=10.5 and <=12.5 g/dl compared to 55% in 2008.
PMID- 21894033
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 10: calcium,
phosphate, parathyroid hormone, bicarbonate and total cholesterol concentrations
amongst patients receiving haemodialysis or peritoneal dialysis in England, Wales
and Northern Ireland in 2009: national and centre-specific analyses.
AB - INTRODUCTION: The UK Renal Association Clinical Practice Guidelines include
clinical performance measures for biochemical variables in dialysis patients [1].
The UK Renal Registry (UKRR) annually audits dialysis centre performance against
these measures as part of its role in promoting continuous quality improvement.
METHODS: Cross sectional performance analyses were undertaken to compare dialysis
centre achievement of clinical audit measures for prevalent haemodialysis (HD)
and peritoneal dialysis (PD) cohorts in 2009. The biochemical variables studied
were phosphate, adjusted calcium, parathyroid hormone, bicarbonate and total
cholesterol. In addition longitudinal analyses were performed (2000-2009) to show
changes in achievement of clinical performance measures over time. RESULTS: Sixty
one percent of HD and 70% of PD patients had phosphate between 1.1-1.8 mmol/L.
Seventy-four percent of HD and 75% of PD patients had adjusted calcium between
2.2-2.5 mmol/L. Twenty-eight percent of HD and 32% of PD patients had parathyroid
hormone between 16-32 pmol/L. Seventy-two percent of HD and 83% of PD patients
achieved the audit measure for bicarbonate. There was significant inter-centre
variation for all variables studied. CONCLUSIONS: The UKRR consistently
demonstrates significant inter-centre variation in achievement of biochemical
clinical audit measures. Understanding the causes of this variation is an
important part of improving the care of dialysis patients in the UK.
PMID- 21894034
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 11: blood pressure
profile of prevalent patients receiving renal replacement therapy in England,
Wales and Northern Ireland in 2009: national and centre-specific analyses.
AB - BACKGROUND: The UK Renal Registry (UKRR) assesses blood pressure (BP) control
annually for patients receiving Renal Replacement Therapy (RRT) at renal centres
in England, Wales and Northern Ireland. METHODS: Patients alive and receiving RRT
on 31st December 2009 with a BP reading in either the fourth or third quarter of
2009 were included. Summary statistics were calculated for each renal centre and
country. RESULTS: Data completeness for BP measurements submitted to the UKRR for
all modalities improved from the previous year and was better for HD patients
(67% for pre-HD measurements) than for PD patients (44%) or transplant recipients
(37%). In 2009, the median pre-and post-HD SBP were 142 mmHg and 129 mmHg
respectively. The median SBP of patients on PD was 137 mmHg. Transplant
recipients had a median SBP of 134 mmHg. Median DBP were 74 mmHg (pre-HD), 68
mmHg (post-HD), 79 mmHg (PD) and 79 mmHg (transplant). Only 26.7% of PD patients
achieved the Renal Association guideline of SBP <130 mmHg and DBP <80 mmHg.
Amongst transplant patients, 27.2% achieved the Renal Association guideline of
SBP <130 mmHg and DBP <80 mmHg. CONCLUSION: In 2009 there continued to be
significant variation in the achievement of BP standards between UK renal
centres.
PMID- 21894035
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 12: clinical,
haematological and biochemical parameters in patients receiving renal replacement
therapy in paediatric centres in the UK in 2009: national and centre-specific
analyses.
AB - BACKGROUND: The British Association for Paediatric Nephrology Registry was
established fifteen years ago to analyse data related to renal replacement
therapy for children. The registry receives data from the 13 paediatric
nephrology centres in the UK. In 2008 the registry was relocated to the UK Renal
Registry (UKRR). AIM: To provide centre specific data so that individual centres
can reflect on the contribution that their data makes to the national picture and
to determine the extent to which their patient parameters meet nationally agreed
audit standards for the management of children with established renal failure.
METHOD: Data were submitted by either paper or electronic returns. Data were
analysed to calculate summary statistics and where applicable the percentage
achieving an audit standard. The standards used were those set out by the Renal
Association and the National Institute for Health and Clinical Excellence.
RESULTS: Data were received from all but one centre. Anthropometric data
confirmed that children with established renal failure (ERF) in the UK are short
compared with their peers with no change in recent trends. In the UK as a whole,
the control of blood pressure, anaemia and bone biochemistry is suboptimal.
CONCLUSIONS: Key features of this report are the provision of centre specific
data and comparison of data to audit standards. It is hoped that this information
will provide a basis for discussion and a stimulus to improve the care of
children with ERF.
PMID- 21894036
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 13: centre
variation in access to renal transplantation in the UK (2004-2006).
AB - BACKGROUND: Renal transplantation is recognised as being the optimal treatment
modality for many patients with end stage renal disease. This analysis aimed to
explore the equity of access to renal transplantation in the UK. METHODS:
Transplant activity and waiting list data were obtained from NHS Blood and
Transplant, demographic and laboratory data were obtained from the UK Renal
Registry. All incident RRT patients starting treatment between 1st January 2004
and 31st December 2006 from 65 renal centres were considered for inclusion. The
cohort was followed until 31st December 2008 (or until transplantation or death,
whichever was earliest). RESULTS: Age, ethnicity and primary renal diagnosis were
associated with both accessing the kidney transplant waiting list and receiving
an organ. A patient starting dialysis in a non-transplanting renal centre was
less likely to be registered for transplantation (OR 0.90, 95% CI 0.82-0.99) or
receive a transplant from a donor after cardiac death or a living kidney donor
(OR 0.69, 95% CI 0.60-0.79) compared with patients cared for in transplanting
renal centres. Once registered for kidney transplantation, patients in both
transplanting and nontransplanting renal centres had an equal chance of receiving
a transplant from a donor after brain stem death (OR 0.92, 95% CI 0.78-1.08).
CONCLUSION: There is wide variation in access to kidney transplantation between
UK renal centres which cannot be explained by differences in case mix.
PMID- 21894037
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 14: enhancing
access to UK Renal Registry data through innovative online data visualisations.
AB - INTRODUCTION: As the volume of data and analyses grows with time, so does the
need to present this increasingly complex information in an accessible and
clinically informative manner which is responsive to, and reflects the nature of,
the enquiries made by those seeking to access the data. THE UK RENAL REGISTRY
INTERACTIVE DATA PORTAL: The UK Renal Registry (UKRR) now has a bespoke
interactive data portal which provides a focussed point of access to a variety of
graphical display formats and analyses of UKRR data including: . Centre-specific
reports--a distillation of annual UKRR data including a colour-coded dashboard
summary as well as both funnel plots and longitudinal statistical process control
charts for a range of clinical parameters. . Interactive flash-based longitudinal
Statistical Process Control charts on a per-centre and per-parameter basis
allowing for a more detailed review of performance over time. These charts are
the interactive correlates of those available in the centre-specific reports. .
Rosling/Gapminder-style motion charts on a perparameter basis simultaneously
detailing performance and activity data from multiple centres interactively over
time (more details below). . An interactive graphical pivot chart solution using
OLAP technology allowing users to design and export their own charts/analyses in
real-time using UKRR data. CONCLUSION: This work builds strongly on the wealth of
information arising from the high-quality validated UKRR datasets. The portal
will empower and engage the UK renal community in the comparative analysis of
delivered renal care ultimately leading to enhanced quality improvement over
time.
PMID- 21894038
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 15: UK renal centre
survey results 2010: RRT incidence and use of home dialysis modalities.
AB - INTRODUCTION: RRT incidence rates and the proportion of patients using a home
dialysis modality (peritoneal or home haemodialysis) varies widely between
centres and persists even after area differences in age, ethnicity and social
deprivation structure are taken into account. A nationwide survey was undertaken
to identify possible drivers of this variation. METHODS: A systematic literature
review followed by a two-stage Delphi consensus technique was employed to
identify renal centre characteristics and practice patterns that may be important
in determining either RRT incidence or home modality usage. RESULTS: All 72
(100%) of UK adult renal centres responded. Questions about staffing numbers,
interface with primary care, interface with other secondary care sites, capacity
within the HD programme, constituents of pre-dialysis education programmes,
conservative management programmes, range of treatments available, dialysis
access and training and physician attitudes to home modalities were included.
CONCLUSIONS: There was wide variation in practice patterns and centre
characteristics across the UK. Overall, physician enthusiasm for home dialysis
modalities was greater than the actual usage of home dialysis.
PMID- 21894039
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 16: memories of
changes in renal care over three decades--the human perspective on registry
statistics.
AB - INTRODUCTION: This is a personal memory of 35 years of renal replacement therapy
charting the changes in care through that time. METHOD: The personal
reminiscences were augmented by the recollections of other patients and staff
from the time. RESULTS: Major changes are charted in: the selection of patients
especially children, the care of children, approaches to diet, methods of
dialysis, transplant techniques and immunosuppression. Attitudes towards care and
lifestyle possibilities have become more liberal for patients. CONCLUSION: Much
has changed, mainly for the better and some old ideas have come back into
fashion. Long-term patients have been through very difficult experiences and
might have strongly formed opinions about their treatment as a result: perhaps
staff should listen to these patients and learn from their wealth of experience.
PMID- 21894040
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 2: UK RRT
prevalence in 2009: national and centre-specific analyses.
AB - INTRODUCTION: This chapter describes the characteristics of adult patients on
renal replacement therapy (RRT) in the UK in 2009. The prevalence rates per
million population (pmp) were calculated for Primary Care Trusts in England,
Health and Social Care Areas in Northern Ireland, Local Health Boards in Wales
and Health Boards in Scotland. These areas will be referred to in this report as
'PCT/HBs'. METHODS: Data were electronically collected from all 72 renal centres
within the UK. A series of cross-sectional and longitudinal analyses were
performed to describe the demographics of prevalent RRT patients in 2009 at
centre and national level. Age and gender standardised ratios for prevalence
rates in PCT/HBs were calculated. RESULTS: There were 49,080 adult patients
receiving RRT in the UK on 31st December 2009, equating to a UK prevalence of 794
pmp. This represented an annual increase in prevalent numbers of approximately
3.2% although there was significant variation between centres and PCT/HB areas.
The growth rate from 2008 to 2009 for prevalent patients by treatment modality in
the UK was 4.2% for haemodialysis (HD), a fall of 7.2% for peritoneal dialysis
(PD) and a growth of 4.4% with a functioning transplant. There has been a slow
but steady decline in the proportion of PD patients from 2000 onwards. Median RRT
vintage was 5.4 years. The median age of prevalent patients was 57.7 years (HD
65.9 years, PD 61.2 years and transplant 50.8 years). For all ages, prevalence
rates in males exceeded those in females: peaks for males were in the 75-79 years
age group at 2,632 pmp and for females in the 70-74 years age group at 1,445 pmp.
The most common identifiable renal diagnosis was biopsy-proven glomerulonephritis
(16.0%), followed by diabetes (14.7%). Transplantation was the most common
treatment modality (48%), HD in 44% and PD 8%. However, HD was increasingly
common with increasing age and transplantation less common. CONCLUSIONS: The HD
and transplant population continued to expand whilst the PD population
contracted. There were national, regional and dialysis centre level variations in
prevalence rates. This has implications for service planning and ensuring equity
of care for RRT patients.
PMID- 21894041
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 3: demographic and
biochemistry profile of kidney transplant recipients in the UK in 2009: national
and centre-specific analyses.
AB - INTRODUCTION: National transplant registries routinely focus on centre-specific
patient and graft survival rates following renal transplantation. However other
outcomes such as graft function (as measured by eGFR), haemoglobin, biochemical
variables and blood pressure are also important quality of care indicators.
METHODS: Renal transplant activity, incident graft survival data and donor
information were obtained from NHS Blood and Transplant. Laboratory and clinical
variables and prevalent survival data were obtained from the UK Renal Registry.
Data were analysed separately for prevalent and one year post-transplant
patients. RESULTS: Increasing live and donor after cardiac death donors were
responsible for the increasing transplant activity within the UK. During 2009,
2.9% of prevalent transplant patients experienced graft failure and transplant
patient death rates remained stable at 2.5 per 100 patient years. There was
centre variation in outcomes including eGFR, haemoglobin and biochemical
variables in prevalent and 1 year posttransplant patients. Analysis of prevalent
transplants by chronic kidney disease stage showed 14.3% with an eGFR <30
ml/min/1.73 m(2) and 1.9% with an eGFR <15 ml/min/1.73 m(2). Of those with CKD
stage 5T, 33.3% had haemoglobin concentrations <10.5 g/dl, 22.4% phosphate
concentrations >= 1.8 mmol/L and 7.7% adjusted calcium concentrations >= 2.6
mmol/L. CONCLUSION: Significant variations in clinical outcomes (unadjusted for
patient-specific variables) amongst kidney transplant recipients continued to
exist in the UK, and may reflect differences in healthcare delivery between renal
centres.
PMID- 21894042
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 4: comorbidities
and current smoking status amongst patients starting renal replacement therapy in
England, Wales and Northern Ireland from 2008 to 2009.
AB - INTRODUCTION: Comorbidity is an important determinant of survival for renal
replacement therapy patients and impacts other care processes such as dialysis
access creation and transplant wait-listing. The prevalence of comorbidities in
incident patients on renal replacement therapy (RRT) changes with age and varies
between ethnic groups. This study describes these associations and the
independent effect of comorbidities on outcomes. METHODS: Incident patients
reported to the UK Renal Registry (UKRR) with comorbidity data in 2008 and 2009
(n = 5,617) were included in analyses exploring the association of comorbidity
with patient demographics, treatment modality, haemoglobin and renal function at
start of RRT. For analyses examining comorbidity and survival, adult patients
starting RRT between 2004 and 2009 in centres reporting to the UKRR with
comorbidity data (n = 16,527) were included. The relationship between
comorbidities and mortality at 90 days and one year after 90 days from start of
RRT was explored using Cox regression. RESULTS: Completeness of comorbidity data
was 44.4% in 2009 compared with 52.1% in 2004. Of patients with data, 56.5% had
one or more comorbidities. Diabetes mellitus and ischaemic heart disease were the
most common conditions seen in 32.9% and 22.5% of patients respectively. Current
smoking was recorded for 12.4% of incident RRT patients in the 2-year period. The
presence of comorbidities in patients <75 years became more common with
increasing age in all ethnic groups. In multivariable survival analysis,
malignancy and the presence of ischaemic/neuropathic ulcers were the strongest
independent predictors of poor survival at 1 year after 90 days from the start of
RRT in patients <65 years. CONCLUSION: Differences in prevalence rates of
comorbid illnesses in incident RRT patients may reflect variation in access to
health care or competing risk prior to commencing treatment. The interpretation
of analyses continues to be limited by poor data completeness.
PMID- 21894043
TI - UK Renal Registry 13th Annual Report (December 2010): Chapter 5: demography of
the UK paediatric renal replacement therapy population in 2009.
AB - AIMS: To describe the demographics of the paediatric RRT population under the age
of 16 years in the UK and to analyse changes in demography with time. METHODS:
Extraction and analysis of data from the UK Renal Registry (UKRR). RESULTS: There
were 751 children <16 years old with established renal failure (ERF) in the UK in
December 2009. The reported prevalence under the age of 16 years was 65 per
million age related population (pmarp) and the reported incidence 9.3 pmarp. The
incidence and prevalence for South Asian patients was much higher than that of
the White and Black populations. Of the patients for whom a primary renal
diagnosis had been reported, renal dysplasia +/- reflux was the most common cause
of ERF accounting for 34.0% of prevalent cases. There has been growth in
treatment numbers in all paediatric renal centres between 1995 and 2010. Whilst
the rate of transplantation within 90 days of commencing RRT has remained at
around 25-30% of patients, the use of HD has increased by 4% at the expense of
PD. CONCLUSIONS: The paediatric ERF population continued to expand with a slow
increase in both incidence and prevalence rates. The high incidence in patients
from ethnic minority groups will lead to a greater proportion of the population
being from these groups in time. To maintain the high proportion of engrafted
patients it will be necessary to encourage living donation in the ethnic minority
population.
PMID- 21894044
TI - Application of beta regression to analyze ischemic stroke volume in NINDS rt-PA
clinical trials.
AB - BACKGROUND AND PURPOSE: Ischemic stroke lesion volumes have proven difficult to
analyze due to the extremely skewed shape of their underlying distribution. We
introduce an extension of generalized linear models, beta regression, as a
possible method of modeling extremely skewed distributions as evidenced in
ischemic stroke lesion volumes. METHODS: The NINDS rt-PA clinical trials measured
ischemic stroke lesion volume as a secondary trial outcome. Three-month lesion
volumes from these trials were analyzed using beta regression. A multi-variable
regression model associating explanatory variables with ischemic stroke lesion
volumes was constructed using accepted model building strategies and compared
with the previously published volumetric analysis. RESULTS: Beta regression
produced a similar model when compared to the previous analysis published by the
study group. All previously identified variables of importance were detected in
the model building process. The age by treatment interaction described in
previous studies was also found in this analysis, confirming the strong effect
age has on stroke outcomes. Further, a treatment effect was elicited in terms of
odds ratios, yielding a previously unknown quantification of the effect of rt-PA
on lesion volumes. CONCLUSIONS: Beta regression proved adept in modeling ischemic
stroke lesions and offered the interpretation of covariates in terms of odds
ratios. Beta regression is seen as a legitimate alternative to analyze ischemic
stroke volumes.
PMID- 21894046
TI - Perception of recurrent stroke risk among stroke survivors.
PMID- 21894045
TI - Perception of recurrent stroke risk among black, white and Hispanic ischemic
stroke and transient ischemic attack survivors: the SWIFT study.
AB - OBJECTIVES: Risk modification through behavior change is critical for primary and
secondary stroke prevention. Theories of health behavior identify perceived risk
as an important component to facilitate behavior change; however, little is known
about perceived risk of vascular events among stroke survivors. METHODS: The
SWIFT (Stroke Warning Information and Faster Treatment) study includes a
prospective population-based ethnically diverse cohort of ischemic stroke and
transient ischemic attack survivors. We investigate the baseline relationship
between demographics, health beliefs, and knowledge on risk perception.
Regression models examined predictors of inaccurate perception. RESULTS: Only 20%
accurately estimated risk, 10% of the participants underestimated risk, and 70%
of the 817 study participants significantly overestimated their risk for a
recurrent stroke. The mean perceived likelihood of recurrent ischemic stroke in
the next 10 years was 51 +/- 7%. We found no significant differences by race
ethnicity with regard to accurate estimation of risk. Inaccurate estimation of
risk was associated with attitudes and beliefs [worry (p < 0.04), fatalism (p <
0.07)] and memory problems (p < 0.01), but not history or knowledge of vascular
risk factors. CONCLUSION: This paper provides a unique perspective on how factors
such as belief systems influence risk perception in a diverse population at high
stroke risk. There is a need for future research on how risk perception can
inform primary and secondary stroke prevention.
PMID- 21894047
TI - Tremor in school-aged children: a cross-sectional study of tremor in 819 boys and
girls in Burgos, Spain.
AB - BACKGROUND: Mild hand tremor occurs in most normal adults. There are no surveys
of the prevalence or clinical correlates of such tremor among children. METHODS:
A cross-sectional study of tics, tremor and other neurological disorders was
conducted in Spanish children; thus, 819 schoolchildren in Burgos, Spain, drew
Archimedes spirals with each hand. Tremor in spirals was rated (0-2) by a blinded
neurologist and an overall tremor rating (0-4) was assigned. RESULTS: The mean
age was 10.9 +/- 3.1 years. A tremor rating of 1 (mild tremor) was present in
either hand in 424 (51.7%) children, and in both hands in 88 (10.7%) children.
Higher tremor ratings were very uncommon. The overall tremor rating was higher in
boys than girls (1.31 +/- 0.41 vs. 1.22 +/- 0.34, p = 0.002) and correlated
weakly yet significantly with age (rho = 0.09, p = 0.01). Within subjects, the
left hand spiral rating was greater than the right (p < 0.001). CONCLUSIONS: In
this cross-sectional study of 819 Spanish schoolchildren, mild tremor was
commonly observed. As in adults, males had more tremor than females, tremor
scores increased with age, and tremor scores were higher in the left than right
arm, demonstrating that these clinical correlations seem to be more broadly
generalizable to children. The functional significance of tremor in children,
particularly as it relates to handwriting proficiency, deserves additional
scrutiny.
PMID- 21894048
TI - Use of predictive markers in oncology: are phase 3 trials always required?
PMID- 21894049
TI - KRAS mutation in metastatic pancreatic ductal adenocarcinoma: results of a
multicenter phase II study evaluating efficacy of cetuximab plus
gemcitabine/oxaliplatin (GEMOXCET) in first-line therapy.
AB - BACKGROUND: Genetic alterations within the epidermal growth factor receptor
(EGFR) pathway, including KRAS mutations, have been demonstrated to be associated
with response to EGFR inhibitors like cetuximab in colorectal cancers. Mutations
in the KRAS gene have been found in 70-90% of pancreatic cancers. Unfortunately,
the addition of cetuximab to chemotherapy did not increase response or survival
in patients with advanced pancreatic cancer in phase II and phase III studies.
The aim of this study was to evaluate the relationship between KRAS mutations and
response or survival in patients with metastatic pancreatic cancer treated with
cetuximab plus chemotherapy. METHODS: Within a multicenter phase II trial, 64
patients with metastatic pancreatic cancer were treated with cetuximab in
combination with gemcitabine and oxaliplatin until disease progression. Analyses
of the EGFR pathway, including KRAS mutations, could be performed in 25 patients.
Analyses were carried out following microdissection of the tumor. RESULTS:
Fourteen (56%) of the 25 patients examined harbored a point mutation in codon 12
of the KRAS gene. No differences between the groups were noted in median
progression-free survival (104 days in KRAS wild-type patients vs. 118 days in
patients with KRAS mutations). Overall survival was longer in wild-type patients
compared to patients with KRAS mutations (263 vs. 162 days), but the difference
did not reach statistical significance. A further analysis of our clinical phase
II trial showed that the presence of a rash was significantly correlated with
overall survival. CONCLUSIONS: KRAS mutation in codon 12 may be associated with
reduced survival compared to KRAS wild type. The role of KRAS mutations for
cetuximab therapy in pancreatic cancer warrants further investigation in larger
trials to exclude an epiphenomenon. Furthermore, the development of a rash is
indicative of clinical benefit.
PMID- 21894050
TI - Benzodiazepine use in breast cancer survivors: findings from a consecutive series
of 1,000 patients.
AB - OBJECTIVE: This study reports the percentage of breast cancer survivors receiving
ongoing benzodiazepines and the circumstances surrounding their usage. METHODS:
The medical records of 1,000 consecutive breast cancer survivors who were no
longer receiving adjuvant chemotherapy were reviewed. RESULTS: Among those
patients, 7.9% (95% confidence interval 6.2-9.6; higher than the 3% rate in the
general population) were receiving benzodiazepines. Lorazepam was most commonly
prescribed. Sixty-eight patients were cancer free at their last visit, and 51 had
not been taking benzodiazepines prior to their cancer diagnosis. Anxiety was the
single most frequent reason for initiating and continuing benzodiazepines.
CONCLUSION: Anxiety appears to be a common explanation for relatively high rates
of benzodiazepine use in breast cancer survivors. This finding merits further
study.
PMID- 21894051
TI - A study on orbital volume of Korean people in their 20s or 40s.
AB - AIMS: To measure the orbital volume of normal Korean people in two different age
groups (subjects were in their 20s or 40s), and analyze the differences of
orbital volume with respect to age and gender. In addition, to analyze
correlation between body parameters (height and weight) and the orbital volume.
METHODS: Magnetic resonance imaging (MRI) data were acquired for a total of 143
subjects, consisting of 71 subjects in their 20s (32 males and 39 females) and 72
subjects in their 40s (30 males and 42 females). Two-way ANOVA was used to
analyze how orbital volume changes with respect to gender and age. A multiple
regression analysis was performed to investigate the correlation between body
parameters and the orbital volume. RESULTS: The orbital volume of subjects in
their 20s was larger than that of subjects in their 40s, and the volume was
larger in men than in women. As age increased, the decrease in the orbital volume
of women was greater than that of men. While weight and height showed positive
correlations with orbital volume in male and female subjects in their 20s,
respectively, weight showed a positive correlation with orbital volume in male
and female subjects in their 40s. CONCLUSIONS: These results provide basic
information about the effect of age, gender, and body parameters on orbital
volume of Korean people in their 20s or 40s.
PMID- 21894052
TI - Chronic serous otitis media as a manifestation of temporal meningioma.
AB - Chronic serous otitis media is a common problem in the daily routine of the
otorhinolaryngologist. In the majority of cases, the cause is related to
dysfunction of the eustachian tube due to viral or bacterial rhinitis and
occasionally to nasopharyngeal tumors. We report a case of a patient presenting
with chronic serous otitis media that was resistant to conventional therapy. MRI
with gadolinium finally revealed that the middle ear fluid was caused by leakage
of cerebrospinal fluid (CSF) due to bone destruction by a temporal meningioma.
The CSF leakage was closed by surgery. Histopathology confirmed meningioma in the
temporal bone.
PMID- 21894053
TI - Acute pancreatitis: mild, severe or potentially fatal.
PMID- 21894054
TI - Oral cholecalciferol versus ultraviolet radiation B: effect on vitamin D
metabolites in patients with chronic pancreatitis and fat malabsorption - a
randomized clinical trial.
AB - BACKGROUND: Patients with chronic pancreatitis (CP) often develop fat
malabsorption and are susceptible to hypovitaminosis D. AIM: We wanted to
evaluate the intestinal uptake of cholecalciferol in patients with CP and fat
malabsorption. METHODS: We did a prospective placebo-controlled study including
patients with verified CP and fat malabsorption. They were randomized to 10 weeks
of (A) ultraviolet radiation B (UVB) 6 min weekly in a commercial tanning bed,
(B) vitamin D supplement 1,520 IU/daily, or (C) placebo. The vitamin D
metabolites 25-hydroxyvitamin D (25OHD) and 1,25-dihydroxyvitamin D (calcitriol)
were quantified at the start and end of the study. RESULTS: In total 30 patients
were randomized and 27 completed the study. Compliance to tablets and tanning
sessions was >80%. The changes in 25OHD levels in group B (32.3 nmol/l; 95% CI 15
50) were significantly greater than changes in group A (p < 0.001) and group C (p
< 0.001). Changes in group A (1.1 nmol/l) did not differ from the placebo group
(p = 0.9). Changes in calcitriol levels were identical between groups.
CONCLUSIONS: Daily vitamin D supplements increased 25OHD in patients with CP
compared to placebo whereas weekly tanning bed sessions did not.
PMID- 21894055
TI - Surgical treatment of pancreatic tumors in childhood and adolescence: uncommon
neoplasms with favorable outcome.
AB - BACKGROUND/AIMS: Pancreatic tumors in children and adolescents are uncommon. The
aim of the present paper was to analyze short- and long-term outcomes after
surgical treatment of primary pancreatic neoplasms in children and adolescents at
a single high-volume center for pancreatic diseases. METHODS: Retrospective
review of medical records and pathology reports of patients younger than 18 years
who underwent surgery at Verona University Hospital from 1990 through 2010.
RESULTS: The study population consisted of 20 patients. Abdominal pain and
palpable mass were the most common presenting symptoms. No patient had a locally
advanced, unresectable or metastatic disease. Complete resection (R0) was
achieved in 19 patients. There was no postoperative mortality, but postoperative
complications occurred in 5 cases (25%). Histological examination showed 12 solid
pseudopapillary tumors, 5 neuroendocrine tumors, 2 cystadenomas and 1 epithelial
malignant tumor. At a median follow-up of 49.5 months (range: 7-234), there was
no tumor recurrence. Postoperative diabetes was diagnosed in 1 patient and 4
other patients developed pancreatic exocrine insufficiency. CONCLUSION: In the
setting of a high-volume surgical center, radical resection of pancreatic tumors
in children and adolescents is associated with acceptable postoperative morbidity
and favorable long-term outcome.
PMID- 21894056
TI - Role of endoscopic ultrasound and endoscopic ultrasound-guided fine-needle
aspiration in diagnosing metastasis to the pancreas: a tertiary center
experience.
AB - BACKGROUND: Metastasis to the pancreas (MP) is a rare entity that is difficult to
identify by imaging alone. Few reports have described endoscopic ultrasound (EUS)
and EUS-guided fine-needle aspiration (FNA) findings. Herein, we try to describe
the EUS and EUS-FNA characteristics of MP. METHODS: This retrospective study
compared 28 patients with MP (13 males; mean age: 60.1 +/- 12.6 years) and 60
control patients (30 males; 62.7 +/- 11.5 years) with pancreatic ductal
adenocarcinoma (PDAC). All lesions were characterized by EUS, and MP was
diagnosed by EUS-FNA (n = 16), surgery (n = 6) or both (n = 6). RESULTS:
Multivariate logistic regression revealed that the presence of regular borders (p
= 0.004; OR: 8.81, 95% CI: 1.97-39.4), the absence of retention cysts (p = 0.045;
OR: 12.5, 95% CI: 1.06-147.0), and the absence of main pancreatic duct (MPD)
dilation (p = 0.003; OR: 8.18, 95% CI: 2.04-32.8) were predictors of MP rather
than PDAC. The EUS-FNA sampling adequacy was 95.4% (21/22), and the correct
diagnosis was obtained in 95.2% (20/21) of cases when K-ras mutation analysis
and/or immunostaining were added. CONCLUSION: The presence of regular borders,
the absence of retention cysts and the presence of nondilated MPD on EUS indicate
MP rather than PDAC. This diagnosis can be accurately confirmed by EUS-FNA with
immunostaining and/or K-ras analysis.
PMID- 21894057
TI - Risk factors for postendoscopic retrograde cholangiopancreatography pancreatitis:
a retrospective analysis of 7,168 cases.
AB - BACKGROUND AND AIMS: Postendoscopic retrograde cholangiopancreatography
pancreatitis (PEP) is one of the most common and serious complications after
endoscopic retrograde cholangiopancreatography (ERCP). This study aims to test
the hypothesis that the incidence of PEP declined over time due to improved
patient selection and/or endoscopic equipment and endoscopic techniques.
Therefore, we compared the incidence and risk factors of PEP between four
arbitrary chronologically stratified groups. METHODS: A total of 7,168 cases of
ERCP procedures were retrospectively analyzed. According to the different
developmental stages of ERCP equipment and techniques, cases were divided into
four groups. The incidence rates and major risk factors for acute PEP were
compared between groups. RESULTS: Among the 7,168 cases, the overall incidence of
PEP was 3.70% (265/7,168). When analyzed against each stage of ERCP development,
the incidence of PEP was 4.09% (77/1,884) in stage I, 5.79% (86/1,489) in stage
II, 3.95% (62/1,568) in stage III and 1.80% (40/2,227) in stage IV. By univariate
analysis, pancreatic stent placement (OR: 0.300) and use of propofol-balanced
anesthesia (OR: 0.632) seem to be protective factors for acute PEP. By
multivariate analysis, the following risk factors for PEP could be identified:
repeated cannulation (OR: 3.462), pancreatic duct injection (OR: 3.218), balloon
dilation of biliary sphincter (OR: 2.847), papillae precut (OR: 2.493),
nonselective high-pressure injection (OR: 1.428), excessive electrocoagulation
incision (OR: 1.263), history of pancreatitis (OR: 3.843) and suspected sphincter
of Oddi dysfunction (OR: 1.782). CONCLUSIONS: Improved technical procedures were
associated with a significant reduction in the incidence of PEP. Risks for
developing PEP may be minimized by constant improvement in ERCP techniques, such
as routine use of a guidewire, highly selective cannulation, pancreatic stent
placement and cautious incision.
PMID- 21894058
TI - A comprehensive classification of invasive procedures for treating the local
complications of acute pancreatitis based on visualization, route, and purpose.
AB - BACKGROUND/AIMS: The lack of a system to classify invasive procedures to treat
local complications of acute pancreatitis is an obstacle to comparing
interventions. This study aimed to develop and validate a comprehensive
multidisciplinary classification. METHODS: Standardized terminology was used to
develop a classification of procedures based on three key components: how the
lesion is visualized, the route used during the procedure, and the procedure's
purpose. Gastroenterologists, radiologists, and surgeons (n = 22) from three New
Zealand centers independently classified 15 published technique descriptions.
Inter-rater reliability was calculated for each component. The classification's
clarity, ease of use, and potential to achieve its objectives were rated on a
Likert scale. RESULTS: The classification's clarity, ease of use, and potential
to achieve its objectives had median scores of 4/5. Inter-rater reliability for
visualization, route, and purpose components was substantial at 0.73 (95% CI 0.63
0.82), 0.79 (95% CI 0.70-0.87), and 0.64 (95% CI 0.53-0.74), respectively.
CONCLUSIONS: This article describes the development and validation of a
comprehensive classification for the wide range of procedures used to treat the
local complications of acute pancreatitis. It has substantial inter-rater
reliability and high acceptability, which should enhance communication between
clinicians and facilitate comparison between procedures.
PMID- 21894059
TI - Prediction of implantable pulse generator longevity in deep brain stimulation:
limitations and possible solutions in clinical practice.
AB - BACKGROUND: Deep brain stimulation (DBS) is used in the treatment of movement
disorders, chronic pain and certain neuropsychiatric conditions. Its effect is
achieved through local stimulation of specific targets of the brain. Electrical
pulses are delivered at settings that can be tailored to provide optimum symptom
control. DBS is powered by an implantable pulse generator (IPG). These IPGs do
not have an indefinite lifespan and will eventually become depleted. Therefore,
regular checks are carried out to monitor the IPG's power status. OBJECTIVE: To
evaluate the utility of computer-based prediction of IPG longevity in patients
with DBS devices by imputing the device's settings. PATIENTS: Eighty-two IPGs in
47 consecutive patients were studied comparing the actual longevity of the IPGs
with their predicted longevity using a computer-based calculator. RESULTS: Our
study showed that the predicted longevities were too different from actual
longevities to have a role in clinical practice. The time difference varied by
more than 12 months in some cases, with a correlation coefficient of <0.68. There
were multiple reasons for this. CONCLUSION: The longevities of IPGs could not be
predicted accurately enough for the computer-based calculator to have a role in
clinical practice or the proactive scheduling of IPG replacement. However,
rechargeable IPGs may help avoid premature replacements and battery depletions.
PMID- 21894060
TI - Is a patient controller for Parkinson's disease patients with subthalamic nucleus
deep brain stimulation reasonable?
AB - BACKGROUND: A patient controller (PC) is an optional device for patients with
deep brain stimulation (DBS) to have limited control of their stimulator system.
OBJECTIVES: We investigated the impact of a PC on DBS safety, most notably the
handling/prevention of unexpected DBS failure in patients with Parkinson's
disease (PD). METHODS: PD patients with subthalamic DBS were educated in the use
of a PC. After a first impulse generator (IPG) replacement, data on the use of
the PC were obtained from the patients' records and by a patient questionnaire.
RESULTS: A total of 27 patients with IPG replacements after 4.4 +/- 0.8 years
(118.8 patient-years) were included. Thirteen patients transiently used the PC to
optimize stimulation amplitudes. Eighteen patients reported events when they used
the PC to ensure DBS being on. No accidental switch off by environmental
electromagnetism was confirmed. In contrast, 4 patients accidentally turned the
IPG off with the PC. Sixteen patients regularly checked the IPG battery but only
1 patient noted a low battery status before quarterly control visits. Of the 27
patients, 26 had anticipated and only 1 an unanticipated IPG replacement.
CONCLUSIONS: A PC is not needed for patient safety. However, in some patients, a
PC is helpful to optimize stimulation amplitudes and to increase the patients'
own perception of safety.
PMID- 21894061
TI - Abnormal T2-weighted MRI signal surrounding leads in a subset of deep brain
stimulation patients.
AB - BACKGROUND: Deep brain stimulation (DBS) surgery is a common treatment option for
numerous neurological disorders. However, it is not without potential
complications, such as hemorrhage and infection. Interestingly, we have observed
several instances of abnormal T2-weighted signal hyperintensity surrounding DBS
leads on postoperative MRI that are not associated with hemorrhage or infection.
METHODS: To better characterize the incidence and timing associated with this
finding, we retrospectively reviewed postoperative imaging for all DBS implants
performed over 9 years at one institution. RESULTS AND CONCLUSIONS: Fifteen
instances of T2 signal hyperintensity surrounding DBS leads on postoperative MRI
scans were observed across 239 implants (6.3% incidence) in 133 patients. The
signal characteristics were most consistent with vasogenic edema, possibly
representing an inflammatory response. The finding was typically unilateral, even
in patients with simultaneous bilateral lead implants. Most affected patients
were asymptomatic, although 3 were symptomatic and 1 experienced marked gait
instability that was treated with steroids. T2 signal abnormality was seen more
commonly in scans obtained 3 or more days after surgery than in those collected
immediately postoperatively. No differences in age, anatomical target, diagnosis,
or number of microelectrode passes were noted between patients with or without T2
signal. Further research will be needed to explain this curious neuroimaging
finding, and to rule out cause for clinical concern.
PMID- 21894062
TI - How to improve influenza vaccination rates in the U.S.
AB - Annual epidemics of seasonal influenza occur during autumn and winter in
temperate regions and have imposed substantial public health and economic
burdens. At the global level, these epidemics cause about 3-5 million severe
cases of illness and about 0.25-0.5 million deaths each year. Although annual
vaccination is the most effective way to prevent the disease and its severe
outcomes, influenza vaccination coverage rates have been at suboptimal levels in
many countries. For instance, the coverage rates among the elderly in 20
developed nations in 2008 ranged from 21% to 78% (median 65%). In the U.S.,
influenza vaccination levels among elderly population appeared to reach a
"plateau" of about 70% after the late 1990s, and levels among child populations
have remained at less than 50%. In addition, disparities in the coverage rates
across subpopulations within a country present another important public health
issue. New approaches are needed for countries striving both to improve their
overall coverage rates and to eliminate disparities. This review article aims to
describe a broad conceptual framework of vaccination, and to illustrate four
potential determinants of influenza vaccination based on empirical analyses of
U.S. nationally representative populations. These determinants include the
ongoing influenza epidemic level, mass media reporting on influenza-related
topics, reimbursement rate for providers to administer influenza vaccination, and
vaccine supply. It additionally proposes specific policy implications, derived
from these empirical analyses, to improve the influenza vaccination coverage rate
and associated disparities in the U.S., which could be generalizable to other
countries.
PMID- 21894063
TI - Use of senior center and the health-related quality of life in Korean older
adults.
AB - OBJECTIVE: The purpose of the present study was to examine the relationship
between the use of senior center and health-related quality of life in Korean
older adults. METHODS: A questionnaire survey was conducted to two types of older
adults who lived in Busan, Korea: 154 older adults who used a senior center and
137 older adults who did not use a senior center. The Korean version of short
form 36-item health survey was administered to assess the health-related quality
of life. Demographic variables were obtained from a questionnaire. These were
gender, age, family status, marital status, education, monthly income, present
illness, body mass index and physical activity. RESULTS: The 8-domain scales of
physical function and role-physical were significantly higher in the users of the
senior center compared with the non-users (F=4.87, p=0.027 and F=7.02, p=0.009,
respectively). The 8-domain scales of vitality was also significantly higher in
the users of the senior center compared with the non-users (F=7.48, p=0.007).
CONCLUSIONS: The present study showed that the users of the senior center have
higher physical function, role-physical and vitality compared with the non-users.
These findings suggest that although the results are unable to specify causal
relationships using the senior center may lead to some improvement in health
related quality of life.
PMID- 21894064
TI - Intraocular pressure and its determinants in subjects with type 2 diabetes
mellitus in India.
AB - OBJECTIVES: This study was conducted to show the intraocular pressure (IOP)
distribution and the factors affecting IOP in subjects with type 2 diabetes
mellitus (DM) in India. METHODS: We measured the anthropometric and biochemical
parameters for confirmed type 2 DM patients. A comprehensive ocular examination
was performed for 1377 subjects aged > 40 years and residing in Chennai. RESULTS:
A significant difference in IOP (mean +/- standard deviation) was found between
men and women (14.6 +/- 2.9 and 15.0 +/- 2.8 mmHg, p = 0.005). A significantly
elevated IOP was observed among smokers, subjects with systemic hypertension and
women with clinically significant macular edema (CSME). After a univariate
analysis, factors associated significantly with higher IOP were elevated systolic
blood pressure, elevated resting pulse rate and thicker central corneal thickness
(CCT). In women, elevated glycosylated hemoglobin was associated with a higher
IOP. After adjusting for all variables, the elevated resting pulse rate and CCT
were found to be associated with a higher IOP. CONCLUSIONS: Systemic
hypertension, smoking, pulse rate and CCT were associated with elevated
intraocular pressure in type 2 DM. Women with type 2 DM, especially those with
CSME, were more prone to have an elevated IOP.
PMID- 21894065
TI - Trends in body mass index and associations with physical activity among career
soldiers in South Korea.
AB - OBJECTIVE: This study was designed to describe the trends in body mass index
(BMI) during 6 years (2002 - 2008) and to identify associations between these
trends and the amount of physical activity of South Korean career soldiers.
METHOD: This study targeted the 40 993 (38 857 men and 2136 women) of the 58 657
career soldiers who had undergone four (2002, 2004, 2006, and 2008) biennial
medical examinations conducted by the National Health Insurance Corporation; 17
664 soldiers with missing data on height, weight, and physical activity were
excluded. A linear mixed-regression model was used to categorize changes in BMI
due to age versus those due to amount of physical activity. RESULTS: Career
soldiers experienced significant increases in BMI compared with baseline data
gathered in 2002. The increases in each age group were as follows: men aged 20
29: 1.16, men aged 30-39: 0.61, men aged 40-49: 0.05, women aged 20-29: 0.35,
women aged 30-39: 0.30, women aged 40-49: 0.26, and women aged 50-59: 0.21.
However, men aged 50 or older showed significant decreases (as high as 0.5) in
BMI compared with baseline data obtained in 2002. They also experienced
significant decreases in BMI compared with those who reported no physical
activity. The differences between baseline and final BMIs were: 0.02 for men
exercising 1-2 times per week, -0.07 for men exercising 3-4 times per week, -0.19
for men exercising 5-6 times per week, -0.21 for men exercising seven times per
week, -0.05 for women exercising 1-2 times per week, -0.19 for women exercising 3
4 times per week, -0.30 for women exercising 5-6 times per week, and -0.30 for
women exercising seven times per week. CONCLUSIONS: Obesity in South Korean
career soldiers increased markedly between 2002 and 2008, and our data showed
that the amount of physical activity was inversely related to increases in BMI.
Policies to prevent obesity are needed to reduce this trend.
PMID- 21894066
TI - Trends in cigarette use behaviors among adolescents by region in Korea.
AB - OBJECTIVES: Understanding recent trends in cigarette smoking among adolescents is
important in order to develop strategies to prevent cigarette smoking. The aim of
this study was to compare recent trends in cigarette smoking for adolescents
living in rural areas, small towns and metropolitan cities in Korea. METHODS: The
raw data from the Korea Youth Risk Behavior Web-based Survey (KYRBWS) from 2005
to 2009 were used. Data were analyzed by using the method of complex survey data
analysis considering complex sampling design. Logistic regression models were
used to evaluate significant linear time trends in cigarette smoking. The
indicators of cigarette use behaviors were 'current smoking rate', 'frequent
smoking rate', 'heavy smoking rate' and 'smoking experience rate before 13 years
of age'. All analyses were conducted according to gender. RESULTS: Statistically
significant increasing trends in current smoking rate and frequent smoking rate
were observed and borderline significant increasing trends in heavy smoking rate
were shown among rural boys. Among metropolitan city boys, statistically
significant increasing trends were also seen for frequent smoking. Statistically
significant decreasing trends in current smoking rate were observed among small
town and metropolitan city girls. Smoking experience rate before 13 years of age
for rural girls decreased significantly. CONCLUSIONS: Cigarette smoking
prevalence among adolescents in the rural areas has increased in the last five
years especially among boys. Our findings suggest that anti-tobacco program for
adolescents should be conducted primarily for those in rural areas.
PMID- 21894067
TI - Fifteen years after the Gozan-Dong glass fiber outbreak, Incheon in 1995.
AB - OBJECTIVES: In 1995, an outbreak survey in Gozan-dong concluded that an
association between fiberglass exposure in drinking water and cancer outbreak
cannot be established. This study follows the subjects from a study in 1995 using
a data linkage method to examine whether an association existed. The authors will
address the potential benefits and methodological issues following outbreak
surveys using data linkage, particularly when informed consent is absent.
METHODS: This is a follow-up study of 697 (30 exposed) individuals out of the
original 888 (31 exposed) participants (78.5%) from 1995 to 2007 assessing the
cancer outcomes and deaths of these individuals. The National Cancer Registry
(KNCR) and death certificate data were linked using the ID numbers of the
participants. The standardized incidence ratio (SIR) and standardized mortality
ratio (SMR) from cancers were calculated by the KNCR. RESULTS: The SIR values for
all cancer or gastrointestinal cancer (GI) occurrences were the lowest in the
exposed group (SIR, 0.73; 95% CI, 0.10 to 5.21; 0.00 for GI), while the two
control groups (control 1: external, control 2: internal) showed slight increases
in their SIR values (SIR, 1.18 and 1.27 for all cancers; 1.62 and 1.46 for GI).
All lacked statistical significance. All-cause mortality levels for the three
groups showed the same pattern (SMR 0.37, 1.29, and 1.11). CONCLUSIONS: This
study did not refute a finding of non-association with a 13-year follow-up.
Considering that many outbreak surveys are associated with a small sample size
and a cross-sectional design, follow-up studies that utilize data linkage should
become standard procedure.
PMID- 21894068
TI - [The influence of Donguibogam during the middle Joseon era based on clinical
records on low back pain in Seungjeongwon ilgi].
AB - The recently increasing interest in historical records has led to more research
on historical records in various fields of study. This trend has also affected
medical research, with the medical climate and popular treatment modalities of
the past now being revealed based on historical records. However, most research
on medical history during the Joseon era has been based on the most well-known
record, Joseon wangjo sillok or Annals of the Joseon Dynasty. Joseon wangjo
sillok is a comprehensive and organized record of society during the Joseon era
and contains key knowledge about medical history during the period, but it lacks
details on the treatment of common disorders at the time. Seungjeongwon ilgi or
Diary of the Royal Secretariat has detailed records of daily events and is a
valuable resource for the daily activities of the era. And in the middle Josoen
era, a variety of medical books - especially Donguibogam - was published.
Therefore, the authors focused on the under-researched Seungjeongwon ilgi,
Donguibogam and attempted to assess and evaluate low back pain treatment
performed on Joseon royalty. The most notable characteristic of low back
treatment records within the Seungjeongwon ilgi is that diagnosis and treatment
was made based on an independent Korean medicine, rather than conventional
Chinese medicine. This paradigm shift is represented in Dongeuibogam, and can be
seen in the close relationship between Dongeuibogam and national medical exams of
the day. Along with the pragmatism of the middle Joseon era, medical treatment
also put more focus on pragmatic treatment methods, and records show emphasis on
acupuncture and moxibustion and other points in accord with this. The authors
also observed meaning and limitations of low back pain treatment during that era
through comparison with current diagnosis and treatment.
PMID- 21894069
TI - [The introduction of compendium of materia medica and praxis in the late Joseon
dynasty].
AB - Sakae Miki said Classified Emergency Materia Medica had been the dominant
standard of herbology throughout Joseon Dynasty, and that Compendium of Materia
Medica had only been accepted so lately that a few books used herbological result
of it in the late Joseon Dynasty. But according to Visiting Old Beijing Diary
written by Munjoong Seo in 1690, Compendium of Materia Medica was in fact
introduced before the year 1712, the year Miki Sakae argued to be the year
Compendium of Materia Medica was accepted to Joseon officially. Now, we can
assume that the introducing year of Compendium of Materia Medica was faster than
Miki Sakae's opinion by the following reasons; the effort of Joseon government
and intellectuals to buy new books of Ming & Ching; the publishing year of the
book for living in countryside regarded as the first citing literature of
Compendium of Materia Medica. And the True Records of the Joseon Dynasty and many
collections written by intellectuals in the 18th century show that the
herbological knowledge from Compendium of Materia Medica had already spread to
the corners of Joseon Dynasty. Thus we can make the following assumption:
Classified Emergency Materia Medica and Compendium of Materia Medica had
coexisted in the late Joseon Dynasty. Sakae Miki suggested 6 examples which used
Compendium of Materia Medica in the late Joseon Dynasty. I reviewed two of them
in this paper, Essentials of Materia Medica & Handbook of Prescriptions from
Materia Medica. Essentials of Materia Medica quoted Compendium of Materia Medica
briefly focusing clinical use, and Handbook of Prescriptions from Materia Medica
also re-compiled Compendium of Materia Medica to practical use according to the
form of Treasured Mirror of Eastern Medicine. It means that the results of
Compendium of Materia Medica have been used positively, based on the herbology of
materia medica from countryside. From this point of view, the hyphothesis there
weren't any herbological progress after accepting Compendium of Materia Medica in
the late Joseon Dynasty by Sakae Miki can be denied.
PMID- 21894071
TI - [White ginseng commercialization and sales expansion activities of Gaesung
merchants in the 1910s and 1920s].
AB - Ginseng has always been the typical export item in Korean history. Until the 18th
century, exporting ginseng was wild ginseng from the mountains. Since the 19th
century, exporting ginseng became red ginseng, which was red due to steaming and
drying process. Red ginseng was produced by Gaesung merchants, so that these
merchants were able to gain the control of the output. Gaesung merchants of the
19th century exported red ginseng to China and made huge economic success.
However, when the Korean Empire and Japanese colonial government established red
ginseng monopoly, it essentially blocked Gaesung traders from manufacturing and
exporting any further of its prized commodity. Then, the traders turned to sun
dried white ginseng as a substitute to red ginseng. As a result, white ginseng
production dramatically increased after 1914, which in turn made Gaesung
merchants newly aware of the commercial value of white ginseng, which was
previously ignored. The traders made good use of the traditional medicine herb
market, which opened annually, to promote the expansion of white ginseng sales.
Moreover, the merchants also adopted modern marketing techniques, as they founded
companies to handle solely white ginseng sales, refreshed packaging to raise
commodity values, and made an effort in advertising and mail order sales. Due to
such endeavors, demand for white ginseng grew exponentially both in domestic and
foreign markets, which generated steady growth of white ginseng prices despite
the rapid increase of its supply. This phenomenon naturally brought about the
rich economic accomplishments of Gaesung merchants. Through the white ginseng
sales activities of Gaesung merchants in post-1910s era, two facts can be newly
uncovered. First, the mass consumption of white ginseng today in Korean society
took a full-scale step after the 1910s. Second, it was a widely-held view that
during the Japanese rule, majority of Korean traditional merchants were
economically ruined, while a small minority collaborated with the colonial
government to obtain economic success. However, Gaesung merchants in 1910s
successfully commercialized white ginseng not with the aid of the Japanese but
with their own efforts alone. Such fact reveals that there were other types of
traditional merchants during the Japanese colonial period who cannot be explained
with the common theory.
PMID- 21894070
TI - [A history of malaria in modern Korea 1876-1945].
AB - Although it is not certain when malaria began to appear in Korea, malaria is
believed to have been an endemic disease from ancient times. It was Dr. H. N.
Allen (1858-1932) who made the first description and diagnosis of malaria in
terms of Western medicine. In his first year report (1885) of Korean Government
Hospital he mentioned malaria as the most prevalent disease. Very effective anti
malarial drug quinine was imported and it made great contribution in treating
malaria. After Japan had annexed Korea in 1910, policies for public health system
were fundamentally revised. Japan assumed control of Korean medical institutions
and built high-quality Western hospitals for the health care of Japanese
residents. The infectious diseases which were under special surveillance were
cholera, typhoid fever, dysentery, typhus, scarlet fever, smallpox, and
paratyphoid fever. Among chronic infectious diseases tuberculosis and leprosy
were those under special control. Malaria, however, was not one of these
specially controlled infectious diseases although it was widely spread throughout
the peninsula. But serious studies on malaria were carried out by Japanese
medical scientists. In particular, a Japanese parasitologist Kobayasi
Harujiro(1884-1969) carried out extensive studies on human parasites, including
malaria, in Korea. According to his study, most of the malaria in Korea turned
out to be tertian fever. In spite of its high prevalence, malaria did not draw
much attention from the colonial authorities and no serious measure was taken
since tertian fever is a mild form of malaria caused by Plasmodium vivax and is
not so much fatal as tropical malaria caused by P. falciparum. And tertian
malaria was easily controlled by taking quinine. Although the majority of malaria
in Korea was tertian fever, other types were not absent. Quartan fever was not
rarely reported in 1930s. The attitude of colonial authorities toward malaria in
Korea was contrasted with that in Taiwan. After Japan had set out to colonize
Taiwan as a result of Sino-Japanese war, malaria in Taiwan was a big obstacle to
the colonization process. Therefore, a lot of medical scientists were asked to
engage the malaria research in order to handle health problems in colonized
countries caused by malaria. Unlike the situation in Taiwan, malaria in Korea did
not cause a serious health problem as in Taiwan. However, its risk was not
negligible. In 1933 there were almost 130,000 malaria patients in Korea and 1,800
patients among them died of malaria. The Japanese Government General took
measures to control malaria especially during the 1930s and the number of
patients decreased. However, as Japan engaged in the World War II, the general
hygienic state of the society worsened and the number of malarial patients
increased. The worsened situation remains the same after Liberation (1945) and
during the Korean war (1950-53).
PMID- 21894072
TI - [Cerebral paragonimiasis and Bo Sung Sim's hemispherectomy in Korea in 1950s
1960s].
AB - This paper deals with cerebral paragonimiasis and cerebral hemispherectomy
conducted as a treatment of cerebral paragonimiasis by Bo Sung Sim in Korea in
1950s-1960s. He demonstrated that cerebral hemispherectomy could be used for
unilateral diffuse cerebral paragonimiasis. Sim learned cerebral hemispherectomy
from Dr. L. A. French. at the University of Minnesota from 1955 to 1957 in
America. The authors argues that Bo Sung Sim's introduction of cerebral
hemispherectomy to Korea was not a simple application of an advanced medical
technology, but a complicated and active process in that Sim used the technique
to intervene intractable complications from cerebral paragonimiasis such as
generalized convulsions, spastic hemiplegia and mental deterioration. Bo Sung
Sim, one of the neurosurgeons of the first generation in Korea, was trained in
neurology, neuropathology, neuroradiology and animal experiments as well as in
neurosurgery at the University of Minnesota. After returning to Korea, Sim faced
parasitic diseases, one of the most serious public health problems at that time,
which were far different from what he learned in America. As a neurosurgeon, Sim
tackled with parasitic diseases of the central nervous system with various
diagnostics and therapeutics. In 1950s, more than one million populations
suffered from pulmonary paragonimiasis acquired by eating raw crabs or by feeding
juice of crushed crayfish for the treatment of measles in Korea. About 26.6
percent of people with paragonimiasis had cerebral paragonimiasis. Before
bithionol therapy was introduced in 1962, neurosurgery was the only available
treatment to control increased intracranial pressures, intractable epilepsy,
paralysis and mental deterioration. Between 1958 to 1962, Bo Sung Sim operated on
24 patients of cerebral paragonimiasis. In two of them, he performed cerebral
hemispherectomy to control intractable convulsions when he found diffuse cerebral
paragonimiasis and cerebral atrophy at the operating table. The two patients were
recovered dramatically after the operation. The first patient became a part of
medical campus for 20 years after hemispherectomy, doing chores at the hospital
and helping Bo Sung Sim for his teaching neuroanatomy. The presence of the
hemispherectomized patient in the classroom impressed the students deeply.
Furthermore, the hemispherectomized patient stimulated Sim and his school to
perform research upon the neuroanatomy and neurophysiology of the brain with
hemispherectomized animals.
PMID- 21894073
TI - [Art-chance and art-experience in classical Greece].
AB - In Classical Greece, works defining the nature of art appeared in the various
disciplines like medicine, rhetoric, dietetics, architecture and painting.
Hippocratic authors tried to show that an art of medicine existed indeed. They
contrasted the concept of art with that of chance, not experience that Plato and
Aristotle distinguished from art. In fact there are similarities and
discrepancies between Hippocratic epistemology and Platoic epistemology.
Hippocratic authors maintained that the products of chance were not captured by
art. They distinguished the domain of art charactered by explanatory knowledge
and prediction from the domain of chance ruled by the unexplained and the
unforeseeable. They minimized the role of luck and believed the role of art.
Hippocratic authors thought that professional ability contained both knowledge
and experience. In Hippocratic corpus, experience is a synonym of competence and
usually has a positive meaning. But Plato gave empirical knowledge the disdainful
sense and decided a ranking between two types of knowledge. Both Hippocratic
authors and Plato held that a genuine art had connection with explanatory
knowledge of the nature of its subject matter. A common theme that goes through
arguments about art-chance and art-chance is the connection between art and
nature. Hippocratic authors and Plato regarded art as a highly systematic
process. Art provides us with general and explanatory knowledge of human nature.
Art and nature is a mutual relationship. The systematic understanding of nature
helps us gain the exactness of art and an exact art helps us understand nature
well.
PMID- 21894074
TI - "Senility and death of tissues are not a necessary phenomenon": Alexis Carrel and
the origins of gerontology.
AB - The French surgeon and Nobel laureate Alexis Carrel's tissue culture has been
highly influential in biomedicine. This paper contextualizes Carrel's works with
respect to the birth of gerontology during the first half of the twentieth
century. I argue that Carrel contributed to gerontology in several respects.
First, using his "immortal" tissues, he asserted that aging was a contingent
phenomenon that could be experimentally manipulated. Although this claim was
eventually challenged, it prompted many scientists to think that aging was not so
much an unavoidable, unidirectional phenomenon as a process amenable to
experimental approaches. Second, his research on different culture conditions
required by distinct cell types encouraged the idea that the rate and mode of
aging differed in distinct parts of the body. This idea became a basis of later
gerontologists' claim that each senior person's job in industry should be
determined according to the degree of senescence shown in his particular body
parts. It also helped gerontologists make their field a multidisciplinary arena
that could tackle diverse features of senescence occurring in the body. Third,
Carrel's public speeches and appearance in popular media encouraged both
scientists and laypeople to think that research on senescence should be pursued
more systematically in an era of an increasing elderly population. By analyzing
the relation of these issues to the efforts to construct gerontology, this paper
illustrates tissue culture's broader meanings with respect to the emerging
concerns about the aging population, the need for continued employment of
seniors, and scientists' hopes for controlling senile processes.
PMID- 21894075
TI - [Clinical assessment of perioperative course of patients undergoing laparoscopic
nephrectomy or adrenalectomy without perioperative bowel management].
AB - Thirty-one patients underwent laparoscopic radical nephrectomy and 27 patients
underwent laparoscopic adrenalectomy from January, 2005 to September, 2009 by a
single surgeon authorized by the Japanese Society of Endourology and ESWL. Six
patients (radical nephrectomy in 3, adrenalectomy in 3) received perioperative
and 52 patients (radical nephrectomy in 28, adrenalectomy in 24) did not. The
time of pneumoperitoneum, amount of blood loss, postoperative body temperature
and complications revealed no obvious problems in the cases without bowel
management. Perioperative bowel management is commonly applied to the patients,
but without clinical evidence. Based on the present study, we concluded that
bowel management may be safely omitted for laparoscopic redical nephrectomy and
adrenalectomy and it may save medical cost including labor cost.
PMID- 21894076
TI - [Transurethral lithotripsy with rigid and flexible ureteroscopy for renal and
ureteral stones: results of the first 100 procedures].
AB - We evaluated the clinical outcome of transurethral lithotripsy (TUL) using rigid
& flexible ureteroscopy and holmium : yttrium-aluminum-garnet (YAG) laser in our
hospital. We retrospectively reviewed 100 consecutive transurethral lithotripsy
procedures performed on 82 patients from May 2008 to June 2010 at our hospital.
Twenty-five patients (30%) had multiple stones and 10 patients (12%) had
bilateral stones. The main stones were located in the renal pelvis, ureteropelvic
junction, upper ureter, middle ureter, and lower ureter in 14, 12, 26, 3, and 27
cases, respectively. Median operative time was 75 minutes (range, 18-238
minutes). Operative complications were 5 cases of pyelonephritis and 1 case of
ureteral perforation that was managed conservatively with percutaneous
nephrostomy. The average number of procedures was 1.22 with 65 patients requiring
one, 16 requiring two, and 1 requiring three procedures. Three patients had shock
wave lithotripsy (SWL) and two patients had minipercutaneous nephrolithotomy
(mini-PNL) after first TUL. However, all of these patients eventually needed
additional TUL. The overall success rate was 99% (81/82). Rigid & flexible
ureteroscopy and holmium : YAG laser lithotripsy achieved excellent results of
treatment for urolithiasis. TUL gave a high stone-free rate with low complication
rates. In Japan, where shock wave lithotripters are widely used, trend of
treatment for urolithiasis will shift from SWL to TUL.
PMID- 21894077
TI - [Risk factors for falls and fractures at night in relation to lower urinary tract
symptoms: a survey of outpatients and inpatients at a general hospital].
AB - We investigated potential risk factors, including factors related to lower
urinary tract symptoms (LUTS), for falls and fractures at night among outpatients
and inpatients in a general hospital, via a questionnaire. The questionnaire
included items to record the age, sex, number of consulting doctors, history of
11 particular medical diseases, LUTS, sleep, and falls and fractures at night. We
distributed the questionnaire to 1,334 patients 51 years old or older in our
hospital. Of the questionnaires completed, 96.9% were valid for analysis.
Multivariate analyses revealed that "drinking water before bedtime or while in
bed" was the strongest risk factor (odds ratio=7.499) for bone fractures while
"postural syncope" was the strongest risk factor (odds ratio=5.041, except past
medical history) for falls. In terms of LUTS, urge incontinence was a significant
risk factor for falls.
PMID- 21894078
TI - [Analgesic effect of oral tramadol on transrectal ultrasound-guided needle biopsy
of the prostate in a randomized double-blind study].
AB - A total of 121 Japanese patients scheduled for prostate biopsy were randomly and
double-blindly assigned to be given a single oral dose of 100 mg Tramadol mixed
with 20 ml of sugar syrup or placebo, 30 minutes before the procedure. Pain
severity was measured by verbal rating scale (VRS) and visual analog scales
(VAS). We also analyzed cardio-respiratory parameters and complications. Of 121
patients, 117 replied validly to VRS and VAS ; and 91 of 117 patients replied to
the cohort questionnaire for analysis of the late disorder, patient's impression,
prolonged pain and past history of hemorrhoid treatment. Tramadol showed no
significant effect on pain severity indicated by VRS and VAS, and no change in
cardiorespiratory parameters. Furthermore, 70 patients without a history of
hemorrhoid treatment, showed no significant analgesic benefits of Tramadol during
the biopsy. In total, 3 patients had side effects of vomiting (CTCAE : grade
1)6), which subsided spontaneously. The oral administration of a single dose of
100 mg Tramadol 30 minutes before a transrectal needle biopsy of the prostate was
safe, but was not effective to calm down the pain severity.
PMID- 21894079
TI - [A case of renal cell carcinoma associated with paraganglioma].
AB - A 64-year-old man was referred to our hospital for the treatment of left renal
cell carcinoma associated with a tumor located on the back of the inferior vena
cava. At first the tumor located on the back of the inferior vena cava was
suspected to be lymphnode metastasis of renal cell carcinoma. A more detailed
examination at our hospital revealed elevation of vanillylmandelic acid in urine
and (131)Imetaiodobenzylguanidine uptake in the tumor. We diagnosed the tumor as
paraganglioma and operated both tumors at the same time. Histological examination
revealed chromophobe renal cell carcinoma and paraganglioma. His important to
discriminate paraganglioma in the renal cell carcinoma that has an atypical
swelling of lymphnode.
PMID- 21894080
TI - [Adrenalectomy for metastatic adrenal tumor from uterine leiomyosarcoma: a case
report].
AB - A 63-year-female was referred to our department for close examination and
treatment of a left adrenal tumor found by positron emission tomography and
computed tomography. She underwent abdominal total hysterectomy and bilateral
salpingo-oophorectomy for uterine leiomyosarcoma 19 years ago. After the
operation, she received 2 resections for peritoneal recurrence and 7 resections
for pulmonary metastases. Magnetic resonance imaging showed a heterogeneous mass
with a diameter of 7 cm at the left adrenal grand. We carried out open
adrenalectomy and pathological findings were a metastatic adrenal tumor from
uterine leiomyosarcoma. No signs of recurrence or metastasis have been observed
for 6 months after the operation.
PMID- 21894081
TI - [Localized amyloidosis of the urinary bladder: a case report].
AB - Amyloidosis is characterized by extracellular deposition of abnormal insoluble
fibrils, which cause structural and functional disorders. Amyloidosis is
classified into systemic and localized amyloidosis. Localized amyloidosis in
individual organs is uncommon. We report a rare case of localized form of primary
amyloidosis of the urinary bladder. A 76-year-old male visited our hospital with
a complaint of macroscopic hematuria. Cystoscopy showed submucosal hematoma in
the anterior wall and broad-based mass occupying the trigone without normal
mucosa covered by calcification. Transurethral biopsy and resection were
performed. Histopathological diagnosis was AL type amyloidosis occupying
submucosal extracellular space. We gave the patient occlusive dressing with
dimethyl sulfoxide. In 12 months, cystoscopy and magnetic resonance imaging
revealed improvement of the mass-like lesion in the bladder wall.
PMID- 21894082
TI - [A case of primary malignant lymphoma of the prostate].
AB - A 79-year-old man presented with a chief complaint of difficulty in urination.
Digital rectal examination and transrectal ultrasonography showed an enlarged
prostate. Holmium laser enucleation of the prostate (HoLEP) was performed.
Histological findings revealed diffuse large B-cell lymphoma by
immunohistochemical studies. Pelvic Lymph nodes were swollen on fluoro
deoxygiucose-positron emission tomography examination. Therefore, the disease was
classified into clinical stage II according to Ann Arbor's criteria. The patient
achieved complete response after 6 cycles of combination chemotherapy with
rituximab, pirarubicin, cyclophosphamide, vincristine, and prednisolone (R-THP
COP). Now, 1 year 8 months after the chemotherapy, he remains free of the
disease.
PMID- 21894083
TI - [Metachronous bilateral testicular tumors in a case of male infertility].
AB - A 42-year-old man referred to our hospital with the chief complaint of a solid
mass of right scrotal contents. As ultrasonography revealed a right testicular
tumor, right high orchiectomy was performed and the pathlogical diagnosis was
testicular seminoma. At the age of 35-year-old, he was admitted to another
hospital for male infertility due to azoospermia. Because left testicular tumor
was found, left high orchiectomy was performed, with right testicular biopsy and
testicular sperm extraction of the right testis at the same time. Pathological
diagnosis revealed left testicular seminoma and no malignancy of the right
testicular biopsy specimen. After the second operation, he has been receiving
androgen replacement therapy, with no evidence of tumor recurrence.
PMID- 21894084
TI - [Testicular mature teratoma with onset during childhood and removed 40 years
later: a case report].
AB - A 56-year-old man presented with a painless mass in the left scrotum. The mass
was first noticed when he was a junior high school student,and it had been left
for about 40 years. The intrascrotal tumor of 7 cm in diameter was elastic soft
and smooth. The serum levels of alpha -fetoprotein, beta -human chorionic
gonadotropin and lactate dehydrogenase were within each individual normal range.
He was diagnosed as having a left testicular tumor (cT1N0M0) and underwent left
high orchiectomy. Histopathological diagnosis was mature teratoma without any
malignant germ cell components. No evidence of recurrence has been observed for 4
years after the operation.
PMID- 21894085
TI - [Brain tumor (germinoma) diagnosed after assessment for male late-onset
hypogonadism syndrome: a case report].
AB - A 44-year-old man suffered from sleep disturbance, headache, lack of energy and
appetite loss. His local doctor recommended he consult our clinic for further
examination of late-onset hypogonadism. His aging males' symptoms (AMS) and
international index of erectile function (IIEF-5) scores were 62 and 1,
respectively. His biochemistry revealed 0.29 mIU/ml luteinizing hormone (LH),
1.36 mIU/ml follicular stimulating hormone (FSH), 0.16 ng/ml total testosterone
(TT) and<0.6 pg/ml free testosterone (FT). Male hypogonadotropic hypogonadism was
suspected from these results, He was then referred to a neurosurgeon for
discrimination of intracranial disease where magnetic resonance imaging (MRI)
revealed multiple intracranial tumors. An open brain biopsy was performed, and
germinoma was diagnosed. After 4 courses of anti-cancer chemotherapy, complete
remission was achieved. He was followed up endocrinologically by administration
of testosterone injections along with other endocrinology replacement treatments.
However, MRI 3 months later revealed tumor recurrence in the left lateral
ventricle, and he has been receiving radiation therapy.
PMID- 21894086
TI - Antioxidant, anti-lipoxygenase and cytotoxic activity of Leptadenia pyrotechnica
(Forssk.) decne polyphenolic constituents.
AB - Leptadenia pyrotechnica Forssk is a traditional medicinal herb used for treatment
of inflammatory diseases and cancer. In this research, the aqueous ethanolic
crude extract of Leptadenia pyrotechnica aerial parts, along with its ethyl
acetate, n-butanol and water partitioning fractions were evaluated for their
antioxidant capacity, polyphenolic content, anti-inflammatory and anti-cancer
properties. The total antioxidant capacity was estimated by the FRAP, DPPH, ABTS
and beta-carotene bleaching assays.The ethyl acetate fraction exhibited the
highest polyphenolic content (252.27 mg gallic acid/g) and the best antioxidant
activity (1.2, 0.57, 0.45 mmol ascorbic acid equivalent/g in the FRAP, ABTS and
DPPH assays, respectively). Furthermore, the same extract showed appreciable anti
inflammatory via lipoxygenase (LOX) inhibitory activity (IC50 = 1.41 ug/mL).
Moreover, the ethyl acetate fraction also showed the strongest cytotoxic effect
(IC50 = 43.16 ug/mL) against MCF-7 human breast cancer cell line. These results
suggest that this plant may be considered an interesting source of compounds with
antioxidant, anti-inflammatory and anti-cancer properties for therapeutic,
nutraceutical and functional food applications.
PMID- 21894087
TI - Recent advances in microflow photochemistry.
AB - This review summarizes recent advances in microflow photochemical technologies
and transformations. The portfolio of reactions comprises homogeneous and
heterogeneous types, among them photoadditions, photorearrangements,
photoreductions, photodecarboxylations, photooxygenations and photochlorinations.
While microflow photochemistry is most commonly employed as a micro-scale
synthesis tool, scale-up and technical production processes have already been
developed.
PMID- 21894088
TI - Synthesis and SAR study of novel peptide aldehydes as inhibitors of 20S
proteasome.
AB - Based on the analysis of the crystal structure of MG101 (1) and 20S proteasomes,
a new series of peptide aldehyde derivatives were designed and synthesized. Their
ability to inhibit 20S proteasome was assayed. Among them, Cbz-Glu(OtBu)-Phe
Leucinal (3c), Cbz-Glu(OtBu)-Leu-Leucinal (3d), and Boc-Ser(OBzl)-Leu-Leucinal
(3o) exhibited the most activity, which represented an order of magnitude
enhancement compared with MG132 (2). The covalent docking protocol was used to
explore the binding mode. The structure-activity relationship of the peptide
aldehyde inhibitors is discussed.
PMID- 21894089
TI - 1,3-substituted imidazolidine-2,4,5-triones: synthesis and inhibition of
cholinergic enzymes.
AB - A series of novel and highly active acetylcholinesterase and
butyrylcholinesterase inhibitors derived from substituted benzothiazoles
containing an imidazolidine-2,4,5-trione moiety were synthesized and
characterized. The molecular structure of 1-(2,6-diisopropyl-phenyl)-3-[(1R)-1-(6
fluoro-1,3-benzothiazol-2-yl)ethyl]-imidazolidine-2,4,5-trione (3g) was
determined by single-crystal X-ray diffraction. Both optical isomers are present
as two independent molecules in the triclinic crystal system. The lipophilicity
of the compounds was determined as the partition coefficient log K(ow) using the
traditional shake-flask method. The in vitro inhibitory activity on
acetylcholinesterase from electric eel and butyrylcholinesterase isolated from
equine serum was determined. The inhibitory activity on acetylcholinesterase was
significantly higher than that of the standard drug rivastigmine. The discussed
compounds are also promising inhibitors of butyrylcholinesterase, as some of the
prepared compounds inhibit butyrylcholinesterase better than the internal
standards rivastigmine and galanthamine. The highest inhibitory activity (IC50 =
1.66 MUmol/L) corresponds to the compound 1-(4-isopropylphenyl)-3-[(R)-1-(6
fluorobenzo[d]thiazol-2-yl)ethyl]imidazolidine-2,4,5-trione (3d). For all the
studied compounds, the relationships between the lipophilicity and the chemical
structure as well as their structure-activity relationships are discussed.
PMID- 21894091
TI - Editorial: on neuroscience, epidemiology, pharmacotherapy, recovery, treatment
resistance, and community mental health teams.
PMID- 21894090
TI - Bioassay-directed isolation of active compounds with antiyeast activity from a
Cassia fistula seed extract.
AB - BACKGROUND AND OBJECTIVE: Cassia fistula L belongs to the family Leguminosae, and
it is one of the most popular herbal products in tropical countries. C. fistula
seeds have been used as a herbal medicine and have pharmacological activity which
includes anti-bacterial, anti-fungal, and antioxidant properties. The goal of
this study was to identify compounds from C. fistula seeds which are responsible
for anti-Candida albicans activity using bioassay-directed isolation. RESULTS:
The preliminary phytochemical screening of the plant seed revealed the presence
of anthraquinones, flavonoids, saponins, tannins and terpenoids. The isolation of
active compounds was carried out in four steps: multiple extractions,
fractionation using column chromatography and purification using preparative thin
layer chromatography (TLC) and liquid chromatography/mass spectrometry (LC/MS).
The structure of separated compounds was determined on the basis of mass
spectrometry data. One compound was identified is roseanone. CONCLUSIONS: The MS
analysis on the active fraction from seed extract of C. fistula confirmed the
presence of roseanone with antiyeast activity.
PMID- 21894092
TI - Predictability of levels of physical and mental health: a 2 year longitudinal
study.
AB - OBJECTIVE: To identify the social, family, personality traits and health locus of
control factors which influence physical and mental health, consumption of
medications, and frequency of medical consultations. SUBJECTS AND METHODS: Twenty
participants were included in a two years long longitudinal study. At baseline,
the participants' age, gender, family composition, net income, chronic
treatments, family dynamics (Family Adaptation and Cohesion Scale),
Multidimensional Health Locus of Control, and personality (NEO Personality
Inventory) were recorded. Every six months their state of mental and physical
health (12 Survey Factors and Hamilton Depression Scale), consumption of
medications, and number of medical consultations were reassessed. RESULTS:
Regarding mental, and physical health, and scores on the Hamilton Depression
Scale we can explain 50% of the variance, of which 25% accounts for age or for
the number of persons living together, 15% accounts for the cohesion of the
actual couple or nuclear family and 10% on account for neuroticism or on the
Internal HLC. With regard to the number of medications and consultations only 30%
of the variance is accounted for: 10% for gender, 10% for the cohesion of the
ideal family and 10% for neuroticism or extraversion. CONCLUSION: These results
can obviously be used in the field of secondary prevention, for example in
raising GPs' awareness to the typical profile of patients who are at risk of
mental or physical health problems. Ideally these results should also serve for
primary prevention, but how can we influence demographic variables, family
cohesion or personality?
PMID- 21894093
TI - Are somatisation symptoms important evidence for an early diagnosis of bipolar
spectrum mood disorders?
AB - Four-hundred and twenty three consecutive patients who have been seen in a
private psychiatric clinic were assessed for bipolar disorder. A large proportion
of these patients were found to demonstrate a number of somatic syndromes. The
main somatic syndromes found on the patients' "first visit" have been: colitis
(45% of the patients), gastritis (25%), migraine (8%) others (above all with
dermatological symptoms: 2%). All the patients presented muscular tension at
their "first visit". Somatic syndromes constitute an important pointer for the
diagnosis of bipolar spectrum disorders.
PMID- 21894094
TI - Management of marked liver enzyme increase during olanzapine treatment: a case
report and review of the literature.
AB - OBJECTIVES: Atypical antipsychotics commonly cause isolated asymptomatic increase
in the aminotransferase levels. Furthermore, the strategy in the choice of
antipsychotic agent must take into account hepatic tolerance because of the non
negligible incidence of liver disorders among the psychiatric population. The aim
of this article is to better understand the strategy to adopt during an increase
of liver enzymes in a psychotic patient under atypical neuroleptic treatment.
METHOD: A clinical case is presented of a female patient treated for psychotic
decompensation with increase of liver enzymes (Olanzapine). Her treatment was
changed several times over a period of 7 years and laboratory investigations were
conducted simultaneously. RESULTS: It seems that the increase of liver enzymes is
slightly more frequent with Clozapine and Olanzapine than Risperidone, Perazine
and Haloperiol. CONCLUSION: The different mechanisms of hepatotoxicity are
unknown at present but it seems that the hypersensibility mechanism is likely to
be dose dependent. During an increase of enzymes, it is important to combine a
control of hepatic enzymes with a reduction of neuroleptic dosage.
Discontinuation should be considered if a continued increase of enzymes above
certain values is shown or if a clinical symptom appears. We note also that some
risk factors were found, including geriatric or pedopsychiatric age, obesity, and
association with active ingredients or addictive substances responsible for
hepatic disorders.
PMID- 21894095
TI - Effect of tianeptine on cognitive functions in patients with depressive disorders
during a 3-month observation.
AB - OBJECTIVE: The authors put forward the hypotheses that during a three-month
treatment with tianeptine in patients with depressive disorders there is an
improvement in the short-term memory, reaction time and attention. SUBJECT AND
METHODS: 20 patients suffering from depression, were included in the study.
During the entire research period all patients were treated with monotherapy with
tianeptine. Cognitive function measurements were performed using the Vienna Test
System. RESULTS: Our study showed an improvement in the all the assessed
functions: patients treated with tianeptine had better performance in tests
measuring short term memory and learning processes as well as reaction time and
attention. CONCLUSION: In conclusion, the study shows that tianeptine improves
cognitive functions in depressed patients.
PMID- 21894096
TI - Prevalence of co-morbid bipolar disorder and migraine in a regional hospital
psychiatric outpatient department.
AB - Current literature suggests patients with bipolar disorder are at increased risk
of developing migraine compared with the general population and patients with
other affective disorders. This study examined whether this finding was evident
in the outpatient department of a regional psychiatric hospital. Using a patient
database (n=1083), records were screened for bipolar disorder (n=169) or self
report of migraine (n=46). 8 cases of co-morbid migraine and bipolar disorder
were revealed (4.7% prevalence). This and the general prevalence of migraine
(4.2%) are substantially lower than previously reported. Reasons for and
implications of this finding are discussed.
PMID- 21894097
TI - Mixed affective states: a study within a community mental health team with
treatment recommendations.
AB - INTRODUCTION: Agitated Depression and Bipolar mixed states combine depressive and
manic symptoms, reflecting severe forms of affective disorders with an increased
suicide risk. These states have not been defined with adequate consensus and
hence present a diagnostic and therapeutic challenge. In this study, we argue
that both states are part of the bipolar spectrum rather than a distinct
affective syndrome or a mixed anxiety-depression state. METHODS: The current
literature has been reviewed and suggestions are made for a unified definition of
this entity, which would be valuable in recognising this dangerous state. We have
then studied 17 cases of mixed states identified from a database held by a UK
community mental health team. We have studied the treatments offered and
estimated the time these treatments have taken to end the mixed state and so
reduce the risk of suicide. RESULTS: Not surprisingly, there are no clear
recommendations for treatment of these mixed states. We found that The best
results were achieved with a three pronged regimen including increasing/adding
mood stabilisers; and/or increasing/adding antipsychotics; as well as decreasing
antidepressants. Resolution was achieved in two and a half weeks. DISCUSSION:
From this work we have deduced several general principles, which are here
reviewed and hence we have been able to suggest possible treatment strategies.
CONCLUSION: Because of the close link between affective mixed states and
suicidality, it is of great importance that patients be brought out of the mixed
affective state as soon as possible, hence we believe that our findings are of
importance in managing these patients. However we recommend further study on a
larger sample in order to confirm our findings.
PMID- 21894098
TI - Trends of admissions of conversion disorder in Mosul Iraq.
AB - AIMS: Our study aims to make inferences from inpatient admission of conversion
disorder with regards it's age and sex distribution, clinical presentation,
yearly distribution of admission and morbidity of conversion disorder in
comparison to total psychiatric admissions. It also compares it's results with
those of previous studies in this country, neighbouring countries and western
studies. METHODS: A case notes review was done for admissions of this disorder
for five years in Mosul University Hospital. The data were inputted into SPSS
programme and analysed. The statistical analysis was by t-test, Anova and
regression. RESULTS: Two hundred seventy five patients were admitted during the
period; 224 women and 51 men. The majority of men came from Urban areas compared
to 58% of women. Single status was over represented; 59% compared to 34% married.
Women formed 82% of the singles, 90% of the widows and 83% of the divorced.
Pseudo-fits was the most frequent diagnosis. There was no significant change in
the number of yearly admissions apart from the first year. The proportion of
conversion disorders compared to total psychiatric disorders admissions was 7.4%.
IMPLICATIONS: Results were consistent with national studies but showed higher
figures than neighbouring countries. What was interesting was that, results were
consistent with figures in United Kingdom before 1950.
PMID- 21894099
TI - Unusual presentation of a patient with GBL withdrawal: a case report.
AB - GBL (gamma-butyro-lactone) is converted to Gamma hydroxyl butyrate (GHB) in the
body. GBL and GHB are available in liquid form and powder form. Once categorised
under "legal highs", these two are not associated with any dependence or
withdrawal in animal studies. But there are case reports indicating their high
dependence potential in humans. We here present a case of a 29 year old who came
to the attention of psychiatric services with very bizarre presentation and
needed a host of investigations and expert views from various medical
disciplines. He was treated mainly symptomatically followed by a sudden dramatic
recovery on the 11th day after presentation. GBL is getting popular as a
recreational drug and its withdrawal should be seriously considered in the list
of medical causes leading to Delirium.
PMID- 21894100
TI - Citalopram may reduce sympathoadrenal hyperactivity in elderly depressed
patients: an open multicenter study in Belgium and Luxembourg.
AB - INTRODUCTION: Through effects of catecholamines upon the heart, blood vessels and
platelets, sympathoadrenal hyperactivity contributes to the development of
cardiovascular diseases in elderly depressed patients. To assess the
cardiovascular effect of Citalopram in elderly depressed patients, data from an
open multicenter study in Belgium and Luxembourg, in which a total of 811
patients were evaluated, was retrospectively analysed. Although the aim of the
study was to assess the efficacy and safety of Citalopram, blood pressure and
heart rate were also monitored. SUBJECT AND METHODS: Patients included in the
study were referred either by psychiatrists, geriatricians or general
practitioners. Clinical assessment included ratings on the Hamilton Rating
Depression Scale, the Clinical Global Impression Scale, the UKU Side effect
rating scale and the assessment of side effects spontaneously reported. RESULTS:
With few side effects, Citalopram significantly improves the clinical condition
of elderly patients suffering from depressive symptoms. A series of repeated
multivariate analyses of covariance were performed on heart rate and blood
pressure controlling for the effect of age. Interestingly, a sustained decrease
of these parameters was shown during the whole study period reaching significance
for systolic blood pressure (p<0.05). These effects were observed both in
responding as well as non-responding patients, and were somewhat more marked in
responders for heart rate (p=0.058). CONCLUSION: The slight but significant
decrease in systolic blood pressure and heart rate suggests that citalopram may
reduce sympathoadrenal hyperactivity and the related increased cardiovascular
morbidity and mortality associated with depression.
PMID- 21894101
TI - Neuroleptic Malignant syndrome (NMS): a rare presentation induced by an
antiemetic - case report.
AB - Neuroleptic Malignant Syndrome is one of the life threatening complications of
antipsychotic psychotropic medication. We here report a case of a 39 years old
male who has had a diagnosis of paranoid schizophrenia since the age of 18. He
had been on antipsychotic therapy since then. He was stable on a combination of
antipsychotics. He had mild hyper-salivation for a long time but was not very
concerned about it. He requested and was prescribed Hyoscine Hydrobromide 300 mcg
BD for hyper-salivation. There was no other medication change. After 5 days of
starting Kwells, the patient presented with Neuroleptic Malignant syndrome. One
has to watch for NMS while starting Hyoscine Hydrbromide for someone on
antispychotics.
PMID- 21894102
TI - The way young people see the mentally ill: a questionnaire study.
AB - BACKGROUND: The stigmatizing of the mentally ill is quite common and has numerous
social and economical consequences for these individuals. SUBJECTS AND METHODS:
The aim of this paper was to examine young peoples' beliefs regarding the
mentally disordered. The authors' questionnaire regarding the interviewees' age,
gender, social background and their opinions on the mentally ill was conducted
among a popular portal's users. RESULTS: 11900 people were questioned, including
71% women. 30% of the interviewees were under the age of 19, while 34% of them
were between 19 and 24 years old and 36% were over 24. 39% of the interviewees
stated they closely knew at least one mentally ill person. 44% of the questioned
believed a lot of criminal offenders were mentally ill. 66% of interviewees would
not mind sharing a flat with a mentally disordered person, 64% would agree to
work with one. Those who personally knew a mentally disordered person were more
inclined to share a flat or start a relationship with such an individual, than
the rest of the interviewed (51% vs. 37% for flat sharing and 38% vs. 26% for
starting a relationship, p<0.001). More questioned under the age of 19 believed
that significant number of criminal offenders were mentally ill, than those over
the age of 24 (50% vs. 37%, p<0.001). CONCLUSIONS: According to the acquired
data, many young Poles believe that the mentally disordered are inclined to break
the law and behave aggressively. These opinions seem to be related amongst others
to age and gender, and they result in unwillingness to have relations with the
mentally disordered.
PMID- 21894103
TI - POMHS 9b - antipsychotic prescribing in people with a learning disability.
AB - INTRODUCTION: Prescribing Observatory for Mental Health (POMH-UK) runs national
audit-based quality improvement programmes open to all specialist mental health
services in the UK to help improve prescribing practice in discrete areas. AIMS:
The baseline sample and this re-audit represent the largest audits of
antipsychotic prescribing in PWLD that have been conducted to date; and thus
provide the most generalisable picture of such prescribing nationally.
METHODOLOGY: A case note audit of use of antipsychotic medication in PWLD was
conducted using standard data collection tool provided by POMH-UK. Trust wide, 7
clinical teams in Essex and Bedfordshire & Luton, participated in the re-audit.
Analysis and benchmarking was conducted centrally by POMH-UK and an
individualised Trust report was compiled by POMH-UK for local review and
consideration. STANDARDS: The indication for treatment with antipsychotic
medication should be documented in the clinical records (Deb 2006). The
continuing need for antipsychotic medication should be reviewed at least once a
year (Deb 2006). Side effects of antipsychotic medication should be reviewed at
least once a year. This review should include assessment for the presence of
extrapyramidal side effects (EPS), and screening for the 4 aspects of the
metabolic syndrome: obesity, hypertension, impaired glucose tolerance and
dyslipidaemia (NICE schizophrenia guideline update CG82, 2009). FINDINGS: Out of
three standards measured, Standard One maintained 100% throughout the baseline
and re-audit and Standard Two achieved over 90% throughout baseline and re-audit.
Standard 3 has improved from baseline to re-audit. Overall, there has been clear
improvement in all 3 standards from baseline audit.
PMID- 21894104
TI - Re-audit of physical examination on admission.
AB - Re-audit of the physical examination of older adults admitted to one of two
inpatient Older Adult wards at the Maudsley Hospital found that on admission,
only 58% of patients had a brief physical examination and 43% had a full physical
examination, and after 72 hours only 65% had a full physical examination. This is
a slight improvement on the previous audit but still falls short of the target of
90% of patients having a full physical examination within 72 hours of admission.
Recommendations include education of junior and senior doctors of the need for
physical examination via presentation of audit and distribution of results,
consideration of the use of a proforma to gather information on physical
examination which may have been done in an acute hospital and a further re-audit
to see if outcomes have improved.
PMID- 21894105
TI - Review: bipolar disorder and poetic genius.
AB - INTRODUCTION: "We of the craft (poets) are all crazy," remarked the 18th century
British romanticist Lord Byron (George Gordon) about himself and his fellow
poets. Implied in this statement is the notion that there exists a special kind
of relationship between poets and being "crazy". A relationship between
psychopathology and the artistic temperament is one of the oldest and most
persistent of cultural notions; it is also one of the most contentious and
controversial. The purpose of this exposition is to investigate if a correlation
between bipolar disorder and poetic genius really does exist. METHODS: A
literature search was conducted along with a review of Professor Jamison's
treatise Touched with Fire: Manic Depressive Illness and the Artistic
Temperament. A detailed case study of Lord Byron was also performed in order to
gain a qualitative insight into the psyche of a notorious poet who was alleged to
suffer from bipolar disorder. RESULTS: Recent research employing systematic and
biographical methodology has given strong support to a much higher rate of mood
disorders in artistic populations than could be expected from chance alone. A
British study spearheaded by Professor Jamison on living writers and artists
revealed many overlapping mood, cognitive, and behavioral changes between
hypomania and intense creative states. In the case of Lord Byron, the clinical
hallmark of manic-depressive illness is its recurrent, episodic nature, which
Byron had in an almost textbook manner. Byron also had a family history
remarkable for its suicide in itself more likely to be associated with bipolar
disorder than with any other condition. DISCUSSION: Not all writers and artists
suffer from major mood disorders. Likewise, most people who have a major mood
disorder are not writers or artists. It seems counterintuitive that melancholy
could be associated with artistic inspiration and productivity; the milder manic
states would seem, at first thought, to be more obviously linked. In the case of
Lord Byron, his temperament made him exquisitely responsive to virtually
everything in his physical and psychological world; it gave him much of his great
capacity for passion and understanding, as well as for suffering thus giving
credence to the notion that there exists a correlation between bipolar disorder
and poetic genius.
PMID- 21894106
TI - OpenMinds: creating a mental health workshop for teenagers to tackle stigma and
raise awareness.
AB - As a group of four clinical medical students from Cambridge University, we
undertook a Student Selected Module (SSC- "OpenMinds") whereby we designed and
delivered a workshop about mental health to year 9 pupils. The aim of our SSC was
to produce an interactive, informative lesson which addressed the complex issues
of stigma and discrimination against those suffering from a mental illness as
well as teaching the pupils how to recognise mental health problems and provide
them with guidance on how to seek help. We split a fifty minute session into the
following sections: tackling stigma; how common mental illness is; celebrity
examples; real life examples; role play; and small group work. To engage the
pupils we used a combination of teaching modalities targeting all learning. We
delivered the workshop to four separate classes and received feedback from the
pupils after each. We used this feedback to adapt and improve our presentation
and assess the efficacy. Feedback was overwhelmingly positive with the striking
results of 101/109 pupils saying that they would recommend the workshop to a
friend and 68/109 pupils saying they enjoyed all aspects. Our SSC built upon work
by a contingent of trainee Psychiatrists who undertook a similar project of
mental health education for teenagers, called "Heads above the rest", in Northern
Ireland with great success. By continuing their work we were able to demonstrate
that medical students can successfully complete the same project under the
guidance of a Psychiatrist, thus increasing the sustainability of the project by
reducing the time burden on the Psychiatrists. Participating in the project was
also valuable to our own personal development of teaching skills.
PMID- 21894107
TI - Depression management - from neurobiology to a shared care approach.
AB - The management of depression has recently been the focus of several articles, in
particular regarding the efficacy of pharmacological and other treatments. In
order for these to be effective as possible, correct diagnosis, consideration of
the underlying neurobiology and an appropriate provision of healthcare services
must be ensured.
PMID- 21894108
TI - Differences between training GPs to manage depression in primary care and issuing
them with guidelines, and a system of collaborative care in the treatment of
depression between primary and secondary care.
AB - Here we describe the differences and similarities between training General
Practitioners (GPs) to manage depression in primary care and issuing them with
guidelines, and a system of Collaborative care in the treatment of depression
between primary and secondary care. From these we deduce the reasons why
collaborative care may offer better treatment outcomes than the issuing of
guidelines to GPs.
PMID- 21894109
TI - Impact of olanzapine on cognitive functions in patients with schizophrenia during
an observation period of six months.
AB - OBJECTIVE: The objective of our study was to evaluate the effect of olanzapine
treatment on selected cognitive functions in patients suffering from
schizophrenia during an observation period of six-months. SUBJECTS AND METHODS:
Twenty patients with a diagnosis of schizophrenia according to ICD-10 criteria
for research were examined. One day before initiation of olanzapine a baseline
assessment was performed. The neuropsychological examination was repeated 28
days, 60 days, 3 months, and 6 months after the beginning of treatment. Cognitive
function measurements were performed using Signal, COGNITRON and RT tests, being
a part of the computer-based Vienna Test System (VTS). RESULTS: Our study showed
an improvement in the assessed cognitive functions. Impairments in cognitive
domains were observed at baseline as compared to published normative data, and
enhancement in achieved results was observed subsequently in all stages of the
treatment until the 6th month. CONCLUSION: The above results are consistent with
a number of other studies on the impact on cognitive functioning in patients with
schizophrenia treated with olanzapine.
PMID- 21894110
TI - Depression or depressiveness in patients diagnosed with Anorexia Nervosa and
Bulimia Nervosa - pilot research.
AB - OBJECTIVES: The target of this work is to consider if depressive symptoms
intercurrent with patients suffering from Anorexia Nervosa (AN) and Bulimia
Nervosa (BN) form a depression complex or whether they are physiological
depression accompanying adolescence. We wished to observe the perception of these
patients,of their functioning, both social and within their families and also
looking for common point in the issues mentioned above trying to locate them
within the course of the basic illness. SUBJECTS AND METHODS: We studied 19
patients suffering from eating disorders, and aged between 12 and 24 years old.
15 of them suffered from the restrictive form of AN and 4 suffered from BN. The
control group consisted of 30 healthy girls in the same age interval. In the
study authors used the Beck Depression Inventory (BDI) and the Quebec Quality of
Life Questionnaire. RESULTS: Comparison of a number of points acquired in the
survey using Beck Depression Scale revealed statistic significance at the level
p<0.001 which points to a more frequent occurrence of depression symptoms among
persons with eating disorders comparing to control group. The survey using the
Questionnaire for Social Quality showed no statistically significant differences
between study and control groups (p>0.05). The authors observed a statistically
significant increased frequency of suicidal thoughts in the study group compared
to the controls. CONCLUSIONS: To diagnose depression, depressive symptoms
presented by the patients must give the image of depression at the clinical
level. The result of the Beck's scale needs to be confronted with the clinical
picture. Depression in adolescence requires differentiation from depressiveness.
PMID- 21894111
TI - Gene environment interactions in bipolar disorder.
AB - It has been estimated that the heritable component of bipolar disorder ranges
between 80 and 90%. However, even genome-wide association studies explain only a
fraction of phenotypic variability not resolving the problem of "lost
heritability". Although direct evidence for epigenetic dysfunction in bipolar
disorder is still limited, methodological technologies in epigenomic profiling
have advanced, offering even single cell analysing and resolving the problem of
cell heterogeneity in epigenetics research. Gene overlapping with other mental
disorders represents another problem in identifying potential susceptibility
genes in bipolar disorder. Better understanding of the interplay between multiple
environmental and genetic factors involved in the patogenesis of bipolar disorder
could provide relevant information for treatment of patients with this complex
disorder. Future studies on the role of these factors in psychopathological
conditions, subphenotypes and endophenotypes may greatly benefit by using more
precise clinical data and a combined approach with multiple research tools
incorporated into a single study.
PMID- 21894112
TI - 'Something has changed'. Developing early intervention in service in Trieste.
AB - The purpose of this project is to optimize early detection and early intervention
in psychosis, in adolescents and young adults. The goal is to reduce DUP
(Duration of Untreated Psychosis) through the integrated work of trans
disciplinary teams and youth-friendly (health and social) services. This should
lead to a reduction of stigma and an improved accessibility to appropriate care.
The aim we wish to achieve is a reduction of the incidence and prevalence of
psychosis in the area covered by the Department of Mental Health of Trieste.
PMID- 21894113
TI - Compliance with ECT NICE guidance by the John Connolly ECT clinic: January 2010 -
July 2010.
AB - OBJECTIVES: To review current practice at the John Connolly Wing ECT clinic and
to explore compliance with NICE ECT guidance. Standards used included the ECT
TA59 guidelines of 2003 with the updated depression guidance CG90 of 2009. To
recommend a programme of action to the Trust which would ensure that clinical
practice and service delivery within the Trust complies with NICE guidance.
METHOD: A retrospective baseline Trust wide audit was conducted between the
period of January 2010 to July 2010 inclusive. Cases were identified using ECT
clinic record then computer Rio notes explored for evidence of compliance with
NICE guidelines as set out in the audit standards. All data was extracted from
the case notes on the Rio system. An audit tool was completed for each case. The
data recorded on the audit tool was explored and entered onto an Excel
spreadsheet for analysis. RESULTS: A total of 14 patients were identified. Of
these, 6 were male and 8 were female. They comprised of 8 inpatients and 6
outpatients. The majority of patients had a diagnosis a severe depressive
episode. 13 patients received bilateral ECT. In 1 case the first 3 sessions were
unilateral and the rest were bilateral due to patient choice. 9 patients
consented to ECT; 5 lacked capacity to consent and 1 of those was treated under
Section 62 of the Mental Health Act. The number of treatments ranged from 0-15
with an average number of 7. This included 1 patient who did not receive ECT at
all due to concerns raised by anaesthetist once at the ECT clinic. Reasons for
stopping ECT included a response being achieved in 5 patients; anaesthetic risk
in 3; withdrawal of consent in 2; T6 no longer valid in 1; no reason documented
in 3 patients. Compliance with NICE guidelines was particularly good regarding
the indications for ECT. An adequate trial of treatment was evidenced prior to
consideration of ECT. Documentation of the exploration of the risk to benefit
ratio both amongst the team and with the patient was poor. Assessment of the
patient after each ECT and on-going cognitive assessment was poor. CONCLUSION:
This audit highlights the need for sound documentation of our practice. It also
stresses the need for further clarity regarding the roles and responsibilities of
the RMO and their team and the ECT team. RECOMMENDATIONS: An ECT Care Pathway
document has been produced to improve compliance with NICE guidance and improve
documentation of practice. This document has been introduced for use in the
Trust. We plan to re-audit for improvement in compliance.
PMID- 21894114
TI - Post-traumatic stress disorder (PTSD) treatment experience in Bedford East -
audit and reaudit.
AB - Post-traumatic stress disorder (PTSD) can develop following exposure to a
stressful event of an exceptionally threatening or catastrophic nature. Symptoms
experienced by PTSD suffers can include re-experiencing the trauma through
intrusive 'flashbacks' and recurrent dreams or nightmares, avoidance of stimuli
associated with the stressor, hyperarousal, emotional blunting and an inability
to remember aspects of the period of exposure to the stressor. We performed an
audit of the treatment of PTSD in patients under the care of the Bedford East
Mental Health Team to examine the psychological and pharmacological treatments
currently being used and compared these with data collected in 2008. In summary,
in the last 2 years there has been an increase in the identification of PTSD
patients in Bedford East. These patients are a group with high levels of
comorbidities and risk factors, and are challenging to treat. As a result of
this, a wide range of agents including antidepressants with augementation, mood
stabilizers and antipsychotic agents are used in their treatment, many of which
are outside of NICE guidelines. There appears to be less availability of
psychological therapy in these patients than we would expect. We discuss reasons
for this and suggest possible solutions.
PMID- 21894115
TI - "The case of the Beau-Vallon": mental illnesses of deaf people to the psychiatric
hospital.
AB - OBJECTIVE: This article aims to examine data on Psychiatric diagnoses among deaf
people in comparison with hearing people in the psychiatric hospital in Beau
Vallon. METHOD: This work proposes to study the diagnostic data from the Summary
Psychiatric Minimum (Resume psychiatrique minimum: RPM) from the years 2000 until
2009 from the psychiatric hospital Beau Vallon and for which a hearing problem
has been highlighted on Axis III. The sample data of the deaf population will be
compared with the sample of the total population represented by all patients for
the year 2008. Both samples were found to be equivalent after a Mann-Whitney test
to study the relationship between two independent samples with quantitative data.
RESULTS: The results show an overrepresentation of the diagnosis of psychotic
disorders (40.7% against 29.3%), an equivalence of depressive disorders (18.5%
against 18%) but bipolar disorders were absent in the deaf while they were found
in 5.7% of patients with normal hearing, an overrepresentation in the deaf
population of anxiety disorders (11.1% against 3.4%), intellectual disabilities
(37% against 13.4% in the hearing population) and an under-representation of
personality disorders (25.9% against 61.2% in the hearing population) CONCLUSION:
In this example, several concepts can be put forward to demonstrate bias and
prejudice in the specific diagnostic support for deaf people with psychiatric
teams who are not specialized in the treatment of deafness.
PMID- 21894116
TI - Methadone and lung transplants.
AB - OBJECTIVES: The aim of this article is to better understand the role of the
liaison psychiatrist regarding the pulmonary pre-transplantation assessment for a
patient treated by methadone. METHOD: At the beginning, we collected data
concerning the different habits of patients requiring pulmonary transplantation.
Through a literature review, we studied the possible guidelines related to this
subject, the effects of methadone, the side-effects explaining the psychiatrist's
concerns. Finally, this problem is involved in the bio-psycho-social model to
underline the influence of methadone on post-operative prognosis. RESULTS: In our
database, only 4.3% of patients were treated by methadone. However, this
addiction is one of the most important stressors for psychiatrists and involves a
great deal of multidisciplinary staff time. There are absolutely no guidelines on
this topic. Due to the action of methadone, the pulmonary, cardiac, urologic,
drug and immune side effects are more understandable. Thanks to clinical labels,
we are able to handle this dual problem. Different parameters are considered such
as patient's history, drug addiction, deterioration of physical condition,
possible surgery and its consequences, choice of treatment to better estimate the
post-operative prognosis. CONCLUSION: Until now, there is nor indication or
contra-indication regarding the duration of treatment by methadone for patients
requiring pulmonary transplantation. The main advantage is the patient's
stabilization by minimizing possible relapses. This advantage is to compare the
following major inconvenience: increased confusional risk, delicate management of
the post-operative pain, negative impact on the immunity. The support, a double
psychoeducation and the patient's decision making are to be encouraged.
PMID- 21894117
TI - Adolescents and young adults at ultrahigh risk of psychosis: detection,
prediction and treatment. A review of current knowledge.
AB - BACKGROUND: The onset of psychosis is mostly preceded by a prodromal phase in
which occur a series of various attenuated positive symptoms, negative symptoms
and functional impairment. Recently, several longitudinal studies have evaluated
the validity and prediction power of the "prodromal risk syndrome for psychosis",
which could lead to a better focused management of the patients at real risk of
developing schizophrenia. At the same time, several authors have studied the
pharmacological and non-pharmacological interventions for people at ultrahigh
risk. METHODS AND AIMS: The objective of this review is to establish the status
of our current knowledge about what is the most sensitive and specific tool to
predict high risk of psychosis in the young population and which treatments have
currently proved to be the most effective in the risk versus benefits balance. We
will try to answer to these questions by reviewing the international literature
from 2005 until today. RESULTS: Recent studies show significant improvement in
the identification of individuals at high risk of developing psychosis, using
validated detection scales such as SIPS and CAARMS, multivariate neuroanatomical
pattern classification and specific genetic factors. Cognitive Behavioral
therapy, approach improving social functioning, and Long-Chain Omega-3 Fatty
Acids appears to be promising alternatives to antipsychotics, for which the
balance between benefice and adverse side effects seems questionable.
CONCLUSIONS: Detection of young people at ultrahigh risk of psychosis has
significantly improved during the last 6 years. The challenge for the next decade
will be to define a nosologic entity specific and sensitive enough to become a
diagnostic category by itself, which could lead to specific guidelines for the
preventive management of psychosis.
PMID- 21894118
TI - Intensity of symptoms from alcohol withdrawal in alcohol-dependent patients:
comparison between smokers and non-smokers.
AB - BACKGROUND: In patients with a dual dependence on alcohol and tobacco, the
spontaneous discourse among doctors is not to encourage them to consider
preparing to give up both substances. The argument put forward is that withdrawal
would be more difficult. We wanted to compare the intensity of withdrawal
symptoms in patients hospitalised for alcohol detoxification between smokers and
non-smokers. SUBJECT AND METHODS: We compared patients hospitalised for alcohol
detoxification who smoke versus non-smokers who received replacement therapy
through benzodiazepines and not nicotine replacement. The blood pressure and the
cardiac frequency measure on the first day of hospitalisation, the doses of
Diazepam dispensed on the first day, and the Clinical Institute Withdrawal
Assessment of Alcohol Scale Revised (CIWA-Ar) score on the second day were
compared. RESULTS: A trend emerged whereby smoking patients undergoing alcohol
detoxification showed higher blood pressure, higher cardiac frequency and
required higher doses of benzodiazepines on the first day of hospitalisation.
Patients who smoke also had higher CIWA-Ar scores on the second day of
hospitalisation. DISCUSSION: From a physiological point of view, the intensity of
the symptoms of alcoholic withdrawal seems to be greater in hospitalised patients
who smoke vs. non-smokers in the first two days. Does giving up both substances
at the same time result in fewer withdrawal symptoms? And in this case, should a
double replacement be recommended: benzodiazepines and nicotine replacement
therapy? CONCLUSION: To be able to refine the recommendations on alcohol-tobacco
dual withdrawal programmes, other studies are needed to compare giving up both
substances with or without nicotine replacement.
PMID- 21894119
TI - Audit of antipsychotic prescribing in dementia: Cambridgeshire results and
lessons learnt.
AB - BACKGROUND: Behavioural and psychological symptoms in dementia (BPSD) are common
and distressing. Anti psychotic medication has been shown to have modest efficacy
but is associated with well documented risks including excess cardiovascular
events and increased mortality. The current NICE recommendations are that
antipsychotics should only be prescribed to those with severe BPSD after
exploring other possible interventions. There should be adequate counselling of
the patient/family, an explicit risk-benefit analysis and regular review.
METHODS: As part of the POMH-UK National Audit on antipsychotic prescribing in
dementia we reviewed the notes of 67 patients in the Cambridgeshire area who had
a diagnosis of dementia. RESULTS: Of the 67 patients reviewed, only 9 (13.4%)
were currently being prescribed antipsychotics for BPSD. Of these patients, all
were living in their own homes and were experiencing multiple distressing target
symptoms. 5 had been prescribed an antipsychotic for less than 3 months and only
1 had a total duration of treatment of more than 1 year. There was good evidence
in all cases that alternative diagnoses and management strategies had been
explored before prescribing an antipsychotic. However, in none was the full range
of potential causes or interventions explored. In 6 cases a risk/benefit analysis
for use of antipsychotic medicine was recorded and in 5 cases there was
documented discussion of this with family or carers. Of the four patients who had
been prescribed an antipsychotic for more than 3 months, three had had two or
more medication reviews. The fourth patient had had a trial of stopping the
medication. Prescribing of other psychotropic medication was also reviewed.
Benzodiazepines were used sparingly (7.5%). Of note a significant minority of
patients (10.4%) were prescribed 3 or more different psychotropic medications.
CONCLUSION: These results suggest that within this service antipsychotics are
being used appropriately to enable patients with distressing and difficult
behaviour to continue to remain at home. They were generally prescribed for a
short time and reviewed. Areas for improvement include a documented discussion of
risk in all cases and ensuring that all possible causes of BPSD have been ruled
out. Regular review of efficacy and tolerability is essential for all
pharmacological treatments for BPSD particularly for those prescribed multiple
psychotropic medications.
PMID- 21894120
TI - Co-morbidity of bipolar affective disorder and obsessive compulsive disorder in a
Bedford community psychiatry team.
AB - This is a study of the prevalence and impact of co-existing bipolar affective
disorder on patients with OCD, and the effect on their management within a
community psychiatric team. We found that 16% of patients who visited psychiatric
outpatients with a diagnosis of OCD had co-existing bipolar affective disorder.
Of these the majority had bipolar affective disorder II (67%). Co-morbidity
raised a number of challenges to patient management. Compared to the control
group the patients with co-morbid bipolar affective disorder required a greater
number of outpatients appointments, had a greater number of hospital admissions,
were more likely to have been allocated a care coordinator and to have received
psychological input.
PMID- 21894121
TI - The Kraepelinian dichotomy in terms of employment outcomes.
AB - We assess the effectiveness of return to employment, and hence social inclusion
in patients with chronic schizophrenia and bipolar affective disorder within a
British community mental health team.
PMID- 21894122
TI - Discovering new genetic and psychosocial pathways in Major Depressive Disorder:
the NewMood project.
AB - The World Health Organisation predicts that Major Depressive Disorder (MDD) will
be the second greatest contributor to the global burden of disease by 2020,
however, the neurobiological mechanisms behind the disease and the risk factors
for it are yet unknown. NewMood (New Molecules for Mood Disorders) was a research
project funded by the EU, collaborating work from 10 European countries with the
aim of finding new molecular mechanisms behind MDD to develop more effective
treatment options. This review explains the aims and objectives of NewMood and
how it intends to achieve them with regards to the current literature. It also
outlines two of its most recent projects: genome wide association replication
study for single nucleotide polymorphisms (SNPs) increasing susceptibility to MDD
and stress related pathways in depression using the cortisol awakening response
(CAR). Both of these studies had significant results and could further contribute
to our current understanding of MDD.
PMID- 21894123
TI - The present global financial and economic crisis poses an additional risk factor
for mental health problems on the employees.
AB - BACKGROUND: The global financial and economic crisis starting in 2007 led to a
deterioration of several socio-economic determinants of mental health. The aim of
this cross-sectional study was to examine the impact of the present economic
crisis on the depression and anxiety levels of the employed in the private and
public sector in Slovenia. SUBJECTS AND METHODS: Altogether 1592 employees
completed an internet based self-reported questionnaire. Data about perceived
impact of the economic crisis, several socio-demographic, socioeconomic, and
health parameters were collected. Depression symptoms were assessed by the Center
for Epidemiological Studies-Depression Scale and anxiety symptoms by the
Spielberger State-Inventory. Regression models were used 1) to explore the
associations of the economic crisis with the level of depression and anxiety
symptoms while controlling for some sociodemographic and work characteristic
variables, and 2) to understand the relationship between some potentially
important socioeconomic variables and the perception of the economic crisis.
RESULTS: Depressive and anxiety scores were significantly increased among 590
(46.6%) employees being affected by the economic crisis. The level of depressive
symptoms was significantly associated with perceived impact by the crisis, recent
sick leave, reported injuries sustained at work, benzodiazepine and analgesic
use, the lack of emotional support, and trust in crisis telephone lines. The
level of anxiety symptoms yielded the robust association with the level of
depression symptoms, reported injuries sustained on the way to work and
education. CONCLUSIONS: The economic crisis poses an additional risk factor for
mental health problems which clinicians should internalize and become more aware
of them. Symptoms of depression and anxiety can be masked in high-utilizers of
medical care with physical complaints or psychoactive drug use.
PMID- 21894125
TI - Neurocognitive functioning in drug-naive patients with first episode of psychosis
before and after treatment.
AB - Cognitive deficit is a core feature of schizophrenia mostly grasping memory,
psychomotor processing, attention, thinking, and executive functioning and is
already present in the prodromal phase of the illness and is detected at the
onset. Recent studies have been focused on the differentiation of cognitive
functioning in relation to the diagnostic categories, which reveal cognitive
heterogeneity in schizophrenia and schizophrenia spectrum disorders. The study
demonstrated that along with changes in the clinical state, specifically, with
reduction of psychopathological symptoms, patients with schizoaffective disorders
show more positive dynamics with better chances to back up while in schizophrenia
the cognitive dysfunction is more defined and less prone to improvement.
PMID- 21894124
TI - Long term groups of patients with psychosis: physical activity and medical
treatment.
AB - AIM: Long term group work with outpatients with psychosis who attended groups in
the last ten years was evaluated regarding their physical activity, quality of
life and medication treatment. METHODS: Outpatients with psychosis who attended
long term psychotherapeutic groups were evaluated regarding their medication,
quality of life and physical activity. A modified, non-structured, psychoanalytic
group technique which includes psycho education, cognitive techniques, non
structural conversation and clarifications was used in group therapy. For
evaluation purposes Quality of life Bref and a self-designed physical activity
questionnaire along with clinical observation and Drug Attitude Inventory was
used. Each patient's medication was noted at the time of the study and the dosage
was transformed into chlorpromazine equivalents. RESULTS: Several therapeutic
group factors are important for improving attitudes towards physical activity,
improving quality of life and attitude towards medication. The physical activity
questionnaire gave us some preliminary information on our patients' current
physical activity. We explore the patients' Quality of life, medication and
attitude towards physical activity. DISCUSSION: The results show that there is a
relevant correlation between physical activity, quality of life and medication
treatment. Therefore treatment in a team setting and a multidisciplinary approach
could lead to the best results in this respect and better physical health of
patients with psychosis.
PMID- 21894126
TI - A novel bedside communication tool.
AB - Effective communication between patients, their families, their carers and health
care professionals is paramount to the delivery of high quality care. Addressing
the ideas, concerns and expectations of these groups may improve their healthcare
experience. We propose that opening a new channel of communication between
patients, families, carers and healthcare professionals on the wards would
improve the delivery of healthcare. We present a novel written communication aid-
the Care Communication Aid (CCA), with preliminary data from secondary and
tertiary healthcare trials demonstrating its efficacy and shortcomings, and the
reaction of both recipients and providers of healthcare to this novel approach.
PMID- 21894127
TI - Neurobiology of bipolar disorder - lessons from migraine disorders.
AB - Treatment for Bipolar Affective Disorder is at present largely empirical, in the
lack of a definitive understanding of the biological basis of the condition. Many
theories have been proposed regarding the underlying neurobiology. These have
included aetiologies relating to altered neurotrophic factor expression,
mitochondrial endoplasmic reticulum dysfunction with related calcium changes, and
loss of inhibitory interneurons. Here an attempt is made to integrate such
current understanding, in part by considering the changes observed in migraine -
a condition which has a number of similarities with bipolar disorder.
PMID- 21894128
TI - An audit to compare patient factors (age, sex, social background & associated
physical diagnoses) in people with refractory depression in a Bedfordshire
Community Mental Health Team (BCMHT) being augmented with (A) mirtazepine, (B)
atypical antipsychotics or (C) both.
AB - In recent years, there has been particular interest in the use of augmentation as
a strategy for the treatment of refractory depression. The purpose of this audit
was to define patient factors among people receiving augmentation therapy with
either mirtazepine or atypical antipsychotics. We searched an anonymised database
of patients and identified those with receiving augmentation with mirtazepine
(group A), atypical antipsychotics (group B) or both (group C). The audit reveals
some interesting differences in patient factors between the three groups.
Knowledge about such differences is useful in practical terms because it allows
doctors in the BCMHT to target therapy for different patients towards their
specific needs. However, the audit cannot explain the underlying reasons for
these differences.
PMID- 21894129
TI - An audit to compare the efficacy of treatment (as indicated by discharge rates
and reduction in suicidality) among patients with refractory depression in a
Bedfordshire Community Mental Health Team receiving augmentation therapy with
either mirtazepine or atypical antipsychotics.
AB - In recent years, there has been particular interest in the use of augmentation.
Potential augmentation regimes include the addition of atypical antipsychotics
(e.g. risperidone/olanzapine) or other antidepressants (e.g. mirtazepine). The
purpose of this audit was to compare patient outcomes between groups receiving
different augmentation strategies. Overall we found that augmentation with
mirtazepine resulted in better outcomes in terms of both discharge rates and in
terms of reduction in suicidality than augmentation with atypical antipsychotics.
PMID- 21894130
TI - Is family therapy the most effective treatment for anorexia nervosa?
AB - INTRODUCTION: Anorexia nervosa is a mental health disorder characterised by
deliberate weight loss (through restrictive eating, excessive exercise and/or
purging), disordered body image, and intrusive overvalued fears of gaining
weight. The National Institute for Clinical Excellence recommends that family
interventions that directly address the eating disorder should be offered to
children and adolescents with anorexia nervosa. AIMS: To perform a literature
review to assess whether family therapy is a more effective intervention than
other treatments in the management of adolescents with anorexia nervosa. METHOD:
Search of PubMed, The Cochrane Library and NHS Evidence for randomised controlled
trials that compared a family intervention with another treatment for anorexia
nervosa in adolescence. RESULTS AND DISCUSSION: This literature search revealed
only six randomised controlled trials investigating the use of family therapy in
the treatment of adolescents with anorexia nervosa, and these all had small
sample sizes. Some, but not all, of these trials suggest that family therapy may
be advantageous over individual psychotherapy in terms of physical improvement
(weight gain and resumption of menstruation) and reduction of cognitive
distortions, particularly in younger patients. Due to the small sample sizes and
the significant risk of bias (particularly information bias) in some of the
studies the evidence in favour of family therapy over individual therapy is weak.
In the future, larger randomised controlled trials with long term follow-up are
required to assess whether family therapy is the most effective treatment for
anorexia nervosa in adolescence.
PMID- 21894131
TI - What's in a name? - The Psychiatric Identity Conundrum.
AB - BACKGROUND: The proper label to describe people receiving care has evoked
considerable debate and controversy among providers and bio-ethicists.
Fashionable terms in current use include "patient, client, consumer, customer and
service user." There is little evidence to show that changes in nomenclature
actually take patients preferences as to how they would like to be addressed into
account AIMS: This aim of this study is to survey the views of the people with
learning disability in inpatient settings to establish the term they prefer. This
is the first study of its kind looking at the views of people with learning
disability about how they would like to be addressed and to identify factors
associated with various preferences. METHOD: Approval was obtained from the local
clinical governance board. The target population covered a tertiary level
inpatient service including acute assessment and respite services, forensic
(male/female and low/medium secure services) and CAMHS LD covering the Coventry,
Warwickshire and Birmingham areas (rural and inner city population). Participants
were provided with an information sheet on the research project. The
questionnaire was administered by means of a joint interview carried out by the
authors of the study. Dictionary definitions were analyzed as to the derivation
and connotations of various terminologies. A questionnaire was developed which
was tailored for use in PWLD after consultation with Speech & Language Therapists
and local peer review. Responses were than analyzed to identify factors
associated with various preferences. RESULTS: Evidence indicates lack of
universality in preferences for terms and suggests the need for dialogue about
preferred terms between service providers and recipients. This study shows a
preference for the term "patient" in all categories that were measured within an
LD inpatient setting and very interesting demographic preferences were
identified. A more differentiated approach may be suggested by taking
professional background and some demographic characteristics into consideration.
A positive therapeutic relationship is a fundamental component of psychiatry and
should take into account patients' preferences regarding how they are addressed
by professionals.
PMID- 21894132
TI - Time-travelling and mind-travelling: examining individual differences in self
projection.
AB - It has recently been suggested that memory and theory of mind may share the
characteristic of mentally projecting oneself into another time or place to
imagine alternative perspectives. This study examines this possible relationship
by investigating individual differences in performance on a reality monitoring
task and two mentalising tasks: the faux pas task and the reading the mind in the
eyes test. Consistent with recent functional neuroimaging studies that have
observed activity during reality monitoring tasks in the same region of
prefrontal cortex that was activated in previous mentalising studies, a
significant positive correlation in performance was observed between memory for
agency and faux-pas recognition. No correlation between memory and performance on
the reading the mind in the eyes test was observed. The significance of these
findings is discussed with respect to the suggestion that memory and theory of
mind rely on a common set of processes.
PMID- 21894133
TI - How frequently are atypical antipsychotics used to treat OCD in a British
community mental health team?
AB - Obsessive compulsive disorder (OCD) is a condition with a prevalence of around 1
2% (3-4% in some studies) with a recognised protocol for its treatment produced
by the national institute for health and clinical excellence (NICE). NICE
recommends that all patients with OCD are first offered treatment with cognitive
behavioural therapy (CBT) concentrating on exposure and response prevention (ERP)
before proceeding to selective serotonin re-uptake inhibitors (SSRIs). Treatment
may later be augmented with clomipramine and/or an antipsychotic. This study
focuses on the biological treatment received after, or in parallel to, the
psychological. We aimed to collate and evaluate the levels of biological
treatment currently received by OCD outpatients in the Bedford East catchment
area of SEPT. In particular we wished to establish how many of the patients were
receiving an atypical antipsychotic as well as maximal SSRIs. Hence we have
attempted to assess the types of treatment received by patients under our care,
and the difficulties associated with the treatment of this illness.
PMID- 21894134
TI - A retrospective audit of referrals of 16-17 year olds with reference to substance
abuse.
AB - BACKGROUND: Emerge is an innovative Child and Adolescent Mental Health Service
that provides support for 16-17 year olds. The team provide a community based
multi-disciplinary, open access model, texting young people and travelling to
locations convenient to them. There is an enhanced duty system providing a rapid
flexible response within working hours. AIMS: To examine the referral data as
part of the ongoing annual audit cycle and to establish prevalence of alcohol,
cigarettes and substance use among young people referred to the service. METHOD:
Data from the case notes was analysed using Statistical Package for Social
Science. Demographic details, referrer profession, reason for referral, other
services involved and substance use were examined. RESULTS: There were 437
patients referred to Emerge between 1/4/2010 and 31/3/2011, and 387 patients were
accepted while 50 were signposted on. Cases were not accepted if they fell
outside the age and geographical area, or were not in need of a mental health
service. Overall, 24% of young people were reported to consume alcohol, 19% used
cannabis and 9% reported using cigarettes. In all categories there were areas
where documentation was not complete, and we suggest that these figures are an
underestimate. CONCLUSION: This data has been fed back to the team, a full
morning of teaching regarding drugs and alcohol has been delivered. Emerge often
works with young people who are marginalised and may be harder to reach,
consequently early sessions require neutral and supportive questions, thus if
young people do not return after the first appointment, histories may be
incomplete. The team will be reflecting on the lessons learned and considering
ways to optimise their work.
PMID- 21894135
TI - Classification of risk in psychiatry.
AB - Psychiatric risk-assessments generally quantify risk using broad, categorical,
indicators (e.g., high-risk, low-risk). We examined reliability of such
indicators when applied by mental-health professionals. Four versions of a
questionnaire were used, each specifying a different clinical outcome along with
a range of different probabilities at which that outcome might occur. Respondents
classified each probability, allowing a comparison of the level of likelihood at
which different professionals would apply the terms 'high-risk', 'medium-risk'
and 'low-risk'. We found little consistency among professionals who assessed risk
for the same outcomes. Moreover, there were also large and unpredicted
differences in response-profiles between the 4 clinical outcomes. These findings
raise concerns about the communication value of current risk-assessment
terminology.
PMID- 21894136
TI - Zenith Low Profile AAA endovascular graft and global pivotal clinical trial.
PMID- 21894137
TI - Cordis INCRAFTTM ultra-low profile AAA stent-graft system.
AB - Endovascular repair was originally introduced as a less invasive alternative in
less healthy patients, but potentially lower morbidity has been balanced by
limited anatomic applicability, as well as inferior long-term device durability.
The INCRAFTTM endograft was developed with surgical repair in mind, in an attempt
to capitalize on the anatomic flexibility of traditional procedures. The INCRAFT
system was designed to address durability issues of older generation devices. At
the same time it provides a solution for a broad range of patients using a
limited number of codes needed. The 3-pieces modular system allows precise
placement at level of the iliacs and aortic neck reducing the risk of
unintentional coverage of the hypogastric and renal arteries. In addition, the
ultra-low profile delivery system (13 Fr even for graft main bodies up to 30 mm)
contributes to increase patient eligibility for endovascular aortic repair and to
decrease the risk for access complications. When desired, the delivery system can
be introduced through a percutaneous approach. The initial experience with the
new endograft showed a highly versatile device that offers a more individualized
endovascular option to a greater number of patients with abdominal aortic
aneurysms. The ongoing IN-NOVATION trial and two planned clinical trials (IN
CEPTION and IN-SPIRATION) will build further understanding of the performance of
the device allowing for a wider subset of inclusion criteria.
PMID- 21894138
TI - Infrainguinal disease treatment: to stent or not to stent.
AB - Infrainguinal endovascular interventions have increasingly played a central role
in relieving symptoms of claudication and limb salvage over the last decade.
Multiple modalities currently exist for treating these arteries; however, balloon
angioplasty with or without stenting still remains the most commonly used
technique. Despite the concerns regarding the use of stents with stent fractures
and in-stent restenosis, there is increased evidence from randomized and non
randomized studies that use of nitinol stents improves patency rates in most
patients with >5 cm long lesions. However, the optimal endovascular treatment of
the longest lesions is still debated. Infrapopliteal vessels are still mostly
treated with balloon angioplasty, but selective use of bare or drug eluting
stents especially in longer lesions is promising. The role of drug-eluting
stents, balloons and other debulking procedures for both femoropopliteal and
infrapopliteal arteries still needs to be further investigated.
PMID- 21894139
TI - The International E-vita Open Registry: data sets of 274 patients.
AB - AIM: After the introduction of the hybrid stent-graft "E-vita-open" by the Essen
group in 1/2005 for one stage repair of complex thoracic aortic disease, the
International E-vita open Registry was founded in 2008 to study the principles of
this treatment algorithm and to control reported favorable single center results
on a large patient data set basis up to six years after the first clinical
implant. METHODS: Retrospective data work-up after prospective data acquisition
was achieved by institution of the International E-vita open Registry with
anonymous registration and calculation at Essen University Hospital. From January
2005 to December 2010, 274 patients (mean age 60; 74% males) with complex aortic
disease, 190 with aortic dissection (88 acute (AAD), 102 chronic aortic
dissection (CAD), and 84 with complex thoracic aortic aneurysm (TAA) were
included in the studied. RESULTS: Eighty-one out of 274 (30%) patients underwent
emergency surgery. Stent-graft deployment and arch replacement (238 total, 36
subtotal) was performed under selective antegrade cerebral perfusion (75 min
mean). Cardiopulmonary bypass (CPB) and cardiac arrest times were mean 235 and
134 minutes, respectively. In-hospital mortality was 15% (40/274), 18% for AAD,
13% for CAD, and 14% for TAA. New strokes were observed in 6% (16/274), spinal
cord injury in 8% (22/274). The false lumen (FL) was evaluated throughout the
first hospital stay and at a median follow up time of 59 months after surgery.
From the first follow up CT-examination to the last, thoracic complete FL
thrombosis increased from 83% to 93% in AAD, from 72% to 92% in CAD. Full
exclusion of the aneurysmal disease was achieved in 77% (61/79) during the
primary hospital stay. CONCLUSION: Favorable single center results could be
confirmed by an International community of cardiac surgical centers in regard to
hospital mortality and morbidity, as well as a low postoperative complication
rate and exclusion of false lumen in aortic dissection.
PMID- 21894140
TI - Comparison between autogenous brachial-basilic upper arm transposition fistulas
and prosthetic brachial-axillary vascular accesses for hemodialysis.
AB - AIM: The aim of this paper was to compare the outcomes of patients undergoing
autogenous brachial-basilic upper arm transposition fistulas (BBAVF) with
prosthetic brachial-axillary vascular accesses (BAPTFE) at immediate and medium
follow-up. METHODS: Retrospective analysis of the aforementioned accesses
performed in a single-center from 2003 to 2007. Transposition was used in all
BBAVF performed. Conic prostheses were used in the BAPTFE. Primary and secondary
patency, patient survival, types of complications and its rates were assessed
during follow-up. RESULTS: Thirty-six BBAVF and 40 BAPTFE were performed. Both
groups were well matched for age, gender and comorbidity. BBAVF primary patency
was 93.5%, 50.4%, 45.8% and 45.8% compared to 80.6%, 64.3%, 46.2% and 31.6% of
the BAPTFE group at 1, 12, 24 and 36 months of follow-up (P=0.719). BBAVF
secondary patency was 93.5%, 50.4%, 45.8% and 45.8% compared to 80.6%, 67.7%,
54.2% and 35.1% of the BAPTFE at the same periods (P=0.902). Patient survival was
97.2%, 97.2%, 93.2% and 86.5 for BBAVF in contrast to 97.2%, 94.4%, 84.1% and
79.9% for BAPTFE in the same months (P=0.386). 13.8% of the BBVAF had
accessibility problems while only 5% of the BAPTFE presented them (P=0.174).
Infection was more frequent in BAPTFE (0% vs 10%), being the only complication
near the statistical signification (P=0.071). CONCLUSION: BBAVF offer patency and
accessibility rates similar to BAPTFE, but lower infectious complications. Thus,
we consider them as the preferred hemodialysis access when fistulas using the
cephalic vein have failed or are not possible.
PMID- 21894141
TI - Endoscopic versus direct vision for saphenous vein graft harvesting in coronary
artery bypass surgery.
AB - AIM: Recent reports have suggested harvesting of the greater saphenous vein for
coronary artery bypass (CABG) using endoscopic techniques (endoscopic) results in
early graft closure, higher rates of myocardial infarction (MI) and death. We
explored the impact of this technique performed by experienced operators on
postoperative morbidities, MI and death in our CABG patients. METHODS: All non
emergent patients presenting for first time CABG surgery from 2006 to June 2009
were included. Data pertaining to surgery, readmissions, cardiac catheterization
and interventions during long term follow-up were extracted from our local STS
and ACC registries. Linear and logistic regressions with clinical covariates were
conducted to determine if vein harvest technique group predicted the major
outcomes. Propensity score matching (PSM) was completed to simulate randomization
and improve covariate balance across the endoscopic and direct vision groups.
RESULTS: One thousand nine hundred and eighty-eight (N.=1988) patients were
evaluated in this study (N.=1734 endoscopic group and N.=254 direct vision
group). The perioperative major adverse outcomes (mortality within 30 days,
stroke, reoperation for bleeding, prolonged ventilation and readmission within 30
days) were 17.8% in the endoscopic group and 25.2% in the direct vision group.
The rate of leg infections was 0.3% for the endoscopic group and 1.6% for the
direct vision group. After adjustment for covariates, the direct vision group had
significantly greater risk for prolonged ventilation (P=0.03), MACE (P=0.02) and
mortality within 30 days (P=0.01), but only marginally greater risk for leg
infections (P=0.052). In the isolated CABG patients, operative death was 1% for
the endoscopic group and 1.7% in the direct vision group (P=0.62). After PSM the
endoscopic group was similar on all outcomes except for having fewer MACE
(P=0.04). In a mean follow-up of 22.1+/-10.5 months, there were no significant
differences in the overall rate and time to event for repeat revascularization,
death and myocardial infarction. With maximum follow up of 39.6 months, 84 deaths
were documented (N.=67 endoscopic and N.=17 direct vision). CONCLUSION: The
outcomes captured by the number of postoperative morbidities, incidence of
myocardial infarction and/or the rate of death for the endoscopic technique were
comparable to patients in whom the open techniques was used. There was a trend
towards a decrease in leg infections with the use of the endoscopic device. Based
on this study we consider the device safe and effective with experienced
operators.
PMID- 21894142
TI - Pericardial synovial sarcoma of the heart; is it always worth operating?
AB - Synovial sarcoma is a rare malignant soft-tissue tumor that most commonly occurs
in the extremities of young adults. Primary pericardial synovial sarcoma is
extremely rare. We report the case of a 37 year old male patient who presented
with intermittent fever, nocturnal sweating and asthenia. Chest X-ray revealed an
enlarged cardiac silhouette. Echocardiography identified pericardial effusion and
a mass compressing the right ventricle. After percutaneous drainage of the
effusion, the mass was not visible and deemed to have been septations of the
effusion. Chest computed tomography (CT) did not show the mass visible on the X
ray. At one month follow-up, the pericardial mass was again visible on
echocardiography and confirmed by magnetic resonance imaging (MRI). CT-guided
biopsy showed malignant mesenchymal cells. Complete resection was attempted, but
not possible due to diffuse infiltration of the epicardium. Histological
examination of the resected tissue revealed an undifferentiated primary
pericardial synovial sarcoma. The patient refused adjuvant treatment and died 15
days later. Surgical resection is considered the cornerstone of treatment of this
rare type of malignant tumor of the young; our patient presented with a diffusely
infiltrating tumor which could not be resected and required reoperation for
tamponade and left pericardectomy. We question whether the choice to attempt
resection was beneficial.
PMID- 21894143
TI - Transient loss of binocular vision caused by painless aortic dissection in high
risk abdominal aortic aneurysm surgery.
PMID- 21894144
TI - Long term results of percutaneous aortic valve implant in a 90-year-old patient.
PMID- 21894145
TI - A case of heterotopic heart transplantation with evaluation of cardiac CT
angiography.
PMID- 21894146
TI - Spleen tyrosine kinase promotes acute neutrophil-mediated glomerular injury via
activation of JNK and p38 MAPK in rat nephrotoxic serum nephritis.
AB - Glomerular antibody deposition induces acute neutrophil-mediated glomerular
injury via activation of c-Jun amino terminal kinase (JNK) and p38 mitogen
activated protein kinase (MAPK). However, the link between antibody deposition
and activation of JNK/p38 MAPK signalling is unclear. This study tested the
postulate that spleen tyrosine kinase (Syk), which is activated via Fcgamma
receptor ligation, is required for activation of JNK and p38 signalling and acute
neutrophil-mediated glomerular injury. We used a Syk inhibitor (SYKi) in rat
nephrotoxic serum nephritis (NTN) in which neutrophil-mediated glomerular injury
is dependent upon JNK and p38 signalling. SYKi or vehicle treatment of Sprague
Dawley rats began 30 min before administration of anti-GBM serum with rats killed
3 or 24 h later. Immunostaining identified de novo glomerular Syk activation (p
Tyr 525/526) in untreated NTN, being most prominent in neutrophils. Vehicle and
untreated NTN exhibited heavy proteinuria and glomerular thrombosis at 24 h with
P-selectin and fibrin immunostaining within capillaries, glomerular macrophage
and T cell infiltration, activation of JNK and p38 MAPK signalling, and
upregulation of glomerular mRNA levels of pro-inflammatory molecules (TNF-alpha,
NOS2, MMP-12 and CCL2). In contrast, SYKi treatment provided complete protection
from proteinuria, with a profound reduction in glomerular thrombosis and
immunostaining for P-selectin and fibrin, and a substantial reduction in
glomerular mRNA levels of pro-inflammatory molecules. SYKi treatment also reduced
the acute glomerular neutrophil influx and pro-inflammatory response at 3 h in
NTN. These protective effects were associated with a significant reduction in
glomerular JNK and p38 MAPK activation. In addition, activation of Syk, JNK and
p38 was identified in human biopsy samples of acute crescentic
glomerulonephritis. In conclusion, this study demonstrates that Syk signalling is
required for JNK and p38 MAPK signalling and acute neutrophil-dependent
glomerular injury in rat NTN. These findings identify Syk as a potential
therapeutic target in antibody-dependent kidney disease.
PMID- 21894147
TI - Tumor-secreted SDF-1 promotes glioma invasiveness and TAM tropism toward hypoxia
in a murine astrocytoma model.
AB - A distinguishing feature of high-grade gliomas is the infiltration of neoplastic
cells into adjacent brain tissues that mark most of these tumors surgically
incurable. To study the factors associated with tumor invasion, we established a
new murine brain tumor model, ALTS1C1 derived from SV40 large T antigen
transfected astrocytes. This new brain tumor model recapitulates several
histopathological features of human high-grade glioma including increased
cellularity, prominent cellular pleomorphism, geographic necrosis, active
mitosis, and extensive invasion of tumor cells into adjacent brain tissues. More
importantly, ALTS1C1 expressed a relatively high level of stromal-derived factor
1 (SDF-1/CXCL12) in vitro and in vivo and higher microvascular density (MVD) in
vivo. To define the roles of SDF-1 in this tumor model, the expression of SDF-1
in ALTS1C1 cells was inhibited by specific siRNA. SDF-knockdown ALTS1C1 (SDF(kd))
cells took longer than parental ALTS1C1 cells to form tumors and in contrast to
the wild-type tumors they had well-defined regular borders and lacked
infiltration tracts. The SDF(kd) tumors were also associated with a lower MVD and
more hypoxic areas. In contrast to parental tumors, the density of F4/80-positive
tumor-associated macrophages (TAMs) in SDF(kd) tumor was higher in non-hypoxic
than in hypoxic regions. SDF-1 production by tumor cells therefore seems critical
for the aggregation of TAMs into areas of hypoxia and tumor invasiveness. This
study not only provides new insight into the role of SDF-1 in brain tumor
invasion and the relationship between TAMs and hypoxia, but also provides a new
preclinical brain tumor model for designing new treatment options for invasive
cases.
PMID- 21894148
TI - Erythropoietin improves skin wound healing and activates the TGF-beta signaling
pathway.
AB - We could recently report that erythropoietin (EPO) accelerates skin wound healing
in mice. Now, we provide insight into the molecular mechanisms of this non
hematopoietic property of EPO analyzing the transforming growth factor (TGF)-beta
signaling pathway. EPO receptor was found expressed in both non-wounded and
wounded skin tissue as well as in fibroblasts and keratinocytes. In saline
treated control animals, wounds exhibited a significant upregulation of TGF-beta1
and of alpha-smooth muscle actin (alpha-SMA) compared with non-wounded skin. EPO
treatment accelerated wound epithelialization and induced mRNA expression of TGF
beta1 and alpha-SMA. In addition, EPO significantly enhanced phosphorylation of
Smad2 and Smad3 in fibroblasts and also elevated phosphorylation of Smad3 in
wound tissue. Blockade of TGF-beta using a neutralizing anti-TGF-beta antibody
attenuated EPO-induced acceleration of wound epithelialization in vivo and
markedly reversed EPO effects on mRNA expression of TGF-beta1 and alpha-SMA. In
conclusion, EPO caused activation of the Smad-dependent TGF-beta signaling
pathway, enhanced differentiation of myofibroblasts, and accelerated skin wound
closure.
PMID- 21894149
TI - Early endothelial damage and increased colonic vascular permeability in the
development of experimental ulcerative colitis in rats and mice.
AB - The role of endothelial damage and increased vascular permeability (VP) in the
pathogenesis of ulcerative colitis (UC) has not been investigated. We examined
using functional, morphologic, and molecular biologic studies whether and to what
extent the endothelial barrier dysfunction precedes enhanced epithelial
permeability (EP) and the development of mucosal lesions during the early stages
of experimental UC. We showed that in rats with iodoacetamide (IA)-induced UC
increased colonic VP occurs early (ie, 2.6-fold increase at 15 min, P<0.01)
preceding changes in epithelial barrier permeability. EP was unchanged at 15 and
30 min after IA administration and was increased 1.9-fold at 1 h and 6.7-fold at
2 h (both P<0.001) after IA. In the dextran sodium sulfate-induced slowly
developing UC, colonic VP was significantly increased in 2 days (P<0.05) and EP
only in 4 days (P<0.05). Mucosal endothelial injury led to hypoxia (P<0.05) of
colonic surface epithelial cells 30 min after IA administration that was
associated with increased expressions of transcription factors hypoxia-inducible
factor-1alpha and early growth response-1. Electron and light microscopy
demonstrated areas of colonic mucosa with perivascular edema covered by intact
layer of surface epithelial cells in both rat and mouse models of UC. This is the
first demonstration in four models of UC that endothelial damage, increased
colonic VP, perivascular edema, and epithelial hypoxia precede epithelial barrier
dysfunction that is followed by erosions, ulceration, and inflammation in UC.
PMID- 21894150
TI - Vision preservation during retinal inflammation by anthocyanin-rich bilberry
extract: cellular and molecular mechanism.
AB - Anthocyanin-rich bilberry extract, a plant-derived antioxidant, has been utilized
as a popular supplement for ocular health worldwide. However, it is unclear
whether this extract has any biological effect on visual function, and the
mechanism for such an effect is completely unknown. In this study, we generated a
mouse model of endotoxin-induced uveitis (EIU) that shows retinal inflammation,
as well as uveitis, by injecting lipopolysaccharide. We pretreated the mice with
anthocyanin-rich bilberry extract and analyzed the effect on the retina.
Anthocyanin-rich bilberry extract prevented the impairment of photoreceptor cell
function, as measured by electroretinogram. At the cellular level, we found that
the EIU-associated rhodopsin decreased and the shortening of outer segments in
photoreceptor cells were suppressed in the bilberry-extract-treated animals.
Moreover, the extract prevented both STAT3 activation, which induces inflammation
related rhodopsin decrease, and the increase in interleukin-6 expression, which
activates STAT3. In addition to its anti-inflammatory effect, the anthocyanin
rich bilberry extract ameliorated the intracellular elevation of reactive oxygen
species and activated NF-kappaB, a redox-sensitive transcription factor, in the
inflamed retina. Our findings indicate that anthocyanin-rich bilberry extract has
a protective effect on visual function during retinal inflammation.
PMID- 21894151
TI - A phenotype of early infancy predicts reactivity of the amygdala in male adults.
AB - One of the central questions that has occupied those disciplines concerned with
human development is the nature of continuities and discontinuities from birth to
maturity. The amygdala has a central role in the processing of novelty and
emotion in the brain. Although there is considerable variability among
individuals in the reactivity of the amygdala to novel and emotional stimuli, the
origin of these individual differences is not well understood. Four-month old
infants called high reactive (HR) demonstrate a distinctive pattern of vigorous
motor activity and crying to specific unfamiliar visual, auditory and olfactory
stimuli in the laboratory. Low-reactive infants show the complementary pattern.
Here, we demonstrate that the HR infant phenotype predicts greater amygdalar
reactivity to novel faces almost two decades later in adults. A prediction of
individual differences in brain function at maturity can be made on the basis of
a single behavioral assessment made in the laboratory at 4 months of age. This is
the earliest known human behavioral phenotype that predicts individual
differences in patterns of neural activity at maturity. These temperamental
differences rooted in infancy may be relevant to understanding individual
differences in vulnerability and resilience to clinical psychiatric disorder.
Males who were HR infants showed particularly high levels of reactivity to novel
faces in the amygdala that distinguished them as adults from all other
sex/temperament subgroups, suggesting that their amygdala is particularly prone
to engagement by unfamiliar faces. These findings underline the importance of
taking gender into account when studying the developmental neurobiology of human
temperament and anxiety disorders. The genetic study of behavioral and biologic
intermediate phenotypes (or 'endophenotypes') indexing anxiety-proneness offers
an important alternative to examining phenotypes based on clinically defined
disorder. As the HR phenotype is characterized by specific patterns of reactivity
to elemental visual, olfactory and auditory stimuli, well before complex social
behaviors such as shyness or fearful interaction with strangers can be observed,
it may be closer to underlying neurobiological mechanisms than behavioral
profiles observed later in life. This possibility, together with the fact that
environmental factors have less time to impact the 4-month phenotype, suggests
that this temperamental profile may be a fruitful target for high-risk genetic
studies.
PMID- 21894153
TI - Pharmacogenetics of antipsychotic-induced weight gain: review and clinical
implications.
AB - Second-generation antipsychotics (SGAs), such as risperidone, clozapine and
olanzapine, are the most common drug treatments for schizophrenia. SGAs presented
an advantage over first-generation antipsychotics (FGAs), particularly regarding
avoidance of extrapyramidal symptoms. However, most SGAs, and to a lesser degree
FGAs, are linked to substantial weight gain. This substantial weight gain is a
leading factor in patient non-compliance and poses significant risk of diabetes,
lipid abnormalities (that is, metabolic syndrome) and cardiovascular events
including sudden death. The purpose of this article is to review the advances
made in the field of pharmacogenetics of antipsychotic-induced weight gain
(AIWG). We included all published association studies in AIWG from December 2006
to date using the Medline and ISI web of knowledge databases. There has been
considerable progress reaffirming previous findings and discovery of novel
genetic factors. The HTR2C and leptin genes are among the most promising, and new
evidence suggests that the DRD2, TNF, SNAP-25 and MC4R genes are also prominent
risk factors. Further promising findings have been reported in novel
susceptibility genes, such as CNR1, MDR1, ADRA1A and INSIG2. More research is
required before genetically informed, personalized medicine can be applied to
antipsychotic treatment; nevertheless, inroads have been made towards assessing
genetic liability and plausible clinical application.
PMID- 21894152
TI - Epigenetic regulation of the BDNF gene: implications for psychiatric disorders.
AB - Abnormal brain-derived neurotrophic factor (BDNF) signaling seems to have a
central role in the course and development of various neurological and
psychiatric disorders. In addition, positive effects of psychotropic drugs are
known to activate BDNF-mediated signaling. Although the BDNF gene has been
associated with several diseases, molecular mechanisms other than functional
genetic variations can impact on the regulation of BDNF gene expression and lead
to disturbed BDNF signaling and associated pathology. Thus, epigenetic
modifications, representing key mechanisms by which environmental factors induce
enduring changes in gene expression, are suspected to participate in the onset of
various psychiatric disorders. More specifically, various environmental factors,
particularly when occurring during development, have been claimed to produce long
lasting epigenetic changes at the BDNF gene, thereby affecting availability and
function of the BDNF protein. Such stabile imprints on the BDNF gene might
explain, at least in part, the delayed efficacy of treatments as well as the high
degree of relapses observed in psychiatric disorders. Moreover, BDNF gene has a
complex structure displaying differential exon regulation and usage, suggesting a
subcellular- and brain region-specific distribution. As such, developing drugs
that modify epigenetic regulation at specific BDNF exons represents a promising
strategy for the treatment of psychiatric disorders. Here, we present an overview
of the current literature on epigenetic modifications at the BDNF locus in
psychiatric disorders and related animal models.
PMID- 21894156
TI - Update on the harmonisation of disability data collection in UK surveys (part 1).
AB - BACKGROUND: This article reports progress to date in the development of new
National Statistics harmonised questions: measuring disability in established
national social survey sources using a face-to-face interviewing mode of data
capture. The harmonisation of these questions across these survey sources will
enhance the availability of consistent disability statistics to government and
the wider user community.This work began in response to the recommendation of the
Review of Equality Data published in 2007: to develop and apply a principled
approach to data collection to meet future data needs, following the introduction
of equality legislation in 2010. It also contributes to improving international
comparability, by better meeting the definitions for measures of long-standing
illness and disability derived from the European Union's Statistics on Income and
Living Conditions (EU-SILC).Further work is currently being undertaken to adapt
questions for use in surveys applying different methods of data capture, such as
paper-based and on-line surveys.The project also developed questions which
measure disability as a restriction in participation in key areas of life such as
employment, and the self-perceived social barriers affecting participation (that
is aspects of society and the physical environment, which do not take adequate
account of the needs of people with impairments). However, at the time of
writing, a finalised standard had not been agreed: these data inputs will be
reported on in a future Health Statistics Quarterly article. METHODS: The
National Statistics Harmonisation Group (NSHG) and its Health, Disability and
Carers Sub-Group contain representation across government, related public sector
bodies and academia. These groups agreed the following project objectives for
disability data harmonisation:a) Establish a conceptual framework for disability
definitionb) Develop question inputs to measure the definition for use in social
surveys using a face-to-face interviewing method of data capturec) Test the
performance of these questions for interpretability and consistency with
established sources, and refine questions, where indicated, through the findings
of testing and consultationd) Recommend harmonised standards for implementation
across sourcesA process of question suite development included: user
consultation, which gathered cross-sector views to clarify and prioritise data
needs; cognitive testing, which guided question formats, content and terminology,
and interviewer probes and instructions. The findings of these exercises were
used in the construction of questions which were subsequently field tested for
coherence with other data sources and described in an accompanying article in
this issue of Health Statistics Quarterly. RESULTS: The definition of disability
developed to harmonise standard data inputs and outputs is founded on the
Disablement Process (Verbrugge and Jette 1994), and the bio-psycho-social model
of disability used in the International Classification of Functioning, Disability
and Health (ICFDH) (WHO, 2001). This model presents disability as a process
bringing together medical, societal and individual factors which affect daily
activities and participation and disentangles concepts of illness, impairment and
disability. Disability is defined as restriction in activities and participation
related to the interaction between functional impairment and the provision of
supports (personal, mechanical and environmental/societal).The results of
cognitive testing found issues with the proposed question formats, interpretation
of key terms such as 'disability' and sensitivities to the negative focus of
questions; the researchers made a number of recommendations to improve the flow
of questions and improve interpretation, including better signposting and use of
interviewer probes and instructions, and changes to the terminology used in the
body of questions and their response categories. CONCLUSIONS: The extensive
consultations undertaken, including government departments, other public sector
bodies, academia and third sector organisations, demonstrates a clear commitment
on the part of the Health, Disability and Carers Harmonisation Sub-Group to
gather a wide range of views to identify the deficiencies in existing data inputs
to social survey data sources; guide priorities as equitably as possible to meet
the variety of needs expressed; and to lessen the sensitivities associated with
existing question terminology when drafting question inputs.The application of
respected conceptual frameworks and internationally recognised definitions and
classifications to the data needs identified, and the modifications suggested
following cognitive testing has given the draft questions for field testing a
stronger relevance to the concept of disability advocated in the Prime Minister's
Strategy Unit Report 'Improving the Life Chances of Disabled People' and
championed in the Office for Disability Issues Equality 2025 agenda.
PMID- 21894157
TI - Update on the harmonisation of disability data collection in UK surveys (part 2).
AB - BACKGROUND: This article reports on the field testing work undertaken, leading to
the proposals for a primary harmonised standard for disability data inputs:
designed to derive statistical measures of disability from social surveys using a
face-to-face mode of data capture. These proposals were submitted to the National
Statistics Harmonisation Group (NSHG) for approval in December 2010. The proposed
primary harmonised standard data inputs are designed to meet the data needs
arising from the equality legislation introduced in 2010 and improve
international comparability: by better meeting the definitions for measures of
longstanding illness and disability derived from the European Union's Statistics
on Income and Living Conditions (EU-SILC). METHODS: Field testing was conducted
during two discrete periods: between October 2009 and February 2010 for stage 1
testing, and between May and July 2010 for stage 2 testing. The Office for
National Statistics (ONS) Opinions Survey acted as the data collection vehicle
and the design was a split sample in each case. In stage 1, question suite
variants were compared, together with the Family Resources Survey (FRS)
Disability Discrimination Act module running on the Opinions Survey in equivalent
months. The second stage also applied a split sample to compare the revised
proposed harmonised questions with the question on disability planned for the
2011 Census. Derivations of disability to meet measures required under the
national Equality Act legislation and the European Union-Statistics on Income and
Living Conditions were applied to field-test estimates to assess coherence with
contemporaneous external sources. RESULTS: The field test results found good
comparability with estimates of disability used in the FRS publications and with
the field tested 2011 Census disability question.In stage 1 testing, the measure
of disability derived from the version 1 suite was closer to the FRS measure of
disability used in FRS publications than that derived from version 2's questions.
In stage 2, the proximity of the measure derived from the proposed harmonised
questions to the FRS measure of disability improved, with a 0.5 percentage point
difference.The stage 2 measure of disability was found to be consistent with the
EU-SILC measure of disability in 2009 for the UK published on the Eurostat
website. Furthermore, the harmonised questions produced a similar estimate of
disability to the 2011 Census question, also field tested concurrently with the
proposed harmonised questions. CONCLUSION: The harmonised questions tested
provide appropriate data inputs to measure persistent illness, impairment and
disability, consistent with the components of disability used in the disablement
process and the International Classification of Functioning Disability and
Health. Their implementation across social survey data sources using a face-to
face mode of data capture will enhance the consistency of statistical measurement
and their relevance to the data requirements embodied in national Equality
legislation and the EU-SILC European regulation.List of Tables, 33.
PMID- 21894158
TI - Metabolic syndrome is associated with increased risk of recurrent colorectal
adenomas in Korean men.
AB - OBJECTIVE: Because of the high incidence of recurrent colorectal adenomas,
regular surveillance by colonoscopy is recommended. However, there is still a
shortage of information on the factors that influence the incidence of recurrent
colorectal adenomas in patients with a history of these lesions. The aim of this
study was to determine the association between the development of recurrent
colorectal adenomas, metabolic syndrome and obesity. SUBJECTS AND METHODS: The
hospital-based cohort was composed of 193 patients who had recurrent colorectal
adenomas removed between January 2002 and December 2003. The Cox proportional
hazard model was used to determine hazard ratio (HR) and 95% confidence interval
(CI) between obesity, metabolic syndrome and other factors, and the incidence of
recurrent adenomatous polyps. RESULTS: The mean follow-up period was 4.8 person
years. In all, 78 of the patients (40.4%) had recurrent colorectal adenomas. In
the overall recurrent adenoma group, significant associations between metabolic
syndrome (HR, 1.33; 95% CI, 1.02-1.73), waist circumference (WC) >= 90 cm (HR,
1.42; 95% CI, 1.06-1.90) and waist-hip ratio (WHR) >= 0.9 (HR, 2.03; 95% CI, 1.55
2.68) were found. Moreover, advanced adenomas were significantly associated with
metabolic syndrome (HR, 2.81; 95% CI, 1.86-4.25), body mass index >= 25 kg m(-2)
(HR, 2.69; 95% CI, 1.64-4.42), WC (HR, 2.16; 95% CI, 1.31-3.54) and WHR (HR,
1.99; 95% CI, 1.28-3.11). In addition, current smoking (HR, 2.60; 95% CI, 1.09
6.25) and alcohol consumption (HR, 2.20; 95% CI, 1.10-4.39) were also
significantly associated with recurrent advanced adenoma. CONCLUSION: Metabolic
syndrome and obesity were significantly associated with the development of
recurrent colorectal adenomas in Korean adult males. Furthermore, these
associations were more strongly associated with advanced adenomas.
PMID- 21894159
TI - PGC1alpha gene Gly482Ser polymorphism predicts improved metabolic, inflammatory
and vascular outcomes following bariatric surgery.
AB - AIMS/HYPOTHESIS: Bariatric surgery is currently employed as an effective approach
to treat class III obesity and class II obesity with co-morbidities.
Unfortunately, the general anthropometric and metabolic outcomes of the surgery
are not homogeneous, and defining the eligibility criteria that allow for a more
precise prediction of the outcomes of this invasive procedure will refine the
selection of patients. Here we tested the hypothesis that the Gly482Ser
polymorphism of the ppargc1a gene would predict different outcomes following
bariatric surgery. METHODS: Fifty-five patients (26 Gly/Gly and 29
Gly/Ser+Ser/Ser) selected for the Roux-en-Y gastric bypass according to the
National Institutes of Health Consensus Statement criteria were followed up for 1
year, monitoring their anthropometric, metabolic and inflammatory parameters.
RESULTS: Patients with the Gly482Ser polymorphism had significantly improved
reductions in the waist/hip ratio, fasting blood glucose, C-reactive protein,
blood leukocyte count, serum interleukin-6 and intima-media thickness of the
carotid artery, as compared with Gly/Gly patients. CONCLUSIONS/INTERPRETATION:
Thus, the Gly482Ser polymorphism may predict a more favorable metabolic and
inflammatory outcome for obese patients submitted to bariatric surgery, leading
to a reduced atherosclerotic risk.
PMID- 21894160
TI - Regulation of glucose/lipid metabolism and insulin sensitivity by interleukin-4.
AB - OBJECTIVE: Abundant evidence has demonstrated that long-term cytokine-mediated
inflammation is a risk factor for obesity and type 2 diabetes mellitus (T2DM).
Our previous study reveals a significant association between promoter
polymorphisms of Th2-derived cytokine interleukin-4 (IL-4) and T2DM, which
suggests possible roles of IL-4 in metabolism. In this study, we focused on
examining the putative regulation of glucose and lipid metabolism by IL-4.
METHODS: C57BL/6 mice were intraperitoneally injected with either adenovirus
containing full-length IL-4 encoding gene (AdIL-4) or recombinant IL-4 for
mimicking the status of transient and long-term IL-4 overexpression,
respectively, and the effects of the overexpressed IL-4 to glucose/lipid
metabolism and insulin sensitivity were subsequently investigated. RESULTS: Our
results reveal that IL-4 improves insulin sensitivity and glucose tolerance
through upregulating Akt phosphorylation while attenuating GSK-3beta activities.
IL-4 is also involved in lipid metabolism by inhibiting lipid accumulation in fat
tissues, which lead to decreased weight gain and fat mass. CONCLUSIONS: Our
results suggest that IL-4 regulates glucose and lipid metabolism by promoting
insulin sensitivity, glucose tolerance and inhibiting lipid deposits. This study
uncovers the novel roles of IL-4 in metabolism and provides new insights in the
interaction between cytokines/immune responses, insulin sensitivity and
metabolism.
PMID- 21894161
TI - Relation of regional gray and white matter volumes to current BMI and future
increases in BMI: a prospective MRI study.
AB - OBJECTIVE: This study tested whether global and regional brain volumes correlated
with body mass index (BMI) and increases in BMI over 1-year follow-up. METHODS: A
total of 83 young females (M age=18.4, s.d.=2.8; BMI range=17.3-38.9) were
scanned using magnetic resonance imaging. Voxel-based morphometry was used to
assess global brain volume and regional gray matter (GM) and white matter (WM)
volumes in regions implicated in taste, reward and inhibitory control. RESULTS:
Obese participants had less total GM volume than lean and overweight
participants. Obese participants had lower total WM volume than overweight
participants. BMI correlated with higher WM volumes in the middle temporal gyrus,
fusiform gyrus, parahippocampal gyrus, Rolandic operculum and dorsal striatum.
Trend-level reduced GM volumes in the superior frontal gyrus and middle frontal
gyrus were related to increases in BMI over 1-year follow-up. CONCLUSION:
Findings suggest that BMI is related to global and regional differences in brain
matter volume in female adolescents. Most importantly, findings suggest that low
GM volume in regions implicated in inhibitory control are related to future
weight gain. Results taken in conjunction with prior findings suggest that
abnormalities in regional GM volumes, but not WM volumes, increase the risk for
future weight gain and abnormalities in regional WM volumes, but not GM volumes,
are secondary to weight gain.
PMID- 21894162
TI - Induction of fatty acid oxidation resists weight gain, ameliorates hepatic
steatosis and reduces cardiometabolic risk factors.
AB - OBJECTIVE: Fatty acid oxidation has been implicated in amelioration of obesity by
burning off excessive accumulated lipid. BPR697, a peripheral cannabinoid
receptor 1 (CB1) antagonist, elevated fat oxidation without added energy
expenditure. Its impact on food intake, body weight changes and metabolic
alterations were examined in rats fed standard chow and in diet-induced obesity
(DIO) mice. MATERIALS AND METHODS: CB1 agonist-induced hypothermia and analgesia
responses were measured to examine the brain activity of BPR697. The acute
effects of BPR697 on food intake, body weight change and post-absorptive
metabolic profiles were investigated in rats. Energy utilization with BPR697 was
examined by indirect calorimetry. Chronic treatment of DIO mice was used to
evaluate the long-term effects of BPR697. RESULTS: Distribution of BPR697 was
significantly biased in favor of the periphery instead of the brain, as shown by
its low brain/plasma concentration ratio and confirmed by the negative response
of BPR697 in CB1 agonist-induced hypothermia and analgesia. When administered to
rats at 20 mg kg(-1), BPR697 showed a unique spectrum of effects with significant
weight loss without altered food intake. Furthermore, BPR697 increased serum
levels of free fatty acids and ketone bodies and reduced hepatic lipid
accumulation with preservation of liver glycogen in postprandial rats. Indirect
calorimetric profiling of BPR697 revealed a similar trend, shifting whole-body
energy catabolism toward fat oxidation, but without elevated energy expenditure.
In DIO mice with chronic treatment, animals treated with BPR697 at 20 mg kg(-1)
resisted weight gain and showed a reduction of high-fat-induced cardiometabolic
abnormalities such as hyperglycemia, abdominal fat and liver steatosis.
CONCLUSION: The induction of fatty acid oxidation without concomitant elevation
of energy expenditure by the peripheral CB1 antagonist BPR697 is sufficient to
cause substantial weight loss in chow-fed rats. In the presence of high-dietary
fat intake, BPR697 resists weight gain and alleviates obesity-related
cardiometabolic risk factors.
PMID- 21894163
TI - Determining the optimal method for proteinuria detection in chronic spinal cord
injury.
AB - STUDY DESIGN: A retrospective analysis. OBJECTIVES: The objective of this study
is to determine whether dipstick protein analysis (DSP) or random urine
protein:creatinine ratios (UPC) are accurate in predicting clinical proteinuria
in the chronic spinal cord injury (SCI) population. METHODS: A retrospective
analysis was performed in 219 veterans with SCI, comparing DSP and 24-h urine
protein excretion. Sensitivity, specificity, predictive values (PV) and receiver
operator characteristic (ROC) curves of DSP in predicting clinical proteinuria
were calculated with and without correction for specific gravity (SG). A
prospective study was also performed in 62 SCI patients, comparing the UPC and 24
h urines. Sensitivity, specificity, PV and ROC curves of UPC in predicting
clinical proteinuria were calculated. RESULTS: Any level of positive DSP had high
specificity, but low sensitivity, for detecting the presence of clinical
proteinuria. ROC curves of DSP for identifying clinical proteinuria yielded area
under the curve of 0.749 (95% confidence interval 0.699-0.794), and adjustment
for SG did not significantly improve accuracy. A UPC of <0.3 was sensitive with a
high negative PV for ruling out clinical proteinuria, whereas a ratio >0.8 was
specific with a high positive PV. A UPC between 0.3-0.8 had an intermediate
sensitivity and specificity. CONCLUSION: Urine collections of 24-h are still
needed in the chronic SCI population for accurate detection of clinically
significant proteinuria. DSP may not reliably detect low-grade clinical
proteinuria, whereas a UPC below 0.3 may be used to rule out clinical range
proteinuria.
PMID- 21894164
TI - An evaluation of the muscle-bone unit theory among individuals with chronic
spinal cord injury.
AB - STUDY DESIGN: Cross-sectional observation. OBJECTIVES: To explore the association
between muscle size and function, and indices of bone strength among a sample of
adults with chronic spinal cord injury (SCI). SETTING: Ontario, Canada. METHODS:
Sixty-five participants (n=47 men) with chronic SCI (C1-T12 American Spinal
Injury Association Impairment Scale (AIS) A-D) were recruited, mean+/-s.d. age
49.4+/-12.8 years and years post-injury 14.3+/-10.7. Muscle cross-sectional area
(CSA) and indices of bone strength at the distal tibia and tibia shaft were
measured by peripheral quantitative computed tomography. Muscle CSA was
multiplied by tibia length to obtain muscle-bending moment (MBM), a surrogate of
torque. Plantar flexor components of the lower-extremity motor scores (pf-LEMS)
were used as clinical measures of muscle function. Pearson's correlations (r)
were used to determine the strength of relationships. RESULTS: Correlations were
found between MBM and indices of bone strength at the distal tibia and tibia
shaft (r=0.44-0.56), as well as between pf-LEMS and indices of bone strength at
the distal tibia and tibia shaft (r=0.37-0.71). pf-LEMS had a stronger
association with bone variables at the distal tibia compared with MBM (r=0.6 vs
r=0.4). All relationships between muscle and bone remained significant when
controlling for the duration of injury. CONCLUSION: It appears that lower limb
muscle size and function are more strongly correlated with bone strength indices
at the distal tibia than at the tibia shaft among individuals with SCI. The
relationships between muscle and bone are clinically important, as muscle CSA and
strength (motor scores) are potentially amenable to rehabilitation
intervention(s).
PMID- 21894165
TI - Comparing and contrasting knowledge of pressure ulcer assessment, prevention and
management in people with spinal cord injury among nursing staff working in two
metropolitan spinal units and rehabilitation medicine training specialists in a
three-way comparison.
AB - AIM: To assess for differences in knowledge of pressure ulcer (PU) prevention and
management among nurses working in two metropolitan spinal cord injury (SCI)
units, and between nurses and rehabilitation registrars (doctors). BACKGROUND:
There is anecdotal evidence of wide variation in PU management. An understanding
of current knowledge is fundamental to evidence-based practice implementation.
METHODS: This was a prospective survey, using a multiple choice question format
developed with nurse wound specialists. A total of 10 questions assessed PU
prevention and 10 assessed management. It was distributed to nurses working at
the spinal units and rehabilitation registrars. The results from the groups were
analysed for similarities and differences using one- and two-way analysis of
variance (ANOVA) tests and tests for significance of specific linear combinations
of group means. RESULTS: The response rate was 79% (19/24) and 71% (20/ 28) from
the two SCI units, and 46% (13/28) from doctors. Doctors performed better than
nurses on prevention questions (P<0.005) but worse on management (P<0.05). There
was a significant difference in management knowledge (P<0.001) between nurses
working in the two units but not in prevention knowledge (P<0.5) and
interestingly years of experience did not correlate with performance (P<0.2 for
prevention and P<0.5 for management questions). CONCLUSIONS: Rehabilitation
registrars score better in prevention questions, but poorer in management
questions, which reflects academic rather than experiential knowledge. There are
also differences in management knowledge among nurses, based on work area rather
than years of experience. Although knowledge does not necessarily reflect
practice, this calls for better standardisation and implementation of wound
management pathways.
PMID- 21894166
TI - Correlation of heart rate at lactate minimum and maximal lactate steady state in
wheelchair-racing athletes.
AB - STUDY DESIGN: Prospective, experimental study. OBJECTIVE: To investigate whether
the heart rate (HR) at lactate minimum (LMHR)determined by means of a HR-guided
lactate minimum test (LMT) corresponds to the HR at maximal lactate steady state
(MLSS) in wheelchair-racing athletes. SETTING: Institute of Sports Medicine.
METHODS: Eight well-trained wheelchair-racing athletes (mean age: 33+/-12 years;
height: 169+/-12 cm; body mass: 59+/-11 kg; VO(2peak): 2.76+/-0.73 l min(-1))
completed a HR-guided LMT on a treadmill in their own racing wheelchair.
Subsequently, exercise intensity at MLSS and corresponding HR were determined by
means of several endurance tests on different days. RESULTS: All measured
parameters (HR, speed, blood lactate, oxygen consumption and rating of perceived
exertion) revealed significantly higher values at MLSS compared with values at
lactate minimum (LM). However, there were highly significant correlations
(r=0.914, P=0.002) between LMHR and HR at MLSS (163+/-6 versus 172+/-7 b.p.m.) as
well as for speed (r=0.935, P=0.001) and blood lactate (r=0.944, P<0.001) at LM
versus MLSS. The correlation for oxygen consumption (r=0.798, P=0.018) at LM
versus MLSS was lower yet significant. CONCLUSIONS: There exists a close
relationship between LMHR and HR at MLSS in wheelchair racing. This allows the
prediction of MLSS based on a single exercise test in this special group of
athletes. For practical use during daily training, routine HR at MLSS can be
assumed to be 8-9 b.p.m. above the LMHRin wheelchair-racing athletes.
PMID- 21894167
TI - Biotechnology: metal-like conductivity in microbial nanowires.
PMID- 21894168
TI - Symbiosis: market economics in plant-fungus relationships.
PMID- 21894170
TI - Bacterial secretion: coupled translation of effector-chaperone partners.
PMID- 21894169
TI - Under the sea: microbial life in volcanic oceanic crust.
AB - Exploration of the microbiology in igneous, 'hard rock' oceanic crust represents
a major scientific frontier. The igneous crust harbours the largest aquifer
system on Earth, most of which is hydrologically active, resulting in a
substantial exchange of fluids, chemicals and microorganisms between oceanic
basins and crustal reservoirs. Study of the deep-subsurface biosphere in the
igneous crust is technically challenging. However, technologies have improved
over the past decade, providing exciting new opportunities for the study of deep
seated marine life, including in situ and cross-disciplinary experimentation in
microbiology, geochemistry and hydrogeology. In this Progress article, we
describe the recent advances, available technology and remaining challenges in
the study of the marine intraterrestrial microbial life that is harboured in
igneous oceanic crust.
PMID- 21894171
TI - Blk haploinsufficiency impairs the development, but enhances the functional
responses, of MZ B cells.
AB - Blk was identified two decades ago as a B-cell-specific member of the Src family
of tyrosine kinases. Recent studies, however, have discovered that Blk is
expressed in many cell types outside of the B lineage, including early thymic
precursors, interleukin-17-producing gammadelta T cells and pancreatic beta
cells. In light of these recent discoveries, we performed a more comprehensive
analysis of Blk expression patterns in hematopoietic cells and found that Blk is
differentially expressed in mature B-cell subsets, with marginal zone (MZ) B
cells expressing high levels, B1 B cells expressing intermediate-to-high levels
and follicular (FO) B cells expressing low levels of Blk. To determine whether
these differences in Blk expression levels reflected differential requirements
for Blk in MZ, B1 and FO B-cell development, we analyzed the effects of reducing
and eliminating Blk expression on B-cell development. We report that both Blk
haploinsufficiency and Blk deficiency impaired the generation of MZ B cells.
Moreover, although there were fewer MZ B cells in Blk(+/-) and Blk(-/-) mice as
compared with Blk(+/+) mice, Blk-mutant MZ B cells were hyper-responsive to B
cell receptor stimulation, both in vitro and in vivo. Thus, this study has
revealed a previously unappreciated role for Blk in the development and
activation of MZ B cells.
PMID- 21894172
TI - Immunoglobulin light chain, Blimp-1 and cytochrome P4501B1 peptides as potential
vaccines for AL amyloidosis.
AB - Amyloid light chain (AL) amyloidosis is a lethal disorder characterized by the
pathologic deposition of clonal plasma cell-derived, fibrillogenic immunoglobulin
light chains in vital organs. Current chemotherapeutic regimens are problematic
in patients with compromised organ function and are not effective for all
patients. Here, a platform of computer-based prediction and preclinical mouse
modeling was used to begin development of a complementary, immunotherapeutic
approach for AL amyloidosis. Three peptide/MHC I-binding algorithms identified
immunogenic peptides from three AL plasma cell-associated proteins: (1)
amyloidogenic lambda6 light chains, (2) CYP1B1, a universal tumor antigen hyper
expressed in AL plasma cells and (3) B lymphocyte-induced maturation protein 1
(Blimp-1), a transcription factor required for plasma cell differentiation. The
algorithms correctly predicted HLA-A(*)0201-binding native and heteroclitic
peptides. In HLA-A2 transgenic mice, these peptides, given individually or in
combination, induced potent CTL which kill peptide-loaded human lymphoma cells
and/or lymphoma cells producing target protein. Blimp-1 peptide-immunized mice
exhibited a reduced percentage of splenic, lymph node and bone marrow plasma
cells and a decrease in the absolute number of splenic plasma cells demonstrating
(1) presentation of target peptide by endogenous plasma cells and (2) appropriate
CTL homing to lymphoid organs followed by killing of target plasma cells. These
studies suggest that AL amyloidosis, with its relatively low tumor cell burden,
may be an attractive target for peptide-based multivalent vaccines.
PMID- 21894174
TI - Preoperative weight change and risk of adverse outcome following radical
prostatectomy: results from the Shared Equal Access Regional Cancer Hospital
database.
AB - BACKGROUND: We examined the relationship between weight change in the year before
radical prostatectomy (RP) and biochemical recurrence (BCR) and adverse
pathology. METHODS: We abstracted data from 359 men undergoing RP in the SEARCH
(Shared Equal Access Regional Cancer Hospital) database between 2001-2007.
Logistic regression and Cox proportional hazards models were used to test the
association between weight change in the year before surgery and adverse
pathology and BCR, respectively. RESULTS: In all, 152 (42%) men gained weight,
193 (54%) lost weight and 14 (4%) had the same weight. Among weight gainers,
median gain was 2.4 kg and among weight losers, median loss was 2.7 kg. As a
continuous variable, weight change was not associated with adverse pathology or
BCR (all P>0.05). In secondary analysis, on multivariate analysis, men gaining >=
2.5 kg were at higher BCR risk (hazards ratio=1.65, 95% confidence interval (CI):
1.03-2.64, P=0.04) while weight loss >= 2.5 kg was not associated with BCR
(hazards ratio=0.83, 95% CI: 0.54-1.29, P=0.41). CONCLUSIONS: As a continuous
variable, weight change was not associated with outcome. In secondary hypothesis
generating analyses, weight gain >= 2.5 kg in the year before surgery, regardless
of final body mass index, was associated with increased BCR following RP. If
validated, these data suggest weight gain >= 2.5 kg may promote prostate cancer
progression.
PMID- 21894173
TI - ISCOMATRIX vaccines mediate CD8+ T-cell cross-priming by a MyD88-dependent
signaling pathway.
AB - Generating a cytotoxic CD8(+) T-cell response that can eradicate malignant cells
is the primary objective of cancer vaccine strategies. In this study we have
characterized the innate and adaptive immune response to the ISCOMATRIX adjuvant,
and the ability of vaccine antigens formulated with this adjuvant to promote
antitumor immunity. ISCOMATRIX adjuvant led to a rapid innate immune cell
response at the injection site, followed by the activation of natural killer and
dendritic cells (DC) in regional draining lymph nodes. Strikingly, major
histocompatibility complex (MHC) class I cross-presentation by CD8alpha(+) and
CD8alpha(-) DCs was enhanced by up to 100-fold when antigen was formulated with
ISCOMATRIX adjuvant. These coordinated features enabled efficient CD8(+) T-cell
cross-priming, which exhibited prophylactic and therapeutic tumoricidal activity.
The therapeutic efficacy of an ISCOMATRIX vaccine was further improved when co
administered with an anti-CD40 agonist antibody, suggesting that ISCOMATRIX-based
vaccines may combine favorably with other immune modifiers in clinical
development to treat cancer. Finally, we identified a requirement for the myeloid
differentiation primary response gene 88 (MyD88) adapter protein for both innate
and adaptive immune responses to ISCOMATRIX vaccines in vivo. Taken together, our
findings support the utility of the ISCOMATRIX adjuvant for use in the
development of novel vaccines, particularly those requiring strong CD8(+) T-cell
immune responses, such as therapeutic cancer vaccines.
PMID- 21894175
TI - Bisphosphonate therapy in patients under androgen deprivation therapy for
prostate cancer: a systematic review and meta-analysis.
AB - The objective of this study was to clarify the role of bisphosphonates in the
treatment of osteoporosis in patients with prostate adenocarcinoma under androgen
deprivation therapy (ADT). The Medline, EMBASE, Cancerlit and the American
Society of Clinical Oncology abstract databases were searched for published
randomized, placebo-controlled trials evaluating the usage of bisphosphonates in
patients with prostate cancer (PC) under ADT. The outcomes assessed were
fracture, osteoporosis, incidence of adverse events and changes in bone mineral
density (BMD) during treatment. A total of 15 articles (2634 participants) were
included in the meta-analysis. Treatment with bisphosphonates showed a
substantial effect in preventing fractures (risk ratio (RR), 0.80; P = 0.005) and
osteoporosis (RR, 0.39; P <0.00001). Zoledronic acid showed the best number
needed to treat (NTT), compared with placebo, in relation to fractures and
osteoporosis (NNT = 14.9 and NNT = 2.68, respectively). The between-group
difference (bisphosphonates vs placebo) in the lumbar spine and femoral neck BMD
were 5.18 +/- 3.38% and 2.35 +/- 1.16%, respectively. This benefit of bone loss
prevention could be reached without major side effects (cardiovascular or
gastrointestinal events). Bisphosphonates are effective in preventing bone loss
in patients with PC who are under ADT.
PMID- 21894176
TI - The association of diabetes and positive prostate biopsy in a US veteran
population.
AB - BACKGROUND: Several studies have shown a protective effect of diabetes mellitus
(DM) on incidence of prostate cancer; however, the data are not consistent.
Moreover, whether or not DM is associated with a positive result among patients
referred for prostate biopsy due to abnormal PSA and/or abnormal digital rectal
examination is not clear. METHODS: A retrospective review of 3162 consecutive men
who underwent prostate biopsy between January 2000 and July 2009 at the Atlanta
Veterans Affairs Medical Center was performed. Men with positive and negative
biopsies were compared for various demographic and clinical factors. The data
were analyzed using logistic regression models with results expressed as adjusted
odds ratios (ORs) with corresponding 95% confidence intervals (CI). RESULTS: DM
was associated with increased odds of positive biopsy in the overall cohort (OR
1.26, 95% CI: 1.01-1.55; P = 0.04). Subjects with DM had higher odds of more
aggressive disease (Gleason >= 7) than those without the condition (OR 1.31, 95%
CI: 0.98-1.74; P = 0.07). Race had no significant impact on these results.
CONCLUSIONS: In this large series of prostate biopsies, diabetes is associated
with higher odds of positive biopsy and higher Gleason grade. More studies
investigating the role of DM and its associated comorbidities in prostate
carcinogenesis are needed.
PMID- 21894177
TI - Interventional cardiology: How should the appropriateness of PCI be judged?
PMID- 21894178
TI - Atrial fibrillation: Strict rate control unnecessary in AF?
PMID- 21894179
TI - Heart failure: Cardiac myosin activator shows promise in phase II trial.
PMID- 21894180
TI - Prostate cancer: Unmeasured variables may confound the association between
androgen deprivation therapy and cardiovascular risk.
PMID- 21894182
TI - Mineral metabolism: High phosphate associated with renal disease progression.
PMID- 21894181
TI - Hemodialysis in children with end-stage renal disease.
AB - Although renal transplantation remains the most common treatment for children
with end-stage renal disease (ESRD), the majority of children incident to ESRD
receive dialytic therapy before receiving a renal allograft. Advances in the past
decade have led to improved outcomes for children receiving maintenance
hemodialysis, the majority of whom survive into adulthood. Medical, surgical,
nutritional and psychosocial factors must be considered to provide optimal
maintenance hemodialysis for children. In this Review, we discuss the various
aspects of providing optimal hemodialysis to children, including vascular access,
nutritional status, clearance targets, medications and assessment of health
related quality of life.
PMID- 21894183
TI - Anemia in children with chronic kidney disease.
AB - Anemia is a common comorbidity in children with chronic kidney disease (CKD).
This condition is associated with multiple adverse clinical consequences and its
management is a core component of nephrology care. Increased morbidity and
mortality, increased risk of cardiovascular disease and decreased quality of life
have been associated with anemia of CKD in children. Although numerous complex
factors interact in the development of this anemia, erythropoietin deficiency and
iron dysregulation (including iron deficiency and iron-restricted erythropoiesis)
are the primary causes. In addition to iron supplementation, erythropoietin
stimulating agents (ESAs) can effectively treat this anemia, but there are
important differences in ESA dose requirements between children and adults. Also,
hyporesponsiveness to ESA therapy is a common problem in children with CKD.
Although escalating ESA doses to target increased hemoglobin values in adults has
been associated with adverse outcomes, no studies have demonstrated this
association in children. The question of appropriate target hemoglobin levels in
children, and the approach by which to achieve these levels, remains under
debate. Randomized, controlled studies are needed to evaluate whether
normalization of hemoglobin concentrations is beneficial to children, and whether
this practice is associated with increased risks.
PMID- 21894184
TI - Dialysis: Minocycline-EDTA lock reduces bacteremia in dialysis catheters.
PMID- 21894185
TI - Critical care: estimating renal function in the ICU: even more challenging.
PMID- 21894187
TI - Dialysis: Survival differences in patients on dialysis--not just black and white.
PMID- 21894189
TI - Argonaute-2 regulates the proliferation of adult stem cells in planarian.
PMID- 21894190
TI - FADD: an endogenous inhibitor of RIP3-driven regulated necrosis.
PMID- 21894191
TI - Specific lectin biomarkers for isolation of human pluripotent stem cells
identified through array-based glycomic analysis.
AB - Rapid and dependable methods for isolating human pluripotent stem cell (hPSC)
populations are urgently needed for quality control in basic research and in cell
based therapy applications. Using lectin arrays, we analyzed glycoproteins
extracted from 26 hPSC samples and 22 differentiated cell samples, and identified
a small group of lectins with distinctive binding signatures that were sufficient
to distinguish hPSCs from a variety of non-pluripotent cell types. These specific
biomarkers were shared by all the 12 human embryonic stem cell and the 14 human
induced pluripotent stem cell samples examined, regardless of the laboratory of
origin, the culture conditions, the somatic cell type reprogrammed, or the
reprogramming method used. We demonstrated a practical application of specific
lectin binding by detecting hPSCs within a differentiated cell population with
lectin-mediated staining followed by fluorescence microscopy and flow cytometry,
and by enriching and purging viable hPSCs from mixed cell populations using
lectin-mediated cell separation. Global gene expression analysis showed
pluripotency-associated differential expression of specific fucosyltransferases
and sialyltransferases, which may underlie these differences in protein
glycosylation and lectin binding. Taken together, our results show that protein
glycosylation differs considerably between pluripotent and non-pluripotent cells,
and demonstrate that lectins may be used as biomarkers to monitor pluripotency in
stem cell populations and for removal of viable hPSCs from mixed cell
populations.
PMID- 21894192
TI - A network-based gene-weighting approach for pathway analysis.
AB - Classical algorithms aiming at identifying biological pathways significantly
related to studying conditions frequently reduced pathways to gene sets, with an
obvious ignorance of the constitutive non-equivalence of various genes within a
defined pathway. We here designed a network-based method to determine such non
equivalence in terms of gene weights. The gene weights determined are
biologically consistent and robust to network perturbations. By integrating the
gene weights into the classical gene set analysis, with a subsequent correction
for the "over-counting" bias associated with multi-subunit proteins, we have
developed a novel gene-weighed pathway analysis approach, as implemented in an R
package called "Gene Associaqtion Network-based Pathway Analysis" (GANPA).
Through analysis of several microarray datasets, including the p53 dataset,
asthma dataset and three breast cancer datasets, we demonstrated that our
approach is biologically reliable and reproducible, and therefore helpful for
microarray data interpretation and hypothesis generation.
PMID- 21894188
TI - Pharmacology, efficacy and safety of oral phosphate binders.
AB - The ideal serum level of phosphate in patients on dialysis, and the benefits of
controlling levels of phosphate in serum remain unclear despite observational
studies that associate phosphate levels with mortality. In the absence of robust
data from trials, current guidelines are necessarily based on opinion. Oral
phosphate binders are required by the majority of patients on dialysis, and all
of these binders can control serum levels of phosphate to similar degrees.
Patient preference and adherence to prescribed therapy is at least as important
as the efficacy of the prescribed binder. Avoidance of calcium-containing binders
has become accepted practice where the alternatives are affordable, but
incontrovertible evidence in favor of this approach is lacking. Use of sevelamer
and lanthanum avoids calcium loading, but at considerable financial cost and with
no reliable patient outcome data to prove their value. Additional approaches to
aid control of serum levels of phosphate include blockade of gastrointestinal
phosphate absorption and possibly binding of salivary phosphate. Importantly, the
role of phosphate control in determining patient outcomes must be quantified,
which is likely to require a large randomized, controlled study of two levels of
phosphate control. Without such a study we will continue to rely on observational
data with all its uncertainties and potential to mislead.
PMID- 21894194
TI - IBD: Intestinal inflammation affected by density of enteric neurons.
PMID- 21894193
TI - Arabidopsis phosphatidylinositol monophosphate 5-kinase 2 is involved in root
gravitropism through regulation of polar auxin transport by affecting the cycling
of PIN proteins.
AB - Phosphatidylinositol monophosphate 5-kinase (PIP5K) catalyzes the synthesis of PI
4,5-bisphosphate (PtdIns(4,5)P(2)) by phosphorylation of PI-4-phosphate at the 5
position of the inositol ring, and is involved in regulating multiple
developmental processes and stress responses. We here report on the functional
characterization of Arabidopsis PIP5K2, which is expressed during lateral root
initiation and elongation, and whose expression is enhanced by exogenous auxin.
The knockout mutant pip5k2 shows reduced lateral root formation, which could be
recovered with exogenous auxin, and interestingly, delayed root gravity response
that could not be recovered with exogenous auxin. Crossing with the DR5-GUS
marker line and measurement of free IAA content confirmed the reduced auxin
accumulation in pip5k2. In addition, analysis using the membrane-selective dye
FM4-64 revealed the decelerated vesicle trafficking caused by PtdIns(4,5)P(2)
reduction, which hence results in suppressed cycling of PIN proteins (PIN2 and
3), and delayed redistribution of PIN2 and auxin under gravistimulation in pip5k2
roots. On the contrary, PtdIns(4,5)P(2) significantly enhanced the vesicle
trafficking and cycling of PIN proteins. These results demonstrate that PIP5K2 is
involved in regulating lateral root formation and root gravity response, and
reveal a critical role of PIP5K2/PtdIns(4,5)P(2) in root development through
regulation of PIN proteins, providing direct evidence of crosstalk between the
phosphatidylinositol signaling pathway and auxin response, and new insights into
the control of polar auxin transport.
PMID- 21894195
TI - Surgery: Fast-track colonic surgery and the 'knowing-doing' gap.
PMID- 21894196
TI - New imaging techniques and opportunities in endoscopy.
AB - Gastrointestinal endoscopy is undergoing major improvements, which are driven by
new available technologies and substantial refinements of optical features. In
this Review, we summarize available and evolving imaging technologies that could
influence the clinical algorithm of endoscopic diagnosis. Detection,
characterization and confirmation are essential steps required for proper
endoscopic diagnosis. Optical and nonoptical methods can help to improve each
step; these improvements are likely to increase the detection rate of neoplasias
and reduce unnecessary endoscopic treatments. Furthermore, functional and
molecular imaging are emerging as new diagnostic tools that could provide an
opportunity for personalized medicine, in which endoscopy will define disease
outcome or predict the response to targeted therapy.
PMID- 21894198
TI - IBD: Regular, prolonged aspirin use and an increased risk of Crohn's disease.
PMID- 21894197
TI - Phytochemicals and colorectal cancer prevention--myth or reality?
AB - Chemoprevention of colorectal cancer has been the focus of intensive research for
more than two decades. Epidemiological evidence has shown a small, but
significant association between fruit and vegetable intake and a reduction in
colorectal cancer risk. In vitro and animal data have also demonstrated that many
dietary phytochemicals have potent chemopreventive activities. However, in
humans, single-agent compounds have yielded conflicting results. A key concept is
that dietary phytochemicals exert beneficial effects at low concentrations when
working in synergy with each other. As the gut microflora evolved in an
environment rich in dietary fiber and phytochemicals, the rapid shift towards a
Western diet creates an environment in which the gut is more vulnerable to
carcinogens, genetic alterations and inflammation. As enforcing dietary
interventions on large populations is not realistic, we believe future
chemopreventive work should focus on delivering phytochemical mixtures that
target the multiple molecular events involved in colorectal carcinogenesis.
PMID- 21894199
TI - Critical analysis of hot topics in NOTES.
AB - Natural orifice transluminal endoscopic surgery (NOTES) has gained a great deal
of attention from gastroenterologists and surgeons all over the world since its
introduction in 2000. The field of NOTES has advanced tremendously since that
time and exciting and well-designed research has been reported. Both randomized
controlled trials and results from large national and international registries
have been published. Many experimental and clinical studies have discussed
transesophageal, transgastric, transvaginal and transrectal access for a variety
of NOTES procedures. Transvaginal access has been the most frequently reported
NOTES access route in clinical trials. When suitable instruments become
available, a true comparison of NOTES with current laparoscopic approaches can be
realized.
PMID- 21894200
TI - Benign biliary strictures: current endoscopic management.
AB - Endoscopic treatment is the mainstay of therapy for benign billiary strictures,
and surgery is reserved for selected patients in whom endoscopic treatment fails
or is not feasible. The endoscopic approach depends mainly on stricture etiology
and location, and generally involves the placement of one or multiple plastic
stents, dilation of the stricture(s), or a combination of these approaches.
Knowledge of biliary anatomy, endoscopy experience and a well-equipped endoscopy
unit are necessary for the success of endoscopic treatment. This Review discusses
the etiologies of benign biliary strictures and different endoscopic therapies
and their respective outcomes. Data on newer therapies, such as the placement of
self-expandable metal stents, and the treatment of biliary-enteric anastomotic
strictures is also reviewed.
PMID- 21894201
TI - Endoscopic management of Barrett esophagus.
AB - Endoscopy has a vital role in the diagnosis, screening, surveillance and
treatment of Barrett esophagus. Over the past few decades, tremendous advances
have been made in endoscopic technology, and the management of dysplasia and
early cancer in Barrett esophagus has changed radically from being surgical to
organ-sparing endoscopic therapy. Proper endoscopic techniques and systematic
biopsy protocols improve dysplasia detection, and endoscopic surveillance
improves outcomes in patients with Barrett esophagus and dysplasia. Endoscopic
treatment can be tissue acquiring (as in endoscopic mucosal resection and
endoscopic submucosal dissection) or ablative (as with photodynamic therapy,
radiofrequency ablation and cryotherapy). Treatment is usually multimodal,
combining endoscopic resection of visible lesions with one or more mucosal
ablation techniques, followed by long-term surveillance. Such treatment is safe
and effective. Shared decision-making between the patient and physician is
important while considering treatment for dysplasia in Barrett esophagus. Issues
such as durability of response, importance of subsquamous Barrett epithelium and
the optimal management strategy in patients with low-grade dysplasia and
nondysplastic Barrett esophagus need to be studied further. Development of safer
wide-field resection techniques, which would effectively remove all Barrett
esophagus and obviate the need for long-term surveillance, is needed.
PMID- 21894203
TI - Liver: Targeted gene silencing could prevent liver ischemia-reperfusion injury.
PMID- 21894202
TI - Colonoscopy: basic principles and novel techniques.
AB - Colonoscopy is considered the 'gold standard' for detection and removal of
premalignant lesions in the colon. However, studies suggest that colonoscopy is
less protective for right-sided than for left-sided colorectal cancer. Optimizing
the effectiveness of colonoscopy is a continuous process, and during the past
decade several important quality indicators have been defined that can be used to
measure the performance of colonoscopy and to identify areas for quality
improvement. The quality of bowel preparation can be enhanced by split-dose
regimens, which are superior to single-dose regimens. Cecal intubation rates
should approximate 95% and can be optimized by good technique. In selected
patients, specific devices can be used to facilitate cecal intubation. Adenoma
detection rates should be monitored and exceed a minimum of 25% in men and 15% in
women. To this aim, optimal withdrawal technique and adequate time for inspection
are of utmost importance. Of all advanced imaging techniques, chromoendoscopy is
the only technique with proven benefit for adenoma detection. Finally, the
technique of polypectomy affects the number of complications as well as the
success of completely removing a lesion. In this Review, we provide an overview
of both standard and novel colonoscopy techniques and their impact on quality
indicators.
PMID- 21894205
TI - Chemotherapy: Advanced Hodgkin lymphoma--balancing toxicity and cure.
PMID- 21894206
TI - Chemotherapy: Cisplatin more effective when given less often.
PMID- 21894207
TI - Targeted therapies: Should we be aghast at the AVAGAST data?
PMID- 21894208
TI - Do autoantibodies to C3 exacerbate autoimmunity in SLE?
PMID- 21894209
TI - IL-20 blockade to block bone loss?
PMID- 21894211
TI - Epilepsy: extract from human stem cells shows promise in mouse model of epilepsy.
PMID- 21894210
TI - Mediators of the inflammatory response to joint replacement devices.
AB - Joint replacement surgery is one of the success stories of modern medicine,
restoring mobility, diminishing pain and improving the overall quality of life
for millions of people. Unfortunately, wear of these prostheses over time
generates debris, which activates an innate immune response that can ultimately
lead to periprosthetic resorption of bone (osteolysis) and failure of the
implant. Over the past decade, the biological interactions between the
particulate debris from various implant materials and the immune system have
begun to be better understood. The wear debris induces a multifaceted immune
response encompassing the generation of reactive oxygen species and damage
associated molecular patterns, Toll-like receptor signaling and NALP3
inflammasome activation. Acting alone or in concert, these events generate
chronic inflammation, periprosthetic bone loss and decreased osteointegration
that ultimately leads to implant failure.
PMID- 21894213
TI - Diabetes: brain, mind, insulin--what is normal and do we need to know?
PMID- 21894212
TI - Cholesterol metabolism in Huntington disease.
AB - The CNS is rich in cholesterol, which is essential for neuronal development and
survival, synapse maturation, and optimal synaptic activity. Alterations in brain
cholesterol homeostasis are linked to neurodegeneration. Studies have
demonstrated that Huntington disease (HD), a progressive and fatal
neurodegenerative disorder resulting from polyglutamine expansion in the
huntingtin protein, is associated with changes in cellular cholesterol
metabolism. Emerging evidence from human and animal studies indicates that
attenuated brain sterol synthesis and accumulation of cholesterol in neuronal
membranes represent two distinct mechanisms occurring in the presence of mutant
huntingtin that influence neuronal survival. Increased knowledge of how changes
in intraneuronal cholesterol metabolism influence the pathogenesis of HD will
provide insights into the potential application of brain cholesterol regulation
as a therapeutic strategy for this devastating disease.
PMID- 21894217
TI - A new twist in actin filament nucleation.
PMID- 21894214
TI - Antiresorptive therapies for osteoporosis: a clinical overview.
AB - Antiresorptive therapies are used to increase bone strength in individuals with
osteoporosis and include five principal classes of agents: bisphosphonates,
estrogens, selective estrogen receptor modulators (SERMs), calcitonin and
monoclonal antibodies such as denosumab. However, no head-to-head studies have
compared different antiresorptive agents using fracture as an end point.
Bisphosphonates, which have proven antifracture efficacy and a good safety
profile, are the most widely used first-line antiresorptive therapy and are
recommended for patients with osteoporosis, a prior fragility fracture or
osteopenia, as well as individuals with a high risk of fracture. Denosumab, which
also has good antifracture efficacy, is another possible first-line therapy,
although long-term safety data are lacking. However, no single antiresorptive
therapy is currently appropriate for all patients or clearly superior to other
therapies. Antiresorptive agents such as estrogens, SERMs (in postmenopausal
women) and calcitonin are considered to be second-line agents that are
appropriate in special circumstances. Clinicians should determine the most
appropriate pharmacological therapy after a careful assessment of the
risk:benefit profiles of these drugs in each patient. In addition, patients
should receive a detailed explanation of the treatment goals, so that the
therapeutic benefit can be maximized through good compliance and persistence.
PMID- 21894215
TI - A patient with a large recurrent pheochromocytoma demonstrating the pitfalls of
diagnosis.
AB - BACKGROUND: A 59-year-old man presented for a follow-up, 6 years after surgery
for a large pheochromocytoma. He had suffered from diabetes mellitus,
hypertension and abdominal pain in the right flank region. Previous postoperative
follow-up did not reveal tumor recurrence. INVESTIGATION: Measurement of plasma
free metanephrine and normetanephrine by high-performance liquid chromatography
and radioimmunoassay; 123I-metaiodobenzylguanidine (MIBG) scintigraphy; hybrid
123I-MIBG single-photon emission CT (SPECT)-CT; MRI; testing for plasma
norepinephrine and epinephrine; intraoperative ultrasonography; histological
staining for chromogranin A and synaptophysin; and postoperative 18F
dihydroxyphenylalanine (DOPA) PET scan. DIAGNOSIS: Recurrent pheochromocytoma.
MANAGEMENT: Laparotomy with tumor resection. Reduction of antihypertensive
medications. Further follow-up by MRI, hybrid 123I-MIBG SPECT-CT and testing for
plasma catecholamines and free metanephrines.
PMID- 21894225
TI - Gastroesophageal reflux symptoms and comorbid asthma and posttraumatic stress
disorder following the 9/11 terrorist attacks on World Trade Center in New York
City.
AB - OBJECTIVES: Excess gastroesophageal reflux disease (GERD) was reported in several
populations exposed to the September 11 2001 (9/11) terrorist attacks on the
World Trade Center (WTC). We examined new onset gastroesophageal reflux symptoms
(GERS) since 9/11 and persisting up to 5-6 years in relation to 9/11-related
exposures among the WTC Health Registry enrollees, and potential associations
with comorbid asthma and posttraumatic stress disorder (PTSD). METHODS: This is a
retrospective analysis of 37,118 adult enrollees (i.e., rescue/recovery workers,
local residents, area workers, and passersby in lower Manhattan on 9/11) who
reported no pre-9/11 GERS and who participated in two Registry surveys 2-3 and 5
6 years after 9/11. Post-9/11 GERS (new onset since 9/11) reported at first
survey, and persistent GERS (post-9/11 GERS reported at both surveys) were
analyzed using log-binomial regression. RESULTS: Cumulative incidence was 20% for
post-9/11 GERS and 13% for persistent GERS. Persistent GERS occurred more often
among those with comorbid PTSD (24%), asthma (13%), or both (36%) compared with
neither of the comorbid conditions (8%). Among enrollees with neither asthma nor
PTSD, the adjusted risk ratio (aRR) for persistent GERS was elevated among:
workers arriving at the WTC pile on 9/11 (aRR=1.6; 95% confidence interval (CI)
1.3-2.1) or working at the WTC site > 90 days (aRR=1.6; 1.4-2.0); residents
exposed to the intense dust cloud on 9/11 (aRR=1.5; 1.0-2.3), or who did not
evacuate their homes (aRR=1.7; 1.2-2.3); and area workers exposed to the intense
dust cloud (aRR=1.5; 1.2-1.8). CONCLUSIONS: Disaster-related environmental
exposures may contribute to the development of GERS. GERS may be accentuated in
the presence of asthma or PTSD.
PMID- 21894226
TI - Once-daily dosing vs. conventional dosing schedule of mesalamine and relapse of
quiescent ulcerative colitis: systematic review and meta-analysis.
AB - OBJECTIVES: Maintenance therapy with 5-aminosalicylates (5-ASAs) is recommended
in patients with quiescent ulcerative colitis (UC), but compliance rates are low.
Once-daily dosing may improve adherence, but impact on the relapse of disease
activity is unclear as no previous meta-analysis has studied this issue. METHODS:
MEDLINE, EMBASE, and the Cochrane central register of controlled trials were
searched (through April 2011). Eligible randomized controlled trials (RCTs)
recruited adults with quiescent UC, and compared once-daily dosing of 5-ASAs with
a more frequent dosing schedule of an identical total daily dose of the same 5
ASA drug. Minimum treatment duration was 6 months. Trials reported a dichotomous
assessment of relapse of disease activity at last point of follow-up. Data
concerning non-compliance and adverse events were extracted, where reported.
Effect of once-daily vs. more frequent dosing schedule was reported as relative
risk (RR) of relapse with a 95% confidence interval (CI). RESULTS: The search
identified 3,061 citations, and seven RCTs containing 2,745 patients were
eligible. All RCTs used mesalamine. Relapse rates were not significantly
different between once-daily and conventional dosing schedules for mesalamine (RR
of relapse=0.94; 95% CI: 0.82-1.08). Non-compliance (RR=0.87; 95% CI: 0.46-1.66)
and adverse events were no more likely with once-daily dosing (RR=1.08; 95% CI:
0.97-1.20). CONCLUSIONS: Once-daily dosing with mesalamine is as effective as
conventional dosing schedules for the prevention of relapse of quiescent UC,
although there is no definitive evidence that compliance with once-daily dosing
is better. Adverse events occur at a similar frequency.
PMID- 21894227
TI - [Skin tags and Acanthosis nigricans: association with insulin resistance and
overweight in Mexican children].
AB - BACKGROUND: Childhood overweight and obesity are a notorious health problem
around the world that may lead to an increase in insulin resistance and metabolic
syndrome. Acanthosis nigricans (AC) and skin tags (ST) are skin markers that can
help to obtain an early diagnose of these metabolic disorders. OBJECTIVE: To
determine whether such skinmarkers (ST and AN), correlate with insulin resistance
based on the assessment of the homeostasis model of insulin resistance (HOMA-IR),
and also with overweight and obesity. METHODS: Weight, height and body mass index
were obtained and accordingly to CDC based on percentiles, and classification was
made as follows: underweight, healthy weight, overweight and obesity. Beside
insulin, glucose, and HOMA-IR were assessed. RESULTS: We included 186 patients
age range 6-14 yr, 89 female, 97 male, 10 with underweight, 148 healthy weight,
18 overweight, 10 obese; 18 children presented ST and 29 AN. We found a
relationship between the presence of ST and AN with higher BMI and insulin
resistance. CONCLUSIONS: The presence of skin tags and Acanthosis nigricans
correlates with the presence of insulin resistance in children even in healthy
weight patients and higher BMI.
PMID- 21894228
TI - [Estimating the incidence of 2009 pandemic influenza A(H1N1) among IMSS
affiliates].
AB - INTRODUCTION: The purpose of this study is to estimate the burden of the disease
associated to pandemic 2009 influenza virus, from April 2009 to January 2010.
METHODS: To estimate the number of symptomatic cases, the number of
hospitalizations and deaths we used the Center for Disease Control (CDC)
recommended method that takes into account the underestimation associated with
the use of health services, the practices of confirmation and registration of
cases.To estimate the incidence of infection, we applied the recently reported
London sero-incidence by age group to the IMSS population. RESULTS: Each case of
symptomatic confirmed influenza represented 51 cases during the first wave and 18
in the second wave. We estimate 537,167 (range 378,439-813,008) symptomatic
cases. Each confirmed hospitalized person represented 2.2 cases. The estimate of
hospitalizations was 10,063 (range 7,441-14,610). The ratio of hospitalization to
the total number of cases was 1.8%. The estimated incidence of infection was
close to 24%. CONCLUSIONS: Confirmed cases in the epidemiological surveillance
system are only a small proportion of the population infected and symptomatic
cases, information relevant in planning new outbreaks.
PMID- 21894229
TI - [Knowledge and practices on toxoplasmosis in physicians attending pregnant women
in Durango, Mexico].
AB - OBJECTIVES: To determine the level of knowledge and practices about toxoplasmosis
in physicians attending pregnant women in Durango, Mexico. MATERIALS AND METHODS:
One hundred physicians attending pregnant women in Durango,Mexico were surveyed.
Of them, 67 were general practitioners, 17 family physicians, and 16
obstetricians. They were asked about (i) the parasite Toxoplasma gondii; (ii)
general aspects about toxoplasmosis including clinical manifestations,diagnosis,
treatment, and epidemiology; and (iii) their practices and experiences on
toxoplasmosis. RESULTS: From 66 to 89% (mean 78.5%) of physicians answered
correctly about the parasite; 25 to 63% (mean 46.9%) answered correctly about
clinical manifestations; and 36 to 45% (mean 40.5%) answered correctly about the
serological diagnosis. Only 7% knew about the use of avidity test. Few physicians
(23%) knew what medicaments are used against toxoplasmosis.From 12 to 86% (mean
40.3%) of participants answered correctly about transmission routes and only 7%
got the right answer about susceptibility of infection. Fifty-nine percent of
physicians never requested laboratory tests for detecting toxoplasmosis, and only
31% provide information for preventing infection to all their patients. Sixteen
(16%) physicians had detected at least one case of Toxoplasma infection acquired
during pregnancy. CONCLUSIONS: The physicians surveyed showed an incomplete
knowledge about diagnosis and treatment of toxoplasmosis. Results are useful for
optimal design of strategies in the medical education about toxoplasmosis.
PMID- 21894230
TI - [Prevalence of bacterial infections and patterns of bacterial susceptibility
among patients with fever, neutropenia, and hematological neoplasias].
AB - Chemotherapy induces immunosuppression which is associated with a significant
increase in the frequency and severity of infections. Neutropenia is the most
important factor in determining susceptibility to bacterial infections. Our aim
was to establish the prevalence of bacterial infections and bacterial
susceptibility patterns in patients with fever, neutropenia and hematological
neoplasias. Cultures were obtained prior empirical antimicrobial treatment.
Susceptibility tests to antibiotics were performed for all microorganisms
considered pathogens. Descriptive statistics were used for each variable.
Differences between proportions were estimated by means of chi2 or Fisher's exact
test. We included 85 patients.Primary bacteremia was the most frequent cause of
fever (52%). Microorganisms most frequently isolated were:S. epidermidis (54.2%),
E. coli (12.5%), S. aureus (8.3%). In susceptibility tests 88.5% of S.
epidermidis strains were resistant to oxaciline (MIC > 8 MU/ml); E. coli was
resistant to ceftazidime (50%) and trimethroprim/sulfamethoxazole (83%).In
conclusion, gram-positive microorganisms are predominant in patients with fever
and neutropenia followed by gram-negatives like E. coli. Predominance of gram
positives microorganism forces us to reconsider our current prophylactic and
therapeutic antimicrobials regimens used in these patients.
PMID- 21894231
TI - [Principles and technique of magnetic resonance guided focused ultrasound surgery
(MRgFUS) in the treatment of uterine fibroids].
AB - Uterine fibroids are a significant source of morbidity for women of reproductive
age, and can result in substantial symptoms affecting their quality of life.
Definitive treatment has traditionally been a myomectomy, but increasingly women
are not prepared to undergo such an invasive procedure for a benign and usually
self-limiting condition. Focused ultrasound ablation is one of the least invasive
treatment options outside medical therapy and does not require an
anesthetic.Magnetic resonance guided focused ultrasound (MRgFUS) ablation
combines therapy delivered by an ultrasound transducer with imaging guidance for
therapy and thermal feedback provided by magnetic resonance imaging. This paper
provides an overview of the MRgFUS technique, including a brief description of
the treatment system, guidelines for selection of patients and follow-up, and a
comparison with other treatment techniques.
PMID- 21894232
TI - [Pioneers of Mexican thoracic surgery].
AB - The development of thoracic surgery as a specialty is linked to the acquisition
of knowledge and skills such as the resolution of the open pneumothorax with
differential pressure and tracheal intubation, asepsis, antibiotic therapy and
intensive care.Our pioneers in thoracic development were general surgeons who
ventured into this field during the late nineteenth and early twentieth
centuries, culminating in the transition to a full blown specialty during the
second half of the 20th century.
PMID- 21894233
TI - [Phosphine poisoning in healthcare workers].
AB - Phosphine gas constitutes a potential and serious little-known cause of poisoning
of professional nature of the medical staff and nursing care of patients who
voluntarily swallow phosphides rodenticides purposes suicide. The objective of
this paper is to inform to healthcare workers from urgencies, forensic and
occupational health services on this occupational hazard. We present the case of
a nurse who suffered from poisoning by gas phosphine confirmed through an
environmental monitoring of gases in an emergency department carried out by the
government service of civil protection of the State of Jalisco.
PMID- 21894234
TI - [Severe sepsis, septic shock and secondary multiple organ dysfunction in
infection by Kluyvera ascorbata].
AB - Kluyvera, a new genus of Enterobacteriaceae, is an emergent pathogen. Kluyvera
species have been isolated from sputum, urine, stools, and blood. Kluyvera
strains are infrequent but potentially dangerous pathogens in the immunocompetent
or immunocompromised host due to their potential to provoke a wide range of
infections and their ability to transfer extended spectrum beta lactamase genes.
We herein report the case of a teenage male with severe sepsis and septic shock
due to K. ascorbata.
PMID- 21894235
TI - [Breast metastasis from a colonic adenocarcinoma: a case report and literature
review].
AB - Metastasis to the breast from extramammary tumors are uncommon and metastatic
colon carcinoma (MCC) to the breast is extremely rare. A case history is
presented of a 42 year-old woman with a finding of a breast lump. One year
before,she had undergone an anterior colon resection for a rectal carcinoma.
Mammographic examination revealed a high density, well demarcated, 3 cm lesion
located in the upper outer quadrant of left breast, along with smaller multiple
bilateral nodules. Following breast biopsy, the final diagnosis of MCC was based
on the absence of any precursor lesion within the breast (no surrounding ductal
carcinoma in situ) and of the expression of cytokeratin 20 and beta-catenin on
immunohistochemistry.The treatment strategy for metastatic breast disease is
based on a proper assessment of such cases by surgeons,radiologists and
pathologists.
PMID- 21894236
TI - [Epilepsy as a cause of removal from the Armed Forces].
AB - Recently, the First Chamber of the Supreme Court of Justice decided two important
cases where the Ministers were urged to evaluate whether a provision of the
Social Security Institute for the Mexican Armed Forces Statute making"epilepsy
and other forms of seizures or equivalents" a cause of removal from the Army on
the basis of "uselessness in the service" violates the equality and non
discrimination principle laid down in article 1 of the Federal Constitution. Four
Supreme Court Ministers declared that the provision was constitutional. Justice
Minister Cossio Diaz disagreed and wrote a separate opinion where he holds that
the aforementioned provision is unconstitutional, since its excessively wide and
undetermined language opens the door to declarations of "uselessness for the
service" without ensuring this rests in every case in a genuine incapacity to
develop a job in the Army.Before reaching this conclusion Justice Minister Cossio
asked for information to the National Institute of Neurology and Neurosurgery. It
was on these basis that he sustained that the aforementioned legal provision does
not satisfy an adequate means-end correlation, since it allows the Army to
withdraw from service -on the basis of "uselessness"-persons whose medical
condition is sometimes episodic; others curable; others, if not curable,
pharmaceutically controlled; and, in cases where it does limit the kinds of
activity, that the person can develop, it does so in a way that can only be
determined by an intensely individualized basis.
PMID- 21894237
TI - A theory-based approach to teaching young children about health: A recipe for
understanding.
AB - The theory-theory account of conceptual development posits that children's
concepts are integrated into theories. Concept learning studies have documented
the central role that theories play in children's learning of experimenter
defined categories, but have yet to extensively examine complex, real-world
concepts such as health. The present study examined whether providing young
children with coherent and causally-related information in a theory-based lesson
would facilitate their learning about the concept of health. This study used a
pre-test/lesson/post-test design, plus a five month follow-up. Children were
randomly assigned to one of three conditions: theory (i.e., 20 children received
a theory-based lesson); nontheory (i.e., 20 children received a nontheory-based
lesson); and control (i.e., 20 children received no lesson). Overall, the results
showed that children in the theory condition had a more accurate conception of
health than children in the nontheory and control conditions, suggesting the
importance of theories in children's learning of complex, real-world concepts.
PMID- 21894238
TI - A simple and efficient route to the FKBP-binding domain from rapamycin.
AB - A simple and highly efficient route to the FKBP-binding domain (FKBD) from the
natural product rapamycin has been developed, which entails a sequence of
ozonolysis/Baeyer-Villiger/Wittig reactions. The newly synthesized FKBD may serve
as a core to assemble hybrid macrocyclic libraries for the discovery of novel
probes of protein function and to synthesize new ligands for the FKBP family of
proteins.
PMID- 21894239
TI - Achieving Safety: Safer Sex, Communication, and Desire among Young Gay Men.
AB - Conceptualizations of safer sex practices among young gay men (YGM) are
frequently structured around communication between partners and the subsequent
utilization or absence of condoms in a sexual encounter. Drawing on a sample of
34 in-depth interviews with YGM, ages 18 to 24, we explore the ways in which
conceptualizations and definitions of safer sex are discussed and enacted.
Placing attention on their safer sex practices, we analyze the conversations that
do and do not occur among YGM and their partners, including the strategies (e.g.,
negotiated safety, condom communication and negotiation) that are commonly
perceived as most useful by YGM. We provide recommendations regarding how to
craft safer sex messages for YGM by considering their competing demands.
PMID- 21894240
TI - Photolithography of Dithiocarbamate-Anchored Monolayers and Polymers on Gold.
AB - Dithiocarbamate (DTC)-anchored monolayers and polymers were investigated as
positive resists for UV photolithography on planar and roughened Au surfaces.
DTCs were formed in situ by the condensation of CS(2) with monovalent or
polyvalent amines such as linear polyethyleneimine (PEI) under mildly basic
aqueous conditions, just prior to surface passivation. The robust adsorption of
the polyvalent PEI-DTC to Au surfaces supported high levels of resistance to
photoablation, providing opportunities to generate thin films with gradient
functionality. Treatment of photopatterned substrates with alkanethiols produced
binary coatings, enabling a direct visual comparison of DTC- and thiol-passivated
surfaces against chemically induced corrosion using confocal microscopy.
PMID- 21894241
TI - Estimation and Variable Selection for Semiparametric Additive Partial Linear
Models (SS-09-140).
AB - Semiparametric additive partial linear models, containing both linear and
nonlinear additive components, are more flexible compared to linear models, and
they are more efficient compared to general nonparametric regression models
because they reduce the problem known as "curse of dimensionality". In this
paper, we propose a new estimation approach for these models, in which we use
polynomial splines to approximate the additive nonparametric components and we
derive the asymptotic normality for the resulting estimators of the parameters.
We also develop a variable selection procedure to identify significant linear
components using the smoothly clipped absolute deviation penalty (SCAD), and we
show that the SCAD-based estimators of non-zero linear components have an oracle
property. Simulations are performed to examine the performance of our approach as
compared to several other variable selection methods such as the Bayesian
Information Criterion and Least Absolute Shrinkage and Selection Operator
(LASSO). The proposed approach is also applied to real data from a nutritional
epidemiology study, in which we explore the relationship between plasma beta
carotene levels and personal characteristics (e.g., age, gender, body mass index
(BMI), etc.) as well as dietary factors (e.g., alcohol consumption, smoking
status, intake of cholesterol, etc.).
PMID- 21894242
TI - Synthesis and characterization of novel fluorescent nitrogen-containing
bisphosphonate imaging probes for bone active drugs.
AB - Progress in the synthesis of novel fluorescent conjugates of N-heterocyclic
bisphosphonate drugs and related analogues, together with some recent
applications of these compounds as imaging probes, are briefly discussed.
PMID- 21894243
TI - Nonmarital Fertility, Family Structure, and the Early School Achievement of Young
Children from Different Race/Ethnic and Immigration Groups.
AB - Working from a life course perspective, this study examined the links between
mothers' fertility and relationship statuses and children's early school
achievement and how these links varied by race/ethnicity and immigration status.
Analyses of nationally representative data from the Early Childhood Longitudinal
Study-Kindergarten Cohort revealed that children born to unmarried women scored
lower than children of married women on math tests in kindergarten and first
grade. This pattern was most attributable to associated differences in family
income and parent education, and it was moderated by women's marital and
relationship statuses after having their children. Evidence also suggested that
the academic risks of some family structure pattern relative to continuously
married parents might have been more pronounced for White children.
PMID- 21894244
TI - mTOR inhibitors in renal cell carcinoma.
AB - The mammalian target of rapamycin (mTOR) is a downstream effector of the PI3
K/Akt/mTOR pathway. Allosteric inhibitors of mTOR, everolimus and temsirolimus,
have shown promising clinical activity in advanced renal cell carcinoma but their
effect is far from durable and only a subset of patients experience substantial
benefit from these agents. The PI3-K/Akt/mTOR pathway represents an intricate
network of fine regulation and feedback loops, and resistance to allosteric mTOR
inhibitors may be embedded within this complexity. In this article we highlight
the molecular elements of the PI3-K/Akt/mTOR pathway, the clinical experience
with everolimus and temsirolimus in advanced renal cell carcinoma, and the future
directions in terms of sequential therapy, combinational therapy and development
of novel therapeutic agents.
PMID- 21894245
TI - Diagnosis of cerebral cortical vein thrombosis with T2* weighted magnetic
resonance imaging.
AB - A 47-year-old woman presented with paresthesia in her left arm and trunk. She was
diagnosed as having an arterial pure sensory stroke at first presentation. On the
second hospital day, left hemiparesis developed after convulsions. Magnetic
resonance (MR) imaging revealed a hyperintense lesion involving the right
parietal lobe on diffusion weighted image. The T2* weighted image disclosed a
linear hypointense lesion in the same area. Progressive feature of her symptoms
and T2* weighted MR image prompted us to perform MR venography. MR venography
confirmed the diagnosis of cortical vein and sagittal sinus thrombosis. Her
symptoms attenuated gradually after anticoagulation therapy. Gene analysis showed
type I anti-thrombin III (ATIII) deficiency due to the novel mutation of AT III
gene. T2* weighted imaging may be much more sensitive than other imaging to
detect thrombosed cortical vein during the first week after onset. Rapid
diagnosis induced appropriate treatment and monitoring of the patient.
PMID- 21894246
TI - Infectious mononucleosis with secondary cold agglutinin disease causing
autoimmune haemolytic anaemia.
AB - This case report describes a 20-year-old woman whose initial clinical,
laboratory, and radiological presentation suggested obstructive jaundice.
However, she was subsequently found to be suffering from autoimmune haemolytic
anaemia resulting from an Epstein-Barr virus infection complicated by cold
agglutinin disease. The patient went on to make a complete clinical recovery
after discharge.
PMID- 21894247
TI - Thyrotoxic hypokalaemic periodic paralysis in a man from Nepal.
AB - A case is presented of a rare complication of hyperthyroidism, known as
thyrotoxic hypokalaemic periodic paralysis, in a man from Nepal. A 26-year-old
Nepalese man, with known hypokalaemia, was referred to the clinical laboratory
services for electrolyte analysis. Results showed Na(+) 120 mmol/l and K(+) 2.8
mmol/l, and he was prescribed potassium chloride. In fact, he had previously been
receiving potassium supplementation periodically and his history revealed that he
had experienced the same type of attack and was hospitalised 6 months earlier. He
had profound tremor and was agitated and irritable during his visit to this
hospital. Thyroid function testing showed high T3 (tri-iodothyronine) and T4
(thyroxine) with low thyroid stimulating hormone (TSH) concentration in the
serum, indicating thyrotoxic hypokalaemic periodic paralysis. Treatment with
neomercazole resulted in an improvement during the follow up visit. Hypokalaemia
is believed to be a consequence of a massive shift due to increased sodium
potassium-adenosine triphosphatase (Na(+)K(+)ATPase ) pump activity in the
presence of elevated thyroid hormones.
PMID- 21894248
TI - Sudden cardiac arrest in apical hypertrophic cardiomyopathy.
AB - We present two cases of cardiac arrest, presumably attributable to apical
hypertrophic cardiomyopathy(HCM). The first case was a 37-year-old Asian man
known to have an apical HCM and was successfully resuscitated from an "out of
hospital" ventricular fibrillation arrest. He underwent an electrophysiological
study that was unable to induce tachyarrhythmias, which may not be surprising. He
did receive an automated internal cardioverter defibrillator (AICD) in compliance
with his class I indication for an implantable defibrillator. The second patient
was an 86-year-old Caucasian woman with a cardiac history significant for apical
HCM, coronary artery disease, diastolic heart failure, and monomorphic
ventricular tachycardia. She underwent electrophysiological testing for frequent
dizziness and monomorphic ventricular tachycardia of a right ventricular origin
was induced. She received an AICD for sudden cardiac death prevention. Though
lethal ventricular arrhythmias have been reported in patients with apical HCM,
the prevailing consensus is that the prognosis of apical HCM is benign. Whether
these accounts are truly exceptional occurrences for this rare and conventionally
regarded benign condition or whether they represent an under-appreciated risk for
sudden cardiac arrest is an intriguing question.
PMID- 21894249
TI - The cardiovascular triad of dysfunctional angiogenesis.
AB - Cerebral cavernous malformation is a clinically well-defined microvascular
disorder predisposing to stroke; however, the major phenotype observed in
zebrafish is the cardiac defect, specifically an enlarged heart. Less effort has
been made to explore this phenotypic discrepancy between human and zebrafish.
Given the fact that the gene products from Ccm1/Ccm2 are nearly identical between
the two species, the common sense has dictated that the zebrafish animal model
would provide a great opportunity to dissect the detailed molecular function of
Ccm1/Ccm2 during angiogenesis. We recently reported on the cellular role of the
Ccm1 gene in biochemical processes that permit proper angiogenic microvascular
development in the zebrafish model. In the course of this experimentation, we
encountered a vast amount of recent research on the relationship between
dysfunctional angiogenesis and cardiovascular defects in zebrafish. Here we
compile the findings of our research with the most recent contributions in this
field and glean conclusions about the effect of defective angiogenesis on the
developing cardiovascular system. Our conclusion also serves as a bridge for the
phenotypic discrepancy between humans and animal models, which might provide some
insights into future translational research on human stroke.
PMID- 21894250
TI - Disseminated molluscum contagiosum in a HIV-positive child. Improvement after
therapy with 5% imiquimod.
AB - BACKGROUND: Molluscum contagiosum (MC) is a frequent and usually benign cutaneous
infection with molluscum contagiosum virus (MCV), affecting mainly children and
young sexually active adults. With the emergence of HIV/AIDS, a new patient group
at risk has been identified with often extensive skin involvement and
recalcitrant disease. MAIN OBSERVATIONS: We report a case of a girl with connatal
HIV-infection, suffering from extensive, disseminated MC. Due to multi
resistance, an effective antiretroviral therapy could not be established for
years, rendering an effective treatment of MC by established treatment options
virtually impossible. An off-label use of imiquimod showed a marked improvement
of lesion counts in this patient, whereas a complete clearance could only be
achieved once effective antiretroviral therapy was introduced. CONCLUSIONS: We
believe that imiquimod may represent a valuable treatment option for molluscum
contagiosum especially in the context of marked immunosuppression, where
sensitive areas like the face and neck are often involved and scarring must be
avoided.
PMID- 21894252
TI - Cicatricial upper and lower eyelid ectropion in an ichthyosis patient. Surgical
correction.
AB - BACKGROUND: Surgical correction of bilateral cicatricial upper and lower eyelid
ectropion in an ichthyosis patient remains a challenge in clinical practice. MAIN
OBSERVATIONS: A 24-year-old female patient presented to our clinic with bilateral
upper and lower eyelid ectropion. Her skin over her entire body and face was dry
and scaly. The diagnosis was cicatricial ectropion related in a patient with
ichthyosis. The upper eyelids were treated by retroauricular full thickness skin
grafts and upper eyelid lateral tarsal strip procedure. And lower eyelids were
treated by cheek transposition grafts and lower eyelid lateral tarsal strip
procedure. The upper and lower eyelids were corrected successfully with these
surgical procedures. CONCLUSIONS: In patients with ichthyosis skin alterations in
the eyelid cause shortening of the anterior lamella, subsequently resulting with
ectropion. Successful surgical correction with skin grafts or transposition flaps
can be performed to lenghten anterior lamella. Adding lateral tarsal strip
procedure to skin grafting helps to maintain a beter lid margin apposition.
PMID- 21894251
TI - Isolated Kaposi Sarcoma in two HIV negative patients.
AB - BACKGROUND: Kaposi sarcoma (KS) is a neoplasm of the endothelial cells. It often
manifests with multiple vascular nodules on the skin and other organs. It is a
systemic, malignant and multifactorial disease and has a variable course. There
are four types: classic, endemic, iatrogenic and HIV-associated. The primary
presentation on the penis and face is uncommon and is mainly observed in HIV
positive patients. Multiple treatment modalities are used including surgery,
cryotherapy, electrosurgery, laser and radiation therapy. MAIN OBSERVATION: The
authors present two cases of isolated Kaposi sarcoma in HIV negative, human
herpes virus 8 (HHV-8) positive non immunocompromised patients. One case with
facial KS and the other one with penile KS. Both were treated surgically with no
recurrence in the following 6 months of the follow up period. CONCLUSIONS: Kaposi
sarcoma is rare in HIV negative patients and is associated with HHV-8 infection.
Lesions are usually solitary and can be treated surgically. It should be included
in the differential diagnoses of penile and facial lesions that are clinically
suspecious and resistent to therapy.
PMID- 21894253
TI - A profound case of linear epidermal nevus in a patient with epidermal nevus
syndrome.
AB - BACKGROUND: Epidermal nevus syndrome is a multi-system disease with a wide
spectrum of clinical presentation. Numerous specialists may be required to
address its extra cutaneous manifestations. MAIN OBSERVATIONS: We report a severe
case of epidermal nevus syndrome involving the oral cavity, pharynx, and central
nervous system in addition to disfiguring skin lesions. CONCLUSIONS:
Dermatologists are in a unique position to first render the diagnosis of
epidermal nevus syndrome for young patients and ensure appropriate follow-up.
PMID- 21894254
TI - Photoletter to the editor: Oral ulceration in pyoderma gangrenosum.
AB - A 65-year-old woman presented with widespread necrotising cutaneous ulceration
and oral involvement. Past history included rheumatoid arthritis, and a left
nephrectomy.Examination revealed multiple violaceous undermined ulcers. Blood
investigations showed an acute inflammatory response. Skin histopathology showed
epidermal ulceration with acute and chronic inflammation. Direct
immunofluorescence was negative. A diagnosis of pyoderma gangrenosum with oral
involvement was made. Mycophenolate mofetil therapy resulted in complete
resolution of her pyoderma gangrenosum. Her treatment was complicated by a left
proteus mirabilis psoas abscess. This resolved following four weeks of
antibiotics.Pyoderma gangrenosum with oral involvement is rare but has been
linked with inflammatory bowel disease and hematological disorders. Oral pyoderma
gangrenosum has not previously been described in rheumatoid arthritis. Primary
psoas abscess is rare but can develop in immunocompromised patients. Proteus
mirabilis has been reported in patients years after nephrectomy. This is a rare
case of pyoderma gangrenosum with oral involvement.
PMID- 21894255
TI - Photoletter to the editor: Trichomycosis (trichobacteriosis) axillaris.
AB - Trichomycosis axillaris is a common tropical disease usually affecting the hair
shafts of the axillae, characterized by nodular concretions along the hair shafts
caused by Corynebacterium tenuis. We describe a 38-year-old patient with
trichomycosis axillaris. Treatment, which included shaving of affected hair,
followed by topical 3% erythromycin cream and clotrimazole powder was fully
effective.
PMID- 21894257
TI - Applying a New Model for Sharing Population Health Data to National Syndromic
Influenza Surveillance: DiSTRIBuTE Project Proof of Concept, 2006 to 2009.
AB - The Distributed Surveillance Taskforce for Real-time Influenza Burden Tracking
and Evaluation (DiSTRIBuTE) project began as a pilot effort initiated by the
International Society for Disease Surveillance (ISDS) in autumn 2006 to create a
collaborative electronic emergency department (ED) syndromic influenza-like
illness (ILI) surveillance network based on existing state and local systems and
expertise. DiSTRIBuTE brought together health departments that were interested
in: 1) sharing aggregate level data; 2) maintaining jurisdictional control; 3)
minimizing barriers to participation; and 4) leveraging the flexibility of local
systems to create a dynamic and collaborative surveillance network. This approach
was in contrast to the prevailing paradigm for surveillance where record level
information was collected, stored and analyzed centrally. The DiSTRIBuTE project
was created with a distributed design, where individual level data remained local
and only summarized, stratified counts were reported centrally, thus minimizing
privacy risks. The project was responsive to federal mandates to improve
integration of federal, state, and local biosurveillance capabilities. During the
proof of concept phase, 2006 to 2009, ten jurisdictions from across North America
sent ISDS on a daily to weekly basis year-round, aggregated data by day,
stratified by local ILI syndrome, age-group and region. During this period, data
from participating U.S. state or local health departments captured over 13% of
all ED visits nationwide. The initiative focused on state and local health
department trust, expertise, and control. Morbidity trends observed in DiSTRIBuTE
were highly correlated with other influenza surveillance measures. With the
emergence of novel A/H1N1 influenza in the spring of 2009, the project was used
to support information sharing and ad hoc querying at the state and local level.
In the fall of 2009, through a broadly collaborative effort, the project was
expanded to enhance electronic ED surveillance nationwide.
PMID- 21894256
TI - Altered expression of tissue remodeling genes in a mouse model of acute allergic
rhinitis.
AB - BACKGROUND: Osteogenesis, fibrosis, and scarring are prominent pathologic changes
resulting from chronic sinonasal inflammation, and these tissue changes may
increase the degree of disease symptomatology and the level of surgical
difficulty. Members of the bone morphogenetic protein (BMP) and fibroblast growth
factor (FGF) families of cytokines and the matrix metalloproteinase (MMP) family
of endopeptidases are known to regulate tissue remodeling in other disease
processes, but their role in acute and chronic sinonasal inflammation remains
undefined. METHODS: A previously described mouse model of acute allergic rhinitis
secondary to Aspergillus fumigatis exposure in BALB/C mice was used. Intranasal
challenge was performed 1 week following intraperitoneal sensitization with A.
fumigatis extract and mice were sacrificed 6 hours (n = 8) and 24 hours (n = 8)
later. Additional mice were intranasally challenged 3 times per week and
sacrificed at the end of 7 days (n = 8) and 21 days (n = 8). The snouts were
processed for quantitative reverse-transcription polymerase chain reaction (RT
PCR) and compared to untreated controls for messenger ribonucleic acid (mRNA)
expression of BMP1, 2, 3, 4, 5, 6, 7, 8a, 8b, 9, 10, FGF1, 2, 3, 4, 5, 6, 7, 8,
10, and MMP1a, 2, 3, 7, 8, 9, 12, and 14. Additional 21-day-old mice were
prepared for sinonasal histopathology. Control mice were treated with the same
protocol, with intraperitoneal phosphate-buffered saline (PBS) and intranasal PBS
substituted for A. fumigatis extract. Untreated mice were used for additional
comparison. RESULTS: Compared to both the PBS-treated and untreated control
groups, statistically significant (p < 0.05) upregulation of MMP8 was observed in
the 6-hour time point. Significant downregulation of MMP8 was observed at 1 week.
Significant upregulation of FGF3 was observed at 1 week (p < 0.05). BMP3 and BMP5
were significantly downregulated in the 1-week group (p < 0.05). The mice
exhibited histologic sinonasal changes consistent with allergic inflammation.
CONCLUSION: Intranasal exposure to A. fumigatis results in altered expression of
several tissue remodeling cytokines at varying time points in the acute allergic
rhinitis mouse model. These changes in cytokine regulation may subsequently
contribute to sinonasal osteogenesis, scarring, and fibrosis as seen in chronic
rhinosinusitis.
PMID- 21894258
TI - Structure-based drug design of a new chemical class of small molecules active
against influenza A nucleoprotein in vitro and in vivo.
AB - We report preliminary results and a summary of a bottom-up approach to identify
new, active, nontoxic, small-molecule antivirals designed to have a novel
mechanism of action. We employed the procedure to identify 3-mercapto-1,2,4
triazoles derivatives as potential NP inhibitors in silico and subsequently
demonstrated the in vitro efficacy of the molecules against various strains of
the influenza A virus. The most efficacious compounds were successfully tested in
an in vivo influenza challenge experiment.
PMID- 21894259
TI - Development of a Multi-modal Tissue Diagnostic System Combining High Frequency
Ultrasound and Photoacoustic Imaging with Lifetime Fluorescence Spectroscopy.
AB - We report the development and validate a multi-modal tissue diagnostic
technology, which combines three complementary techniques into one system
including ultrasound backscatter microscopy (UBM), photoacoustic imaging (PAI),
and time-resolved laser-induced fluorescence spectroscopy (TR-LIFS). UBM enables
the reconstruction of the tissue microanatomy. PAI maps the optical absorption
heterogeneity of the tissue associated with structure information and has the
potential to provide functional imaging of the tissue. Examination of the UBM and
PAI images allows for localization of regions of interest for TR-LIFS evaluation
of the tissue composition. The hybrid probe consists of a single element ring
transducer with concentric fiber optics for multi-modal data acquisition.
Validation and characterization of the multi-modal system and ultrasonic,
photoacoustic, and spectroscopic data coregistration were conducted in a physical
phantom with properties of ultrasound scattering, optical absorption, and
fluorescence. The UBM system with the 41 MHz ring transducer can reach the axial
and lateral resolution of 30 and 65 MUm, respectively. The PAI system with 532 nm
excitation light from a Nd:YAG laser shows great contrast for the distribution of
optical absorbers. The TR-LIFS system records the fluorescence decay with the
time resolution of ~300 ps and a high sensitivity of nM concentration range.
Biological phantom constructed with different types of tissues (tendon and fat)
was used to demonstrate the complementary information provided by the three
modalities. Fluorescence spectra and lifetimes were compared to differentiate
chemical composition of tissues at the regions of interest determined by the
coregistered high resolution UBM and PAI image. Current results demonstrate that
the fusion of these techniques enables sequentially detection of functional,
morphological, and compositional features of biological tissue, suggesting
potential applications in diagnosis of tumors and atherosclerotic plaques.
PMID- 21894261
TI - From bark to weed: the history of artemisinin.
AB - In the 1970's, in China, some brilliant and courageous scientists carried out a
research programme, which lead to the discovery of artemisinin derivatives and
new quinoleines that are used today, in combination, as first line treatment of
malaria.
PMID- 21894260
TI - The antimalarial ferroquine: from bench to clinic.
AB - Ferroquine (FQ, SSR97193) is currently the most advanced organo-metallic drug
candidate and about to complete phase II clinical trials as a treatment for
uncomplicated malaria. This ferrocene-containing compound is active against both
chloroquine-susceptible and chloroquine-resistant Plasmodium falciparum and P.
vivax strains and/or isolates. This article focuses on the discovery of FQ, its
antimalarial activity, the hypothesis of its mode of action, the current absence
of resistance in vitro and recent clinical trials.
PMID- 21894262
TI - Pneumocystis jirovecii pneumonia in developing countries.
AB - Pneumocystis pneumonia (PcP) is a serious fungal infection among
immunocompromised patients. In developed countries, the epidemiology and clinical
spectrum of PcP have been clearly defined and well documented. However, in most
developing countries, relatively little is known about the prevalence of
pneumocystosis. Several articles covering African, Asian and American countries
were reviewed in the present study. PcP was identified as a frequent
opportunistic infection in AIDS patients from different geographic regions. A
trend to an increasing rate of PcP was apparent in developing countries from 2002
to 2010.
PMID- 21894263
TI - A study on the determination of risk factors associated with babesiosis and
prevalence of Babesia sp., by PCR amplification, in small ruminants from Southern
Punjab (Pakistan).
AB - Babesiosis is a parasitic infection due to the multiplication of tick borne
parasite, Babesia sp., in erythrocytes of host, which includes a wide variety of
vertebrates including small ruminants causing decreased livestock output and
hence economic losses. The objective of the present study was to establish a PCR
based method for the detection of Babesia sp. in small ruminant population in
Southern Punjab and to determine the risk factors involve in the spread of
babesiosis. A total of 107 blood samples were collected from 40 sheep and 67
goats in seven districts of Southern Punjab from randomly selected herds. Data on
the characteristics of the animals and the herd were collected through
questionnaires. 36 blood samples (34% of total) produced the DNA fragment
specific for 18S rRNA gene of Babesia sp., by PCR amplification, of which 20 were
sheep and 16 were goats. Samples from all seven district contained Babesia
positive samples and prevalence varied between 18 to 68%. It was observed that
male animals (P = 0.009) and young animals under one year of age (P = 0.01) were
more prone to the parasite. It was observed that herds consist of more than 15
animals (P = 0.007), composed of mixed species of small ruminants (P = 0.022),
associated with dogs (P = 0.003) and dogs having ticks on their bodies (P =
0.011) were among the major risk factors for the spread of babesiosis in small
ruminants.
PMID- 21894264
TI - Redescription of the male of Ixodes festai Rondelli, 1926 (Ixodida: Ixodidae) on
specimens from Sardinia (Italy).
AB - Ixodes festai Rondelli, 1926 is a poorly known bird parasite tick. Its immature
forms have not been described yet, while the adult forms only insufficiently,
especially the male. In this note the presence of the male of Ixodes festai for
the first time in Sardinia (Italy) is reported and a detailed redescription is
provided. Morphometric data as well as photographs performed both with optical
and electron microscope (ESEM FEI Quanta 200) are also shown.
PMID- 21894265
TI - [Two new species of fleas (Siphonaptera: Ctenophthalmidae & Rhopalopsyllidae)
from Chile].
AB - Agastopsylla guzmani n. sp. (Ctenophthalmidae) and Delostichus degus n. sp.
(Rhopalopsyllidae) are described. A key is proposed for the genus Agastopsylla;
for the genus Delostichus, a brief comparison is made with D. incisus and D.
ojedai described after the issue of the Catalogue of Smit (1987).
PMID- 21894266
TI - [Gryphopsylla segregata Beaucournu & Sountsov, 1999: new status for G. jacobsoni
segregata, description of the female and proposition of a new key for the genus
Gryphopsylla (Siphonaptera - Pygiopsyllidae - Stivaliinae)].
AB - The recent collection of a female of Gryphopsylla jacobsoni segregata Beaucournu
& Sountsov, 1999 from northern Borneo allowed us to better clarify the taxonomic
status of this flea and confirm that G. jacobsoni and G. segregata are distinct
species. We describe the female of G. segregata and revised the identification
key of the genus.
PMID- 21894267
TI - Prevalence of ectoparasitic arthropods on wild animals and cattle in the Las
Merindades area (Burgos, Spain).
AB - This paper reports the prevalence of ectoparasitic arthropods in sampled groups
of wild (n = 128; 16 species) and domestic (n = 69; 3 species) animals in the Las
Merindades area of the Province of Burgos, Spain. The study revealed that wild
animals were more infested and with a wider variety of ectoparasites than
domestic animals. The parasitic prevalence was 67% for wild animals and 48% for
livestock. In this way, 39% of animals were infected by ticks. Ixodes ricinus and
Ixodes hexagonus were the most prevalent species whereas Dermacentor reticulatus
showed affinity for the fox and wolf. The overall prevalence of parasitisation by
fleas was 27%. Ctenophthalmus spp. showed the wider range host in wild animals,
while Pulex irritans was the most frequent specie found. The parasitic
prevalences by lice (Trichodectes melis, Trichodectes canis and Trichodectes
mustelae) and by mite (Neotrombicula spp., Laelaps agilis and Sarcoptes scabiei)
were 4% and 12%, respectively. In both cases only wild animals were found
parasited.
PMID- 21894268
TI - The steppe species of gastrointestinal nematodes of small ruminants, with a focus
on Marshallagia: climate as a key determinant.
AB - We intended to relate the geographic distribution of ruminant gastrointestinal
nematodes in relation to steppe climate (and vegetation). Data are either from
literature or from newly acquired/available results. Simple or more sophisticated
meteorological indices were used to characterize the climate. Regression analyses
were used to correlate climatic factors and presence of endoparasites from steppe
areas. The distribution of one (Marshallagia) out of five endoparasite genera was
concentrated mostly in steppic areas whereas other species were found also in
other areas. In wild hosts the distribution of Marshallagia was much larger from
Sptizberg to New World (northern territories in Canada or extreme south of
America). In domestic small ruminants the presence of Marshallagia was identified
more frequently and constantly in the area of original domestication and its
early diffusion (from Northern Africa to Kashmir, Caucasia). The distribution of
this parasite was correlated to low rainfalls which were not the case for all
other endoparasites. After host switch (reindeer or south America camelids), it
has expanded in other climatic areas, either colder or dryer.
PMID- 21894269
TI - New data in France on the trematode Alaria alata (Goeze, 1792) obtained during
Trichinella inspections.
AB - The trematode Alaria alata is a cosmopolite parasite found in red foxes (Vulpes
vulpes), the main definitive host in Europe. In contrast only few data are
reported in wild boars (Sus scrofa), a paratenic host. The aim of this paper is
to describe the importance and distribution of Alaria alata mesocercariae in wild
boars, information is given by findings of these larvae during Trichinella
mandatory meat inspection on wild boars' carcasses aimed for human consumption.
More than a hundred cases of mesocercariae positive animals are found every year
in the East of France. First investigations on the parasite's resistance to deep
freezing in meat are presented in this work.
PMID- 21894270
TI - Natural vertical transmission of dengue viruses by Aedes aegypti in Bolivia.
AB - The natural transmission of dengue virus from an infected female mosquito to its
progeny, namely the vertical transmission, was researched in wild caught Aedes
aegypti during an important outbreak in the town of Santa Cruz de la Sierra,
Bolivia. Mosquitoes were collected at the preimaginal stages (eggs, larvae and
pupae) then reared up to adult stage for viral detection using molecular methods.
Dengue virus serotypes 1 and 3 were found to be co-circulating with significant
higher prevalence in male than in female mosquitoes. Of the 97 pools of Ae.
aegypti (n = 635 male and 748 female specimens) screened, 14 pools, collected in
February-May in 2007, were found positive for dengue virus infection: five DEN-1
and nine DEN-3. The average true infection rate (TIR) and minimum infection rate
(MIR) were respectively 1.08% and 1.01%. These observations suggest that vertical
transmission of dengue virus may be detected in vectors at the peak of an
outbreak as well as several months before an epidemic occurs in human population.
PMID- 21894271
TI - Autism spectrum disorders.
AB - In this article we use BEACH data to examine changes in the management rate of
autism spectrum disorders (ASDs) from 2001 to 2011 in children aged <18 years. We
also look at encounters where autism was managed during 2006-2011.
PMID- 21894272
TI - Is my child normal? Milestones and red flags for referral.
AB - BACKGROUND: Developmental problems in young children are common and have lifelong
implications for health and wellbeing. Early detection of developmental problems
provides an opportunity for early intervention to shift a child's developmental
trajectory and optimise their potential. OBJECTIVE: This article describes and
recommends a broader concept of developmental surveillance that should replace
the reliance on traditional methods of early detection such as milestone
checklists, parent recall, developmental screening tests and clinical judgment.
DISCUSSION: General practitioners and other professionals in regular contact with
children and their families are ideally placed to monitor a child's development,
detect problems early and to intervene to optimise the child's development and
thus promote long term health and wellbeing. Developmental surveillance involves
eliciting parental concerns, performing skilled observations of the child, and
providing guidance on health and development issues that are relevant to the
child's age and the parents' needs. Standardised tools are available to assist
GPs to elicit parental concerns and guide clinical decision making.
PMID- 21894273
TI - Autism spectrum disorders.
AB - BACKGROUND: Autism spectrum disorders (ASDs) are serious neurodevelopmental
disorders affecting approximately one in 160 Australians. Symptoms are apparent
during the second year of life causing impairments in social interaction,
communication and behaviour with restricted and stereotyped interests. OBJECTIVE:
To increase the general practitioner's awareness of the presenting symptoms of
ASDs and their associated problems in children, screening for ASDs, and the
assessment process, treatment options and outcomes. DISCUSSION: This article
discusses the five red flags that are autism alerts in young children. These red
flags can enable GPs to play a key surveillance role in determining which young
children might require further screening and referral for an ASD assessment.
Because ASDs are lifelong, neurodevelopmental disorders and symptoms change over
time. Therefore the GP has an ongoing role to support, educate and advise
parents, other carers and the individual with an ASD. Treatment and
pharmacological interventions are also discussed.
PMID- 21894274
TI - Problem behaviour in children--an approach for general practice.
AB - BACKGROUND: Around 12% of Australian children aged 4-12 years experience
externalising behavioural problems such as aggression and hyperactivity.
Similarly, around 12% experience internalising problems such as anxiety and
depression. Other common behaviour problems, such as temper tantrums, arise as
the child strives to achieve developmental milestones. OBJECTIVE: This article
reviews externalising behavioural problems and common developmental behavioural
problems in children from toddler to school age. Diagnosis, management and when
to refer are discussed. DISCUSSION: Behavioural difficulties arise as a result of
an interaction between biological vulnerabilities and environmental stressors. In
most cases, behavioural difficulties are temporary, and occur as children strive
to achieve developmental milestones. General management includes reinforcing
positive behaviour, using a consistent approach and setting limits and clear
consequences for misbehaviour. Children should be referred when there are
concerns about their safety or development.
PMID- 21894275
TI - Breastfeeding--evidence based guidelines for the use of medicines.
AB - BACKGROUND: General practitioners may consider prescribing medicines for
breastfeeding women during the postpartum period. Most medicines can be used
safely during breastfeeding at the recommended dose, however there are exceptions
that necessitate caution. OBJECTIVE: This article provides an evidence based
review of medicines used for common situations and their compatibility with
breastfeeding. DISCUSSION: Breastfeeding women typically use relatively few
medicines, and generally these are compatible with breastfeeding. If other
medicines are required, information on their safety during breastfeeding can be
accessed from pharmacy departments at maternity hospitals or from online
resources.
PMID- 21894277
TI - Patient information--nerve conduction studies.
PMID- 21894276
TI - Nerve conduction studies.
AB - This article forms part of our 'Tests and results' series for 2011 which aims to
provide information about common tests that general practitioners order
regularly. It considers areas such as indications, what to tell the patient, what
the test can and cannot tell you, and interpretation of results.
PMID- 21894278
TI - Hyperpigmentation--a case study.
PMID- 21894280
TI - Decision support systems--a general practice research journey.
PMID- 21894279
TI - Proton pump inhibitors--uncommon adverse effects.
AB - BACKGROUND: Proton pump inhibitors (PPIs) are one of the most popularly
prescribed drugs in Australia for conditions such as gastro-oesophageal reflux
disease, peptic ulcer disease and functional dyspepsia. Despite their good safety
profile, PPIs have potential adverse effects, yet they are often overprescribed
and without a clear indication. OBJECTIVE: This article reviews the uncommon
adverse effects of PPIs and provides recommendations for managing patients
receiving this therapy. DISCUSSION: Uncommon adverse effects include rebound acid
hypersecretion syndrome, fragility fractures, interstitial nephritis, electrolyte
derangements, pneumonia, enteric infection and vitamin B12 deficiency. General
practitioners should be aware of these potential adverse effects and ensure that
PPIs are used appropriately and where benefit clearly outweighs any harmful
effects.
PMID- 21894281
TI - Fibromyalgia--should we be testing and treating for vitamin D deficiency?
AB - AIM: This review aims to synthesise the evidence regarding any association
between vitamin D deficiency and fibromyalgia, addressing whether general
practitioners should be testing and treating these patients for vitamin D
deficiency. METHODS: A systematic literature review was performed, using MEDLINE
as the primary database, to find and critically appraise all relevant research
fulfilling inclusion criteria from January 1990 until September 2010. RESULTS:
There were conflicting results in the cross sectional studies obtained, with no
association in studies using control groups and mixed results in larger
population based studies. One adequately powered randomised controlled trial
suggests fibromyalgia pain is not improved by vitamin D supplementation.
DISCUSSION: The evidence for an association between fibromyalgia and vitamin D
deficiency is inconclusive, with no improvement in pain on supplementation.
However, patients with concurrent risk factors for deficiency should be tested
and treated for vitamin D deficiency to minimise osteoporosis risk and maximise
muscular strength.
PMID- 21894282
TI - Traditional Chinese medicine--women's experiences in the treatment of
infertility.
AB - BACKGROUND: Infertility affects about 15% of couples. Many women proceed to
reproductive clinics for in vitro fertilisation, with some exploring a range of
alternative or complementary options. We explored women's experiences with
traditional Chinese medicine (TCM) for the treatment of infertility. METHODS: We
interviewed 25 women with primary or secondary infertility, recurrent miscarriage
or stillbirth who had consulted TCM practitioners. We explored women's experience
of TCM and fertility clinics and analysed interviews thematically. RESULTS: Women
appreciated the noninvasive diagnostic techniques TCM practitioners used to
identify 'imbalances' causing infertility, learnt how to assess fertility
indicators, and valued the focused personal care provided. All noticed improved
menstrual cycles. Women wished for integration of holistic therapies in
infertility management. DISCUSSION: Our study highlights the need for patient
centred care and fertility education, and suggests that some women see a possible
role for TCM as part of infertility management.
PMID- 21894283
TI - Medical journal covers--an analysis of gendered images and how these might
influence best practice.
AB - BACKGROUND: Images convey a concept or message to their audience. In medical
communications, social expectations and stereotypes can be transferred through
language, images and practices just as they can in the lay press. Most medical
journals utilise images on their front covers, with the aim of enticing readers
to open the journal. OBJECTIVE: This article explores the use of image on the
covers of two medical journals. It investigates the concepts of gender and the
patient-doctor relationship used in these images through a content analysis.
DISCUSSION: While the images investigated are engaging and sometimes amusing, we
explore meanings beyond our engagement as a viewer. The discussion focuses on the
need for promotion of best practice, in words and pictures, to model best
professional practice.
PMID- 21894284
TI - Workers' compensation forms--a guide for GPs.
AB - Workers' compensation provides financial benefits for injured workers. The
general practitioner has a pivotal role in the completion of necessary documents
such as medical certificates, medical reports and treating practitioner
questionnaires. Before completing such documents, the GP must complete a thorough
medical assessment. This article describes the important elements of this
assessment, the information required in workers' compensation documents, and the
responsibilities of the GP and worker (patient) in completing these documents.
PMID- 21894285
TI - A competency history--an additional model of history taking.
AB - BACKGROUND: Taking a medical history is an effective way of finding out important
information about the patient and their presenting problem/s but this approach
may inadvertently be disempowering for the patient. An increased sense of agency
can increase the likelihood of behavioural change, so facilitating empowerment of
the patient in the context of a medical consultation is an important challenge
for general practitioners. OBJECTIVE: This article describes an alternative or
additional model of history taking - the 'competency history'. It describes its
theoretical base, components and appropriate use, and gives examples of how a
competency history can be used in general practice. DISCUSSION: A competency
history uses strength based empowerment approaches and is especially appropriate
with patients who have a poor sense of agency. The core elements of a competency
history include an understanding of the patient's past and current context,
respect for the patient's expertise and strength based interventions, including
alternative narratives and solution focused conversations. Another important
aspect of this approach is to allow the patient to take the initiative in making
a change in their behaviour, and to take responsibility for their health. Taking
a competency history is a useful additional skill for GPs, and may be a valuable
addition to undergraduate medical training.
PMID- 21894286
TI - Liver cirrhosis noninvasive assessment with Acoustic Radiation Force Impulse
Imaging.
PMID- 21894287
TI - Liver biopsy in the era of elastography.
PMID- 21894288
TI - Ultrasound guided core needle biopsy of soft tissue tumors; a fool proof
technique?
AB - AIM: To assess technical and lesion related factors affecting the quality of
ultrasound guided core needle biopsy (CNB) of musculoskeletal soft tissue tumors.
MATERIALS AND METHODS: Data of 223 CNBs were evaluated in a retrospective study.
Diagnostic yield was calculated for all lesions on the basis of lesion location
(extremity/torso), examiner, biopsy needle gauge/length and number of acquired
samples. Diagnostic accuracy was calculated for surgical lesions (n= 113) based
on final specimen histology. Chi-square test based Phi-coefficient calculations
were performed to search for associations between each factor and diagnostic
yield. RESULTS: Overall diagnostic yield was 94.6%. There was no significant
difference in diagnostic yield between specialist biopsies (96.8%) and resident
biopsies (93.1%), between lesions located in the extremities (94.9%) and lesions
in the torso (93.8%) and on the basis of needle gauge or number of acquired
cores. Diagnostic accuracy was 100% for surgical lesions. The only factor
influencing the quality of CNB was lesion composition (repeat biopsies in myxoid
and/or inhomogeneous lesions). CONCLUSION: The most important aspects to achieve
constant high quality results with ultrasound guided CNBs in the work-up of
musculoskeletal soft tissue tumors are expertise concerning identification and
targeting of viable tumor components and strict adherence to a quality controlled
biopsy procedure. Once this is achieved, technical factors have almost no effect
on the quality of CNB.
PMID- 21894289
TI - The influence of liver residual mass on the values of Acoustic Radiation Force
Impulse Elastography (ARFI) in cirrhotic patients.
AB - AIM: The aim of our study was to assess the influence of liver residual mass in
cirrhotic patients on the liver stiffness (LS), measured by ARFI elastography.
METHODS: Two hundreds eleven cirrhotic patients were enrolled. To evaluate the
liver residual mass, the Child-Pugh and MELD scores were used and 10 valid ARFI
measurements were performed. The correlation between Child-Pugh, MELD scores and
the LS were evaluated. RESULTS: Valid ARFI measurements were not obtained in 3
patients. A direct, very low correlation between the LS values and the Child-Pugh
(r=0.264, p<0.001) and MELD scores (r=0.194, p=0.005) was found. A direct, low
correlation between LS measurements and total bilirubin (r=0.271, p<0.001) and an
inverse, low correlation with albumin (r=-0.270, p<0.001), prothrombin time (r=
0.196, p=0.006), cholinesterase (r=-0.241, p=0.003) was obtained. The LS mean
values were statistically significant higher in patients with Child-Pugh class B
vs. A (p=0.002) and in Child-Pugh C vs. A (p<0.001). The values were not
significantly different in patients with Child-Pugh B vs. C (p=0.06).
CONCLUSIONS: Higher values of LS measurements by means of ARFI could be an
indicator of decreased liver functional reserve, evaluated by means of Child Pugh
or Meld scores.
PMID- 21894290
TI - Effect of severity of steatosis as assessed ultrasonographically on hepatic
vascular indices in non-alcoholic fatty liver disease.
AB - Early monitoring of non-alcoholic fatty liver disease (NAFLD) progression in
obese patients is important to avoid the development of complications associated
with fatty infiltration. THE AIM: of this study was to investigate the
relationship between the degrees of fatty infiltration and reduced vascular
compliance in NAFLD patients in the three main hepatic vessels. MATERIAL AND
METHODS: Two hundred and fourty subjects were enrolled in the study. They were
divided into 4 groups: 60 controls, 60 grade 1 NAFLD patients, 60 grade 2 NAFLD
patients and 60 grade 3 NAFLD patients. After US confirmation of the presence and
grade of NAFLD, the peak and mean portal vein velocity (PPVV and MPVV,
respectively), the hepatic artery resistance index (HARI), and the phasicity of
the hepatic vein were measured. RESULTS: The PPVV was 19.6 +/- 2.4 cm/sec in
patients with grade 1 fatty liver, 17.6 +/- 1.2 cm/sec in grade 2 and 15.4 +/-
1.1 cm/sec in grade 3. The MPVV was 16.6 +/- 2.4 cm/sec in patients with grade 1
fatty liver, 16.6 +/- 2.9 cm/sec in grade 2 and 12.7 +/- 0.7 cm/sec in grade 3.
The HARI was 0.75 in patients with grade 1 fatty liver, 0.68 in grade 2 and 0.64
in grade 3. There was an inverse relationship between PPVV, MPVV and HARI and
different grades of fatty liver in patients (p = 0.001 for PPVV (Figure 7) and
HARI, p = 0.006 for MPVV. CONCLUSION: The values of the investigated liver blood
flow parameters were inversely correlated with the fatty infiltration grading.
Fatty infiltration can severely influence hepatic blood flow, pointing attention
to the importance of early diagnosis and the need for hepatic vessel flow
abnormalities characterization in the NAFLD population.
PMID- 21894291
TI - The role of color histograms in predicting the prognosis of patients with
digestive tract adenocarcinoma.
AB - OBJECTIVES: To establish the correlation between the degree of vascularisation
detected using power Doppler ultrasonography in digestive tract adenocarcinoma
and the prognosis of these patients. MATERIAL AND METHOD: Ultrasonography was
performed in 45 patients diagnosed with digestive tract adenocarcinoma (16
stomach-35.6%, 6 cecum and ascending colon-13.3%, 2 transverse colon-4.4%, 5
descending colon 11.1%, 13 sigmoid colon-28.9%, and 3 rectum-6.7%). The degree of
maximum tumor vascularization was determined using the highest percentage of
colored pixels obtained in the histogram- maximum color pixels density (MCPD).
The hepatic Doppler perfusion index (HDPI) was also calculated. The presence and
development of liver metastases was evaluated by ultrasonography and computed
tomography. The patients were monitored for a period of 18 months. The results of
each method in detecting and predicting the development of liver metastases were
compared. RESULTS: MCPD and HDPI had fairly similar results (p>0.05) in
establishing the positive and negative predicting values for the entire group of
patients with liver metastasis (55.9% compared to 66.7%, p>0.05, and 53.3%,
compared to 54.6%, p>0.05) and the group that developed liver metastases during
follow-up (80.0% compared to 90.0%, p>0.05, and 61.5%, compared to 75.0%,
p>0.05). When comparing MCPD and HDPI for the group of patients who had or
developed metastases, MCPD had an equal sensitivity (86.4%, compared to 90.9%, p
>0.05), a higher specificity (65.0% compared to 46.5%, p<0.05), but a lower
accuracy (60.0% compared to 73.3%, p<0.05). In detecting patients who developed
metastases during the 18 months follow-up, MCPD had a superior sensitivity (85.7%
compared to 64.3%, p<0.05), a lower specificity (66.7% compared to 88.9%, p<0.05)
and an equal accuracy (78.3% vs. 73.9%, p >0.05.). CONCLUSIONS: The calculation
of MCPD using color histograms can be a simple and quick method in the evaluation
and prognosis of patients with digestive tract adenocarcinoma.
PMID- 21894292
TI - Association between fatty liver disease and carotid atherosclerosis in patients
with uncomplicated type 2 diabetes mellitus.
AB - BACKGROUND: Nonalcoholic fatty liver disease (NAFLD) is a clinic-pathological
syndrome closely associated with obesity, dyslipidemia, diabetes and
atherosclerosis. Some authors suggest that NAFLD is, in fact, another component
of the metabolic syndrome. AIM: To determine the prevalence of NAFLD in diabetes
mellitus (DM) patients, and to evaluate the carotid artery status in these
patients. METHODS: Fifty six patients with uncomplicated type 2 DM were enrolled.
Hepatic steatosis (HS) and carotid atherosclerosis (intima-media thickness - IMT)
were evaluated by ultrasonography. Plasma liver function tests and other
biochemical blood measurements were determined. RESULTS: HS was found in 38
patients (67.8%) with DM. Subjects with HS had higher values for body mass index,
diastolic blood pressure, mean blood pressure and triglycerides, and lower HDL
cholesterol concentration, but there were no differences regarding IMT between DM
patients with or without HS. Behavioral variables (smoking, diet, and
sedentarism), fasting plasma glucose, and LDL cholesterol levels, also, did not
significantly differ between subjects with and without HS. CONCLUSION: DM
patients with HS in our study showed a cluster of cardiovascular risk factors but
non-significant carotid atherosclerosis. The detection of HS by abdominal
ultrasound should alert to the existence of a higher cardiovascular risk, but in
DM this is still under discussion, the results being still unconfirmed.
PMID- 21894293
TI - Contrast-enhanced ultrasonography in inflammatory arthritis.
AB - The degree of inflammation is the keystone of therapy management in rheumatoid
arthritis and other arthritides. The assessment of synovial perfusion using power
Doppler ultrasound is an important point in the quantification of the joint
inflammation but it is limited by the subjectivity of the vascularization grading
and incapacity to detect flows in very small vessels. Contrast agent improves the
ultrasound ability to depict and quantify blood flows in synovitis. Contrast
enhanced ultrasonography (CEUS) better differentiates synovitis from collection
and distinguishes the active synovitis from inactive fibrotic or necrotic pannus.
Quantitative assessment of inflammation is possible analyzing the time-intesity
curves and by the correct measurement of the synovial thickness. The additional
informations and the diagnostic value of CEUS in arthritides are still
controversial but its excellent imaging of synovial vessels open the way for
further clinical applications. This review aims to discuss the actual knowledges
of CEUS in inflammatory arthritis.
PMID- 21894294
TI - Thoracic wall ultrasonography - normal and pathological findings. Pictorial
essay.
AB - Ultrasonography represents an efficient diagnosis method for thoracic pathology
evaluation. The diseases of the pleura and thoracic wall receive the greatest
benefit from the ultrasound examination. Establishing the cause of an acute
thoracic pain or assessing a palpable tumor may easily benefit from essential
data provided by ultrasonography. Afterwards it is possible to use a
complementary imaging method or to perform US guided interventional procedures.
This pictorial presents the ultrasonographic aspects of thoracic wall pathology,
considering also differential diagnosis issues.
PMID- 21894295
TI - Ultrasonographic diagnosis of congenital hydrometrocolpos in prenatal and newborn
period: a case report.
AB - Our purpose is to present the ultrasonographic findings of a rare case of
prenatally and postnatally congenital hydrometrocolpos secondary to imperforate
hymen. By ultrasonography (US) at 38 weeks of gestation, a retrovesical, 60 x 43
mm pelvic cystic mass, was demonstrated in a female fetus. After delivery, US of
the newborn revealed a 77 x 60 mm retrovesical, pear-shaped cystic structure with
internal echoes, interpreted as congenital hydrometrocolpos. Mild
pelvicaliectasis in the left kidney was associated. At physical examination
imperforate hymen was detected and a hymenotomy was done. After the hymenotomy,
complete regression of the hydrometrocolpos and of the left renal
pelvicaliectasis was demonstrated sonographically.
PMID- 21894296
TI - Superficial leiomyosarcoma of the scalp: a case report.
AB - We present the case of a 91-year old female, with no family history of
malignancy, diagnosed with primary superficial leiomyosarcoma G1 of the scalp
with frontal bone lysis and intracranial extension. The particularity of this
case is the rarity of this tumor and the uncommon location. Also the bone
involvement, present in our case, has been seen only in a reduced number of
patients, approximately 10% of the cases.
PMID- 21894297
TI - Ectopic intrathyroid parathyroid adenoma: diagnostic and therapeutic challenges
due to multiple osteolytic lesions. Case report.
AB - Intrathyroid parathyroid adenoma is a rare lesion. Its location is usually
achieved by cervical ultrasound and scintigraphy. We present the case of a 48
years old woman admitted for malaise, weight loss, generalized bone pain, and
important limitation of hip and scapular-humeral joints mobility. Cervical
ultrasound identified a 4 cm nodular mass in the right thyroid lobe. Computed
tomography revealed multiple osteolytic lesions in the pelvis, femur, ribs,
phalanx, and humerus. Imagery and elevated serum levels of calcium and
parathormone led to primary hyperparathyroidism, right parathyroid adenoma and
bone "brown tumors". Intraoperatively, the adenoma was found in intrathyroid
location. Total right lobectomy was performed. Postoperatively, the patient
developed "hungry bone" syndrome requiring prolonged calcium and vitamin D
treatment.
PMID- 21894298
TI - A rare case of primary tuberculous pyomyositis. Case report.
AB - Tuberculosis involving the soft tissue as extending from adjacent bone or joint
is well recognized. However, the primary tuberculous pyomyositis is rare. Due to
atypical presentation the diagnosis is often delayed. We report one case of
primary tuberculous pyomyositis of the thigh in an immunocompromised patient.
Tuberculous myositis should be suspicioned, in immunocompromised patients with
unexplained soft tissue swelling, especially in endemic area.
PMID- 21894299
TI - Ultrasonography of the uterus and ovaries.
AB - Ultrasonography is the most frequently used imaging investigation in the
assessment of the female genital tract. Most often the uterus and ovaries are
evaluated with the help 2D transabdominal or endovaginal ultrasonography. The
interpretation of the ultrasonographic data in order to establish the diagnosis
of the main uterine and ovarian pathologies requires information about the
examination technique and proper knowledge of the female genital tract ultrasound
anatomy.
PMID- 21894301
TI - Contrast-enhanced ultrasound for the evaluation of focal liver lesions - a
multicenter trial in Romania.
PMID- 21894302
TI - Macrocyclic receptor for pertechnetate and perrhenate anions.
AB - The design and synthesis of a neutral macrocyclic host that is capable of
perrhenate and pertechnetate recognition is described. The anion affinities and
underlying coordination modes were estimated by several experimental and
theoretical methods including a new technique--reverse (99)Tc NMR titration.
PMID- 21894311
TI - Inhibition of peroxynitrite- and peroxidase-mediated protein tyrosine nitration
by imidazole-based thiourea and selenourea derivatives.
AB - In the present study, the synthesis and characterization of a series of N
methylimidazole-based thiourea and selenourea derivatives are described. The new
compounds were also studied for their ability to inhibit peroxynitrite (PN)- and
peroxidase-mediated nitration of protein tyrosine residues. It has been observed
that the selenourea derivatives are more efficient than the thiourea-based
compounds in the inhibition of protein nitration. The higher activity of
selenoureas as compared to that of the corresponding thioureas can be ascribed to
the zwitterionic nature of the selenourea moiety. Single crystal X-ray
diffraction studies on some of the thiourea and selenourea derivatives reveal
that the C=S bonds in thioureas possess more of double bond character than the
C=Se bonds in the corresponding selenoureas. Therefore, the selenium compounds
can react with PN or hydrogen peroxide much faster than their sulfur analogues.
The reactions of thiourea and selenourea derivatives with PN or hydrogen peroxide
produce the corresponding sulfinic or seleninic acid derivatives, which upon
elimination of sulfurous/selenous acids produce the corresponding N
methylimdazole derivatives.
PMID- 21894312
TI - An aldol approach to the enantioselective synthesis of (-)-oseltamivir phosphate.
AB - A formal asymmetric synthesis of the antiviral agent (-)-oseltamivir phosphate is
achieved using two aldol reactions as key steps.
PMID- 21894320
TI - Fluorescent silver nanoclusters in hybridized DNA duplexes for the turn-on
detection of Hg2+ ions.
AB - Using the Hg(2+)-mediated T-T formation to strengthen the DNA duplexes and
influence the configuration of fluorescent Ag NCs-forming sequences, a turn-on
fluorescence detection method for Hg(2+) has been established.
PMID- 21894321
TI - 9-H-9-Borafluorene dimethyl sulfide adduct: a product of a unique ring
contraction reaction and a useful hydroboration reagent.
AB - The dimethyl sulfide adduct 2(DMS) is a crystalline storage form of the unstable
hydroboration reagent 9-H-9-borafluorene (2); 2(DMS) is available by the addition
of DMS to either in situ generated [2](2) or 1,2-(2,2'-biphenylylene)diborane(6)
(7).
PMID- 21894322
TI - Molecular property-affinity relationship of the interaction between dietary
polyphenols and bovine milk proteins.
AB - The relationship between the molecular properties of dietary polyphenols and
their affinities for bovine milk proteins (BMP) was investigated. The affinities
of polyphenols for BMP were determined by means of fluorescence titration. The
affinities of polyphenols for BMP increased with increasing partition coefficient
and decreased with increasing hydrogen bond acceptor number of the polyphenol.
From this point, the hydrophobic force played an important role in the binding
interaction between polyphenols. It was found that the topological polar surface
area value decreases with increasing binding constant of the polyphenol for BMP,
which illustrates that the glycosylation of hydroxyl groups in polyphenols
weakens their binding affinity for BMP. A strong correlation between Mulliken
electronegativity and binding affinity was found (R = 0.64626), and Mulliken
electronegativity values were found to increase with increasing binding constant
of polyphenols for BMP. This illustrates that electrostatic interactions play a
key role in binding dietary polyphenols to BMP.
PMID- 21894323
TI - Structure of modified epsilon-polylysine micelles and their application in
improving cellular antioxidant activity of curcuminoids.
AB - The micelle structure of octenyl succinic anhydride modified epsilon-polylysine
(M-EPL), an anti-microbial surfactant prepared from natural peptide epsilon
polylysine in aqueous solution has been studied using synchrotron small-angle X
ray scattering (SAXS). Our results revealed that M-EPLs formed spherical micelles
with individual size of 24-26 A in aqueous solution which could further aggregate
to form a larger dimension with averaged radius of 268-308 A. Furthermore, M-EPL
micelle was able to encapsulate curcuminoids, a group of poorly-soluble bioactive
compounds from turmeric with poor oral bioavailability, and improve their water
solubility. Three loading methods, including solvent evaporation, dialysis, and
high-speed homogenization were compared. The results indicated that the dialysis
method generated the highest loading capacity and curcuminoids water solubility.
The micelle encapsulation was confirmed as there were no free curcuminoid
crystals detected in the differential scanning calorimetry analysis. It was also
demonstrated that M-EPL encapsulation stabilized curcuminoids against hydrolysis
at pH 7.4 and the encapsulated curcuminoids showed elevated cellular antioxidant
activity compared with free curcuminoids. This work suggested that M-EPL could be
used as new biopolymer micelles for delivering poorly soluble
drugs/phytochemicals and improving their bioactivities.
PMID- 21894324
TI - Is iron status associated with highly unsaturated fatty acid status among
Canadian Arctic Inuit?
AB - Impaired fatty acid synthesis was noted in iron deficient animal models. Human
data, however, are scarce. Although Canadian Inuit have a traditional diet rich
in heme iron and long chain n-3 fatty acids, recent literature has also indicated
the presence of prevalent iron deficiency. We aimed to explore whether the
presence of iron deficiency would affect fatty acid status and an estimate of the
activity of desaturase 5 (Delta5), which is crucial in the biosynthesis of highly
unsaturated n-3 fatty acids among Canadian Inuit. Erythrocyte membrane fatty acid
composition was utilized as an indicator of fatty acid status and serum ferritin
and circulating hemoglobin level were measured as the indicators of iron status.
Data analyzed were collected among 1511 Canadian Inuit adult participants in the
International Polar Year Inuit Health Survey, 2007-2008. Only 13.7% of survey
participants had iron deficiency; however, serum ferritin showed a moderate
positive association with highly unsaturated n-3 fatty acids after adjusting for
age, waist and C-reactive protein (r = 0.172, P < .0001). Serum ferritin
correlated significantly with Delta5 after further adjusting for highly
unsaturated n-3 fatty acids (r = 0.126, P < .0001). Although the current study
only demonstrated a weak link between ferritin and Delta5, the latter association
underscores a possible health risk caused by a nutrient interaction related to
reduced iron intake and decreased highly unsaturated n-3 fatty acid biosynthesis.
Future studies are recommended to evaluate iron status in relation to highly
unsaturated n-3 fatty acid biosynthesis and status among indigenous people
undergoing rapid dietary transitions.
PMID- 21894325
TI - Protective effects of prescription n-3 fatty acids against impairment of spatial
cognitive learning ability in amyloid beta-infused rats.
AB - Deposition of amyloid beta peptide (Abeta) into the brain causes cognitive
impairment. We investigated whether prescription pre-administration of n-3 fatty
acids improves cognitive learning ability in young rats and whether it protects
against learning ability impairments in an animal model of Alzheimer's disease
that was prepared by infusion of Abeta(1-40) into the cerebral ventricles of
rats. Pre-administration of TAK-085 (highly purified and concentrated n-3 fatty
acids containing eicosapentaenoic acid ethyl ester and docosahexaenoic acid ethyl
ester) at 300 mg kg(-1) day(-1) for 12 weeks significantly reduced the number of
reference memory errors in an 8-arm radial maze, suggesting that long-term
administration of TAK-085 improves cognitive leaning ability in rats. After pre
administration, the control group was divided into the vehicle and Abeta-infused
groups, whereas the TAK-085 pre-administration group was divided into the TAK-085
and TAK-085 + Abeta groups (TAK-085-pre-administered Abeta-infused rats). Abeta(1
40) or vehicle was infused into the cerebral ventricle using a mini osmotic pump.
Pre-administration of TAK-085 to the Abeta-infused rats significantly suppressed
the number of reference and working memory errors and decreased the levels of
lipid peroxide and reactive oxygen species in the cerebral cortex and hippocampus
of Abeta-infused rats, suggesting that TAK-085 increases antioxidative defenses.
The present study suggests that long-term administration of TAK-085 is a possible
therapeutic agent for protecting against Alzheimer's disease-induced learning
deficiencies.
PMID- 21894326
TI - Cardioprotective properties of raw and cooked eggplant (Solanum melongena L).
AB - Although eggplants are known to be part of a healthy diet, the effects of this
fruit on cardioprotection are not known. The present study examined the role of
raw and grilled eggplants on cardioprotection using an isolated perfusion heart
model. The animals were fed freeze-dried products of either raw or grilled
eggplants for 30 days. After 30 days, isolated working hearts were subjected to
30 min ischemia followed by 2 h of reperfusion. Left ventricular function was
monitored, and myocardial infarct size and cardiomyocyte apoptosis were assessed.
To determine the antioxidant function of eggplants, their DPPH scavenging ability
were determined, and polyphenolic components, especially nasunin content, were
determined. The chemical composition of raw and grilled eggplants were determined
in order to examine whether grilling was associated with major changes in their
composition. The results of this study demonstrated eggplants as containing
potent cardioprotective compounds judging by their ability to increase left
ventricular function, and reduce myocardial infarct size and cardiomyocyte
apoptosis. However, there was no difference in cardioprotective ability between
the raw and grilled products. The antioxidant vitamins, including vitamin A,
vitamin C and beta-carotene, were lower and some of the polyphenolic components,
especially nasunin content, were higher in grilled eggplants, but they were
unable to demonstrate better cardioprotective properties compared to the raw
fruit.
PMID- 21894327
TI - Raspberry juice consumption, oxidative stress and reduction of atherosclerosis
risk factors in hypercholesterolemic golden Syrian hamsters.
AB - The effects of raspberries on early atherosclerosis in Syrian hamsters were
investigated using three juices prepared from var. Cardinal, Glen Ample and
Tulameen berries. The hamsters received an atherogenic diet for 12 weeks and at
the same time a juice at a daily dose corresponding to the consumption of 275 ml
by a 70 kg human. A control group received the same diet with water instead
juice. The principal polyphenolic compounds in the juices were anthocyanins and
ellagitannins, which were present at concentrations of 218-305 MUg mL(-1) and 45
72 MUg mL(-1), respectively. The three juices had similar but not identical
effects. They all inhibited cardiac and aortic production of superoxide anion and
increased hepatic glutathione peroxidase activity although only Tulameen juice
brought about a significant increase in superoxide dismutase activity. Glen Ample
was the only juice to significantly increase plasma paraoxonase activity. All the
juices lowered plasma triglyceride level while consumption of Tulameen and
Cardinal, but not Glen Ample, significantly lowered plasma total cholesterol and
LDL-cholesterol. Cardinal was the sole juice to significantly increase HDL
cholesterol and likewise it also significantly reduced body weight. These
findings suggest that moderate consumption of raspberry juices can help to
prevent the development of early atherosclerosis, with the underlying mechanisms
related to improved antioxidant status and serum lipid profiles.
PMID- 21894328
TI - Dietary vitamin K alleviates the reduction in testosterone production induced by
lipopolysaccharide administration in rat testis.
AB - Vitamin K is essential for the posttranslational modifications of blood
coagulation factors and proteins present in the bone matrix. Vitamin K is
distributed not only in the liver and bones but is also abundant in the brain,
kidney, and gonadal tissues. However, the function of extra-hepatic/bone vitamin
K has not been fully elucidated. Previously, we observed that dietary
supplementation with vitamin K suppresses inflammation, and vitamin K deficiency
decreases testicular testosterone production in rats. Here, we examined whether
the dietary vitamin K state affects testicular steroidogenesis in
lipopolysaccharide (LPS)-treated rats because vitamin K has anti-inflammatory
activity. Male Wistar rats were fed either vitamin K-free or control diets for 35
d, and then intraperitoneally administered LPS (0.5 mg kg(-1) body weight) to
induce inflammation for 6 h. Vitamin K deficiency symptoms were not observed in
the vitamin K-free diet group; however, the vitamin K levels in the testis were
significantly lower in the vitamin K-free diet group than in the control diet
group. After LPS treatment, plasma testosterone levels were significantly reduced
in the vitamin K-free diet group compared with the control diet group. Testicular
mRNA and protein levels of Cyp11a, a rate-limiting enzyme in steroidogenesis,
corresponded to plasma testosterone levels. However, plasma luteinizing hormone
levels were unaffected by diet and LPS. Phosphorylated nuclear factor kappaB p65
in the testis was significantly increased in the LPS-treated, vitamin K-free diet
group compared with control. These results indicate that dietary vitamin K
affects testicular vitamin K levels and ameliorates the LPS-induced reduction in
testicular testosterone synthesis. Testicular vitamin K might facilitate the
inhibition of inflammation signal transduction and maintain steady levels of
testosterone.
PMID- 21894329
TI - Bioavailability of hop-derived iso-alpha-acids and reduced derivatives.
AB - Iso-alpha-acids (IAA) and their reduced derivatives (dihydro-iso-alpha-acids
(DHIAA) and tetrahydro-iso-alpha-acids (THIAA)) have been administered to Caco-2
cell monolayers (30, 60, and 120 MUM) to investigate epithelial transport, in
both absorptive and secretive directions. In addition, 25 mg kg(-1) IAA, DHIAA,
and THIAA were applied to New Zealand white rabbits (+/-3-3.5 kg) in a single
intravenous and oral dose. The most important pharmacokinetic parameters (C(max),
t(max), half life, clearance, and AUC(0-infinity)) and the absolute
bioavailability were determined for each class of hop acid. The results from the
in vitro Caco-2 study of IAA, DHIAA, and THIAA, showed a higher membrane
permeability for IAA and THIAA, both in absorptive (P(appAB) range 1.6-5.6 * 10(
6) cm s(-1)) and secretive directions (P(appBA) range 5.7-16.3 * 10(-6) cm s(
1)), when compared to DHIAA. Factors limiting transport of DHIAA could include
phase II metabolism. After oral and i.v. dosing to New Zealand white rabbits, the
absolute bioavailability for IAA was determined to be 13.0%. The reduced
derivatives reached higher bioavailabilities with 28.0% for DHIAA and 23.0% for
THIAA. The area under curve AUC(0-infinity) upon oral gavage for DHIAA and THIAA
was 70.7 +/- 48.4 MUg h ml(-1) and 57.4 +/- 9.0 MUg h ml(-1), respectively, while
that for IAA was 10.6 +/- 5.3 MUg h ml(-1). Phase I metabolism was indicated as
the main factor limiting the bioavailability of IAA. Bioavailability of DHIAA is
mostly influenced by phase-II metabolism as shown by enzymatic hydrolysis of
plasma samples upon administration of DHIAA.
PMID- 21894330
TI - New insights on the interaction mechanism between tau protein and oleocanthal, an
extra-virgin olive-oil bioactive component.
AB - Oleocanthal (OLC) is a phenolic component of extra-virgin olive oil, recently
supposed to be involved in the modulation of some human diseases, such as
inflammation and Alzheimer. In particular, OLC has been shown to abrogate
fibrillization of tau protein, one of the main causes of Alzheimer
neurodegeneration. A recent interpretation of this mechanism has been attempted
on the basis of OLC reactivity with the fibrillogenic tau hexapeptide VQIVYK and
SDS-PAGE of OLC/tau incubation mixtures, suggesting that covalent modification
events modulate tau fibrillization. In this paper we report a detailed mass
spectrometric investigation of the OLC reactive profile with both tau protein
fibrillogenic fragment K18 and propylamine in biomimetic conditions. We show that
K18 is prone to be covalently modified by OLC through Schiff base formation
between the epsilon-amino group of lysine residues and OLC aldehyde carbonyls.
Moreover, as expected from its de-structured conformation, K18 shows a non
selective modification profile, reacting with several lysine residues to give
cyclic pyridinium-like stable adducts. These data give new insights on the
mechanism of inhibition of tau fibrillization mediated by OLC.
PMID- 21894331
TI - Magnetic and thermal properties of three ionothermally synthesized metal
carboxylate frameworks of [M3(ip)4][EMIm]2 (M = Co, Ni, Mn, H2ip = isophthalic
acid, EMIm = 1-ethyl-3-methyl imidazolium).
AB - Three metal-organic frameworks, [M(3)(ip)(4)][EMIm](2) (M = Co 1, Ni 2, Mn 3,
H(2)ip = isophthalic acid, EMIm = 1-ethyl-3-methyl imidazolium) were prepared
from an ionic liquid medium. All the compounds feature the same (4(24))(6(4))
topology based on linear trinuclear clusters as eight-connected nodes. Compounds
1 and 2 are isostructural, while compound 3 exhibits a different structure due to
the slight difference in the arrangement of M(3)(OOCR)(8) SBUs. Magnetic property
measurements reveal that all the compounds display anti-ferromagnetic coupling,
where compounds 2 and 3 show isotropic exchange interactions of -0.10 cm(-1) for
2 and -1.6 cm(-1) for 3. Investigation of the thermal diffusivity shows that the
thermal diffusivity of 1 is higher than that of 3, while that of 3 is higher than
that of 2.
PMID- 21894332
TI - Acceptor (CF3)PCPH pincer reactivity with (PPh3)3Ir(CO)H.
AB - The syntheses of Ir(I) and Ir(III) complexes incorporating the electron
withdrawing pincer ligand (1,3-C(6)H(4)(CH(2)P(CF(3))(2))(2)) ((CF(3))PCPH) with
(PPh(3))(3)Ir(CO)H and subsequent chemistry are reported. Under ambient
conditions, reaction of 1 equiv. (CF(3))PCPH with (PPh(3))(3)Ir(CO)H gave the
mono-bridged complex [Ir(CO)(PPh(3))(2)(H)](2)(MU-(CF(3))PCPH) (1). Reaction of
(PPh(3))(3)Ir(CO)H with excess (CF(3))PCPH and MeI gave the doubly-bridged
complex [Ir(CO)(PPh(3))(H)](2)(MU-(CF(3))PCPH)(2) (2), whereas the tetrameric
oligomer [Ir(CO)(PPh(3))(H)](4)(MU-(CF(3))PCPH)(4) (2-sq) was obtained from a 1:1
ligand:metal mixture in benzene in the presence of excess MeI. At higher
temperatures (165 degrees C) the reaction of (CF(3))PCPH with (PPh(3))(3)Ir(CO)H
afforded the 5-coordinate Ir(I) complex ((CF(3))PCP)Ir(CO)(PPh(3)) (3). Complex 3
shows mild catalytic activity for the decarbonylation of 2-naphthaldehyde in
refluxing diglyme (162 degrees C).
PMID- 21894333
TI - A temperature-dependent order-disorder and crystallographic phase transition in a
0D Fe(II) spin crossover compound and its non-spin crossover Co(II) isomorph.
AB - The new dipyridylamino/triazine ligand DDE (N(2),N(2),N(4),N(4)-tetraethyl
N(6),N(6)-di(pyridin-2-yl)-1,3,5-triazine-2,4,6-triamine) has been incorporated
into the mononuclear Fe(II) SCO compounds cis-[Fe(II)(NCSe)(2)(DDE)(2)] (1), cis
[Fe(II)(NCBH(3))(2)(DDE)(2)] (2), and cis-[Fe(II)(NCS)(2)(DDE)(2)] (3). Magnetic
susceptibility measurements reveal that each of 1, 2 and 3 undergoes a complete,
continuous spin transition with a T(1/2) of ~260 K, ~300 K and ~205 K,
respectively. An analogue and isomorph of 1, cis-[Co(II)(NCSe)(2)(DDE)(2)] (4),
remains high spin down to low temperatures. Variable temperature single crystal
data reveal that 1 and 4 undergo a crystallographic phase transition (from
orthorhombic Pbcn at high temperatures to monoclinic P2/c at low temperatures)
accompanied by an order-disorder transition of ethyl moieties of the DDE ligand.
In the Pbcn phase, the structures of 1 and 4 contain one crystallographically
unique M(II) centre, while in the P2/c phase, 1 and 4 contain two
crystallographically unique M(II) centres. Variable temperature powder X-ray
diffraction experiments reveal that the crystallographic phase transition occurs
at ~250 K for 1. The occurrence of the concomitant order-disorder and
crystallographic phase transitions undergone by 1 and 4 is not directly apparent
in their magnetic susceptibility measurements, and this is likely due to the
local environment of the M(II) centres remaining largely undisturbed as the
transitions occur. The compound 2 is isostructural to 1 and 4 at low
temperatures.
PMID- 21894334
TI - Synthesis and characterization of platinum(II) di-ynes and poly-ynes
incorporating ethylenedioxythiophene (EDOT) spacers in the backbone.
AB - A series of trimethylsilyl-protected di-alkynes incorporating 3,4
ethylenedioxythiophene (EDOT) linker groups Me(3)Si-C=C-R-C=C-SiMe(3) (R =
ethylenedioxythiophene-3,4-diyl 1a, 2,2'-bis-3,4-ethylenedioxythiophene-5,5'-diyl
2a, 2,2',5',2''-ter-3,4-ethylenedioxythiophene-5,5''-diyl 3a) and the
corresponding terminal di-alkynes, H-C=C-R-C=C-H 1b-2b has been synthesized and
characterized and the single crystal X-ray structure of 1a has been determined.
CuI-catalyzed dehydrohalogenation reaction between trans-[(Ph)(Et(3)P)(2)PtCl]
and the terminal di-alkynes 1b-2b in (i)Pr(2)NH/CH(2)Cl(2) (2:1 mole ratio) gives
the Pt(II) di-ynes trans-[(Et(3)P)(2)(Ph)Pt-C=C-R-C=C-Pt(Ph)(Et(3)P)(2)] 1M-2M
while the dehydrohalogenation polycondensation reaction between trans
[((n)Bu(3)P)(2)PtCl(2)] and 1b-2b (1:1 mole ratio) under similar reaction
conditions affords the Pt(II) poly-ynes trans-[Pt(P(n)Bu(3))(2)-C=C-R-C=C-](n)1P
2P. The di-ynes and poly-ynes have been characterized spectroscopically and, for
1M and 2M, by single-crystal X-ray which confirms the "rigid rod" di-yne
backbone. The materials possess excellent thermal stability, are soluble in
common organic solvents and readily cast into thin films. Optical absorption
spectroscopic measurements reveal that the EDOT spacers create stronger donor
acceptor interactions between the platinum(II) centres and conjugated ligands
along the rigid backbone of the organometallic polymers compared to the related
non-fused and fused oligothiophene spacers.
PMID- 21894335
TI - One-pot synthesis of pyrrolo[1,2-a]quinoxalines.
AB - A transition metal-free process for the regioselective synthesis of pyrrolo[1,2
a]quinoxalines under mild conditions in one-pot is described. The reaction
afforded a variety of products in good to excellent yields. Indolo[1,2
a]quinoxalines were also synthesized from indole-2-carboxamides under the same
conditions.
PMID- 21894336
TI - Applications of nanoscale carbon-based materials in heavy metal sensing and
detection.
AB - This article reviews applications of nanoscale carbon-based materials in heavy
metal sensing and detection. These materials, including single-walled carbon
nanotubes, multi-walled carbon nanotubes and carbon nanofibers among others, have
unique and tunable properties enabling applications in various fields spanning
from health, electronics and the environment sector. Specifically, we highlight
the unique properties of these materials that enable their applications in the
sorption and preconcentration of heavy metals ions prior to detection by
spectroscopic, chromatographic and electrochemical techniques. We also discuss
their distinct properties that enable them to be used as novel electrode
materials in sensing and detection. The fabrication and modification of these
electrodes is discussed in detail and their applications in various
electrochemical techniques such as voltammetric stripping analysis,
potentiometric stripping analysis, field effect transistor-based devices and
electrical impedance are critically reviewed. Perspectives and futures trends in
the use of these materials in heavy metal sensing and detection will also be
highlighted.
PMID- 21894337
TI - Gold/copper-catalyzed activation of the aci-form of nitromethane in the synthesis
of methylene-bridged bis-1,3-dicarbonyl compounds.
AB - Activation of the aci-form of nitromethane using Lewis acids for the attack of
carbon nucleophiles was studied. 1,3-Dicarbonyl compounds in the presence of
catalytic amounts of AuCl(3) or Cu(OTf)(2) in nitromethane solvent could be
converted into methylene-bridged bis-1,3-dicarbonyl compounds.
PMID- 21894338
TI - How does trimethylamine N-oxide counteract the denaturing activity of urea?
AB - Trimethylamine N-oxide, TMAO, stabilizes globular proteins and is able to
counteract the denaturing activity of urea. The mechanism of this counteraction
has remained elusive up to now. A rationalization is proposed grounded on the
same theoretical model used to clarify the origin of cold denaturation, and the
denaturing activity of GdmCl versus the stabilizing one of Gdm(2)SO(4) [G.
Graziano, Phys. Chem. Chem. Phys., 2010, 12, 14245-14252; G. Graziano, Phys.
Chem. Chem. Phys., 2011, 13, 12008-12014]. The fundamental quantities are: (a)
the difference in the solvent-excluded volume on passing from the N-state to the
D-state, calculated in water and in aqueous osmolyte solution; (b) the difference
in energetic attractions of the N-state and the D-state with the surrounding
solvent molecules, calculated in water and in aqueous osmolyte solution. In
aqueous 8 M urea + 4 M TMAO solution, the first quantity is so large and positive
to counteract the second one that is large and negative due to preferential
binding of urea molecules to the protein surface. This happens because aqueous 8
M urea + 4 M TMAO solution has a volume packing density markedly larger than that
of water, rendering the cavity creation process much more costly. The volume
packing density increase reflects the strength of the attractions of water
molecules with both urea and TMAO molecules. This mechanism readily explains why
TMAO counteraction is operative even though urea molecules are preferentially
located on the protein surface.
PMID- 21894339
TI - Proteomic analysis of gemcitabine-induced drug resistance in pancreatic cancer
cells.
AB - Currently, the most effective agent against pancreatic cancer is gemcitabine
(GEM), which inhibits tumor growth by interfering with DNA replication and
blocking DNA synthesis. However, GEM-induced drug resistance in pancreatic cancer
compromises the therapeutic efficacy of GEM. To investigate the molecular
mechanisms associated with GEM-induced resistance, 2D-DIGE and MALDI-TOF mass
spectrometry were performed to compare the proteomic alterations of a panel of
differential GEM-resistant PANC-1 cells with GEM-sensitive pancreatic cells. The
proteomic results demonstrated that 33 proteins were differentially expressed
between GEM-sensitive and GEM-resistant pancreatic cells. Of these, 22 proteins
were shown to be resistance-specific and dose-dependent in the regulation of GEM.
Proteomic analysis also revealed that proteins involved in biosynthesis and
detoxification are significantly over-expressed in GEM-resistant PANC-1 cells. In
contrast, proteins involved in vascular transport, bimolecular decomposition, and
calcium-dependent signal regulation are significantly over-expressed in GEM
sensitive PANC-1 cells. Notably, both protein-protein interaction of the
identified proteins with bioinformatic analysis and immunoblotting results showed
that the GEM-induced pancreatic cell resistance might interplay with tumor
suppressor protein p53. Our approach has been shown here to be useful for
confidently detecting pancreatic proteins with differential resistance to GEM.
Such proteins may be functionally involved in the mechanism of chemotherapy
induced resistance.
PMID- 21894340
TI - Glutathione radical cation in the gas phase; generation, structure and
fragmentation.
AB - Two different chemical methods have been used to form glutathione radical
cations: (1) collision-induced dissociations (CIDs) of the ternary complex
[Cu(II)(tpy)(M)](2+) (M = GSH, tpy = 2,2':6',2''-terpyridine) and (2) homolysis
of the S-NO bond in protonated S-nitrosoglutathione. The radical cations, M(+),
were trapped and additional CIDs were performed. They gave virtually identical
CID spectra, suggesting a facile interconversion between initial structures prior
to fragmentation. DFT calculations at the B3LYP/6-31++G(d,p) level of theory have
been used to study interconversion between different isomers of the glutathione
radical cation and to examine mechanisms by which these ions fragment. The N
terminal alpha-carbon-centred radical cation, strongly stabilized by the
captodative effect, is at the global minimum, which is 8.5 kcal mol(-1) lower in
enthalpy than the lowest energy conformer of the S-centred radical cation. The
barrier against interconversion is 18.1 kcal mol(-1) above the S-centred radical.
PMID- 21894341
TI - Hypocrellin-B acetate as a fluorogenic substrate for enzyme-assisted cell
photosensitization.
AB - Photosensitizing molecules (PSs) undergo chemico-physical changes upon addition
of suitable substituents, influencing both their photophysical properties and
their ability to accumulate into cells. Once inside the cells, the modified PS
acts as a fluorogenic substrate: the added substituent is removed by a specific
enzyme, restoring the native PS in subcellular sensitive sites. We investigated
the photophysical properties and interaction with HeLa cells of Hypocrellin-B
(HypB), as native molecule and upon acetate-group addition (HypB-Ac). Chemical
modification alters both absorption and fluorescence features of HypB;
consequently, the dynamics of the enzyme hydrolysis of HypB-Ac can be monitored
through restoring the native HypB spectral properties. At the cellular level,
only the HypB emission signal was detected within 5 min of incubation with either
HypB or HypB-Ac, allowing a direct comparison of the time courses of their
intracellular accumulation. Plateau values were reached within 15 min of
incubation with both compounds, the emission signals being significantly higher
in HypB-Ac than in HypB treated cells. Consistently, imaging showed a rapid
appearance of red fluorescence in the cytoplasm, with more abundant bright spots
in HypB-Ac treated cells. Both compounds did not induce dark toxicity at
concentrations up to 1 * 10(-6) M, while upon irradiation at 480 nm phototoxicity
was significantly higher for cells exposed to HypB-Ac than for HypB-loaded cells.
These findings suggest an improved efficacy of acetylated HypB to be internalized
by cells through membrane trafficking, with a preferential interaction of the
photoactive molecules on sensitive intracellular sites. After irradiation, in
HypB-Ac treated cells, prominent disorganization of several cytoplasmic
organelles such as the endoplasmic reticulum, Golgi apparatus, lysosomes,
microfilaments and microtubules were observed.
PMID- 21894342
TI - The Baylis-Hillman reaction: a novel concept for creativity in chemistry.
AB - This tutorial review highlights the way in which the Baylis-Hillman reaction has
been increasingly attracting the attention of synthetic and medicinal chemists;
it not only helps in originating new ideas to create novel methodologies and
molecules but also offers intellectual challenges to understand and address the
present day needs in the areas of organic and medicinal chemistry.
PMID- 21894343
TI - Synthetic mammalian gene networks as a blueprint for the design of interactive
biohybrid materials.
AB - Synthetic biology aims at the rational design and construction of devices,
systems and organisms with desired functionality based on modular well
characterized biological building blocks. Based on first proof-of-concept studies
in bacteria a decade ago, synthetic biology strategies have rapidly entered
mammalian cell technology providing novel therapeutic solutions. Here we review
how biological building blocks can be rewired to interactive regulatory genetic
networks in mammalian cells and how these networks can be transformed into open-
and closed-loop control configurations for autonomously managing disease
phenotypes. In the second part of this tutorial review we describe how the
regulatory biological sensors and switches can be transferred from mammalian cell
synthetic biology to materials sciences in order to develop interactive biohybrid
materials with similar (therapeutic) functionality as their synthetic biological
archetypes. We develop a perspective of how the convergence of synthetic biology
with materials sciences might contribute to the development of truly interactive
and adaptive materials for autonomous operation in a complex environment.
PMID- 21894344
TI - Two novel Dy8 and Dy11 clusters with cubane [Dy4(MU3-OH)4]8+ units exhibiting
slow magnetic relaxation behaviour.
AB - Two unique octa- and hendeca-nuclear dysprosium(III) clusters incorporating
[Dy(4)(MU(3)-OH)(4)](8+) cubane units have been synthesized with the 1,10
phenanthroline-2,9-dicarbaldehyde dioxime (H(2)phendox) ligand and DyCl(3).6H(2)O
or Dy(OAc)(3).4H(2)O. They are
[Dy(8)(OH)(8)(phendox)(6)(H(2)O)(8)]Cl(2)(OH)(2).18H(2)O.18MeOH (1) and
[Dy(11)(OH)(11)(phendox)(6)(phenda)(3)(OAc)(3)](OH).40H(2)O.7MeOH (2). Adjacent
Dy(8) in 1 or Dy(11) in 2 motifs are packed by off-set pi-pi interactions of the
aromatic rings on phendox(2-) to generate a 3D supramolecular architecture in the
honeycomb topology and with 1D or 3D channels along the c-axis. Adsorption
research shows that complex 1 has selective adsorption ability for H(2)O over
small gas molecules (H(2), N(2), CO(2)). Complex 2 is stable upon the removal of
guest molecules and the desolvated compound absorbed a considerable amount of
CO(2). Furthermore, the oximes underwent hydrolysis to carboxylic acid and the
resulting 1,10-phenanthroline-2,9-dicarboxylate link the dysprosium atoms to form
a hendecanuclear cluster of 2. Magnetic studies reveal that both clusters exhibit
slow magnetic relaxation behavior, expanding upon the recent reports of the pure
4f type single-molecule magnets (SMMs).
PMID- 21894345
TI - Regioselectivity in the ring opening of non-activated aziridines.
AB - In this critical review, the ring opening of non-activated 2-substituted
aziridines via intermediate aziridinium salts will be dealt with. Emphasis will
be put on the relationship between the observed regioselectivity and inherent
structural features such as the nature of the C2 aziridine substituent and the
nature of the electrophile and the nucleophile. This overview should allow
chemists to gain insight into the factors governing the regioselectivity in
aziridinium ring openings (81 references).
PMID- 21894346
TI - Preparation of TiO2 nanowires/nanotubes using polycarbonate membranes and their
uses in dye-sensitized solar cells.
AB - Track-etched polycarbonate (PC) membranes were used as a soft template to
synthesize mesoporous TiO(2) for use in dye-sensitized solar cells (DSSCs). The
Ti precursor infiltrated into the cylindrical confined spaces of PC membranes.
Upon calcination at 500 degrees C, TiO(2) nanowires (15TNW) were obtained from
PC with a 15 nm pore diameter, whereas TiO(2) nanotubes (50TNT and 100TNT) were
generated from PC with 50 and 100 nm diameter pores, respectively. TNW and TNT
were used as photoelectrodes in DSSCs employing a polymer electrolyte. The
ranking of the cell efficiencies of the 200 nm thick TiO(2) films was 50TNT
(1.1%) > 15TNW (0.8%) ? 100TNT (0.7%), which was mostly attributed to different
amounts of dye adsorption due to different surface areas. These TNW and TNT films
were further coated with the graft copolymer-directed mesoporous TiO(2) and were
used as interfacial layers between the FTO glass and the 4 MUm thick
nanocrystalline TiO(2) film. As a result, the order of energy conversion
efficiency was 15TNW (5.0%) ? 50TNT (4.8%) > 100TNT (4.1%). The improved
performance of 15TNW was due to a higher transmittance through the electrode and
a longer electron lifetime for recombination. The DSSC performance was
systematically investigated in terms of interfacial resistance and charge
recombination using electrochemical impedance spectroscopy.
PMID- 21894347
TI - Comprehensive quality evaluation of Fructus Schisandrae using electrospray
ionization ion trap multiple-stage tandem mass spectrometry coupled with chemical
pattern recognition techniques.
AB - Electrospray ionization ion trap multiple-stage tandem mass spectrometry (ESI
MS(n)) was used to evaluate Fructus Schisandrae of similar species (Schisandra
chinensis (Turcz.) Baill. fruits and Schisandra sphenanthera Rehd. et Wils.
fruits) and different growth characteristics (color, shape, etc.). The
application of chemical pattern recognition in the ESI-MS(n) data analysis was
carried out by principal component analysis (PCA), hierarchical cluster analysis
(HCA) and linear discriminant analysis (LDA). Then the antioxidant activity of
different Fructus Schisandrae samples were determined by an LC-ESI-MS method and
ferric reducing antioxidant power (FRAP) assay. Using the ESI-MS(n) method
coupled with chemical pattern recognition analysis and correlated with the
antioxidant activity evaluation, the two similar species were successfully
distinguished, thus improving the therapeutic safety and effectiveness. The
superior characteristics of Schisandra chinensis (Turcz.) Baill. fruits were
obtained and made the selection and breeding of Chinese medicine materials more
scientific. This study indicates that ESI-MS(n) is a valuable tool for the
authentication of botanical origin and can also be useful for the quality control
of Chinese medicinal herbs.
PMID- 21894348
TI - Structural reorganization renders enhanced metalloprotein stability.
AB - The enhanced stability of a mesophilic metalloprotein was assessed using
biophysical spectroscopies. Significant local structural interconversions during
thermal insult account for a reorganization of the protein scaffold, without
disturbing the active metal site. This cushioning mechanism is proposed to be a
generic property of metalloproteins contributing to enhanced stability.
PMID- 21894350
TI - A comparison between a new 2-dimensional digital on-screen tooth measurement
method with direct measurements.
AB - OBJECTIVE: To compare the accuracy and reproducibility of tooth measurements
using newly developed software that gives 2-dimensional scanned images of dental
setups with direct measurements using digital calipers. METHODS: This
experimental study was performed at the Dental Laboratories of the Faculty of
Dentistry, University of Jordan, Amman, Jordan from September 2010 to December
2010. Ten sets of acrylic teeth (10 upper and 10 lower arches) were used. The
mesiodistal width of each individual tooth was measured using a digital caliper
(method I), which was considered the gold standard. The teeth were set to create
20 dental setups. The mesiodistal widths of teeth on the created setups were then
measured by using a digital caliper (method II). The dental setups were then
scanned using a flatbed computer scanner and tooth width measurements were
performed using a special computer program (method III). Tooth measurements were
divided into 6 groups, and the 3 methods were compared. RESULTS: There was a
statistical significant difference between the 3 measurement methods in most of
the measured tooth groups. Methods I and II exhibited significant differences for
most of tooth groups (ranged from 0.02 to 0.22 mm) while no significant
difference was found between methods I and III (ranged from 0.03 to 0.11 mm).
CONCLUSION: Tooth width measurement with on-screen 2-dimensional scanned images
of dental casts is comparable to measurements obtained using direct digital
caliper.
PMID- 21894349
TI - Survival and long-term neurodevelopmental outcome of the extremely preterm
infant. A systematic review.
AB - Survival rates among live births in North American tertiary perinatal centers
since 1990 were 28% at 23 weeks, 52% at 24, 70% at 25 and 83% at 26 weeks.
However, there is wide variation among centers. Survival rates in 2010 among
tertiary centers in the United States participating in the Vermont-Oxford Network
were 34% at 23 weeks, 61% at 24, 79% at 25, and 87% at 26. All reports of
neurodevelopmental outcome of extremely preterm infants in the English literature
were reviewed. This literature is very heterogeneous and prevalence highly
variable. Major limitations are astonishing variation in criteria for major
disability and that, even with the same disability criteria, children with major
disabilities are functionally very heterogeneous. Mean prevalence of disability
in the literature is 36%, but ranges from 10-61%. This literature could be
improved if survivors were followed until early school age, there were more
uniform reporting by week of gestation, and outcomes of term control groups were
included.
PMID- 21894351
TI - Medial open reduction of developmental dysplasia of the hip using the Weinstein
Ponseti approach.
AB - OBJECTIVE: To evaluate the efficacy and safety of medial open reduction using the
Weinstein-Ponseti approach to treat developmental dysplasia of the hip (DDH).
METHODS: In this retrospective study, 35 hips were treated in 24 children (one
boy and 23 girls, mean age 10.8+/-2.82 months, range 6-16 months) in the
Department of Orthopedic Surgery, Medical Faculty, Harran University, Sanliurfa,
Turkey, between April 1997 and February 2009. We evaluated radiologically the
patient's hip pre-operatively using the Tonnis grading system and acetabular
index. Group 1 included 13 patients aged younger than 12 months (19 hips) and
Group 2 included 11 patients aged 12 months or older (16 hips). Clinical and
radiographic evaluations were made according to the McKay and Severin's criteria,
respectively. Avascular necrosis (AVN) was evaluated according to the Kalamchi
and Mac Ewen's classification. RESULTS: The average follow-up period was 5.6 +/
3.1 years (range, 2-12 years). Five hips (14.2%) required a second procedure.
Avascular necrosis was observed in 6 hips (17.1%). Clinical and radiological
assessments showed that the outcome was not significantly different between the 2
groups. Furthermore, after this follow up period, the rates of AVN were similar.
CONCLUSION: Analyzing retrospectively after comparison of this method before and
after the age of 12 months, we found no difference between the 2 groups. Children
with DDH can be successfully treated by this method up to 16 months.
PMID- 21894352
TI - Pattern of relapse after curative surgery for metastatic colorectal cancer.
AB - OBJECTIVE: To investigate patterns in the relapse frequency after curative
surgical intervention, with the intention of determining the feasibility of a
complete holiday from chemotherapy for metastatic colorectal cancer (mCRC)
patients. METHODS: Patients with stage IV mCRC who received curative surgical
intervention between January 1999 and December 2009 at Changhua Christian
Hospital, Changhua, Taiwan were investigated retrospectively. Factors influencing
the frequency and pattern of relapse were analyzed by logistic regression.
Factors influencing overall survival (OS) were analyzed with Cox proportional
hazard ratios. Significant factors were extracted and relationships to OS were
evaluated by Kaplan-Meier with Log-Rank test. RESULTS: One hundred and thirty-two
patients were included in the study in which 94 (71.2%) suffered from relapse.
The number of relapses peaked between 3 and 6 months. The incidence of relapse
and Disease-free survival had a negative influence on OS, with a hazard ratio
(HR) of 0.36 (95% CI: 0.01-0.26) and 0.93 (95% CI: 0.90-0.95). The prognosis was
significantly worse when the relapse (n=25) occurred within 6 months after
metastectomy (p<0.001). Patients exhibited significantly better long-term OS if
the relapse does not occur within 28 months after surgery (p<0.001). CONCLUSION:
Early relapse indicated a worse prognosis. We determined that if mCRC patients
remain cancer-free for 28 months after curative surgery, their chance of long
term survival is significantly better.
PMID- 21894353
TI - Conservative treatment of ovarian cancer. Safety, ovarian function preservation,
reproductive ability, and emotional attitude of the patients in Saudi Arabia.
AB - OBJECTIVE: To evaluate the safety, ovarian function preservation, reproductive
ability, and the emotional attitude after a conservative surgery for ovarian
cancer. METHODS: This is a retrospective study of women conservatively treated
for primary ovarian cancer between January 2000 and December 2010 at King
Abdulaziz University Hospital, Jeddah, Kingdom of Saudi Arabia. Patient's charts
were reviewed for pathology, stage, requirement of adjuvant chemotherapy, and
recurrent, as well as menstrual history, and pregnancy after treatment. During
follow up the patients were asked 3 questions about their emotional attitude
toward their disease. RESULTS: There were 39 patients identified (mean age 22
years). Thirty-one (80%) patients were presented with stage I and 20 (52%) were
Germ cell tumor. Fifteen (39%) patients received initial chemotherapy after
primary surgery. Three (8%) patients had recurrent. Thirty-eight (98%) patients
retuned to a regular menstruation after treatment. Eight patients (20%) had a
normal pregnancy. Of the respondents to the given questions, 10 (44%) patients
claimed that their disease did not have any impact on their desire to have
children and 12 patients (52%) feared that their ovarian disease could have
damage in their reproductive potential. Only 9 patients (39%) had no concerned
about the effect of the treatment they received on the offspring. CONCLUSION:
Fertility sparing surgery in ovarian cancer appears to be safe, and a practical
treatment option in selected cases with ovarian cancer diagnosis. Most patients
can have ovarian preservation after treatment and should not be discouraged from
getting pregnant.
PMID- 21894354
TI - Subclinical atherosclerosis in obese adolescents with normal left ventricular
function.
AB - OBJECTIVE: To assess the impact of obesity on carotid intima media thickness and
left ventricular (LV) mass in obese adolescents. METHODS: The study included 52
obese adolescents (mean age 14.16+/-2.64 years) and 52 healthy adolescents who
served as a control group (mean age 12+/-2.3 years), who were attended the
outpatient clinic at Suez Canal University Hospital, Ismailia, Egypt. The study
population was submitted for medical history, clinical examination, laboratory
investigations (fasting blood sugar and lipid profile), and echocardiographic
examination of LV mass and dimensions. Assessment of carotid intima-media
thickness was carried out by using carotid duplex. All children had normal LV
function. RESULTS: Obese adolescents had a significant increase in total
cholesterol, triglyceride, LDL-C, and low HDL-C compared to the control group.
Also, there was a significant increase in blood pressure, carotid intima media
thickness, LV mass, and LV mass index. There was a significant correlation
between BMI and dyslipidemia, blood pressure, carotid intima/media thickness, LV
mass, and posterior wall thickness. Carotid intima-media thickness had a
significant correlation with increased LDL-C and low HDL-C, blood pressure, LV
mass, and posterior wall thickness. CONCLUSION: Obesity in childhood and
adolescents is associated with subclinical atherosclerosis. Although obese
children had no LV dysfunction, yet there are LV structure changes.
PMID- 21894355
TI - The relation between vitamin D deficiency and fibromyalgia syndrome in women.
AB - OBJECTIVE: To define the relationship between vitamin D deficiency and
fibromyalgia syndrome. METHODS: This is a prospective cohort study for
description of a medical disorder. The study was carried out in Sultan Bin
Abdulaziz Humanitarian City, Riyadh, Kingdom of Saudi Arabia from May 2007 to
March 2010. One hundred women suffering from fibromyalgia syndrome were included.
Blood level of 25-hydroxyvitamin D [25(OH) D] was estimated at initial visit and
every 4 weeks until its level exceeded 50 ng/mL. The patients with vitamin D
deficiency were treated with ergocalciferol 50,000 IU once weekly until their
blood level of 25(OH) D exceeded 50 ng/mL. The number of tender points and the
revised Fibromyalgia Impact Questionnaire (FIQR) score were used to assess the
fibromyalgia before and after vitamin D repletion. RESULTS: Among the 100
fibromyalgia women, there were 61 women with 25(OH) D deficiency; with vitamin D
supplementation, only 42 women showed a significant improvement when their blood
level of 25(OH) D became>or=30 ng/mL, this improvement became more significant
when their blood level of 25(OH) D exceeded 50 ng/ mL. CONCLUSION: Vitamin D
deficiency has to be considered in the management of fibromyalgia syndrome.
PMID- 21894356
TI - Age-specific reference range for serum prostate-specific antigen in Sudanese men.
AB - OBJECTIVE: To determine age-specific prostate-specific antigen (PSA) reference
values in a community-based sample of Sudanese men, aged 40-90 years. METHODS:
This study was conducted in the Central Laboratory Services, Soba University
Hospital, Khartoum, Sudan from January 2008 to May 2010. Total serum PSA in 3475
Sudanese men with no clinical evidence of lower urinary tract symptoms were
measured using immunoenzometric assay. Out of the 3475, only 1051 men met the
inclusion criteria. Those with total PSA<10 ng/ml and no recent urinary tract
infection were included in this study. The data were analyzed using SPSS for
Windows to define age-specific reference ranges of PSA. RESULTS: The geometric
mean of total serum PSA in the study group of Sudanese men was 1.48 ng/ml. The
95th percentile total serum PSA ranges in the age groups was 0-3 ng/ml for 40-49
years, 0-3.02 ng/ml for 50-59 years, 0-3.8 ng/ml for 60-69 years and 0-8.7 ng/ml
for 70-90 years. CONCLUSION: The currently adopted age-specific total PSA
reference ranges are not appropriate for Sudanese men. Accordingly, our study
indicates that the reference ranges of this study may be more suitable.
PMID- 21894357
TI - Impact of pH1N1 influenza A infections on the Otolaryngology, Head and Neck
Clinic during Hajj, 2009.
AB - OBJECTIVE: To examine the possible implications of the 2009 H1N1 influenza A
(pandemic flu, pH1N1) on this religious gathering and provide a response plan for
the Otolaryngology, Head and Neck (ENT) clinic during the Hajj pilgrimage.
METHODS: This study was conducted between November and December 2009. All
patients presenting to the ENT clinic at Al-Noor Specialist Hospital, Makkah,
Kingdom of Saudi Arabia were recorded. Descriptive statistics were used to
analyze the data. RESULTS: A total of 3087 patients were included (mean age 35+/
7.8 years), of which, 2114 were males (68.5%), and 973 females (31.5%, male to
female gender ratio=2.17:1). Among them, 1467 patients (47.5%) were Hajji and
1620 patients (52.5%) were non-Hajji. Saudi patients comprised 1602 (51.8%),
while non-Saudi's comprised 1485 (48.2%). Upper respiratory tract infections
(URTI) including the diagnosis of pharyngitis, viral URTI, pH1N1, and tonsillitis
represented (92%) of total diagnoses. Of these, only 77 suspected pH1N1 cases
(2.5%) were observed in the ENT clinic. Management of 3045 patients (98.6%)
included antimicrobials as part of their main therapy. CONCLUSION: The pH1N1
cases presenting to our ENT Hajj clinic had a minimal impact on the clinic
operation. The ENT Hajj Clinic helped alleviate pressure from the ER. Excessive
use of antibiotic usage should be discouraged.
PMID- 21894358
TI - Role of selected simple non-invasive laboratory investigations in assessing
functional abdominal pain in children aged 5-15 years in Khartoum, Sudan.
AB - OBJECTIVE: To determine simple laboratory test in children with functional
abdominal pain, to compare this test in those with functional abdominal pain in
normal children and to try to search for it cause if any, and to determine the
symptoms and signs of the disease. METHODS: This study was carried out over a
period of 3 years, from March 2007 to February 2010 at Gaffar Ibn Auf Specialized
Hospital for Children, Khartoum, Sudan. The study was conducted to determine role
of simple investigations for functional abdominal pain (FAP) in a group of 35
children with abdominal pain and compared it to the control group (42 children)
with an age range of 4-15 years. RESULTS: The results showed no parasitic, no
urinary track infection, no Helicobacter pylori infection association with
functional abdominal pain. However, gender, socioeconomic status, weight >50th
centile had a significant association with functional abdominal pain. CONCLUSION:
Functional abdominal pain is very confusing and puzzling condition to doctors,
parents, and patients. Establishment of centers for medical education regarding
this cause of abdominal pain is needed.
PMID- 21894359
TI - Ulcerative colitis in children and adolescents from the Western Region of Saudi
Arabia.
AB - OBJECTIVE: To examine the clinical pattern of pediatric ulcerative colitis (UC)
at King Abdul-Aziz University Hospital, Jeddah, Saudi Arabia. METHODS: In this
retrospective study, we collected data from the medical and endoscopy records of
pediatric ulcerative colitis patients between January 2001 and March 2010. The
study took place in the Department of Pediatrics, Faculty of Medicine and King
Abdul-Aziz University Hospital, King Abdul-Aziz University, Jeddah, Kingdom of
Saudi Arabia. RESULTS: Fifty-seven patients were investigated with mean age+/-SD
at diagnosis of 8.8+/-4.9 years. The study population comprised of 54 (59.6%)
females and 42 (73.7%) males. The most common symptoms identified were abdominal
pain (93%), rectal bleeding (93%), and diarrhea (86%). The extra-intestinal
manifestations were observed in some patients (7%), and 2 (3.5%) had family
history of first-degree relatives with inflammatory bowel disease (IBD). The
anatomical extent of UC was severe in 77.2%, left sided in 12.3%, and distal in
10.5%. The endoscopic assessment of mucosal inflammation was graded as moderate
to severe. The disease pattern included chronic relapse type (50.9%), initial
onset type (40.4%), and chronic continuous type with intermittent exacerbation
(8.8%). Laboratory results demonstrated the following symptoms such as anemia
(86%), thrombocytosis (74.4%), hypoalbuminemia (54.4%), high erythrocyte
sedimentation rate (83%) and high C-reactive protein (77.8%). CONCLUSION: The
incidence of UC in the western region of Saudi was found to be similar with
reports from the Western population. Pediatricians should be conscious of such
manifestations with early referral to specialized centers in order to avoid
unnecessary delay in diagnosis and complications.
PMID- 21894360
TI - Screening of depression among patients in Family Medicine in Southeastern Saudi
Arabia.
AB - OBJECTIVE: To determine the prevalence rate of depression and its relationship
with patients' socio-demographic characteristics and chronic diseases. METHODS:
This cross sectional study was performed at the Family Medicine Department,
Sharurah Armed Forces Hospital (SAFH), Sharurah, Kingdom of Saudi Arabia. Two
hundred and eighty adult subjects were randomly selected. Patient Health Outcomes
9 Symptom Checklist was used for screening of depression in each participant.
Also, information on socio-demographic characteristics and chronic diseases was
collected. The field work was conducted between December 2010 to January 2011 and
the study was completed in March 2011. RESULTS: Out of the 280 patients, 272
responded to the questionnaires with a response rate of 97%. The total number of
males was 116 (42.6%) and females was 156 (57.4%). Depression was diagnosed in
12% (n=33) of screened population. Out of 33 depressed patients, 7 (21%) had
minimal depression, 18 (55%) suffered from mild depression, 3 (9%) with moderate
depression, 3 (9%) with moderately severe depression, and 2 (6%) with severe
depression. The subjects who were living in a room were 4.8 times more likely to
suffer from depression than subjects who stayed in a flat or villa. Also,
employees were 1.7 times more prone to depression than non-working subjects.
CONCLUSION: Depression is a common health problem among primary health care
patients. Primary health care physicians should be the cornerstone in screening
for an underlying depressive disorder and initiating appropriate referral or
treatment.
PMID- 21894361
TI - Effect of quality of life improvement on type 2 diabetes patients' self-esteem.
AB - OBJECTIVE: To study the effects of the quality of life (QoL) improvement on their
QoL and self-esteem. METHODS: This was a random controlled clinical trial study
on 123 type 2 diabetes patients admitted to the Diabetes Clinic in Imam Khomeini
Hospital at Ardebil, Iran from April 2009 to June 2010. The 30-70 years old
participants are afflicted with type 2 diabetes, and randomly divided into 2
groups (experimental group n=61, and control group n=62). The questionnaires were
composed of sociodemographic status, Farrel & Grant, and Rosenberg's self-esteem
questionnaires and the quality of life (QoL) improvement plan was codified to
educate and evaluate them. A p<0.05 was considered significant. RESULTS: Our
study showed that subjects in the experimental group had low self-esteem (13%)
before QOL training, and they had moderate self-esteem after the intervention
(39%), however, the control group had moderate self-esteem (62.5%) in the pre
test, and changed to low self-esteem (12.9%) in the post-test, and there was
significant difference in the previous and next intervention (p<0.05).
CONCLUSION: The QoL improvement had positive effects on diabetic's self-esteem,
and QOL as improved may help to reduce the side effects of type 2 diabetes
process.
PMID- 21894362
TI - Extraskeletal osteosarcoma, telangiectatic variant arising from the small bowel
mesentery.
AB - Extraskeletal osteosarcoma (EOS) is a highly aggressive and rare malignant soft
tissue tumor, characterized by the production of neoplastic osseous tissue
without attachment to the bone or periosteum. It rarely involves the visceral
organs. Only 3 cases of mesenteric EOS have been reported in English literature.
Here, we describe a male patient of 40 years, who was diagnosed to have EOS
arising from small bowel mesentery. This patient presented with lower
gastrointestinal (GI) bleeding. Upper GI endoscopy and colonoscopy were normal.
Computed tomography scan demonstrated a well defined multi-loculated mixed
density mass lesion measuring about 13x7x7 cm in lower abdomen adjacent to small
bowel loops with liver metastasis. Palliative en bloc resection of tumor with
adjacent small bowel was performed. The histopathology revealed a telangiectatic
type osteosarcoma of mesentery. Diagnosis of EOS, its management and the outcome
in context of the current literature are discussed.
PMID- 21894363
TI - Rehabilitation medicine in the Kingdom of Saudi Arabia.
PMID- 21894364
TI - The prevalence of vitamin D deficiency among female college students at Qatar
University.
PMID- 21894365
TI - Vancomycin-resistant Enterococci. Prevalence and risk factors for fecal carriage
in patients at tertiary care hospitals.
PMID- 21894366
TI - Acute life threatening events associated with hypocalcemia and vitamin D
deficiency in early infancy. A single center experience from the Kingdom of Saudi
Arabia.
PMID- 21894367
TI - Hand hygiene compliance rate among healthcare professionals.
PMID- 21894368
TI - The decision of do not resuscitate in pediatric practice.
PMID- 21894370
TI - A comparative evaluation of end-emic and non-endemic region of visceral
leishmaniasis (Kala-azar) in India with ground survey and space technology.
AB - In visceral leishmaniasis, phlebotomine vectors are targets for control measures.
Understanding the ecosystem of the vectors is a prerequisite for creating these
control measures. This study endeavours to delineate the suitable locations of
Phlebotomus argentipes with relation to environmental characteristics between
endemic and non-endemic districts in India. A cross-sectional survey was
conducted on 25 villages in each district. Environmental data were obtained
through remote sensing images and vector density was measured using a CDC light
trap. Simple linear regression analysis was used to measure the association
between climatic parameters and vector density. Using factor analysis, the
relationship between land cover classes and P. argentipes density among the
villages in both districts was investigated. The results of the regression
analysis indicated that indoor temperature and relative humidity are the best
predictors for P. argentipes distribution. Factor analysis confirmed breeding
preferences for P. argentipes by landscape element. Minimum Normalised Difference
Vegetation Index, marshy land and orchard/settlement produced high loading in an
endemic region, whereas water bodies and dense forest were preferred in non
endemic sites. Soil properties between the two districts were studied and
indicated that soil pH and moisture content is higher in endemic sites compared
to non-endemic sites. The present study should be utilised to make critical
decisions for vector surveillance and controlling Kala-azar disease vectors.
PMID- 21894371
TI - Comparison of spoligotyping, mycobacterial interspersed repetitive units typing
and IS6110-RFLP in a study of genotypic diversity of Mycobacterium tuberculosis
in Delhi, North India.
AB - The aim of the present study was to compare polymerase chain reaction (PCR)-based
methods--spoligotyping and mycobacterial interspersed repetitive units (MIRU)
typing--with the gold-standard IS6110 restriction fragment length polymorphism
(RFLP) analysis in 101 isolates of Mycobacterium tuberculosis to determine the
genetic diversity of M. tuberculosis clinical isolates from Delhi, North India.
Spoligotyping resulted in 49 patterns (14 clusters); the largest cluster was
composed of Spoligotype International Types (SITs)26 [Central-Asian (CAS)1-Delhi
lineage], followed by SIT11 [East-African-Indian (EAI) 3-Indian lineage]. A large
number of isolates (75%) belonged to genotypic lineages, such as CAS, EAI and
Manu, with a high specificity for the Indian subcontinent, emphasising the
complex diversity of the phylogenetically coherent M. tuberculosis in North
India. MIRU typing, using 11 discriminatory loci, was able to distinguish between
all but two strains based on individual patterns. IS6110-RFLP analysis (n = 80
strains) resulted in 67 unique isolates and four clusters containing 13 strains.
MIRUs discriminated all 13 strains, whereas spoligotyping discriminated 11
strains. Our results validate the use of PCR-based molecular typing of M.
tuberculosis using repetitive elements in Indian isolates and demonstrate the
usefulness of MIRUs for discriminating low-IS6110-copy isolates, which accounted
for more than one-fifth of the strains in the present study.
PMID- 21894372
TI - Early detection of leprosy by examination of household contacts, determination of
serum anti-PGL-1 antibodies and consanguinity.
AB - A cross-sectional clinical trial in which the serum anti-phenolic glycolipid
(anti-PGL-1) antibodies were analysed in household contacts (HHC) of patients
with leprosy as an adjunct early leprosy diagnostic marker was conducted. The
families of 83 patients underwent clinical examination and serum anti-PGL1
measurement using enzyme-linked immunosorbent assay. Of 320 HHC, 98 were contacts
of lepromatous leprosy (LL), 80 were contacts of borderline lepromatous (BL), 28
were contacts of borderline (BB) leprosy, 54 were contacts of borderline
tuberculoid (BT), 40 were contacts of tuberculoid (TT) and 20 were contacts of
indeterminate (I) leprosy. Consanguinity with the patients was determined for 232
(72.5%) HHC. Of those 232 contacts, 183 had linear consanguinity. Forty-nine HHC
had collateral consanguinity. Fifty-eight contacts (18.1%) tested positive for
anti-PGL1 antibodies. The number of seropositive contacts based on the clinical
forms of the index case was 17 (29.3%) for LL, 15 (25.9%) for BL, one (1.7%) for
BB, 14 (24.1%) for BT, three (5.2%) for TT and eight (13.7%) for I. At the one
year follow-up, two (3.4%) of these seropositive contacts had developed BT
leprosy. The results of the present study indicate that the serum anti-PGL-1 IgM
antibody may be useful for evaluating antigen exposure and as a tool for an early
leprosy diagnosis in HHC.
PMID- 21894373
TI - Projecting the effectiveness of RotaTeq(r) against rotavirus-related
hospitalisations in Brazil.
AB - RotaTeq(r) (Merck & Company, Inc, Whitehouse Station, NJ, USA) is an oral
pentavalent rotavirus vaccine (RV5) that has shown high and consistent efficacy
in preventing rotavirus gastroenteritis (RGE) in randomised clinical trials
previously conducted in industrialised countries with high medical care
resources. To date, the efficacy and effectiveness data for RV5 are available in
some Latin American countries, but not Brazil. In this analysis, we projected the
effectiveness of RV5 in terms of the percentage reduction in RGE-related
hospitalisations among children less than five years of age in four regions of
Brazil, using a previously validated mathematical model. The model inputs
included hospital-based rotavirus surveillance data from Goiania, Porto Alegre,
Salvador and Sao Paulo from 2005-2006, which provided the proportions of
rotavirus attributable to serotypes G1, G2, G3, G4 and G9, and published
rotavirus serotype-specific efficacy from the Rotavirus Efficacy and Safety
Trial. The model projected an overall percentage reduction of 93% in RGE-related
hospitalisations, with an estimated annual reduction in RGE-related
hospitalisations between 42,991-77,383 in the four combined regions of Brazil.
These results suggest that RV5 could substantially prevent RGE-related
hospitalisations in Brazil.
PMID- 21894374
TI - A transient increase in total head phosphotyrosine levels is observed upon the
emergence of Aedes aegypti from the pupal stage.
AB - Phosphorylation and dephosphorylation of protein tyrosine residues constitutes a
major biochemical regulatory mechanism for the cell. We report a transient
increase in the total tyrosine phosphorylation of the Aedes aegypti head during
the first days after emergence from the pupal stage. This correlates with an
initial reduction in total head protein tyrosine phosphatase (PTP) activity.
Similarly, phosphotyrosine (pTyr)-containing bands are seen in extracts prepared
from both male and female heads and are spread among a variety of structures
including the antennae, proboscis and the maxillary palps combined with the
proboscis. Also, mosquitoes treated with sodium orthovanadate, a classical PTP
inhibitor, show reduced blood-feeding activity and higher head tyrosine
phosphorylation levels. These results suggest that pTyr-mediated signalling
pathways may play a role in the initial days following the emergence of the adult
mosquito from the pupal stage.
PMID- 21894375
TI - Raphidascaris (Sprentascaris) lanfrediae sp. nov. (Nematoda: Anisakidae) from the
fish Satanoperca jurupari (Osteichthyes: Cichlidae).
AB - Raphidascaris (Sprentascaris) lanfrediae sp. nov. is described from the intestine
of the freshwater fish Satanoperca jurupari (Heckel) (Cichlidae) from the Guama
River, state of Para, Brazil. The prevalence in fish (n = 59) was 27% with
intensity of one-124 (mean 16) nematodes per fish. The new species is
characterized mainly by the markedly larger size of ventricular appendix in
relation to the oesophagus, presence of short male caudal alae, 14-16 subventral
pairs of preanal papillae and six pairs of postanal papillae.
PMID- 21894377
TI - Plasmodium falciparum gametocyte carriage, emergence, clearance and population
sex ratios in anaemic and non-anaemic malarious children.
AB - Anaemia in falciparum malaria is associated with an increased risk of gametocyte
carriage, but its effects on transmission have not been extensively evaluated in
malarious children. Plasmodium falciparum gametocyte carriage, emergence,
clearance, population sex ratios (SR) (defined as the proportion of gametocytes
that are male), inbreeding rates and temporal changes in SR were evaluated in 840
malarious children. Gametocyte carriage pre-treatment was at a level of 8.1%.
Anaemia at enrolment was an independent risk factor for gametocyte carriage post
treatment. The emergence of gametocytes seven days post-treatment was
significantly more frequent in anaemic children (7/106 vs. 10/696, p = 0.002). In
the initially detected gametocytes, the proportion of children with a male-biased
SR (MBSR) (> 0.5) was significantly higher in anaemic children (6/7 vs. 3/10, p =
0.027). Pre-treatment SR and estimated inbreeding rates (proportion of a mother's
daughters fertilised by her sons) were similar in anaemic and non-anaemic
children. Pre-treatment SR became more female-biased in non-anaemic children
following treatment. However, in anaemic children, SR became male-biased. Anaemia
was shown to significantly increase gametocyte emergence and may significantly
alter the SR of emerging gametocytes. If MBSR is more infective to mosquitoes at
low gametocytaemia, then these findings may have significant implications for
malaria control efforts in endemic settings where malaria-associated anaemia is
common.
PMID- 21894376
TI - Myxidium volitans sp. nov., a parasite of the gallbladder of the fish,
Dactylopterus volitans (Teleostei: Triglidae) from the Brazilian Atlantic coast-
morphology and pathology.
AB - Myxidium volitans sp. nov. (Myxozoa: Myxidiidae) parasitizing the hypertrophied
green-brownish gallbladder of the teleost Dactylopterus volitans, collected in
the Atlantic coast near Niteroi, Brazil was described based on ultrastructural
studies. The spores were fusiform, sometimes slightly crescent-shaped on average
21.7 +/- 0.3 um (mean +/- standard deviation) (n = 50) long and 5.6 +/- 0.4 um (n
= 30) wide. The spore wall was thin and smooth, comprising two equally-sized
valves joined by a hardly visible sutural ridge. Spores containing two pyriform
polar capsules (PC) (5.0 +/- 0.4 * 2.3 +/- 0.3 um) (n = 30) are situated in each
extremity of the spore. The PC wall was composed of hyaline layer (0.20-0.29 um
thick) and by a thin external granular layer. Each PC contains a polar filament
(PF) with irregular arrangements that was projected from its apical region to the
bases of PC and coiled laterally from bases to the tip of PC. Some regular
striations and S-like structures in the periphery of the PFs with four-five
irregular sections were observed. Based on the spore morphology, ultrastructural
differences and the specificity of the host we describe this parasite as a new
myxosporidian, named M. volitans sp. nov.
PMID- 21894378
TI - Specificity of immunoblotting analyses in eosinophilic meningitis.
AB - Angiostrongylus cantonensis and Gnathostoma spinigerum are the two most common
causative parasites of eosinophilic meningitis (EOM). Serological tests are
helpful tools for confirming the identity of the pathogen. Recent reports
determined the specificity of such tests by using normal healthy controls. There
have been limited studies done to rule out the cross-reactivity between these two
causative parasites of EOM. This study aims to assess the specificity of the
serological test in EOM by using each condition as a control for the other.
Thirty-three patients with a diagnosis of EOM were enrolled. Sera from 22
patients with a positive 29-kDa antigenic diagnostic band of A. cantonensis were
tested for the 21 and 24-kDa antigenic bands of G. spinigerum. Similarly, sera of
11 gnathostomiasis patients were tested for the 29-kDa diagnostic band for A.
cantonensis. Only one patient in the angiostrongyliasis group had a positive
result for the 21 and 24-kDa antigenic bands of G. spinigerum, while no
gnathostomiasis patients showed a positive result for the 29-kDa antigenic band
of A. cantonensis. The specificity of the 21 and 24-kDa antigenic bands for
gnathostomiasis and the 29-kDa antigenic band for A. cantonensis was 95.5% and
100%, respectively. The antigenic bands for the diagnosis of gnathostomiasis and
angiostrongyliasis in EOM were highly specific.
PMID- 21894379
TI - Analysis of the expression of toll-like receptors 2 and 4 and cytokine production
during experimental Leishmania chagasi infection.
AB - Toll-like receptors (TLRs) recognise pathogen-derived molecules and influence
immunity to control parasite infections. This study aimed to evaluate the mRNA
expression of TLRs 2 and 4, the expression and production of the cytokines
interleukin (IL)-12, interferon (IFN)-gamma, tumor necrosis factor (TNF)-alpha,
IL-17, IL-10 and transforming growth factor (TGF)-beta and the production of
nitric oxide (NO) in the spleen of mice infected with Leishmania chagasi. It also
aimed to evaluate any correlations between mRNA expression TLR2 and 4 and
cytokines and NO production. Infection resulted in increased TLR2-4, IL-17, TNF
alpha and TGF-beta mRNA expression during early infection, with decreased
expression during late infection correlating with parasite load. IFN-gamma and IL
12 mRNA expression decreased at the peak of parasitism. IL-10 mRNA expression
increased throughout the entire time period analysed. Although TGF-beta, TNF
alpha and IL-17 were highly produced during the initial phase of infection, IFN
gamma and IL-12 exhibited high production during the final phase of infection. IL
10 and NO showed increased production throughout the evaluated time period. In
the acute phase of infection, there was a positive correlation between TLR2-4,
TNF-alpha, IL-17, NO, IL-10 and TGF-beta expression and parasite load. During the
chronic phase of infection, there was a positive correlation between TLR2-4, TNF
alpha, IL-17 and TGF-beta expression and parasite load. Our data suggest that
infection by L. chagasi resulted in modulation of TLRs 2 and 4 and cytokines.
PMID- 21894380
TI - The outcome of acute schistosomiasis infection in adult mice with postnatal
exposure to maternal malnutrition.
AB - Maternal malnutrition during the lactation period in early development may have
long-term programming effects on adult offspring. We evaluated the combined
effects of parasitological behaviour and histopathological features and
malnutrition during lactation. Lactating mice and their pups were divided into a
control group (fed a normal diet of 23% protein), a protein-restricted group (PR)
(fed a diet containing 8% protein) and a caloric-restricted group (CR) (fed
according to the PR group intake). At the age of 60 days, the offspring were
infected with Schistosoma mansoni cercariae and killed at nine weeks post
infection. Food intake, body and liver masses, leptinaemia, corticosteronaemia,
collagen morphometry and neogenesis and the cellular composition of liver
granulomas were studied. PR offspring showed reduced weight gain and hypophagia,
whereas CR offspring became overweight and developed hyperphagia. The pre-patent
period was longer (45 days) in both programmed offspring as compared to controls
(40 days). The PR-infected group had higher faecal and intestinal egg output and
increased liver damage. The CR-infected group showed a lower number of liver
granulomas, increased collagen neogenesis and a higher frequency of binucleate
hepatocytes, suggesting a better modulation of the inflammatory response and
increased liver regeneration. Taken together, our findings suggest that neonatal
malnutrition of offspring during lactation affects the outcome of schistosomiasis
in mice.
PMID- 21894381
TI - Dengue-2 and yellow fever 17DD viruses infect human dendritic cells, resulting in
an induction of activation markers, cytokines and chemokines and secretion of
different TNF-alpha and IFN-alpha profiles.
AB - Flaviviruses cause severe acute febrile and haemorrhagic infections, including
dengue and yellow fever and the pathogenesis of these infections is caused by an
exacerbated immune response. Dendritic cells (DCs) are targets for dengue virus
(DENV) and yellow fever virus (YF) replication and are the first cell population
to interact with these viruses during a natural infection, which leads to an
induction of protective immunity in humans. We studied the infectivity of DENV2
(strain 16681), a YF vaccine (YF17DD) and a chimeric YF17D/DENV2 vaccine in
monocyte-derived DCs in vitro with regard to cell maturation, activation and
cytokine production. Higher viral antigen positive cell frequencies were observed
for DENV2 when compared with both vaccine viruses. Flavivirus-infected cultures
exhibited dendritic cell activation and maturation molecules. CD38 expression on
DCs was enhanced for both DENV2 and YF17DD, whereas OX40L expression was
decreased as compared to mock-stimulated cells, suggesting that a T helper 1
profile is favoured. Tumor necrosis factor (TNF)-alpha production in cell
cultures was significantly higher in DENV2-infected cultures than in cultures
infected with YF17DD or YF17D/DENV. In contrast, the vaccines induced higher IFN
alpha levels than DENV2. The differential cytokine production indicates that
DENV2 results in TNF induction, which discriminates it from vaccine viruses that
preferentially stimulate interferon expression. These differential response
profiles may influence the pathogenic infection outcome.
PMID- 21894382
TI - Comparison of the efficacy of long-lasting insecticidal nets PermaNet(r) 2.0 and
Olyset(r) against Anopheles albimanus under laboratory conditions.
AB - Insecticide-treated nets provide a reduction in human-vector contact through
physical barrier, mortality and/or repellent effects that protect both users and
non-users, thereby protecting the wider community from vector-borne diseases like
malaria. Long-lasting insecticide-treated nets (LLINs) are the best alternative.
This study evaluated the bioefficacy of LLINs PermaNet(r) 2.0 and Olyset(r) under
laboratory conditions with Anopheles albimanus. The laboratory strain was
evaluated for insecticide susceptibility with selected insecticides used for
malarial control. Regeneration time and wash resistance were evaluated with the
standard bioassay cone technique following WHO guidelines. Heat assistance was
used for Olyset(r) nets; the nets were exposed to four different temperatures to
speed the regeneration process. The regeneration study of PermaNet(r) 2.0 showed
that efficacy was fully recovered by 24 h after one and three washes and wash
resistance persisted for 15 washes. Regeneration of Olyset(r) nets was not
observed for nets washed three times, even with the different temperature
exposures for up to seven days. Thus, for Olyset(r) the wash resistance
evaluation could not proceed. Differences in response between the two LLINs may
be associated with differences in manufacturing procedures and species response
to the evaluated LLINs. PermaNet(r) 2.0 showed higher and continuous efficacy
against An. albimanus.
PMID- 21894383
TI - Sequence analysis of the 2009 pandemic influenza A H1N1 virus haemagglutinin gene
from 2009-2010 Brazilian clinical samples.
AB - In this paper, we analysed the haemagglutinin (HA) gene identified by polymerase
chain reaction from 90 influenza A H1N1 virus strains that circulated in Brazil
from April 2009-June 2010. A World Health Organization sequencing protocol
allowed us to identify amino acid mutations in the HA protein at positions S220T
(71%), D239G/N/S (20%), Y247H (4.5%), E252K (3.3%), M274V (2.2%), Q310H (26.7%)
and E391K (12%). A fatal outcome was associated with the D239G mutation (p <
0.0001). Brazilian HA genetic diversity, in comparison to a reference strain from
California, highlights the role of influenza virus surveillance for study of
viral evolution, in addition to monitoring the spread of the virus worldwide.
PMID- 21894384
TI - Lower production of IL-17A and increased susceptibility to Mycobacterium bovis in
mice coinfected with Strongyloides venezuelensis.
AB - The presence of intestinal helminths can down-regulate the immune response
required to control mycobacterial infection. BALB/c mice infected with
Mycobacterium bovis following an infection with the intestinal helminth
Strongyloides venezuelensis showed reduced interleukin-17A production by lung
cells and increased bacterial burden. Also, small granulomas and a high
accumulation of cells expressing the inhibitory molecule CTLA-4 were observed in
the lung. These data suggest that intestinal helminth infection could have a
detrimental effect on the control of tuberculosis (TB) and render coinfected
individuals more susceptible to the development of TB.
PMID- 21894385
TI - Evaluation of rapid techniques for the detection of mycobacteria in sputum with
scanty bacilli or clinically evident, smear negative cases of pulmonary and extra
pulmonary tuberculosis.
AB - The objective of the current study was to compare two rapid methods, the BBL
Mycobacteria Growth Indicator Tube (MGIT TM) and Biotec FASTPlaque TB TM (FPTB)
assays, with the conventional Lowenstein-Jensen (LJ) media assay to diagnose
mycobacterial infections from paucibacillary clinical specimens. For evaluation
of the clinical utility of the BBL MGIT TM and FPTB assays, respiratory tract
specimens (n = 208), with scanty bacilli or clinically evident, smear negative
cases and non-respiratory tract specimens (n = 119) were analyzed and the
performance of each assay was compared with LJ media. MGIT and FPTB demonstrated
a greater sensitivity (95.92% and 87.68%), specificity (94.59% and 98.78%),
positive predictive value (94.91% and 99.16%) and negative predictive value
(96.56% and 90.92%), respectively, compared to LJ culture for both respiratory
tract and non-respiratory tract specimens. However, the FPTB assay was unable to
detect nontuberculous mycobacteria and few Mycobacterium tuberculosis complex
cases from paucibacillary clinical specimens. It is likely that the analytical
sensitivity of FPTB is moderately low and may not be useful for the direct
detection of tuberculosis in paucibacillary specimens. The current study
concluded that MGIT was a dependable, highly efficient system for recovery of M.
tuberculosis complexes and nontuberculous mycobacteria from both respiratory and
non-respiratory tract specimens in combination with LJ media.
PMID- 21894386
TI - Ocular toxoplasmosis: evaluation of lacrimal-specific secretory IgA levels in
both patients with active and inactive phases of the disease.
AB - Ocular toxoplasmosis can result in recurrent uveitis. Studies have shown that a
correlation between active ocular toxoplasmosis and the presence of anti
Toxoplasma gondii secretory IgA (SIgA) in tears. This study compares anti-T.
gondii SIgA levels in patients' tears during the acute and inactive phases of
toxoplasmic uveitis. Twenty-nine positive tear specific SIgA for T. gondii
patients with acute toxoplasmic uveitis were selected and were followed-up for at
least two years, when the anti-T. gondii SIgA tears levels were determined.
Specific SIgA for T. gondii was negative in 22 patients (75.86%) and positive in
seven patients (24.13%) of whom six (85.7%) were followed over three years.
Average SIgA levels during the acute phase are 1.54 and decrease significantly to
0.72 (p = 0.0001) during the inactive phase of disease. Because anti-T. gondii
SIgA in the tear is negative in 75.86% of patients after the acute phase of
infection, T. gondii SIgA levels may be used as a complementary diagnostic marker
for active ocular toxoplasmosis.
PMID- 21894387
TI - Genotyping of two Neisseria gonorrhoeae fluroquinolone-resistant strains in the
Brazilian Amazon Region.
AB - We report two ciprofloxacin and ofloxacin-resistant Neisseria gonorrhoeae strains
that were isolated from the urethral discharge of male patients at the sexually
transmitted diseases outpatient clinic of the Alfredo da Matta Foundation
(Manaus, state of Amazonas, Brazil). The gonococci displayed minimal inhibitory
concentrations (> 32.00 ug/mL) and three mutations in the quinolone resistance
determining region (S91F and D95G in GyrA and S87R in ParC). Both isolates were
genotyped using N. gonorrhoeae multi-antigen sequence typing and the analysis
showed that the ST225 which represented an emerging widespread multi-resistant
clone that has also been associated with reduced susceptibility to ceftriaxone.
We recommend continued surveillance of this pathogen to assess the efficacy of
anti-gonococcal antibiotics in Brazil.
PMID- 21894388
TI - Prevalence of hepatitis B and C virus infection among leprosy patients in a
leprosy-endemic region of central Brazil.
AB - Leprosy and hepatitis B virus (HBV) are highly endemic in some regions of the
state of Mato Grosso, in central Brazil. The association of leprosy with HBV and
hepatitis C virus (HCV) was assessed using a seroprevalence study and 191 leprosy
outpatients were included. Demographic data and the clinical classification of
leprosy were recorded. Evidence of previous HBV infection was present in 53
patients (27.7%, 95% confidence interval: 21.9-34.5) and two (1%) were HBsAg
positive. Five (2.6%) had antibodies to HCV. The prevalence of previous exposure
to HBV was higher than expected for an adult population in central Brazil. In
contrast, the prevalence of anti-HCV antibodies was not much higher regarding the
age range of participants. HBV markers were associated with a higher number of
sex partners and the use of injections without proper sterilisation of the
syringes. The number of HBV carriers was small, suggesting that there was no
increased likelihood of chronification among these patients.
PMID- 21894389
TI - Hourly activity of Lutzomyia neivai in the endemic zone of cutaneous
leishmaniasis in Tucuman, Argentina: preliminary results.
AB - In the present work, the hourly activity of Lutzomyia neivai was studied in the
southern part of the province of Tucuman, Argentina, in an area of transmission
of cutaneous leishmaniasis during two months of higher activity. In addition, the
variables that influenced the abundance of Lu. neivai were evaluated. A total of
1,146 individuals belonging to Lu. neivai (97%) and Lutzomyia migonei (3%) were
captured. The hourly activity of Lu. neivai was mainly nocturnal, with a bimodal
pattern in both months. In January, the variable that most influenced the
abundance of Lu. neivai was the temperature, whereas in April, that variable was
humidity. These results may contribute to the design of anti-vectorial control
measures at a micro-focal scale.
PMID- 21894390
TI - Can studies where subjects have different follow-up times be analysed through
binomial regression?
PMID- 21894391
TI - Case 4/2011 - 49-year-old man with pulmonary valve stenosis and atrial septal
defect as residual lesions after correction of tetralogy of Fallot, which
occurred 30 years ago.
PMID- 21894392
TI - Undergraduate research, medical residency and clinical investigation.
PMID- 21894393
TI - Noncompaction cardiomyopathy: a current view.
AB - Isolated non-compaction cardiomyopathy is a rare disease that is likely to
develop in the embryonic period. It is caused by the intrauterine arrest of the
myocardial compaction process in the beginning of the fetal development. It is
characterized by prominent myocardial trabeculations and deep intertrabecular
recesses, as well as the thickening of the myocardium into two distinct layers
(compacted and not compacted). Even though this disease is said to be prevalent
in the pediatric population or together with congenital heart disease, one can
understand that this disease occurs in isolation, because the diagnosis is
becoming more common in adult patients that have no other heart disease. The
clinical manifestations vary greatly, because they range from absence of symptoms
to congestive heart failure, arrhythmias and systemic thromboembolism.
Echocardiography is the most widely used diagnostic procedure, but the little
knowledge about this disease, its similarity to other myocardial diseases and the
limitation of the echocardiographic technique used delay the diagnosis. The
purpose of this review is to show that that other imaging techniques, such as
MRI, CT and left ventriculography have emerged as diagnostic alternatives.
PMID- 21894394
TI - Stent implantation in surgical cavopulmonary conduit: report of two cases.
AB - Despite advances in Fontan surgery, obstruction in extracardiac ducts may occur
and cause clinical deterioration. We report two cases of stent implantation for
correction of stenosis in the Fontan surgery. Ascites was a common clinical sign;
a patient was protein losing enteropathy. All procedures were angiographically
and clinically successful.
PMID- 21894395
TI - Occlusive venopathy phenotype in hereditary pulmonary arterial hypertension.
AB - A 33-year-old male with severe hereditary pulmonary arterial hypertension had a
confirmed diagnosis of occlusive venopathy and microvasculopathy. He remained
stable for three and a half years on oral sildenafil, 75 mg t.i.d. (six-minute
walked distance of 375 m vs 105 m at baseline), but required addition of bosentan
(125 mg b.i.d.), subsequently. Despite the fatal outcome at five years post
diagnosis, the observations suggest a potential usefulness of vasodilators as a
bridge for lung transplant in selected cases with significant venous/capillary
involvement. The occurrence of veno-occlusive and capillary lesions in the
familial form of pulmonary arterial hypertension reinforces the difficulties with
the current classification of the disease.
PMID- 21894396
TI - One decade of stem cell therapy for bone marrow: what is missing?
PMID- 21894397
TI - Is endovascular treatment of penetrating aortic ulcer the solution?
PMID- 21894398
TI - Comments on paper by Thomas et al: how to evaluate "quality of publication".
PMID- 21894399
TI - Additional comments on the paper by Thomas et al: how to evaluate "quality of
publication".
PMID- 21894402
TI - The Einstein's frog.
PMID- 21894403
TI - The importance of the internet for medical societies.
PMID- 21894404
TI - Fractal dimension in quantifying the degree of myocardial cellular rejection
after cardiac transplantation.
AB - INTRODUCTION: The term "Fractal" is derived from the Latin fractus meaning
"irregular" or "broken" considering the observed structure with a non-integer
dimension. There are many studies which employed the Fractal Dimension (FD) as a
diagnostic tool. One of the most common methods for its study is the "Box
Counting Method". OBJECTIVE: The aim of the present study was to try to establish
the contribution of FD in the quantification of myocardial cellular rejection
after cardiac transplantation. METHODS: Microscopic digital images were captured
at 800x600 resolution (magnification 100x). FD was calculated with the aid of
"ImageJ software" with adaptations. The classification of the degrees of
rejection was in agreement with the "International Society for Heart and Lung
Transplantation" (ISHLT 2004). The final report of the degree of rejection was
confirmed and redefined after an exhaustive review of the slides by an external
experienced pathologist. 658 slides were evaluated with the following
distribution among the degrees of rejection (R): 335 (0R); 214 (1R); 70 (2R); 39
(3R). The data were statistically analyzed with Kruskal-Wallis tests and ROC
curves being considered significant values of P < 0.05. RESULTS: There was
significant statistical difference between the various degrees of rejection with
the exception of R3 versus R2. The same trend was observed in applying the ROC
curve. CONCLUSION: FD may contribute to the assessment of myocardial cellular
rejection. Higher values are directly associated with progressively higher
degrees of rejection. This may help in decision making of doubtful cases and
those which contemplate the intensification of immunosuppressive medication.
PMID- 21894405
TI - A bovine pericardium rigid prosthesis for left ventricle restoration: 12 years of
follow-up.
AB - BACKGROUND: Myocardial infarction might result in dilated left ventricle and
numerous techniques have been described to restore the original left ventricle
shape and identify tools for late survival assessment. The aim of this study is
to compare our experience with a modified Dor procedure using a rigid prosthesis
to the septal anterior ventricular exclusion procedure (SAVE) for left ventricle
restoration. The EuroScore index for prediction of late follow up survival was
evaluated. METHODS: We evaluated 80 patients who underwent left ventricle
restoration between 1999 to 2007 and eight patients were excluded with incomplete
data. A modified Dor procedure with rigid prosthesis (MD group) was performed on
53 patients and 19 underwent the septal anterior ventricular exclusion procedure
(SAVE group). The patients were classified according their left ventricle shape
as type I, II or III. Kaplan-Meier and Cox proportional hazard ratio regressions
analysis were performed to assess survival after both techniques and expected
surgical mortality using EuroScore index ranking after 12 years of follow up.
RESULTS: The operative mortality was comparable in both groups ranked by
EuroScore index. The groups were comparable for all clinical data, except the MD
group had more patients using intra-aortic balloon pumps before surgery, (5.7%
vs. 0; P<0.01). Kaplan Meier analysis by left ventricle shape showed comparable
survival for all patients, with slightly higher survival for type I. Kaplan Meier
analysis of all death showed equivalent survival curves for both techniques after
12 years of follow up (71.5 +/- 12.3 vs. 46.6 +/- 20.5 years; P=0.08). Kaplan
Meier analysis of EuroScore index for all patients showed a difference between
the three ranked categories, i.e., 0 to 10%, 11 to 49% and higher than 50%
expected surgical mortality after 12 years of follow up (70.9 +/- 16.2 vs. 67.5
+/- 12.7 vs. 53.0 +/- 15.5; P=0.003). CONCLUSION: The MD procedure showed
consistent ejection fraction improvements after long term follow up. Survival was
comparable for all ventricular types and for the MD and SAVE procedures. The
EuroScore index is a useful index for late survival assessment of ventricular
restoration techniques.
PMID- 21894406
TI - Osteopontin expression and its possible functions in the aortic disorders and
coronary artery disease.
AB - BACKGROUND: Osteopontin (OPN) has been verified to be closely associated with
oncogenesis and remodeling processes. But this cytokine was rarely assessed in
the presence of aortopathies, especially acute aortic dissection. The aim of the
present study was to evaluate the expressions of OPN by way of molecular
biological approaches so as to offer a better understanding of the possible
mechanisms of the aortopathies. METHODS: Consecutive patients with type A acute
aortic dissection (20 patients), aortic aneurysm (nine patients) or coronary
artery disease (21 patients) referred to this hospital for surgical operations
were enrolled into this study. Blood samples of the surgical patients after
systematic heparinization, and control fast morning blood samples drawn from 21
young healthy volunteers who had no evidence of any healthy problems were
investigated for enzyme linked immunosorbent assay (ELISA). The surgical
specimens of the aortic tissues collected from the surgical patients during the
operations were obtained for quantitative realtime reverse transcription
polymerase chain reaction (RT-PCR) for OPN mRNA, western blot assay for OPN
protein, and for immunohistochemical staining of OPN. Ascending aortic tissues
from the autopsies of the healthy individuals dying of accident were obtained as
controls of immunohistochemistry. RESULTS: By quantitative RT-PCR, the
expressions of OPN mRNA were all upregulated in all three surgical groups. The
quantitative results did not reveal any intergroup differences. Western blot
assay revealed that OPN was positive with similar intensities of expressions in
all three surgical groups. Quantitative western blot analyses of OPN expressions
did not show any significance between groups. The OPN expressions by ELISA in the
aortic tissue were 3.09311 +/- 1.65737, 3.40414 +/- 1.15095, and 1.68243 +/-
0.31119 pg/mg protein in the aortic dissection, aortic aneurysm, and coronary
artery disease groups, respectively. The OPN level of the patients with coronary
artery disease was much lower than those with aortic dissection (P = 0.033) or
with aortic aneurysm (P = 0.019). By unparametric tests, there were significant
differences in the aortic OPN contents among aortic dissection, aortic aneurysm
and coronary artery disease groups (P < 0.01). A significant direct correlation
was present between plasma OPN concentration and the time interval from the onset
to surgery of aortic dissection (Y = 0.1420X + 2.4838, r2 = 0.5623, r = 0.750, P
= 0.032). By immunohistochemistry, OPN was expressed in the aortic cells: in the
intima, it was weaker in all three surgical groups in comparison with the healthy
control; in the media, it was weak in the aortic dissection, intense positive in
aortic aneurysm, focal positive in the coronary artery disease, but evenly
positive in the healthy control groups; and in the adventitia, it was positive in
the aortic dissection, coronary artery disease and healthy control groups, but
weak positive in the aortic aneurysm group. CONCLUSION: These data may provide
evidences that OPN may play a role in the pathogenesis of aortopathies including
aortic dissection, aortic aneurysm, and coronary artery disease. OPN might be of
potential perspective as a clinically diagnostic tool in the evaluations of the
complex remodeling process incorporating vascular injury and repair.
PMID- 21894407
TI - Skeletonized left internal thoracic artery is associated with lower rates of
mediastinitis in diabetic patients.
AB - BACKGROUND: Mediastinitis is a serious complication of median sternotomy and is
associated to significant morbidity and mortality. Diabetes is a feared risk
factor for mediastinitis and viewed with caution by cardiovascular surgeons.
OBJECTIVE: To identify risk factors for mediastinitis in diabetics undergoing
CABG surgery with use of unilateral ITA in the Division of Cardiovascular Surgery
of Pronto Socorro Cardiologico de Pernambuco - PROCAPE. METHODS: Retrospective
study of 157 diabetics operated between May 2007 and April 2010. Nine
preoperative variables, five intraoperative variables and seven postoperative
variables possibly involved in the development of postoperative mediastinitis
were evaluated. Univariate and multivariate logistic regression analyses were
applied. RESULTS: The incidence of mediastinitis was 7% (n=11), with a lethality
rate of 36.1% (n=4). Variables associated with increased risk of mediastinitis
were: use of pedicled ITA (OR 8.25, 95% CI 2.03 to 66.10, P=0.016), postoperative
renal complications (OR 5.10, 95% CI 1.03 to 25.62, P=0.049) and re-operation (OR
7.45, 95% CI 1.24 to 42.17, P=0.023). In multivariate analysis using backward
logistic regression, only one variable remained as independent risk factor: use
of pedicled ITA (OR 7.64, 95% CI 1.95 to 61.6, P=0.048), in comparison to
skeletonized ITA. CONCLUSIONS: We suggest that diabetics should be considered for
strategies to minimize risk of infection. In diabetics that undergo unilateral
ITA, the problem seems to be related to how ITA is harvested. Diabetics should
always be considered for use of skeletonized ITA.
PMID- 21894408
TI - Predictors of infection in post-coronary artery bypass graft surgery.
AB - BACKGROUND: Although coronary artery bypass grafting (CABG) is a good alternative
therapy in severe arterial disease, it may evolve with complications, especially
infections. OBJECTIVES: To determine the incidence of infection in post-CABG and
its clinical predictors in a cardiology reference center in Brazil. METHODS:
Cohort study. Data were collected from all patients undergoing CABG between
January/2004 and February/2006, excluding emergency surgery, absent record of
glucose blood levels preoperatively and infection prior to surgery. STATISTICAL
ANALYSIS: Student's t test, chi square, logistic regression. RESULTS: We
evaluated 717 patients, 61.9 +/- 11 years old, 67.1% were men, 29.6% with
diabetes, of whom 137 (19.1%) developed infection (62% respiratory, 25%
superficial wound, 9.5% urinary, 3.6% deep wound). Diabetes was more prevalent in
those who developed infection, as well as prolonged time of indwelling central
venous catheter (79.3 +/- 40.5 vs. 61.0 +/- 19.3 hours, P<0.001). After
multivariate analysis (model adjusted for dyslipidemia, hypertension, smoking and
leukocytes), both diabetes (OR 4.18 [2.60-6.74]), prolonged central venous line
(OR 1.019 [1.00-1.02] and cardiac catheterism (OR 2.03 [1.14-3.60] remained
predictors of infection. While diabetes is associated with a higher percentage of
infections (P <0.001), preoperative serum glucose was not associated with
increased risk of infection. CONCLUSIONS: Diabetes and permanence of central
venous catheters were associated with development of infection in post-CABG. The
preoperative blood glucose was not a predictor of risk of infection. It is
probably necessary to study with greater detail glycemic control trans- and post
operatively.
PMID- 21894409
TI - Design conception and experimental setup for in vitro evaluation of mitral
prosthetic valves.
AB - BACKGROUND: Since most complications related to the operation of prosthetic heart
valves is due to disturbances of flow, its hydrodynamic characterization is a
useful aid in the design of new prostheses. Simulations of pulsatile flow in
cardiac prostheses began nearly 40 years ago, through the development of
different mock human circulatory systems, improving the clinical results
interpretation. A new design of a pulse duplicator system was developed at
Polytechnic School of USP to study prosthetic heart valves. OBJECTIVE: To present
the conception of a new mock circulatory system for hydrodynamic simulations of
cardiac prosthetic valves and the assembly plan of an experiment whose focus is
the test of mitral prosthesis. METHODS: Its conception is based on the state-of
art's review of these studies and the experience got with the previous mock
circulatory systems, particularly the one used in the Instituto Dante Pazzanese
de Cardiologia, Sao Paulo, SP, Brazil. RESULTS: In this design, an electric
servomotor controlled by computer emits, through a hydraulic piston, a pulse to
the left ventricular chamber model, where the heart valves are accomodated. To
characterize, in the future, the dynamic operation of mitral prosthetic valves,
an experimental setup was mounted to provide measurements of volumetric flow,
instantaneous pressure and velocity fields on these valves. Optical access is
conveniently provided on the design, making possible the use, in the future, of a
LDA system. CONCLUSIONS: In order to improve the analysis of hydrodynamic shear
stress and prediction of haemolysis, the experimental results may be used to
regulate a numerical model using 'Computational Fluid Dynamics' (CFD).
PMID- 21894410
TI - Brazilian perfusionists and arterial roller pump adjustment: comparison between
static and dynamic calibration method.
AB - INTRODUCTION: Roller pumps play an important role in extracorporeal circulation.
However, occlusion of the rollers should be adequately performed and this can be
adjusted mainly by two methods: static and dynamic. OBJECTIVE: To investigate how
the Brazilian perfusionists adjust arterial roller pumps in their services and
evaluate the application of a Device to Assist Calibration (DAC) that facilitates
roller adjustment by the dynamic calibration method. METHODS: We installed a
roller pump with accessories to perform adjustment by drop rate (static
calibration) and dynamic calibration methods during the XXVIII Brazilian Congress
of Extracorporeal Circulation. Perfusionists were asked to adjust the roller pump
according to the procedure they usually do in their service. After each
adjustment pressure was measured by dynamic calibration method with DAC. The
research was approved by the Research Ethics Committee of UNICAMP, No 1144/2010.
RESULTS: There were 56 perfusionists in this study. Pressure average of 56
measurements of dynamic calibration was 434 +/- 214 mmHg; 76% of measurements
were within the recommended range for the use of the dynamic calibration method
(between 150 and 500 mmHg). CONCLUSION: Brazilian perfusionists tend to adjust
roller pumps with less occlusive settings. The amplitudes of the dynamic
calibration pressure tend to be smaller for more experienced perfusionists
because their skills increase with time. The device can be used by the
perfusionist to adjust roller pumps with greater accuracy and mainly
repeatability in few minutes.
PMID- 21894411
TI - Effects of the use of mechanical ventilation weaning protocol in the Coronary
Care Unit: randomized study.
AB - OBJECTIVE: To compare mechanical ventilation weaning based on a protocol using
the spontaneous breathing trial against mechanical ventilation weaning without a
standardized protocol in heart patients. METHODS: Prospective, open, randomized
study. In 2006, 36 patients undergoing mechanical ventilation for over 24 hours
were randomized into two groups: control group - eighteen patients whose
mechanical ventilation weaning was performed according to the different
procedures adopted by the multidisciplinary team; and experimental group -
eighteen patients weaned according to previously established protocol. RESULTS:
Control group patients started the weaning process sooner than experimental group
patients (74.7 +/- 14.7 hours vs. 185.7 +/- 22.9 hours, P=0.0004). However, after
the experimental group patients were ready for weaning, the extubation was
carried out more rapidly than in the control group (149.1 +/- 3.6 min vs. 4179.1
+/- 927.8 min, P < 0.0001) with significantly lower reintubation rates (16.7% vs.
66.7%, P = 0.005). CONCLUSION: The use of a specific protocol based on the
spontaneous breathing trial for mechanical ventilation weaning in heart patients
had better outcomes than weaning carried out without a standardized protocol,
with shorter weaning times and lower reintubation rates.
PMID- 21894413
TI - Right coronary artery anatomy: anatomical and morphometric analysis.
AB - BACKGROUND: It is necessary knowing the large variability of right coronary (RCA)
artery specialty for its implications in surgical procedures and clinic events.
This variability is usually related to the length, branches quantity, origin and
irrigated territories. OBJECTIVE: To evaluate by direct examination the
morphologic expression of RCA in Colombian people. METHODS: RCA were measured in
221 fresh hearts by RCA ostium canalization with polyester synthetic resin that
was injected in their branches. RESULTS: The caliber of the RCA proximal segment
and at the level of the acute angle of the heart was 3.42 +/- 0.66 mm and 2.9 +/-
0.50 mm, respectively. It ended between crux cordis and the left margin in 75.6%
of specimens. Posterior interventricular artery (PIA) reached the inferior third,
or the apex, or the anterior interventricular sulcus in 149 (67.4%) cases.
Sinoatrial node artery (SNA) originated in the right coronary in 134 (60.6%)
cases, 77 (34.9%) from circumflex artery (CxA) and from both in 10 (4.5%).
Posterior right diagonal artery (PRDA) was noted in 38 (17.2%) hearts, but only
6% of the sample with long PIA, concomitantly presented the PRDA (P = 0.001). In
right dominance SNA were originated from RCA in 54.7% and form CxA in 46.3% (P =
0.06). CONCLUSIONS: Caliber of the RCA and its branches is lesser than the
majority of previous studies, while the PRDA frequency is slightly higher than
the reported in literature. Clinical and pathological scenarios by these
variations should be taken into account: hemodynamic procedures, cardiac surgery
and arrhythmias from coronary occlusive disease.
PMID- 21894412
TI - The impact of blood transfusion on morbidity and mortality after cardiac surgery.
AB - OBJECTIVES: To analyze the impact of blood transfusion on the incidence of
clinical outcomes postoperatively (PO) from cardiac surgery. METHODS:
Retrospective cohort study. We analyzed 4028 patients undergoing coronary artery
bypass grafting (CABG), valve (TV), or both, in Brazilian tertiary university
hospital between 1996 and 2009. We compared the postoperative complications
between patients with blood transfusion (n = 916) and non-blood transfusion (n =
3112). Univariate analysis was performed using the Student t test, and
multivariate logistic regression bivariate (stepwise forward). Were considered
significant variables with P <0.05. RESULTS: Patients who received blood
transfusions had more infectious episodes as mediastinitis (4.9% vs. 2.2%, P
<0.001), respiratory infection (27.8% vs 17.1%, P <0.001) and sepsis (6.2% vs.
2.5%, P <0.001). There were more episodes of atrial fibrillation (AF) (27% vs.
20.4%, P <0.001), acute renal failure (ARF) (14.5% vs 7.3%, P <0.001) and stroke
(4.8% vs. 2.6%, P = 0.001). The length of PO hospital stay was higher in
transfused (13 +/- 12.07 days vs. 9.72 +/- 7.66 days, P <0.001). However,
mortality didn't differ between groups (10.9% vs. 9.1%, P = 0.112). The
transfusion was shown to be a risk factor for: respiratory infection (OR: 1.91,
95% CI 1.59-2.29, P <0.001), AF (OR: 1.35, 95% CI 1.13-1.61, P = 0.01), sepsis
(OR: 2.08, 95% CI 1.4-3.07, P <0.001), mediastinitis (OR: 2.14, 95% CI: 1.43
3.21, P <0.001), stroke (OR: 1.63, 95% CI 1.1-2.41, P = 0.014) and ARF (OR 1.8,
95% CI: 1.39-2.33, P <0.001). CONCLUSION: The blood transfusion is associated
with increased risk of infectious events, episodes of AF, ARF and stroke, as well
as the increased length of hospital stay but not mortality.
PMID- 21894414
TI - Quality of life of patients with implantable cardioverser-defibrillator: the
usage of SF-36 questionnaire.
AB - OBJECTIVE: To observe the quality of life of patients with implantable
cardioverser-defibrillator (ICD). METHODS: Descriptive research with quantitative
approach by means of an interview and the analysis of the Questionnaire SF-36 in
a cardiovascular outpatient service. Fifty patients users of this device
participated in this study during their medical follow-up in the period from
January to December. RESULTS: Out of the subjects, 19 (38%) were female and 31
(62%) male. The average age was 58.4 years, ranging from 21 to 75 years. Two
domains regarding limitations by physical and emotional aspects below score 50
were observed The social aspects domain presented the higher score; 80,5. Most of
the users reported that their health is a little better compared with a year ago.
CONCLUSION: The results showed that individuals' quality of life is impaired,
with lower scores for physical and emotional aspects. There was not a correlation
between quality of life and age, gender, marital status and educational level.
However, they feel comfortable and safe with ICD benefits since it provides their
maintenance in being alive protecting them of sudden death.
PMID- 21894415
TI - Physical therapy in postoperative cardiac surgery: patient's perception.
AB - INTRODUCTION: Many strategies to improve services provided by for physiotherapy
are based on patients satisfaction. Listen and observe the behavior of patients
in a hospital is crucial to understanding and improvement of service and the
hospital. OBJECTIVE: This study aimed to identify the patient's perception
undergoing cardiac surgery on the physiotherapy service provided to wards of
hospitals for heart surgery reference in the city of Maceio, AL, Brazil, and from
that information detect what actions are perceived as priorities for which are
noteworthy plans for improvements in quality of care. METHODS: Cross-sectional
study, conducted in quality and quantity of reference hospitals in cardiac
surgery in the city of Maceio, AL, Brazil, in the period from September to
November 2008. The study included 30 users of the Sistema Unico de Saude, of
which 12 (40%) female and 18 (60%) males. The average age of this sample was 49.2
+/- 11.9 years and most belonged to socioeconomic class D (36.7%). RESULTS: It
was found that only 16.7% had contact with the physiotherapist before surgery.
Regarding educational guidelines about postoperative period, only 2.9% patients
reported having received them. However, 56.8% rated the care as good and 100% of
patients reported believing that physiotherapy could improve their health status.
CONCLUSION: We suggest the implementation of preoperative physical therapy
protocols with preventive measures and educational as well as new researchs that
may characterize the population of users of health plans/private.
PMID- 21894416
TI - Mortality within the endovascular treatment in Stanford type B aortic
dissections.
AB - BACKGROUND: Endovascular stent-graft repair of aortic dissections is a relatively
new procedure, and although apparently less invasive, the efficacy and safety of
this technique have not been fully established. OBJECTIVE: To evaluate mortality
in patients with complicated Stanford type B aortic dissections submitted to
endovascular treatment. METHODS: Clinical, anatomical, imaging and autopsy data
of 23 patients with complicated type B aortic dissections were reviewed from
November 2004 to October 2007. The main indications for transluminal thoracic
stent-grafting included: persistent pain in spite of medical therapy, signs of
distal limb ischemia, signs of aortic rupture, progression of aneurismal dilation
of the descending aorta during follow-up (defined as a diameter > 50 mm) and the
diameter of descending thoracic aorta of 40 mm or larger at the onset of aortic
dissection. Data were analyzed statistically; all p-values were two-tailed and
differences < 0.05 were considered to indicate statistical significance.
Continuous variables were expressed as mean (+/- SD), and medians were compared
by the Student's t test. Differences in categorical variables between the groups
were analyzed by the Chi-square or Fisher's exact test. RESULTS: The procedure
presented primary technical success in 82.6% of patients. Four patients (17.4%)
had an incomplete proximal entry seal. Three patients (13%) died within 30 days
of the procedure and eight patients (34.8%) died after 30 days. CONCLUSION:
Endovascular correction of complicated Stanford type B aortic dissections is a
feasible and effective treatment option.
PMID- 21894417
TI - The impact of carotid artery disease on outcomes of patients undergoing coronary
artery bypass grafting.
AB - OBJECTIVE: Although the overall complication rate has been decreased
significantly in recent years, stroke is a severe complication after coronary
bypass operations. The purpose of this study is to evaluate the influence of
carotid artery disease on the results of patients under CABG operation. METHODS:
In a retrospective cohort study, 1,978 patients under CABG operation were studied
in Shariati Hospital between April 2002 and March 2006. The patients who had only
valve replacement or non-CABG procedure were excluded from this study. As part of
preoperative evaluation, carotid duplex ultrasonography scans were performed. In
order to estimate the degree of carotid arteries stenosis, ultrasound imaging
measurement and velocity criteria were considered. The patients were classified
into three groups: those with no significant stenosis of the internal carotid
artery (ICA) (moderate) group A, those with significant stenosis (sever) group B
and those with the occluded ICA (critical) group C. Finally, all data were
analyzed by SPSS software. Statistical analyses were performed using the
following testes; chi-square, Fisher exact and Student's t tests. RESULTS: The
distribution of the 1,978 patients undergoing CABG operation were as follows:
group A = 1,938, group B = 30, and group C = 10. The results of the evaluations
show that perioperative stroke rates were 1.2% (24 patients) in group A, 0.4%
(eight patients) in group B and 0.3% (six patients) in group C (P<0.0001).
Furthermore, perioperative mortality rates for groups A, B and C were 0.1% (two
patients), 0.3% (five patients) and 0.4% (seven patients), respectively
(P<0.0001). CONCLUSION: The stroke and mortality in patients undergoing CABG are
increased when ICA occlusion is present.
PMID- 21894418
TI - Pediatric cardiac surgery: what to expect from physiotherapeutic intervention?
AB - This study aims to gather and present scientific evidence on the role of a
physiotherapist in the pre, peri and postoperative of pediatric cardiac surgery.
This professional is able to prevent, minimize or reverse possible respiratory
dysfunction and motor sequelae resulting from these interventions. Studies
discuss the involvement of respiratory system, specifically the clearance of
bronchial secretions and ventilatory adequacy, as a result of surgical procedure.
Scientific evidences suggest the effectiveness of physiotherapy in reducing
indices of: pneumonia, atelectasis, hospitalization, sequelae deleterious and
length of bed restriction, beyond clinical improvement. These data confirm
positive contribution of physiotherapeutic intervention in these surgeries.
PMID- 21894419
TI - Cardiac surgery and hypertension: a dangerous association that must be well
known.
AB - It is well-known that hypertension is a very common disease, and severe
cerebrovascular accidents might occur if the blood pressure is not properly
controlled. However, conditions associated with uncontrolled hypertension may be
overlooked, and may become critical and eventually require a surgical
intervention on an urgent basis. Coronary artery disease, acute aortic syndrome,
congenital and valvular heart disease, and arrhythmias are under this topic of
discussion. Of them, coronary artery disease including myocardial infarction and
especially postinfarction myocardial rupture, and aortic dissection are major
critical situations that physicians may encounter in clinical practice. The role
that hypertension plays in these conditions can be complex, including
hemodynamic, electrophysiological and biomolecular factors, where the latter may
prevail in the current era. Coronary artery disease may be associated with a
reduced nitric oxide synthesis. Transforming growth factor and matrix
metalloproteinases have been observed in relation to aortic syndrome. Wnt, p38
and JNK signaling pathway may be involved in the development of ventricular
hypertrophy responsible for cardiac arrythmias. Various gene phynotypes may
present in different congenital heart defects. This article is to present these
conditions, and to further discuss the possible etiologies and the potential
treatment strategies so as to highlight the relevance at a prognostic level.
PMID- 21894420
TI - Comparative experimental study between L-Hydro treated pulmonary homograft and
fresh pulmonary homograft.
AB - OBJECTIVE: In an effort to make available homografts preserved in a simpler and
less costly way, we evaluated the polyethyleneglycol, L-Hydro (LH) method, that
consists in the controlled extraction of antigenic substances and the
incorporation of anti-inflammatory and anti-thrombotic agent. METHODS: We
substituted the pulmonary trunk in ten ovines, seven received LH treated
pulmonary homografts and three, fresh pulmonary homografts, orthotopically
implanted and followed-up for 320 days. Ovines where evaluated by means of
laboratory tests, echocardiographic exams. At the 320 days, were euthanized,
hemodynamic, radiology, macroscopic, optic/electronic microscopic,
scanning/transmission evaluations were performed. Results were analyzed by
Student t test of independent samples for continuous data, by variance analysis
of repeated measures, and by Fisher exact test for categorical data. RESULTS: We
couldn't establish relevant differences in clinical evolution and laboratory
tests between groups. Echocardiogram revealed difference in pulmonary medium
gradient, which was significant 10 months follow-up, higher in the control group.
Radiologic and macroscopic evaluations didn't established differences. In the
optic/electronic microscopic evaluation, liner and interstitial cells were
equally found in both groups. The cell liner percent calculated in both groups
was similar. Cellularity nodules were observed only infresh homograft group.
CONCLUSIONS: These data indicate that both groups presented similar
clinical/hemodynamic performances. The LH group's echocardiogram presented a
better performance. It also presented histological evidences of interstitial and
endothelial cell repopulation. In the macro/optic and electronic microscopic
analysis, group L-H presented macroscopy/histological structure and ultra
structural similar to the fresh group, with the exception of nodules with higher
interstitial cellularity, present only in the fresh homograft group.
PMID- 21894421
TI - Emergency autologous vein graft reconstruction after using a vascular closure
device.
AB - An emergency operation for access related acute critical limb ischemia with signs
of infection is described. Inguinal femoral reconstruction was performed with a
bifurcated graft constructed from the ipsilateral saphenous vein.
PMID- 21894422
TI - Postpartum patient with thrombosis of mechanical prostheses and acquired
supravalvular aortic stenosis.
AB - The blood hypercoagulability in pregnancy increases significantly the incidence
of thrombosis of mechanical valves. Acquired supravalvular aortic stenosis is
extremely rare. We report the case of an immediate postpartum patient with aortic
mechanical prostheses and acquired supravalvular aortic stenosis who underwent
emergency heart surgery, with severe hemodynamic instability, using adapted
surgical technique for correction of supravalvular stenosis with satisfactory
clinical and echocardiography results.
PMID- 21894423
TI - Transfixing gunshot wound to the heart: case report.
AB - Penetrating cardiac trauma carries high mortality rates. It has been commonly
associated with stabbing, but increasing urban violence has led to growing
numbers of gunshot heart wounds. The latter have higher mortality rates among
penetrating cardiac injuries and may affect multiple heart chambers, with
mortality rates even higher. We report a patient, victim of an attempted armed
robbery, who had a transfixing gunshot wound to the heart, successfully operated
at our institution.
PMID- 21894424
TI - Immediate postoperative period of cardiac surgery: routine nursing for patient's
admission to the Intensive Care Unit.
PMID- 21894425
TI - Prof. Dr. Rubens de Guimaraes Santos: flawless surgeon.
PMID- 21894426
TI - Write well or perish: courses and services help researchers to write a good
scientific paper.
PMID- 21894427
TI - Letter to the editor.
PMID- 21894428
TI - Crack cocaine craving: behaviors and coping strategies among current and former
users.
AB - OBJECTIVE: To understand crack cocaine craving among users and describe craving
behaviors and coping strategies. METHODOLOGICAL PROCEDURES: Qualitative study
with a non-random criterion sample consisting of 40 current and former crack
cocaine users conducted in Sao Paulo, southeast Brazil, in 2007 and 2008.
Respondents were selected using snowball sampling technique. In-depth semi
structured interviews were conducted until theoretical saturation was attained.
All interviews were transcribed and content analysis was performed to construct
inferences and hypotheses based on the narratives. ANALYSIS OF RESULTS: The
respondents showed a similar gender distribution, were 18 to 50 years of age, and
had different levels of education. Most were from low-income background. In
addition to craving resulting from crack cocaine withdrawal and environmental and
emotional cue effects, it was found that crack cocaine itself triggers craving.
The latter appeared to be a strong trigger of binge episodes. Binge episodes made
them lose their moral values, and act dangerously to get more drug. The most
common ways reported to get crack cocaine or money to buy it were: prostitution,
manipulation of other people, go into debt, sell personal belonging to buy drug
and theft. The respondents reported strategies to overcome their cravings as well
as pharmacological and behavioral approaches to prevent cravings such as eating,
having sex, playing soccer, working, avoiding social situations of crack use and
taking depressants. CONCLUSIONS: Crack cocaine binges are caused by a craving
induced by the effects of crack cocaine itself. Users develop self-control
strategies to cope with their cravings that may help improve their drug use and
treatment effectiveness.
PMID- 21894429
TI - Preliminary psycometric assessment of the Brazilian version of the DISABKIDS
Atopic Dermatitis Module.
AB - OBJECTIVE: To assess preliminary psychometric properties of the Brazilian
Portuguese version of a questionnaire for measuring health-related quality of
life in children and adolescents with atopic dermatitis. METHODS: Cross-sectional
study with a sample consisting of 52 children and adolescents aged 8 to 18
diagnosed with atopic dermatitis, and their parents or caregivers, selected at
the dermatology department of a university hospital in the city of Sao Paulo,
Southeast Brazil, in 2009. Construct validity, internal consistency and agreement
between the responses of children and adolescents and their parents or caregivers
were assessed in the Brazilian Portuguese version of the DISABKIDS-Atopic
Dermatitis Module (ADM). RESULTS: Adequate internal consistency was found with
Cronbach's alpha coefficients of 0.7024/0.8124 and 0.7239/0.8604. The multitrait
multimethod analysis for assessing convergent validity showed measures higher
than 0.30 for all items. The analysis showed good discriminant validity.
Agreement between child self-report and parent proxy-report was evaluated using
intra-class correlation with measures impact and social stigma of disease of
0.8173 and 0.7629, respectively. CONCLUSIONS: The study results showed that the
DISABKIDS-ADM can be used by Brazilian researchers after its complete validation
as it showed adequate preliminary psychometric properties and can be considered a
valid, reliable instrument.
PMID- 21894430
TI - HDAC inhibitor 4-phenylbutyrate preserves immature phenotype of human embryonic
midbrain stem cells: implications for the involvement of DNA methyltransferase.
AB - Cell replacement and gene therapy using neural stem cells (NSCs) have been widely
touted as a promising treatment for CNS diseases including brain tumors. Histone
deacetylase (HDAC) inhibitors have been used to explore mechanisms behind the
lineage-specific differentiation of NSCs and as modulators of gene therapy. We
have used the human embryonic midbrain stem cell line NGC-407 and the HDAC
inhibitor 4-phenylbutyrate (4-PB) to investigate the differentiation from
epigenetic perspectives. NGC-407 cells can differentiate into both neurons and
glial cells, evidenced by morphological characteristics as well as up-regulation
of the respective markers beta-tubulin III and glial fibrillary acidic protein
(GFAP) and simultaneous down-regulation of the NSC-marker nestin. Genomic DNA
extracted from the differentiating cells was globally more methylated than that
of the proliferating cells. The differentiating cells showed increased expression
of the de novo DNA methyltransferase DNMT3B along with strong immunoreactivity in
the cell nuclei. When these cells were treated with 4-PB, both the astrocytic and
the neuronal differentiation phenotypes were suppressed, which paralleled a
substantially weakened DNMT3B immunoreactivity in the cell nuclei. Importantly, 4
PB treatment preserves the immature phenotype of these differentiating cells as
indicated by Western blot analysis and immunocytochemical analyses of the NSC
markers, nestin and CD133. Nestin becomes entirely degraded 5 days after
induction of differentiation, but upon exposure to 4-PB, some of the
differentiating cells retain the integrity of nestin and concurrently, CD133 is
also up-regulated. Taken together, the data suggests that HDAC activity is
necessary for human embryonic NSC differentiation.
PMID- 21894431
TI - Levels of acute inflammatory biomarkers in advanced prostate cancer patients with
alpha2-macroglobulin deficiency.
AB - C-reactive protein (CRP), serum amyloid A (SAA), interleukin-6 (IL-6), alpha1
antitrypsin (alpha1AT), alpha1-acid glycoprotein (alpha1AG) and ceruloplasmin
(CP) are acute inflammatory biomarkers that increase in various conditions
including infection, inflammation, malignancy and tissue disturbance. In
contrast, alpha2-macroglobulin (alpha2M) is involved in inflammation through its
function as a carrier protein of IL-6. We had previously reported on advanced
prostate cancer (PCa) patients with multiple distant bone metastases in whom
serum alpha2M levels were markedly decreased (alpha2M deficiency). However, the
relationship between serum levels of alpha2M and acute inflammatory biomarkers in
PCa patients with or without alpha2M deficiency has not been demonstrated. In the
present study, we examined serum levels of CRP, SAA, IL-6, alpha1AT, alpha1AG and
CP in PCa patients with or without alpha2M deficiency to establish clinical
significance and changes in these biomarkers during PCa disease progression. We
found that upon addition of recombinant IL-6 (rIL-6) to serum from PCa patients
with alpha2M deficiency, since a function of alpha2M is to bind and stabilize IL
6, the alpha2M-IL-6 complex and free endogenous IL-6 were not detectable. Serum
levels of the alpha2M-independent markers, alpha1AT, alpha1AG and CP, in all PCa
patients regardless of alpha2M deficiency were significantly higher than in
healthy controls, but those of the alpha2M-dependent molecules, CRP, SAA and IL
6, were not increased in PCa patients with alpha2M deficiency. Therefore,
quantitation of both alpha2M-dependent (CRP, SAA and IL-6) and alpha2M
independent (alpha1AT, alpha1AG and CP) acute inflammatory biomarkers in advanced
PCa patients may be an auxiliary indicator, together with prostate-specific
antigen (PSA), to monitor PCa disease progression.
PMID- 21894432
TI - Comparison of peptide cancer signatures identified by mass spectrometry in serum
of patients with head and neck, lung and colorectal cancers: association with
tumor progression.
AB - Mass spectrometry-based analyses of the low-molecular-weight fraction of serum
proteome allow identifying proteome profiles (signatures) that are potentially
useful in detection and diagnostics of cancer. Here we compared serum proteome
profiles of healthy donors and patients with three different types of cancer
aiming to identify peptide signatures that were either common for all cancer
samples or specific for cancer type. Blood samples were collected before start of
the therapy from patients with head and neck squamous cell cancer, colorectal
adenocarcinoma and non-small cell lung cancer, and from a corresponding group of
healthy volunteers. Mass profiles of the serum proteome were recorded in the
range between 2 and 13 kDa using MALDI-ToF spectrometry and 131 identified
peptide ions were used for statistical analyses. Similar degrees of overall
similarities were observed in all intra-group and inter-group analyses when
general features of serum proteome profiles were compared between individual
samples. However, classifiers built of selected spectral components allowed
differentiation between healthy donors and three groups of cancer patients with
69-74% sensitivity and 82-84% specificity. There were two common peptide species
(3766 and 5867 Da) with increased levels in all cancer samples. Several spectral
components permitted differentiation between lung cancer samples and either head
and neck cancer or colorectal cancer samples, but two latter types of samples
could not be properly discriminated. Abundance of spectral components that
putatively corresponded to fragments of serum amyloid A (11511 and 11667 Da) was
highest in lung cancer samples, yet increased levels of these peptides appeared
to generally associate with more advanced cancer cases. We concluded that certain
components of serum peptide signatures are common for different cancer signatures
and putatively reflect general response of organism to the disease, yet other
components of such signatures are more specific and most likely correspond to
clinical stage of the malignancy.
PMID- 21894433
TI - Treatment with the Chk1 inhibitor Go6976 enhances cisplatin cytotoxicity in SCLC
cells.
AB - Acquired chemoresistance is a major obstacle in successful treatment of small
cell lung cancer (SCLC). DNA damage responses can potentially contribute to
resistance by halting the cell cycle following exposure to therapeutic agents,
thereby facilitating repair of drug-induced lesions and protecting tumour cells
from death. The Chk1 protein kinase is a key regulator in this response. We
analysed the status of cell cycle checkpoint proteins and the effects of the Chk1
inhibitor Go6976 on cisplatin toxicity in SCLC cell lines. IC50s for cisplatin
were determined using the MTT assay in six SCLC cell lines. Effects on cell cycle
distribution and apoptosis were determined by flow cytometry and caspase 3
activation in the presence or absence of the Chk1 inhibitor Go6976. The
activation of checkpoint proteins was determined by Western blotting. Cell lines
were divided into chemosensitive and chemoresistant groups on the basis of our
results. While checkpoint responses were detected in these cell lines through
Western blotting, some of these responses were delayed or weaker than those seen
in other cell types in response to DNA damage and replication stress. Go6976
significantly (p<0.05) enhanced the levels of apoptosis seen in response to a
clinically relevant dose of cisplatin (<6 uM) and decreased drug-induced G2
arrest in chemosensitive cells. Our data suggest a role for Chk1 in
chemoresistance of SCLC cells and a potential approach to improve initial
response of SCLC to cisplatin therapy.
PMID- 21894434
TI - Ultra-violet irradiation induces apoptosis via mitochondrial pathway in
pancreatic cancer cells.
AB - Pancreatic cancer is a highly lethal disease and gemcitabine is considered to be
the standard of care for the treatment of advanced pancreatic cancer. However,
the outcome of the patients treated with gemcitabine is still unstatisfactory and
further development of new treatments is required. We recently found that short
wavelength ultra-violet (UV-C) suppresses cell proliferation with downregulation
of epidermal growth factor receptor (EGFR) in human pancreatic cancer cells, but
not in normal pancreatic epithelial (PE) cells. In this study, we investigated
the effect of UV-C on apoptosis in several cell lines derived from the pancreas.
UV-C induced poly(ADP-ribose) polymerase (PARP) cleavage, which is a marker of
cells undergoing apoptosis, in Panc1, MiaPaca2, KP3 and BxPC3 pancreatic cancer
cells, but not in PE cells. We also observed similar effects in Hoechst 33258
staining, which shows DNA fragmentation. While p53, a tumor suppressor protein,
plays a critical role in UV-C-induced cell damage, we did not observe the
correlation between the sensitivity to UV-C and p53 status. Thapsigargin, an
agent that promotes endoplasmic reticulum (ER) stress by depletion of lumenal
calcium stores, as well as cis-diamineplatinum (II) dichloride, a classical anti
cancer drug that causes DNA damage, induced PARP cleavage even in PE cells.
Moreover, UV-C-induced apoptosis in Panc1 and KP3 cells was associated with the
release of cytochrome c, indicating that it was mediated via mitochondrial
pathway. Taken together, UV-C has a potent anti-cancer effect on pancreatic
cancer cells without adverse effect on normal cells and it could be useful for
the treatment of human pancreatic cancers.
PMID- 21894435
TI - Loss of 6q or 8p23 is associated with the total number of DNA copy number
aberrations in adenoid cystic carcinoma.
AB - We analyzed 10 adenoid cystic carcinomas (ACCs) of the salivary glands by array
based comparative genomic hybridization (a-CGH) using DNA chips spotted with
4,030 bacterial artificial chromosome clones. After the data smoothing procedure
was applied, a total of 88 DNA copy number aberrations (DCNAs) were detected. The
frequent (>=30%) DCNAs were loss of 6q23-27 and 8p23, and gains of 6p, 6q23, 8p23
and 22q13. High-level gains were detected on 12q15, including MDM2 in two cases.
These two cases showed an immunohistochemically high-level (>50%) expression of
MDM2 and a low-level expression of p53 (<20%). Furthermore, the total number of
DCNAs was significantly greater in ACCs with loss of 6q compared to other ACCs,
and in ACCs without the loss of 8p23 compared to other ACCs, respectively.
Although limitations exist, a-CGH detected several candidate chromosomal
imbalances associated with accumulation of DCNAs in ACCs.
PMID- 21894436
TI - Differential expression of up-regulated cofilin-1 and down-regulated cofilin-2
characteristic of pancreatic cancer tissues.
AB - Pancreatic cancer (PC) is one of the most deadly malignant tumors. The aim of
this study was to identify potential biomarkers for PC. Using two-dimensional gel
electrophoresis and liquid chromatography-tandem mass spectrometry, the proteomic
profiles of pancreatic cancerous and non-cancerous tissues from ten patients with
PC were compared. One of the numerous spots that showed stronger intensity in
cancerous compared to non-cancerous tissues was identified as non-muscle cofilin
(cofilin-1). This up-regulation was validated by Western blot analysis. It is
noteworthy that Western blot analysis showed significantly lower expression of
muscle cofilin (cofilin-2) in pancreatic cancerous tissues compared to non
cancerous tissues. This is the first time that cofilin isoforms (cofilin-1/2)
have been identified to be differentially expressed in pancreatic cancerous
tissues. Therefore, cofilin isoforms may serve as candidates for clinically
useful biomarkers or therapeutic targets for PC.
PMID- 21894437
TI - A PVP-extract fungal protein of Omphalia lapideacens and its antitumor activity
on human gastric tumors and normal cells.
AB - Omphalia lapidescens is an important medicinal fungus as well as traditional
Chinese medicine used for disease treatment. It is mainly used as a vermifuge for
anthelmintic therapy, but it has not been hitherto reported to possess antitumor
activity. In this study, a purified bioactive protein in O. lapidescens (pPeOp)
was obtained using polyvinylpyrrolidone (PVP) followed by gel filtration
chromatography. To evaluate the in vitro antitumor activity of pPeOp in human
gastric tumor cells (MC-4 and SGC-7901) and normal cells (MC-1), MTT assay and
FCM assay were used and the morphological changes, cell viability, cell death
rate and cell apoptosis rate of MC-4, SGC-7901 and MC-1 cells were estimated. The
results showed that pPeOp could significantly reduce the cell viability of MC-4
and SGC-7901 cells in a concentration-dependent manner, with IC50 values of
236.05 and 156.28 ug/ml, respectively. The morphological observation also
indicated a similar result. In FCM assays, a significant increase of cell death
rate and cell apoptosis rate of the tumor cells were observed, indicating
probable necrosis-inducing effects and/or apoptosis-inducing effects of pPeOp.
Importantly, there was no significant effect of pPeOp on MC-1 cells in each
assay, showing that pPeOp has no adverse effects on the normal cells. In
conclusion, pPeOp is a newly discovered bioactive protein in O. lapidescens and
this is the first report on antitumor activity of such a fungal protein. This may
provide a meaningful basis for developing a new protein drug for treatment
against cancer, especially gastric cancer.
PMID- 21894438
TI - Overexpression of CD26/DPPIV in mesothelioma tissue and mesothelioma cell lines.
AB - Mesothelioma, a highly aggressive cancer with poor prognosis and refractory to
currently available therapies show increasing trends of its incidence in Japan
and other developing countries. Although surgery is a gold standard for patients
with early mesothelioma, most patients with advanced disease are not suitable for
surgical resection and have option of palliative chemotherapy alone. One of the
new treatment strategies for mesothelioma, the humanized anti-CD26 monoclonal
antibody therapy is under development. CD26, a 110-kDa transmembrane glycoprotein
with known dipeptidyl peptidase IV activity, plays a role in tumor development
and its expression was reported in various human malignancies. This study
determined the preliminary selection criteria for humanized monoclonal anti-CD26
antibody therapy. Eighty-one epithelioid (49 differentiated and 32 less
differentiated), 34 sarcomatoid, 19 biphasic mesothelioma and 8 mesothelioma cell
lines were immunohistochemically examined using 8 different commercially
available anti-CD26 antibodies for membranous and cytoplasmic expression. The
cytoplasmic expression of CD26 was observed in all histological types of
mesothelioma, while the membranous expression of CD26 was found in 88% of
differentiated and 69% of less differentiated epithelioid mesothelioma, and none
of sarcomatoid mesothelioma with anti-CD26 antibodies with rabbit polyclonal anti
DPP4 antibody and similar results were also obtained with goat polyclonal anti
DPP4/CD26 antibody. These antibodies absorbed with soluble human CD26 proteins do
not show CD26 expression in mesothelioma tissue, suggesting these two antibodies
localize true CD26 protein. Seven mesothelioma cell lines, including sarcomatoid
types, also showed membranous expression of CD26 in cellblock preparation. CD26
vector transfection to CD26-negative MSTO-211H cells showed membranous expression
of CD26 by flow cytometry, but not in tumor developed in NOD/SCID mice with
inoculation of CD26 vector transfected MSTO-211H cells. We found that both rabbit
and goat polyclonal antibodies are suitable for immunohistochemical evaluation of
membranous expression of CD26 in mesothelioma.
PMID- 21894439
TI - Expression patterns of the tumor suppressor PDCD4 and correlation with beta
catenin expression in gastric cancers.
AB - The expression patterns of PDCD4, a tumor suppressor, and beta-catenin were
immunohistologically investigated in gastric carcinoma tissues. In normal gastric
tissues, PDCD4 was strongly expressed in the cell nuclei, but weakly expressed in
the cytoplasm. In gastric adenocarcinoma tissues, nuclear PDCD4 expression was
decreased, while cytoplasmic PDCD4 expression was unchanged or somewhat
increased. In gastric signet ring cell carcinoma tissues, PDCD4 expression
patterns were different from the expression patterns of the adenocarcinoma
tissues, and PDCD4 was localized in the nuclei of the carcinoma cells as a belt
in the middle of the epithelial layer. The nuclear localization of PDCD4 in the
adenocarcinoma tissues was correlated with the membrane localization of beta
catenin, the activation of which stimulates invasion of colon cancer cells. PDCD4
expression was correlated with beta-catenin expression in gastric carcinoma cell
lines, but not with E-cadherin, as the binding partner in the cell membrane.
PMID- 21894441
TI - Chromosome aberrations in peripheral blood lymphocytes of individuals living in
high background radiation areas of Ramsar, Iran.
AB - In order to investigate the biological effects of exposure to low-dose radiation
and to assess the dose-effect relationship in residents of high background
radiation areas (HBRAs) of Ramsar, cytogenetic investigation of unstable-type
aberrations was performed in 15 healthy elderly women in a HBRA of Ramsar, Talesh
mahalle, and in 10 elderly women living in a nearby control area with normal
background radiation. In total, 77,714 cells were analyzed; 48,819 cells in HBRA
residents and 28,895 cells in controls. On average, 3,108 cells per subject were
analyzed (range 1,475-5,007 cells). Significant differences were found in the
frequency of dicentric plus centric rings in 100 cells (0.207 +/- 0.103 vs. 0.047
+/- 0.027, p < 0.0005), total chromosome-type aberrations per 100 cells (0.86 +/-
0.44 vs. 0.23 +/- 0.17, p < 0.0005), and chromatid-type aberrations per 100 cells
(3.31 +/- 2.01 vs. 1.66 +/- 0.63, p = 0.01) by the Mann-Whitney U test between
HBRA and the control, respectively. Using chromosomal aberrations as the main
endpoint to assess the dose-effect relationship in residents of HBRAs in Ramsar,
no positive correlation was found between the frequency of dicentric plus centric
ring aberrations and the cumulative dose of the inhabitants estimated by direct
individual dosimetry; however, obvious trends of increase with age appeared in
the control group. Based on these results, individuals residing in HBRAs of
Ramsar have an increased frequency of detectable abnormalities in unstable
aberrations.
PMID- 21894440
TI - Mutation induction by inhaled radon progeny modeled at the tissue level.
AB - The observable responses of living systems to ionizing radiation depend on the
level of biological organization studied. Understanding the relationships between
the responses characteristic of the different levels of organization is of
crucial importance. The main objective of the present study is to investigate how
some cellular effects of radiation manifest at the tissue level by modeling
mutation induction due to chronic exposure to inhaled radon progeny. For this
purpose, a mathematical model of the bronchial epithelium was elaborated to
quantify cell nucleus hits and cell doses. Mutagenesis was modeled considering
endogenous as well as radiation-induced DNA damages and cell cycle shortening due
to cell inactivation. The model parameters describing the cellular effects of
radiation are obtained from experimental data. Cell nucleus hits, cell doses, and
mutation induction were computed for the activity hot spots of the large bronchi
at different exposures. Results demonstrate that the mutagenic effect of densely
ionizing radiation is dominated by cell cycle shortening due to cell inactivation
and not by DNA damages. This suggests that radiation burdens of non-progenitor
cells play a significant role in mutagenesis in case of protracted exposures to
densely ionizing radiation. Mutation rate as a function of dose rate exhibits a
convex shape below a threshold. This threshold indicates the exhaustion of the
tissue regeneration capacity of local progenitor cells. It is suggested that
progenitor cell hyperplasia occurs beyond the threshold dose rate, giving a
possible explanation of the inverse dose-rate effect observed in the epidemiology
of lung cancer among uranium miners.
PMID- 21894442
TI - IL-17 receptor and its functional significance in psoriatic arthritis.
AB - To delineate the functional significance of IL-17 Receptor (IL-17RA) and
characterize the IL-17 producing T cell (Th17) subpopulation in psoriatic
arthritis (PsA). Mononuclear cells from blood and synovial fluid (SF) were
obtained from PsA (n=20), rheumatoid arthritis (RA, n=20) and osteoarthritis (OA,
n=20) patients. Synoviocytes (FLS) were isolated from the synovium of RA (n=5),
PsA (n=5) and OA (n=5) patients. IL-17RA expression in FLS was identified by
western blotting (WB) and flowcytometry. T lymphocytes derived from the SF of
these patients were studied to identify and phenotype the Th17 cells. The
functional significance of IL-17RA was determined by evaluating its regulatory
role on the production of proinflammatory cytokines and endopeptidase. IL-17RA
expression was found to be significantly higher in FLS of RA (15.7%+/-4.9) and
PsA (4.5%+/-0.9) in comparison to OA (1.14%+/-0.9). Western blot analyses showed
that the relative intensity (RI) of IL-17RA protein was higher in RA and PsA
compared to OA (Fisher exact, P<0.01). A significant enrichment of IL-17
producing CD4+ T cells (7.9%+/-2.8) was observed in the SF of PsA patients
compared to that of OA patients (P<.001). Compared to OA-FLS, recombinant IL-17
induced higher levels of IL-6, IL-8, and MMP-3 production in PsA-FLS. Blockage of
IL-17RA with an anti-IL-17RA antibody inhibited the production of IL-6, IL-8, and
MMP-3. This is the first report to demonstrate the functional significance of IL
17RA in PsA. Results of this study support the hypothesis that IL-17RA blocking
antibodies have the potential to be a therapeutic option for psoriatic arthritis.
PMID- 21894443
TI - Testosterone-dependent increase in blood pressure is mediated by elevated Cyp4A
expression in fructose-fed rats.
AB - Endothelial dysfunction and increased blood pressure following insulin resistance
play an important role in the development of secondary cardiovascular
complications. The presence of testosterone is essential for the development of
endothelial dysfunction and increased blood pressure. Testosterone regulates the
synthesis of vasoconstrictor eicosanoids such as 20-hydroxyeicosatetranoic acid
(20-HETE). In a series of studies, we examined: (1) the role of the androgen
receptor in elevating blood pressure and (2) the effects of Cyp4A-catalyzed 20
HETE synthesis on vascular reactivity and blood pressure in fructose-fed rats. In
the first study, intact and castrated male rats were made insulin resistant by
feeding fructose for 9 weeks following which their superior mesenteric arteries
(SMA) were isolated and examined for changes in endothelium-dependent relaxation
in the presence and absence of 1-aminobenzotriazole (ABT) and N-methylsulfonyl
12,12-dibromododec-11-enamide (DDMS), which are inhibitors of 20-HETE synthesis.
In another study, male rats were treated with either ABT or the androgen receptor
blocker, flutamide, following which changes in insulin sensitivity, blood
pressure, and vascular Cyp4A expression were measured. In the final study,
HET0016, which is a more selective inhibitor of 20-HETE synthesis, was used to
confirm our earlier findings. Treatment with HET0016 or ABT prevented or
ameliorated the increase in blood pressure. Gonadectomy or flutamide prevented
the increase in both the Cyp4A and blood pressure. Furthermore, both ABT and DDMS
improved relaxation only in the intact fructose-fed rats. Taken together our
results suggest that in the presence of testosterone, the Cyp4A/20-HETE system
plays a key role in elevating the blood pressure secondary to insulin resistance.
PMID- 21894445
TI - Age-dependent modulation of sensory reweighting for controlling posture in a
dynamic virtual environment.
AB - Older adults require more time to reweight sensory information for maintaining
balance that could potentially lead to increased incidence of falling in rapidly
changing or cognitively demanding environments. In this study, we manipulated the
visual surround information during a collision avoidance task in order to
investigate how young and elderly adults engage in sensory reweighting under
conditions of visual anticipation. Sixteen healthy elderly (age: 71.5 +/- 4.9
years; height: 159.3 +/- 6.6 cm; mass: 73.3 +/- 3.3 kg) and 20 young (age: 22.8
+/- 3.3 years; height: 174.4 +/- 10.7 cm; mass: 70.1 +/- 13.9 kg) participants
stood for 240 s on a force platform under two experimental conditions: quiet
standing and standing while anticipating randomly approaching virtual objects to
be avoided. During both tasks, the visual surround changed every 60 s from a
stationary virtual scene (room) to either a moving room or darkness and then back
to a stationary scene to evoke sensory reweighting processes. In quiet standing,
elderly showed greater sway variability and were more severely affected by the
removal or degradation of visual surround information when compared to young
participants. During visual anticipation, sway variability was not different
between the age groups. In addition, both young and elderly participants were
similarly affected by the degradation or removal of the visual surround. These
findings suggest that sensory reweighting in a dynamic virtual environment that
evokes visual anticipation interacts with postural state anxiety regardless of
age. Elderly show less efficient sensory reweighting in quiet standing due to
greater visual field dependence possibly associated with fear of falling.
PMID- 21894446
TI - Mediterranean diet reduces senescence-associated stress in endothelial cells.
AB - This paper aims to study the effects of the oxidative stress induced by quality
and quantity of dietary fat on cellular senescence. Twenty elderly subjects
consumed three diets, each for 4 weeks: a saturated fatty acid diet (SFA), a low
fat and high-carbohydrate diet (CHO-ALA), and a Mediterranean diet (MedDiet)
enriched in monounsaturated fatty acid following a randomized crossover design.
For each diet, we investigated intracellular reactive oxidative species (ROS),
cellular apoptosis and telomere length in human umbilical endothelial cells
incubated with serum from each patient. MedDiet induced lower intracellular ROS
production, cellular apoptosis, and percentage of cell with telomere shortening,
compared with the baseline and with SFA and CHO-ALA diets. Dietary fat modulates
the oxidative stress in human endothelial cells. MedDiet protects these cells
from oxidative stress, prevents cellular senescence and reduces cellular
apoptosis.
PMID- 21894447
TI - Are centenarians genetically predisposed to lower disease risk?
AB - Our study purpose was to compare a disease-related polygenic profile that
combined a total of 62 genetic variants among (i) people reaching exceptional
longevity, i.e., centenarians (n = 54, 100-108 years, 48 women) and (ii)
ethnically matched healthy controls (n = 87, 19-43 years, 47 women). We computed
a 'global' genotype score (GS) for 62 genetic variants (mutations/polymorphisms)
related to cardiometabolic diseases, cancer or exceptional longevity, and also
specific GS for main disease categories (cardiometabolic risk and cancer risk,
including 36 and 24 genetic variations, respectively) and for exceptional
longevity (7 genetic variants). The 'global' GS was similar among groups
(centenarians: 31.0 +/- 0.6; controls 32.0 +/- 0.5, P = 0.263). We observed that
the GS for hypertension, cancer (global risk), and other types of cancer was
lower in the centenarians group compared with the control group (all P < 0.05),
yet the difference became non significant after adjusting for sex. We observed
significant between-group differences in the frequency of GSTT1 and GSTM1
(presence/absence) genotypes after adjusting for multiple comparisons. The
likelihood of having the GSTT1 low-risk (functional) allele was higher in
centenarians (odds ratio [OR] 5.005; 95% confidence interval [CI], 1.810-13.839),
whereas the likelihood of having the GSTMI low-risk (functional) allele was
similar in both groups (OR 1.295; 95% CI, 0.868 -1.931). In conclusion, we found
preliminary evidence that Spanish centenarians have a lower genetic
predisposition for cancer risk. The wild-type (i.e., functional) genotype of
GSTT1, which is associated with lower cancer risk, might be associated with
exceptional longevity, yet further studies with larger sample sizes must confirm
these findings.
PMID- 21894448
TI - Instability of the cellular lipidome with age.
AB - The human lens nucleus is formed in utero, and from birth onwards, there appears
to be no significant turnover of intracellular proteins or membrane components.
Since, in adults, this region also lacks active enzymes, it offers the
opportunity to examine the intrinsic stability of macromolecules under
physiological conditions. Fifty seven human lenses, ranging in age from 12 to 82
years, were dissected into nucleus and cortex, and the nuclear lipids analyzed by
electrospray ionization tandem mass spectrometry. In the first four decades of
life, glycerophospholipids (with the exception of lysophosphatidylethanolamines)
declined rapidly, such that by age 40, their content became negligible. In
contrast the level of ceramides and dihydroceramides, which were undetectable
prior to age 30, increased approximately 100-fold. The concentration of
sphingomyelins and dihydrosphingomyelins remained unchanged over the whole life
span. As a consequence of this marked alteration in composition, the properties
of fiber cell membranes in the centre of young lenses are likely to be very
different from those in older lenses. Interestingly, the identification of age 40
years as a time of transition in the lipid composition of the nucleus coincides
with previously reported macroscopic changes in lens properties (e.g., a massive
age-related increase in lens stiffness) and related pathologies such as
presbyopia. The underlying reasons for the dramatic change in the lipid profile
of the human lens with age are not known, but are most likely linked to the
stability of some membrane lipids in a physiological environment.
PMID- 21894449
TI - Identification of CD105 (endoglin)-positive stem-like cells in rhabdoid
meningioma.
AB - To investigate the tumor-initiating cells (TICs) in rhabdoid meningioma (RM), a
population of CD105-positive cells isolated from a fresh RM surgical sample was
analyzed for proliferative activity, self-renewal ability, tumorigenic ability,
multilineage differentiation potential, as well as chromosomal aberrations. The
results showed that isolated CD105-positive cells could be maintained for more
than 50 generations in vitro. These cells exhibited increased proliferative
activity and single-cell tumor sphere-formation ability compared with CD105
negative cells. In vivo experiments showed that CD105-positive cells possessed
much greater potential to reconstitute the original human RM in nude mice as
compared with CD105-negative cells. Phenotypically, CD105-positive cells shared
some surface markers with mesenchymal progenitor cells (MPCs), but karyotype
analysis showed chromosomal abnormalities characteristic of malignant meningioma,
thus distinguishing them from supportive stroma-derived MPCs. In addition, in
contrast to CD105-negative cells, CD105-positive cells could differentiate into
adipocytes and osteocytes in response to specific induction agents. Finally,
CD105-positive cells with stem-like features were also isolated from xenograft
tumors. In conclusion, a population of CD105-positive TICs with some traits of
MPCs was identified in RM and might provide a promising therapeutic target in
management of malignant meningioma.
PMID- 21894450
TI - Effects of vibration training on bone metabolism: results from a short-term bed
rest study.
AB - The absence of mechanical loading leads to a prompt increase in bone resorption
measured by bone resorption markers. There is high potential that vibration
training can positively influence bone metabolism in immobilized subjects, reduce
the increase in osteoclastic activity and increase bone formation processes. We
investigated whether vibration training at 20 Hz with an amplitude of 2-4 mm
influences bone metabolism during immobilization. Eight male subjects (26.4 +/-
4.9 years; 78.1 +/- 9.5 kg) performed a 14 day bed rest in 6 degrees -head down
tilt (HDT). Subjects received vibration training for 2 * 5 min/day or a control
intervention without vibration (crossover design). Calcium excretion and bone
resorption markers C-telopeptide (CTX) and N-telopeptide (NTX) were analyzed from
24 h urine samples. Bone formation markers, bone alkaline phosphatase (bAP) and
procollagen-N propeptide (PINP) were analyzed from fasting blood samples. Our
results show an increase in bone resorption very early during HDT bed rest in
both interventions (CTX: p < 0.01; NTX: p < 0.001). Vibration training did not
have any different effect on bone resorption markers (CTX: p = 0.10; NTX: p =
0.58), bone formation markers (PINP: p = 0.21; bAP: p = 0.12) and calcium
excretion (p < 0.64) compared to the control condition. Mere vibration training
with 20 Hz for 2 * 5 min/day does not prevent increase in bone resorption as
measured with the described methods in our short-term HDT bed rest.
PMID- 21894451
TI - Neurophysiological and behavioral effects of a 60 Hz, 1,800 MUT magnetic field in
humans.
AB - The effects of time-varying magnetic fields (MF) on humans have been actively
investigated for the past three decades. One important unanswered question is the
potential for MF exposure to have acute effects on human biology. Different
strategies have been used to tackle this question using various physiological,
neurophysiological and behavioral indicators. For example, researchers
investigating electroencephalography (EEG) have reported that extremely low
frequency (ELF, <300 Hz) MF can increase resting occipital alpha rhythm (8-12
Hz). Interestingly, other studies have demonstrated that human motricity can be
modulated by ELF MF: a reduction of anteroposterior standing balance or a
decrease of physiological tremor intensity have been reported as consequences of
exposure. However, the main limitation in this domain lies in the lack of results
replication, possibly originating from the large variety of experimental
approaches employed. Therefore, the present study aimed to investigate the
effects of a 60 Hz, 1,800 MUT MF exposure on neurophysiological (EEG) and
neuromotor (standing balance, voluntary motor function, and physiological tremor)
aspects in humans using a single experimental procedure. Though results from this
study suggest a reduction of human standing balance with MF exposure, as well as
an increase of physiological tremor amplitude within the frequency range
associated with central nervous system contribution, no exposure effect appeared
on other investigated parameters (e.g., EEG or voluntary motor control). These
results suggest that 1 h of 60 Hz, 1,800 MUT MF exposure may modulate human
involuntary motor control without being detected in the cortical electrical
activity.
PMID- 21894452
TI - Optimisation of the operational conditions of trichloroethylene degradation using
Trametes versicolor under quinone redox cycling conditions using central
composite design methodology.
AB - Extracellular radicals produced by Trametes versicolor under quinone redox
cycling conditions can degrade a large variety of pollutant compounds, including
trichloroethylene (TCE). This study investigated the effect of the agitation
speed and the gas-liquid phase volume ratio on TCE degradation using central
composite design (CCD) methodology for a future scale-up to a reactor system. The
agitation speed ranged from 90 to 200 rpm, and the volume ratio ranged from 0.5
to 4.4. The results demonstrated the important and positive effect of the
agitation speed and an interaction between the two factors on TCE degradation.
Although the volume ratio did not have a significant effect if the agitation
speed value was between 160 and 200 rpm, at lower speed values, the specific
pollutant degradation was clearly more extensive at low volume ratios than at
high volume ratios. The fitted response surface was validated by performing an
experiment using the parameter combination in the model that maximised TCE
degradation. The results of the experiments carried out using different biomass
concentrations demonstrated that the biomass concentration had a positive effect
on pollutant degradation if the amount of biomass present was lower than 1.6 g
dry weight l(-1). The results show that the maximum TCE degradation was obtained
at the highest speed (200 rpm), gas-liquid phase volume ratio (4.4), and a
biomass concentration of 1.6 g dry weight l(-1).
PMID- 21894453
TI - A case of meningitis due to Neisseria subflava after ventriculostomy.
AB - Surgical site infection (SSI) is an emerging problem in all fields of surgery, as
well as in neurosurgery, with numerous strategies against SSI initiated in many
countries. In this report, we describe a case of SSI caused by Neisseria subflava
a month after ventriculostomy placement for acute hydrocephalus. A 53-year old
man was referred to our hospital, and was diagnosed with a thalamic hemorrhage
with ventricular rupture. Bilateral ventriculostomies were performed on the day
of presentation. After intensive care for the acute stage, an SSI at the left
ventriculostomy site was recognized on the 39th hospital day. The installed
reservoir and ventricular tube was removed on the 40th hospital day. The CSF cell
count was 2064/3, and N. subflava was recovered from the CSF culture. N. subflava
is a common inhabitant found in the human upper respiratory tract, and is
reported to be a rare cause of meningitis. There is one case report of meningitis
caused by N. subflava transmitted during myelography from medical staff who did
not wear facemasks during the procedure. Meningitis caused by N. subflava is
rare, and transmission via a medical procedure is extremely rare. Although
reported to be only rarely pathogenic, we must recognize that N. subflava can
indeed be pathogenic, and can in rare cases be the cause of postoperative
meningitis.
PMID- 21894454
TI - Reduction in the incidence of MRSA with use of alcohol-based hand rub solutions
and gloves.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) is highly contagious. It is
spread by direct contact with MRSA-infected people or objects. Healthcare
workers' hands are the most common vehicle for the transmission of healthcare
associated pathogens from patient to patient and within the healthcare
environment. The present study aimed to investigate the correlation between the
incidence of MRSA among Staphylococcus aureus recovered from clinical culture and
the use of alcohol-based hand rub solutions or gloves and antimicrobial use
density (AUD). All data were examined every 6 months between January 2005 and
June 2008. The increasing use of alcohol-based hand rub solutions was correlated
with a decreasing incidence of recovery of MRSA from clinical cultures (r(2) =
0.58). A statistically significant (P < 0.05) correlation (r(2) = 0.68) was
observed between glove use and the incidence of MRSA. On the other hand, we did
not find any correlation between the AUD of each antibiotic group and the
incidence of MRSA. Thus, we suggest that it is important to use not only alcohol
based hand rubs, but also gloves, because MRSA is transmitted from patient to
patient by the hands of healthcare workers.
PMID- 21894455
TI - A report from the committee on microbroth dilution antimicrobial susceptibility
testing : issues in antimicrobial susceptibility testing of Haemophilus
influenzae: a comparison of the Japanese Society of Chemotherapy lysed horse
blood and the CLSI HTM broth microdilution methods.
PMID- 21894456
TI - Bilateral circumscribed choroidal hemangioma with retinal and choroidal venous
abnormalities.
PMID- 21894457
TI - Sarcomatoid Carcinoma of the Anorectal Junction: Struggling with an Extremely
Rare Tumor.
PMID- 21894458
TI - Hepatoid Adenocarcinoma of the Lung: A Case Report and Literature Review.
PMID- 21894459
TI - Association of type 2 diabetes and colon adenomas.
AB - INTRODUCTION: Type 2 diabetes mellitus (DM) is associated with hyperinsulinemia,
which may lead to increased risk of carcinogenesis by increasing insulin-like
growth factor-1 level. In this study, we sought to determine the association
between type 2 DM and colon adenomas. METHODS: In this retrospective case-control
study, all the colonoscopies performed in an urban medical center during a 3-year
period were reviewed. Patients with adenomatous polyps were considered as cases
(n = 261). Age- and sex-matched controls with a 2:1 ratio were selected (n =
522). Among diabetic subjects, the association of different anti-diabetic
medications and HbA1C level with high-risk adenoma features was analyzed.
RESULTS: Type 2 DM was significantly associated with colon adenomas (odds ratio
(OR) = 1.45, 95% confidence interval (CI) = 1.05-2.01, p = 0.024). Exposure to
insulin (OR = 1.734, 95% CI = 1.13-2.65, p = 0.013) and thiazolidinediones (OR =
2.83, 95% CI = 1.28-6.26, p = 0.01) was associated with developing adenomas.
Neither the type of antidiabetic medication nor the level of HbA1C was a
predictor for high-risk adenomas. Smoking (OR = 1.47, 95% CI = 1.07-2.02, p =
0.02), use of aspirin (OR = 1.59, 95% CI = 1.15-2.20, p = 0.005), and statins (OR
= 1.54, 95% CI = 1.13-2.10, p = 0.007) appeared to increase the risk of adenomas.
CONCLUSION: This study shows a significant association between type 2 DM and
colon adenomas. Establishing this association may lead to inclusion of diabetic
patients in the high-risk group for developing colorectal cancer.
PMID- 21894460
TI - Photosynthetic energy storage efficiency in Chlamydomonas reinhardtii, based on
microsecond photoacoustics.
AB - Using a novel, pulsed micro-second time-resolved photoacoustic (PA) instrument,
we measured thermal dissipation and energy storage (ES) in the intact cells of
wild type (WT) Chlamydomonas reinhardtii, and mutants lacking either PSI or PSII
reaction centers (RCs). On this time scale, the kinetic contributions of the
thermal expansion component due to heat dissipation of absorbed energy and the
negative volume change due to electrostriction induced by charge separation in
each of the photosystems could be readily distinguished. Kinetic analysis
revealed that PSI and PSII RCs exhibit strikingly different PA signals where PSI
is characterized by a strong electrostriction signal and a weak thermal expansion
component while PSII has a small electrostriction component and large thermal
expansion. The calculated ES efficiencies at ~10 MUs were estimated to be 80 +/-
5 and 50 +/- 13% for PSII-deficient mutants and PSI-deficient mutants,
respectively, and 67 +/- 2% for WT. The overall ES efficiency was positively
correlated with the ratio of PSI to PSI + PSII. Our results suggest that the
shallow excitonic trap in PSII limits the efficiency of ES as a result of an
evolutionary frozen metabolic framework of two photosystems in all oxygenic
photoautotrophs.
PMID- 21894461
TI - Role of Src in breast cancer cell migration and invasion in a breast cell/bone
derived cell microenvironment.
AB - The preferential metastasis of breast cancer cells to bone comprises a complex
set of events including homing and preferential growth, which may require unique
factors produced by bone or other cells in the immediate microenvironment. In
this study, an in vitro co-culture system composed of bone mesenchymal stem cells
and breast cancer cell lines is used to examine the role of Src kinase on breast
cancer cell migration and invasion in the presence of bone-derived cells. This
research shows that Src kinase activity in breast cancer cell lines with either
high or low levels of endogenous Src activity is increased by bone-derived cell
conditioned medium but not HS68 fibroblast-conditioned medium. Breast cancer
cells exhibit enhanced migration in co-culture with bone-derived cells but not
HS68 fibroblasts or no co-cultured cells. Inhibition of Src kinase activity using
the inhibitors PP2 or saracatinib or using siRNA abrogates the preferential
migration of the breast cancer cell lines in response to bone-derived cells.
Inhibition of Src activity with saracatinib does not have any significant effect
on breast cancer cell invasion in the presence of bone-derived cells. Factors are
identified that are produced preferentially by bone-derived cells over HS68 cells
that may impact breast cancer cell behavior. This research implicates Src kinase
as an important effector of bone-derived cell signals on breast cancer cell
migration.
PMID- 21894462
TI - Comparative analysis of involvement of UGT1 and UGT2 splice variants of UDP
galactose transporter in glycosylation of macromolecules in MDCK and CHO cell
lines.
AB - Nucleotide sugar transporters deliver nucleotide sugars into the Golgi apparatus
and endoplasmic reticulum. This study aimed to further characterize mammalian UDP
galactose transporter (UGT) in MDCK and CHO cell lines. MDCK-RCA(r) and CHO-Lec8
mutant cell lines are defective in UGT transporter, although they exhibit some
level of galactosylation. Previously, only single forms of UGT were identified in
both cell lines, UGT1 in MDCK cells and UGT2 in CHO cells. We have identified the
second UGT splice variants in CHO (UGT1) and MDCK (UGT2) cells. Compared to UGT1,
UGT2 is more abundant in nearly all examined mammalian tissues and cell lines,
but MDCK cells exhibit different relative distribution of both splice variants.
Complementation analysis demonstrated that both UGT splice variants are necessary
for N- and O-glycosylation of proteins. Both mutant cell lines produce
chondroitin-4-sulfate at only a slightly lower level compared to wild-type cells.
This defect is corrected by overexpression of both UGT splice variants. MDCK
RCA(r) mutant cells do not produce keratan sulfate and this effect is not
corrected by either UGT splice variant, overexpressed either singly or in
combination. Here we demonstrate that both UGT splice variants are important for
glycosylation of proteins. In contrast to MDCK cells, MDCK-RCA(r) mutant cells
may possess an additional defect within the keratan sulfate biosynthesis pathway.
PMID- 21894463
TI - Discovering the distinct inhibitory effects between C4-epimeric glycosyl amino
acids: new insight into the development of protein tyrosine phosphatase
inhibitors.
AB - There has been increasing interest in the development of drug candidates based on
sugar templates that possess rich structural and, especially, configurational
diversities. We disclose herein that the epimeric identity between methyl 3,4-bis
phenylalanyl/tyrosinyl triazolyl-alpha-D-galactopyranoside and glucopyranoside
may lead to their distinct inhibitory effects on specific protein tyrosine
phosphatases (PTPs). Subsequently performed molecular docking study elucidated
the plausible binding behaviors of the more potent galactosyl inhibitors with
their primary PTP target, i.e. Cell Division Cycle 25B (CDC25B) phosphatase.
PMID- 21894464
TI - Characterization of chondroitin sulfate from deer tip antler and osteogenic
properties.
AB - Deer antler is a highly regenerative tissue that involves cellular
differentiation, osteogenesis and ossification processes. Chondroitin sulfate is
the major glycosaminoglycan contained in antler connective tissue and has been
isolated from cartilaginous antler by 4 M GuHCl extraction, gradient
ultracentrifugation and chromatography techniques. We examined the disaccharide
composition by 2-AB labeling and anion exchange HPLC analysis of the three
resultant fractions (high, medium and low density fractions). The high density
fraction consists of A-unit and D-unit disaccharide in the ratio of 1:1, whereas,
the CS disaccharide composition ratio of A- unit:C-unit:D-Unit:E-unit contained
in medium and low density fractions are 3:4:3:1 and 2:2:2:1, respectively. The
only intact CS oligosaccharides of the medium density fraction upregulated gene
expression of bone-specific proteins of a human osteoblastic cell line
(hFOB1.19). Thus, CS oligosaccharides from cartilaginous deer antler, with their
oversulfated chondroitin sulfate composition, demonstrated the physiological
properties and may be good candidates for osteogenetic agents in humans.
PMID- 21894465
TI - Interleukin-15 affects differentiation and apoptosis in adipocytes: implications
in obesity.
AB - Interleukin-15 (IL-15) is an anabolic factor for skeletal muscle and several
reports have described its important role as a regulator of energy homeostasis.
In this study, we analyzed the effects of IL-15 on adipocyte differentiation
using the 3T3-L1 preadipose cell line. The data show that IL-15 tends to reduce
the rate of adipocyte proliferation, induces apoptosis, and partially stops
differentiation. The signaling molecules behind these actions of the cytokine on
adipose cells are: p42/p44 MAPK (which seem to be associated with the reduced
rate of proliferation induced by the cytokine), STAT5 (which is related to the
actions of IL-15 on differentiation), and SAPK/JNK (which are related to the
increased apoptosis induced by IL-15). In conclusion, using the 3T3-L1 adipocyte
cell line, the results presented here show that IL-15 exerts important effects on
differentiation, proliferation and apoptosis. Altogether, the results presented
here reinforce the idea that IL-15 is an important mediator that regulates
adipose size and, therefore, the role of the cytokine in affecting body weight
and obesity deserves additional studies.
PMID- 21894466
TI - Distribution of Hordoindoline genes in the genus Hordeum.
AB - Hordoindoline (Hin) genes, which are known to comprise Hina, Hinb-1, and Hinb-2,
are associated with grain hardness in barley. However, the interspecific
variation in the Hin genes in the genus Hordeum has not been studied in detail.
We examined the variation in Hin genes and used it to infer the phylogenetic
relationships between the genes found in two H. vulgare subspecies (cultivated
barley and H. vulgare subsp. spontaneum) and 10 wild relatives (H. bogdanii, H.
brachyantherum, H. bulbosum, H. chilense, H. comosum, H. marinum, H. murinum, H.
patagonicum, H. pusillum, and H. roshevitzii). The Hina and Hinb genes of these
species were amplified by PCR. We found two Hinb genes in three wild species (H.
bogdanii, H. brachyantherum, and H. roshevitzii) and preliminarily named them
Hinb-A and Hinb-B. Cluster analysis showed that the 17 Hinb genes present in
Hordeum formed two distinct clusters (named A and B). Seven Hinb genes were
included in Cluster-A, and 10 Hinb genes were included in Cluster-B. All Hinb-A
genes were included in Cluster-A, while all of the Hinb-B genes were included in
Cluster-B. In contrast, the Hinb-1 and Hinb-2 genes in H. vulgare were included
in Cluster-B. These results suggest that the Hinb genes duplicated during the
early stages of diversification in the genus Hordeum. On the other hand, the Hinb
1 and Hinb-2 genes in H. vulgare seem to have been generated by a duplication of
the Hinb gene after the split of the lineages leading to H. vulgare and H.
bulbosum.
PMID- 21894467
TI - Identification of qSOR1, a major rice QTL involved in soil-surface rooting in
paddy fields.
AB - Specific Indonesian lowland rice (Oryza sativa L.) cultivars elongate thick
primary roots on the soil surface of paddy fields. To clarify the genetic factors
controlling soil-surface rooting, we performed quantitative trait locus (QTL)
analyses using 124 recombinant inbred lines (RILs) derived from a cross between
Gemdjah Beton, an Indonesian lowland rice cultivar with soil-surface roots, and
Sasanishiki, a Japanese lowland rice cultivar without soil-surface roots. These
cultivars and the RILs were tested for soil-surface rooting in a paddy field. We
identified four regions of chromosomes 3, 4, 6, and 7 that were associated with
soil-surface rooting in the field. Among them, one major QTL was located on the
long arm of chromosome 7. This QTL explained 32.5-53.6% of the total phenotypic
variance across three field evaluations. To perform fine mapping of this QTL, we
measured the basal root growth angle of crown roots at the seedling stage in
seven BC(2)F(3) recombinant lines grown in small cups in a greenhouse. The QTL
was mapped between markers RM21941 and RM21976, which delimit an 812-kb interval
in the reference cultivar Nipponbare. We have designated this QTL qSOR1
(quantitative trait locus for SOIL SURFACE ROOTING 1).
PMID- 21894468
TI - The effect of insurance status on outcomes after partial nephrectomy.
AB - BACKGROUND: Privately insured patients may have favorable health outcomes when
compared to those covered by federally funded initiatives. This study explored
the effect of insurance status on five short-term outcomes after partial
nephrectomy (PN). METHODS: Within the Health Care Utilization Project Nationwide
Inpatient Sample (NIS), we focused on PNs performed between 1998 and 2007. We
tested the rates of in-hospital mortality, blood transfusions, prolonged length
of stay, as well as intraoperative and postoperative complications, stratified
according to insurance status. Multivariable logistic regression analyses fitted
with general estimation equations for clustering among hospitals further adjusted
for confounding factors. RESULTS: Overall, 8,513 PNs were identified. Of those,
most patients were privately insured (53.5%), followed by Medicare (37.5%),
uninsured (4.6%) and Medicaid (4.4%). Medicare and Medicaid patients had higher
rates of transfusions (P < 0.001) and overall postoperative complications (P <
0.001). In multivariable analyses, when compared to privately insured patients,
Medicaid patients had higher rates of transfusions (OR = 1.91, P < 0.001) and
prolonged length of stay (OR = 1.49, P < 0.001). Medicare patients had higher
rates of overall postoperative complications (OR = 1.24, P = 0.015) and length of
stay beyond the median (OR = 1.4, P < 0.001). CONCLUSION: Patients with private
insurance undergoing PN have better short-term outcomes, when compared to their
publicly insured counterparts.
PMID- 21894469
TI - Update in adult urinary tract infection.
AB - Urinary tract infection remains a common problem for many populations. Recent
studies have expanded our understanding of the host innate immune response and
its role in the familial association observed for recurrent uncomplicated urinary
tract infection in healthy women. Therapeutic management for uncomplicated
infection has been compromised by increasing antimicrobial resistance,
particularly global dissemination of the CTXM-15 extended spectrum beta-lactamase
(ESBL) producing Escherichia coli ST-131 strain. Prevention strategies exploring
non-antimicrobial approaches continue to show limited promise, and approaches to
limit empiric antimicrobials are now being explored. For complicated urinary
tract infection, increasing antimicrobial resistance limits therapeutic options
for many patients. In addition to ESBL producing E. coli, NDM-1 E. coli and
Klebsiella pneumoniae and other resistant Gram negatives, such as Acinetobacter
species, are being isolated more frequently. There has been renewed interest in
catheter-acquired urinary tract infection, the most common health-care associated
infection, with several recent evidence-based guidelines for infection prevention
available. However, technologic progress in development of adherence-resistant
catheter materials remains disappointing.
PMID- 21894470
TI - Unapproved drugs in the United States and the Food and Drug Administration.
AB - Despite more than a century of evolving federal legislation, there remain many
unapproved drugs on the United States (US) market. This article reviews the
history of drug approval in the US, beginning with the landmark Pure Food and
Drug Act of 1906, through to the development of the US Food and Drug
Administration (FDA). The Pure Food and Drug Act of 1906 was the first
comprehensive federal legislation covering drug regulation. Intervening
legislation, such as the Federal Food, Drug, and Cosmetic Act of 1938 and
Kefauver-Harris Amendments in 1962, was later instituted. In June 2006, a century
after the development of the FDA as an enforcement body, an initiative was
undertaken to remove unapproved drugs from the marketplace. The Marketed
Unapproved Drugs-Compliance Policy Guide outlines enforcement policies aimed at
efficiently and rationally bringing all unapproved and illegally marketed drugs
into the approval process, or discontinuing their manufacture, distribution, and
sale. The FDA has been actively pursuing control of unapproved drugs in recent
years, with an approach concentrating on drug safety to ensure optimal public
health and consumer protection.
PMID- 21894471
TI - Gouty arthritis: understanding the disease state and management options in
primary care.
AB - Acute gouty arthritis is an inflammatory response triggered by the release of
monosodium urate crystal deposits into the joint space. The disease is associated
with debilitating clinical symptoms and functional impairments as well as adverse
economic and quality-of-life burdens. Because gouty arthritis is typically
diagnosed and managed in the primary care setting, clinicians require a thorough
knowledge of the presenting clinical features, risk factors, differential
diagnoses, and treatment options for appropriate management. Although generally
effective, the use of currently available therapies to control gouty arthritis is
challenging because many medications used to treat comorbidities can exacerbate
gouty arthritis and because current agents are associated with a number of
adverse events, contraindications, or both. Based on an understanding of the
underlying inflammatory pathogenesis of gouty arthritis, several new agents are
being developed that may provide improved efficacy.
PMID- 21894472
TI - Autoimmune myelofibrosis as the first manifestation of human immunodeficiency
virus infection in an infant.
PMID- 21894474
TI - Spindle cell variant of multiple myeloma: immunophenotype and cytogenetics
abnormalities.
PMID- 21894473
TI - Reduced intensity conditioning allogeneic hematopoietic stem cell transplant
could be beneficial to angioimmunoblastic T-cell lymphoma patients with
hemophagocytic lymphohistiocytosis.
PMID- 21894475
TI - Circulating IL-17 levels during the peri-transplant period as a predictor for
early leukemia relapse after myeloablative allogeneic stem cell transplantation.
AB - IL-17 is involved in inducing and mediating pro-inflammatory responses. The
association of IL-17 with tumor growth or graft-versus-host disease (GVHD) has
become a subject of controversy. We hypothesized that serum IL-17 (sIL-17) levels
during the peri-transplant period may affect alloreactive responses after
allogeneic stem cell transplantation (SCT). sIL-17 levels of 95 patients with
leukemia who had undergone myeloablative allogeneic SCT were measured using ELISA
before conditioning and on day 0, +7, and +14 after transplantation. With a
median follow-up of 17 months, the overall survival, disease-free survival, non
relapse mortality, and relapse incidence were 70.9%, 66.3%, 10.3%, and 23.4%,
respectively. Ten patients relapsed within 180 days (early relapse, 10.5%) post
transplant. The cumulative incidence of acute GVHD over grade II and chronic GVHD
was 55.8% and 69.0%, respectively. Analyses using repeated measures of ANOVA and
mean values of sIL-17 revealed that patients relapsed within 180 days had higher
sIL-17 levels, whereas no association existed between sIL-17 levels and other
clinical outcomes, including acute GVHD. Receiver operating characteristic curve
analyses also revealed that sIL-17 levels were available for the prediction of
early relapse and that patients with higher sIL-17 levels at each time point had
a significantly higher early relapse. Multivariate analyses and subgroup analyses
with only standard disease status suggest the association of sIL-17 levels with
subsequent early relapse independent of disease status at transplantation. This
study is the first one demonstrating the early change in sIL-17 during the peri
transplant period and the association with early relapse in humans.
PMID- 21894476
TI - Efficacy and safety of micafungin as an empirical antifungal therapy for
suspected fungal infection in neutropenic patients with hematological disorders.
AB - This prospective multicenter study was performed to clarify the efficacy and
safety of micafungin (MCFG) as an empirical antifungal therapy for suspected
fungal infection in patients with hematological disorders and neutropenia. Three
hundred and eighty-eight patients were enrolled; 151 patients with possible
fungal infection diagnosed by radiological imaging or serological testing and 237
patients with refractory fever were included in this study. The mean dose and
duration of treatment with MCFG were 154.6 mg/day and 14.0 days, respectively.
The clinical response rate for patients with possible fungal infection and
refractory fever was 60.1% and 65.3%, respectively. Even in persistent
neutropenic patients with a neutrophil count of <500/MUL throughout the MCFG
treatment, the clinical response rate was 46.9%. Ninety-one drug-related adverse
events (DAEs) were observed in 56 patients (14.4%) and 9 serious DAEs were
observed in 6 patients (1.5%). Neither daily dose nor duration of MCFG treatment
affected the incidence of DAEs. It was confirmed that MCFG has adequate clinical
efficacy and is safe for the treatment of suspected fungal infections in patients
with hematological disorders and neutropenia.
PMID- 21894477
TI - Inflammatory pseudotumors mimicking intrahepatic cholangiocarcinoma of the liver;
IgG4-positivity and its clinical significance.
AB - BACKGROUND: Inflammatory pseudotumor (IPT) of the liver is a benign condition
with a good prognosis. However, it is difficult to distinguish clinical and
radiological findings of IPT from those of malignancies. The aims of this study
are to determine the clinical, radiological, and pathological characteristics,
particularly relating to the role of the autoimmune mechanism in the development
of inflammatory pseudotumours (IPTs) of the liver, and to suggest appropriate
diagnostic and therapeutic strategy. STUDY DESIGN: The clinical, diagnostic, and
pathological characteristics including IgG4 immunohistochemical stain and follow
up data of 22 IPT patients were retrospectively analyzed. RESULTS: The patients
were 16 men and 6 women with a mean age of 59 years. Fifteen patients (68.2%) had
associated biliary diseases. Of the 16 patients treated conservatively, the
masses completely resolved in 10 patients, and reduced in size in 5 patients
within the first 6 months. The remaining 6 patients were treated by surgical
resection. IgG4 staining of 17 tissue samples from 22 patients were negative,
most of the infiltrate being of fibrohistiocytic type, whereas 4 of 5
lymphoplasmacytic cells of dominant tumors show positive staining of IgG4.
Although IgG4-related sclerosing cholangitis was mostly of lymphoplasmacytic
type, other histological and clinical characteristics were similar in both types
of IPTs. CONCLUSIONS: IPTs of the liver can be diagnosed based on radiological
and pathological findings by needle biopsy. Although the lymphoplasmacytic type
of IPTs seems to correspond to IgG4-related disease, as assessed by IgG4
immunohistochemical stain, its clinical significance is unknown. Although most
IPTs can be resolved with conservative therapy, surgical resection should be
considered in cases of uncertain biopsy result, presumed malignant lesion,
combination with other pathology, or lack of response to conservative management.
PMID- 21894478
TI - Effect of compost, nitrogen salts, and NPK fertilizers on methane oxidation
potential at different temperatures.
AB - The effects of compost, nitrogen salts, and nitrogen-phosphorous-potassium (NPK)
fertilizers on the methane oxidation potential (MOP) of landfill cover soil at
various temperatures were assessed. For this, we used batch assays conducted at 5
degrees C, 15 degrees C, and 25 degrees C with microcosms containing landfill
cover soil slurries amended with these elements. Results indicated variable
impacts dependent on the type of amendment and the incubation temperature. For a
given incubation temperature, MOP varied from one compost to another and with the
amount of compost added, except for the shrimp/peat compost. With this latter
compost, independent of the amount, MOP values remained similar and were
significantly higher than those obtained with other composts. Amendment with most
of the tested nitrogen salts led to similar improvements in methanotrophic
activity, except for urea. MOP with NPK fertilizer addition was amongst the
highest in this study; the minimum value obtained with NPK (20-0-20) suggested
the importance of P for methanotrophs. MOP generally increased with temperature,
and nutrient limitation became less important at higher temperatures. Overall, at
each of the three temperatures tested, MOP with NPK fertilizer amendments
provided the best results and was comparable to those observed with the addition
of the shrimp/peat compost. The results of this study provide the first evidence
of the following: (1) compost addition to improve methanotrophic activity in a
landfill cover soil should consider the amount and type of compost used and (2)
the importance of using NPK fertilizers rather than nitrogen salts, in enhancing
this activity, primarily at low temperatures. One can also consider the potential
beneficial impact of adding these elements to enhance plant growth, which is an
advantage for MOP.
PMID- 21894480
TI - Effect of Mn2+ augmentation on reinforcing aerobic sludge granulation in a
sequencing batch reactor.
AB - Two sequencing batch reactors were synchronously operated to investigate the
effect of manganese (II) (Mn(2+)) augmentation on aerobic granulation. Reactor 1
(R1) was added with 10 mg/L Mn(2+), while there was no Mn(2+) augmentation in
reactor 2 (R2). Results showed that R1 had a faster granulation process than R2
and R1 performed better in chemical oxygen demand (COD) and ammonium nitrogen
(NH(4)(+)-N) removal efficiencies. Moreover, the mature granules augmented with
Mn(2+) behaved better on their physical characteristics and size distributions,
and they also had higher production of extracellular polymeric substances (EPS)
content. The result of three-dimensional excitation and emission matrix
fluorescence showed that Mn(2+) had the function of causing organic material
diversity (especially proteins diversity) in EPS fraction from granules.
Polymerase chain reaction and denaturing gradient gel electrophoresis techniques
were employed to analyze the microbial and genetic characteristics in mature
granules. The results exhibited that Mn(2+) augmentation was mainly responsible
for the higher microbial diversity of granules from R1 compared with that from
R2. Uncultured sludge bacterium A16 (AF234726) and Rhodococcus sp. WTZ-R2
(HM004214) were the major species in R1, while only uncultured sludge bacterium
A16 (AF234726) in R2. Moreover, there were eight species of organisms found in
both two aerobic granules, and three species were found only in aerobic granules
from R1. It could be concluded that Mn(2+) could enhance the sludge granulation
process and have a key effect role on the biological properties during the sludge
granulation.
PMID- 21894479
TI - Microbial synthesis of gold nanoparticles using the fungus Penicillium
brevicompactum and their cytotoxic effects against mouse mayo blast cancer C 2 C
12 cells.
AB - Microorganisms, their cell filtrates, and live biomass have been utilized for
synthesizing various gold nanoparticles. The shape, size, stability as well as
the purity of the bio synthesized nanoparticles become very essential for
application purpose. In the present study, gold nanoparticles have been
synthesized from the supernatant, live cell filtrate, and biomass of the fungus
Penicillium brevicompactum. The fungus has been grown in potato dextrose broth
which is also found to synthesize gold nanoparticles. The size of the particles
has been investigated by Bio-TEM before purification, following purification and
after storing the particles for 3 months under refrigerated condition. Different
characterization techniques like X-ray diffraction, Fourier transform infrared
spectroscopy, and UV-visible spectroscopy have been used for analysis of the
particles. The effect of reaction parameters such as pH and concentration of gold
salt have also been monitored to optimize the morphology and dispersity of the
synthesized gold nanoparticles. A pH range of 5 to 8 has favored the synthesis
process whereas increasing concentration of gold salt (beyond 2 mM) has resulted
in the formation of bigger sized and aggregated nanoparticles. Additionally, the
cytotoxic nature of prepared nanoparticles has been analyzed using mouse mayo
blast cancer C(2)C(12) cells at different time intervals (24, 48, and 72 h) of
incubation period. The cells are cultivated in Dulbecco's modified Eagle's medium
supplemented with fetal bovine serum with antibiotics (streptopenicillin) at 37
degrees C in a 5% humidified environment of CO(2). The medium has been
replenished every other day, and the cells are subcultured after reaching the
confluence. The viability of the cells is analyzed with 3-(4, 5-dimethylthiazol-2
yl)-2, 5-diphenyltetrazolium bromide method.
PMID- 21894481
TI - Detection of invasive Candida albicans infection using a specific (99m)Tc-labeled
monoclonal antibody for the C. albicans germ tube.
AB - Accurate diagnosis is critical for effective treatment of the invasive infection
by Candida albicans. Here, we investigated whether a (99m) technetium (Tc)
labeled Fab' fragment of the monoclonal antibody specific for the C. albicans
germ tube could specifically identify an invasive C. albicans infection. The germ
tube of C. albicans was used as an immunogen to obtain monoclonal antibodies and
the Fab' fragment of MAb03.2 C1-C2 with highest affinity and specificity was
labeled with (99m)Tc. In vitro binding assays showed that the labeled Fab'
preferentially bound to the germ tubes of C. albicans (4.23 +/- 0.17 * 10(2) Bq
per 1 * 10(7) cells). These values were significantly higher than those for
blastospores of C. albicans, blastospores of heat-killed C. albicans, Aspergillus
fumigatus, Staphylococcus aureus, and Escherichia coli (P < 0.05). By using in
vivo biodistribution and planar imaging with single photon emission computed
tomography, we demonstrated a significant specific accumulation of radioactivity
in C. albicans-infected tissues. In summary, (99m)Tc-MAb03.2 C1-C2 Fab' is able
to specifically accumulate in C. albicans-infected tissues, but not in tissue
infected with A. fumigatus or bacteria or in a sterile inflammation. This study
provides a new and specific radiopharmaceutical for the diagnosis of invasive C.
albicans infections.
PMID- 21894482
TI - "Wanted!" the effects of reward on face recognition: electrophysiological
correlates.
AB - The aim of the present study was to uncover the temporal dynamics of face
recognition as a function of reward. Event-related potentials (ERPs) were
recorded during the encoding and the subsequent old/new memory test in response
to faces that could be associated with a monetary reward. The behavioral results
showed that faces associated with reward at both encoding and retrieval were
recognized better than the unrewarded ones. ERP responses highlighted that
successful encoding predictive of subsequent memory was greater for faces
associated with reward than for faces without reward-driven motivational
learning. At retrieval, an early positive-going component was elicited for
potentially rewarded faces on frontal regions, while the occipito-temporal N170
component showed priming effects as a function of reward. Later on, larger centro
parietal ERP components, related to recognition memory, were found selectively
for reward-associated faces. Remarkably, electrophysiological responses varied in
a graded manner, with the largest amplitude yielded by faces with double reward,
followed by faces associated with reward only at encoding. Taken together, the
present data show that the processing of outcome expectations affects face
structural encoding and increases memory efficiency, yielding a robust and
sustained modulation over frontal and temporal areas where reward and memory
mechanisms operate in conjunction.
PMID- 21894483
TI - Cognitive impairments caused by oxaliplatin and 5-fluorouracil chemotherapy are
ameliorated by physical activity.
AB - RATIONALE: Studies in women with breast cancer, and in animal models, have
demonstrated that chemotherapy can have a negative impact on cognitive function.
Which chemotherapy agents cause problems with cognition and the aetiology of the
impairment is unknown. Furthermore, there is no proven treatment. OBJECTIVES:
This study aimed to evaluate the effects of 5-fluorouracil (5FU) and oxaliplatin
(OX) chemotherapy agents commonly used to treat colorectal cancer on cognition in
laboratory rodents. Furthermore, we assessed physical activity as a potential
remedy for the observed chemotherapy-induced cognitive deficits. RESULTS: In
rodents, treatment with 5FU and OX alone impairs memory as measured by novel
object recognition. But combined treatment appears to have greater detrimental
effects on hippocampal-dependent tasks, contextual fear recall and spatial
reference memory (water maze), yet had no effect on cued fear recall, a non
hippocampal task. These impairments were prevented by 4 weeks of wheel running
overnight after 5FU/OX treatment. We found a significant interaction between
chemotherapy and exercise: rats receiving both 5FU/OX and exercise had improved
cognition relative to non-exercising 5FU/OX rats on novel object recognition and
spatial reference memory. CONCLUSIONS: The combination 5FU/OX had a significant
impact on cognition. However, rats treated with 5FU/OX that exercised post
chemotherapy had improved cognition relative to non-exercising rats. This
suggests that physical activity may prove useful in ameliorating the cognitive
impairments induced by 5FU/OX.
PMID- 21894484
TI - Dose escalation and dose preference in extended-access heroin self-administration
in Lewis and Fischer rats.
AB - RATIONALE: A genetic component may be involved in different stages of the
progression of drug addiction. Heroin users escalate unit doses and frequency of
self-administration events over time. Rats that self-administer drugs of abuse
over extended sessions escalate the amount of drug infused over days. OBJECTIVES:
Using a recently developed model of extended-access self-administration allowing
for subject-controlled dose escalation of the unit dose, thus potentially
escalating the unit dose and number of infusions, we compared for the first time
two genetically different inbred rat strains, Fischer and Lewis. METHODS:
Extended (18 h/day) self-administration lasted for 14 days. Rats had access to
two active levers associated with two different unit doses of heroin. If a rat
showed preference for the higher unit dose, then the available doses were
escalated in the following session. Four heroin unit doses were available (20,
50, 125, 250 MUg/kg per infusion). RESULTS: Fischer rats did not escalate the
unit dose of heroin self-administered; daily amount of heroin administered
remained low, with a mean daily intake of 1.27 +/- 0.22 mg/kg per session. In
marked contrast, Lewis rats escalated the total daily amount of heroin self
administered from 3.94 +/- 0.82 mg/kg on day 1 to 8.95 +/- 2.2 mg/kg on day 14;
almost half of the subjects preferred a higher heroin dose than Fischer rats.
CONCLUSION: These data are consistent with the hypothesis that Lewis rats are
prone to opiate taking and escalation, and are in agreement with our previous
data obtained with cocaine.
PMID- 21894485
TI - Effect of d-amphetamine on post-error slowing in healthy volunteers.
AB - RATIONALE: Post-error slowing has long been considered a sign of healthy error
detection and an important component of cognitive function. However, the
neuropharmacological processes underlying post-error slowing are poorly
understood. OBJECTIVES: This study investigated the effect of the dopamine
agonist d-amphetamine on post-error slowing and secondarily, the potential
mediator of drug-induced euphoria and potential moderators of personality and
baseline task performance. METHODS: Healthy male and female participants (N =
110) completed four study sessions, at which d-amphetamine (placebo 5, 10, 20 mg)
was administered under double-blind, counter-balanced conditions. At each
session, participants completed subjective drug effect assessments and a working
memory task (N-back) to measure post-error slowing. They completed the
Multidimensional Personality Questionnaire (MPQ) during screening. RESULTS:
Amphetamine (20 mg) reduced post-error slowing, consistent with a dampened
behavioral reactivity to errors. This was not related to drug-induced euphoria.
Although higher scores on MPQ constraint were related to less post-error slowing
under placebo conditions, neither personality nor baseline cognitive performance
moderated the effects of amphetamine on post-error slowing. CONCLUSIONS: The
finding that amphetamine reduced post-error slowing supports the idea that
dopamine plays a role in error stimulus processing. The finding is discussed in
relation to an existing literature on the mechanisms and function of behavioral
and electrophysiological indices of error sensitivity.
PMID- 21894486
TI - Nucleus accumbens and delay discounting in rats: evidence from a new quantitative
protocol for analysing inter-temporal choice.
AB - RATIONALE: There is evidence that the core of the nucleus accumbens (AcbC) is
involved in inter-temporal choice behaviour. OBJECTIVE: A new behavioural
protocol was used to examine the effect of destruction of the AcbC on delay
discounting in inter-temporal choice schedules in rats. METHOD: Rats with
excitotoxic lesions of the AcbC or sham lesions made repeated choices on an
adjusting-delay schedule between a smaller reinforcer (A) that was delivered
immediately and a larger reinforcer (B) that was delivered after a delay which
increased or decreased depending on the subject's choices. In two phases of the
experiment, reinforcer sizes were selected which enabled theoretical parameters
expressing delay discounting and sensitivity to reinforcer size to be estimated
from the ratio of the indifference delays (i.e. the quasi-stable values of the
adjusting delay seen after extended training) obtained in the two phases.
RESULTS: In both groups, indifference delays were shorter when the sizes of A and
B were 14 and 25 MUl than when they were 25 and 100 MUl of a 0.6 M sucrose
solution. Indifference delays were shorter in AcbC-lesioned than in sham-lesioned
rats. Estimates of delay discounting rate based on the ratio of the indifference
delays were lower in the AcbC-lesioned than in the sham-lesioned rats. The size
sensitivity parameter did not differ between the groups. Adjusting delays in
successive blocks of trials were analysed using Fourier transform. The period
corresponding to the dominant frequency of the power spectrum and power within
the dominant frequency band did not differ between the groups. CONCLUSIONS:
Destruction of the AcbC increased the rate of delay discounting.
PMID- 21894487
TI - Nicotine self-administered directly into the VTA by rats is weakly reinforcing
but has strong reinforcement enhancing properties.
AB - RATIONALE: Rats will lever press to deliver nanolitre quantities of nicotine or
the muscarinic agonist carbachol directly into the ventral tegmental area (VTA).
The purpose of these experiments was to investigate further the characteristics
of nicotine self-administration directly into the VTA. OBJECTIVES: This study
aimed to confirm previous data relating to intra-VTA self-administration of
nicotine and carbachol and then test two hypotheses: (a) that pre-sensitisation
of nicotinic receptors is needed for robust intra-VTA self administration and (b)
that rats will lever press for intra-VTA nicotine if pre-trained to associate
lever pressing with a rewarding outcome. METHODS: Rats were equipped with
cannulae aimed at posterior VTA and allowed five sessions to self-administer
nicotine or carbachol. In different experiments, rats were either pre-sensitised
to nicotine by subcutaneous (s.c.) injections or pre-trained to lever press for
food and a simultaneous conditioned stimulus light. RESULTS: We confirmed that
carbachol had strong activating effects when self-administered into the VTA;
selective responding for nicotine developed over five sessions by reduction in
the amount of pressing on an inactive lever. Prior sensitisation did not improve
responding for intra-VTA nicotine but training rats to lever press before putting
them on the drug regime did potentiate pressing. CONCLUSIONS: The action of
nicotine in the VTA might be better considered as reinforcement enhancing and
that its intrinsic rewarding property here is at best weak. Identification of the
VTA as a target for the reinforcement enhancing effects of nicotine is compatible
with the reinforcement-related functions of VTA dopamine neurons and their
cholinergic inputs.
PMID- 21894488
TI - Conditioning domestic chickens to a magnetic anomaly.
AB - Young domestic chicks of two strains, ISA brown layers and White Leghorn X
Australorps, were trained to associate a magnetic anomaly with food. This was
done by feeding them in their housing boxes from a dish placed above a small coil
that produced a magnetic anomaly roughly six times as strong as the local
geomagnetic field. Unrewarded tests began on day 9 after hatching. In a square
arena, two corresponding coils were placed underneath two opposite corners. One
coil, the control coil, was double-wrapped producing no net magnetic field, while
the other in the opposite corner produced a local magnetic anomaly similar to
that experienced during feeding. The chicks favoured the corner with the anomaly
from day 10 after hatching onward. Both strains of chickens showed this
preference, indicating that they could sense the local changes in the magnetic
field.
PMID- 21894489
TI - Capabilities and quality of life in Dutch psycho-geriatric nursing homes: an
exploratory study using a proxy version of the ICECAP-O.
AB - PURPOSE: To validate the ICECAP-O capability measure in psycho-geriatric elderly
in nursing homes, we compared the capability scores of restrained and
unrestrained clients. Both nursing staff and family were used as proxies for
assessing clients' capabilities. METHOD: For 122 psycho-geriatric elderly, a
total of 96 nursing professionals and 68 family members completed a proxy
questionnaire. We investigated the convergent and discriminant validity of the
ICECAP-O and measures of care dependency, health-related quality of life, and
overall quality of life. We also directly compared ICECAP-O scores of the 56
clients for whom both nursing staff and family members had completed the
questionnaire. RESULTS: Convergent validity between ICECAP-O and care dependency,
health-related, and overall quality of life measures could be established, as
well as discriminant validity for the restrained and unrestrained groups. Nursing
and family proxy ICECAP-O tariffs were not significantly correlated. DISCUSSION:
ICECAP-O measures a more general concept than health-related quality of life and
can differentiate between restrained and non-restrained psycho-geriatric clients.
Since nurses seem to be able to assess the current quality of life of clients
using the ICECAP-O more precisely than the family proxies, for now the use of
nursing proxies is recommended in a nursing home setting.
PMID- 21894490
TI - Cancer survivorship practices, services, and delivery: a report from the
Children's Oncology Group (COG) nursing discipline, adolescent/young adult, and
late effects committees.
AB - PURPOSE: To describe survivorship services provided by the Children's Oncology
Group (COG), an assessment of services was undertaken. Our overall aims were (1)
to describe survivorship services, including the extent of services provided,
resources (personnel, philanthropy, and research funding), billing practices, and
barriers to care and 2) to describe models of care that are in use for childhood
cancer survivors and adult survivors of childhood cancer. METHODS: One hundred
seventy-nine of 220 COG institutions (81%) completed an Internet survey in 2007.
RESULTS: One hundred fifty-five (87%) reported providing survivorship care. Fifty
nine percent of institutions provide care for their pediatric population in
specialized late effects programs. For adult survivors, 47% of institutions chose
models of care, which included transitioning to adult providers for risk-based
health care, while 44% of institutions keep survivors indefinitely at the
treating institution (Cancer Center Based Model without Community Referral).
Sixty-eight percent provide survivors with a copy of their survivorship care
plan. Only 31% of institutions provide a detailed summary of results after each
clinic visit, and 41% have a database to track survivor health outcomes. Minimal
time required for initial and annual survivorship visits is estimated to be
approximately 120 and 90 min, respectively. The most prevalent barriers to care
were the lack of dedicated time for program development and a perceived
insufficient knowledge on the part of the clinician receiving the transition
referral. CONCLUSIONS: Not all COG institutions provide dedicated survivorship
care, care plans, or have databases for tracking outcomes. Transitioning to adult
providers is occurring within the COG. Survivorship care is time intensive.
PMID- 21894491
TI - Archaea-based microbial fuel cell operating at high ionic strength conditions.
AB - In this work, two archaea microorganisms (Haloferax volcanii and Natrialba
magadii) used as biocatalyst at a microbial fuel cell (MFC) anode were evaluated.
Both archaea are able to grow at high salt concentrations. By increasing the
media conductivity, the internal resistance was diminished, improving the MFC's
performance. Without any added redox mediator, maximum power (P (max)) and
current at P (max) were 11.87/4.57/0.12 MUW cm(-2) and 49.67/22.03/0.59 MUA cm(
2) for H. volcanii, N. magadii and E. coli, respectively. When neutral red was
used as the redox mediator, P (max) was 50.98 and 5.39 MUW cm(-2) for H. volcanii
and N. magadii, respectively. In this paper, an archaea MFC is described and
compared with other MFC systems; the high salt concentration assayed here,
comparable with that used in Pt-catalyzed alkaline hydrogen fuel cells, will open
new options when MFC scaling up is the objective necessary for practical
applications.
PMID- 21894492
TI - Health perception and health behaviors of elder Tibetans living in India and
Switzerland.
AB - Tibetan elders have lived in exile since the failed uprising against Chinese rule
in 1959. Using mixed methods approach, this study explored the perceived health
and health behaviors of 30 older Tibetans living in India and Switzerland using
Bronfenbrenner's Ecological Systems Model. Results indicate that elder Tibetans
living in Switzerland enjoyed better health and well-being than those living in
India. Because of the availability of old age benefits, pensions, and health
insurance, participants in Switzerland had greater financial independence, and
fewer problems with healthcare access and affordability than those in India. In
addition to financial reimbursement, the contribution and importance of personal,
familial, community, and religious factors to participant's health behaviors are
examined. The study is a case example depicting the impact of different factors
from micro to macro on the health and well-being of a refugee group. Its
implications such as the implementation of old age benefits for older persons
living in developing countries are discussed.
PMID- 21894493
TI - Radiotherapy strategies for recurrent and metastatic breast cancer.
PMID- 21894494
TI - Label-free spatial analysis of free and enzyme-bound NAD(P)H in the presence of
high concentrations of melanin.
AB - The analysis of autofluorescence, often regarded as undesired noise during the
imaging of biological samples, allows label free, unbiased detection of NAD(P)H
and melanin in native samples. Because both the emission and absorption spectra
of these fluorophores overlap and they can hence not be differentiated using
emission filters or with different excitation wavelengths, fluorescence lifetime
imaging microscopy (FLIM) is used to differentiate between them. In the present
paper the application of two-photon excitation microscopy is presented to
investigate the autofluorescence of fungal spores. The model organism which was
examined is Aspergillus ochraceus. Furthermore a strategy is developed which
allows to quantitatively analyze the fluorescence lifetimes of melanin, free
NAD(P)H and protein-bound NAD(P)H using forward convolution of a multiexponential
decay function with the instrument response function (IRF) and subsequent fitting
to the experimental fluorescence data. As a consequence proteins, which are able
to bind NAD(P)H, are located with sub-cellular resolution. Furthermore a spatial
differentiation of the fluorophores NAD(P)H and melanin inside the spores, is
revealed.
PMID- 21894495
TI - A pilot study of the water quality of the Yarra River, Victoria, Australia, using
in vitro techniques.
AB - A pilot study was initiated to provide the first information on the recombinant
receptor-reporter gene bioassay (hormonal) activity of freshwaters in Victoria.
The project involved the collection of water samples from six stations on the
main stem of the Yarra River in and upstream of the city of Melbourne, Australia
in April 2008 and April 2009. Samples were prepared for measurement of sample
toxicity using a modified photobacterium test, genotoxicity using a high
throughput luminescent umu test method, and human and medaka estrogen receptor
(hERalpha and medERalpha), retinoic acid receptor (RAR), aryl hydrocarbon
receptor (AhR) and thyroid receptor (TR) assay activity using the relevant yeast
based bioassays. Most samples were only weakly or moderately toxic, with no
relationship observed to location along the river. The data for 2008 suggests
that at that time the Yarra River samples contained few compounds that were, in
and of themselves, genotoxic. No estrogenic or thyroid, and <1 ng/L retinoic acid
receptor activity was observed. AhR activity increased with progressed
downstream. AhR activity was higher in April 2009 than at the same time in 2008,
perhaps as a result of extensive bush fires in the catchment in the months
immediately prior to sampling. About 24% of the total AhR activity observed was
associated with suspended solids.
PMID- 21894496
TI - Enhanced analysis of intracerebral arterioveneous malformations by the
intraoperative use of analytical indocyanine green videoangiography: technical
note.
AB - In cerebral arterioveneous malformations (AVMs) detailed intraoperative
identification of feeding arteries, nidal vessels and draining veins is crucial
for surgery. Intraoperative imaging techniques like indocyanine green
videoangiography (ICG-VAG) provide information about vessel architecture and
patency, but do not allow time-dependent analysis of intravascular blood flow.
Here we report on our first experiences with analytical indocyanine green
videoangiography (aICG-VAG) using FLOW 800 software as a useful tool for
assessing the time-dependent intraoperative blood flow during surgical removal of
cerebral AVMs. Microsope-integrated colour-encoded aICG-VAG was used for the
surgical treatment of a 38-year-old woman diagnosed with an incidental AVM,
Spetzler Martin grade I, of the left frontal lobe and of a 26-year-old man
suffering from seizures caused by a symptomatic AVM, Spetzler Martin grade III,
of the right temporal lobe. Analytical ICG-VAG visualization was intraoperatively
correlated with in situ micro-Doppler investigation, as well as preoperative and
postoperative digital subtraction angiography (DSA). Analytical ICG-VAG is fast,
easy to handle and integrates intuitively into surgical procedures. It allows
colour-encoded visualization of blood flow distribution with high temporal and
spatial resolution. Superficial major and minor feeding arteries can be clearly
separated from the nidus and draining veins. Effects of stepwise vessel
obliteration on velocity and direction of AVM blood flow can be objectified. High
quality of visualization, however, is limited to the site of surgery. Colour
encoded aICG-VAG with FLOW 800 enables intraoperative real-time analysis of
arterial and venous vessel architecture and might, therefore, increase efficacy
and safety of neurovascular surgery in a selected subset of superficial AVMs.
PMID- 21894498
TI - Craniopharyngiomas involving the floor of the third ventricle.
PMID- 21894499
TI - Elastofibroma oralis.
PMID- 21894500
TI - Phase II study of oral S-1 with irinotecan and bevacizumab (SIRB) as first-line
therapy for patients with metastatic colorectal cancer.
AB - Fluorouracil (5-FU) plus irinotecan combined with bevacizumab has significant
activity in metastatic colorectal cancer (mCRC), but S-1 has become a substitute
for continuous infusion of 5-FU and has a very low incidence of hand-foot
syndrome. With the S-1 plus irinotecan regimen (SIR), the response rate was
62.5%, and the progression-free survival was 8.0 months. We report here on an
update of efficacy and safety of the SIR plus bevacizumab (SIRB) regimen as first
line treatment for mCRC patients. Fifty-one eligible patients with histologically
confirmed advanced or recurrent colorectal cancer received this treatment. S-1
was administered orally on days 1-14 of a 21-day cycle. Patients were assigned on
the basis of body surface area (BSA) to receive one of the following oral doses
twice daily: 40 mg, 50 mg, or 60 mg. Irinotecan (150 mg/m(2)) plus bevacizumab
(7.5 mg/kg) were administered by intravenous infusion on day 1. Safety analysis
identified a grade 3/4 neutropenia rate of 26%. Other grade 3/4 toxicities were
diarrhea (8%), nausea (6%), vomiting (2%), and hypertension (8%). The response
rate was 67% and the median progression-free survival time was 373 days. The SIRB
regimen appears to be highly active and well tolerated as first-line treatment
for mCRC.
PMID- 21894501
TI - An investigation into the influence of socioeconomic variables on gestational
body mass index in pregnant women living in a peri-urban settlement, South
Africa.
AB - Maternal and child mortality rates are still unacceptably high in South Africa.
The health status of women in peri-urban areas has been influenced by political
and socio-economic factors. Examining socio-economic variables (SEV) in a
population aids in the explanation of the impact of social structures on an
individual. Risk factors can then be established and pregnant women in these
higher risk groups can be identified and given additional support during
pregnancy. The aim of this study was to investigate the association between SEV
and gestational Body Mass Index (GBMI) in a peri-urban settlement, South Africa.
This was a sub-study of the Philani Mentor Mothers' Study (2009-2010). Maternal
anthropometry and SEV were obtained from 1,145 participants. Multinomial
regression was used to analyse the data. Household income was the only SEV that
was significantly associated with GBMI. The odds of being underweight rather than
normal weight during pregnancy increase by a factor of 2.145 (P < 0.05) for those
who had a household income lower than R2000 per month. All other SEV were not
significant. Logistic regression was therefore not carried out. Women who had a
lower income were at risk of having a lower GBMI during pregnancy. This can lead
to adverse birth outcomes such as premature birth, low birth weight, height and
head circumference. Public health policy needs to be developed to include optimal
nutrition health promotion strategies targeting women with a low income ante and
post-natally. Once implemented, they need to be evaluated to assess the impact on
maternal and child mortality.
PMID- 21894502
TI - The impact of three strains of oral bacteria on the surface and mechanical
properties of a dental resin material.
AB - The purpose of this study was to determine if three strains of bacteria could
impact the mechanical or surface properties of a dental resin material. Resin
material specimens were incubated at 37 degrees C in sterile saline, tryptic soy
broth supplemented with sucrose (TSBS), or TSBS inoculated with Streptococcus
mutans, Streptococcus gordonii, or Streptococcus sanguis. The specimens were
subjected to Fourier transform infrared spectroscopy before and after incubation.
The flexural strength test was performed once a week for 6 weeks. Microhardness
and scanning electron microscopy (SEM) was performed on specimens at 1 and 6
weeks. Differences in the area under the carbonyl peak were statistically
significant for the specimens incubated in the media inoculated with either S.
mutans or S. gordonii. To determine why S. sanguis did not produce changes as the
other bacteria did, triethylene glycol dimethacrylate, methacrylic acid, and
triethylene glycol were added to bacterial cultures at increasing concentrations.
Both methacrylic acid and triethylene glycol reduced the number of colony-forming
units of S. sanguis. Specimens incubated in TSBS, saline or in culture with S.
sanguis demonstrated a decrease in peak stress in week 1 of the flexure strength
test. SEM demonstrated that surface topology changed for those specimens
incubated in culture with S. mutans or S. gordonii. The changes in surface
topology demonstrated here could contribute to the secondary caries and changes
in esthetic properties seen clinically with the use of resin materials in dental
restorations.
PMID- 21894503
TI - Thermodynamic stability and energetics of DNA duplexes containing major
intrastrand cross-links of second-generation antitumor dinuclear Pt(II)
complexes.
AB - The effects of major DNA intrastrand cross-links of antitumor dinuclear Pt(II)
complexes [{trans-PtCl(NH(3))(2)}(2)-MU-{trans
(H(2)N(CH(2))(6)NH(2)(CH(2))(2)NH(2)(CH(2))(6)NH(2))}](4+) (1) and
[{PtCl(DACH)}(2)-MU-{H(2)N(CH(2))(6)NH(2)(CH(2))(2)NH(2)(CH(2))(6)NH(2))}](4+)
(2) (DACH is 1,2-diaminocyclohexane) on DNA stability were studied with emphasis
on thermodynamic origins of that stability. Oligodeoxyribonucleotide duplexes
containing the single 1,2, 1,3, or 1,5 intrastrand cross-links at guanine
residues in the central TGGT, TGTGT, or TGTTTGT sequences, respectively, were
prepared and analyzed by differential scanning calorimetry. The unfolding of the
platinated duplexes was accompanied by unfavorable free energy terms. The
efficiency of the cross-links to thermodynamically destabilize the duplex
depended on the number of base pairs separating the platinated bases. The trend
was 1,5->1,2->1,3 cross-link of 1 and 1,5->1,3->1,2 cross-link of 2.
Interestingly, the results showed that the capability of the cross-links to
reduce the thermodynamic stability of DNA (DeltaG(298)(0)) correlated with the
extent of conformational distortions induced in DNA by various types of
intrastrand cross-links of 1 or 2 determined by chemical probes of DNA
conformation. We also examined the efficiency of the mammalian nucleotide
excision repair systems to remove from DNA the intrastrand cross-links of 1 or 2.
The efficiency of the excinucleases to remove the cross-links from DNA depended
on the length of the cross-link; the trend was identical to that observed for the
efficiency of the intrastrand cross-links to thermodynamically destabilize the
duplex. Thus, the results are consistent with the thesis that an important factor
that determines the susceptibility of the intrastrand cross-links of dinuclear
platinum complexes 1 and 2 to be removed from DNA by nucleotide excision repair
is the efficiency of these lesions to thermodynamically destabilize DNA.
PMID- 21894505
TI - Groundwater quality assessment based on rough sets attribute reduction and TOPSIS
method in a semi-arid area, China.
AB - In order to enrich and improve the groundwater quality assessment system, a new
coupled assessment model based on rough set attribute reduction and the technique
for order preference by similarity to ideal solution (TOPSIS) was proposed. The
proposed model was applied in the groundwater quality assessment of a semi-arid
area, northwest China. The results show that most chemical indices except NH (4)
(+) , F(-), and Mn meet the Standards for Drinking Water of China and the
groundwater quality overall is good. All assessed water samples are found to be
fit for human consumption according to the comprehensive assessment results.
Rough set attribute reduction for groundwater quality assessment is practical.
The assessment results after attribute reduction show a good consistency with
those before attribute reduction. Rough set attribute reduction and TOPSIS
evaluation coupled model is clear in ideas and simple in calculation, and
evaluation results are reasonable as well. The coupled model can be applied to
solve many multiple criteria decision making problems such as groundwater quality
assessment.
PMID- 21894504
TI - Evidence for pH-dependent multiple conformers in iron(II) heme-human serum
albumin: spectroscopic and kinetic investigation of carbon monoxide binding.
AB - Human serum albumin (HSA), the most prominent protein in plasma, is best known
for its exceptional ligand binding capacity. HSA participates in heme scavenging
by binding the macrocycle at fatty acid site 1. In turn, heme endows HSA with
globin-like reactivity and spectroscopic properties. A detailed pH-dependent
kinetic and spectroscopic investigation of iron(II) heme-HSA and of its
carbonylated form is reported here. Iron (II) heme-HSA is a mixture of a four
coordinate intermediate-spin species (predominant at pH 5.8 and 7.0), a five
coordinate high-spin form (mainly at pH 7.0), and a six-coordinate low-spin
species (predominant at pH 10.0). The acidic-to-alkaline reversible transition
reflects conformational changes leading to the coordination of the heme Fe(II)
atom by the His146 residue via its nitrogen atom, both in the presence and in the
absence of CO. The presence of several species accounts for the complex,
multiexponential kinetics observed and reflects the very slow interconversion
between the different species observed both for CO association to the free
iron(II) heme-HSA and for CO dissociation from CO-iron(II) heme-HSA as a function
of pH.
PMID- 21894506
TI - Columnar aerosol optical and radiative properties according to season and air
mass transport pattern over East Asia.
AB - The column-integrated optical and radiative properties of aerosols in the
downwind area of East Asia were investigated based on sun/sky radiometer
measurements performed from February 2004 to June 2005 at Gwangju (35.23 degrees
N, 126.84 degrees E) and Anmyeon (36.54 degrees N, 126.33 degrees E), Korea.
The observed aerosol data were analyzed for differences among three seasons:
spring (March-May), summer (June-August), and autumn/winter (September-February).
The data were also categorized into five types depending on the air mass origin
in arriving in the measurement sites: (a) from a northerly direction in spring
(S(N)), (b) from a westerly direction in spring (S(W)), (c) cases with a low
Angstrom exponent (<0.8) in spring (dust), (d) from a northerly direction in
autumn/winter (AW(N)), and (e) from a westerly direction during other seasons
(AW(W)). The highest Angstrom exponents (alpha) at Gwangju and Anmyeon were 1.43
+/- 0.30 and 1.49 +/- 0.20, respectively, observed in summer. The lowest column
mean single-scattering albedo (omega) at 440 nm observed at Gwangju and Anmyeon
were 0.89 +/- 0.02 and 0.88 +/- 0.02, respectively, during a period marked by the
advection of dust from the Asian continent. The highest omega values at Gwangju
and Anmyeon were 0.95 +/- 0.02 and 0.96 +/- 0.02, respectively, observed in
summer. Variations in the aerosol radiative-forcing efficiency (beta) were
related to the conditions of the air mass origin. The forcing efficiency in
summer was -131.7 and -125.6 W m(-2) at the surface in Gwangju and Anmyeon,
respectively. These values are lower than those under the atmospheric conditions
of spring and autumn/winter. The highest forcing efficiencies in autumn/winter
were -214.3 and -255.9 W m(-2) at the surface in Gwangju and Anmyeon,
respectively, when the air mass was transported from westerly directions.
PMID- 21894507
TI - Determining storm sampling requirements for improving precision of annual load
estimates of nutrients from a small forested watershed.
AB - This study sought to determine the lowest number of storm events required for
adequate estimation of annual nutrient loads from a forested watershed using the
regression equation between cumulative load (?L) and cumulative stream discharge
(?Q). Hydrological surveys were conducted for 4 years, and stream water was
sampled sequentially at 15-60-min intervals during 24 h in 20 events, as well as
weekly in a small forested watershed. The bootstrap sampling technique was used
to determine the regression (?L-?Q) equations of dissolved nitrogen (DN) and
phosphorus (DP), particulate nitrogen (PN) and phosphorus (PP), dissolved
inorganic nitrogen (DIN), and suspended solid (SS) for each dataset of ?L and ?Q.
For dissolved nutrients (DN, DP, DIN), the coefficient of variance (CV) in 100
replicates of 4-year average annual load estimates was below 20% with datasets
composed of five storm events. For particulate nutrients (PN, PP, SS), the CV
exceeded 20%, even with datasets composed of more than ten storm events. The
differences in the number of storm events required for precise load estimates
between dissolved and particulate nutrients were attributed to the goodness of
fit of the ?L-?Q equations. Bootstrap simulation based on flow-stratified
sampling resulted in fewer storm events than the simulation based on random
sampling and showed that only three storm events were required to give a CV below
20% for dissolved nutrients. These results indicate that a sampling design
considering discharge levels reduces the frequency of laborious chemical analyses
of water samples required throughout the year.
PMID- 21894508
TI - Solid focal liver lesions indeterminate by contrast-enhanced CT or MR imaging:
the added diagnostic value of contrast-enhanced ultrasound.
AB - The main clinically recognized application of contrast-enhanced US (CEUS) with
microbubble contrast agents is the characterization of incidental focal liver
lesions. CEUS with low transmit power insonation allows the real-time assessment
of contrast enhancement in a focal liver lesion after microbubble contrast agent
injection, during the arterial (10-25 s), portal venous (from 35 s up to 2 min)
and late phase (4-6 min after microbubble injection). During the portal venous
and late phase benign lesions appear hyper or iso-enhancing in comparison to the
adjacent liver parenchyma, while malignant lesions prevalently present contrast
washout with hypo-enhancing appearance. CEUS may provide an added diagnostic
value in those incidental focal liver lesions in which contrast-enhanced CT or MR
imaging are not conclusive. In particular, CEUS may provide an added diagnostic
value in those focal liver lesions appearing indeterminate on single-phase CT
scan, or on CT scans performed by an incorrect delay time or also after injection
of a low dose of iodinated contrast agent, or also in those focal liver lesions
revealing equivocal enhancement patterns on contrast-enhanced CT or MR imaging.
CEUS may have an added diagnostic value also in hepatocellular nodules in a
cirrhotic liver and can be considered a complementary imaging technique to CT.
PMID- 21894509
TI - Intrinsic susceptibility of Giardia duodenalis assemblage subtypes A(I), A(II), B
and E(III) for nitric oxide under axenic culture conditions.
AB - The antigiardial effects of nitric oxide (NO.) have been reported in vitro, but
only for assemblage A(I) lab strains. This study investigated the intrinsic NO.
susceptibility of different assemblage subtypes. The susceptibility (IC50) for
NO. released by MAHMA NONOate was studied for three lab (WB, G1 and GS/M-83-H7)
and six field isolates of assemblage subtypes A(I), A(II), B and E(III). Tests
were performed in phosphate-buffered saline supplemented with L-cysteine HCl,
trypticase peptone, powder bovine bile and 20% inactivated foetal calf serum (for
assemblages A and E) or human serum (for assemblage B), adjusted to pH 7.3, to
support adequate trophozoite survival. Flow cytometry with fluorescein diacetate
and propidium iodide as viability indicators was used to determine trophozoite
viability. This study indicated that the NO. susceptibilities of assemblage A lab
and field strains (subtypes A(I) and A(II)) were fully comparable, indicating
that the NO. susceptibility of the lab strains remained representative for their
genotype. The trophozoites of assemblages B and E(III) showed comparable NO.
susceptibilities that were markedly higher than the susceptibilities of
assemblage subtypes A(I) and A(II). This study suggests a role for the assemblage
subtype in defining NO. susceptibilities. The underlying mechanisms still need to
be elucidated, but assemblage-linked differences in the expression of the genes
coding for flavohemoglobin or A-type flavoprotein may certainly deserve further
attention.
PMID- 21894510
TI - Early intrauterine embryonic development in Khawia sinensis Hsu, 1935 (Cestoda,
Caryophyllidea, Lytocestidae), an invasive tapeworm of carp (Cyprinus carpio): an
ultrastructural study.
AB - Intrauterine embryonic development in the caryophyllidean tapeworm Khawia
sinensis has been investigated using transmission electron microscopy and
cytochemical staining with periodic acid-thiosemicarbazide-silver proteinate for
glycogen. Contrary to previous light microscopy findings that reported the
release of non-embryonated eggs of K. sinenesis to the external environment, the
present study documents various stages of embryonation (ovoviviparity) within the
intrauterine eggs of this cestode. At the initial stage of embryonic development,
each fertilised oocyte is accompanied by several vitellocytes that become
enclosed within the operculate, electrondense shell. Cleavage divisions result in
formation of blastomeres (up to about 24 cells) of various sizes. Mitotic
divisions and apparent rosette arrangment of the blastomeres, the latter atypical
within the Eucestoda, are observed for the first time in the intrauterine eggs of
K. sinenesis. The early embryo enclosed within the electrondense shell is
surrounded by a thin membraneous layer which in some enlarged regions shows
presence of nuclei. Simultaneously to multiplication and differentiation, some of
the blastomeres undergo deterioration. A progressive degeneration of the
vitellocytes within eggs provides nutritive reserves, including lipids, for the
developing embryo. The possible significance of this atypical timing of the
intrauterine embryonic development to (1) the ecology of K. sinensis and that of
a recent introduction of another invasive tapeworm, the caryophyllidean
Atractolytocestus huronensis Anthony, 1958 to Europe; and (2) the affiliation of
caryophyllideans with other lower cestodes, are discussed.
PMID- 21894511
TI - Does sleep differ among patients with common musculoskeletal pain disorders?
AB - Most patients with chronic musculoskeletal pain report poor-quality sleep. The
impact of chronic pain on sleep can be described as a vicious circle with mutual
deleterious influences between pain and sleep-associated symptoms. It is
difficult, however, to extract quantitative or consistent and specific sleep
variables (eg, total sleep time, slow-wave sleep, sleep stage duration) that
characterize the pain-related disruption of sleep. Comorbidity (eg, fatigue;
depression; anxiety, sleep, movement, or breathing disorders) often confounds the
reading and interpretation of sleep traces. Furthermore, many other methodologic
issues complicate our ability to generalize findings (low external validity) to
first-line medicine. Because sleep alterations in common musculoskeletal pain are
neither specific nor pathognomonic, the aim is to provide a critical overview of
the current understanding of pain and sleep interaction, discussing evidence
based and empiric knowledge that should be considered in further research and
clinical applications.
PMID- 21894512
TI - Treatment of intracranial aneurysms. Reconstruction of the parent artery with
flow-diverting (Silk) stent.
AB - INTRODUCTION: Since the flow diverters (FDs) have been introduced it is possible
to treat aneurysms that are considered difficult or impossible to treat with
usual endovascular or surgical methods. It is still uncertain which aneurysms are
suitable for this new treatment. We present the periprocedural complications,
immediate result, late complications, imaging follow-up at 6 and 12 months and
clinical follow-up at 2-23 months. METHODS: Twenty-two patients with 26 wide
necked or blister-like aneurysms had 23 treatments with implantation of a Silk
stent. Eleven patients had re-canalizations, and 11 patients were either
untreated or had been treated for another aneurysm. RESULTS: Periprocedural
complications were seen in four treatments (17%). However, none of these had
clinical consequences. Mortality and morbidity rates were 1 of 22 (5%) and 1 of
22 (5%), respectively. Clinical outcome was unchanged in 16 patients (72%), 3
patients improved (14%) and 3 patients worsened (14%). The end-of-procedure
angiography did not show complete occlusion of any of the aneurysms, but at 6
months follow-up angiography, 17 of 25 aneurysms (68%) were completely occluded,
and at 12 months, 18 of 21 aneurysms (86%) were occluded. CONCLUSION: The effect
of the Silk FD in terms of occlusion of the aneurysms seems to occur mainly
during the first 6 months after placement but continues during the following
time. Most delayed complications occur immediately after discontinuing the
anticoagulation medication. Considering the complexity of the aneurysms treated,
the rate of complications is acceptable.
PMID- 21894513
TI - Fine-needle aspiration cytology of salivary gland tumours: a 10-year
retrospective analysis.
AB - INTRODUCTION: The aim of this study was to evaluate the sensitivity, specificity,
diagnostic accuracy, positive predictive value (PPV) and negative predictive
value (NPV) of fine-needle aspiration cytology (FNAC) of salivary gland tumours
performed at a tertiary cancer hospital over a time period of 10 years. MATERIALS
AND METHODS: A retrospective analysis was carried out between 1995 and 2004 to
review the cases of patients with salivary gland tumours who had undergone pre
operative FNA and for whom definite histology was either by tru-cut biopsy or by
histopathological examination of the operative specimen. RESULTS: A total of 107
cases of salivary gland tumours were treated during that period, but only 82
cases diagnosed by FNAC could be correlated with histological and clinical data
and were considered for this study. The sensitivity, specificity, diagnostic
accuracy, PPV and NPV were estimated considering 54 benign and 28 malignant
cases. Sensitivity was 90% (28/31), specificity was 98% (54/55), diagnostic
accuracy was 95.1% (82/86), PPV was 96% and NPV was 94%. DISCUSSION: This study
confirms that FNA cytology is a technique that offers high sensitivity,
specificity and diagnostic accuracy in salivary gland tumour diagnosis.
PMID- 21894514
TI - Non-alcoholic fatty liver disease and cardiovascular risk: metabolic aspects and
novel treatments.
AB - Non-alcoholic fatty liver disease (NAFLD) is usually a silent disease that occurs
in a very high proportion of people with features of the metabolic syndrome,
including overweight, insulin resistance and type 2 diabetes. Because obesity and
type 2 diabetes are now extremely common in Westernised societies, it is likely
that the prevalence of NAFLD increases markedly in the future. Although
previously it was thought that NAFLD was harmless, it is now recognised that
NAFLD can be a progressive liver condition that increases risk of cirrhosis, end
stage liver disease and hepatocellular carcinoma. Additionally, liver fat
accumulation causes insulin resistance and increases risk of type 2 diabetes.
Increasing evidence now shows NAFLD is a risk factor for cardiovascular disease
(CVD). The purpose of this review is to briefly discuss the pathogenesis of
NAFLD, to describe the relationship between NAFLD and CVD and the mechanisms
linking both conditions and to discuss some of the treatment options (including
lifestyle, nutrition and drugs) that may influence both NAFLD and risk of CVD.
PMID- 21894516
TI - Two parthenogenetic populations of Chara canescens differ in their capacity to
acclimate to irradiance and salinity.
AB - The parthenogens of Chara canescens (Charophyceae) occupy broader geographical
and ecological ranges than their sexual counterparts. Two possible hypotheses
explain the ubiquity of parthenogens: the occurrence of one or several
parthenogens with wide niches, or of many parthenogens that are restricted to
narrow ecological niches. For the purposes of this study, C. canescens
individuals from two neighbouring populations of the Baltic Sea (Bodstedter
Bodden = BB; Salzhaff = SH), which differed significantly in water transparency
and salinity, were investigated for significant differences in physiological
capacity. Individuals of both habitats acclimated quickly to daily changes in
irradiances in the field, but the photosynthetic efficiency of PS II showed a
significant decrease with increasing daily irradiance in the habitat BB, which
has lower levels of salinity and water transparency. In addition to the field
study, individuals were reared under different levels of environmental factors in
the laboratory: four irradiances (70-600 MUmol m(-2) s(-1)) and five salinity
levels (0-24 psu). The individuals of both habitats grew almost equally well at
intermediate salinity levels. Growth under the artificial light supply was
highest at levels corresponding to the in situ conditions for each population.
Total chlorophyll was highest at intermediate salinities (BB), or hardly changed
with salinity (SH). The physiological capacity for individuals from SH clearly
depends upon changing growth irradiance, whereas the capacity for individuals
from BB was relatively independent of salinity and irradiance. These findings
indicate that both parthenogenetic C. canescens populations are locally adapted
to light. However, to test adaptive potential of the parthenogens, more than two
populations should be tested in future.
PMID- 21894515
TI - Expression of galanin and galanin receptor mRNA in skin during the formation of
granulation tissue.
AB - Galanin is a neuropeptide widely distributed in the central and peripheral
nervous systems. Although its role in non-neural cells is poorly understood, it
is known that during inflammation, the dermis layer of the skin produces and
releases galanin. The aim of this report is to study the expression of galanin in
granulation tissue. After inducing inflammation by cotton thread implantation,
galanin-like immunoreactivity (galanin-LI) in plasma reached a maximum on the
third day. Galanin-LI was observed in fibroblast-like cells occurring close to
collagen fibers in developing granulation tissue. Furthermore, galanin receptor
subtypes 1 and 2 (GALR1 and GALR2)-expressing cells were observed around
microvessels and were found to produce desmin. Galanin was injected along the
cotton threads immediately after implantation, resulting in rapid formation of
granulation tissue, and an increase in the contents of microvessels, indicating a
stimulatory effect of galanin on the process of angiogenesis in granulation
tissue. The results demonstrate that some galanin was released from fibroblast
like cells during the formation of granulation tissue, and that it stimulated
angiogenesis.
PMID- 21894517
TI - Trait-based community assembly of understory palms along a soil nutrient gradient
in a lower montane tropical forest.
AB - Two opposing niche processes have been shown to shape the relationship between
ecological traits and species distribution patterns: habitat filtering and
competitive exclusion. Habitat filtering is expected to select for similar traits
among coexisting species that share similar habitat conditions, whereas
competitive exclusion is expected to limit the ecological similarity of
coexisting species leading to trait differentiation. Here, we explore how
functional traits vary among 19 understory palm species that differ in their
distribution across a gradient of soil resource availability in lower montane
forest in western Panama. We found evidence that habitat filtering influences
species distribution patterns and shifts community-wide and intraspecific trait
values. Differences in trait values among sites were more strongly related to
soil nutrient availability than to variation in light or rainfall. Soil nutrient
availability explained a significant amount of variation in site mean trait
values for 4 of 15 functional traits. Site mean values of leaf nitrogen and
phosphorus increased 37 and 64%, respectively, leaf carbon:nitrogen decreased
38%, and specific leaf area increased 29% with increasing soil nutrient
availability. For Geonoma cuneata, the only species occurring at all sites, leaf
phosphorus increased 34% and nitrogen:phosphorus decreased 42% with increasing
soil nutrients. In addition to among-site variation, most morphological and leaf
nutrient traits differed among coexisting species within sites, suggesting these
traits may be important for niche differentiation. Hence, a combination of
habitat filtering due to turnover in species composition and intraspecific
variation along a soil nutrient gradient and site-specific niche differentiation
among co-occurring species influences understory palm community structure in this
lower montane forest.
PMID- 21894518
TI - Genotypes, haplotypes and diplotypes of IGF-II SNPs and their association with
growth traits in largemouth bass (Micropterus salmoides).
AB - Insulin-like growth factor II (IGF-II) is involved in the regulation of somatic
growth and metabolism in many fishes. IGF-II is an important candidate gene for
growth traits in fishes and its polymorphisms were associated with the growth
traits. The aim of this study is to screen single nucleotide polymorphisms (SNPs)
of the largemouth bass (Micropterus salmoides) IGF-II gene and to analyze
potential association between IGF-II gene polymorphisms and growth traits in
largemouth bass. Four SNPs (C127T, T1012G, C1836T and C1861T) were detected and
verified by DNA sequencing in the largemouth bass IGF-II gene. These SNPs were
found to organize into seven haplotypes, which formed 13 diplotypes (haplotype
pairs). Association analysis showed that four individual SNPs were not
significantly associated with growth traits. Significant associations were,
however, noted between diplotypes and growth traits (P < 0.05). The fish with
H1H3 (CTCC/CGCC) and H1H5 (CTCC/TTTT) had greater body weight than those with
H1H1 (CTCC/CTCC), H1H2 (CTCC/TGTT) and H4H4 (TGCT/TGCT/) did. Our data suggest a
significant association between genetic variations in the largemouth bass IGF-II
gene and growth traits. IGF-II SNPs could be used as potential genetic markers in
future breeding programs of largemouth bass.
PMID- 21894519
TI - Water deficit improved the capacity of arbuscular mycorrhizal fungi (AMF) for
inducing the accumulation of antioxidant compounds in lettuce leaves.
AB - Lettuce, a major food crop within the European Union and the most used for the so
called 'Fourth Range' of vegetables, can associate with arbuscular mycorrhizal
fungi (AMF). Mycorrhizal symbiosis can stimulate the synthesis of secondary
metabolites, which may increase plant tolerance to stresses and enhance the
accumulation of antioxidant compounds potentially beneficial to human health. Our
objectives were to assess (1) if the application of a commercial formulation of
AMF benefited growth of lettuce under different types and degrees of water
deficits; (2) if water restrictions affected the nutritional quality of lettuce;
and (3) if AMF improved the quality of lettuce when plants grew under reduced
irrigation. Two cultivars of lettuce consumed as salads, Batavia Rubia Munguia
and Maravilla de Verano, were used in the study. Four different water regimes
were applied to both non-mycorrhizal and mycorrhizal plants: optimal irrigation
(field capacity [FC]), a water regime equivalent to 2/3 of FC, a water regime
equivalent to 1/2 of FC and a cyclic drought (CD). Results showed that
mycorrhizal symbiosis improved the accumulation of antioxidant compounds, mainly
carotenoids and anthocyanins, and to a lesser extent chlorophylls and phenolics,
in leaves of lettuce. These enhancements were higher under water deficit than
under optimal irrigation. Moreover, shoot biomass in mycorrhizal lettuces
subjected to 2/3 of FC were similar to those of non-mycorrhizal plants cultivated
under well-watered conditions. In addition, lettuces subjected to 2/3 FC had
similar leaf RWC than their respective well-watered controls, regardless of
mycorrhizal inoculation. Therefore, results suggest that mycorrhizal symbiosis
can improve quality of lettuce and may allow restrict irrigation without reducing
production.
PMID- 21894520
TI - Cloning of the herpes simplex virus type 1 genome as a novel luciferase-tagged
infectious bacterial artificial chromosome.
AB - Herpes simplex virus type 1 (HSV-1) is a ubiquitous human pathogen of skin and
mucous membranes. In the present study, the genome of the HSV-1 F strain was
cloned as an infectious bacterial artificial chromosome (BAC) clone without any
deletions of the viral genes. Additionally, a firefly luciferase cassette was
inserted to generate a novel luciferase-expressing HSV-1 BAC. Importantly, the
resulting recombinant HSV-1 BAC Luc behaved indistinguishably from the wild-type
virus in Vero cells, and the luciferase activity could be easily quantified in
vitro. Thus, this novel HSV-1 BAC system would serve as a powerful tool for gene
function profiling.
PMID- 21894521
TI - Pulmonary function testing in young children.
AB - Pulmonary function testing (PFT) is of great importance in the evaluation and
treatment of respiratory diseases. Spirometry is simple, noninvasive, and has
been the most commonly used technique in cooperative children, obtaining reliable
data in only a few minutes. The development of commercially available equipment
as well as the simplification of previous techniques that now require minimal
patient cooperation applied during tidal breathing have significantly stimulated
the use of PFT in younger children. Tidal breathing techniques such as impulse
oscillometry, gas dilution, and plethysmography have permitted previously
unobtainable PFT in children 2 to 5 years of age. The purpose of this review is
to help clinicians become familiar with available PFT techniques used in young
children by discussing their general principles, clinical applications, and
limitations.
PMID- 21894522
TI - Sleep disordered breathing in patients with heart failure: pathophysiology and
management.
AB - OPINION STATEMENT: Sleep disordered breathing (SDB) is common in heart failure
patients across the range of ejection fractions and is associated with adverse
prognosis. Although effective pharmacologic and device-based treatment of heart
failure may reduce the frequency or severity of SDB, heart failure treatment
alone may not be adequate to restore normal breathing during sleep. Continuous
positive airway pressure (CPAP) is the major treatment for SDB in heart failure,
especially if obstructive rather than central sleep apnea (CSA) predominates.
Adequate suppression of CSA by PAP is associated with a heart transplant-free
survival benefit, although randomized trials are ongoing. Bilevel PAP (BPAP) may
be as effective as CPAP in treating SDB and may be preferable over CPAP in
patients who experience expiratory pressure discomfort. Adaptive (or auto) servo
ventilation (ASV), which adjusts the PAP depending on the patient's airflow or
tidal volume, may be useful in congestive heart failure patients if CPAP is
ineffective. Other therapies that have been proposed for SDB in congestive heart
failure include nocturnal oxygen, CO(2) administration (by adding dead space),
theophylline, and acetazolamide; most of which have not been systematically
studied in outcome-based prospective randomized trials.
PMID- 21894524
TI - Purification and properties of a new thermostable cyclodextrin glucanotransferase
from Bacillus pseudalcaliphilus 8SB.
AB - A new cyclodextrin glucanotransferase (CGTase, EC 2.4.1.19) from an alkaliphilic
halotolerant Bacillus pseudalcaliphilus 8SB was studied in respect to its gamma
cyclizing activity. An efficient conversion of a raw corn starch into only two
types of cyclodextrins (beta- and gamma-CD) was achieved by the purified enzyme.
Crude enzyme obtained by ultrafiltration was purified up to fivefold by starch
adsorption with a recovery of 62% activity. The enzyme was a monomer with a
molecular mass 71 kDa estimated by sodium dodecyl sulfate polyacrylamide gel
electrophoresis (SDS-PAGE) and native PAGE. The CGTase exhibited two pH optima,
at pH 6.0 and 8.0, and was at most active at 60 degrees C and pH 8.0. The enzyme
retained more than 80% of its initial activity in a wide pH range, from 5.0 to
11.0. The CGTase was strongly inhibited by 15 mM Cu(2+), Fe(2+), Ag(+), and
Zn(2+), while some metal ions, such as Ca(2+), Na(+), K(+), and Mo(7+), exerted a
stimulating effect in concentration of 5 mM. The important feature of the studied
CGTase was its high thermal stability: the enzyme retained almost 100% of its
initial activity after 2 h of heating at 40-60 degrees C; its half-life was 2 h
at 70 degrees C in the presence of 5 mM Ca(2+). The achieved 50.7% conversion of
raw corn starch into 81.6% beta- and 18.4% gamma-CDs after 24 h enzyme reaction
at 60 degrees C and pH 8.0 makes B. pseudalcaliphilus 8SB CGTase industrially
important enzyme for cyclodextrin production.
PMID- 21894523
TI - Children's depressive symptoms in relation to EEG frontal asymmetry and maternal
depression.
AB - This study examined the relations of school-age children's depressive symptoms,
frontal EEG asymmetry, and maternal history of childhood-onset depression (COD).
Participants were 73 children, 43 of whom had mothers with COD. Children's EEG
was recorded at baseline and while watching happy and sad film clips. Depressive
symptoms were measured using parent-report of Children's Depression Inventory.
The key findings are the interaction effects between baseline and film frontal
EEG asymmetry on child depressive symptoms. Specifically, relative right frontal
EEG asymmetry while watching happy or sad film clip was associated with elevated
depressive symptoms for children who also exhibited right frontal EEG asymmetry
at baseline. Results suggest that right frontal EEG asymmetry that is consistent
across situations may be an marker of depression-prone children.
PMID- 21894525
TI - Risk of malignancies in IgG4-related disease.
AB - IgG4-related disease (IgG4-RD) is considered a systemic, chronic, and
inflammatory disorder that is characterized by the enlargement of involved
organs, elevated levels of IgG4, and abundant infiltration of plasmacytes with
IgG4 and fibrosis in involved organs. It is necessary to differentiate IgG4-RD
from malignant tumors. Recently we have looked at case reports of IgG4-RD with
malignancy that was discovered at systemic screening. In this study, we analyzed
the relationship between IgG4-RD and malignancies. The study subjects were 106
patients with IgG4-RD who had been referred to our hospital since April 1997. We
analyzed the clinical characteristics of IgG4-RD patients who had cancer that was
observed upon the initial diagnosis of IgG4-RD or that occurred during an average
follow-up period of 3.1 years. Using data from national cancer registries that
monitor cancer incidence in Japan, we evaluated the standardized incidence ratio
(SIR) for malignancies in IgG4-RD. Malignancies were observed in 11 of the IgG4
RD patients (10.4%). The malignancies were all different and included lung
cancer, colon cancer, and lymphoma. With the exception of the age at which the
IgG4-RD diagnosis was made, there were no common features in patients with cancer
and those without. The SIR for these malignancies in IgG4-RD was 383.0, which was
higher than that for the general population. We should be cognizant of the
possible existence of malignancies in patients with IgG4-RD at the time of
diagnosis and during follow-up care.
PMID- 21894527
TI - The scientific rationale for using biomaterials in stress urinary incontinence
and pelvic organ prolapse.
PMID- 21894526
TI - Bladder dysfunction in patients with diabetes.
AB - With diabetes mellitus (DM) reaching epidemic proportions, the identification of
voiding dysfunction as a common and burdensome complication of this disease is
critical. Research into diabetic voiding dysfunction significantly lags behind
other complications of DM, such as retinopathy and nephropathy. Recent studies
have revealed that DM predisposes patients to a wide range of lower urinary tract
dysfunction, from the classic diabetic cystopathy of incomplete emptying to
urgency incontinence. In this review, we discuss the current concepts of diabetic
voiding dysfunction with a critical analysis of the available evidence.
PMID- 21894529
TI - Protective immunity provided by a new modified SERA protein peptide: its
immunogenetic characteristics and correlation with 3D structure.
AB - The serine repeat antigen (SERA) protein is a leading candidate molecule for
inclusion as a component in a multi-antigen, multi-stage, minimal subunit-based,
chemically synthesised anti-malarial vaccine. Peptides having high red blood cell
binding affinity (known as HABPs) have been identified in this protein. The 6733
HABP was located in the C-terminal portion of the 47-kDa fragment while HABP 6754
was located in the C-terminal region of the 56-kDa fragment. These conserved
HABPs failed to induce an immune response. Critical red blood cell binding
residues and/or their neighbours (assessed by glycine-analogue scanning) were
replaced by others having the same mass, volume and surface but different
polarity, rendering some of them highly immunogenic when assessed by antibody
production against the parasite or its proteins and protection-inducers against
experimental challenge with a highly infectious Aotus monkey-adapted Plasmodium
falciparum strain. This manuscript presents some modified HABPs as vaccine
candidate components for enriching our tailor-made anti-malarial vaccine
repertoire, as well as their 3D structure obtained by 1H-NMR displaying a short
structured region, differently from the native ones having random structures.
PMID- 21894528
TI - Ligustilide: a novel TRPA1 modulator.
AB - TRPA1 is activated by electrophilic compounds such as mustard oil (MO). Here, we
demonstrate a bimodal sensitivity of TRPA1 to ligustilide (Lig), an electrophilic
volatile dihydrophthalide of dietary and medicinal relevance. Lig is a potent
TRPA1 activator and is also capable to induce a modest block of MO activated
currents. Aromatization to dehydroligustilide (DH-Lig), as occurs during aging of
its botanical sources, reversed this profile, enhancing TRPA1 inhibition and
reducing activation. Mutation of the reactive cysteines in mouseTRPA1 (C622S,
C642S, C666S) dramatically reduced activation by MO and significantly reduced
that by Lig, but had an almost negligible effect on the action of DH-Lig, whose
activation mechanism of TRPA1 is therefore largely independent from the
alkylation of cysteine residues. Taken together, these observations show that the
phthalide structural motif is a versatile platform to investigate the modulation
of TRPA1 by small molecules, being tunable in terms of activation/inhibition
profile and mechanism of interaction. Finally, the action of Lig on TRPA1 may
contribute to the gustatory effects of celery, its major dietary source, and to
the pharmacological action of important plants from the Chinese and native
American traditional medicines.
PMID- 21894530
TI - Correlation between antizyme 1 and differentiation of vascular smooth muscle
cells cultured in honeycomb-like type-I collagen matrix.
AB - Vascular smooth muscle cells (SMC) are able to proliferate when cultured on
plates, but become differentiated when maintained in three-dimensional type I
collagen matrices (honeycombs). SMC grown in honeycombs contained a low level of
polyamines due to the presence of antizyme 1 (AZ1), a negative regulator of
ornithine decarboxylase (ODC) and of polyamine uptake. To clarify the role of AZ1
in differentiation of SMC in honeycombs, an ODC gene was stably transfected into
SMC (ODC-SMC). Although proliferation of ODC-SMC on plates was accelerated
together with an increase in phosphorylated focal adhesion kinase (FAK) and a
decrease in alpha-actin and myosin, maker proteins of differentiation, growth of
ODC-SMC ceased in honeycombs similarly to normal SMC with a low level of
phosphorylated FAK and a high level of alpha-actin and myosin. AZ1 expression in
ODC-SMC on plates was low, but that in honeycombs was high. Antizyme in ODC-SMC
in honeycombs not only decreased the level of ODC but also inhibited polyamine
uptake activity. These results taken together suggest that low levels of
polyamines caused by AZ1 in SMC in honeycombs inhibit phosphorylation of FAK and
enhance expression of alpha-actin and myosin, resulting in differentiation
through inhibition of focal adhesions.
PMID- 21894531
TI - Methane mitigation from ruminants using tannins and saponins.
PMID- 21894532
TI - Polyunsaturated fatty acids induce modification in the lipid composition and the
prostaglandin production of the conjunctival epithelium cells.
AB - BACKGROUND: This study was conducted to evaluate whether polyunsaturated fatty
acids (PUFA) such as gamma-linolenic acid (GLA) and eicosapentaenoic acid (EPA),
as found in the diet, may affect the lipid composition of conjunctival epithelium
and whether these modifications affect prostaglandin (PG) production after
inflammatory stimulation. METHODS: Chang and IOBA-NHC conjunctival human cells
were treated with GLA and/or EPA at 5, 10, 20, 30, 40, or 50 MUg/ml for 72 h and
then were stimulated with interferon-gamma (IFN-gamma) for 48 h. Changes in the
composition of neutral lipids and phospholipids were monitored by gas
chromatography. PGE1 and PGE2 levels were measured by enzyme immunoassay.
RESULTS: PUFA supplementations in the culture medium induced incorporation of
these fatty acids and of their metabolites in neutral lipids and phospholipids of
the conjunctival cells. The fatty acid composition of neutral lipids and
phospholipids was not affected by stimulation with IFN-gamma. The production of
PGE1 and PGE2 was affected by GLA supplementation whereas it was not modified by
EPA supplementation. A combined supplementation of EPA and GLA did not change the
production of PGE1 but decreased the production of PGE2. CONCLUSIONS: These
results suggest that modulation of fatty acid composition and PG production by
PUFA supplementation is possible in the conjunctival epithelium, which is an
important site of inflammation in dry eye syndrome.
PMID- 21894533
TI - Optical low-coherence reflectometry enables preoperative detection of zonular
weakness in pseudoexfoliation syndrome.
AB - PURPOSE: To evaluate optical ocular components in patients with pseudoexfoliation
syndrome using optical low-coherence reflectometry. METHODS: A prospective cohort
study of 224 eyes of patients planned for cataract surgery was conducted in the
period from January 2009 until July 2009. Patients were divided in two groups:
the first group of 47 eyes with cataract complicated with pseudoexfoliation
syndrome and the control group of 177 eyes with uncomplicated cataract. Each
group was further divided into two subgroups based on its refractive state:
emmetropes and hypermetropes. The optical low-coherence reflectometry biometer
LENSTAR LS 900 was used to define ocular optical components. RESULTS: A
statistically significant difference of ocular optical components was established
between the two groups of patients and its matching subgroups: AL (t = 2.25; p <
0.05) and ACD (t = 2.24; p < 0.05) were significantly higher in the control
group, PD was significantly higher in the control group hypermetropes than the
PEX group hypermetropes (t = 2.21; p < 0.05) while LT (t = 3.01; p < 0.001), AST
(t = 2.13; p < 0.05) and IOL (t = 3.06; p < 0.001) were higher in the PEX group
of patients than in the control group. CONCLUSIONS: The optical low-coherence
reflectometry enabled preoperative detection of zonular weakness and subsequent
lens instability documented as a significantly shallower anterior chamber,
thicker lens, and smaller pupillary diameter in the pseudoexfoliation syndrome
group in the studied population.
PMID- 21894534
TI - High rates of susceptibility to ceftazidime among globally prevalent CTX-M
producing Escherichia coli: potential clinical implications of the revised CLSI
interpretive criteria.
AB - The CTX-M family of extended-spectrum beta-lactamases (ESBLs) is a significant
global public health threat. The prevalence of specific bla (CTX-M) genes varies
geographically, but bla (CTX-M-15) and bla (CTX-M-14) dominate in most countries.
We applied the latest Clinical Laboratory Standards Institute (CLSI) interpretive
criteria (M100-S20) to a diverse collection of ESBL-producing Escherichia coli
strains obtained from clinical specimens in our laboratory. Whereas under
previous CLSI recommendations all isolates in this strain collection would have
been reported as ceftazidime-resistant, under the new recommendations,
approximately 11% of CTX-M-15-producing E. coli and 93% of CTX-M-14-producing E.
coli respectively tested as ceftazidime-susceptible. We also found that, whilst
many CTX-M-14-producers had minimum inhibitory concentrations (MICs) less than
the breakpoint of 4 mg/L, the MIC distribution for these strains was higher than
that of wild-type E. coli, with one CTX-M-14-producing isolate having an MIC of
>64 mg/L. Although the new CLSI recommendations imply that ceftazidime can be
safely used to treat serious infections due to CTX-M-producing E. coli, clinical
outcome data are lacking. Consequently, the widespread use of ceftazidime in this
setting could have profound clinical implications.
PMID- 21894535
TI - The 'ability' paradigm in vocational rehabilitation: challenges in an Ontario
injured worker retraining program.
AB - INTRODUCTION: In recent years, a focus on workers' ability, rather than
impairment, has guided disability management services. However, a challenge with
the notion of 'ability' is identification of the border between ability and
inability. This article considers this gray zone of disability management in the
case of a workers' compensation vocational retraining program for injured workers
in Ontario. METHODS: In-depth interviews and focus groups were conducted with a
purposive sample of 71 participants who were directly involved with the
vocational retraining process. Workers in the program had on average incurred
injury 3 years earlier. Procedural and legal documents were also analyzed.
Principles of grounded theory and discourse analysis guided the data gathering
and analysis. RESULTS: A program focus on worker abilities did not allow for
consideration of unresolved medical problems. Concepts such as maximum medical
rehabilitation distracted attention from workers' ongoing chronic and unstable
health situations, and incentive levers to employers directed some of the least
capable workers into the program. As well, communication pathways for discussing
health problems were limited by rules and provider reluctance to reveal problems.
Therefore, workers completing the program were deemed 'employable', while ongoing
and problematic health conditions preventing employment remained relatively
uncharted and invisible. CONCLUSIONS: This study reinforces how the shift in
disability management paradigm to a focus on ability and return to work requires
consideration of environmental conditions, including policies and programs and
implementation. A focus on the environment in which worker ability can be enacted
might be as important as a focus on improving individual worker characteristics.
PMID- 21894536
TI - Health care reform: can a communitarian perspective be salvaged?
AB - The United States is culturally oriented more toward individual rights and values
than to communitarian values. That proclivity has made it hard to develop a
common good, or solidarity-based, perspective on health care. Too many people
believe they have no obligation to support the health care of others and resist a
strong role for government, higher taxation, or reduced health benefits. I argue
that we need to build a communitarian perspective on the concept of solidarity,
which has been the concept underlying European health care systems, by focusing
not on individual needs, but rather, on those of different age groups--that is,
what people need at different stages of life.
PMID- 21894537
TI - An Auxetic structure configured as oesophageal stent with potential to be used
for palliative treatment of oesophageal cancer; development and in vitro
mechanical analysis.
AB - Oesophageal cancer is the ninth leading cause of malignant cancer death and its
prognosis remains poor. Dysphagia which is an inability to swallow is a
presenting symptom of oesophageal cancer and is indicative of incurability. The
goal of this study was to design and manufacture an Auxetic structure film and to
configure this film as an Auxetic stent for the palliative treatment of
oesophageal cancer, and for the prevention of dysphagia. Polypropylene was used
as a material for its flexibility and non-toxicity. The Auxetic (rotating-square
geometry) structure was made by laser cutting the polypropylene film. This flat
structure was welded together to form a tubular form (stent), by an adjustable
temperature control soldering iron station: following this, an annealing process
was also carried out to ease any material stresses. Poisson's ratio was estimated
and elastic and plastic deformation of the Auxetic structure was evaluated. The
elastic and plastic deformation behaviours of the Auxetic polypropylene film were
evaluated by applying repetitive uniaxial tensile loads. Observation of the
structure showed that it was initially elastically deformed, thereafter plastic
deformation occurred. This research discusses a novel way of fabricating an
Auxetic structure (rotating-squares connected together through hinges) on
Polypropylene films, by estimating the Poisson's ratio and evaluating the plastic
deformation relevant to the expansion behaviour of an Auxetic stent within the
oesophageal lumen.
PMID- 21894538
TI - Biphasic calcium phosphate coating on cobalt-base surgical alloy during
investment casting.
AB - The biphasic calcium phosphate (BCP) yields higher bioactivity and efficiency
than the Hydroxyapatite (HA) alone. The HA/beta-TCP ratio significantly affects
BCP bioactivity as well as the extent of BCP resorption. In this study, the BCP
coating on ASTM F-75 cobalt base alloy during the investment casting process was
investigated. For this purpose, molten metal was poured at 1,470 degrees C into
previously coated investment molds preheated to 750, 850, 950, 1,050 degrees C in
order to investigate the effect of mold preheating temperatures on coating phase
transformations. For in vitro evaluation, samples were immersed in the simulated
body fluid (SBF) at 37 degrees C for 4 weeks and characterized by XRD, SEM, EDS,
and optical microscopy. The weight percentages of HA and beta-TCP of the
specimens were calculated to find that the HA/beta-TCP ratio significantly
depended on the mold preheating temperature as it caused changes in the
dissolution behavior of BCP coating and the bone-like apatite precipitation on
coating during in vitro evaluation.
PMID- 21894539
TI - Preparation and properties of calcium phosphate cements incorporated gelatin
microspheres and calcium sulfate dihydrate as controlled local drug delivery
system.
AB - To develop high macroporous and degradable bone cements which can be used as the
substitute of bone repairing and drug carriers, cross-linked gelatin microspheres
(GMs) and calcium sulfate dihydrate (CSD) powder were incorporated into calcium
phosphate bone cement (CPC) to induce macropores, adjust drug release and control
setting time of alpha-TCP-liquid mixtures after degradation of GMs and
dissolution of CSD. In this study, CSD was introduced into CPC/10GMs composites
to offset the prolonged setting time caused by the incorporation of GMs, and
gentamicin sulphate (GS) was chosen as the model drug entrapped within the GMs.
The effects of CSD amount on the cement properties, drug release ability and
final macroporosity after GMs degradation were studied in comparison with CPC/GMs
cements. The resulting cements presented reduced setting time and increased
compressive strength as the content of CSD below 5 wt%. Sustained release of GS
was obtained on at least 21 days, and release rates were found to be chiefly
controlled by the GMs degradation rate. After 4 weeks of degradation study, the
resulting composite cements appeared macroporous, degradable and suitable
compressive strength, suggesting that they have potential as controlled local
drug delivery system and for cancellous bone applications.
PMID- 21894540
TI - In vitro degradation behaviour of a friction stir processed magnesium alloy.
AB - In this study, the in vitro degradation behaviour of a friction stir processed
AZ31 magnesium alloy was investigated. Electrochemical experiments in simulated
body fluid suggest that friction stir processing marginally enhances the
degradation resistance of the alloy, which could be attributed to the dissolution
of secondary phase particles. Homogenisation of the microstructure reduces
galvanic corrosion. It is envisaged that the beneficial effect would be more
pronounced for magnesium alloys which contain high volume fraction of galvanic
corrosion inducing secondary phase particles.
PMID- 21894542
TI - Iron transport in the genus Marinobacter.
AB - Marinobacter belong to the class of Gammaproteobacteria and these motile,
halophilic or halotolerent bacteria are widely distributed throughout the world's
oceans having been isolated from a wide variety of marine environments. They have
also been identified as members of the bacterial flora associated with other
marine organisms. Here, using a combination of natural products chemistry and
genomic analysis, we assess the nature of the siderophores produced by this genus
and their potential relationship to phylogeny and lifestyle/ecological niche of
this diverse group of organisms. Our analysis shows a wide level of diversity in
siderophore based iron uptake systems among this genus with three general
strategies: (1) production and utilization of native siderophores in addition to
utilization of a variety of exogenous ones, (2) production and utilization of
native siderophores only, (3) lack of siderophore production but utilization of
exogenous ones. They all share the presence of at least one siderophore
independent iron uptake ABC transport systems of the FbpABC iron metal type and
lack the ability for direct transport of ferrous iron. Siderophore production and
utilization can be correlated with phylogeny and thus it forms a type of
chemotaxonomic marker for this genus.
PMID- 21894541
TI - [Therapy of humeral shaft fractures].
AB - Fractures of the humeral shaft are less frequent than those of the proximal
humerus. The formerly recommended treatment of humeral shaft fractures was
conservative according to Bohler. This still remains an adequate concept of
treatment but according to a change in the technical possibilities and the
demands of patients and physicians on fast restoration of function and low pain,
there is a trend towards surgical stabilization of humeral shaft fractures. The
implant of choice is discussed controversially and consists of various types of
nails versus plating. The technique of nailing is antegrade or retrograde and
depends on the localization of the fracture. In our opinion good indications for
plating are combined fractures of the proximal humerus and the shaft as well as
very distal humeral shaft fractures. A primary lesion of the radial nerve is no
imperative indication for exploration and different studies have shown the same
results for exploration after 2 or 3 months if there is no spontaneous remission.
PMID- 21894543
TI - Unilateral gynecomastia in a prepubertal boy.
PMID- 21894544
TI - Myocardial perfusion scintigraphy in Europe 2007: a survey of the European
Council of Nuclear Cardiology.
AB - PURPOSE: This is the second of a series of surveys designed to assess myocardial
perfusion scintigraphy (MPS) practice in Europe. METHODS: Data were collected
from 258 centres in 18 countries. The number of MPS studies per million
population (pmp) was estimated assuming that the nonresponding centres performed
either no studies (lower estimate) or the same number as the responding centres
(upper estimate). RESULTS: The responding centres served 24% of the population of
their countries. The total number of noncardiac nuclear medicine studies was
between 2,160 and 8,000 studies pmp. The total number of MPS studies was between
529 and 2,293 pmp. The median number of MPS studies per centre was 571 per year
with 57% performing fewer than 500 studies per year and 23% of centres performing
fewer than 250 studies per year. There was significant variation between
countries, with higher numbers of MPS studies (lower limit of estimate above the
mid-range of all countries combined) in Austria, Denmark, Hungary, Portugal and
Slovenia, and lower numbers (upper limit of estimate below the mid-range of all
countries) in Finland, Norway, Spain and Switzerland. The ratio of MPS to
coronary angiography to revascularization procedures was 0.9 to 2.2 to 1.
Pharmacological stress was used in 57% and technetium-99m-labelled tracers in 88%
of studies. ECG gating was performed in 74% of studies and attenuation correction
in 22%. CONCLUSION: MPS utilization in Europe remains low compared with coronary
angiography although there has been a 21% increase in the number of studies pmp
in centres that reported in both 2005 and 2007. Pharmacological agents continue
to be the predominant form of stress. Despite the widespread use of technetium
99m-labelled tracers, ECG gating is not universally performed. As in the 2005
survey, imaging aids such as attenuation and motion correction and prone imaging
are not commonly used.
PMID- 21894545
TI - Voriconazole-induced periostitis.
PMID- 21894546
TI - Early interim 18F-FDG PET in Hodgkin's lymphoma: evaluation on 304 patients.
AB - PURPOSE: The use of early (interim) PET restaging during first-line therapy of
Hodgkin's lymphoma (HL) in clinical practice has considerably increased because
of its ability to provide early recognition of treatment failure allowing
patients to be transferred to more intensive treatment regimens. METHODS: Between
June 1997 and June 2009, 304 patients with newly diagnosed HL (147 early stage
and 157 advanced stage) were treated with the ABVD regimen at two Italian
institutions. Patients underwent PET staging and restaging at baseline, after two
cycles of therapy and at the end of the treatment. RESULTS: Of the 304 patients,
53 showed a positive interim PET scan and of these only 13 (24.5%) achieved
continuous complete remission (CCR), whereas 251 patients showed a negative PET
scan and of these 231 (92%) achieved CCR. Comparison between interim PET-positive
and interim PET-negative patients indicated a significant association between PET
findings and 9-year progression-free survival and 9-year overall survival, with a
median follow-up of 31 months. Among the early-stage patients, 19 had a positive
interim PET scan and only 4 (21%) achieved CCR; among the 128 patients with a
negative interim PET scan, 122 (97.6%) achieved CCR. Among the advanced-stage
patients, 34 showed a persistently positive PET scan with only 9 (26.4%)
achieving CCR, whereas 123 showed a negative interim PET scan with 109 (88.6%)
achieving CCR. CONCLUSION: Our results demonstrate the role of an early PET scan
as a significant step forward in the management of patients with early-stage or
advanced-stage HL.
PMID- 21894547
TI - Timely recognition of cardiovascular toxicity by anticancer agents: a common
objective of the pharmacologist, oncologist and cardiologist.
AB - Both conventional and new anticancer drugs can frequently cause adverse
cardiovascular effects, which can span from subclinical abnormalities to serious
life-threatening and sometimes fatal events. This review examines the principal
basic and clinical elements that may be of profit to identify, prevent and treat
such toxicities. Clearly, the accomplishment of such objectives requires the
strong commitment and cooperation of different professional figures including,
but not limited to, pharmacologists, oncologists and cardiologists. The aspect of
anticancer drug cardiotoxicity seems to be somehow underestimated, mainly due to
inadequate reporting of adverse reactions from oncology drugs in the post
marketing setting. Thus, the implementation of pharmacovigilance is indispensable
to rapidly and fully assess the safety of newer agents in real-life patients.
PMID- 21894548
TI - Ascending aortic aneurysm.
AB - A 14-year-old boy presented with an unusual bulging chest noted to be increasing
slowly over the last few years. He had no other complaints. Cardiac
echocardiography and cardiac magnetic resonance imaging (MRI) showed aneurysmal
dilation of the ascending aorta with moderate aortic valve insufficiency and
moderate pericardial effusion. The boy underwent reconstruction of his ascending
aorta in the form of a Bentall procedure using a 25-mm Carbomedic valve conduit.
PMID- 21894549
TI - Left ventricle pseudoaneurysm after aortic valvuloplasty.
AB - Acquired left ventricular aneurysm is extremely rare in children. This report
describes an infant with acquired left ventricular aneurysm after percutaneous
aortic balloon valvuloplasty for critical aortic stenosis. The potential risk
factors for myocardial injury during cardiac catheterization and potential
complications are discussed.
PMID- 21894550
TI - Usefulness of McRAPD for typing and importance of biofilm production in a case of
nosocomial ventriculoperitoneal shunt infection caused by Candida lusitaniae.
AB - A case report of ventriculoperitoneal shunt infection caused by Candida
lusitaniae in a 6-year-old patient with cerebral astrocytoma and obstructive
hydrocephalus is presented briefly with emphasis on the course of antifungal
treatment. Seven isolates recovered subsequently from the cerebrospinal fluid
were studied retrospectively. To confirm identity, isolates were typed using
pulsed-field gel electrophoresis and melting curve of random amplified
polymorphic DNA (McRAPD). Further, the ability to form biofilm and its
susceptibility to systemic antifungals were evaluated. Using McRAPD, identity of
C. lusitaniae isolates showing slight microevolutionary changes in karyotypes was
undoubtedly confirmed; successful application of numerical interpretation of
McRAPD for typing is demonstrated here for the first time. The strain was also
recognized as a strong biofilm producer. Moreover, minimum biofilm inhibitory
concentrations were very high, in contrast to low antifungal minimum inhibitory
concentrations of isolates. It can be concluded that McRAPD seems to be a simple
and reliable method not only for identification but also for typing of yeasts. A
ventriculoperitoneal shunt colonized by C. lusitaniae was revealed as the source
of this nosocomial infection, and the ability of the strain to form biofilm on
its surface likely caused treatment failure.
PMID- 21894551
TI - A novel molecular mechanism to explain biotin-unresponsive holocarboxylase
synthetase deficiency.
AB - Biotin (vitamins H and B7) is an important micronutrient as defects in its
availability, metabolism or adsorption can cause serious illnesses, especially in
the young. A key molecule in the biotin cycle is holocarboxylase synthetase
(HLCS), which attaches biotin onto the biotin-dependent enzymes. Patients with
congenital HLCS deficiency are prescribed oral biotin supplements that, in most
cases, reverse the clinical symptoms. However, some patients respond poorly to
biotin therapy and have an extremely poor long-term prognosis. Whilst a small
number of mutations in the HLCS gene have been implicated, the molecular
mechanisms that lead to the biotin-unresponsive phenotype are not understood. To
improve our understanding of HLCS, limited proteolysis was performed together
with yeast two-hybrid analysis. A structured domain within the N-terminal region
that contained two missense mutations was identified in patients who were
refractory to biotin therapy, namely p.L216R and p.L237P. Genetic studies
demonstrated that the interaction between the enzyme and the protein substrate
was disrupted by mutation. Further dissection of the binding mechanism using
surface plasmon resonance demonstrated that the mutations reduced affinity for
the substrate through a >15-fold increase in dissociation rate. Together, these
data provide the first molecular explanation for HLCS-deficient patients that do
not respond to biotin therapy.
PMID- 21894553
TI - Chocolate and coronary heart disease: a systematic review.
AB - Coronary heart disease (CHD) is the leading cause of death in the United States.
The high content of polyphenols and flavonoids present in cocoa has been reported
to play an important protective role in the development of CHD. Although studies
have demonstrated beneficial effects of chocolate on endothelial function, blood
pressure, serum lipids, insulin resistance, and platelet function, it is unclear
whether chocolate consumption influences the risk of CHD. This article reviews
current evidence on the effects of cocoa/chocolate on clinical and subclinical
CHD, CHD risk factors, and potential biologic mechanisms. It also discusses major
limitations of currently available data and future directions in the field.
PMID- 21894554
TI - Functional dissociation between Kana and Kanji: agraphia following a thalamic
hemorrhage.
AB - We report the case of a 61-year-old woman with a left thalamic hemorrhage causing
agraphia of Kanji (morphograms). Single-photon emission computed tomography
(SPECT) showed a decrease in the blood flow in the left thalamus from the
superior temporal convolution to the parietal lobe, as well as in the frontal
lobe while computed tomography showed no remarkable lesions in the cortex. The
agraphia in this case may be due to the thalamic lesion itself, but the SPECT
findings strongly suggest that a secondary cortical lesion may be involved in
producing the higher cognitive disorder.
PMID- 21894552
TI - Genetic variants in candidate genes influencing NAFLD progression.
AB - Nonalcoholic fatty liver disease (NAFLD) is a metabolic disorder including simple
steatosis and nonalcoholic steatohepatitis (NASH). Advanced stages of NASH result
ultimately in fibrosis, cirrhosis, and hepatocarcinoma. A diagnosis of NASH
entails an increased risk of both liver-related and cardiovascular mortality as
worsening of the metabolic syndrome. Because of its escalation, many
investigations have been performed to elucidate the pathophysiologic origins of
the disease progression. Human epidemiologic studies describing polymorphisms in
a number of genes involved in metabolic dysfunctions have contributed to clarify
the causes leading to the disease evolution. In this review, we attempt to
outline critically the most recently identified genetic variants in NAFLD
patients to identify possible risk factors promoting the progression of the
disease. The evaluation of altered genotypes together with other clinical
variables may facilitate the clinical management of these patients.
PMID- 21894555
TI - Hashimoto's encephalopathy presenting with micrographia as a typical feature of
parkinsonism.
AB - We describe here a 63-year-old woman who presented with gait disturbance and
micrographia. Laboratory tests demonstrated the presence of anti-thyroperoxidase
(TPO) antibodies and vitamin B(12) deficiency accompanied by the presence of anti
parietal cell antibodies. Lymphocytosis with increased protein was detected in
cerebral spinal fluid (CSF). Serum autoantibodies against the anti-NH(2) terminal
of alpha-enolase (NAE), a specific diagnostic marker for Hashimoto's
encephalopathy (HE), were also detected. Since underlying autoimmune conditions
were suspected to be associated with Hashimoto's disease, steroid therapy was
conducted, and the neurological symptoms improved a few days after the therapy
was started. Attention should be given to the possibility that typical
parkinsonism showing micrographia is caused by HE.
PMID- 21894556
TI - Standing worsens cognitive functions in patients with neurogenic orthostatic
hypotension.
AB - In previous studies, addressing the association between orthostatic hypotension
and cognitive decline, patients underwent neuropsychological evaluation in
sitting position, and blood pressure values and cognition were not measured
concurrently. Furthermore, no studies assessed the acute effects of orthostatic
hypotension on cognitive performances. The aim of our study was to evaluate the
effect of a documented fall in systolic blood pressure (SBP) of at least 20 mmHg
on a battery of cognitive tests in patients with neurogenic orthostatic
hypotension. Ten consecutive patients with neurogenic orthostatic hypotension,
normal brain imaging, and a normal Mini Mental State Examination in supine
position were enrolled in the study. Patients underwent a detailed
neuropsychological assessment (Brief Mental Deterioration battery and
computerized tests) over two test sessions: the first while tilted to an angle
able to cause a fall of at least 20 mmHg in SBP; the second while supine, after
30 min of rest. Parallel forms of the tests were presented on each testing
session. Patients scored significantly worse in the visual search test, analogies
test, immediate visual memory, and the measure of global cognitive functioning of
Brief Mental Deterioration battery during the orthostatic challenge compared to
the supine position. Orthostatic hypotension was associated with a significant
worsening of cognitive performances, affecting both global cognitive functioning
and specific tasks, mainly exploring executive functions. The assessment of
cognitive function in patients with neurogenic orthostatic hypotension should be
performed considering the body's position of the subject.
PMID- 21894557
TI - [Anticancer activity of Salvia officinalis essential oil against HNSCC cell line
(UMSCC1)].
AB - BACKGROUND: Every year there are several hundred thousand new cases of oral
cancer worldwide. Clinical oncology is still challenged by toxicity and side
effects of multimodal therapy strategies in which is associated with poor
prognosis for patients. There is an urgent necessity to develop novel therapy
strategies. As the majority of anticancer drugs are of natural origin, natural
products represent a valuable source for the identification and development of
novel treatment options for cancer. The aim of this investigation was to study
the cytotoxicity of Salvia officinalis L. (sage) essential oil. METHODS: Salvia
officinalis essential oil was gained by aqueous extraction from plant material
and subsequently analyzed by gas chromatography. The cytotoxicity of the
essential oil on the squamous human cell carcinoma cell line of the oral cavity
(UMSCC1) was assessed with the XTT assay. These experiments revealed the half
maximal inhibitory concentration (IC(50)) of the essential oil. It was used in
the microarray-based analysis of gene expression of UMSSC1 cells. The results
were submitted to a signaling pathway analysis. RESULTS: The main constituents of
Salvia officinalis essential oil include the monoterpenes thujone, beta-pinene,
and 1,8-cineol. Low concentrations of the essential oil increased vitality of the
UMSCC1 cells. Beyond the concentration of the IC(50) of 135 ug/ml, sage essential
oil reduced UMSSC1 cells viability to a minimum. In the microarray gene
expression analysis, genes involved in cancer, cellular growth and proliferation,
cell death, cell morphology, cell cycle, gene expression, and DNA repair were the
most prominent. The three most significantly regulated pathways by sage were aryl
hydrocarbon receptor signaling, cell cycle (G1/S checkpoint) regulation, and p53
signaling. CONCLUSION: To the best of our knowledge, this study suggests for the
first time the ability of Salvia officinalis essential oil to inhibit human HNSCC
cell growth. The therapeutic potential of sage essential oil might exceed that of
its common use in otorhinolaryngology.
PMID- 21894558
TI - Laparoendoscopic single-site (LESS) hysteropexy.
AB - Uterine prolapse is one of the common reasons for hysterectomy throughout the
world. However, recent data has shown that uterine-sparing techniques appear to
be equivocal to that of hysterectomy. Older reports of intra-abdominal uterine
suspension describe open approaches and more recent descriptions involve robotic
and laparoscopic approaches utilizing mesh. We describe the first reported
laparoendoscopic single-site (LESS) sacral hysteropexy utilizing a strip of
polypropylene mesh placed posteriorly on the uterus and attached to the sacral
promontory. A 45-year-old female with grade 3 uterine prolapse, cystocele, and
rectocele underwent the procedure. The procedure involved access utilizing a
single-port system placed transumbilically, and dissection using articulating
laparoscopic instruments. The hysteropexy was completed by placing a 3-cm wide
strip of polypropylene mesh along the posterior vaginal wall and cervicouterine
junction, and suturing the proximal end to the anterior longitudinal ligament
overlying the sacral promontory. The patient was discharged home within 18 h of
the procedure. At 6 month follow-up, the patient has excellent anatomic support,
with no evidence for recurrence of prolapse. LESS hysteropexy appears to be a
safe, effective procedure for uterine prolapse and provides patients with
excellent outcome with no visible scar. Additional studies will determine whether
the LESS approach provides any benefit when compared with robotic or traditional
laparoscopic approaches with respect to blood loss, pain, and time of full
recovery.
PMID- 21894559
TI - Polycyclic aromatic hydrocarbons and risk to threatened and endangered Chinook
salmon in the Lower Columbia River estuary.
AB - Polycyclic aromatic hydrocarbons (PAHs), derived from oil and fuel combustion,
are ubiquitous nonpoint source pollutants that can have a number of detrimental
effects on fish and wildlife. In this study, we monitored PAH exposure in
outmigrant juvenile Chinook salmon from the Lower Columbia River to evaluate the
risk that these contaminants might pose to the health and recovery of threatened
and endangered salmonids. Juvenile Chinook salmon (Oncorhynchus tshawytscha) were
collected by beach seine from five sites in the Lower Columbia River from
Bonneville Dam to the mouth of the estuary (Warrendale, the Willamette-Columbia
Confluence, Columbia City, Beaver Army Terminal, and Point Adams) and from a site
in the Lower Willamette near downtown Portland (Morrison Street Bridge). Sediment
samples were also collected at the same sites. Concentrations of PAHs in sediment
samples were relatively low at all sites with average total PAH concentrations
<1000 ng/g dry weight (wt.). However, we found PAHs in stomach contents of salmon
from all sites at concentrations ranging from <100 to >10,000 ng/g wet wt.
Metabolites of low and high molecular-weight PAHs were also detected in bile of
salmon from all sites; for metabolites fluorescing at phenanthrene (PHN)
wavelengths, concentrations ranged from 1.1 to 6.0 MUg/mg bile protein. Levels of
PAHs in stomach contents and PAH metabolites in bile were highest in salmon from
the Morrison Street Bridge site in Portland and the Willamette-Columbia
Confluence, Columbia City, and Beaver Army Terminal sites. Mean PAH
concentrations measured in some stomach content samples from the Columbia City,
Beaver Army Terminal, and Morrison Street Bridge sites were near the threshold
concentration (approximately 7200-7600 ng/g wet wt.) associated with variability
and immune dysfunction in juvenile salmonids (Meador et al., Can J Fish Aquat Sci
63:2364-2376, 2006; Bravo et al., Environ Toxicol Chem 30:704-714, 2011). Mean
levels of biliary fluorescent aromatic compounds (FACs)-PHN in juvenile Chinook
collected at the Morrison Street Bridge site in Portland, at the Confluence and
Columbia City sites, and at the Beaver Army Terminal site were at or above a
threshold effect concentration of 2 MUg/mg protein for FACs-PHN linked to growth
impairment, altered energetics, and reproductive effects (Meador et al., Environ
Toxicol Chem 27(4):845-853, 2008). These findings suggest that PAHs in the food
chain are a potential source of injury to juvenile salmon in the Lower Columbia
and Lower Willamette rivers.
PMID- 21894560
TI - Perimedullary arteriovenous fistulas in children: report on six cases.
AB - BACKGROUND: Perimedullary arteriovenous fistulas (PMAVFs) are rare spinal lesions
and even more uncommon in children. OBJECTIVE: The aim of this study was to
document rare occurrences of this type of arteriovenous malformation in six
children treated at our institution. METHODS: The clinical data, radiological
findings, and treatment in six cases of PMAVFs were reviewed. Six patients with
PMAVFs were managed at our institution over a 5-year period. The patients (four
girls and two boys), ranging in age from 6 to 15 years, presented with initially
fluctuating, and eventually permanent and progressive, sudden-onset paraparesis,
sensory disturbances, and sphincter dysfunction. The duration of symptoms before
diagnosis ranged from 1 week to 13 years. RESULTS: All the patients underwent
magnetic resonance imaging and spinal selective angiography, which demonstrated
the characteristic imaging of an arteriovenous fistula. Embolization of the
arteriovenous fistula was initially attempted in three patients with successful
occlusion of the fistula in two. For the remaining cases, open surgery was
performed, with complete occlusion of the fistula. There was no morbidity,
regardless of the treatment performed. All the patients experienced neurological
improvement after treatment. CONCLUSIONS: No specific clinical or radiological
characteristic of PMAVFs in the pediatric population was observed when our series
was compared with a general series. Early diagnosis and timing of the therapeutic
intervention seemed to avoid the development of irreversible ischemic
myeloradiculopathy and prevented hemorrhage. Treatment for PMAVFs is difficult to
standardize because these are extremely rare lesions with different
angioarchitecture configurations.
PMID- 21894561
TI - Metastasis to sentinel lymph nodes in breast cancer is associated with maturation
arrest of dendritic cells and poor co-localization of dendritic cells and CD8+ T
cells.
AB - The regional immune systems of patients with breast cancer are immunosuppressed.
Dendritic cells are professional antigen-presenting cells and present cancer
associated antigens to the adaptive immune system in sentinel lymph nodes.
Dendritic cells may promote, or inhibit, an adaptive immune response to specific
antigens. Our aim was to assess whether dendritic cells were associated with
nodal metastasis in patients with breast cancer. Sentinel lymph nodes of 47
patients with breast cancer with varying degrees of nodal disease and ten
controls were evaluated using immunohistochemistry for the accumulation of
dendritic cells in general (CD1a(+)), mature dendritic cells (CD208(+)), and
plasmacytoid dendritic cells (CD123(+)). Cytotoxic T cell and regulatory T cell
accumulation were also evaluated. Sentinel lymph nodes with macrometastases
demonstrated fewer mature dendritic cells than sentinel lymph nodes without
metastasis (p = 0.028), but not controls. There were fewer mature dendritic cells
to cytotoxic T cells in sentinel lymph nodes with metastasis than those without
(p = 0.033). Also, there were more regulatory T cells to mature dendritic cells
in sentinel lymph nodes with metastasis than those without (p = 0.02). In
conclusion, our study suggests that sentinel lymph nodes with metastasis have
arrest of maturation of dendritic cells, fewer mature dendritic cell interactions
with cytotoxic T cells, and more regulatory T cells than sentinel lymph nodes
without metastasis in patients with breast cancer. These findings extend our
understanding of regional immunosuppression and suggest that most regional
immunosuppressive changes are associated with nodal metastasis in breast cancer.
PMID- 21894562
TI - Detection of Slit2 promoter hypermethylation in tissue and serum samples from
breast cancer patients.
AB - Promoter hypermethylation has been shown to be a common mechanism for
inactivation of tumor suppressor genes in breast cancer. The aim of this study
was to investigate the prevalence of Slit2 promoter hypermethylation in both the
tumor and serum samples of breast cancer patients with ductal carcinoma in situ
(DCIS) or invasive breast carcinoma (IBC). The methylation status of Slit2 was
investigated in 210 tissue samples (15 breast with no pathological findings, 26
DCIS, and 169 IBC samples) and 123 corresponding serum samples (15 breast with no
pathological findings, 26 DCIS, and 82 IBC samples) using methylation-specific
polymerase chain reaction. Immunohistochemical staining for Slit2 was also
performed using tissue microarray blocks to determine whether Slit2 promoter
hypermethylation correlated with loss of Slit2 expression. Slit2 promoter
hypermethylation was not detected in breast tissue and serum samples from
patients with no pathological findings. DCIS or IBC showed a statistically higher
frequency of Slit2 promoter hypermethylation compared to breast with no
pathological findings in both the tissue and serum samples; however, there were
no statistically significant differences between DCIS and IBC samples. Similar
Slit2 promoter hypermethylation patterns were seen in the tissue samples and
corresponding serum specimens (p < 0.001). Slit2 promoter hypermethylation was
associated with loss of Slit2 expression. These results suggest that Slit2
promoter hypermethylation appears to be responsible for functionally silencing
Slit2 expression. Slit2 promoter hypermethylation may be considered as a possible
serum marker for early detection of breast cancer.
PMID- 21894563
TI - Adnexal torsion in a woman undergoing ovarian hyperstimulation with clomiphene
citrate therapy: a case report and review of the literature.
AB - Ovarian stimulation is a unique aid for patients treated for anovulation and an
important tool in various assisted reproduction treatments. Clomiphene citrate,
an orally active, non-steroidal triphenylethylene derivate, is a commonly
prescribed agent for ovulation induction. Clomiphene citrate is considered a safe
agent and has rarely been associated with significant side effects. This report
describes a case of unilateral adnexal torsion after ovulation induction with
clomiphene citrate; we performed unwinding of the adnexum, which appeared
ischemic via laparoscopy. Unfortunately, the affected adnexum became hemorrhagic
after this approach, which invariably led to its resection.
PMID- 21894564
TI - Molecular modeling of Trypanosoma cruzi glutamate cysteine ligase and
investigation of its interactions with glutathione.
AB - Trypanosoma cruzi glutamate cysteine ligase (TcGCL) is considered a potential
drug target to develop novel antichagasic drugs. We have used a variety of
computational methods to investigate the interactions between TcGCL with
Glutathione (GSH). The three-dimensional structure of TcGCL was constructed by
comparative modeling methods using the Saccharomyces cerevisiae glutamate
cysteine ligase as template. Molecular dynamics simulations were used to validate
the TcGCL model and to analyze the molecular interactions with GSH. Using RMSD
clustering, the most prevalent GSH binding modes were identified paying attention
to the residues involved in the molecular interactions. The GSH binding modes
were used to propose pharmacophore models that can be exploited in further
studies to identify novel antichagasic compounds.
PMID- 21894565
TI - Is there a role for dynamic swallowing MRI in the assessment of gastroesophageal
reflux disease and oesophageal motility disorders?
AB - OBJECTIVES: To evaluate the diagnostic value of dynamic MRI swallowing in
patients with symptoms of Gastroesophageal Reflux Disease (GERD). METHODS: Thirty
seven patients (17 m/20f) with typical signs of GERD underwent MR swallowing in
the supine position at 1.5 T with a phased-array body coil. Using dynamic,
gradient echo sequences (B-FFE) in the coronal, sagittal and axial planes, the
bolus passages of buttermilk spiked with gadolinium chelate were tracked. MRI, pH
metry and manometry were performed within 31 days and results were compared.
RESULTS: MRI results were concordant with pH-metry in 82% (23/28) of patients
diagnosed with abnormal oesophageal acid exposure by pH-metry. Five patients
demonstrated typical symptoms of GERD and had positive findings with pH
monitoring, but false negative results with MRI. In four of six patients (67%),
there was a correct diagnosis of oesophageal motility disorder, according to
manometric criteria, on dynamic MRI. The overall accuracy of MRI diagnoses was
79% (27/34). A statistically significant difference was found between the size of
hiatal hernia, grade of reflux in MRI, and abnormal acid exposure on pH
monitoring. CONCLUSIONS: MR fluoroscopy may be a promising radiation-free tool in
assessing the functionality and morphology of the GE junction. KEY POINTS: *
Swallowing MRI can assess anatomy and function of the gastroesophageal-junction *
Swallowing MRI can help identifying reflux and motility disorders * Definition of
the size of hiatal hernias is possible in all three planes in MR. * Short
duration of swallowing MRI enables its application in routine clinical practice.
PMID- 21894566
TI - Statistically significant?
PMID- 21894567
TI - Razorbill (Alca torda) feathers as an alternative tool for evaluating exposure to
organochlorine pesticides.
AB - The aim of this study was to explore the usefulness of feathers as a
biomonitoring tool for organochlorine pesticides (OC) in a razorbill population
(Alca torda). Fifteen OC were analyzed in feathers, including alpha-, beta- and
delta-hexachlorocyclohexane, lindane, aldrin, dieldrin, endrin, endosulfan I and
II, endosulfan sulfate, p,p'-DDT, DDD, DDE, heptachlor and its epoxide. The
geometric mean concentrations observed in this study were ?DDT 67.40 ng/g, ?HCH
62.88 ng/g, ?Heptachlor 61.75 ng/g, ?Endosulfan 19.70 ng/g, and ?Drins 10.17
ng/g. The higher OC levels found in this study compared with other studies are
probably affected by the razorbill diet and migration status. However, levels
found in the feathers of the present study are related to concentrations in
internal tissues below those which cause adverse reproductive and behavioral
effects or other signs of organochlorine-pesticide poisoning in birds. Age does
affect the concentration of OC pesticides in feathers. Thus, feathers would
appear to be a promising tool for OC biomonitoring in seabirds, since it is
possible to quantify OC compounds.
PMID- 21894569
TI - Key challenges of housing and health from WHO perspective.
PMID- 21894568
TI - Inequalities in therapeutic treatment during cardiac inpatient rehabilitation in
Germany.
AB - OBJECTIVE: Cardiac patients of low socio-economic status (SES) display low health
status and increased need for rehabilitation. This study's objective was to
examine whether and to what extent inequalities in the provision of
rehabilitative health care occur in Germany. METHODS: We conducted an
observational study with two points of measurement on 543 patients in cardiac
inpatient rehabilitation. We used logistic regression and analysis of covariance
to explore whether patients experience unequal therapeutic rehabilitative
treatment. RESULTS: Patients of low SES were less frequently physically active,
more likely to smoke and displayed a higher number of physical and psychological
symptoms when entering rehabilitation. They were less likely to receive a number
of therapies with differences being significant for core therapies of
cardiovascular rehabilitation. Patients of higher SES received fewer hours of
dietary counselling on average. CONCLUSIONS: While the latter difference might be
in line with the needs of different socio-economic groups, most differences are
unlikely to be tailored to patients' needs. Potential causes of inequalities in
service provision like structural factors and aspects of the doctor-patient
encounter should be further investigated.
PMID- 21894570
TI - Studies on activity, distribution, and zymogram of protease, alpha-amylase, and
lipase in the paddlefish Polyodon spathula.
AB - A series of biochemical determination and electrophoretic observations have been
conducted to analyze the activities and characteristics of protease, alpha
amylase, and lipase of paddlefish Polyodon spathula. The results obtained have
been compared with those of bighead carp (Aristichthys nobilis) and hybrid
sturgeon (Huso dauricus ? * Acipenser schrenki Brandt ?), in order to increase
available knowledge of the physiological characteristics of this sturgeon species
and to gain information with regard to its nutrition. Further, a comparative
study of enzymatic activity, distribution, and characterization between
commercial feed-reared paddlefish (CG) and natural live food-reared (NG)
paddlefish was conducted. Results showed that higher proteolytic activity was
observed in the pH range 2.5-3.0 and at a pH of 7.0 for paddlefish. Levels of
acid protease activity of paddlefish were similar to that of hybrid sturgeon, and
significantly higher than that of bighead carp. The inhibition assay of
paddlefish showed that the rate of inhibition of tosyl-phenylalanine chloromethyl
ketone was approximately 2.6-fold that of tosyl-lysine chloromethyl ketone. There
was no significant difference observed for acid protease activity between PG and
CG groups, whereas the activity of alkaline protease, alpha-amylase, and lipase
in the PG group were significantly lower than those in the CG group. The
substrate sodium dodecyl sulfate polyacrylamide gel electrophoresis analysis
further showed that there were certain types of enzymes, especially alpha
amylase, with similar molecular mass in the paddlefish and hybrid sturgeon. It
can be inferred that acid digestion was main mechanism for protein hydrolysis in
paddlefish, as reported for other fishes with a stomach. This indicates that the
paddlefish requires higher alkaline protease, alpha-amylase, and lipase activity
to digest natural live food.
PMID- 21894571
TI - The impact of prior long-term versus short-term statin use on the mortality of
bacteraemic patients.
AB - BACKGROUND: The aim of this investigation was to assess the effect of prior
statin use on the 30-day in-hospital mortality among bacteraemic patients and to
determine the impact of long-term versus short-term statin use on the mortality
of bacteraemic patients. PATIENTS AND METHODS: A retrospective study of 342
bacteraemic patients who presented to the emergency department (ED) within a
period of 7 years was undertaken. Twenty-three patients did not meet the
inclusion criteria. The remaining 319 patients were divided into three groups
according to statin use and duration of therapy prior to the bacteraemic episode:
group 1 (n = 123) had long-term statin use >= 12 weeks, group 2 (n = 35) had
short-term statin use < 12 weeks, and group 3 (n = 161) had no statin use.
RESULTS: The overall 30-day in-hospital all-cause mortality of patients with
statins was lower than patients without statin therapy (13 vs. 24%, p = 0.001).
The mortality rate in group 1 was lower than in group 2 (11 vs. 17%, p = 0.04).
After adjusting for confounding variables, the results of a multiple Cox
regression analysis revealed that the absence of statin use (hazard ratio [HR] =
2.98; 95% confidence interval [CI] 1.59-5.56, p = 0.001) was associated with
increased 30-day in-hospital all-cause mortality in bacteraemic patients.
CONCLUSIONS: Statins reduce the 30-day in-hospital all-cause mortality of
bacteraemic patients. Long-term statin use prior to the bacteraemia improves the
survival of bacteraemic patients more than short-term statin use.
PMID- 21894573
TI - Oxygen exposure increases resistance of Desulfovibrio vulgaris Hildenborough to
killing by hydrogen peroxide.
AB - Inactivation of PerR by oxidative stress and a corresponding increase in
expression of the perR regulon genes is part of the oxidative stress defense in a
variety of anaerobic bacteria. Diluted anaerobic, nearly sulfide-free cultures of
mutant and wild-type Desulfovibrio vulgaris (10(5)-10(6) colony-forming units/ml)
were treated with 0 to 2,500 MUM H(2)O(2) for only 5 min to prevent readjustment
of gene expression. Survivors were then scored by plating. The wild type and perR
mutant had 50% survival at 58 and 269 MUM H(2)O(2), respectively, indicating the
latter to be 4.6-fold more resistant to killing by H(2)O(2) under these
conditions. Significantly increased resistance of the wild type (38-fold; 50%
killing at 2188 MUM H(2)O(2)) was observed if cells were pretreated with full air
for 30 min, conditions that did not affect cell viability. The resistance of the
perR mutant increased less (4.6-fold; 50% killing at 1230 MUM H(2)O(2)), when
similarly pretreated. Interestingly, no increased resistance of either was
achieved by exposure with 10.6 MUM H(2)O(2) for 30 min, the highest concentration
that could be used without killing the cells. Hence, in environments with low D.
vulgaris biomass only the presence of external O(2) effectively activates the
perR regulon. As a result, mutant strains lacking one of the perR regulon genes
ahpC, dvu0772, rbr1 or rbr2 displayed decreased resistance to H(2)O(2) stress
only following pretreatment with air.
PMID- 21894572
TI - Dissection of genetic associations with language-related traits in population
based cohorts.
AB - Recent advances in the field of language-related disorders have led to the
identification of candidate genes for specific language impairment (SLI) and
dyslexia. Replication studies have been conducted in independent samples
including population-based cohorts, which can be characterised for a large number
of relevant cognitive measures. The availability of a wide range of phenotypes
allows us to not only identify the most suitable traits for replication of
genetic association but also to refine the associated cognitive trait. In
addition, it is possible to test for pleiotropic effects across multiple
phenotypes which could explain the extensive comorbidity observed across SLI,
dyslexia and other neurodevelopmental disorders. The availability of genome-wide
genotype data for such cohorts will facilitate this kind of analysis but
important issues, such as multiple test corrections, have to be taken into
account considering that small effect sizes are expected to underlie such
associations.
PMID- 21894574
TI - Morphology and phylogenetics of two holoparasitic plants, Balanophora japonica
and Balanophora yakushimensis (Balanophoraceae), and their hosts in Taiwan and
Japan.
AB - Balanophora japonica and B. yakushimensis are two putatively agamospermic taxa
previously reported from southern Japan. Their inflorescences superficially
represent those of B. laxiflora and B. fungosa. In this study we confirmed their
presence in Taiwan by morphological and phylogenetic analysis using nuclear 18S
rDNA and nrITS sequences with related taxa. B. japonica, B. yakushimensis, and B.
laxiflora formed a well-supported clade that is distinct from other Balanophora.
All three taxa also show considerable differences on morphological and nucleotide
sequence differences, therefore the name of B. yakushimensis is retained. The
results provide new insights on the intrageneric classification of Balanophora
and suggest the positioning of female flowers should be down-weighted. We also
successfully identify the hosts of B. japonica and B. yakushimensis by amplifying
chloroplast matK sequences from the connected root tissues. The results showed
that B. japonica parasitizes on Symplocos species, and that B. yakushimensis
parasitizes on Distylium racemosum in Japan and Schima superba in Taiwan's
population.
PMID- 21894575
TI - Transient global amnesia: a brief review and update.
AB - Transient global amnesia (TGA) is a transitory syndrome of memory loss, lasting
less than 24 h. Although there are many known causes of transient amnesia, the
syndrome of TGA remains of unknown etiology. Known causes of transient amnesia,
theories of pathogenesis of TGA, and recommended evaluation and treatment are
discussed.
PMID- 21894576
TI - Belief in luck or in skill: which locks people into gambling?
AB - According to the social axioms framework, people's beliefs about how the world
functions (i.e., internal or external locus of control) are related to their
social behaviors. Previous researchers have attempted to relate locus of control
to gambling behavior, but the results have not been clear-cut. The present study
speculated that the effects of perceived control (i.e., belief in luck and belief
in skill) on gambling behavior are domain-specific and vary with the type of
gambling. A total of 306 adult Macau residents ranging in age from 18 to 65 with
casino gambling experience were recruited by going door to door. Empirical data
on gambling frequency and perceived control relating to 13 types of gambling were
collected. Our results demonstrated that the effects of belief in luck or skill
on gambling behavior varied across different gambling categories. Specifically,
for football lottery, Chinese lottery, and baccarat, it was not belief in skill
but rather belief in luck that was a positive significant predictor of gambling
frequency. Only for slot machines and stud poker did belief in skill
significantly predict gambling frequency. For the remaining eight gambling
categories, neither belief in luck nor belief in skill could predict gambling
frequency. Our findings indicate that neither internal nor external locus of
control can consistently explain people's gambling behaviors. Instead, which
factor plays a greater role in a person's gambling behavior is dependent on the
gambling type. Therefore, the finding that not all gambles are created equal
might be a promising avenue for further research and treatment approaches.
PMID- 21894577
TI - Gastric cancer treatment in Japan: 2008 annual report of the JGCA nationwide
registry.
AB - The Japanese Gastric Cancer Association (JGCA) started a new nationwide gastric
cancer registry in 2008. Approximately 50 data items, including surgical
procedures, pathological diagnoses, and survival outcomes, for 12004 patients
with primary gastric cancer treated in 2001 were collected retrospectively from
187 participating hospitals. Data were entered into the JGCA database according
to the JGCA Classification of gastric carcinoma, 13th edition and the
International Union Against Cancer (UICC) TNM Classification of malignant tumors,
5th edition by using an electronic data collecting system. Finally, data of 11261
patients with gastric resection were analyzed. The 5-year follow-up rate was
83.5%. The direct death rate was 0.6%. TNM 5-year survival rates (5YSRs)/JGCA
5YSRs were 91.8/91.9% for stage IA, 84.6/85.1% for stage IB, 70.5/73.1% for stage
II, 46.6/51.0% for stage IIIA, 29.9/33.4% for stage IIIB, and 16.6/15.8% for
stage IV. The proportion of patients more than 80 years old was 7.0%, and their
5YSR was 48.7%. Compared to the JGCA archived data, though the follow-up rate
needs to be improved, these data suggest that the postoperative results of
patients with primary gastric carcinoma have improved in those with advanced
disease and in the aged population in Japan.
PMID- 21894578
TI - [Technical orthopedics and orthopedic shoe technique].
PMID- 21894582
TI - Abstracts of the 8th International Sphingolipid Club Meeting. June 30-July 2,
2010. Glasgow, United Kingdom.
PMID- 21894583
TI - Fullerenes, carbon nanotubes, and graphene for molecular electronics.
AB - With the constant growing complexity of electronic devices, the top-down approach
used with silicon based technology is facing both technological and physical
challenges. Carbon based nanomaterials are good candidates to be used in the
construction of electronic circuitry using a bottom-up approach, because they
have semiconductor properties and dimensions within the required physical limit
to establish electrical connections. The unique electronic properties of
fullerenes for example, have allowed the construction of molecular rectifiers and
transistors that can operate with more than two logical states. Carbon nanotubes
have shown their potential to be used in the construction of molecular wires and
FET transistors that can operate in the THz frequency range. On the other hand,
graphene is not only the most promising material for replacing ITO in the
construction of transparent electrodes but it has also shown quantum Hall effect
and conductance properties that depend on the edges or chemical doping. The
purpose of this review is to present recent developments on the utilization
carbon nanomaterials in molecular electronics.
PMID- 21894584
TI - The reasonable calculation of complete enteroscopy rate for balloon-assisted
enteroscopy.
PMID- 21894587
TI - [Prevention of ventilator-associated pneumonia: what's evidence-based
treatment?].
AB - Patients who suffer from a ventilator-associated pneumonia (VAP) are ventilated
longer, stay longer in the ICU and in hospital and therefore lead to higher
costs. Despite the therapeutic potential of the VAP nowadays there is about 10%
additional mortality observed. Although the clinical VAP diagnosis is limited
(sensitivity/specificity) rapid diagnosis promotes treatment (calculated
antibiotic therapy) and improves the survival rate. And in the course the review
of the VAP diagnosis of unnecessary antibiotics reduces the resistance
development in that area and also the selection pressure.
PMID- 21894588
TI - [ICU delirium: Consequences for management of analgesia and sedation in the
critically ill].
AB - Monitoring and protocolized management for analgesia, sedation and delirium are
key indicators for an evidence-based treatment of critically ill patients.
Through the dissemination of these guidelines in 2006, use of monitoring was
shown to have improved from 8 to 51% and the use of protocol-based approaches
increased to 46% (from 21%). From 2006-2009, the existing guidelines from the
DGAI (Deutsche Gesellschaft fur Anasthesiologie und Intensivmedizin) and DIVI
(Deutsche Interdisziplinare Vereinigung fur Intensiv- und Notfallmedizin) were
developed into 3rd Generation Guidelines for the securing and optimization of
quality of analgesia, sedation and delirium management in the intensive care unit
(ICU). In collaboration with another 10 professional societies, the literature
has been reviewed using the criteria of the Oxford Center of Evidence Based
Medicine. Using data from 671 reference works, text, diagrams and recommendations
were drawn up. The new 3rd Generation Guideline now includes evidence and
consensus-based recommendations for the management of delirium in the intensive
care unit.
PMID- 21894589
TI - [Malaria in anaesthesia and critical care].
PMID- 21894590
TI - [Hygiene specialists].
AB - In 2009 the Commission for Hospital Hygiene and Prevention of Infections (CHHPI)
at the Robert Koch Institute (RKI) published recommendations on the personnel and
organisational prerequisites for the prevention of nosocomial infections.
Emphasis was placed on the tasks of all members of professional groups who belong
to or work closely with a team of hygiene specialists in an institution for
outpatient or inpatient medical care. Since these recommendations have not yet
been adequately implemented and because of the repeated occurrence of hygiene
deficits in the health-care services the legislature has been forced to pass a
new law on hospital hygiene. This law requires the managers of hospitals and
other medical facilities to avoid nosocomial infections and to abide by the
recommendations of CHHPI and RKI. The already existing shortage of hygiene
specialists, and especially of hospital hygiene specialists, is thereby further
intensified. Thus there are initiatives to provide physicians working in
hospitals with further training in hygiene so that they can take over the
functions of a hospital hygiene specialist.
PMID- 21894591
TI - [Airway management in the 21st century--old problems without solutions?].
PMID- 21894592
TI - [Airwaymanagement: video-assisted airway management].
AB - Endotracheal intubation remains the "goldstandard" in airway management. If with
use of conventional techniques intubation of the patient fails, or if an
anticipated difficult airway is present, video-assisted techniques may help to
increase intubation success. Video-assisted techniques give the possibility to
indirectly visualise the laryngeal structures with fibreoptical or camerachip
technique, and to display the videopicture on an external or integrated monitor.
For the anticipated difficult airway, awake flexible fibreoptical intubation
still is the first choice. However, if Oxygenation and Ventilation can be
established with bag-mask ventilation or supraglottic airways, the use of an
endoscopic optical stylet or a videolaryngoscope may be alternatives. If the
algorithm for the unanticipated difficult airway can be safely administered, the
latter techniques may also be used as emergency intubation devices.
PMID- 21894593
TI - [Supraglottic airway devices].
AB - Supraglottic airway devices are developed with increasing frequency following the
overwhelming success of the laryngeal mask airway (LMA). In contrast to the first
generation devices such as the 'classic' LMA and the laryngeal tube second
generation devices usually offer an oesophageal drainage tube and/or an improved
oropharyngeal leak pressure during positive pressure ventilation such as the
laryngeal mask ProSeal and the laryngeal tube S. Recently the disposable versions
of these supraglottic airway devices and the novel I-Gel mask have gained
increasing interest. Both the LMA and the PLMA have been shown to be perfectly
suitable for routine anaesthesia and emergency airway management. While the
lacking protection against aspiration is still considered a major limitation of
the LMA, the value of airway devices with an oesophageal drainage tube in this
respect remains untermined at present.
PMID- 21894594
TI - [Invasive airway management update 2011].
AB - Invasive airway management techniques are still challenging even for skilled
anesthesiologists, intensivists and emergency physicians. All current
percutaneous tracheostomy techniques are based on Seldinger's principle. Using
the recent introduced Blue Dolphin Kit is feasible and safe, but without clear
advantage compared to other kits. There is no data available to generally support
performing early (<8 days) tracheostomy in intensive care patients requiring
mechanical ventilation. Experimental data show promising results of supporting
expiration through a small bore catheter using ejectors based on Bernoulli's
principle in terms of minimizing risk of barotrauma during emergency
transtracheal high frequency jet ventilation.
PMID- 21894595
TI - [Experience in practice. The use of modern media in pediatric anesthesia].
PMID- 21894596
TI - Treatment of chronic occlusions of the iliac or femoropopliteal arteries with
mechanical rotational catheters.
AB - PURPOSE: The main problem with treating chronic lesions with percutaneous
transluminal angioplasty (PTA) or stent implantation is the relatively high
restenosis rate. The objective of this prospective single-center study was to
evaluate a mechanical rotational catheter (Straub Rotarex(r)) for its safety and
efficacy in the treatment of chronic vascular occlusions. MATERIALS AND METHODS:
There were 40 patients with a mean age of 67.3 +/- 7.6 years (51 - 86) treated by
means of the Rotarex(r) catheter. All patients had chronic occlusions (> 6
months) of the iliac (n = 4) or femoropopliteal arteries (n = 36). The
preinterventional Rutherford stage was on average 3.15 (2 - 5). The mean lesion
length was 12.3 cm (2 - 24 cm). The ankle-brachial index (ABI) was determined
prior to and after the intervention, as well as after 12 months. An additional
follow-up was performed using color-coded duplex sonography. RESULTS: The
technical success rate was 100 %. In 27 patients (67.5 %), adjunctive balloon
dilation was performed, and 7 (17.5 %) patients required a stent implantation.
Clinically, there was an increase in the ankle-brachial index from 0.57 +/- 0.15
to 0.82 +/- 0.16 post-interventionally. After 12 months, it was 0.80 +/- 0.12,
and the average Rutherford stage fell to 1.65 (1 - 3). During the follow-up
observation period, there were 9 (22.5 %) restenoses. Two dissections after
balloon dilatation were recorded as peri-interventional complications. No distal
embolizations were observed. There were no amputations or deaths during the
entire period of the study. CONCLUSION: The atherectomy of lesions of the iliac
and femoropopliteal arteries using the Rotarex(r) system is safe and effective.
The low rate of peri-interventional stenting and the low rate of restenosis at 12
months appear to be promising.
PMID- 21894597
TI - [Treatment of in-stent reocclusions of femoropopliteal arteries with mechanical
rotational catheters].
AB - PURPOSE: The main problem with the treatment of arterial stenoses by percutaneous
transluminal angioplasty (PTA) or stent implantation is the relatively high
restenosis rate. The objective of this prospective single-center study was to
evaluate a mechanical rotational catheter (Straub Rotarex(r)) for its safety and
efficacy in the treatment of in-stent reocclusions. MATERIALS AND METHODS: 78
patients with a mean age of 64.2 +/- 8.3 years (42 - 85) were treated by means of
the Rotarex(r) catheter. All patients had in-stent reocclusions of the
femoropopliteal arteries. The preinterventional Rutherford stage was on average
3.36 (2 - 5). The mean lesion length was 14.7 cm (6 - 30 cm). The ankle-brachial
index (ABI) was determined prior to and after the intervention, as well as after
12 months. An additional follow-up was performed using color-coded duplex
sonography. RESULTS: The technical success rate was 97.4 % (76 / 78). In 52 / 76
patients (68.4 %), adjunctive balloon dilation was performed, and 8 / 76 (10.5 %)
patients required a stent implantation. Clinically, there was an increase in the
ankle-brachial index from 0.61 +/- 0.17 to 0.85 +/- 0.15 post-interventionally.
After 12 months, it was 0.78 +/- 0.16, and the average Rutherford stage fell to
1.65 (1 - 3). During the follow-up observation period, there were 14 (18.4 %)
restenoses. Two dissections after Rotarex were recorded as peri-interventional
complications. No distal embolizations were observed. There were no amputations
or deaths during the entire period of the study. CONCLUSION: The recanalization
of in-stent reocclusions of femoropopliteal arteries using the Rotarex(r) system
is safe and effective. The low rate of restenosis at 12 months appears to be
promising.
PMID- 21894598
TI - [Case report: an observation of free air in the breast].
PMID- 21894599
TI - Contrast-enhanced ultrasonography for the detection of joint vascularity in
arthritis--subjective grading versus computer-aided objective quantification.
AB - PURPOSE: To compare joint inflammation assessment using subjective grading of
power Doppler ultrasonography (PDUS) and contrast-enhanced ultrasonography (CEUS)
versus computer-aided objective CEUS quantification. MATERIALS AND METHODS: 37
joints of 28 patients with arthritis of different etiologies underwent B-mode
ultrasonography, PDUS, and CEUS using a second-generation contrast agent.
Synovial thickness, extent of vascularized pannus and intensity of
vascularization were included in a 4-point PDUS and CEUS grading system.
Subjective CEUS and PDUS scores were compared to computer-aided objective CEUS
quantification using Qontrast(r) software for the calculation of the signal
intensity (SI) and the ratio of SI for contrast enhancement. RESULTS: The
interobserver agreement for subjective scoring was good to excellent (kappa = 0.8
- 1.0; P < 0.0001). Computer-aided objective CEUS quantification correlated
statistically significantly with subjective CEUS (P < 0.001) and PDUS grading (P
< 0.05). The Qontrast(r) SI ratio correlated with subjective CEUS (P < 0.02) and
PDUS grading (P < 0.03). Clinical activity did not correlate with vascularity or
synovial thickening (P = N. S.) and no correlation between synovial thickening
and vascularity extent could be found, neither using PDUS nor CEUS (P = N. S.).
CONCLUSION: Both subjective CEUS grading and objective CEUS quantification are
valuable for assessing joint vascularity in arthritis and computer-aided CEUS
quantification may be a suitable objective tool for therapy follow-up in
arthritis.
PMID- 21894600
TI - Quality indicators for the development and didactics of ultrasound courses in
continuing medical education.
AB - PURPOSE: Based on evaluation data from participant feedback, a concept was to be
developed for introductory abdominal ultrasound courses lasting several days.
This approach was to be developed incrementally with the intent of maximizing the
learning effect per time. MATERIALS AND METHODS: This concept has been modified
annually over several years based on the findings of educational research and the
scores on final examinations in OSCE format. It has been modified with the aid of
detailed questionnaires completed by approximately 2000 participating physicians
and has thus undergone incremental optimization. RESULTS: Analysis of the most
recent 1005 questionnaires has shown that participants recommend a modular course
design with only brief lectures on theory (average optimal duration of 20 min.,
SD 9.6 min.). These should alternate with longer practical "hands-on" ultrasound
exercises (60 - 90 min., accounting for at least 50 - 60 % of the course time),
consolidating drawing exercises, and breaks. 51 % of the physicians specified 5
participants as the ideal group size for practical exercises, while 43 %
specified only 4. The discussion presents 10 specific quality indicators for
efficient ultrasound courses. It elucidates the feasibility and logistical
prerequisites of this model, and compares it with other basic course concepts.
Furthermore, this article presents a model for an evaluation covering the course
concept and tutors as well as discussing a training program for tutors including
a cost analysis. CONCLUSION: In summary, the participants estimate the course
design to represent a mature concept that has demonstrated its feasibility and
broad acceptance among physicians in CME.
PMID- 21894601
TI - Letter to the editor: Ewertsen et al. Characterization by biopsy or CEUS of liver
lesions guided by image fusion between ultrasonography and CT, PET/CT or MRI.
PMID- 21894602
TI - Three cases of stroke in patients with atypical presentation of type a aortic
dissection--potential of neurosonography in the early diagnosis of atypical
stroke.
PMID- 21894603
TI - [Profile of neurovascular emergencies in a tertiary care hospital: evolution over
the period 2001-2008].
AB - INTRODUCTION: Acute cerebrovascular disease, or stroke, is one of the most
prevalent pathologies in Europe and is a very common reason for visits to the
emergency services of a tertiary care hospital. AIM. To explore the types of
emergency neurovascular pathology that are treated at the hospital and their
development and impact over an eight-year period. PATIENTS AND METHODS: The
prospective study included 11,254 consecutive patients with acute stroke. The
following variables were reported: demographic data, type of stroke, first
stroke, need for hospital admission, fibrinolysis, mean stay and mortality rate.
RESULTS: Between January 2001 and December 2008, an average of four patients were
treated per day, with a predominance of males (53.6%) and a mean age of 71.8 +/-
13.8 years, which was five years higher in females (p < 0.001). Ischaemic stroke
represented 84.6% of the total number and haemorrhagic stroke accounted for the
remaining 15.4%. Throughout the eight years of the study, there was seen to be an
increase in the number of non-lacunar strokes (44-49%; p < 0.05), intracerebral
haemorrhage (13.7-16.4%; not significant), first stroke (63.5-74.6%; p < 0.05)
and fibrinolysis (6.2-13.5%; p < 0.001). The number of strokes in young patients
increased (47.5%), at the expense of ischaemic stroke (p = 0.002) and males (p =
0.023). In contrast, there was a decrease in the number of transient ischaemic
attacks (18.9-11.9%; p < 0.05), the need to be admitted to hospital (56-49.3%; p
< 0.05), mean length of stay and mortality. CONCLUSIONS: Advances in the
management of stroke in recent years are associated to a decrease in the need for
admission to hospital, mortality, and mean length of stay in hospital, together
with a change in the clinical profile of the patients treated in emergency
departments. The increase in the number of cases of strokes among young people is
something that health care systems should take note of.
PMID- 21894604
TI - [Differential effects of dual tasks on emotional processing in non-medicated
patients with Parkinson's disease].
AB - INTRODUCTION: Previous research has shown that correct identification of
emotional facial expressions (EFE) depends on the cognitive resources that are
available. In this study, we examine whether the capacity to identify EFE in a
dual task paradigm is affected in Parkinson's disease (PD). AIM: To investigate
the interference generated by introducing a secondary task in EFE processing
during the encoding and recovery of the facial expression in non-medicated PD
patients. SUBJECTS AND METHODS: A total of 14 patients with de novo PD and 28
healthy adults identified 24 EFE under two conditions: simultaneous encoding
along with a secondary task and introduction of the secondary task between the
time that spans the encoding of the primary task and the response time latency.
RESULTS: Results showed that identification of EFE by patients with PD was
significantly worse than by healthy adults in the simultaneous encoding
condition. In contrast, no differences were found when the interference of the
secondary task took place in the phase involving recovery of information of the
primary task. CONCLUSIONS: Patients with PD only display specific deficits in
processing EFE when the task consumes high levels of the resources required for
divided attention, as occurs in everyday situations.
PMID- 21894605
TI - [A critical analysis of neuroimaging studies in relation to cognitive performance
in multiple sclerosis patients].
AB - Studying the cognitive impairment of multiple sclerosis (MS) patients is
fundamental for a global understanding of this disease. Neuroimaging techniques
might provide crucial data about the nature of this deficit and their
progression. Accordingly to this idea, over the last years there has been a
marked increase in the number of studies devoted to explore the possible
relationship between the cognitive alterations of this clinical population and
different sorts of neuropathological indexes provided by both, classical as well
as by more recently developed techniques. The results of the studies using
structural information provided by structural techniques, have revealed the
important role of atrophic processes in the aethiology of cognitive decline in MS
patients. However, this information needs to be complemented with newer indexes
of neuropathological alterations in 'apparently normal' gray and white matter. On
the other hand, functional magnetic resonance imaging studies have provided clear
evidence of the existence and functional significance of neuroplastic processes
that can mask the relationship between morphological markers of tissue damage and
cognitive performance of MS patients. Those neuroplastic processes need to be
taken into account as they might compensate the cognitive decline of this
clinical population. In summary, the present review tries to provide a critic and
integrative view of different studies assessing the relationship between
cognitive impairment in MS patients and different kinds of information provided
by neuroimaging techniques.
PMID- 21894606
TI - [Brachial arterial flow mediated dilation: utility in clinical and experimental
practice].
AB - Brachial artery flow mediated dilation (FMD) is a validated, noninvasive
physiological measure widely used as a research tool to quantify endothelial
function. FMD is diminished in patients with several coronary risk factors,
coronary artery disease, peripheral arterial disease, stroke, and is an
independent predictor of cardiovascular events. FMD represents a useful method
for identify asymptomatic atherosclerotic subjects with raised risk of developing
atherothrombotic complications and improves with risk-reduction therapy such as
antihypertensive or antidiabetic drugs, antiplatelet agents and statins. FMD
could be a great usefulness in ischemic stroke such as stroke subtypes
classification, prognostic significance in acute phase, and independent predictor
for new-onset vascular event after first-ever stroke, but also in other disease
such as cerebral haemorrhage, migraine or syncope. In this review article,
brachial artery FMD and its role in experimental and clinical practice is
extensively discussed.
PMID- 21894607
TI - [White matter in developmental disorders].
AB - The white matter is the main connection between different regions of the brain
and helps them to work in a unified, coordinated way. Diffusion tensor imaging is
an ideal technique with which to study it in order to detect the degree of
integrity of these fibres. Nowadays, they are considered to play a significant
role in the development and pathophysiology of different developmental disorders,
and the aim of this study was to examine this role. On reviewing disorders such
as autism, dyslexia or attention deficit hyperactivity disorder, certain fibres
were found to be clearly involved. This was especially the case of the (arcuate)
superior longitudinal fasciculus and the temporal-parietal network (related with
the regulation of motor and attentional behaviour), the corpus callosum (which
ensures the efficient and swift exchange of information between the hemispheres
of the brain) and cingulate regions (which would be related with social cognition
and self-consciousness).
PMID- 21894609
TI - [Natural history of spontaneous bilateral carotid dissection with four associated
pseudoaneurysms].
PMID- 21894610
TI - [Numb chin syndrome secondary to an osteoblastic osteosarcoma].
PMID- 21894611
TI - [Long live neuropsychology!].
PMID- 21894612
TI - Chinese Clinical Trial Registry: mission, responsibility and operation.
AB - As a national public clinical trial registry and a recognized Primary Registry of
the WHO ICTRP, the Chinese Clinical Trial Registry (ChiCTR) has responsibility to
disseminate knowledge about clinical trial transparency, which is an important
ethical issue for healthcare studies involving humans, and to promote the quality
of healthcare studies in China. This article describes the mission, policy and
operation of the ChiCTR. We discuss the need to improve the quality of clinical
trials and our ideas for new developments. The registration of clinical trials is
an ethical responsibility and obligation for researchers. A clinical study is a
public event itself, which needs the participation of the public, and its results
should also be seen as a service to the public. Therefore, the public have the
right to know how a study is progressing.
PMID- 21894613
TI - The ISRCTN Register: achievements and challenges 8 years on.
AB - The ISRCTN register has been operational for the past 8 years and is approaching
10,000 trial records. It complies with international guidelines and pools its
data in the International Trial Search Portal initiated by the World Health
Organisation. Through its ongoing collaboration with the Department of Health in
England, the register has been able to participate in a national initiative
aiming to bring clinical trials to the attention of a wider public with the
objective of maximising participation. As part of BioMed Central, the register
provides the first step in the concept of threaded publications, enabling the
tracking of clinical research studies from inception and the linking of all
resulting publications including the raw data where this is available.
PMID- 21894614
TI - Growing everyday: the Pan African Clinical Trials Registry.
AB - The Pan African Clinical Trial Registry (http://www.pactr.org), established as
the AIDS, Tuberculosis and Malaria Registry (ATM) has developed into the registry
of choice for African trials. The register was formally launched as a World
Health Organization primary register in September 2009. Data analysis shows that
registry applications have increased steadily since then, as has representation
throughout the region. New developments to the registry include the
implementation of a real-time Global Information Systems map on the portal and
development of Standard Operating Procedures while focused efforts on
dissemination continue. http://www.pactr.org is now well-placed to provide a
valuable resource for researchers, clinicians, policy-makers and consumers on the
continent.
PMID- 21894615
TI - The Cuban Public Registry of Clinical Trials: primary registry of World Health
Organization.
AB - Despite the importance of randomized trials for well-informed decisions in health
care, a large proportion of the results of these trials are not published,
especially when they are negative. Publication bias has a negative impact in the
treatment of patients, due to the distorted impression it leads to for new
therapies. Clinical Trial Registries help to overcome this by providing
transparency in the process of conducting research. The World Health Organization
takes the position that the registration of interventional trials is a
scientific, ethical and moral responsibility. Taking account of this global
movement, the National Coordinating Centre of Clinical Trials and the Cuban
Health Network, designed and implemented the Cuban Public Registry of Clinical
Trials. The Registry was established in June 2007 and is intended for public
service in Cuban territory, although it is open to registrants and patients
outside Cuba. The Registry staff developed a strategy to become a Primary
Registry of the World Health Organization, and this was achieved in February
2011.
PMID- 21894616
TI - The Sri Lanka Clinical Trials Registry--moving forward.
AB - The Sri Lanka Clinical Trials Registry (SLCTR) is a Primary Registry in the
Registry Network of the World Health Organization's International Clinical Trials
Registry Platform (WHO-ICTRP), and regularly feeds data to its Clinical Trials
Search Portal. Over the last few years, the SLCTR has been able to achieve its
original objective of providing a national trial register for Sri Lankan
researchers, but its role has always been more than that of a mere storehouse of
trial data. The research landscape is rapidly changing in Sri Lanka, and the
SLCTR has been a key stimulus to a resurgent interest in clinical research among
the Sri Lankan research community. The SLCTR is working together with its partner
stakeholders to facilitate research in the country, and to ensure that clinical
trials conducted in Sri Lanka meet the highest ethical and scientific standards.
PMID- 21894617
TI - Smoke and mirrors. By Caveman.
PMID- 21894618
TI - The Golden State of healthcare reform: ethnoeconomic origins of outcome
imbalance.
PMID- 21894619
TI - Inclusive trauma systems must embody appropriate triage guidelines.
PMID- 21894620
TI - Life, liberty, and the pursuit of HAIs.
PMID- 21894621
TI - To band or to bypass, that is the question.
PMID- 21894622
TI - Just as the twig is bent, the tree's inclined: lessons from the future of
surgical education.
PMID- 21894623
TI - Just because you can doesn't mean you should...because many of us really can't!
PMID- 21894624
TI - Great statistics and the real world.
PMID- 21894625
TI - Colloidal synthesis of ultrathin two-dimensional semiconductor nanocrystals.
AB - 2D semiconductor quantum wells have been recognized as potential candidates for
various quantum devices. In quantum wells, electrons and holes are spatially
confined within a finite thickness and freely move in 2D space. Much effort has
focused on shape control of colloidal semiconductor nanocrystals(NCs), and
synthesis of 2D colloidal NCs has been achieved very recently. Here, recent
advances in colloidal synthesis of uniform and ultrathin 2D CdSeNCs are
highlighted. Structural and optical property characterization of these quantum
sized 2D CdSe NCs is discussed. Additionally, 2D CdSe NCs doped with Mn 2+ ions
for dilute magnetic semiconductors (DMS) are presented.These 2D CdSe-based NCs
can be used as model systems for studying quantum-well structures.
PMID- 21894626
TI - What is the value of professional opinion? Comment.
PMID- 21894627
TI - Paraphenylene diamine poisoning.
PMID- 21894628
TI - Florida: Second thoughts.
PMID- 21894630
TI - Multi-household farming system in a northeastern Thai village: its transformation
during economic development.
AB - Farming households with close kinship ties in northeast Thailand have long been
practising joint utilization of farmland. They used this multihousehold farming
method even more actively to cope with the macroeconomic development beginning in
the 1960s. A 1989 survey of a village by the author found more than one-third of
farmland under such communal use. However, the share decreased drastically by
2000, Economic growth has reached a point where farmers have to discard the
communal system and introduce a market system of land utilization, such as
sharecropping even between households of close kin.
PMID- 21894629
TI - When push comes to shove: sites of vulnerability, personal transformation, and
trafficked women's migration decisions.
AB - Discussions of the push and pull factors behind trafficked women's decisions to
migrate abroad for tenuous work opportunities in the "entertainment" sector tend
to variously privilege poverty, familial obligations, and, more recently,
personal opportunism. This reinforces more general observations about motivations
for "Third World" women who migrate to more developed regions globally. Although
these factors are indeed important, the author's research has revealed the
relevance of other explanations for migration decisions, including the prevalence
of domestic violence, family dissolution, and escape from personal circumstances,
which are themselves products of low self-esteem and sense of self-worth.
PMID- 21894631
TI - A game of three monkeys: Kadazan Dusun villagers and violence against women.
AB - Based on detailed and long-term anthropological research among rural Kadazans,
the paper sets out the social history of domestic violence in one Sabah village.
In more than 30 per cent of the households, there is a woman who has experienced
repeated spousal abuse during her life. Adding those men who abused earlier
spouses, and adults who lived through the abuse of their mothers in childhood, it
is clear that violence is and has long been part of everyday - yet secret -
village experience. For various reasons, researchers appear to have colluded in
ignoring the issue. To help those women and their children whose lives are
blighted by fear and fearful memories, it would be wise to assume domestic
violence is as present in rural as in urban settings.
PMID- 21894632
TI - The socio-economic impacts of rice policies implementation in rural
Burma/Myanmar.
AB - This paper, focusing on two rice-farming villages where the Burmese government
has experimented with a variety of agricultural programmes, explores the problems
associated with rice implementation processes. In particular it looks at the
basic structure and operation of agricultural administration - salary and income
of government officials, the predominance of military officers in civilian
ministries and departments, the creation of departments with overlapping
responsibilities, and the hierarchical structure of the Agricultural Ministry -
and highlight their limitations. It provides another aspect to evaluating the
roots of poverty among average rice farmers.
PMID- 21894635
TI - "Not intended to dispossess females": Southern women and Civil War amnesty.
PMID- 21894636
TI - The cyclic nucleotides.
PMID- 21894637
TI - Using a trauma-informed framework to care for incarcerated women.
AB - Available health and social services in women's correctional facilities often do
not consider the interrelationship of gender, trauma, and mental illness. As a
result, preexisting health issues are often exacerbated, leaving women to reenter
their communities with more complex health needs. We propose that a trauma
informed framework can be used to guide clinical interactions with female
inmates. A case study is presented as an example of proposed best clinical
practice. Future trauma-related practice implications are provided.
PMID- 21894638
TI - Adrenergic receptors. Preface.
PMID- 21894639
TI - Cell boundary and intracellular space. Preface.
PMID- 21894640
TI - Klaus Kurtenbach--a tribute to his life.
PMID- 21894641
TI - Structural characteristics correlate with immune responses induced by HIV
envelope glycoprotein vaccines.
AB - HIV envelope glycoprotein (Env) is the target for inducing neutralizing
antibodies. Env is present on the virus surface as a trimer, and, upon binding to
CD4, a cascade of events leads to structural rearrangement exposing the co
receptor binding site and entry into the CD4+ host target cells. We have designed
monomeric and trimeric Env constructs with and without deletion of the variable
loop 2 (DeltaV2) from SF162, a subtype B primary isolate, and performed
biophysical, biochemical and immunological studies to establish a potential
structure-functional relationship. We expressed these Envs in CHO cells, purified
the proteins to homogeneity and performed biophysical studies to define the
binding properties to CD4, structural characteristics and exposure of epitopes
recognized by b12 and CD4i mAb (17B) on both full-length and mutant HIV Env
proteins. Parameters evaluated include oligomerization state, number and affinity
of CD4 binding sites, enthalpy and entropy of the Env-CD4 interaction and
affinity for b12 and 17b mAbs. We observed one CD4 binding site per monomer and
three active CD4 binding sites per trimer. A40-fold difference in affinity of the
gp120 monomer vs. the o-gp140 trimer towards CD4 was observed (Kd = 58 nM and 1.5
nM, respectively),whereas only a 2-fold difference was observed for the V2
deleted Envs (Kd of gp120DeltaV2 = 19 nM, Kd of o-gp140DV2 = 9.3 nM). Monomers
had 3-fold higher affinity to the mAb 17b and at least 3-fold weaker affinity to
b12 compared to trimers, with gp120DV2 having the weakest affinity for b12 (Kd =
446 nM). Affinity of CD4 binding correlated with proportion of the antibodies
induced against the conformational epitopes by the corresponding Envs, and
changes in mAb binding correlated with the induction of antibodies directed
against linear epitopes. Furthermore,biophysical analysis reveals that the V2
deletion has broad structural implications in the monomer not shared by the
trimer, and these changes are reflected in the quality of the immune responses
induced in rabbits. These data suggest that biophysical characteristics of HIV
Env, such as affinity for CD4, and exposure of important neutralizing epitopes,
such as those recognized by b12 mAb, may be important predictors of its in vivo
efficacy and may serve as important surrogate markers for screening Env
structures as potential vaccine candidates.
PMID- 21894642
TI - [Ethical dilemmas in the medical practice in 2010: reflexions around the results
of a survey to 10,000 physicians].
PMID- 21894643
TI - General Halleck's itchy elbows.
AB - General Henry Wager Halleck served as general-in-chief and chief of staff of the
Federal army from 1862-1865. Unpopular with troops as well as with many members
of the Lincoln administration, he was a particular source of irritation to
Secretary of Navy Gideon Welles. In his posthumously-published diary of the war
years, Welles viciously criticized Halleck for his failings as army commander.
Welles also derided Halleck's personality and personal appearance. Welles found
the general's habitual elbow-scratching annoying enough to mention it in five
separate diary entries. This depiction of Halleck ceaselessly, nervously
scratching his elbows has entered Civil War folklore. Why did Halleck scratch his
elbows? Two possibilities exist. Either he did so compulsively, as a nervous
habit, or he was scratching an itch. In this paper, I present a speculative
argument that Halleck may have had a skin disease, possibly atopic dermatitis,
and that this may have contributed to or have been the sole cause of his elbow
scratching.
PMID- 21894644
TI - Life of a contract surgeon.
PMID- 21894645
TI - The eye disease of Jefferson Davis (1808-1889).
AB - The only Confederate president, Jefferson Davis, led a long and eventful life. He
was a Mississippi planter, a husband, a father, West Point graduate, war hero,
congressman, senator, secretary of war, and finally President of the Confederate
States of America. In many ways he was a study of contrast with his northern
counterpart Abraham Lincoln. Davis was personally courageous and a rich,
educated, southern aristocrat who did not deeply understand the political process
or have the refined personal skills necessary to work well with others. Prior to
his Presidency he served with distinction in two wars, but as a result of his
confederate activity and pro-slavery philosophy he is one of the least discussed
famous Americans. Davis's health was a constant problem and he suffered an almost
fatal attack of 'malaria' in 1836. In the winter of 1857-1858, he again was
seriously ill and by the end of February 1858, a chronic, relapsing, ocular
inflammatory condition began. Using historical evidence from multiple sources,
this paper will propose a diagnosis of the Confederate President's ocular
condition and consider how this could have influenced his military and political
decisions.
PMID- 21894647
TI - The "Building Parson": the role of Reverend David Hall in the solution of
Ireland's early twentieth-century housing problems.
PMID- 21894648
TI - Medicare program; hospital inpatient prospective payment systems for acute care
hospitals and the long-term care hospital prospective payment system and FY 2012
rates; hospitals' FTE resident caps for graduate medical education payment. Final
rules.
AB - We are revising the Medicare hospital inpatient prospective payment systems
(IPPS) for operating and capital-related costs of acute care hospitals to
implement changes arising from our continuing experience with these systems and
to implement certain statutory provisions contained in the Patient Protection and
Affordable Care Act and the Health Care and Education Reconciliation Act of 2010
(collectively known as the Affordable Care Act) and other legislation. We also
are setting forth the update to the rate-of-increase limits for certain hospitals
excluded from the IPPS that are paid on a reasonable cost basis subject to these
limits. We are updating the payment policy and the annual payment rates for the
Medicare prospective payment system (PPS) for inpatient hospital services
provided by long-term care hospitals (LTCHs) and implementing certain statutory
changes made by the Affordable Care Act. In addition, we are finalizing an
interim final rule with comment period that implements section 203 of the
Medicare and Medicaid Extenders Act of 2010 relating to the treatment of teaching
hospitals that are members of the same Medicare graduate medical education
affiliated groups for the purpose of determining possible full-time equivalent
(FTE) resident cap reductions.
PMID- 21894646
TI - Uric acid and xanthine oxidase: future therapeutic targets in the prevention of
cardiovascular disease?
AB - Serum uric acid may be an independent risk factor for cardiovascular disease.
This review examines this association, potential mechanisms, and explores whether
strategies to reduce uric acid will improve outcomes. The recent studies of
xanthine oxidase inhibition are given particular focus. Epidemiological evidence
supports the theory that uric acid is an independent risk factor for
cardiovascular disease. Recent studies of losartan, atorvastatin and fenofibrate
suggest that uric acid reduction contributes to the risk reduction offered by
these therapies. Several small studies of xanthine oxidase inhibition have shown
improvements in measures of cardiovascular function of a similar magnitude to
that of other proven preventative treatments. These trial data and the convincing
epidemiological evidence mandate that large clinical trials of uric acid-lowering
strategies are performed in patients with or at high risk of cardiovascular
disease. If such approaches are shown to be effective in reducing cardiovascular
events, they would represent a novel and cost-effective preventative approach.
PMID- 21894650
TI - The tiger stirring: aspects of commuting in the Republic of Ireland, 1981-1996.
PMID- 21894651
TI - Medical devices; ophthalmic devices; classification of the eyelid thermal
pulsation system. Final rule.
AB - The Food and Drug Administration (FDA) is classifying the eyelid thermal
pulsation system into class II (special controls). The Agency is classifying the
device into class II (special controls) in order to provide a reasonable
assurance of safety and effectiveness of the device.
PMID- 21894652
TI - "Troops of largely diseased women": VD, the contagious diseases acts and moral
policing in late nineteenth-century Ireland.
PMID- 21894653
TI - Technical revisions to conform to the Caregivers and Veterans Omnibus Health
Services Act of 2010. Final rule.
AB - This final rule amends Department of Veterans Affairs (VA) medical regulations to
incorporate statutory amendments. Certain statutes authorizing VA health care
benefits were amended by the Caregivers and Veterans Omnibus Health Services Act
of 2010. The statutory amendments affect enrollment in certain health care
priority categories and exempt catastrophically disabled veterans from copayment
requirements.
PMID- 21894654
TI - Expansion of state home care for parents of a child who died while serving in the
armed forces. Final rule.
AB - This document amends Department of Veterans Affairs (VA) regulations concerning
the payment of per diem to a State for providing nursing home care to eligible
veterans. The amendments remove a restriction on VA's payment of per diem, which
required all non-veteran residents of a State home to be spouses of veterans, or
parents of veterans all of whose children died while serving in the Armed Forces
of the United States. Under this final rule, non-veteran residents of the State
home must be spouses of veterans, or parents of veterans any of whose children
died while serving in the Armed Forces.
PMID- 21894656
TI - Urban social movements and housing in Hong Kong: from antagonism to guided
participation.
PMID- 21894657
TI - Health care for homeless veterans. Final rule.
AB - This final rule establishes regulations for contracting with community-based
treatment facilities in the Health Care for Homeless Veterans (HCHV) program of
the Department of Veterans Affairs (VA). The HCHV program assists certain
homeless veterans in obtaining treatment from non-VA community-based providers.
The final rule formalizes VA's policies and procedures in connection with this
program and clarifies that veterans with substance use disorders may qualify for
the program.
PMID- 21894658
TI - International Conference on Harmonisation; Guidance on E2F Development Safety
Update Report; availability. Notice.
AB - The Food and Drug Administration (FDA) is announcing the availability of a
guidance entitled "E2F Development Safety Update Report." The guidance was
prepared under the auspices of the International Conference on Harmonisation of
Technical Requirements for Registration of Pharmaceuticals for Human Use (ICH).
The guidance describes the format, content, and timing of a development safety
update report (DSUR) for an investigational drug. The DSUR will serve as a common
standard for periodic reporting on drugs under development (including marketed
drugs that are under further study) among the ICH regions. The DSUR can be
submitted in the United States in place of an annual report for an
investigational new drug application (IND). The harmonized DSUR is intended to
promote a consistent approach to annual clinical safety reporting among the ICH
regions and enhance efficiency by reducing the number of reports generated for
submission to the regulatory authorities.
PMID- 21894659
TI - Responsibility of applicants for promoting objectivity in research for which
public health service funding is sought and responsible prospective contractors.
Final rule.
AB - This final rule implements changes to the regulations on the Responsibility of
Applicants for Promoting Objectivity in Research for which Public Health Service
Funding is Sought and Responsible Prospective Contractors. Since the promulgation
of the regulations in 1995, biomedical and behavioral research and the resulting
interactions among government, research Institutions, and the private sector have
become increasingly complex. This complexity, as well as a need to strengthen
accountability, led to changes that expand and add transparency to Investigators'
disclosure of Significant Financial Interests (SFIs), enhance regulatory
compliance and effective institutional oversight and management of Investigators'
financial conflicts of interests, as well as increase the Department of Health
and Human Services' (HHS) compliance oversight.
PMID- 21894660
TI - Medicare program; Medicare Advantage and prescription drug benefit programs.
Final rule.
AB - This final rule finalizes revisions to the regulations governing the Medicare
Advantage (MA) program (Part C), prescription drug benefit program (Part D) and
section 1876 cost plans including conforming changes to the MA regulations to
implement statutory requirements regarding special needs plans (SNPs), private
fee-for-service plans (PFFS), regional preferred provider organizations (RPPO)
plans, and Medicare medical savings accounts (MSA) plans, cost-sharing for dual
eligible enrollees in the MA program and prescription drug pricing, coverage, and
payment processes in the Part D program, and requirements governing the marketing
of Part C and Part D plans.
PMID- 21894661
TI - Medicare program; changes to the electronic prescribing (eRx) incentive program.
Final rule.
AB - This final rule modifies the electronic prescribing (eRx) quality measure used
for certain reporting periods in calendar year (CY) 2011; provides additional
significant hardship exemption categories for eligible professionals and group
practices to request an exemption during 2011 for the 2012 eRx payment adjustment
due to a significant hardship; and extends the deadline for submitting requests
for consideration for the two significant hardship exemption categories for the
2012 eRx payment adjustment that were finalized in the CY 2011 Medicare Physician
Fee Schedule final rule with comment period.
PMID- 21894662
TI - New factors-new function.
PMID- 21894663
TI - Rate increase disclosure and review: definitions of "individual market" and
"small group market." Final rule.
AB - This final rule amends a May 23, 2011, final rule entitled "Rate Increase
Disclosure and Review". The final rule provided that, for purposes of rate review
only, definitions of "individual market" and "small group market" under State
rate filing laws would govern even if those definitions departed from the
definitions that otherwise apply under title XXVII of the Public Health Service
Act (PHS Act). The preamble to the final rule requested comments on whether this
policy should apply in cases in which State rate filing law definitions of
"individual market" and "small group market" exclude association insurance
policies that would be included in these definitions for other purposes under the
PHS Act. In response to comments, this final rule amends the definitions of
"individual market" and "small group market" that apply for rate review purposes
to include coverage sold to individuals and small groups through associations
even if the State does not include such coverage in its definitions of individual
and small group market. This final rule also updates standards for health
insurance issuers regarding disclosure and review of unreasonable premium
increases under section 2794 of the Public Health Service Act.
PMID- 21894664
TI - Nursing by numbers.
PMID- 21894665
TI - Nursing workforce faces decline in numbers on a 'shocking scale'.
PMID- 21894666
TI - RCN inundated with ideas that could save the NHS millions.
PMID- 21894667
TI - Trust ends set staffing levels and hands control to ward managers.
PMID- 21894668
TI - Foreign nurses may shun UK if their right to settle is removed.
PMID- 21894669
TI - The overseas visitors who leave an outstanding debt behind them.
PMID- 21894670
TI - Parkinson's care under threat.
PMID- 21894671
TI - The nature of nurture.
AB - The issues of research, credibility and regulation are addressed in the first of
six articles about complementary and alternative therapies.
PMID- 21894672
TI - Olympic-sized task.
AB - As medical services manager for the 2012 Olympic and Paralympic Games, nurse Pam
Venning has a huge task ahead, organising care for athletes, staff and the
public.
PMID- 21894673
TI - Pass the message.
AB - Babies who are born to young mothers, particularly those in disadvantaged groups,
are more likely to have adverse outcomes, including cot death. A novel scheme is
attempting to address the problem.
PMID- 21894674
TI - Non-diabetic hypoglycaemia: causes and pathophysiology.
AB - Hypoglycaemia has many possible causes, although similar symptoms in each case
can make diagnosis of the cause complex. Common early warning signs of
hypoglycaemia include blurred vision, dizziness, shakiness, sweating,
irritability, nausea and palpitations, progressing to confusion, syncope,
headache and disturbances of vision. Loss of consciousness and convulsions may
follow if corrective steps are not taken to increase blood glucose concentration.
In rare cases death may occur if blood glucose remains low for 12 hours or more,
as brain function is reduced and cannot be sustained. However, death from
hypoglycaemia is avoidable. Causes of non-diabetic hypoglycaemia are an under
reported area in the nursing and wider literature. This article aims to help
nursing staff recognise the types and causes of this condition.
PMID- 21894675
TI - NHS job applications: a guide for nursing students.
AB - For nursing students approaching the end of their training, securing a job,
possibly within the NHS, is the next step in their career. This article discusses
how an NHS job application should be completed. It outlines the most important
sections in a typical application form and explains what the potential employer
is looking for, offering advice on how nursing students can demonstrate that they
have the relevant skills, knowledge or experience. An awareness of current
professional nursing issues and how these might affect patient care is essential,
and particular areas of interest will be discussed.
PMID- 21894676
TI - Domestic violence.
PMID- 21894677
TI - Wound care for injecting drug users: part 1.
AB - Part one of this article focuses on the types of injection-related wounds that
may occur in the intravenous (IV) drug user. Strategies are identified to manage
the complex needs of this patient group and practical guidance about treatment is
provided to help promote good patient outcomes. The importance of nutrition in
promoting wound healing is outlined. Part two next week will discuss specifically
the assessment and management of leg ulcers in IV drug users.
PMID- 21894678
TI - Polyhexamethylene biguanide dressings in wound management.
AB - This article describes wound management using polyhexamethylene biguanide
dressings in two patients experiencing multiple comorbidities. Wound bed
debridement and bioburden management were important factors in achieving positive
results in terms of promoting wound healing and reducing infection and malodour.
PMID- 21894679
TI - Take part, get results.
PMID- 21894680
TI - Be one degree above the rest.
PMID- 21894681
TI - Exchange of ideas.
PMID- 21894682
TI - The platelet: uses in dentistry.
PMID- 21894683
TI - Platelet dyscrasias.
PMID- 21894684
TI - [Characteristics and superiorities of acupuncture medicine].
AB - Four characteristics and five major superiorities of traditional Chinese
acupuncture was expounded in this article. The four characteristics are (1)
theoretical characteristic which focuses on meridians, acupoints, circulation of
qi and blood; (2) effect mechanism of disease prevention and treatment based on
external stimulation and internal regulation; (3) clinical diagnosis with the
combination of differentiation of syndromes according to meridians and
collaterals, zang-fu organs, eight principles and diagnosis based on acupoints;
(4) technical characteristic of adoption of unique apparatus and special
manipulations. The five superiorities are (1) diagnosis: simple, rapid and
accurate; (2) technique: easy to study and manipulate; (3) therapeutic effect:
quick and obvious effect, extensive indications; (4) safety: non-toxic and less
side-effects; (5) cost: comparatively cheap. Thus, it is held that acupuncture
should play a more important role in medical service system with unique Chinese
characteristics.
PMID- 21894685
TI - [Pressing acupoint Danzhong (CV 17) for constipation].
PMID- 21894686
TI - [Randomized controlled study on rehabilitation of hemiplegia in cerebral
infarction at the early stage with acupuncture and moxibustion based on meridian
harmonization and zang-organ regulation].
AB - OBJECTIVE: To explore the better therapeutic method for the treatment of
hemiplegia in cerebral infarction. METHODS: One hundred and fifty cases were
randomized into a meridian-harmonization group (group A), a zang-organ regulation
group (group B) and a meridian-harmonization and zang-organ regulation group
(group C), 50 cases in each one. On the basis of conventional treatment, in group
A, the acupoints were selected along meridians, such as Hegu (LI 4), Taichong (LR
3), Jianyu (LI 15), Waiguan (TE 5), Huantiao (GB 30) and Yanglingquan (GB 34)ect.
In group B, the acupoints were selected in light of abdominal acupuncture such as
Zhongwan (CV 12), Xiawan (CV 10), Qihai (CV 6), Guanyuan (CV 4), Shangqu (KI 17,
healthy side) and Daheng (SP 15)etc. In group C, the acupoints in group A and
group B were selected in combination. Before and after treatment, all the
patients received the test of Barthel Index (BI) to assess the disability level
and the simple Fugl-Meyer Motor Scale (FMMS) for the evaluation of motor
function. RESULTS: After treatment, all the three groups presented the
significant improvement of BI, the down-regulation of disability rate and up
regulation of FMMS score, indicating significant differences in statistics as
compared with those before treatment (P < 0.05, P < 0.01). In group C, the
results of BI improvement, the down-regulation of disability rate and the
improvement of limb motor function were all superior to those in either group A
or group B (P < 0.05, P < 0.01). CONCLUSION: Acupuncture in light of meridian
harmonization and zang-organ regulation is the better approach for the early
stage rehabilitation of hemiplegia in cerebral infarction and its efficacy is
superior to that of either simple meridian harmonization therapy or zang-organ
regulation therapy.
PMID- 21894687
TI - [Effect on PGF2alpha in plasma in primary dysmenorrhea treated with eye
acupuncture].
AB - OBJECTIVE: To observe the clinical curative effect of primary dysmenorrhea and
the content of prostaglandin F2alpha (PGF2alpha) in peripheral blood of menstrual
periods treated with eye acupuncture therapy. METHODS: One hundred and ten cases
of primary dysmenorrhea were randomly divided into an eye acupuncture group (60
cases) and a medication group (50 cases). In eye acupuncture group, low energizer
area, liver area, kidney area, heart area and spleen area etc. were selected
according to the differentiation, and the eye acupuncture therapy was applied. In
medication group, Ibuprofen Sustained Release Capsules was taken with oral
administration for 300 mg 1-2 days before menses coming or when the symptoms
appeared, once every 12 hours, for 3-5 days totally. Three menstrual periods made
one session. The content of PGF2alpha in peripheral blood, and the curative
effects and recurrence 3 and 6 months after treatment were observed. RESULTS:
After 3 months treatment, compared with the curative effects between both groups,
the cured rate was 55.0% (33/60) and the total effective rate was 95.0% (57/60)
in eye acupuncture group, which were superior to those of 34.0% (17/50) and 82.0%
(41/50) in medication group (both P < 0.05). According to the following-up after
6 menstrual periods, the recurrence rate was 9.1% (3/33), inferior to that of
35.3% (6/11) in medication group. The contents of PGF2alpha in peripheral blood
of menstrual periods were all reduced after treatment in two groups (both P <
0.01). CONCLUSION: The curative effect of primary dysmenorrhea treated with eye
acupuncture is favorable, and the lever of PGF2alpha in peripheral blood of
menstrual periods is reduced.
PMID- 21894688
TI - [Effect of electroacupuncture on oocyte quality and pregnancy for patients with
PCOS undergoing in vitro fertilization and embryo transfervitro fertilization and
embryo transfer].
AB - OBJECTIVE: To observe the impacts of electroacupuncture (EA) on oocyte quality
and pregnant outcome for the patients with polycystic ovary syndrome (PCOS)
undergoing in vitro fertilization and embryo transfer (IVF-ET) and explore its
potential mechanism. METHODS: Sixty-six patients with PCOS and undergoing IVF-ET
were divided into two groups randomly, including an observation group (34 cases)
and a control group (32 cases). Ethinylestradiol and cyproterone acetate tablets
and gonadotropin-releasing hormone agonist were administered for long-program
superovulation in either group. In observation group, the intervention of EA was
applied to Guanyuan (CV 4), Zhongji (CV 3), Sanyinjiao (SP 6), Zigong (EX-CA 1)
and Taixi (KI 3) additionally. The pregnant outcome and the levels of stem cell
factor (SCF) in the serum and follicular fluid on the day of egg collection were
compared between two groups. RESULTS: The score of kidney deficiency symptoms
reduced remarkably after treatment in either group and the improvement in
observation group was superior to that in control group (P < 0.01). The
fertilization rate [(76.25 +/- 20.33)% vs (66.34 +/- 15.44)%], cleavage rate
[(98.66 +/- 3.70)% vs (94.47 +/- 9.45)%] and the rate of high-quality embryos
[(60.20 +/- 22.20)% vs (50.55 +/- 16.15)%] in observation group were all superior
to those in control group separately (all P < 0.05). Clinical pregnancy rate
(46.67%, 14/30) in observation group was higher than that (37.93%, 11/29) in
control group, but without statistical difference (P > 0.05). SCF levels in the
serum and follicular fluid on the day of ovary collection were higher obviously
than those in control group (all P < 0.05). CONCLUSION: Electroacupuncture plays
an active role in the pregnant outcomes of PCOS patients undergoing IVF-ET and it
can relieve the symptoms of kidney deficiency in terms of TCM and improve
clinical pregnant rate. The results are relevant with the overall adjustment of
organic endocrinal system and the local micro-environment of ovary and the
improvement of oocyte quality through the up-regulation of SCF level in
mechanism.
PMID- 21894689
TI - [Treatment of autism with scalp acupunctur].
AB - OBJECTIVE: To verify the efficacy on autism treated with scalp acupuncture for
regaining the consciousness and opening the orifice in children. METHODS: Seventy
cases of child autism were divided into an observation group (30 cases) and a
control group (40 cases). In observation group, the cases were treated with scalp
acupuncture for regaining the consciousness and opening the orifice, in
combination with music therapy and structure education method. Scalp acupuncture
was applied to intelligent nine needles (frontal five needles, Sishencong (EX-HN
1)), affection area, heart and liver area, once a day, at the interval once every
one week. Totally, 60 treatments made one session. In control group, music
therapy and structure education method were applied simply. Clancy Autism
Behavior Scale, Childhood Autism Behavior Scale (CARS), Autism Behavior Checklist
(ABC) and Gesell Developmental Scale (social adaptive behaviors and language
development) were adopted to assess the scores before treatment and after 1
session of treatment. RESULTS: After treatment, the scores in Clancy Autism
Behavior Scale, CARS and ABC were lower apparently in observation group as
compared with those before treatment (all P < 0.01), and the scores in Clancy
Autism Behavior Scale and ABC were lower than those in control group (both P <
0.01). In observation group, the scores of social adaptive behavior scale and
language development scale were improved obviously after treatment (both P <
0.01), which were all higher than those in control group (both P < 0.01). In
observation, between the group aged from 4 to 6 years and the group aged from 2
to 3 years, the value differences in Clancy Autism Behavior Scale, ABC and social
adaptive development scale did not present statistical significance in group
comparison before and after treatment (all P > 0.05). CONCLUSION: Scalp
acupuncture for regaining the consciousness and opening the orifice can
significantly improve the efficacy on autism, effectively relieve child autism
symptoms and enhance the intelligence, language ability and social adaptive
ability. Moreover, the efficacy cannot be impacted by child's age.
PMID- 21894691
TI - [Acupuncture for 62 cases of urinary infection of diabetes].
PMID- 21894690
TI - [Clinical observation on simple obesity treated by acupuncture].
AB - OBJECTIVE: To evaluate the effectiveness and safety of simple obesity treated by
acupuncture. METHODS: By randomized single-blind clinical trial, one hundred and
eighteen cases of simple obesity were divided into an acupuncture group (76
cases) and a placebo-acupuncture control group (42 cases), additionally, health
control group (30 cases) was included. In acupuncture group and placebo
acupuncture control group, all the patients received a restricted diet; Zhongwan
(CV 12) and Zhongji (CV 3) etc. at abdomen and Liangqiu (ST 34) and Zusanli (ST
36) etc. at limbs were selected; body mass index (BMI), Serum Total Cholesterol,
triglyceride (TG), Glucose, Creatinine, urea nitrogen (BUN), Uric Acid and
adverse reactions scores were observed. RESULTS: After treatment the BMI in
acupuncture grown was lower than that in placebo-acupuncture control group (P <
0.01). In metabolism indices, the serum Total Cholesterol and Glucose after
treatment were reduced obviously than those before treatment in acupuncture group
(all P < 0.01), and there was no significant differences in other metabolism
indices (all P > 0.05) in two groups. After treatment, in adverse reactions
scores, the hunger sensation scores in acupuncture group was reduced than that in
placebo-acupuncture control group (P < 0.05), and there was no significant
differences in other indices (all P > 0.05). CONCLUSION: BMI of simple obesity
was reduced by acupuncture, and the Serum Total Cholesterol and Glucose were
reduced accordingly. The adverse reac tions such as weakness, nervosa and
diarrhea, etc. doesn't appear after acupuncture treatment. Acupuncture therapy is
one of the safe and effective methods for simple obesity.
PMID- 21894692
TI - [Plum-blossom needle, cupping combined with electroacupuncture for traumatic
myositis ossificans of elbow joint in early stage].
PMID- 21894693
TI - [Discussion on the meridian therapy of headache].
PMID- 21894694
TI - [Study on signal transmission characteristics of meridian based on electrical
network theory and experiments].
AB - Study on features of acupoints with resistance test in the past half century is
reviewed in this article. Mechanism and technology of the method are introduced
as well as its shortcomings. The determination method of signal transmission
along meridians with the combination of electrical network theories and practice
is advanced. And the result of a series experiments on one meridian at the
superficial part of the body are given as well. Thus, it is concluded that the
signals of the point-in/point-out and the signals along a non-meridian path with
the same distance are significantly different, which gives a verification of the
feasibility of the method by using electrical network theories to set out
characteristics of signal transmission along meridians dynamically.
PMID- 21894695
TI - [Study on origin of meridians and collaterals through pain relieving effect of
muscle regions].
AB - Through analysis on sequencing of meridians and their muscle regions, their
pertaining organs, run ning courses, linking and indications described in Zubi
Shiyimai (Eleven Meridian of Foot and Hand), Yinyang Shiyimai (Eleven Meridian of
Yinyang), Lingshu: Jingmai (Miraculous Pivot: Meridian) and Lingshu: Jinjing
(Miraculous Pivot: Muscle Meridian), it is found that most of the indications of
acupuncture in ancient time are symptoms of the muscle regions. 62.59% points of
the national standard acupoints location close to tender points of the muscle
regions, which indicates that the origin of early acupoints are tender points
along the running courses of the muscle regions. Thus, it is concluded that
meridians and their muscle regions have the same origin, which provides new train
of thinking for a better comprehension of origin of meridians and collaterals.
PMID- 21894696
TI - [Fifty-two cases of female stress urinary incontinence treated by acupuncture and
moxibustion].
PMID- 21894697
TI - [Experimental study on electric impedance of acupuncture based on the midnight
noon ebb-flow theory].
AB - OBJECTIVE: Relationship among three point selection methods based on the midnight
noon ebb-flow theory, namely Najia fa (day- prescription of acupoints), Nazi fa
(hour-prescription of acupoints) and Yangzi Shike Zhuxue fa (point-open method
based on the mother and son relation as well as the hours and its divisions),
were approved in the article, which provided the scientific validity of the
correlation among three point selection methods of midnight-noon ebb-flow theory.
METHODS: Electric impedance on relevant acupoints of 30 health subjects on the
period of the day of Zishi (from 11:00 p.m. to 1:00 a.m.), Maoshi (from 5:00 a.m.
to 7:00 a.m.), Wushi (from 11:00 a.m. to 1:00 p.m.) and Youshi (from 5:00 p.m. to
7:00 p.m.) were tested with NQ-1B conductivity meter. And statistical analysis
was made on the testing results. RESULTS: The daily average value of the method
of Najia fa in 1 circle of the midnight-noon ebb-flow theory was 0.459omega, the
value of the method of Nazi fa was 0.553omega, and the Yangzi Shike Zhuxue fa was
0.533omega. At the same time, it presented a tendency of first raising and then
declining from Zishi to Youshi with the peak appears at Maoshi and the valley at
Youshi. The differences of comparison of the average electric impedance between
Najia fa and Nazi fa, Najia fa and Yangzi Shike Zhuxue fa were considered
statistical significant (both P < 0.001). When 2 values of different methods
within the same hours were compared, the value difference between Najia fa and
Nazi fa in the time period of Wushi and the difference between Najia fa and
Yangzi Shike Zhuxue fa in the time period of Wushi were considered statistical
significant (P < 0.001, P < 0.01). CONCLUSION: The changing tendency of electric
impedance of different point selection methods based on midnight-noon ebb-flow
theory is similar, however, with weak relevance. Moreover, obvious differences
can still be found in point location and time selection.
PMID- 21894698
TI - [Thirty-one cases of cerebral infarction treated with acupuncture and oxygen
driven atomizing inhalation].
PMID- 21894699
TI - [Single point and two-step acupuncture treatments for shoulder periarthritis].
PMID- 21894700
TI - [Experimental study on anti-inflammatory and analgesic effects of
electroacupuncture combined with medium frequency therapy in model rats with
lumbar nerve root compression].
AB - OBJECTIVE: To explore the effects and mechanism of electroacupuncture combined
with medium frequency therapy on lumbar nerve root compression. METHODS: Seventy
two Sprague-Dawley (SD) rats were randomly divided into a normal group, a sham
operation group, a model group, an electroacupuncture group (EA group), a medium
frequency group(MF group) and an electroacupuncture combined with medium
frequency group (EA + MF group), twelve rats in each group. Models were
established by surgery except the normal group and the sham operation group. Rats
in the normal group, the sham operation group and the model group were not
treated. In the EA group, the rats were treated by electroacupuncture at "Jiaji"
(EX-B 2) and "Huantiao" (GB 30) etc., and by medium frequency at the "Jiaji" (EX
B 2) and "Huantiao"(GB 30) in the MF group. Rats in the EA + MF group were
treated by both electroacupuncture and medium frequency. All treatments were
started on the fifth day of established model, once a day for fourteen days.
Rats' lower limb functions were observed before and after treatment, thromboxane
B 2 (TXB 2) and prostacyclin F1alpha (PGF1alpha) in blood plasma were tested
after treatment, and pathological changes in the local compressed nerve root were
observed by light microscope. RESULTS: After treatment, the scores of rats' lower
limb neurologic function in three therapy groups were significantly lower than
before (all P < 0.01). Compared with the model group, TXB 2 in the EA group and
the EA + MF group after treatment were decreased significantly (both P < 0.01),
and PGF1alpha in the EA + MF group was increased significantly (P < 0.01), and
TXB 2/PGF1alpha level were all regulated favorably in three therapy groups (all P
< 0.01), and the pathological scores in the EA group and the EA + MF group were
improved significantly (both P < 0.01). CONCLUSION: n Electroacupuncture combined
with medium frequency has anti-inflammatory and analgesic effects in model rats
with lumbar nerve root compression, and its mechanism may be related with the
regulation of homeostasis M between TXB 2 and PGF1alpha so as to improve
microcirculation.
PMID- 21894701
TI - [Effects of eye-acupuncture therapy on the expression of AQP4 in brain tissue of
rats with acute cerebral ischemia-reperfusion injury].
AB - OBJECTIVE: To explore the mechanism of the eye-acupuncture for treatment of acute
cerebral ischemia-reperfusion injury. METHODS: Thirty-two healthy SD rats were
randomly divided into a normal group, a sham operation group, a model group and
an eye-acupuncture group, 8 rats in each group. The rat model of cerebral
ischemia-reperfusion was established with thread occlusion method in the model
group and the eye-acupuncture group. The eye-acupuncture group was treated by eye
acupuncture at "liver region", "upper energizer area", "lower energizer area" and
"kidney region" for 20 min immediately after reperfusion and at 30 min before
sampling. No treatment was done in the normal group and the sham operation group,
and no thread occlusion was performed in the sham operation group. The Neurologic
impairment was scored and the methods of immunohistochemistry staining, western
blotting and real-time fluorescent quantitation polymerase chain reaction (RQ
PCR) were taken to detect the expression of the aquaporin protein 4 (AQP4) and
its mRNA in cerebral cortex after reperfusion for 3 hours. RESULTS: The
neurologic impairment score of 1.50 +/- 0.54 in the eye-acupuncture group was
significant lower than 2.63 +/- 0.92 in the model group (P < 0.01). The
expression of the AQP4 protein by immunohistochemistry and western-blot
respectively were 116.33 +/- 10.24 and 0.53 +/- 0.04 in the normal group, 118.97
+/- 12.72 and 0.55 +/- 0.07 in the sham operation group, and 129.30 +/- 18.36 and
0.67 +/- 0.08 in the eye-acupuncture group, with statistical significance
compared to 150.88 +/- 15.82 and 0.94 +/- 0.04 in the model group (all P < 0.01),
and there were significant differences between the eye-acupuncture group and the
normal group (both P < 0.01). The tendency in the expression of AQP4 protein and
its mRNA in all the group were almost the same. CONCLUSION: The eye-acupuncture
therapy can relieve the cerebral ischemia-reperfusion injury and the protective
mechanism is related to the downregulation of the cerebral AQP4 expression.
PMID- 21894702
TI - [Ling's acupoint selection and needle-inserting techniques].
PMID- 21894704
TI - [Scraping and blood-letting therapy for chronic eczema].
PMID- 21894703
TI - [Indications of acupuncture outpatient based on clinical investigation].
AB - OBJECTIVE: The present situation of clinical indications of acupuncture in China
is investigated in this article which provides clinical evidence for the
establishment of acupuncture indications. METHODS: Out-patients of acupuncture
departments of 31 Chinese provinces in the year 2008 were investigated through
the method of cluster random sampling. And the analysis was made on diseases
sorting and distribution based on Pareto principle and with EpiMap software.
RESULTS: Information of 31 858 out-patients of the first treatment from 36
hospitals were collected which referred to 368 diseases of 16 disease systems.
Among them, there were 57 most commonly-encountered diseases, 60 commonly
encountered diseases and 251 rare diseases. CONCLUSION: There are totally 368
acupuncture clinical indications at present according to the investigation with
disproportional distribution in administrative districts. It is held that
indications of acupuncture have high centralization degree. Thus, the populariza
tion and promotion on application of acupuncture indications should be
strengthened.
PMID- 21894706
TI - [Comparative observation of efficacy on lumbar disc herniation treated with
acupotomology and operation].
AB - OBJECTIVE: To compare the efficacy difference between acupotomology and operation
in the treatment of lumbar disc herniation (LDH). METHODS: One hundred and eighty
four cases were randomized into an acupotomology group (109 cases) and an
operation group (75 cases). In acupotomology group, acupotomology was applied to
relevant local soft tissues in LDH and the reactive points in the distribution of
superior gluteal nerves, at the outlet of sciatic nerve and in the nerve
innervate area of the lower extremities separately. In operation group, the small
windowed discectomy through small incision was adopted. The clinical efficacies
were assessed between two groups. RESULTS: In acupotomology group, the clinical
cured rate was 82.6% (90/109) and the effective rate was 96.3% (105/109). In
operation group, they were 86.7% (65/75) and 97.3% (73/75) respectively. There
were no significant differences in statistics between two groups (both P > 0.05).
CONCLUSION: Acupotomology is definitely effective in the treatment of lumbar disc
herniation and its efficacy is similar to that of operation.
PMID- 21894705
TI - [Analgesic effect of acupuncture at Neimadian(Extra) in postoperation of
abdominal surgery].
AB - OBJECTIVE: To observe the analgesia effectiveness and safety of
electroacupuncture at Neimadian(Extra) for postoperation of abdominal surgery.
METHODS: One hundred and twenty patients with routine abdominal surgery were
randomly divided into an acupuncture group and a medication group, 60 cases in
each group. The acupuncture group was treated with electroacupuncture at
Neimadian(Extra), which was located on the inside of lower leg, 7 cun above the
internal malleolus and 0.5 cun from post edge of tibial. The medication group was
treated with patient-controlled intravenous analgesia (PCIA) with Sufentanil.
After the treatment, the Visual Analogue Scale (VAS), the security, the analgesic
effect and beta-endorphin content were compared. RESULTS: The postoperative VAS
score at 2, 4, 8, 16, 24 and 48 h in the acupuncture group was lower than those
in the medication group (all P < 0.05). The analgesic effect at 2, 4, 16 and 24 h
after surgery in the acupuncture group were superior to those in the medication
group (P < 0.05, P < 0.01). The beta-endorphin content at 0, 8, 16 and 48 h after
surgery in both groups were increased, and the acupuncture group was superior to
the medication group (all P < 0.05). The security class after surgery in the
acupuncture group was higher than that in the medication group (P < 0.05).
CONCLUSION: The analgesic effect and safety of electroacupuncture at
Neimadian(Extra) in postoperation of abdominal surgery are superior to those of
the PCIA with Sufentanil.
PMID- 21894707
TI - [Analysis case of electrolysis phenomenon caused by electroacupuncture accident].
PMID- 21894708
TI - [Suggestions of making filiform needles with color needle handle].
PMID- 21894709
TI - [Globalization of acupuncture technology innovation: a quantitative analysis
based on acupuncture patents in the U.S.A].
AB - The structure of international flow of acupuncture knowledge was explored in this
article so as to promote the globalization of acupuncture technology innovation.
Statistical methods were adopted to reveal geographical distribution of
acupuncture patents in the U.S.A. and the influencing factors of cumulative
advantage of acupuncture techniques as well as innovation value of application of
acupuncture patents. Social network analysis was also utilized to establish a
global innovation network of acupuncture technology. The result shows that the
cumulative strength on acupuncture technology correlates with the patent
retention period. The innovative value of acupuncture invention correlates with
the frequency of patent citation. And the U. S. A. and Canada seize central
positions in the global acupuncture information and technology delivery system.
PMID- 21894710
TI - [Forty-eight cases of abducent paralysis treated with electroacupuncture at
Jianming 3(Extra) and Shangming(Extra)].
PMID- 21894711
TI - [Guiding principles of design in acupuncture RCTs].
AB - For the problems specifically associated with conducting randomized controlled
trials (RCTs) research into acupuncture, studies focus on these factors of sample
population, test quality, baseline, diagnostic criteria, inclusive and exclusive
criteria, the choice of control group, the interventions, efficacy evaluation,
standardization and objective observation indice, long-term follow-up, and
adverse reaction. Sound suggestions are provided to improve the quality of
acupuncture research.
PMID- 21894712
TI - [Gastrointestinal neurosis treated by blood-letting].
PMID- 21894713
TI - [Case of Huntington's disease].
PMID- 21894715
TI - [Senile frequent micturition treated with moxibustion on Yongquan(KI 1)].
PMID- 21894714
TI - [Discrimination on diseases originated from Foot-Taiyin meridian].
AB - Through the analysis on the form, origin and evolution of diseases originated
from Foot-Taiyin Meridian, and the investigation on clinical practice, it is held
that diseases originated from Foot-Taiyin meridian were developed on the base of
supplement and adaption of the ancient medical classics. And it is also held that
great respect on clinical practice was attached by the compiler.
PMID- 21894716
TI - [Analysis on the situation of adverse reaction to acupuncture and acupuncture
risk].
AB - The Medline database system and the CNKI literature database were used to
evaluate the publications in acupuncture in recent years, using the key words:
"acupuncture" "adverse reaction" and "risk". A number of 155 publications in
Chinese were collected, including 698 cases; a number of 59 abstracts
publications in English were selected, including 64 cases. The analysis of the
publications shows that various causes lead to adverse reactions to acupuncture.
The defective security system of acupuncture is one of the major causes. It
demands to promote the safety guidelines issued by WHO or to establish a new
Chinese security system of acupuncture.
PMID- 21894717
TI - [Case of ophthalmalgia caused by facial paralysis].
PMID- 21894718
TI - [Gastrointestinal bleeding in immunocompromised patient].
PMID- 21894719
TI - Repair of a common bile duct injury.
PMID- 21894720
TI - [Teaching in gastroenterology].
PMID- 21894721
TI - [Emil Theodor Kocher].
PMID- 21894722
TI - [Study of prognostic factors in the hepatectomy for metastases of colorectal
carcinoma--analysis of two scores].
AB - BACKGROUND: Resection is the treatment of choice for colorectal cancer liver
metastases. OBJECTIVE: This is a retrospective, longitudinal, retrospective
analysis of different prognostic factors for survival in a consecutive series of
liver resection for metastatic colorectal carcinoma. PATIENTS AND METHODS:
Between October 1998 and November 2007, we performed 89 liver resections for
colorectal metastases. A retrospective analysis from the liver resection database
was performed and the variables analyzed were related to demography, primary
tumor and surgical procedure. Survival analysis was performed according to the
Fong and Basingstoke scores. RESULTS: The mean age of patients was 60.5 years and
67.4% were men. The primary tumor was localized in the colon in 73% of cases. In
68.5% the metastases were metachronous. The right hemiliver was involved in 46
patients (52%). Major hepatectomy was performed in 36 (40.2%), minor in 55
(59.8%) and combined procedures in 14 (15.7%). Seventy-seven (86.5%) R0
resections were achieved. Morbidity was 32.6%, with no perioperative mortality.
Average follow-up was 32.5 months (range 1 to 158 months), with a median of 25.5
months. Median overall survival was 69.7 months and mean disease-free survival
58.7 months. Multivariate analysis found statistical significance for blood
product requirement and margin of resection. Thirty-four patients are alive at
the end of this study (45.9%). The Basingstoke predictive index for postoperative
variables showed significant differences that were not demonstrated by the Fong
clinical score on prognostic factors. CONCLUSIONS: The application of Basingstoke
predictive index and Fong score with biomarkers may indicate the most appropriate
therapeutic strategy in each patient with colorectal liver metastases.
PMID- 21894723
TI - A meta-analysis of patients with chronic hepatitis C treated with interferon
alpha to determine the risk of autoimmune thyroiditis.
AB - OBJECTIVE: In order to analyze the effect on autoimmune thyroiditis (AT) of
current anti-hepatitis C virus (HCV) treatment in HCV-infected patients, we
performed a systematic review with meta-analysis of the available literature. The
present meta-analysis was conducted to evaluate the strength and the consistency
of the association between treatments with interferon-alpha (IFN-alpha) for HCV
infection and AT. MATERIAL AND METHODS: A search in Medline, PubMed, and EMBASE
was conducted with a systematic review of clinical studies in English and other
languages. Only studies in HCV subjects compared to a control group with
hepatitis B (positive HBsAg) were considered. The relative risk (RR) of AT was
regarded as the most reliable outcome end-point. The pooled odds ratio (OR) and
95% confidence intervals (95% CI) were calculated from the raw study data using
the Mantel-Haenszel methods. We used a statistical evaluation of heterogeneity by
the chi2-test to assess whether the variation in treatment effect within trials
of the same group was greater than it might be expected. RESULTS: We identified
35 clinical trials with a total of 6.403 patients. Five trials were selected for
analysis involving a total of 625 patients with hepatitis C treatment with IFN
alpha and 456 HBsAg-positive controls. These studies yielded a combined adjusted
OR of 4.98 (95% IC 1.56-15.91). The test for heterogeneity was significant (P =
0.0008), and the test for overall effect was Z statistic 2.71 (P = 0.007).
CONCLUSION: Our meta-analysis indicates that treatment with IFN-alpha for HCV
infection has an increased risk of AT.
PMID- 21894724
TI - [Gastric acid suppression of a new oral powder omeprazole suspension for infants
with gastroesophageal reflux disease. A pilot study].
AB - BACKGROUND: Gastroesophageal reflux disease (GERD) has a prevalence of 10% to 20%
in the pediatric population. The 24-hour pHmetry is still considered the "gold
standard" for its correct diagnosis. Omeprazole is the elective drug for a proper
treatment. However, there are no adequate pharmaceutical presentation forms for
infants. OBJECTIVE: To assess the acid suppression capacity of a new
pharmaceutical association of omeprazole with sodium bicarbonate and sodium
alginate (OBA), powder for oral suspension, in small infants with GERD. The
response was measured according to the gastric variations of pH after a 3-day
treatment with OBA. PATIENTS AND METHOD: This is a pilot, prospective, open study
in infants with no congenital nor associated anomalies under 12 months of age
with GERD. Two double channel pHmetry with gastric sensor were performed on
different days in the same patient. The first one to establish the diagnosis (day
0) and the second one (day 3) after receiving omeprazole (OBA) at 1.5 mg/kg/day
in a twice/dose/day (BID). RESULTS: The comparison between the frst and the
second gastric pHmetry showed a statistically significant reduction in gastric
acidity. No adverse events were observed in infants and the suspension flavor was
palatable. CONCLUSIONS: This new presentation of omeprazole (OBA) with sodium
bicarbonate and sodium alginate powder for oral suspension is capable of a
significant and intense acid suppression, necessary for treatment of infants with
GERD. The taste of the solution was well accepted by all the babies, which is a
very important finding for this age group.
PMID- 21894726
TI - [Impact of ishemia-reperfusion injury on long survival rate in intestinal
transplantation in rats].
AB - INTRODUCTION: The intestine is a highly sensitive tissue to ischemia-reperfusion
(IR) injury that will early respond increasing its permeability. Later this
response is translated in morphologic and histological changes that reveal the
degree of damage. The heterotopic intestinal transplantation model in rats allows
to evaluate the evolution of intestinal tissue injury after ischemia-reperfusion
without affecting the long survival rate. OBJECTIVE: The aim of this paper is to
establish a relationship between the ischemic reperfusion injury with the long
term survival METHODS: Ten intestinal transplants were analyzed in adult, Wistar,
inbred, male rats. Light microscopical examination was performed on intestine
graft: 1) immediately post-dissection, 2) at the end of cold isquemia, 3) 30 min,
4) 48hs and 5) 5 days post-transplant procedure, respectively. Biopsies were
reported according to Park's classification and extension of staining using
immunohistochemestry to malondialdehyde (MDA) products. RESULTS: The Park's
classification indexes reported in samples were 1) 0,57 +/- 1,13 (N=10); 2) 2,71
+/- 1,25 (N=10); 3) 4,14 +/- 0,89 (N=10); 4) 1,0 +/- 0,81 (N=7); 5) 0 (N=7). The
highest levels of immunohistochemical detection of MDA were observed thirty
minutes post-reperfusion (extension of staining between 51% to 75%). Three
animals died when they were sampled at 48 hours, and the biopsies had Park's
classification > or = 4 at 30 minutes post-reperfusion and endotoxemic signology.
CONCLUSIONS: The highest degree of mucosal damage was observed immediately post
reperfusion. At 48hs the graft tended to be normalized Failure to repair the
immediately I-R injury signficantly affects the long term survival.
PMID- 21894725
TI - [Superselective embolization in the treatment of massive gastrointestinal
bleeding].
AB - INTRODUCTION: Massive lower gastrointestinal (LGI) bleeding is a severe clinical
picture associated with high mortality in hospitalized patients with
comorbidities. Current international publications have highlighted the role of
embolization in the treatment of this pathology, although there is little
experience in Latin America. The aim of this paper is to describe a series of
cases treated in the same institution using embolization as safe and effective
treatment of massive LGI bleeding. PATIENTS AND METHODS: The embolization was
performed by superselective catheterization after a percutaneous transfemoral
approach. RESULTS: We included 26 patients (10 women) with mean age of 62 years
old (range 2 to 92 years). Twenty-five (96%) patients had comorbidities,
including bleeding disorders in 18 cases (69%). All patients had hematochezia,
hypotension and/or hypovolemic shock, requiring transfusion of red blood cells
(mean 3.8 units, range 2 to 12 units). The embolization was effective in stopping
bleeding in 24 patients (immediate success rate of 92%). There was no digestive
tract infarction or complications related to the procedure. CONCLUSIONS:
Superselective embolization of the massive LGI bleeding was safe and effective in
this series of patients. Embolization is recommended as the treatment of choice
to stop massive LGI bleeding in centers that have the appropriate human and
technological resources.
PMID- 21894727
TI - [Hereditary nonpolyposis colorectal cancer: analysis of a case and review of
management].
AB - INTRODUCTION: Hereditary nonpolyposis colorectal cancer (HNPCC), also known as
Lynch syndrome, represents 5% to 7% of colorectal cancers. It is an autosomal
dominant inherited disorder characterized by an early onset of colorectal tumors,
predominantly proximal, and multiple and microsatellite instability. We used the
Amsterdam II criteria for its identification. OBJECTIVE: To analyze the case of a
patient with a family history of malignant colorectal tumors at an early age of
onset. CASE REPORT: A 40-year-old woman, from Valle de Punilla, Cordoba,
Argentina, with no previous medical history, complained of mild changes in her
bowel habits and was admitted to the general surgery department with the
radiographic diagnosis of a tumor in the hepatic flexure of the colon. She
underwent a right hemicolectomy for a Dukes B stenosing tumor (T3N0M0, stage
IIa). CONCLUSION: In this report, we present the case of a woman with HNPCC who
met the Amsterdam II criteria II. Family members who meet these criteria should
be screened for the mutation in MMR genes. As genetic tests are not routinely
available, an annual colonoscopic surveillance of all asymptomatic relatives
older than 25 to 30 years old who meet the criteria is recommended, regardless of
the availability and/or the outcome of genetic testing.
PMID- 21894728
TI - [Diffuse gastric cancer associated with Menetrier's disease].
AB - BACKGROUND: Menetrier's disease is a rare disease of the stomach generally
described as hypertrophic gastropathy. Its etiology is unknown and its malignant
potential is controversial. Only a few reports supporting its association with
gastric cancer have been found. We present a case of gastric cancer associated
with Menetrier's disease. CASE REPORT: We present a 72 year-old-male with
epigastric pain and early satiety during the last 5 months. He had been treated
with proton pump inhibitors with unfavorable response and began with loss of
weight and asthenia. An upper digestive endoscopy showed an erythematous nodular
gastric mucosa, with enlarged folds. An abdominal CT scan demonstrated a
circumferential parietal thickening of the gastric wall and adenopathies. A
gastric macrobiopsy done by endoscopic mucosal resection evidenced a mucin
infiltrating adenocarcinoma with invasion of the lamina propria. Subtotal
gastrectomy was done. The histology showed a stomach with changes compatible with
Menetrier's disease and diffuse infiltration by a highly undifferentiated
adenocarcinoma (plastic linitis). CONCLUSION: A patient with diffuse gastric
adenocarcinoma and Menetrier's disease is reported.
PMID- 21894730
TI - AWHONN and the Women and Children's Health Chapter of SCNA present joint workshop
-"Clinical Refresher in Maternal Child Topics 2011".
PMID- 21894732
TI - Kids need restraints.
PMID- 21894731
TI - Seeking the spark.
PMID- 21894733
TI - A life in pictures. Taking photos is the best medicine for pediatric surgeon
Roberta Sonnino.
PMID- 21894734
TI - Visual cues. A Minneapolis Institute of Arts program aids people with memory
loss.
PMID- 21894735
TI - A new leaf. Patients express themselves through clay.
PMID- 21894736
TI - Study break. Medical students use Art of Medicine awards to explore their
creative side.
PMID- 21894737
TI - Uniform design. Scrubs become high fashion.
PMID- 21894738
TI - An all or nothing career?
PMID- 21894739
TI - State launches Time Out campaign.
PMID- 21894740
TI - Medical imaging. Winners of our photo contest.
PMID- 21894741
TI - Medical musings. Results of our eighth annual writing contest.
PMID- 21894742
TI - 7 reasons why doctors write.
PMID- 21894743
TI - Why we need the arts in medicine.
PMID- 21894744
TI - Tularemia in two South Dakota children.
AB - Tularemia may be relatively rare in the United States, but physicians must be
able to recognize it in order to treat it in its earliest stages. They also need
to understand that most antibiotics are not effective against the disease. This
article presents two cases oftularemia infection among school-aged children in
South Dakota who were successfully treated with IV gentamicin and oral antibiotic
combinations.
PMID- 21894745
TI - [Nine-year experience in the treatment of patients with diffuse large B-cell
lymphosarcoma].
AB - AIM: To ascertain indications to standard (CHOP-21/R-CHOP-21) and intensive (mNHL
BFM-90) treatment in patients with diffuse large B-cell lymphosarcoma (DLBCL)
with involvement of lymphoid organs. MATERIAL AND METHODS: The trial, performed
from January 2002 to December 2010, enrolled 139 DLBCL patients with affected
lymph nodes (LN), tonsils, spleen, bone marrow (BM). The diagnosis was made
according to WHO criteria. The patients were examined according to the protocol
of lymphoproliferative diseases. Biopsy material from all 139 patients was
studied immunohistochemically on paraffin blocks (LN, tonsils, spleen, BM) using
a wide panel of antibodies. The same examinations of BM were made in all 18 cases
of BM involvement. Cytogenetic examination was performed in 106 patients: 48
standard cytogenetic tests, 139 - FISH for t (14;18) as well as rearrangement of
locus 3q27. Patients with a poor prognosis (n = 86, 61.8%) received intensive
therapy according to mNHL-BFM-90 program. The signs of a poor prognosis were the
following: massive tumor (tumor size more than 7.5 cm), invasion into the
adjacent organs or tissues, stage III-IV disease by Enn-Erbor, high concentration
of LDG. Patients without a poor prognosis (n = 53, 38.2%) received standard
treatment CHOP-21 (n = 28) or R-CHOP-21 (n = 25). RESULTS: A complete remission
without recurrences was achieved in all 53 patients without signs of unfavourable
prognosis (100%). Overall 5-year survival was 96%, 2 patients died in remission
of other causes. Of 86 patients with a poor prognosis a complete remission was
achieved in 64 (74.4%) patients. Overall and recurrence-free 5-year survival was
65 and 86%, respectively. CONCLUSION: Standard treatment provided long-term
complete remission in all the patients without poor prognosis. Intensive (mNHL
BFM-90) treatment produced the best results in generalized lesion without BM
involvement. Overall 5-year survival was 84% in these patients and 12% in
patients with BM involvement.
PMID- 21894746
TI - [First results of Ph-negative acute lymphoblastic leukemia therapy of adults
according to the protocol of Research Group of Russian Hematological Centers ALL
2009].
AB - AIM: To review results of 2-year experience in execution of the protocol on the
treatment of adult acute Ph-negative lymphoblastic leukemia ALL-2009. MATERIAL
AND METHODS: Of 111 patients registered in the study from November 2008 to
December 2010 the analysis covered 96 patients from 23 hematological centers in
18 towns of the RF. RESULTS: Treatment according to the Protocol ALL-2009
resulted in achievement of a complete remission in 91.2% patients with low early
lethality of 5.5%. Postremission lethality fell to 3.7% versus previous studies
(22%). Overall 2-year survival and recurrence-free survival reached 77.6 and
78.4%, respectively. Detection of any chromosomic aberrations significantly
affected recurrence-free survival: 74 vs 100% in patients with normal karyotype.
CONCLUSION: Protocol All-2009 demonstrates high efficacy in moderate toxicity and
good reproducibility in any hematologic center.
PMID- 21894747
TI - [Long-term results of HBV and HCV infection in patients with blood system
diseases].
AB - AIM: To specify trends in clinical and laboratory manifestations of virus
hepatitis B and C (HBV and HCV) in patients with blood diseases from the moment
of the first positive specific tests for HBV and HCV markers; to assess effects
of HBV and HCV infection on efficacy of treatment of blood disease treatment,
i.e. lifespan of patients with hematological diseases. MATERIAL AND METHODS: The
study enrolled 257 patients: 205 with acute leukemia - AL, 40 with
lymphoproliferative diseases, 4 - with CML and 8 - others; 8 healthy bone marrow
donors. The patients were admitted to Russian Hematological Research Center in
2004-2006 Follow-up median was 253 days. A total of 7800 biological samples were
studied, among them about 4000 tests for HBV DNA and HCV RNA. RESULTS: Positive
tests for specific markers of HBV and HCV were absent only in 78 (29.4%)
patients. Positive markers of coinfection were detected in 57 (32.8%) of 174
patients with HBV infection and in 81.4% of 70 patients with HCV infection.
Probability of detection of HCV markers after positive tests for HBV markers and
vice versa is about 3 times higher than probability of their isolated detection.
Among patients infected with HBVsymptoms of hepatitis B are likely to appear in
56% patients to day 500 of follow-up from the date of the first positive specific
test. Median of the interval between the first positive test for HBV markers and
probable clinical signs of hepatitis was 30 days. Among patients with HCV
infection, 85% develop hepatitis to follow-up day 300 since the date of the first
specific positive test. Almost 100% patients infected with two viruses develop
hepatitis to follow-up day 600. Median of the interval between the first positive
test for HBV and HCV markers and probable hepatitis picture was 47 days. Overall
3-year survival of AL patients was 40%, of patients with lymphoproliferative
diseases - 58%. Overall 7-month survival was 75% in AA patients. HBV infection in
patients with blood disease is associated with high risk of death, especially in
AA and AL. Association between HCV infection and survival is not proved.
CONCLUSION: A high rate of clinical realization of viral hepatitis B and C,
especially in coinfection, calls for virological and clinical monitoring of
patients with any positive test for HBV and HCV markers.
PMID- 21894748
TI - [Development of aseptic osteonecrosis during the treatment of acute lymphoblastic
leukemia: review of the literature and author's own data].
AB - We report a case of aceptic osteonecrosis (AON) of the left hymerus epiphysis in
programmed treatment of a male patient with lymphoblastic lymphoma to illustrate
clinical, laboratory, epidemiological, pathogenetic, diagnostic and therapeutic
aspects of AON in programmed therapy of acute lymphoblastic leukemia (ALL). We
believe that AON is a rather frequent but often missed for early diagnosis
complication of ALL treatment. Even a weak pain in bones and joints under
mechanical load in patients on long-term treatment with glucocorticosteroids is
an alarming symptom which may indicate a risk of an osteodestructive process and
relevant diagnostic and therapeutic measures may be needed.
PMID- 21894749
TI - [Dynamic study of Bcl-2, Bax, p53, and ACE expression in CD34+ cells of
peripheral blood and bone marrow in acute leukemia patients in the course of
induction chemotherapy].
AB - AIM: To determine unbalance in the system of programmed cell death in the cells
CD34+ of the bone marrow (BM) and peripheral blood (PB) before and after
cytostatic impact in acute leukemia (AL). MATERIAL AND METHODS: Flow
cytoflowmetry estimated expression of Bcl-2, Bax, p53 and ACE in the cells CD34+
of BM and PB from 10 AL (4 AML and 6 ALL) patients. PB and BM samples were
studied before polychemotherapy (PCT) and in the course of induction treatment:
on day +8, +21 (blood only), +36 - 38. Control group consisted of 4 BM donors.
RESULTS: The number of CD34+ cells expressing Bcl-2 in AL patients was 46,5 +/-
9,35 % in BM and 39,4 + 10,8 % in PB, in healthy donors - 9 and 32,8 %,
respectively. Bax expression in AL patients' cells CD34+ of BM versus this
expression in donors was 3 times higher (36,7 +/- 8,1 and 14,8%, respectively),
of PC - 2 times lower (40,7 +/- 6,59 and 75,8%, respectively). Expression of p53
in AL patients was 36,8 +/- 9 % in BM and 26 +/- 7,4 % in PB, in donors - 28,2
and 65 %, respectively. ACE expression on the cells CD34+ in AL patients in early
disease was 62 +/- 7,57 % in BM and 48 +/- 8,1 % in PB, in donors - 40 and 85 %,
respectively. Moreover, there were significant changes in expression of Bcl-2 in
BM and Bax, ACE and p53 in PB in the cells CD34+ in AL patients during and after
induction PCT. CONCLUSION: The above changes evidence for unbalance of pro- and
antiapoptosis proteins of regulators in AL patients. PCT changes profile of
expression of these proteins, but not to the level of healthy donors.
PMID- 21894750
TI - [Primary mediastinal (thymic) large B-cell lymphoma: review of the literature and
author's own data].
AB - AIM: To study morphoimmunological and clinical features of primary mediastinal
large B-cell lymphoma (PMLBCL). MATERIAL AND METHODS: We analysed the results of
biopsy material study and treatment of 86 PMLBCL patients, effects of different
factors on the disease prognosis, efficacy of some therapeutic programs and
overall therapeutic efficacy. RESULTS: PMLBCL manifests mainly with massive
lesions of anterior upper mediastinum with involvement of adjacent organs and
tissues, absence of bone marrow involvement, frequent affection of CNS. Many
primary patients have resistance to treatment, sensitive patients have no late
recurrences. CONCLUSION: PMLBCL is an independent extranodal variant of non
Hodgkin's lymphoma with special clinical and morphoimmunological characteristics.
Criteria are proposed for differential diagnosis of different variants of PMLBCL.
New approaches to PMLBSL patients' management are outlined.
PMID- 21894751
TI - [Differential diagnosis of thymic hyperplasia and mediastinal tumor in patients
with lymphogranulomatosis after chemotherapy].
AB - AIM: To make differential diagnosis of thymic hyperplasia and mediastinal tumor
after chemotherapy (CT) in patients with Hodgkin's disease (HD). MATERIAL AND
METHODS: The examination of 182 HD patients aged 16-71 years (median 28 years)
included chest x-ray computed tomography (XCT) at baseline, during treatment,
each 3 months, ultrasound investigation of the chest and abdominal cavity. All
the patients received 6-8 courses of the treatment according to the program
BEACOPP-14 followed by radiotherapy on the residual tumor in 137 patients, or not
followed in 45patients. RESULTS: Soft tissue tumor in the anterior mediastinum
was detected in 14 (31%) from 45 unirradiated patients (age 19-31 years, median
24 years) 1 to 10 months (median 3.5 months) after chemotherapy. The analysis of
the data of ultrasound investigation and tomography identified a mediastinal
lesion as thymic hyperplasia. The patients are now in remission with follow-up
median 21 months (13-36 months). No recurrence was registered. CONCLUSION: Young
HD patients with unirradiated mediastinum develop thymic hyperplasia in 31% cases
within one year after chemotherapy. In view of this, detection of the lesion in
the anterior mediastinum after CT demands complex examination for differential
diagnosis of thymic hyperplasia with tumor recurrence to avoid unwanted
intensification of the treatment.
PMID- 21894752
TI - [Optimization of mobilization regimes of blood hemopoietic stem cells in patients
with multiple myeloma].
AB - AIM: To determine an optimal cyclophosphamide dose in the mobilization scheme
providing adequate collection of CD34+ cells in patients with multiple myeloma
(MM), to optimize the time of initiation of granulocytic colony-stimulating
factor (G-CSF) administration, to study effects of induction therapy schemes on
results of mobilization and collection of CD34+ cells. MATERIAL AND METHODS:
Department of hemoblastoses chemotherapy and bone marrow transplantation of the
Russian Hematological Center performed mobilization of autologous blood
hemopoietic stem cells (BHSC) in 93 MM patients treated in 2001-2010. This was
done with cyclophosphamide and G-CSF. The former was used in 59 cases in a dose 6
g/m2, in 34 cases - 4 g/m2. RESULTS: Myelotoxic agranulocytosis after
cyclophosphamide administration developed in all the patients and was observed
for 3-10 days (median 5 days). Agranulocytosis ran without documented infections
in 51 (54.8%) patients, with febril fever - in 42 (45.2%) patients. Cepticemia,
pneumonia, necrotic enteropathy, stomatitis, herpetic lesion of the skin were
registered in 9, 4, 11, 14 and 6 cases, respectively. Severe thrombocytopenia (<
30 x 10(9)/l) occurred more frequently in administration of 6 g/m2
cyclophosphamide. It was corrected with 2-5 transfusions of thromboconcentrates,
only 1 transfusion was needed after the dose 4 g/m2. Collection of CD34+ cells
started in leukocyte level over 3.5 x 10(9)/l on mobilization day 12-20 (median
day 15). The day of the first leukocytapheresis did not depend on the day of the
first introduction of G-CSF. Duration of G-CSF administration was significantly
shorter in the start of its use after leukocyte count decrease under 1.0 x
10(9)/l. Conduction of 1 to S (median 2) leukocytapheresis was needed for
collection of BHSC. Sufficient for 2 autotransplantations number of BHSC were
stored in 90 of 93 patients. Cyclophosphamide administration in a dose 6 g/m2
allowed collection of cells sufficient for one autotransplantation for the first
leukapheresis in 52 (88.1) patients. A total number of CD34+ cells over 4 x 10(6)
cells/kg were collected in 56 (94.9%) patients. In administration of
cyclophosphamide in a dose 4 g/m2 mobilization was effective in all 34 patients.
The first leukapheresis provided sufficient for one autotransplantation number of
cells in 29 (85.3%) patients. CONCLUSION: Administration of high cyclophosphamide
doses in combination with G-CSF is an effective and safe method of BHSC
mobilization providing collection of adequate number of CD34+ cells for double
autotransplantation in 96.8% patients. Cost effective is the start of G-CSF
administration in the fall of leukocytes under 1.0 x 10(9)/l. Cyclophosphamide
dose 4 g/m2 provides collection of CD34+ cells number sufficient for two
autotransplantations in moderate thrombocytopenia and in less number of
substitute transfusions in the absence of serious toxic complications.
PMID- 21894753
TI - [An extremely high serum methotrexate concentration associated with acute renal
failure in a patient with acute lymphoblastic leukemia after conduction of high
dose consolidation].
AB - Acute renal failure (ARF) is one of rare and severe methotrexate (MT)-induced
complications in patients with acute lymphoblastic leukemia. A case of MT-induced
renal dysfunction with an extremely high serum MT concentration is reported. This
toxicity required conduction of hemodiafiltration for extracorporeal MT
elimination. The presence of homozygous mutation of methylene-tetrahydrofolate
reductase reflects an individual metabolism of MT and its renal clearance.
PMID- 21894754
TI - [A case of legionellesis pneumonia verified by isolation of Legionella
pneumophila serogroup 1 from bronchoalveolar lavage fluid treated with
levofloxacine and tigecycline].
AB - A male patient received non-chemotherapeutic drugs which induced deep neutropenia
complicated with sepsis, bilateral pneumonia, acute respiratory insufficiency.
Artificial pulmonary ventilation was applied. The examination of bronchoalveolar
lavage showed the presence of the culture L. pneumophila (serogroup 1) in a
concentration 2 x 10(3) CFU/ml. Antibacterial therapy with levofloxacin in a dose
1000 mg/day was conducted. In a week not only L.pneumophila but also
Acinetobacter baumanii was isolated from bronchoalveolar lavage. Tigecyclin was
added to levofloxacin treatment. Two air cavities were found in the left lung.
The treatment reduced the size of these cavities, infiltrative changes in the
lungs and respiratory insufficiency regressed. The patient was discharged from
hospital This case is the first case in Russia of L.pneumophila isolation from
bronchoalveolar lavage. The case is also characterized by use of tigecycline for
treatment of combined legionella and akinetobacterial infection and cavities in
the lungs in legionella pneumonia.
PMID- 21894755
TI - [Nephropathy caused by non-amyloid organized and granular deposits as multiple
myeloma syndrome].
AB - A rare variant of nephropathy in multiple myeloma (MM) is reported. Nephropathy
is characterized basing on the study of nephrobiopsy with light,
immunofluorescent and electron microscopy. A repeat biopsy of the kidney was made
after achievement of a complete clinicohematological remission. A MM patient's
nephrobiopsy in a picture of glomerulonephritis had 3 types of deposits:
granular, irregular fibrils of 12 nm in diameter and microtubes organized in
bunches 19 nm in diameter. Congo red test was negative, cryoglobulinemia was
absent. Immunofluorescent test detected deposit of monoclonal IgG in the
mesangium and glomerular basal membrane (GBM) corresponding to monoclonal type of
monoclonal secretion. After treatment and achievement of remission, neither IgG
no light chains were found in nephrobiopsy. Electron microscopy registered
complete resorption of granular deposits and microtubes with formation of
electron-transparent cavities. However, fibrils seen before treatment only in
mesangium appeared in the above hollow cavities. The presence of such fibrils in
the mesangium and GBM did not influence clinical picture of the disease. After
achievement of remission the patient had no clinical and laboratory signs of
nephropathy, only insignificant selective glomerular proteinurea was observed
(0,5 g/l). Thus, granular deposits and microtubes contained paraprotein, they
were completely resorbed after achievement of MM remission. Fibrils seem to have
another genesis unrelated to monoclonal gammapathy.
PMID- 21894756
TI - [Hematological disorders in celiac disease].
AB - Gluten-sensitive celiac disease (GSCD) belongs to systemic diseases one of
manifestations of which may be various hematological disorders. Some patients
with GSCD have blood changes, anemia in particular, which precede clinical
symptoms of celiac disease. Anemia can arise as a result of disorders in iron,
folic acid and/or vitamin B12 absorption. Celiac disease can be associated with
thrombocytosis, thrombocytopenia, leucopenia, vein thrombosis, hyposplenism,
immunoglobulin A deficiency. Patients with celiac disease have a high risk of
lymphoma, especially of T-cell lymphoma associated with enteropathy, B-cell non
Hodgkin's lymphoma. Aglutenic diet, recovery of structure and function of the
small intestine eliminate or attenuate hematological disorders associated with
GSCD.
PMID- 21894757
TI - [State of the endothelium and hemostasis in acute leukemia].
AB - The review presents modern conceptions of disturbances in endothelial lining of
the vessels and plasmic hemostasis in patients with acute leukemia; different
mechanisms of coagulation disorders in acute leukemia: effects of leukemic cells
containing procoagulants, fibrinolytic and antifibrinolytic substances, of
intensive chemotherapy and inflammation. All these impacts impair endothelial
cells and trigger plasmic coagulation cascade; the initiator of coagulation is a
tissue factor. Mechanisms of this process and statistics of thrombohemorrhagic
complications in different variants of acute leukemia are outlined.
PMID- 21894758
TI - [Reforms of practical medicine in the period of the first scientific revolutions
(the XVII century - 70-ies of XVIII century). Communication 1. Therapeutic
diagnostic Galen's conception and failure of its practical use].
AB - This communication outlines basic principles of Galen's therapeutic and
diagnostic conception which dominated in medicine for 15 centuries, shows changes
in the attitude of medical professionals to this conception as a result of
discoveries of the first scientific revolution. Two leading trends in reforms of
practical medicine in the XVII century are described.
PMID- 21894759
TI - [Significance of CRF and dopamine receptors in amygdala for reinforcing effects
of opiates and opioids on self-stimulation of lateral hypothalamus in rats].
AB - Bipolar electrodes were implanted in the lateral hypothalamus in a group of 44
Wistar male rats in order to study self-stimulation reaction in the Skinner box.
Simultaneously, microcanules were implanted into the central nucleus of the
amygdala to inject the drugs (1 microl per injection). The blockade of
corticoliberin (CRF) receptors (astressin, 1 microg) or Na+influx currents
(xycaine or lidocain 1 microg) by the intrastructural administration of drugs
into the amygdala decreased self-stimulation reaction of the lateral hypothalamus
in rats by 29-55%. The inhibition of D1 and D2 dopamine receptors in the amygdala
with SCH23390 (1 microg) or sulpiride (1 microg) respectively, also reduced self
stimulation but to a lower degree. On the background of blockade of CRF
(astressin) and dopamine (sulpiride) receptors as well as sodium influx ionic
currents (lidocain) in the amygdala neurons, psychomotor stimulant amphetamine (1
mg/kg) and barbiturate sodium ethaminal (5 mg/kg) retained their psychoactivating
effect on self-stimulation (+30-37%), while fentanyl (0.1 mg/kg) and leu
enkephaline (0.1 mg/kg) did not produce this effect. Fentanyl moderately
activated self-stimulation only after the blockade of D1 dopamine receptors with
SCH23390. After the blockade of CRF receptors, leu-enkephaline strengthened its
depressant effect on self-stimulation reaction (-89%). Therefore, if the
modulating action of amygdala on the hypothalamus is eliminated, the enhancing
effects of opiates (fentanyl) and opioids (leu-encephaline) are blocked, but the
effects of psychomotor stimulant amphetamine and barbiturate sodium ethaminal are
retained.
PMID- 21894760
TI - [Comparative study of amantadine and hemantane effects on development of levodopa
induced dyskinesia in rat model of parkinsonian syndrome].
AB - Chronic administration of levodopa and benserazide (10 and 15 mg/kg,
respectively) cause the development of dyskinesia in rats with model parkinsonian
syndrome induced by injection of 6-hydroxydopamine in left substantia nigra. The
chronic administration of these drugs together with amantadine (20 mg/kg)
accelerates the onset of latency and increases the magnitude of dyskinesia.
Chronic administration of levodopa and benserazide together with hemantane (10
mg/kg) slows down the development and decreases the magnitude of levodopa-induced
abnormal involuntary movements as measured for limb, orolingual and rotatory
movements.
PMID- 21894761
TI - [Efficiency of etoxidol in treating cardiovascular disorders caused by
experimental cerebral ischemia].
AB - A complex pharmacological study of the new cytoprotector drug etoksidol in
animals with the disturbances of cerebral blood circulation showed that the
intravenous introduction of the drug restores autonomous nomotopic driver of
rhythm, conductivity in the atria and atrioventricular connection, and
refractoriness of the atrioventricular connection, which were violated a result
of sharp cerebral ischemia. The new drug does not suppress the inotropic function
of the heart in cats and limits the dimensions of the zone of necrosis in rats
with the myocardial infarction on the background of deficiency of the cerebral
blood flow.
PMID- 21894762
TI - [Comparison of stress protector activity of drugs with antioxidant activity
(vitamin E, dimephosphon, reamberin) in rats].
AB - The condition of the mucous membrane and lipid spectrum of tissue structures
under the action of gastric ulcerogenic stress factors was studied on the
background of administration of vitamin E, dimephosphon, and reamberin. The use
of antioxidants, especially reamberin, led to the restoration of lipid metabolism
and normalization of lipid peroxidation processes.
PMID- 21894763
TI - [Effects of flaxseed extract on rheological properties of blood in experimental
ovariectomy].
AB - The effect of flaxseed extract (FSE) containing 42% secoisolariciresinol
diglucoside on the blood plasma estradiol level and theological properties of
blood in female Wistar rats after ovariectomy was investigated by measuring
hematocrit, fibrinogen concentration, platelet aggregation and deformability, and
the whole blood and plasma viscosity. Bilateral ovariectomy in rats led (in
comparison to sham-operated animals) to a decrease in the estrogen level to 59%
and produced a 5-9% increase in the whole blood viscosity, which was caused by
impairment of the erythrocyte deformability and aggregation. The efficacy of
oxygen transport to tissues was decreased by 4-7%. The treatment of
ovariectomized rats with FSE (peroral administration at a daily dose of 40 mg/kg
for 14 days) reduced the whole blood viscosity by 4-11% and increased the
coefficient of oxygen transport to tissues by 5-11%, but did not restore the
estrogen level. Thus, the hemorheological effect of FSE reduces to the
improvement of microrheological parameters (decrease in erythrocyte aggregation
and increase in their deformability) without the modification ofmacrorheological
parameters (hematocrit, plasma viscosity and fibrinogen level).
PMID- 21894764
TI - [Pharmacokinetics of afobazole metabolite (M-11) in rats].
AB - Pharmacokinetics of compound M-11 (main metabolite of afobazole) after
administration via different routes was studied in rats. After oral and
intravenous administration, M-11 exhibited weakly pronounced bioconversion with
the formation of a few metabolites that could be detected in plasma samples for
about 3 hours. The absolute bioavailability of M-11 after oral administration was
68.3%. It was found that M-11 was completely absorbed from gastrointestinal tract
of rats and characterized by "the first pass effect", after which approximately
70% of administered dose entered the circulation. The parent substance was
determined neither in urine nor in feces.
PMID- 21894765
TI - [Main pharmacokinetic parameters of p-tyrosol after intravenous injection in
rats. Part III: Distribution of p-tyrosol in rat].
AB - Distribution of p-tyrosol in organism was studied in rats after a single
intravenous administration in a dose of 200 mg/kg. It was shown that p-tyrosol
rapidly penetrates into well perfused organs (brain, heart, kidneys). The maximum
concentration ofp-tyrosol in these organs was determined in 1 minute after
administration, and the mean distribution constant was within 0.8-1.11. The
albumin bound fraction ofp-tyrozol amounted to 0.26-0.30.
PMID- 21894766
TI - [Antioxidant properties of some sulfur-containing substances].
AB - The antioxidant properties of sulfur-containing substances have been
experimentally studied in vitro. Unithiol exhibits a wide spectrum us radicals.
For this reason, unithiol can be considered, along with ascorbic acid, as a
universal drug for the reduction of free radical reactions.
PMID- 21894768
TI - [Comparative pharmacokinetics of antigrippin-maximum administered in capsules and
powder for preparing solutions].
AB - Comparative pharmacokinetics of anti-influenza drug composition Antigrippin
maximum administered in capsules and a powder for preparing solutions has been
studied after single administraton in a group of 18 healthy volunteers. Both
preparations [manufactured by the Antiviral Research and Production Corporation
(St Petersbutg) contain 6 active components, including paracetamol, rimantadine,
loratadine, ascorbic acid, calcium gluconate, and rutoside in equal amounts. The
concentrations of unchanged paracetamol, rimantadine, and loratadine in the blood
plasma were degtermined by HPLC with mass-spectrometric and UV detection. The
pharmacokinetic parameters of allindicated active components exhibited no
detectable distinctions, except for the time to attaining maximum concentration
ofparacetamol and the value of the maximum concentration of loratadine.
PMID- 21894767
TI - [Positive effect of cytoflavin on metabolic status changes in patients with burn
disorder].
AB - Complex clinical and laboratory examination of 60 patients with moderate level of
burn damage included determination of the content of intermediate products of
lipid peroxidation (LPO) (malonic dialdehyde, diene conjugate, SH groups and
tocopherol) in blood and peripheral zone of the skin and hypodermic tissue. At
the same time, the activity of superoxide distumase (SOD) and catalase was
evaluated. This examination was performed on the first and third days after
injury, in the period of burn shock. In the course of conventional therapy, burn
shock was characterized by LPO intensification and insufficiency of the blood
antioxidant system. Cytoflavin included into the conventional therapy produces
improvement in metabolic changes due to the activation of blood SOD and catalase
and an increase in tocopherol (vitaminE) content in the blood.
PMID- 21894769
TI - [Melatoninergic antidepressant valdoxan].
AB - Data on the pharmacological properties, mechanism of action, and clinical
advantages of the new antidepressant drug valdoxan capable of selectively
stimulating melatonin receptors are presented. The drug effect is compared to the
action of epiphyseal hormone melatonin and traditional antidepressants.
PMID- 21894770
TI - [A cross-sectional study of the current status of respiratory home care patients
and their caregivers in Osaka Prefecture].
AB - BACKGROUND: The importance of the home care of patients with chronic respiratory
failure has been emphasized, but the status of the patients and their caregivers
have not been comprehensively evaluated. METHODS: We performed a cross-sectional
analysis of 242 patients treated at home with long-term oxygen therapy (LTOT)
and/ or non-invasive positive pressure ventilation (NPPV) and of their
caregivers, using a questionnaire survey. RESULTS: A total of 176 patients
responded. The patients' mean age was 74.5 years, and 80.7%, 16.5%, and 1.7% of
them were treated with LTOT, LTOT and NPPV, and NPPV, respectively. Of these, 29
patients lived alone, and 11 of whom had no caregivers. The SF-8 questionnaire, a
health-related quality of life (HRQOL) scale, showed that the patients' HRQOL was
lower than the Japanese standard. Patients with severe dyspnea were not all
designated as requiring a high care level according to the Long-Term Care
Insurance System. A total of 155 caregivers responded to the questionnaire, and
their mean age was 64.7 years; 81% of them were women and 67.7% were the
patients' spouses. The Burden Index of Caregivers (BIC-11), which is a
multidimensional short care burden scale, showed that they bore the burden of
patient care, comparable to the caregivers of patients with intractable
neurological diseases. The patients and their caregivers required several
services including a family doctor, public aid, and short-term hospitalization.
CONCLUSIONS: This study highlighted the poor HRQOL of patients provided with LTOT
and/or NPPV, and the considerable burden on their caregivers. Current respiratory
home care should be reviewed thoroughly, and further measures to support the
patients and their caregivers should be implemented.
PMID- 21894771
TI - [Multidisciplinary assessment of effects, safety and procedure of whole lung
lavage for 8 patients with autoimmune pulmonary alveolar proteinosis].
AB - We treated 8 cases of autoimmune pulmonary alveolar proteinosis (APAP) with whole
lung lavage (WLL) in our hospital and evaluated the disease severity of APAP
before and after WLL, adverse events (AEs) and recurrence-free survival in those
cases who improved. In all cases, unilateral WLL was performed in both lungs. The
median of total lavage volume in unilateral WLL was 17.9 L, and the median
procedure time of unilateral WLL was 105 min. Fever was the most frequently
observed AE (87.5% of all procedures). Pulmonary function tests (percentage of
predicted value of VC, FEV1 and diffusing capacity of carbon monoxide), serum
markers (KL-6, surfactant apoprotein (SP)-D, SP-A and carcinoembryonic antigens),
arterial blood gas analyses (PaO2, AaDO2) and disease severity score all
significantly improved after WLL. The serum levels of anti-granulocyte-macrophage
colony-stimulating factor (GM-CSF) antibody temporarily decreased after
unilateral WLL, but returned to previous levels (before WLL) in 7 cases. The
radiological findings improved in 6 cases. In the 7 improved cases in whom AaDO2
decreased more than 10 Torr, the median recurrence-free survival of APAP after
WLL was 17.5 months. We concluded that WLL is an effective and safe method for
the treatment of APAP, and all parameters except for anti GM-CSF antibody are
useful to evaluate the effect of WLL.
PMID- 21894772
TI - [A case of pulmonary carcinomatous lymphangitis and multiple pulmonary
infarctions from gastric cancer].
AB - We report a case of pulmonary carcinomatous lymphangitis and multiple pulmonary
infarctions from gastric cancer. A 58-year-old housewife presented with a
complaint of a worsening cough over the previous 6 weeks. Chest radiography and
CT scans revealed infiltration and diffuse ground-glass opacities in both lung
fields, and she was hospitalized for further examination. No specific findings
were found upon screening examination, including bronchoscopy with
bronchoalveolar lavage (BAL). However, a CT scan showed mediastinal, hilar and
paraaortic lymph node swelling, and therefore we suspected the presence of a
malignant tumor. On the 11th hospital day, she suddenly developed severe hypoxia
and went into cardiogenic shock. Although there was no sign of a filling defect
in the vessels on CT with an intravenous contrast, we diagnosed pulmonary
thromboembolism based on other examination findings and began thrombolysis and
anticoagulant therapy. Treatment with heparin and urokinase did not improve her
condition, and she died on the 14th hospital day. The autopsy findings revealed
widespread gastric cancer with pulmonary lymphangitis carcinomatosa and thrombus
formation in arterioles throughout the pulmonary lobes: 'Trousseau syndrome'.
PMID- 21894773
TI - [A case of Mycobacterium avium pleuritis and pneumothorax in a rheumatoid
arthritis patient treated with a TNF-alpha antagonist].
AB - A 70-year-old woman with rheumatoid arthritis received treatment with
corticosteroids and methotrexate for 4 years, followed by an additional TNF-alpha
antagonist (infliximab) for about 3 years. She presented with a several-week
history of persistent cough, and CT images of the lung showed a thin-walled
cavitary lesion abutting the pleural surface of the left upper lobe. While we
investigated the cause of this lesion, we admitted her because of acute chest
pain. Chest radiography demonstrated moderate left-sided pneumothorax with
pleural effusion. After further investigation, we suspected that her pneumothorax
and pleuritis had been caused by a ruptured cavitary lesion arising from a
Mycobacterium avium infection. Despite multi-drug therapy, chest tube drainage
and surgical pulmorrhaphy her pleural complications were intractable. This is a
rare case of pneumothorax and pleuritis caused by Mycobacterium avium infection
induced by a TNF-alpha antagonist. Physicians should be aware of nontuberculous
mycobacterial infections in patients treated with TNF-alpha antagonists.
PMID- 21894774
TI - [A case of acute mediastinitis after endobronchial needle aspiration].
AB - BACKGROUND: Endobronchial ultrasound-guided transbronchial needle aspiration
(EBUS-TBNA) has become widespread, but reports of complications are rare. CASE:
An enlarged mediastinal lymph node (4R) was detected in a 67-year-old man 33
months after surgery for rectal cancer, and we performed EBUS-TBNA to confirm the
diagnosis. He was then admitted to hospital 13 days after the procedure, with
cough, a swollen mediastinal fatty area around the 4R lymph node, and elevated
WBC and CRP levels. After a diagnosis of acute mediastinitis was confirmed we
gave him antibiotics, which improved his symptoms, the mediastinal fatty area and
his WBC and CRP levels. CONCLUSION: We have to be aware of the possibility of
acute mediastinitis after EBUS-TBNA of necrotic lymph nodes.
PMID- 21894775
TI - [A case of pulmonary nocardiosis due to Nocardia cyriacigeorgica with prompt
diagnosis by gram stain].
AB - An 82-year-old woman with a past history of a colectomy for colon cancer was
admitted to our hospital because of fever and cough. Her chest radiologic images
on admission showed bilateral pulmonary infiltration, which suggested community
acquired pneumonia. However, the results of gram and acid-fast staining
demonstrated branching acid-bacilli, which suggested pulmonary nocardiosis. We
administered sulfamethoxazole-trimethoprim, and her condition and radiology
findings promptly improved. Nocardia cyriacigeorgica was identified from her
sputum. One of the nocardial isolates, formerly identified as Nocardia
asteroides, has recently been reclassified as Nocardia cyriacigeorgica by the 16S
rRNA gene amplification method. Pulmonary nocardiosis may be misidentified as
"aspiration pneumonia", especially in elderly people. It is important for
patients with community-acquired pneumonia to be evaluated with sputum gram
staining for accurate diagnosis.
PMID- 21894776
TI - [Methotrexate-related lymphomatoid granulomatosis in a patient with rheumatoid
arthritis].
AB - A 76-year-old man who was taking prednisolone and methotrexate for rheumatoid
arthritis presented with gastric ulcers. Chest X-ray images showed multiple
pulmonary nodules. Transbronchial lung biopsy specimens showed lymphocytic
infiltrates but no malignant cells. The radiographic findings gradually
ameliorated over a month, but then deteriorated 5 months later. We performed
video-assisted thoracoscopic biopsy of the left lung, and the biopsy specimens
showed lymphocytic infiltration with necrosis, in which the atypical lymphocytes
were positive for Epstein-Barr virus-encoded small RNAs in situ hybridization
(EBER-ISH). A diagnosis of lymphomatoid granulomatosis was determined. One year
before this diagnosis, the patient was found to have an inflammatory liver tumor
that had disappeared spontaneously within a month. A new pathological review of
the liver and stomach lesions demonstrated EBER-ISH-positive lymphocytes, and
therefore we assumed that they were pathological features of lymphomatoid
granulomatosis. The chest radiographic findings improved gradually after the
discontinuation of methotrexate. We therefore suggest that methotrexate treatment
may be associated with the development of lymphomatoid granulomatosis in patients
with rheumatoid arthritis. Lymphoproliferative disorders, including lymphomatoid
granulomatosis, should be considered in patients with rheumatoid arthritis who
are receiving methotrexate.
PMID- 21894777
TI - [A case of small-cell lung cancer associated with paraneoplastic limbic
encephalitis during chemotherapy].
AB - A 75-year-old woman received a diagnosis of small-cell lung cancer (T1N2M0, stage
IIIA, limited disease) in January 2009. She received 4 cycles of chemotherapy
with etoposide and carboplatin and concurrent radiotherapy (50 Gy/25 Fr) which
yielded a complete response. However, recurrence of her small-cell lung cancer
occurred in a mediastinal lymph node and the ribs in November 2009. During the
2nd cycle of second-line chemotherapy with nogitecan, she was readmitted to our
hospital complaining of amnesia, periods of unconsciousness and convulsions. Her
laboratory data on admission revealed normal serum electrolyte and cerebrospinal
fluid levels, and electroencephalogram findings. Her neurological symptoms, which
mimicked limbic encephalitis improved after steroid pulse therapy plus third-line
chemotherapy with amrubicin. The final diagnosis was paraneoplastic limbic
encephalitis by positive serum voltage-gated calcium channel antibodies. We
hereby report a rare case of small-cell lung cancer associated with
paraneoplastic limbic encephalitis during chemotherapy.
PMID- 21894778
TI - [A case of mild pulmonary tuberculosis complicated with the syndrome of
inappropriate antidiuretic hormone secretion which caused impaired
consciousness].
AB - An 81-year-old woman was admitted to our hospital for hyponatremia and impaired
consciousness after unsuccessful antibiotic treatment for pneumonia-like symptoms
by a previous doctor. A chest X-ray film revealed unilateral infiltration.
Mycobacterium tuberculosis was detected on a sputum smear and pulmonary
tuberculosis was diagnosed. Based on the diagnostic criteria, we believed that
her hyponatremia a consequence of syndrome of inappropriate antidiuretic hormone
secretion (SIADH) as a complication of pulmonary tuberculosis. Sodium loading and
water restriction quickly improved her serum sodium level and impaired
consciousness. Anti-tuberculosis therapy reduced the abnormal shadows noted on
chest X-ray films, and the sputum smear became negative for Mycobacterium
tuberculosis. Her serum sodium level remained normal after the discontinuation of
sodium loading. Previous reports have associated SIADH with severe types of
tuberculosis such as miliary tuberculosis, tuberculosis meningitis, and pulmonary
tuberculosis with massive bacterial excretion. However, this complication can
also occur in mild tuberculosis, as in this case, thus SIADH should also be
considered in mild cases of tuberculosis.
PMID- 21894779
TI - [Allergic bronchopulmonary mycosis due to Schizophyllum commune and Aspergillus
fumigatus].
AB - A 53-year-old man who had been suffering from asthma presented to our hospital
because of abnormal shadows detected on a chest X-ray film during a routine
medical examination. A biopsy specimen of a mucus plug obtained by bronchoscopy
showed fungal hyphae, eosinophils, and Charcot-Leyden crystals, with evidence of
lung tissue eosinophilia. Schizophyllum commune and Aspergillus fumigatus were
isolated from his sputum, bronchial washing specimens and the mucus plug. We
detected specific immunoglobulin E anti-Aspergillus fumigatus responses and
precipitating antibodies against Schizophyllum commune and Aspergillus fumigatus,
which led to the diagnosis of allergic bronchopulmonary mycosis caused by both
fungi. We gave him fluticasone/salmeterol and itraconazole; thereafter, his
symptoms of cough and sputum production and his radiological findings all
improved.
PMID- 21894780
TI - [A case of pulmonary varices demonstrated by three-dimensional computed
tomography].
AB - We present a case of pulmonary varices in a 44-year-old man. The patient's chest
X-ray films revealed well-delineated shadows in the right lower lung field. An
enhanced chest CT scan with three-dimensional volumetric imaging demonstrated a
vascular malformation in the right lower lobe. Both the arterial and parenchymal
phases of digital subtraction of the right pulmonary angiography were normal.
However, the venous phase showed serpiginous right lower pulmonary veins draining
into the left atrium, and a delayed pooling of contrast in dilated pulmonary
veins confirmed a diagnosis of pulmonary varices. No echocardiographic findings
of valvular disease were recognized. He remained asymptomatic and returned to
work, but radiological follow-up was continued annually.
PMID- 21894781
TI - Reaching out to neglected children.
PMID- 21894782
TI - Plenty of money for nurses' pay.
PMID- 21894783
TI - Plenty of money for nurses' pay.
PMID- 21894784
TI - District nurses--an innovative and flexible workforce.
PMID- 21894785
TI - Encouraging family-centred care in neonatal practice.
PMID- 21894786
TI - Matariki in parliament.
PMID- 21894787
TI - Supporting premature and sick infants in Samoa.
PMID- 21894788
TI - Neonatal nursing--an exciting world of practice.
PMID- 21894789
TI - The value of combined nursing and midwifery skills.
PMID- 21894790
TI - Wound healing--repair at the expense of function.
PMID- 21894791
TI - When is bullying not bullying?
PMID- 21894792
TI - Sleeping on the job.
PMID- 21894793
TI - How poverty hurts our children.
PMID- 21894795
TI - QRS duration and QTc interval are predictors of risk for ventricular arrhythmias
during cardiac resynchronization therapy.
AB - BACKGROUND AND OBJECTIVES: QRS duration (QRSd) and prolonged corrected QT
interval (QTc) are associated with ventricular arrhythmic events. This study was
designed to determine whether CRT by means of biventricular pacing alters the QTc
and QRSd, and whether such changes are related to the risk of sustained
ventricular tachyarrhythmias (sVTA). METHODS AND RESULTS: A total of 127 patients
(102 men, mean age 63.9 +/- 8.9 years) with drug-resistant heart failure and QRS
duration > or = 130 ms underwent CRT/CRT-ICD. The aetiology of the heart failure
was ischaemic in 41 patients (32.3%). After a median follow-up of 24 months, 42
sVTA occurred in 35 patients (27.6%). Twenty-nine patients had a single sVTA, in
five patients two sVTA and in one patient three sVTA occurred. The paced QTc was
longer in sVTA patients (505 +/- 55 ms) compared with no sVTA patients (486 +/-
44 ms, P < or = 0.003). Similar responses for paced QRSd were observed (182 +/-
27 ms in sVTA patients vs 167 +/- 27 ms in no-sVTA patients, P < or = 0.03). This
effect was independent from intrinsic QTc and QRSd and the aetiology of the heart
failure. The mortality rate was significantly higher in patients with ventricular
fibrillation and fast VT (P < or = 0.004) who experienced shock therapies.
However, the sVTA were not the immediate cause of death. CONCLUSIONS: A pacing
induced increase in QTc and QRSd is related to sVTA in patients with CRT. Further
studies are needed to determine whether optimization of CRT with the goal to
achieve a narrow paced QRSd can reduce the occurrence of sVTA.
PMID- 21894794
TI - The CAREGENE study: genetic variants of the endothelium and aerobic power in
patients with coronary artery disease.
AB - OBJECTIVES: Aerobic phenotypes show a wide variability to similar aerobic
training stimuli, which can be partly attributed to heritability. Endothelial
function affects aerobic power. Various physiological pathways may influence the
endothelial function. Therefore, we aimed to examine whether polymorphisms of the
eNos gene, the CAT gene, the VEGF gene, the GPX1 gene, the subunit P22 phox of
the NAD(P)H-odixase gene, the PPAR-alpha gene, and the PGC-alpha gene are
associated with aerobic power or with its response to physical training in
patients with coronary artery disease (CAD). METHODS: 935 biologically unrelated
Caucasian patients with CAD who had exercised until exhaustion during graded
bicycle testing at baseline and after completion of 3 months of training were
included in the CAREGENE study (Cardiac Rehabilitation and GENetics of exercise
performance). Polymorphisms were detected using the invader assay and MassARRAY
technology. Haplotype analysis was performed on the polymorphisms of the eNos
gene, the VEGF gene and the NAD(P)H-oxidase gene. RESULTS: Physical training
significantly increased aerobic power by 24.2 +/- 0.6% (P < 0.001). Associations
of P < 0.05 were found between aerobic power and the eNOS 273C>T variant and the
catalase -262C>T variant and aerobic power response. Haplotypes of the eNOS
polymorhisms were predictive of aerobic power and its response to training (P <
0.05). After Bonferroni correction of multiple testing no significant differences
remained. CONCLUSION: We believe that genetic factors are very important in the
explanation of the great variability of aerobic power and its response. However,
after Bonferroni-correction, differences in these polymorphisms remained no
longer statistically significant.
PMID- 21894796
TI - Low safety index of domperidone: mechanism for increased odds ratio for sudden
cardiac death.
AB - OBJECTIVE: Domperidone is a dopamine antagonist with anti-nausea and anti-emetic
activity. There have been several reports of sudden cardiac death (SCD)
associated with the compound. Recently it was estimated to increase SCD nearly
fourfold. I therefore tested domperidone for liability of cardiac repolarization
disturbances (triangulation, reverse use dependence, instability and dispersion
or TRIaD) and induction of arrhythmias. METHODS AND RESULTS: In Langendorff
perfused rabbit hearts, domperidone significantly prolonged the action potential
duration starting at 30 nM. It induced proarrhythmic TRIaD from 100 nM on. Since
therapeutic free drug concentrations extend to 19 nM, the safety ratio for
domperidone equals 100/19 = 5.25, i.e., far below the minimum safety ratio of 30.
Hence, widespread use of domperidone cannot be without danger; especially since
it is frequently used as an over the counter medication. CONCLUSION: In light of
these new preclinical and of recent clinical warnings, domperidone should best be
restricted to patients in whom its benefit is proven to justify the risks.
Availability without prescription and advertising as an 'innocent' relief is
incorrect and unsafe, and needs to be reconsidered.
PMID- 21894797
TI - Cardiac injury in patients with pandemic 2009 influenza A (H1N1) infection.
AB - BACKGROUND: In March 2009, the novel 2009 influenza A (H1N1) was first reported
in the southwest of Mexico, and rapidly spread worldwide. We investigated the
clinical features of cardiovascular involvement of patients infected with the
2009 influenza A (H1N1) virus in China. METHODS: This retrospective study
recruited one hundred and seventy-two patients with 2009 influenza A (H1N1) of
different severity (non-severe, severe, critically severe) and 21 patients who
were influenza A (H1N1)-negative but who had an influenza-like illness. Blood was
obtained for measurement of the concentration of creatine kinase (CK), creatine
kinase-MB (CK-MB) and high sensitivity C-reactive protein (hs-CRP) in plasma.
Chest radiography was also undertaken to calculate the cardiothoracic ratio
(CTR). RESULTS: influenza A (H1N1) caused more illness in middle-aged people. The
patients in the non-severe group were younger than in the severe group (P < 0.05)
and the non-influenza A (H1N1) group (P < 0.01). The level of CK, CK-MB, hs-CRP
and the CTR was higher in the critically severe group than in the other three
groups (P < 0.001, P < 0.05, P < 0.01, P < 0.01, respectively). CONCLUSIONS:
Myocardial injury was quite serious in severe infection by the influenza A (H1N1)
virus, particularly in critically severe patients. Patients with 2009 influenza A
(H1N1) had injury and dilation of the heart, which may be a potential cause of
death.
PMID- 21894798
TI - Apolipoprotein B assessment for evaluating lipid goals.
AB - OBJECTIVE: It is suggested that the apolipoprotein (Apo) B levels are more
valuable than LDL cholesterol (LDL-C) levels in assessing cardiovascular risk
associated with hyperlipidaemia. However, although non-HDL cholesterol (non-HDL
C) levels are accepted as a secondary objective after achieving LDL-C levels in
the guidelines, Apo B has not been recommended as a therapeutic goal yet. The
objective of this study was to determine how many of the patients who achieved
the LDL-C and non-HDL-C target recommended by the guidelines with a statin
therapy achieved the Apo B target. METHODS: The study included a total of 182
consecutive hypercholesterolaemic (119 male, 63 female) patients who were over 18
years of age (mean age: 54.96 +/- 9.27 y) and on statin therapy. Apo B and non
HDL-C levels were determined for the patients who achieved or did not achieve the
LDL-C target according to the cardiovascular risk categories defined in the Adult
Treatment Panel-III report. Serum Apo B levels were assessed using the
nephelometric method. RESULTS: The prevalence of patients who achieved the LDL-C,
non-HDL-C and Apo B target was 63.2%, 79.7% and 72.5%, respectively. All of the
patients who achieved the LDL-C target also achieved the non-HDL-C target. It was
found that 6 of 115 patients (5.2%) who achieved the LDL-C and non-HDL-C target
failed to achieve the Apo B target, whereas 23 of 132 patients (17.4%) who
achieved the Apo B target failed to achieve the LDL-C target. CONCLUSIONS: These
results showed that 95% of patients who received a statin therapy, and achieved
LDL-C and non-HDL-C targets also achieved the Apo B target.
PMID- 21894799
TI - Clinical outcomes of brief versus prolonged unfractionated heparin infusion after
primary percutaneous coronary intervention for ST-segment elevation myocardial
infarction in the drug-eluting stent era: real-world, single-centre experience.
AB - OBJECTIVE: Optimal dose and duration of intravenous unfractionated heparin (UFH)
infusion after primary percutaneous coronary intervention (PCI) for ST-segment
elevation myocardial infarction (STEMI) are unknown when glycoprotein IIb/IIIa
inhibitors (GPIs) are not used. We evaluated the clinical outcomes in patients
who received brief versus prolonged UFH infusion following primary PCI for STEMI
in the era of drug-eluting stents (DES). METHODS: We studied 273 (216 men, 63 +/-
12 years) consecutive patients who underwent primary PCI with DES implantation
for STEMI between December 2003 and May 2009. All patients received currently
recommended loading and maintenance doses of aspirin and clopidogrel. In-hospital
and cumulative 30-day rates of major adverse cardiovascular events (MACEs) and
major bleeding were compared between patients receiving brief (< 48 (26 +/- 15)
hours, group 1) and those receiving prolonged (> or = 48 (83 +/- 38) hours, group
2) infusion of intravenous UFH following index procedure. RESULTS: The
demographic and baseline angiographic characteristics were similar between the
two groups. In-hospital and cumulative 30-day MACEs rates and major bleeding
events rates were not statistically different between groups. CONCLUSION: In this
single-centre experience, in patients with STEMI who underwent primary PCI in the
era of DES, a routine post-procedure course of UFH infusion for more than 48
hours was not associated with any significant benefits. Further study is
warranted to determine the optimal duration and dose of administration of UFH
infusion following primary PCI.
PMID- 21894800
TI - The preoperative cardiology consultation: goal settings and great expectations.
AB - BACKGROUND: Despite the availability of guidelines for preoperative cardiology
consultations, their efficacy in real clinical practice remains unknown.
Furthermore, there are concerns that overused cardiology consultations can lead
to unnecessary investigations, prolonged hospital stays, and even cancellation of
necessary surgery. In this retrospective study, we investigated: (i) the
potential impact of the American Heart Association/American College of Cardiology
algorithm and (ii) the potential of this algorithm for preventing unnecessary
evaluation. METHODS: We examined the cardiology consultation requests for 712
patients scheduled for elective surgery. Our analysis included: (i) patient
characteristics, (ii) abnormalities revealed by the consultant, (iii) impact of
these abnormalities on clinical decision making and therapy modification.
RESULTS: The most common reason for consultation was 'pre-operative evaluation'
(80.9%). Although our cardiologists revealed an abnormality in 67.8% and
recommended further work up in 58.7% of our patients, they contributed to the
clinical course in only 36.9%. Moreover, when the algorithm was applied to
'routine pre-operative evaluation' requests lacking a specific question, only
7.6% of these consultation requests required further investigation. CONCLUSION:
Preoperative cardiology consultation seems to be overused. Although the fear of
missing important issues leads surgeons to use a decreased threshold for pre
operative consultation requests, such a non-specific manner of pre-operative
consultation request causes unnecessary investigations and decreased cost
effectiveness. Furthermore, the detection of any clinical abnormality by
cardiologists surprisingly adds little to clinical decision making.
PMID- 21894802
TI - Standardization of the apex beat in the full left lateral position and its
diagnostic value in detecting left ventricular dilatation.
AB - AIMS: The aims of this study were to determine the characteristics of the apex
beat in the full left lateral position in healthy adults, and to assess its value
in the diagnosis of left ventricular dilatation. METHODS AND RESULTS: 77 healthy
adults and 27 patients with left ventricular dilatation were evaluated by
history, physical examination, electrocardiography, apexcardiography and complete
transthoracic echocardiographic examination. The location, size and quality of
the apex beat were determined in the 90-degree left lateral position. A palpable
apex beat, located in the 5th or 6th intercostal space, was recorded in 87% of
the healthy adults. The lateral margin was palpated in a 3-cm-wide area from the
left anterior axillary line (LAAL) towards the mid-clavicular line (MCL). The
mean diameter and area were 2.5 +/- 0.5 cm and 5.0 +/- 2.5 cm2, respectively. In
51% the apex impulse intensity was of medium degree, in 25% weak and in 24%
strong. It was palpable in all patients with left ventricular enlargement in the
5th, 6th or 7th intercostal space. The lateral margin was located in a 4.5-cm
wide area, 3.5 cm left from the LAAL to 1 cm right from it. The mean diameter and
area were 5.0 +/- 1.0 cm and 20.0 +/- 7.0 cm2, repectively. The intensity was
weak in 56% and medium degree in the rest. The diameter > or = 4.0 cm was
sensitive (96%) and specific (96%) for the enlarged left ventricle. The location
of the apex beat with the cut-off point in the LAAL was equally sensitive but
less specific (63%) for left ventricular dilatation. CONCLUSIONS: Palpation of
the apex beat in the full left lateral position is very suitable for detecting
the left ventricular dilatation at bedside. The size of the apex beat appears to
be a more reliable diagnostic sign of left ventricular dilatation than its
location.
PMID- 21894801
TI - Carotid stenting of asymptomatic and symptomatic carotid artery stenoses with and
without the use of a distal embolic protection device.
AB - OBJECTIVE: The objective of this study was to evaluate the incidence of
symptomatic and clinically silent embolic following carotid angioplasty and stent
placement (CAS) with and without the use of an embolic protection device (EPD).
MATERIALS AND METHODS: Between January 2006 and April 2009, 76 patients with
carotid stenosis underwent 79 CAS procedures (three patients had bilateral CAS).
In this group, 44 of the 79 procedures were performed with an EPD, and 35 were
performed without an EPD. In the EPD group, 26 treated hemispheres received pre-
and post-operative diffusion-weighted MRI (DWI), and in the non-EPD group, 16
treated hemispheres received pre- and post-operative DWI. RESULTS: All 79
procedures were technically successful without significant residual stenosis. In
the EPD group, there were three symptomatic ischaemic events (6.8%), and 4 of the
26 (15.4%) treated hemispheres which received MRI showed new DWI lesions. In the
non-EPD group, there was one symptomatic ischaemic event (2.9%), one mortality
(2.9%) due to reperfusion injury with intracranial haemorrhage, and three of the
16 (18.8%) treated hemispheres which received MRI showed new DWL lesions.
CONCLUSIONS: The results of this retrospective analysis do not show demonstrable
benefits of reducing new DWI lesions or major/minor ischaemic events after CAS
with a filter protection device. Further investigations with more accurate risk
analysis strategies remain necessary in hopes of selecting patients most likely
to benefit from the use of an embolic protection device.
PMID- 21894803
TI - Autonomic nervous function and baroreflex sensitivity in hypertensive diabetic
patients.
AB - OBJECTIVE: Little is known about how the cardiac autonomic nervous function (CAN)
changes with gradually increasing systolic blood pressure (SBP). This study
investigated cardiac autonomic nervous function in diabetic patients and controls
with different grades of SBP. METHODS AND RESULTS: We measured heart rate
variability (HRV) and spontaneous baroreflex sensitivity (BRS) by power spectral
analysis in 118 Chinese type-2 diabetic patients and 137 age-matched controls.
Subjects were further subdivided into 4 SBP groups. Results showed total HRV and
BRS values were significantly lower in several diabetic SBP groups. Total HRV and
BRS decreased with increasing SBP, with significant differences in the 140-159 mm
Hg or 160-179 mm Hg groups compared with other groups of diabetic and control
subjects. CONCLUSIONS: We found that HRV and BRS can reflect cardiovascular
autonomic dysfunction of diabetes when accompanied by hypertension. In our
selected Chinese diabetic and control subjects, HRV and BRS parameters were
influenced by gradually increasing SBP.
PMID- 21894804
TI - Singh's verbal autopsy questionnaire for the assessment of causes of death,
social autopsy, tobacco autopsy and dietary autopsy, based on medical records and
interview.
AB - INTRODUCTION: The exact causes of death in India are not known because autopsy
studies are difficult to conduct due to religious considerations. There are rapid
changes in diet and lifestyle amongst social classes causing changes in the
pattern of risk factors and mortality. In the present study, we attempt to
develop a verbal autopsy questionnaire based on medical records and interview of
a family member, for the assessment of causes of death, social class, tobacco
consumption and dietary intakes among urban decedents in north India. METHODS:
For the period 1999-2001, we studied the randomly selected records of death of
2222 (1385 men and 837 women) decedents, aged 25-64 years, out of 3034 death
records overall from the records at the Municipal Corporation, Moradabad.
Families of these decedents were contacted individually to find out the causes of
death, by scientist- administered, informed-consented, verbal autopsy
questionnaire, completed with the help of the spouse and local treating doctor
practicing in the appropriate health care region. Clinical data and causes of
death were assessed by a questionnaire based on available hospital records and a
modified WHO verbal autopsy questionnaire. Dietary intakes of the dead
individuals were estimated by finding out the food intake of the spouse from 3
day dietary diaries and by asking probing questions about differences in food
intake by the decedents. Tobacco consumption of the victim was studied by a
questionnaire administered to family members. Social classes were assessed by a
questionnaire based on attributes of per capita income, occupation, education,
housing and ownership of consumer luxury items in the household. The diagnoses of
overweight and obesity were based on the new WHO and International College of
Nutrition criteria. RESULTS: Cardiac diseases (23.4%, n = 520) including coronary
artery disease (10%), valvular heart disease (7.2%, n = 160), diabetic heart
disease (2.2%, n = 49), sudden cardiac death and inflammatory cardiac disease,
each (2.0%, n = 44) were the most common causes of deaths as reported using the
modified verbal autopsy questionnaire. Brain diseases including stroke (7.8%, n =
175) and inflammatory brain disease were reported amongst 1.9% (n = 42)
victims.Thus, NCDs (37.0%, n = 651); circulatory diseases (31.2%, n = 695)
including stroke and cardiac diseases, and malignant neoplasms (5.8%, n = 131)
emerged as the most common causes of death. Injury and accidents (14.0%, n = 313)
including fire, falls and poisonings were also common. Miscellaneous causes of
death were observed amongst 8.5% (n = 189) of victims. Pregnancy and perinatal
causes (0.72%, n = 15) were not commonly recorded in our study. Renal diseases
(11.2%, n = 250), pulmonary diseases (22.3%, n = 495) and liver diseases (4.8%, n
=107) were also commonly recorded causes of death. It is clear that causes of
death related to various body systems can be more accurately assessed by the
modified verbal autopsy questionnaire. Circulatory diseases as the cause of
mortality were significantly more common among higher social classes (1-3) than
in lower social classes (4 and 5) who died more often, due to infections. Death
due to coronary disease, stroke, hypertension, diabetes and obesity were
significantly more common among higher social classes 1-3 and among victims with
higher body mass index (BMI) compared to social class 4 and 5 who had lower BMI.
CONCLUSIONS: This study indicates that causes of death, social class, tobacco and
dietary intakes, can be accurately assessed by a modified verbal autopsy
questionnaire based on medical records and by interview of family members.
Circulatory diseases, injury-accidents and maligant diseases have become the
major causes of death in India, apart from infections.
PMID- 21894805
TI - Plasma thrombin-activatable fibrinolysis inhibitor levels and Thr325Ile
polymorphism as a risk marker of myocardial infarction in Egyptian patients.
AB - OBJECTIVE: The objective of this study was to investigate whether thrombin
activatable fibrinolytic inhibitor (TAFI) Thr325Ile polymorphism and TAFI antigen
(Ag) levels could constitute a risk marker of myocardial infarction (MI) in
Egyptian patients. STUDY POPULATION AND RESULTS: The study included forty-six
patients with acute MI (mean age 55.7 +/- 8.1 years, 33 men, 13 women) compared
with age and sex-matched healthy volunteers (n = 54) as a control group. Clinical
examination, laboratory investigations, electrocardiography (ECG) and/or
echocardiography were done. TAFI Thr325Ile (reference sequence: rs1926447)
polymorphism was genotyped in both studied groups using TaqMan SNP (single
nucleotide polymorphism) genotyping assay. The genotypes of the high-risk allele
[Thr/Ile (CT) and Ile/Ile (TT)] were significantly more frequent in patients
compared with the control group (54.4% and 32.6% vs. 51.8% and 5.6%,
respectively) and were also associated with an increased risk of MI [OR = 4.95,
(95% CI: 1.80 - 13.63); P = 0.0001]. Ile325 allele carriers were more frequent in
cases than in control subjects (60.0% vs. 31.5%) [OR = 3.26, (95% CI = 1.82 -
5.83), P = 0.001]. The Thr325Ile SNP significantly correlated with TAFI antigen
levels with the C/C genotype corresponding with the highest and the T/T genotype
with the lowest TAFI antigen levels (P < 0.001). No statistically significant
relation was found between TAFI Thr325Ile polymorphism and either the type or the
site of MI. CONCLUSIONS: TAFI Thr325Ile and its respective plasma protein level
could have a contribution to MI risk in the Egyptian population.This could be
helpful in refining a risk profile for coronary heart disease (CHD) patients.
PMID- 21894806
TI - Free breathing 2D multi-slice real-time gradient-echo cardiovascular magnetic
resonance imaging: impact on left ventricular function measurements compared with
standard multi-breath hold 2D steady-state free precession imaging.
AB - OBJECTIVE: Multi-breath hold cine-imaging (standard-2D-SSFP) is the standard
technique for ventricular function assessment. However, image acquisition is time
consuming and breath holding is required. Ultrafast 2D real-time imaging (2D real
time-SSFP) does not require breath holding, but spatial resolution is sacrificed.
The accuracy of 2D real time-SSFP free-breathing ventricular function assessment
by using an automated contour detection programme has not been systematically
studied. METHODS AND RESULTS: Twenty-eight subjects (14 with impaired LV
function) were investigated by 1.5 Tesla magnetic resonance imaging. Left
ventricular short-axis images were acquired with multi-breath hold standard 2D
SSFP and free-breathing 2D real time-SSFP. LV-volumes (EDV, ESV), EF, and mass
were determined using a semi-automated contour detection programme. EDV, ESV, EF,
and mass were not significantly different between real time- and standard 2D-SSFP
in subjects (absolute differences: EDV 3.2 +/- 0.7 mL, ESV 3.0 +/- 0.3 mL, EF 1.9
+/- 0.4%, mass 0.8 +/- 0.4 g; P > or = 0.78) and patients (absolute differences:
EDV= 3.0 +/- 0.8 mL, ESV 3.3 +/- 1.0 mL, EF 0.9 +/- 0.5%, mass 0.9 +/- 0.5 g; P >
or = 0.73). Automated contour detection required extensive manual correction for
real-time imaging (< or = 86%). CONCLUSIONS: Differences in LV function
measurements between real-time and standard 2D-SSFP are small, and not
significant. Real-time SSFP may be used for rapid LV function assessment when
examination time is limited.
PMID- 21894807
TI - Prophylactic intra-aortic balloon pump reduces C-reactive protein levels and
early mortality in high-risk patients undergoing percutaneous coronary
intervention.
AB - OBJECTIVE: Intra-aortic balloon pump (IABP) plays a pivotal role in the treatment
of cardiogenic shock complicating acute myocardial infarction. However, the
usefulness of prophylactic IABP support in high-risk patients during percutaneous
coronary intervention (PCI) is still controversial, and its influence on the
inflammatory response following PCI has not been well evaluated. In this study we
sought to assess the impact of prophylactic IABP support upon C-reactive protein
(CRP) level and clinical prognosis in high-risk patients undergoing PCI. METHODS
AND RESULTS: A total of 106 high-risk patients diagnosed with acute ST-elevation
or non-ST-elevation myocardial infarction (Cardiogenic shock was excluded) were
enrolled and divided into two groups at random: 51 cases receiving PCI
accompanied by prophylactic IABP support, and the remaining 55 cases undergoing
PCI without IABP insertion served as the control group. CRP levels were
determined on admission, day 3 and day 7, respectively. The troponin I (TNI)
peak, left ventricular functions and major adverse cardiovascular events (MACE)
were compared during follow-up. We found that the IABP group had a lower TNI peak
as well as CRP level after PCI. Left ventricular function was improved at 2-week
instead of 3-month followup. Although the mortality did not reach a significant
decline after 6-month follow-up, it had improved in-hospital and at 30-day follow
up. CONCLUSION: The use of a prophylactic IABP in high-risk patients before PCI
could reduce the CRP level and reduce mortality during the early phase following
PCI.
PMID- 21894808
TI - Aortic valve calcifications on chest films: how much calcium do I need?
AB - OBJECTIVE: Aortic valve calcifications (AVC) as seen on conventional chest films
or on CT are associated with aortic valve stenosis (AVS). The absence of AVC on
chest films does not exclude high grade AVS. The aim of this study was to analyse
if there is a threshold for the detection of AVC from conventional chest films in
patients suffering from high grade AVS. METHODS AND RESULTS: The explanted aortic
valves of 29 patients (16 male, mean age 72.3 +/- 11.5 years) with high grade AVS
were examined by dual-source CT. AVC were quantified using the Agatston AVC
score. In all patients conventional chest films obtained the day before surgery
were evaluated for the presence of AVC. Results were analysed with students t
test, Spearman's rank correlation and ROC analysis. On conventional chest films
AVC were visible in 18 patients. On CT all specimen presented with AVC with an
Agatston AVC score ranging from 40.7 to 1870 (mean 991.3 +/- 463.1). In patients
with AVC visible on chest films the AVC score was significantly higher (1264.0 +/
318.2) when compared with patients without visible calcifications (544.9 +/-
274.4; P < 0.0001). There was a strong correlation between the AVC score and the
visibility of AVC on chest films (r = 0.781). ROC analysis identified an ideal
threshold of 718 for AVC score to separate conventional chest films with and
without visible AVC. CONCLUSION: Unlike in coronary calcifications, there is a
threshold for identifying AVC from conventional chest films. This finding may be
of diagnostic value, as conventional chest films may be used to
semiquantitatively evaluate the extent of AVC.
PMID- 21894809
TI - Association between preinfarction angina and coronary artery ectasia in the acute
myocardial infarction.
AB - OBJECTIVE: The objective of this study was to investigate the predictors of
preinfarction angina (PA) and to show the association between PA and coronary
ectasia (CE). METHODS: A total of 222 patients with acute myocardial infarction
were included. All patients were treated with primary coronary intervention and
interviewed by the staff physicians within 24 h of presentation after reperfusion
had succeeded. The patients were classified according to the presence of PA and
CE. All groups were compared statistically according to their clinicodemographic
characteristics and angiographic results. Regression analysis was performed to
show the predictors of PA. RESULTS: Half of the patients were defined as
preinfarction angina (48.2%) and the success rate of primary coronary
intervention did not differ statistically in the PA present group. More than half
of the patients had multivessel disease and coronary ectasia was found in the
11.7%. The right coronary artery (RCA) was the most common localization for
ectasia and 61.5% of the ectatic vessels were also infarct-related. Diabetes
mellitus, hypertension and previous coronary heart disease were slightly more
common in the PA present group. In the regression analysis, coronary ectasia,
multivessel disease and previously diagnosed coronary artery disease were
independent predictors of PA (P = 0.001, P = 0.01 and P = 0.03, respectively)
CONCLUSIONS: CE is more common in patients with PA and more than half of the
patients showed CE in the infarct-related vessel. CE and multivessel disease are
independent predictors of PA. The success rate of primary coronary intervention
in ectatic infarct-related vessels did not differ in the PA group.
PMID- 21894810
TI - Exercise intolerance due to sustained atrial bigeminy with short coupling
interval.
AB - Atrial bigeminy is a supraventricular arrhythmia rarely associated with severe
symptoms. We report the case of a 22-year-old woman with no prior cardiac disease
presenting with exercise intolerance since several months. No apparent heart
disease other than a spontaneous conducted atrial bigeminy with a short coupling
interval was found. At bicycle ergometric testing, symptoms occurred, because of
an inadequate increase in pulse rate, due to sustained atrial bigeminy. At
electrophysiological study, an ectopic atrial focus at the right atrial septum
was successfully ablated.
PMID- 21894811
TI - The vulnerability of fractional flow reserve.
PMID- 21894812
TI - A patient treated with tilt training and midodrine after 68 seconds asystole
during head-up tilt table testing.
AB - Neurocardiogenic syncope is a relatively common cause of syncope and is diagnosed
by head-up tilt testing. A 21-year-old man was examined for frequent syncope
episodes which occurred after episodes of blood drawing and standing in queue.
Syncope developed in tilt table testing. After about 68 seconds, sinus rhythm
returned. Recent reports have shown that tilt training is a very effective
therapy for recurrent neurocardiogenic syncope. In our case, the patient was
treated with midodrine 2.5 mg once a day and a tilt training programme. Therapy
resulted in improvement and during a follow-up of six months, no major events
occurred.
PMID- 21894813
TI - Optimization of biventricular pacing via strain dyssynchrony measurements in a
paediatric patient.
AB - Cardiac resynchronization therapy is increasingly being used in the paediatric
population as a tool for managing patients with heart failure. Various non
invasive parameters have been used to optimize the settings on the biventricular
pacemaker. We describe implantation of a biventricular pacemaker in a nineteen
month-old child because of intractable heart failure. By analysing a 17-segment
model using strain analysis of the left ventricle, we were able to place the left
ventricular lead at the latest activated segment. Furthermore, we were able to
minimize the dyssynchrony of the left ventricle when evaluating a range of
pacemaker settings.
PMID- 21894814
TI - You can't judge a book by its cover: a pseudo 1st degree A-V block in apparent
absence of retrograde conduction.
AB - We report 3 tracings from an electrophysiological study in which the appearance
of a pseudo 1st degree A-V block helped to unmask the presence of a retrograde
conduction, previously unrecognized. Our case highlights the importance in
specific cases to have more than 2 intracardiac tracings during an
electrophysiological study.
PMID- 21894815
TI - Myocardial hypoperfusion detected by cardiac computed tomography in an adult
patient with heart failure after classic repair for corrected transposition of
the great arteries.
AB - A 69-year-old male with a history of classic repair for corrected transposition
of the great arteries (TGA) arrived at our hospital with dyspnoea upon exertion.
Echocardiography revealed severe dilation and diffuse hypokinesis of the systemic
ventricle without obvious valvular dysfunction. Cardiac computed tomography (CT)
revealed no significant stenosis. However, the morphological right coronary
artery (CA) on the left side was unequally distributed to the large systemic
ventricle and was mostly obscured, especially on the anterior wall. A low
attenuation area in the anterior wall of the systemic ventricle and prominent
trabeculations suggested ischaemia or infarction. We considered that chronic
myocardial hypoperfusion due to an inadequate coronary arterial supply was one
cause of the exacerbated heart failure long after the classic repair. Cardiac CT
is useful for evaluating the distribution of the CA and to predict blood supply
to the myocardium in corrected TGA.
PMID- 21894816
TI - Successful management of Listeria monocytogenes pericarditis: case report and
review of the literature.
AB - Listeria monocytogenes, although an uncommon cause of illness in the general
population, is feared principally because of the morbidity and mortality
associated with CNS infections. Cardiovascular involvement with L. monocytogenes
is very rare, and has been limited to endocarditis. We describe a case of
Listeria pericarditis, which occurred in a 60-year-old man with Child-Pugh B
cirrhosis who presented to the emergency department with asthenia, anorexia, and
respiratory distress. The echocardiogram showed severe pericardial effusion and
after pericardiocentesis, L. monocytogenes was isolated in the culture of
pericardial fluid. After surgical pericardiectomy with draining of the
pericardial effusion and antibiotic treatment with ampicillin, the patient
experienced a slow, but full recovery. Documentation of L. monocytogenes
pericarditis is an extremely rare entity with very scarce reports in medical
literature, and is usually associated with a very poor prognosis. A case report
is presented together with a review of the literature.
PMID- 21894817
TI - Intimal sarcoma of the pulmonary artery--diagnostic challenge.
AB - Pulmonary artery intimal sarcoma is a rare tumour and the diagnosis is often
delayed. We report the case of a woman with a primary pulmonary artery intimal
sarcoma who presented with massive pulmonary embolism. The definitive diagnosis
was elucidated after the patient's death by autopsy specimen. We discuss the
diagnosis and lessons learned from this case.
PMID- 21894818
TI - Ebstein's anomaly with refractory atrial tachycardia.
AB - The incidence of accessory pathways in patients with Ebstein's anomaly is high,
ranging from 20% to 30%, with right and multiple pathways being more commonly
encountered. Radiofrequency ablation can eliminate the accessory pathway, but
success rates of ablation treatment and the chances of recurrence are generally
less satisfactory as compared to those observed when the procedure is performed
on structurally normal hearts. We report the case of a 56-year-old man with
Ebstein's anomaly and refractory atrial tachycardia. The special abnormality of
the tricuspid valve led to the failure of preoperative electrophysiological
mapping and radiofrequency ablation treatment. Finally, the anomalies observed in
this patient were corrected with simultaneous surgery and pathway resection.
PMID- 21894819
TI - A giant post-dissection aneurysm of the ascending aorta in an octogenarian.
AB - Aneurysm formation is a well-known complication of untreated long-standing
dissection of the aorta. Despite numerous advances in cardiac surgery, intrinsic
diseases of the ascending aorta and aortic arch requiring surgical therapy,
remain a technical challenge. However, surgery is the only option for effective
treatment of ascending aorta aneurysm but carries an increased risk of severe
morbidity and mortality, particularly in the elderly. We report on the successful
repair of a giant ascending aorta aneurysm due to chronic dissection in an
elderly woman with dyspnoea as main symptom.
PMID- 21894820
TI - True left ventricular aneurysm after blunt chest trauma.
AB - Left ventricular aneurysms are common in clinical practice. Although their
underlying aetiology is generally clear by the clinical context, it can be hard
to define the exact cause in some cases. We report a case of a patient in whom
cardiac MRI provided important information about the differential diagnosis of a
left ventricular aneurysm.
PMID- 21894821
TI - Meningo-encephalitis as initial manifestation of a fatal atrio-oesophageal
fistula after atrial fibrillation ablation.
AB - OBJECTIVE: Meningo-encephalitis as a complication of an atrio-oesophageal fistula
(AEF) after left atrial radiofrequency ablation (RFA) has been only rarely
reported. CASE REPORT: A 49-year-old man with persisting atrial fibrillation and
oral anticoagulation underwent RFA without initial complication. Four weeks after
the procedure, however, fever, emesis, and confusion occurred. Clinical
neurologic examination revealed somnolence, partial disorientation, psychomotor
agitation, and athetotic movements of the upper limbs. CSF-investigations
revealed 100/3 granulocytes and MR meningeal enhancement and multiple parenchymal
enhancing spots. After haematemesis, seizure, and resuscitation, an AEF was
detected by the third gastroscopy and confirmed by thoracic CT. Because of the
septic state surgeons refused to close the fistula. The patient died 10 days
after the clinical onset of meningo-encephalitis from cerebral oedema despite
adequate antibiotic treatment. CONCLUSIONS: An AEF after RFA may initially
manifest as septic meningo-encephalitis, even after a four-week symptom-free
interval. Manipulations within the oesophagus after diagnosis of an AEF are
contraindicated. The procedure of choice to diagnose an AEF is thoracic CT with
contrast medium. Surgical closure of the fistula should be tried immediately
after diagnosis despite sepsis.
PMID- 21894822
TI - Kounis syndrome: myocardial infarction secondary to an allergic insult--a rare
clinical entity.
AB - The association of an acute coronary syndrome with mast cell activation secondary
to allergen exposure is known as the Kounis syndrome. We present two cases of the
Kounis syndrome: (i) one was misdiagnosed as acute ST elevation myocardial
infarction and treated with thrombolytics; (ii) the second diagnosis was made
after a recurrence two months after the first incident.
PMID- 21894823
TI - Dentistry meets Madison Avenue.
PMID- 21894824
TI - Government super heroes on mission to rout out fraud.
PMID- 21894825
TI - Chad P. Gehani, NYSDA president 2011-2012. A singular voice for all dentists.
PMID- 21894828
TI - Conversion prosthesis: fabricating chairside immediate complete denture.
AB - Patients presenting with mutilated dentition requiring multiple extractions can
be treated effectively with conventional techniques for fabricating an immediate,
complete, removable dental prosthesis (commonly known as an immediate complete
denture). When a patient presents with a full-arch fixed partial denture with few
and severely compromised abutments, it often precludes the possibility of staging
the extractions prior to the fabrication of an immediate complete denture. A
technique for fabrication of a functional, chairside, immediate complete denture
is presented.
PMID- 21894827
TI - Gagging.
AB - Gagging is a protective reflex to stop unwanted entry into the mouth and
oropharynx. Some people have a reduced or absent reflex, while others have a
pronounced one. Pronounced gag reflexes can compromise all aspects of dentistry,
from the diagnostic procedures of examination and radiography to any form of
active treatment. In some patients with marked gagging reflexes, it can lead to
avoidance of treatment. Many techniques have been described that attempt to
overcome this problem, and a variety of management strategies is necessary to aid
the delivery of dental care. This is a review of the etiology of gagging
problems, clinical assessment, and their classification and categorization prior
to clinical treatment. It discusses as well methods for managing patients with
gag reflexes during dental treatment.
PMID- 21894829
TI - IMRA: Immediate maxillary replacement appliance for anterior teeth.
AB - When faced with the need for emergency replacement of anterior teeth, the problem
for dentists and their patients is how can lost teeth be replaced quickly?
Laboratory-fabricated replacement appliances require significant time and
expense, not to mention an extended period, during which the patient remains
edentulous. This report describes a procedure to construct an immediate, low-cost
replacement for one or more missing anterior teeth-one that requires no
laboratory time. The resulting appliance is meant only as a stop gap and does not
support chewing; but it does offer an esthetically pleasing, removable prosthesis
that provides phonetic and lip support during the short time necessary to
fabricate and place a permanent prosthesis.
PMID- 21894830
TI - Recurrent peripheral odontogenic fibroma. Case report.
AB - Peripheral odontogenic fibroma (POF), an extraosseous variant of the central
odontogenic fibroma, is considered an uncommon benign gingival lesion with a
small recurrence rate. Clinically, it mimics a variety of benign neoplasms,
metastases and reactive lesions, but it is most commonly confused with the
peripheral ossifying fibroma. In this report, we describe a healthy 32-year-old
male who was seen with a recurrent POF of the right maxilla. The surgical
excision site was repaired using a free palatal graft, leading to a favorable
outcome in an esthetic region of the oral cavity.
PMID- 21894831
TI - Caries management with fluoride varnish of children in U.S.
AB - Fluoride varnish prolongs the contact time between fluoride and tooth surface to
reduce caries. Its active ingredient is usually 5% sodium fluoride, or 22,600 ppm
fluoride. Its use as an "off-label" approved drug for caries control in the
United States has been on the rise, along with increasing evidence of its
efficacy in case-based studies. It sets rapidly on teeth, and it is simple, quick
and easy to apply. Side effects or complications of its use are rare. Gagging and
swallowing are unusual. The risk of dental fluorosis is minimal. And it can be
safely used in young children.
PMID- 21894832
TI - Caries experience among Chinese-American children in Manhattan Chinatown.
AB - There is little research on the oral health status of Chinese-American (CA)
children in the U.S. and Asian/Pacific Islanders in general. The purpose of this
study was to characterize the dental caries experience of a CA child population
in Manhattan Chinatown, New York City. A five-year chart review of 545 initial
dental exams of patients aged 2 to 11 was conducted at a community clinic serving
an immigrant CA population. DMFT/dft were compared to National Health and
Nutrition Examination Survey (NHANES) and analyzed for associations among
birthplace, language and untreated tooth decay at recall. Subject mean dft was
higher compared to NHANES data both in aggregate and ethnic/race subgroups.
Subjects had lower DMFT ccmpared to the national data. Significant difference was
found between U.S. and non-U.S.-born mean dft. Asian Pacific Islander Americans
include a fast-growing immigrant pediatric population at high risk for tooth
decay.
PMID- 21894834
TI - Congress passes legislation exempting dentists from FTC's Red Flags Rule.
PMID- 21894833
TI - Comparison of efficacy of sodium hypochlorite with sodium perborate in removal of
stains from heat-cured clear acrylic resin.
AB - Acrylic resin bases of removable dentures attract stains and odor-producing
organic and inorganic deposits. The use of chemical denture cleanser soaks is the
most popular method of denture cleansing. This study was undertaken to compare
the efficacy of two different denture cleansers--sodium perborate (Clinsodent)
and sodium hypochlorite (VI-Clean)--in removing tea, coffee, turmeric and tobacco
(paan) stains from heat-cured clear acrylic resins. Distilled water was used as a
control. Both Clinsodent and VI-Clean were found to be the least effective in
removing coffee stains and best for removing turmeric stain. It is necessary that
the dental professional be aware of these results to ensure that denture wearers
know how to select the appropriate denture cleanser.
PMID- 21894835
TI - Keeping your millions.
PMID- 21894836
TI - Interview with Dr. Eric Geist on his campaign for vice president of the American
Association of Oral and Maxillofacial Surgeons (AAOMS).
PMID- 21894837
TI - Green fundraising.
PMID- 21894838
TI - Kidney failure in Canada.
PMID- 21894839
TI - Development of the Self-Care for Adults on Dialysis tool (SCAD).
AB - The objective of this study was to develop a norm-referenced tool that would
measure the self-care abilities and behaviours for adults requiring dialysis
therapy. Guided by the Self-Care Deficit Nursing Theory (Orem, 2001) and an
extensive review of the research literature, the Lay Care Giving for Adults on
Dialysis tool (LC-GAD) (Horsburgh, Laing, Beanlands, Meng, & Harwood, 2008) was
modified to develop the Self-Care for Adults on Dialysis (SCAD) measure. Content
validity testing of the SCAD was conducted by a panel of 13 nephrology nursing
experts. The tool was modified based on study findings. Further psychometric
testing is required. When completed the SCAD tool will guide nurses to design and
evaluate supportive self-care interventions for adults requiring dialysis.
PMID- 21894840
TI - Thinking outside the box: an extraordinary woman on home peritoneal dialysis.
AB - At the home peritoneal dialysis unit (HPDU) of the University Health Network in
Toronto, Ontario, we offer training to help patients perform peritoneal dialysis
at home with ongoing support, which includes clinic visits and on-call services.
Not every patient is able to carry out independent dialysis, however. Additional
support at home is often provided in conjunction with Community Care Access and
home care nurses. We recently had the privilege to learn from an extraordinary
patient, whose special needs provided us with challenges and opportunities. This
paper describes the interaction, flexibility and creativity between our unit,
home care nurses, the patient and her family. It demonstrates that with
commitment, there truly are many ways to solve even seemingly impossible
problems.
PMID- 21894841
TI - Medication adherence in patients with chronic kidney disease.
PMID- 21894842
TI - Steps to safe swimming for patients on peritoneal dialysis.
PMID- 21894844
TI - The myth of privacy.
PMID- 21894843
TI - Getting older.
PMID- 21894845
TI - Introduction: A view of Rhode Island pediatrics.
PMID- 21894846
TI - Developmental screening in a pediatric care practice.
PMID- 21894847
TI - Early identification of eating disorders in primary care pediatrics.
PMID- 21894848
TI - Fostering health: Health care for children and youth in foster care.
PMID- 21894849
TI - Overuse injuries in the young athlete.
PMID- 21894850
TI - Meaningful use for the pediatrician.
PMID- 21894851
TI - The evolution of quality improvement.
PMID- 21894852
TI - Medical radiation: fret or forget?
PMID- 21894853
TI - Rhode Island physicians' health information technology (HIT) use, 2009-2011.
PMID- 21894855
TI - Medical terms, up to the letter 'Z'.
PMID- 21894854
TI - Splenic cyst manifesting as gastric outlet obstruction.
PMID- 21894856
TI - [The hospital staff exposed to deleterious substances].
PMID- 21894857
TI - [Cerebrovascular accidents. A permanent and temporal fight].
PMID- 21894858
TI - [The advances' effects in stroke treatment].
AB - Thanks to epidemiology, the weight of severe complications from strokes in terms
of the rate of incidence and mortality is better known. Risk and prognostic
factors can be organised into a hierarchy, treatments and primary and
preventative care can be assessed.
PMID- 21894859
TI - [Physiopathology of strokes].
AB - Strokes form a very heterogeneous pathology with numerous and varied causes. They
present a different physiopathology depending on the ischemic or haemorrhagic
character of the stroke.
PMID- 21894860
TI - [Aetiology and treatment of strokes].
AB - Aetiological explorations of ischemic strokes must be classified hierarchically
with a first-line assessment to be carried out in the first few hours. This
assessment will detect the main causes and direct the treatment. If necessary, a
more specialised assessment will be carried out afterwards.
PMID- 21894861
TI - [Stroke as a therapeutic emergency].
AB - A stroke is an emergency which can be treated as soon as it occurs thanks to
thrombolysis. Prognosis is linked to the quality of the technical and relational
care ministered by an expert team, aware of the complications and attentive to
the needs of the patient.
PMID- 21894862
TI - [The neurovascular unit to reduce management delays].
PMID- 21894864
TI - [Nursing care in a rehabilitation department].
AB - The nurse enables the patient with sequelae resulting from a stroke to return
home thanks to individualised care. She takes part in the patient's
rehabilitative project which aims to restore independence and thereby favour his
or her integration. The involvement of the family in the care project helps in
the construction of a life project.
PMID- 21894863
TI - [The role of nurses in a care, training and research network].
AB - A care network devoted to strokes within a region can be effective on condition
that the separations between medical practices are removed and a measurement tool
is made available. It enables the immediate mortality and the rate of recurrence
to be reduced. It also helps to prolong life expectancy without a stroke.
PMID- 21894866
TI - [To recover after cerebrovascular accident].
PMID- 21894865
TI - [Multi-disciplinary rehabilitative care after a stroke].
AB - The care given to patients having suffered a stroke requires the intervention of
several specialised therapists. Generalising what is acquired through
rehabilitation in daily life remains the common objective of the therapists as
well as the nursing teams.
PMID- 21894868
TI - [The genogram to favour interdisciplinarity in rehabilitation].
PMID- 21894867
TI - [The social and professional integration of the patient].
AB - The rehabilitation and social and professional integration department of the
Mutual Centre for Re-education and Functional Rehabilitation in Kerpape,
Brittany, is part of an early integration approach. It assesses situations of
disability and a person's individual and social circumstances, notably after a
stroke. A multi-disciplinary team supports patients for one year after they leave
the centre, with the aim of supporting their social and professional
reintegration.
PMID- 21894869
TI - [6/10 Creating trust relations with patients and their relatives].
PMID- 21894870
TI - Evaluating your participation with insurance plans.
PMID- 21894871
TI - The amalgam controversy: where do we go from here?
PMID- 21894872
TI - The rise in prescription drug abuse: raising awareness in the dental community.
AB - Prescription drugs classified as controlled dangerous substances are essential
therapeutic modalities in treating a variety of healthcare conditions; however,
their pleasurable side effects can appeal to patients for uses other than their
intent. As a result, unfortunate consequences of prescription drug use can arise.
Misuse or abuse of prescription drugs can contribute to addictive behaviors,
serious health risks, and potentially, death. It is imperative that the dental
community remains educated and informed of nationwide healthcare trends, and
prescription drug abuse is no exception. Ethically, dentists should be able to
respond in a manner that addresses the best interests of their patients. To
respond appropriately, dentists need to understand the terminology of
prescription drug abuse; be able to identify and describe the drugs most often
misused or abused; be able to identify individuals who may be at risk for
prescription drug abuse; and be prepared to manage patients at risk in the dental
setting.
PMID- 21894873
TI - The role of remineralizing agents in dentistry: a review.
AB - Minimal intervention is a key phrase in today's dental practice. Minimal
intervention dentistry (MID) focuses on the least invasive treatment options
possible in order to minimize tissue loss and patient discomfort. Concentrating
mainly on prevention and early intervention of caries, MID's first basic
principle is the remineralization of early carious lesions, advocating a
biological or therapeutic approach rather than the traditional surgical approach
for early surface lesions. One of the key elements of a biological approach is
the usage and application of remineralizing agents to tooth structure (enamel and
dentin lesions). These agents are part of a new era of dentistry aimed at
controlling the demineralization/ remineralization cycle, depending upon the
microenvironment around the tooth. This article details the various agents that
enhance and/or promote remineralization and discusses their clinical
implications.
PMID- 21894874
TI - "Digidontics": the computerization of restorative dentistry--a case report.
AB - Today's practitioners of dentistry can be overwhelmed by the need to analyze,
understand, prioritize, and implement the extraordinary amounts of knowledge and
technical skill required to practice ideal dentistry. Continuing education is not
just necessary; an increasingly informed patient population demands it. Among
many technological advancements, computers have permeated the mainstream of
restorative dentistry. The conventional systems of radiography and impressioning
are the latest to face a digital counterpart. This case presentation demonstrates
how cone beam computerized tomography (CBCT) and a dedicated digital
impressioning system can be used alongside conventional techniques to obtain
excellent esthetic and functional results.
PMID- 21894875
TI - Orthodontic extrusion and implant restoration to manage localized advanced bone
loss: a clinical case review.
AB - Restorative dentists must consider and respect the preferences of their patients
when developing treatment plans. They must also communicate openly with both the
patient and their interdisciplinary team regarding treatment objectives,
particularly in challenging cases such as the one presented herein, in which the
patient had severe recession above her upper laterals and cuspids, was
congenitally missing molars, and had a history of full-mouth scaling and root
planing as well as periodontal surgery in the maxillary arch. This case
incorporated four phases of treatment--endodontics, orthodontics, surgical, and
restorative--to achieve the desired results.
PMID- 21894876
TI - Clinical performance of scientifically designed, hot isostatic-pressed (HIP'd)
zirconia cores in a bilayered all-ceramic system.
AB - PURPOSE: The success of bilayered all-ceramic restorations is dependent upon the
combination and contributions of the three principal components of these
restorations: core material, core design, and core-veneer interface. The purpose
of this paper is to describe the fabrication and clinical survival of optimized
ceramic restorations having an explicit, scientifically designed core, machined
from HIP'd isotropic zirconia and veneered using a specific protocol with
thermally compatible porcelain. MATERIALS AND METHODS: Using a consistent
clinical and laboratory protocol in a multicenter setting, 3,192 bilayered single
and 797 bilayered splinted units were fabricated and placed on teeth and implant
abutments in 1,007 patients. Approximately 61.7% (n = 2,462) were posterior
restorations and 38.3% (n = 1,527) were anterior. Of the total, approximately
5.7% (n = 227) were placed on implant abutments. Survival of the restorations was
determined with the Kaplan-Meier (KM) method by tooth number. RESULTS: For the
3,989 units placed, 9 failures were recorded. The KM survival of most zirconia
restorations, when segregated by tooth number, was 100%. Exceptions were the 9
failed units, with a KM survival between 88% and 99% for those restorations. Six
restorations failed within the first year of service, including three failed
cores. Examination of those restorations revealed failure was related to initial
design, quality assessment, or fabrication inconsistencies. CONCLUSION: The
incorporation of a reinforcing ring beam onto an anatomically shaped core made
from end-state HIP'd zirconia, in partnership with a thermally compatible
veneering porcelain and a specific application protocol, resulted in extremely
high survival rates for both anterior and posterior all-ceramic restorations
after medium-term clinical use. These results equal or surpass the equivalent
term success rates of porcelain-fused-to-metal restorations.
PMID- 21894877
TI - Curing lights.
PMID- 21894878
TI - Restoring esthetics and function in an edentulous patient with ectodermal
dysplasia.
PMID- 21894879
TI - A disconnect of epic proportions.
PMID- 21894880
TI - Intermolecular interaction energies in molecular crystals: comparison and
agreement of localized Moller-Plesset 2, dispersion-corrected density functional,
and classical empirical two-body calculations.
AB - A comparative analysis of the intermolecular energy for a data set including 60
molecular crystals with a large variety of functional groups has been carried out
using three different computational approaches: (i) a method based on a
physically meaningful empirical partition of the interaction energy (PIXEL), (ii)
density functional methods with a posteriori empirical correction for the
dispersion interactions (DFT-D), and (iii) a full periodic ab initio quantum
mechanical method based on Moller-Plesset perturbation theory for the electron
correlation using localized crystal orbitals (LMP2). Due to the large
computational cost, LMP2 calculations have been restricted to a subset of seven
molecular crystal comprising benzene, formic acid, formamide, succinic anhydride,
urea, oxalic acid, and nitroguanidine, and the results compared with PIXEL and
DFT-D data as well as with the experimental data show excellent agreement among
all adopted methods. This shows that both DFT-D and PIXEL approaches are robust
predictive tools for studying molecular crystals. A detailed analysis shows a
very similar dispersion contribution of the two methods across the 60 considered
molecular crystals. The study also confirms that pure DFT shows serious
deficiencies in properly handling molecular crystals in which the dispersive
contribution is large. Due to the negligible requested computational resources,
PIXEL is the method of choice in screening of a large number of molecular
crystals, an essential step to predict crystal polymorphism or to study crystal
growth processes. DFT-D can then be used to refine the ranking emerged from PIXEL
calculations due to its general applicability and robustness in properly handling
short-range interactions.
PMID- 21894881
TI - Silanediols: a new class of hydrogen bond donor catalysts.
AB - Silanediols are introduced as a new class of hydrogen bond donor catalysts for
the activation of nitroalkenes toward nucleophilic attack. Excellent yields of
product are obtained for the conjugate addition of indole to beta-nitrostyrene
catalyzed with a stable, storable dinaphthyl-derived silanediol. The preparation
and structural characterization of a C(2)-symmetric chiral silanediol is also
reported along with its ability to catalyze the conjugate addition reaction.
PMID- 21894883
TI - Copper-catalyzed Perkin-acyl-Mannich reaction of acetic anhydride with pyridine:
expeditious entry to unconventional piperidines.
AB - A regioselective introduction of a methoxycarbonyl methyl group at the C(2)
position of unsubstituted pyridine has been accomplished with catalytic amounts
of copper(II) triflate in mild reaction conditions. The N-acetyl-1,2
dihydropyridyl acetic acid methyl ester obtained is a valuable building block for
the synthesis of new polyfunctionalized piperidine derivatives bearing
unconventional substitution patterns.
PMID- 21894884
TI - Palladium-catalyzed regio- and enantioselective fluorination of acyclic allylic
halides.
AB - This report describes the Pd(0)-catalyzed fluorination of linear allylic
chlorides and bromides, yielding branched allylic fluorides in high selectivity.
Many of the significant synthetic limitations previously associated with the
preparation of these products are overcome by this catalytic method. We also
demonstrate that a chiral bisphosphine-ligated palladium catalyst enables highly
enantioselective access to a class of branched allylic fluorides that can be
readily diversified to valuable fluorinated products.
PMID- 21894885
TI - Vaporization and formation enthalpies of 1-alkyl-3-methylimidazolium
tricyanomethanides.
AB - Thermochemical studies of the ionic liquids 1-ethyl-3-methylimidazolium
tricyanomethanide [C(2)MIM][C(CN)(3)] and 1-butyl-3-methylimidazolium
tricyanomethanide [C(4)MIM][C(CN)(3)] have been performed in this work.
Vaporization enthalpies have been obtained using a recently developed quartz
crystal microbalance (QCM) technique. The molar enthalpies of formation of these
ionic liquids in the liquid state were measured by means of combustion
calorimetry. A combination of the results obtained from QCM and combustion
calorimetry lead to values of gaseous molar enthalpies of formation of
[C(n)MIM][C(CN)(3)]. First-principles calculations of the enthalpies of formation
in the gaseous phase for the ionic liquids [C(n)MIM][C(CN)(3)] have been
performed using the CBS-QB3 and G3MP2 theory and have been compared with the
experimental data. Furthermore, experimental results of enthalpies of formation
of imidazolium-based ionic liquids with the cation [C(n)MIM] (where n = 2 and 4)
and anions [N(CN)(2)], [NO(3)], and [C(CN)(3)] available in the literature have
been collected and checked for consistency using a group additivity procedure. It
has been found that the enthalpies of formation of these ionic liquids roughly
obey group additivity rules.
PMID- 21894886
TI - Efficient and scalable one-pot synthesis of 2,4-dienols from cycloalkenones:
optimized total synthesis of valerenic acid.
AB - A mild and selective one-pot procedure to provide 2,4-dienols from simple
cycloalkenones in high yields is described. This transformation is based on the
in situ formation of acid-labile allylic alcohols, which on treatment with
trifluoroacetic acid undergo a formal [1,3]-hydroxy migration to form diastereo-
and enantiomerically enriched 2,4-dienols. The usefulness of this protocol is
demonstrated in a short synthesis of valerenic acid.
PMID- 21894887
TI - Comparison of DNA extraction methods and development of duplex PCR and real-time
PCR to detect tomato, carrot, and celery in food.
AB - Traceability is of particular importance for those persons who suffer allergy or
intolerance to some food component(s) and need a strict avoidance of the
allergenic food. In this paper, methodologies are described to fingerprint the
presence of allergenic species such as carrot, tomato, and celery by DNA
detection. Three DNA extraction methods were applied on vegetables and foods
containing or not containing the allergens, and the results were compared and
discussed. Fast SYBR Green DNA melting curve temperature analyses and duplex PCR
assays with internal control have been developed for detection of these
allergenic vegetables and have been tested on commercial foods. Spiking food
experiments were also performed, assessing that limits of detection (LOD) of 1
mg/kg for carrot and tomato DNA and 10 mg/kg for celery DNA have been reached.
PMID- 21894888
TI - Synthesis of oxosumanenes through benzylic oxidation.
AB - Oxosumanenes were synthesized through benzylic oxidation. The electronic and
redox properties were revealed to exhibit the expanded pi-conjugation compared to
sumanene. Single-crystal X-ray analysis of monooxosumanene showed columnar pi
stacking in a concave-convex fashion. Stereoselective trimethylation of the
trioxo derivative was performed via 1,2-addition to the carbonyl groups.
PMID- 21894889
TI - Processable cyclic peptide nanotubes with tunable interiors.
AB - A facile route to generate cyclic peptide nanotubes with tunable interiors is
presented. By incorporating 3-amino-2-methylbenzoic acid in the D,L-alternating
primary sequence of a cyclic peptide, a functional group can be presented in the
interior of the nanotubes without compromising the formation of high aspect ratio
nanotubes. The new design of such a cyclic peptide also enables one to modulate
the nanotube growth process to be compatible with the polymer processing window
without compromising the formation of high aspect ratio nanotubes, thus opening a
viable approach toward molecularly defined porous membranes.
PMID- 21894890
TI - Rotational diffusion analysis of polyethylene glycol induced protein
interactions.
AB - Protein intermolecular depletion interactions induced by polyethylene glycol
(PEG) depend largely on its concentration and molecular weight. Herein, we
investigated the effects of various concentrations and molecular weights of PEG
on lysozyme interactions through the analysis of protein rotational diffusion,
which is susceptible to intermolecular interactions at short range. To this end,
we measured fluorescence anisotropy of fluorescein-tagged lysozyme added as a
tracer in concentrated native lysozyme solutions and introduced a protein
concentration-dependent interaction parameter, k(rot). The results show the
nonmonotonic changes in k(rot) as the concentrations of PEG10000 and 6000 are
increased. The depletion attractions are characterized by the decrease in k(rot),
indicating an increase of a degree at which protein rotational diffusion slows
down. The influences of temperature on the lysozyme rotational diffusion and
k(rot) were also measured, and the validity of this approach was checked through
comparison with the colloidal theory.
PMID- 21894891
TI - Ground- and excited-state properties of Zn(II) tetrakis(4-tetramethylpyridyl)
pophyrin specifically encapsulated within a Zn(II) HKUST metal-organic framework.
AB - We have examined the photophysical properties of Zn(II) tetramethylpyridyl
porphyrin (ZnT4MPyP) specifically encapsulated within the cubioctahedral cavities
of a ZnHKUST metal- organic framework. The encapsulated ZnT4MPyP exhibits a Soret
maxima at ~458 nm that is bathochromically shifted relative to ZnT4PyP in ethanol
solution (Soret maxima centered at 440 nm). The corresponding emission spectra of
the encapsulated porphyrin exhibit resolvable bands centered at 636 and 677 nm
relative to a single broad emission band of the ZnT4MPyP in ethanol solution
centered at 636 nm with a shoulder situated near ~660 nm. The fluorescence
lifetime of the encapsulated porphyrin is also perturbed relative to that of the
free porphyrin in solution (1.88 ns for the encapsulated porphyrin relative to
1.2 ns in solution). These results are consistent with the ZnT4MPyP being in a
more constrained environment in which the peripheral pyridyl groups have
restricted rotational motion. The ZnT4MPyP triplet lifetime is also affected by
encapsulation, giving rise to a longer lifetime (tau ~ 3.3 ms) relative to that
for the free porphyrin in solution (tau ~ 1 ms). The triplet-state results
indicate that nonplanar vibrational modes of the porphyrin leading to intersystem
crossing are retained by encapsulation of the porphyrin but that either the
density of vibrational states or the specific nonplanar modes coupling the
singlet and triplet states may be perturbed, resulting in the longer observed
lifetime.
PMID- 21894892
TI - Laser ablation ICP-MS Co-localization of mercury and immune response in fish.
AB - Mercury (Hg) contamination is a global issue with implications for both ecosystem
and human health. In this study, we use a new approach to link Hg exposure to
health effects in spotted gar (Lepisosteus oculatus) from Caddo Lake (TX/LA).
Previous field studies have reported elevated incidences of macrophage centers in
liver, kidney, and spleen of fish with high concentrations of Hg. Macrophage
centers are aggregates of specialized white blood cells that form as an immune
response to tissue damage, and are considered a general biomarker of contaminant
toxicity. We found elevated incidences of macrophage centers in liver of spotted
gar and used a new technology for ecotoxicology studies, laser ablation
inductively coupled plasma-mass spectrometry (LA-ICP-MS), to colocalize
aggregates and Hg deposits within the tissue architecture. We conclude that Hg
compromises the health of spotted gar in our study and, perhaps, other fish
exposed to elevated concentrations of Hg.
PMID- 21894893
TI - Molecular model with quantum mechanical bonding information.
AB - The molecular structure can be defined quantum mechanically thanks to the theory
of atoms in molecules. Here, we report a new molecular model that reflects
quantum mechanical properties of the chemical bonds. This graphical
representation of molecules is based on the topology of the electron density at
the critical points. The eigenvalues of the Hessian are used for depicting the
critical points three-dimensionally. The bond path linking two atoms has a
thickness that is proportional to the electron density at the bond critical
point. The nuclei are represented according to the experimentally determined
atomic radii. The resulting molecular structures are similar to the traditional
ball and stick ones, with the difference that in this model each object included
in the plot provides topological information about the atoms and bonding
interactions. As a result, the character and intensity of any given interatomic
interaction can be identified by visual inspection, including the noncovalent
ones. Because similar bonding interactions have similar plots, this tool permits
the visualization of chemical bond transferability, revealing the presence of
functional groups in large molecules.
PMID- 21894894
TI - Macroscopic liquid crystal response to isolated DNA helices.
AB - Nematic liquid crystals (LC) were exposed to isolated DNA molecules extended on a
surface that imparted a negligible influence on the LC orientation. Although
single-stranded DNA aligned the LC in the extension direction, double-stranded
DNA (dsDNA) caused alignment at an oblique angle, providing a characteristic
response to the chiral dsDNA helix that was readily observed optically. The
intrinsic amplification due to LC orientational correlations enabled a
macroscopic visible response to a single molecule of extended dsDNA.
PMID- 21894895
TI - A new high-performance liquid chromatography-tandem mass spectrometry method
based on dispersive solid phase extraction for the determination of the mycotoxin
fusarin C in corn ears and processed corn samples.
AB - Fusarin C is a mycotoxin that is produced by a variety of Fusarium species and is
therefore a possible contaminant in food and feed. For this reason, a reliable
high-performance liquid chromatography-tandem mass spectrometry (HPLC-MS/MS)
method for the determination of fusarin C in food and feed samples was developed
based on dispersive solid phase extraction (DSPE). This method has a limit of
detection (LOD) of 2 MUg/kg, a limit of quantitation (LOQ) of 7 MUg/kg, and a
recovery rate of 80%. Fifty different corn samples were analyzed, and fusarin C
was detected in 40 of them. The fusarin C level varied in kernels of corn ears
from not detectable up to 83 mg/kg and in food samples from not detectable up to
28 MUg/kg. The co-occurrence of further structural analogues of fusarin C was
confirmed by high-performance liquid chromatography Fourier transformation mass
spectrometry (HPLC-FTMS). In addition, the stability of fusarin C under storage
conditions was evaluated.
PMID- 21894896
TI - Recent developments in the synthesis of fused sultams.
PMID- 21894897
TI - Synthesis of biocompatible PEG-Based star polymers with cationic and degradable
core for siRNA delivery.
AB - Star polymers with poly(ethylene glycol) (PEG) arms and a degradable cationic
core were synthesized by the atom transfer radical copolymerization (ATRP) of
poly(ethylene glycol) methyl ether methacrylate macromonomer (PEGMA), 2
(dimethylamino)ethyl methacrylate (DMAEMA), and a disulfide dimethacrylate (cross
linker, SS) via an "arm-first" approach. The star polymers had a diameter ~15 nm
and were degraded under redox conditions by glutathione treatment into individual
polymeric chains due to cleavage of the disulfide cross-linker, as confirmed by
dynamic light scattering. The star polymers were cultured with mouse calvarial
preosteoblast-like cells, embryonic day 1, subclone 4 (MC3T3-E1.4) to determine
biocompatibility. Data suggest star polymers were biocompatible, with >= 80% cell
viability after 48 h of incubation even at high concentration (800 MUg/mL). Zeta
potential values varied with N/P ratio confirming complexation with siRNA.
Successful cellular uptake of the star polymers in MC3T3-E1.4 cells was observed
by confocal microscopy and flow cytometry after 24 h of incubation.
PMID- 21894899
TI - Synthesis of heterocycles mediated by benzotriazole. 2. Bicyclic systems.
PMID- 21894898
TI - Pseudoguaianolides and guaianolides from Inula hupehensis as potential anti
inflammatory agents.
AB - Eight new pseudoguaianolides (1-8), two new guaianolides (9 and 10), and 14 known
sesquiterpenes were isolated from the aerial parts of Inula hupehensis. The
structures were elucidated using spectroscopic methods and circular dichroism
analysis. All compounds were tested for inhibitory activities against LPS-induced
nitric oxide production in RAW264.7 macrophages. Compounds 13 and 22 were found
to inhibit nitric oxide production potently, with IC50 values of 0.9 and 0.6 MUM,
respectively. Preliminary structure-activity relationships for these compounds
are proposed.
PMID- 21894900
TI - Substitutions of coenzyme-binding, nonpolar residues improve the low-temperature
activity of thermophilic dehydrogenases.
AB - Although enzymes of thermophilic organisms are often very resistant to thermal
denaturation, they are usually less active than their mesophilic or psychrophilic
homologues at moderate or low temperatures. To explore the structural features
that would improve the activity of a thermophilic enzyme at less than optimal
temperatures, we randomly mutated the DNA of single-site mutants of the
thermostable Thermus thermophilus 3-isopropylmalate dehydrogenase that already
had improved low-temperature activity and selected for additional improved low
temperature activity. A mutant (Ile279 -> Val) with improved low-temperature
activity contained a residue that directly interacts with the adenine of the
coenzyme NAD(+), suggesting that modulation of the coenzyme-binding pocket's
volume can enhance low-temperature activity. This idea was further supported by a
saturation mutagenesis study of the two codons of two other residues that
interact with the adenine. Furthermore, a similar type of amino acid substitution
also improved the catalytic efficiency of another thermophilic dehydrogenase, T.
thermophilus lactate dehydrogenase. Steady-state kinetic experiments showed that
the mutations all favorably affected the catalytic turnover numbers. Thermal
stability measurements demonstrated that the mutants remain very resistant to
heat. Calculation of the energetic contributions to catalysis indicated that the
increased turnover numbers are the result of destabilized enzyme-substrate
coenzyme complexes. Therefore, small changes in the side chain volumes of
coenzyme-binding residues improved the catalytic efficiencies of two thermophilic
dehydrogenases while preserving their high thermal stabilities and may be a way
to improve low-temperature activities of dehydrogenases in general.
PMID- 21894902
TI - Meliaceous limonoids: chemistry and biological activities.
PMID- 21894901
TI - Redefining the minimal substrate tolerance of mandelate racemase. Racemization of
trifluorolactate.
AB - Mandelate racemase (EC 5.1.2.2) from Pseudomonas putida catalyzes the
interconversion of the enantiomers of mandelic acid and a variety of aryl- and
heteroaryl-substituted mandelate derivatives, suggesting that beta,gamma
unsaturation is a requisite feature of substrates for the enzyme. We show that
beta,gamma-unsaturation is not an absolute requirement for catalysis and that
mandelate racemase can bind and catalyze the racemization of (S)-trifluorolactate
(k(cat) = 2.5 +/- 0.3 s(-1), K(m) = 1.74 +/- 0.08 mM) and (R)-trifluorolactate
(k(cat) = 2.0 +/- 0.2 s(-1), K(m) = 1.2 +/- 0.2 mM). The enzyme was shown to
catalyze hydrogen-deuterium exchange at the alpha-postion of trifluorolactate
using (1)H NMR spectrocsopy. beta-Elimination of fluoride was not detected using
(19)F NMR spectroscopy. Although mandelate racemase bound trifluorolactate with
an affinity similar to that exhibited for mandelate, the turnover numbers
(k(cat)) were markedly reduced by ~318-fold, resulting in catalytic efficiencies
(k(cat)/K(m)) that were ~400-fold lower than those observed for mandelate. These
observations suggested that chemical steps on the enzyme were likely rate
determining, which was confirmed by demonstrating that the rates of mandelate
racemase-catalyzed racemization of (S)-trifluorolactate were not dependent upon
the solvent microviscosity. Circular dichroism spectroscopy was used to measure
the rates of nonenzymatic racemization of (S)-trifluorolactate at elevated
temperatures. The values of DeltaH(?) and DeltaS(?) for the nonenzymatic
racemization reaction were determined to be 28.0 (+/-0.7) kcal/mol and -15.7 (+/
1.7) cal K(-1) mol(-1), respectively, corresponding to a free energy of
activation equal to 33 (+/-4) kcal/mol at 25 degrees C. Hence, mandelate
racemase stabilizes the altered trifluorolactate in the transition state
(DeltaG(tx)) by at least 20 kcal/mol.
PMID- 21894903
TI - Impact of temperature on the LB patterning of DPPC on mica.
AB - The influence of the subphase temperature on the stripe pattern formation during
Langmuir-Blodgett transfer (LB patterning) is investigated in a combined
experimental and theoretical study. According to our experiments on the LB
transfer of dipalmitoylphosphatidylcholine (DPPC) on planar mica substrates, even
small temperature changes between 21.5 and 24.5 degrees C lead to significant
changes in the monolayer patterns. For a constant surface pressure and dipper
speed, the width of the stripes and the overall spatial period of the patterns
increase with increasing subphase temperature. Because the stripe patterns are
ascribed to alternating monolayer domains in the liquid-expanded and the liquid
condensed phases, the working regime for the formation of stripes is found to
depend strongly on the respective surface pressure-area isotherm. These
experimental findings are in accordance with the results of a theoretical
investigation based on a model that takes hydrodynamics and the monolayer
thermodynamics into account.
PMID- 21894904
TI - Absolute configuration of 7,8-seco-7,8-oxacassane diterpenoids from Acacia
schaffneri.
AB - Chemical investigations of Acacia schaffneri led to the isolation of the new
diterpenoid (5S,7R,8R,9R,10S)-(-)-7,8-seco-7,8-oxacassa-13,15-diene-7,17-diol
(1), together with the known (5S,7R,8R,9R,10S)-(-)-7,8-seco-7,8-oxacassa-13,15
dien-7-ol-17-al (2) and (5S,7R,8R,9R,10S)-(-)-7,8-seco-7,8-oxacassa-13,15-dien-7
ol (3). Compounds 2 and 3 were analyzed by single-crystal X-ray diffraction,
while the structure of 1 was determined by 1D and 2D NMR experiments and by
chemical correlation with 2. Oxidation of 3 afforded conformationally restricted
(5S,8R,9R,10S)-(-)-8-hydroxy-7,8-seco-cassa-13,15-dien-7-oic acid epsilon-lactone
(4), which was studied by vibrational circular dichroism spectroscopy. Comparison
of the experimental VCD spectrum of 4 with the DFT//B3PW91/DGDZVP2 calculated
spectrum assigned for the first time the absolute configuration of these seco
oxacassane diterpenes.
PMID- 21894905
TI - Single-particle mineralogy of Chinese soil particles by the combined use of low-Z
particle electron probe X-ray microanalysis and attenuated total reflectance-FT
IR imaging techniques.
AB - Our previous work on the speciation of individual mineral particles of micrometer
size by the combined use of attenuated total reflectance FT-IR (ATR-FT-IR)
imaging and a quantitative energy-dispersive electron probe X-ray microanalysis
technique (EPMA), low-Z particle EPMA, demonstrated that the combined use of
these two techniques is a powerful approach for looking at the single-particle
mineralogy of externally heterogeneous minerals. In this work, this analytical
methodology was applied to characterize six soil samples collected at arid areas
in China, in order to identify mineral types present in the samples. The six soil
samples were collected from two types of soil, i.e., loess and desert soils, for
which overall 665 particles were analyzed on a single particle basis. The six
soil samples have different mineralogical characteristics, which were clearly
differentiated in this work. As this analytical methodology provides
complementary information, the ATR-FT-IR imaging on mineral types, and low-Z
particle EPMA on the morphology and elemental concentrations, on the same
individual particles, more detailed information can be obtained using this
approach than when either low-Z particle EPMA or ATR-FT-IR imaging techniques are
used alone, which has a great potential for the characterization of Asian dust
and mineral dust particles.
PMID- 21894906
TI - Fate of endogenous steroid hormones in steer feedlots under simulated rainfall
induced runoff.
AB - Steroid hormones pose potential risks to fish and other aquatic organisms at
extremely low concentrations. To assess the factors affecting the release of
endogenous estrogenic and androgenic steroids from feedlots during rainfall,
runoff, and soil samples were collected after simulated rainfall on a 14-steer
feedlot under different rainfall rates and aging periods and analyzed for six
steroid hormones. While only 17alpha-estradiol, testosterone, and progesterone
were detected in fresh manure, 17beta-estradiol, estrone, and androstenedione
were present in the surficial soil after two weeks. In the feedlot surficial
soil, concentrations of 17alpha-estradiol decreased by approximately 25%
accompanied by an equivalent increase in estrone and 17beta-estradiol. Aging of
the feedlot soils for an additional 7 days had no effect on estrogen and
testosterone concentrations, but androstenedione concentrations decreased
substantially, and progesterone concentrations increased. Androstenedione and
progesterone concentrations in the surficial soil were much higher than could be
accounted for by excretion or conversion from testosterone, suggesting that other
potential precursors, such as sterols, were converted after excretion. The
concentration of androgens and progesterone in the soil were approximately 85%
lower after simulated rainfall, but the estrogen concentrations remained
approximately constant. The decreased masses could not be accounted for by
runoff, suggesting the possibility of rapid microbial transformation upon
wetting. All six steroids in the runoff, with the exception of 17beta-estradiol,
were detected in both the filtered and particle-associated phases at
concentrations well above thresholds for biological responses. Runoff from the
aged plots contained less 17alpha-estradiol and testosterone, but more estrone,
androstenedione, and progesterone relative to the runoff from the unaged plots,
and most of the steroids had a lower particle-associated fraction.
PMID- 21894907
TI - The REDD market should not end up a subprime house of cards: introducing a new
REDD architecture for environmental integrity.
PMID- 21894908
TI - Comment on Water droplet motion control on superhydrophobic surfaces: exploiting
the Wenzel-to-Cassie transition.
PMID- 21894909
TI - N-terminal protein modification using simple aminoacyl transferase substrates.
AB - Methods for synthetically manipulating protein structure enable greater
flexibility in the study of protein function. Previous characterization of the
Escherichia coli aminoacyl tRNA transferase (AaT) has shown that it can modify
the N-terminus of a protein with an amino acid from a tRNA or a synthetic
oligonucleotide donor. Here, we demonstrate that AaT can efficiently use a
minimal adenosine substrate, which can be synthesized in one to two steps from
readily available starting materials. We have characterized the enzymatic
activity of AaT with aminoacyl adenosyl donors and found that reaction products
do not inhibit AaT. The use of adenosyl donors removes the substrate limitations
imposed by the use of synthetases for tRNA charging and avoids the complex
synthesis of an oligonucleotide donor. Thus, our AaT donors increase the
potential substrate scope and reaction scale for N-terminal protein modification
under conditions that maintain folding.
PMID- 21894911
TI - Ligand binding intermediates of nitrosylated human hemoglobin induced at low
temperature by X-ray irradiation.
AB - Under prolonged X-ray irradiation, the ferrous heme of nitrosylated human adult
hemoglobin derivative (HbNO) undergoes a reversible transition generating a 5
coordinate species, due to release of the Fe-NO bond. The overall process can be
investigated using X-ray absorption near edge structure (XANES) spectroscopy. In
this work, Fe K-edge XANES spectra were measured at T < 15 K, pH 9.2, i.e., on a
high-affinity state (R-HbNO) where all the hemes are 6-coordinate, and at pH 6.5
in the presence of inositol hexakis-phosphate (IHP), i.e., on a low-affinity
ligated state (T-HbNO) where the iron-hemes of the alpha-chains are 5-coordinate
due to breaking of the Fe-proximal histidine bond. Under X-ray irradiation, 5
coordinate Fe-hemes are populated in both R-HbNO and T-HbNO, the Fe-NO bond lysis
induced in T-HbNO involving rebinding of the proximal histidine to the
transiently populated 4-coordinate hemes of the alpha-chains. A detailed analysis
of the spectra confirms that different intermediate states in the ligand binding
cooperative process of hemoglobin can be populated by X-ray irradiation, and that
the part of the energy associated to the R-T quaternary transition, that is
transmitted to the heme site, can be monitored by XANES spectroscopy.
PMID- 21894910
TI - Divergence of structure and function in the haloacid dehalogenase enzyme
superfamily: Bacteroides thetaiotaomicron BT2127 is an inorganic pyrophosphatase.
AB - The explosion of protein sequence information requires that current strategies
for function assignment evolve to complement experimental approaches with
computationally based function prediction. This necessitates the development of
strategies based on the identification of sequence markers in the form of
specificity determinants and a more informed definition of orthologues. Herein,
we have undertaken the function assignment of the unknown haloalkanoate
dehalogenase superfamily member BT2127 (Uniprot accession code Q8A5 V9) from
Bacteroides thetaiotaomicron using an integrated bioinformatics-structure
mechanism approach. The substrate specificity profile and steady-state rate
constants of BT2127 (with a k(cat)/K(m) value for pyrophosphate of ~1 * 10(5) M(
1) s(-1)), together with the gene context, support the assigned in vivo function
as an inorganic pyrophosphatase. The X-ray structural analysis of wild-type
BT2127 and several variants generated by site-directed mutagenesis shows that
substrate discrimination is based, in part, on active site space restrictions
imposed by the cap domain (specifically by residues Tyr76 and Glu47). Structure
guided site-directed mutagenesis coupled with kinetic analysis of the mutant
enzymes identified the residues required for catalysis, substrate binding, and
domain-domain association. On the basis of this structure-function analysis, the
catalytic residues Asp11, Asp13, Thr113, and Lys147 as well the metal binding
residues Asp171, Asn172, and Glu47 were used as markers to confirm BT2127
orthologues identified via sequence searches. This bioinformatic analysis
demonstrated that the biological range of BT2127 orthologue is restricted to the
phylum Bacteroidetes/Chlorobi. The key structural determinants in the divergence
of BT2127 and its closest homologue, beta-phosphoglucomutase, control the leaving
group size (phosphate vs glucose phosphate) and the position of the Asp acid/base
in the open versus closed conformations. HADSF pyrophosphatases represent a third
mechanistic and fold type for bacterial pyrophosphatases.
PMID- 21894912
TI - Luminescent rhenium(I) polypyridine fluorous complexes as novel trifunctional
biological probes.
AB - We present the synthesis, characterization, and photophysical properties of three
luminescent rhenium(I) polypyridine fluorous complexes
[Re(Me(2)bpy)(CO)(3)(L)](PF(6)) (Me(2)bpy = 4,4'-dimethyl-2,2'-bipyridine; L = 3
amino-5-(N-((3-perfluorooctyl)propyl)aminocarbonyl)pyridine (py-Rf-NH(2)) (1), 3
isothiocyanato-5-(N-((3-perfluorooctyl)propyl)aminocarbonyl)pyridine (py-Rf-NCS)
(2), 3-ethylthioureidyl-5-(N-((3-perfluorooctyl)propyl)aminocarbonyl)pyridine (py
Rf-TU-C(2)H(5)) (3)). The isothiocyanate complex 2 has been used to label bovine
serum albumin (BSA) and glutathione (GSH). The photophysical properties of the
resultant bioconjugates have been studied. The isolation of the luminescent
fluorous rhenium-GSH conjugate from a mixture of 20 amino acids has been
demonstrated using fluorous solid-phase extraction (FSPE). Additionally, the
cytotoxicity of complexes 1 and 3 toward HeLa cells has been examined by the 3
(4,5-dimethyl-2-thiazolyl)-2,5-diphenyltetrazolium bromide (MTT) assay. The
cellular uptake properties of complex 3 have also been investigated by laser
scanning confocal microscopy.
PMID- 21894914
TI - Effect of fruit ripening on content and chemical composition of oil from three
oil palm cultivars (Elaeis guineensis Jacq.) grown in Colombia.
AB - A series of physical and chemical changes occur as oil palm fruits ripen in the
bunch. We evaluated changes in lipid content in the mesocarp and fruits, and the
chemical composition of fatty acids (FA), triacylglycerol (TAG), tocols, and
carotenes of the lipids extracted from fruits of three commercial tenera
cultivars, namely, Deli*La Me, Deli*Ekona, and Deli*Avros, planted in two
different geographical regions in Colombia, during the ripening process 12, 14,
16, 18, 20, 22, and 24 weeks after anthesis (WAA). It was found that 12 WAA the
mesocarp contained less than 6% of total lipids. Oil content increased rapidly
after 16 WAA, reaching the maximum oil content of 55% in fresh mesocarp and 47%
in fresh fruits at 22 WAA, which was found the optimal time for harvesting.
Changes in FA and TAG showed that total polyunsaturated fatty acids (PUFA) and
triunsaturated triacylglycerols (TUTAG) decreased, while total saturated fatty
acids (SFA) and disaturated triacylglycerols (DSTAG) increased, over the ripening
period. Changes in FA were mainly observed in palmitic, oleic, linoleic, and
linolenic acids, and in POP, POO, POL, and OLL for the TAGs evaluated. Levels of
tocols changed depending on whether they were tocopherols or tocotrienols. In the
earliest stages tocopherols were predominant but decreased rapidly from 6600 mg
kg(-1) of oil at 14 WAA to 93 mg kg(-1) of oil at 22 WAA. Tocotrienols appeared
at the same time as oil synthesis started, and became the main source of total
tocols, equivalent to 87% in total lipids extracted.
PMID- 21894913
TI - Direct entry to erythronolides via a cyclic bis[allene].
AB - The complexity and low tractability of antibiotic macrolides pose serious
challenges to addressing the problem of resistance through semi- or total
synthesis. Here we describe a new strategy involving the preparation of a complex
yet tractable macrocycle and the transformation of this macrocycle into a range
of erythronolide congeners. These compounds represent valuable sectors of
erythromycinoid structure space and constitute intermediates with the potential
to provide further purchase in this space. The routes are short. The
erythronolides were prepared in three or fewer steps from the macrocycle, which
was prepared in a longest linear sequence of 11 steps.
PMID- 21894916
TI - Children's exposure to Di(2-ethylhexyl)phthalate and dibutylphthalate
plasticizers from school meals.
AB - Packed school meals for children 3-10 years old were studied to evaluate the
levels of di(2-ethylhexyl)phthalate (DEHP) and di-n-butylphthalate (DBP) and the
influence of the packaging process on meal contamination, and their contribution
to daily intake was estimated. The packaging consisted of polyethylene-coated
aluminum (PE/Al) dishes thermally welded by a polyethyleneterephthalate-coated
aluminum (PET/Al) foil. Foodstuffs before processing were analyzed, too. Total
meals before packaging and after packaging were collected. It was found that 92%
of foodstuffs employed in meal preparation contained DEHP, and 76% of them DBP,
at detectable levels. In cooked foods before packaging the DEHP median
concentration levels varied from 111.4 to 154.8 ng/g ww and those of DBP between
32.5 and 59.5 ng/g ww. In packed meals the DEHP median values ranged from 127.0
to 253.3 ng/g ww, and DBP median values varied from 44.1 to 80.5 ng/g ww. The
mean increases of median concentrations of DEHP in cooked foods before and after
packaging were 113 and 125% for DBP. For nursery and primary school children DEHP
intake via school meals can raise on average the respective EFSA TDI by 18 and
12% and that of DBP by 50 and 30%.
PMID- 21894917
TI - Ditantalum dinitrogen complex: reaction of H2 molecule with "end-on-bridged"
[Ta(IV)]2(MU-eta(1):eta(1)-N2) and Bis(MU-nitrido) [Ta(V)]2(MU-N)2 complexes.
AB - To elucidate (i) the physicochemical properties of the {(eta(5)
C(5)Me(5))[Ta(IV)](i-Pr)C(Me)N(i-Pr)}(2)(MU-eta(1):eta(1)-N(2)), I,
[Ta(IV)](2)(MU-eta(1):eta(1)-N(2)), and {(eta(5)-C(5)Me(5))[Ta(V)](i-Pr)C(Me)N(i
Pr)}(2)(MU-N)(2), II, [Ta(V)](2)(MU-N)(2), complexes; (ii) the mechanism of the I
-> II isomerization; and (iii) the reaction mechanism of these complexes with an
H(2) molecule, we launched density functional (B3LYP) studies of model systems 1,
2, and 3 where the C(5)Me(5) and (i-Pr)C(Me)N(i-Pr) ligands of I (or II) were
replaced by C(5)H(5) and HC(NCH(3))(2), respectively. These calculations show
that the lower-lying electronic states of 1, [Ta(IV)](2)(MU-eta(1):eta(1)-N(2)),
are nearly degenerate open-shell singlet and triplet states with two unpaired
electrons located on the Ta centers. This finding is in reasonable agreement with
experiments [J. Am Chem. Soc. 2007, 129, 9284-9285] showing easy accessibility of
paramagnetic and diamagnetic states of I. The ground electronic state of the
bis(MU-nitrido) complex 2, [Ta(V)](2)(MU-N)(2), is a closed-shell singlet state
in agreement with the experimentally reported diamagnetic feature of II. The 1-to
2 rearrangement is a multistep and highly exothermic process. It occurs with a
maximum of 28.7 kcal/mol free energy barrier required for the (MU-eta(1):eta(1)
N(2)) -> (MU-eta(2):eta(2)-N(2)) transformation step. Reaction of 1 with H(2)
leading to the 1,4-addition product 3 proceeds with a maximum of 24.2 kcal/mol
free energy barrier associated by the (MU-eta(1):eta(1)-N(2)) -> (MU
eta(2):eta(1)-N(2)) isomerization step. The overall reaction 1 + H(2) -> 3 is
exothermic by 20.0 kcal/mol. Thus, the addition of H(2) to 1 is kinetically and
thermodynamically feasible and proceeds via the rate-determining (MU
eta(1):eta(1)-N(2)) -> (MU-eta(2):eta(1)-N(2)) isomerization step. The bis(MU
nitrido) complex 2, [Ta(V)](2)(MU-N)(2), does not react with H(2) because of the
large energy barrier (49.5 kcal/mol) and high endothermicity of the reaction.
This conclusion is also in excellent agreement with the experimental observation
[J. Am Chem. Soc. 2007, 129, 9284-9285].
PMID- 21894918
TI - Mass spectrometric and theoretical study of polyiodides: the connection between
solid state, solution, and gas phases.
AB - Polyiodides have been transferred intact from acetonitrile solution to the gas
phase and analyzed by mass spectrometry. A range of ions were observed, including
[I(11)](-), [I(13)](-), and [I(15)](-), which have higher iodine/iodide ratios
than any previously characterized ions. Theoretical calculations show that
branched structures are strongly favored, a result which is in excellent
agreement with with gas phase fragmentation studies (MS/MS) and also previous
solid state studies. This study demonstrates the utility of mass spectrometry to
provide structural information in the absence of other spectroscopic handles.
PMID- 21894919
TI - Structure of complexes formed by dissolution of palladium diacetate in methanol
and chloroform. In situ NMR study.
AB - The behavior of palladium diacetate cyclic trimer [Pd(OAc)(2)](3) (1) upon its
dissolution in methanol and wet chloroform was studied by (1)H and (13)C NMR
including 2D-HSQC and 2D-DOSY techniques. Upon dissolution, trimer 1 reacts with
methanol and is completely transformed first into the methoxo complex Pd(3)(MU
OMe)(OAc)(5) (2), which already at -18 degrees C undergoes a slow exchange of
second bridging acetate ligand between the same palladium atoms to form the
symmetric dimethoxo complex Pd(3)(MU-OMe)(2)(OAc)(4), the maximum relative
concentration of which reaches 20-30 mol % of initial loading trimer 1. Along
with the dimethoxo complex, both soluble and insoluble polynuclear palladium
clusters are gradually formed at -18 degrees C, and their total amount reaches
up to 60% of the starting Pd(2+) loading. The increase of temperature to 27
degrees C results in the reduction of palladium(II) to Pd metal by methanol,
which is oxidized and transformed into formaldehyde hemiacetal and methyl
formate. Upon dissolution in wet chloroform, trimer 1 is reversibly hydrolyzed to
the hydroxo complex Pd(3)(MU-OH)(OAc)(5) (10) in ratio 1/10 ~ 3/1. The
temperature decrease and addition of acetic acid shift the equilibrium in this
system toward trimer 1, and addition of water shifts it in the opposite
direction. Addition of methanol to the equilibrium mixture of 1 and 10 results in
the fast exchange of bridging acetate in trimer 1 by the MU-OMe group.
Substitution of the MU-OH ligand by MU-OMe in 10 occurs in parallel but more
slowly. Complex 2 formed in both cases is more stable in chloroform than in
methanol.
PMID- 21894920
TI - Electronic structure and bonding in heteronuclear dimers of V, Cr, Mo, and W: a
CASSCF/CASPT2 study.
AB - Heteronuclear dimers like CrMo, CrW, MoW, VCr, VMo, VW, and their anions have
been investigated by means of multiconfigurational quantum chemistry methods,
using the complete active space self-consistent field followed by second-order
perturbation theory, CASSCF/CASPT2. We explored in great detail several
spectroscopic properties such as bond length, potential energy surfaces,
dissociation energies, ionization potentials, electron affinities, low-lying
excited states, vibrational frequencies, and dipole moments. All proposed dimers
show ground states with a pronounced multireference character. The group VI
heterodimers have a (1)Sigma(+) ground state, while the mixed group V-group VI
heterodimers show a (2)Delta ground state. Among all dimers, only VCr presents a
potential energy profile with a deep minimum in the d-d region and a shelf-like
potential in the s-s region. All the remaining dimers show only the short-range
minimum. The largest effective bond order is obtained for the MoW, with a value
of 5.2, that is, a weak sextuple bond. Most of the obtained results are valuable
tools to drive future experimental investigations.
PMID- 21894922
TI - Analogues of the Lavallo-Grubbs compound Fe3(C8H8)3: equilateral, isosceles, and
scalene metal triangles in trinuclear cyclooctatetraene complexes M3(C8H8)3 of
the first row transition metals (M = Ti, V, Cr, Mn, Fe, Co, and Ni).
AB - The trinuclear derivative Fe(3)(C(8)H(8))(3) was synthesized in 2009 by Lavallo
and Grubbs via the reaction of Fe(C(8)H(8))(2) with a bulky heterocyclic carbene.
This fascinating structure is the first example of a derivative of the well-known
Fe(3)(CO)(12) in which all 12 carbonyl groups have been replaced by hydrocarbon
ligands. The density functional theory predicts a structure having a central
Fe(3) equilateral triangle with ~2.9 A Fe-Fe single bonded edges bridged by
eta(5),eta(3)-C(8)H(8) ligands. This structure is close to the experimental
structure, determined by X-ray crystallography. The related hypoelectronic
M(3)(C(8)H(8))(3) derivatives (M = Cr, V, Ti) are predicted to have central
scalene M(3) triangles with edge lengths and Wiberg bond indices (WBIs)
corresponding to one formal single M-M bond, one formal double M?M bond, and one
formal triple M=M bond. For Mn(3)(C(8)H(8))(3), both a doublet structure with one
Mn?Mn double bond and two Mn-Mn single bonds in the Mn(3) triangle, and a quartet
structure with two Mn?Mn double bonds and one Mn-Mn single bond are predicted.
The hyperelectronic derivatives M(3)(C(8)H(8))(3) have weaker direct M-M
interactions in their M(3) triangles, as indicated by both the M-M distances and
the WBIs. Thus, Ni(3)(C(8)H(8))(3) has bis(trihapto) eta(3),eta(3)-C(8)H(8)
ligands bridging the edges of a central approximately equilateral Ni(3) triangle
with long Ni...Ni distances of ~3.7 A. The WBIs indicate very little direct Ni-Ni
bonding in this Ni(3) triangle and thus a local nickel environment in the singlet
Ni(3)(C(8)H(8))(3) similar to that observed for diallylnickel (eta(3)
C(3)H(5))(2)Ni.
PMID- 21894921
TI - Nature of halide binding to the molybdenum site of sulfite oxidase.
AB - Valuable information on the active sites of molybdenum enzymes has been provided
from both Mo(V) electron paramagnetic resonance (EPR) spectroscopy and X-ray
absorption spectroscopy (XAS). One of three major categories of Mo(V) EPR signals
from the molybdenum enzyme sulfite oxidase is the low-pH signal, which forms in
the presence of chloride. Two alternative structures for this species have been
proposed, one in which the chloride is coordinated directly to Mo and a second in
which chloride is held in the arginine-rich basic pocket some 5 A from Mo. Here
we present an independent assessment of the structure of this species by using
XAS of the analogous bromide and iodide complexes. We show that there is no
evidence of direct Mo-I coordination, and that the data are consistent with a
structure in which the halide is bound at ~5 A from Mo.
PMID- 21894923
TI - Limited occurrence of isocloso deltahedra with 9 to 12 vertices in low-energy
hypoelectronic diferradicarbaborane structures.
AB - Theoretical studies show that the 10-vertex system Cp(2)Fe(2)C(2)B(6)H(8) is the
only one of the 2n skeletal electron Cp(2)Fe(2)C(2)B(n-4)H(n-2) systems (n = 9,
10, 11, 12) for which a true isocloso deltahedron having a single degree 6 vertex
is highly favored over alternative structures. This is demonstrated by the
occurrence of only the 10-vertex isocloso deltahedron as the central
Fe(2)C(2)B(6) polyhedron in all nine of the Cp(2)Fe(2)C(2)B(6)H(8) structures
within 8 kcal/mol of the global minimum. Low energy isocloso structures are also
observed for the 11-vertex Cp(2)Fe(2)C(2)B(7)H(9). However, interspersed with
these isocloso structures are Cp(2)Fe(2)C(2)B(7)H(9) structures based on
deltahedra having two or more degree 6 vertices. For the 12-vertex
Cp(2)Fe(2)C(2)B(8)H(10), the six lowest energy structures all have central
Fe(2)C(2)B(8) deltahedra with two degree 6 vertices, one for each iron atom. The
Cp(2)Fe(2)C(2)B(8)H(10) structures having a central Fe(2)C(2)B(8) icosahedron
with all degree 5 vertices lie at significantly higher energies, starting at 17.8
kcal/mol above the global minimum. The 9-vertex Cp(2)Fe(2)C(2)B(5)H(7) system
appears to be too small for isocloso structures to be favorable, although three
such structures are found at energies between 5.5 and 8.0 kcal/mol above the
global minimum. Five Cp(2)Fe(2)C(2)B(5)H(7) structures based on the tricapped
trigonal prism lie in an energy below the lowest energy isocloso structure. The
lowest energy Cp(2)Fe(2)C(2)B(5)H(7) structure and two higher energy structures
within 8.0 kcal/mol of the global minimum have central Fe(2)C(2)B(5) deltahedra
with a degree 6 vertex for each iron atom.
PMID- 21894924
TI - What is the shape of the helium trimer? A comparison with the neon and argon
trimers.
AB - Despite its apparent simplicity and extensive theoretical investigations, the
issue of what is the shape of the helium trimer is still debated in the
literature. After reviewing previous conflicting interpretations of computational
studies, we introduce the angle-angle distribution function as a tool to discuss
in a simple way the shape of any trimer. We compute this function along with many
different geometrical distributions using variational and diffusion Monte Carlo
methods. We compare them with the corresponding ones for the neon and argon
trimers. Our analysis shows that while Ne(3) and Ar(3) fluctuate around an
equilibrium structure that is an equilateral triangle, (4)He(3) shows an
extremely broad angle-angle distribution function, and all kinds of three-atom
configurations must be taken into account in its description. Classifying
(4)He(3) as either equilateral or linear or any other particular shape, as was
done in the past, is not sensible, because in this case the intuitive notion of
equilibrium structure is ill defined. Our results could help the interpretation
of future experiments aimed at measuring the geometrical properties of the helium
trimer.
PMID- 21894925
TI - Accumulation of microplastic on shorelines woldwide: sources and sinks.
AB - Plastic debris <1 mm (defined here as microplastic) is accumulating in marine
habitats. Ingestion of microplastic provides a potential pathway for the transfer
of pollutants, monomers, and plastic-additives to organisms with uncertain
consequences for their health. Here, we show that microplastic contaminates the
shorelines at 18 sites worldwide representing six continents from the poles to
the equator, with more material in densely populated areas, but no clear
relationship between the abundance of miocroplastics and the mean size
distribution of natural particulates. An important source of microplastic appears
to be through sewage contaminated by fibers from washing clothes. Forensic
evaluation of microplastic from sediments showed that the proportions of
polyester and acrylic fibers used in clothing resembled those found in habitats
that receive sewage-discharges and sewage-effluent itself. Experiments sampling
wastewater from domestic washing machines demonstrated that a single garment can
produce >1900 fibers per wash. This suggests that a large proportion of
microplastic fibers found in the marine environment may be derived from sewage as
a consequence of washing of clothes. As the human population grows and people use
more synthetic textiles, contamination of habitats and animals by microplastic is
likely to increase.
PMID- 21894926
TI - Using high intensity ultrasound as a tool to change the functional properties of
interesterified soybean oil.
AB - High intensity ultrasound (HIU) was used to change the crystallization behavior,
generate small crystals, and improve the texture of a low saturated shortening
(interesterified soybean oil). Samples were crystallized at different
temperatures (26, 28, 30, and 32 degrees C) without and with the application of
HIU. Different acoustic power levels (110, 72, 61, 54, and 44 W) were used.
Results show that higher acoustic powers had a greater effect on crystal size
reduction, induced crystallization, and generated harder, more elastic and
viscous materials. These effects were more significant when HIU was applied in
the presence of crystals and when the sample was crystallized at 32 degrees C.
PMID- 21894927
TI - Anharmonic frequencies of CX2Y2 (X, Y = O, N, F, H, D) isomers and related
systems obtained from vibrational multiconfiguration self-consistent field
theory.
AB - Accurate anharmonic frequencies are provided for molecules of current research,
i.e., diazirines, diazomethane, the corresponding fluorinated and deuterated
compounds, their dioxygen analogs, and others. Vibrational-state energies were
obtained from state-specific vibrational multiconfiguration self-consistent field
theory (VMCSCF) based on multilevel potential energy surfaces (PES) generated
from explicitly correlated coupled cluster, CCSD(T)-F12a, and double-hybrid
density functional calculations, B2PLYP. To accelerate the vibrational structure
calculations, a configuration selection scheme as well as a polynomial
representation of the PES have been exploited. Because experimental data are
scarce for these systems, many calculated frequencies of this study are
predictions and may guide experiments to come.
PMID- 21894928
TI - Understanding the synergistic effect of arginine and glutamic acid mixtures on
protein solubility.
AB - Understanding protein solubility is a key part of physical chemistry. In
particular, solution conditions can have a major effect, and the effect of
multiple cosolutes is little understood. It has been shown that the simultaneous
addition of L-arginine hydrochloride and L-glutamic acid enhances the maximum
achievable solubility of several poorly soluble proteins up to 4-8 times
(Golovanov et. al, J. Am. Chem. Soc., 2004, 126, 8933-8939) and reduces the
intermolecular interactions between proteins. The observed solubility enhancement
is negligible for arginine and glutamic acid solutions as compared to the
equimolar mixtures. In this study, we have established the molecular mechanism
behind this observed synergistic effect of arginine and glutamic acid mixtures
using preferential interaction theory and molecular dynamics simulations of
Drosophilia Su(dx) protein (ww34). It was found that the protein solubility
enhancement is related to the relative increase in the number of arginine and
glutamic acid molecules around the protein in the equimolar mixtures due to
additional hydrogen bonding interactions between the excipients on the surface of
the protein when both excipients are present. The presence of these additional
molecules around the protein leads to enhanced crowding, which suppresses the
protein association. These results highlight the role of additive-additive
interaction in tuning the protein-protein interactions. Furthermore, this study
reports a unique behavior of additive solutions, where the presence of one
additive in solution affects the concentration of another on the protein surface.
PMID- 21894929
TI - Mapping unstructured regions and synergistic folding in intrinsically disordered
proteins with amide H/D exchange mass spectrometry.
AB - Mapping the structured and disordered regions and identifying disorder-to-order
transitions are essential to understanding intrinsically disordered proteins
(IDPs). One technique that can provide such information is H/D exchange coupled
with mass spectrometry (H/D-MS). To explore the feasibility of H/D-MS for mapping
disordered and ordered regions in IDPs, we undertook a systematic evaluation of
an unstructured protein, a molten globular protein, and the well-folded complex
of the two proteins. Most segments of the unstructured protein, ACTR (activator
of thyroid and retinoid receptors, NCOA3_HUMAN, residues 1018-1088), exchange at
rates consistent with its assignment as an unstructured protein, but there is
slight protection in regions that become helical in the ACTR-CBP complex. The
molten globular protein, CBP (the nuclear coactivator binding domain of the CREB
binding protein, CBP_MOUSE, residues 2059-2117), is moderately protected from
exchange, and the protection is nearly uniform across the length of the protein.
The uniformity arises because of rapid interconversion between an ensemble of
folded conformers and an ensemble of unstructured conformers. Rapid
interconversion causes the H/D exchange kinetics to be dominated by exchange by
molecules in unstructured conformations. For the folded ACTR-CBP complex, the
exchange data provide a qualitatively accurate description of the complex. Our
results provide a useful framework to use in the interpretation of H/D-MS data of
intrinsically disordered proteins.
PMID- 21894930
TI - Sudden, "step" electron capture by conjugated polymers.
AB - Data showing significant time-resolution-limited "step" capture of electrons
following radiolysis by 7 - 10 ps electron pulses in a series of different length
and different concentration conjugated polyfluorene polymers in tetrahydrofuran
(THF) are presented. At the highest concentration, ~48 mM in repeat units for
lengths from 20 to 133 fluorenes, ~30% of the electrons formed during pulse
radiolysis were captured in the step, with a constant efficiency per repeat unit.
Step capture per repeat unit (q = 6.9 M(-1)) is 60% of the presolvated electron
capture efficiency previously reported for biphenyl in THF, giving capture per
polymer molecule 12-80 times larger than that for biphenyl at the same
concentration. This increase in capture efficiency is large compared to the rate
constant per repeat unit for diffusion-limited electron attachment to the same
molecules, which is 13% of that of a single unit of fluorene. Plausible
mechanisms of this fast capture are explored. It is shown that both capture of
quasi-free and localized presolvated electrons can adequately explain the
observations. The large yield of radical anions at low concentration of
polyfluorene enables observation of subsequent chemistry on the picosecond time
scale in these systems, which would otherwise been limited by diffusional
attachment to the nanosecond regime.
PMID- 21894931
TI - Oxidation of annelated diarylamines: analysis of reaction pathways to nitroxide
diradical and spirocyclic products.
AB - Oxidation of diaryldiamine 2, a tetrahydrodiazapentacene derivative, provides
diarylnitroxide diradical 1 accompanied by an intermediate nitroxide monoradical
and a multitude of isolable diamagnetic products. DFT-computed tensors for EPR
spectra and paramagnetic (1)H NMR isotropic shifts for nitroxide diradical 1 show
good agreement with the experimental EPR spectra in rigid matrices and
paramagnetic (1)H NMR spectra in solution, respectively. Examination of the
diamagnetic products elucidates their formation via distinct pathways involving C
O bond-forming reactions, including Baeyer-Villiger-type oxidations. An unusual
diiminoketone structure and two spirocyclic structures of the predominant
diamagnetic products are confirmed by either X-ray crystallography or
correlations between DFT-computed and experimental spectroscopic data such as
(1)H, (13)C, and (15)N NMR chemical shifts and electronic absorption spectra.
PMID- 21894932
TI - Symmetric and asymmetric bolaamphiphiles from ascorbic acid.
AB - The properties of novel bolaamphiphiles that carry epimers of vitamin C (L
ascorbic acid and/or D-isoascorbic acid) as hydrophilic head groups, and an
interconnecting aliphatic C(12) chain (DD, DL, and LL) were investigated by
differential scanning calorimetry (DSC), thermogravimetric analysis (TGA), small
angle X-ray scattering (SAXS), X-ray diffraction (XRD), and Fourier transform
infrared spectroscopy (FTIR) in the solid state (anhydrous powders) and in
aqueous dispersions as a function of the surfactant concentration. Upon heating,
the aqueous dispersions undergo a phase transition from a hydrated
semicrystalline "coagel" to a micellar phase. The results suggest that the
headgroup chirality determines the formation of either inter- or intramolecular
hydrogen bonds between the polar heads, which affect the phase behavior and
structural properties of the nanoassemblies produced by these surfactants in
water dispersions. The DSC data of aqueous dispersions were analyzed to obtain
the size distribution of the pores in the coagel state.
PMID- 21894933
TI - Salt effects on condensed protamine-DNA assemblies: anion binding and weakening
of attraction.
AB - Using osmotic stress coupled with X-ray scattering, we have directly examined the
salt sensitivity of the intermolecular forces between helices in condensed
protamine-DNA arrays. Thermodynamic forces are measured from the dependence of
DNA helical interaxial spacings on external salt concentration or the osmotic
pressure applied by neutral polymer solutions in equilibrium with the condensed
phase. Force curves of salmon protamine-DNA condensates are highly dependent on
salt species and concentration, indicating salt binding to protamine-DNA
complexes. This dependence of the forces on salt species follows the Hofmeister
series for anions. Chaotropic anions bind more tightly to protamine-DNA arrays
than kosmotropic anions, thus more greatly disrupting the attractive
thermodynamic forces. Variations with cation type are small compared with those
observed for anions. Further, osmotic stress is used to estimate the number of
ions bound in the condensed phase through a Gibbs-Duhem relationship. We estimate
that at equilibrium, ~1 Br(-) is bound per protamine molecule at 200 mM NaBr
concentration. Remarkably, this one bound anion results in a change of ~12% in
the surface-to-surface distance between DNA helices. Potential biological
implications of this attractive force salt sensitivity are discussed.
PMID- 21894934
TI - Diazo reagents with small steric footprints for simultaneous arming/SAR studies
of alcohol-containing natural products via O-H insertion.
AB - Natural products are essential tools for basic cellular studies leading to the
identification of medically relevant protein targets and the discovery of
potential therapeutic leads. The development of methods that enable mild and
selective derivatization of natural products continues to be of significant
interest for mining their information-rich content. Herein, we describe novel
diazo reagents for simultaneous arming and structure-activity relationship (SAR)
studies of alcohol-containing natural products with a small steric footprint,
namely, an alpha-trifluoroethyl (HTFB) substituted reagent. The Rh(II)-catalyzed
O-H insertion reaction of several natural products, including the potent
translation inhibitor lactimidomycin, was investigated, and useful reactivity and
both chemo- and site (chemosite) selectivities were observed. Differential
binding to the known protein targets of both FK506 and fumagillol was
demonstrated, validating the advantage of the smaller steric footprint of alpha
trifluoroethyl derivatives. A p-azidophenyl diazo reagent is also described that
will prove useful for photoaffinity labeling of low affinity small molecule
protein receptors.
PMID- 21894935
TI - Core-shell MoO3-MoS2 nanowires for hydrogen evolution: a functional design for
electrocatalytic materials.
AB - We synthesize vertically oriented core-shell nanowires with substoichiometric
MoO(3) cores of ~20-50 nm and conformal MoS(2) shells of ~2-5 nm. The core-shell
architecture, produced by low-temperature sulfidization, is designed to utilize
the best properties of each component material while mitigating their
deficiencies. The substoichiometric MoO(3) core provides a high aspect ratio
foundation and enables facile charge transport, while the conformal MoS(2) shell
provides excellent catalytic activity and protection against corrosion in strong
acids.
PMID- 21894936
TI - Modulating resonance modes and Q value of a CdS nanowire cavity by single Ag
nanoparticles.
AB - Semiconductor nanowire (NW) cavities with tailorable optical modes have been used
to develop nanoscale oscillators and amplifiers in microlasers, sensors, and
single photon emitters. The resonance modes of NW could be tuned by different
boundary conditions. However, continuously and reversibly adjusting resonance
modes and improving Q-factor of the cavity remain a great challenge. We report a
method to modulate resonance modes continuously and reversibly and improve Q
factor based on surface plasmon-exciton interaction. By placing single Ag
nanoparticle (NP) nearby a CdS NW, we show that the wavelength and relative
intensity of the resonance modes in the NW cavity can systematically be tuned by
adjusting the relative position of the Ag NP. We further demonstrate that a 56%
enhancement of Q-factor and an equivalent pi-phase shift of the resonance modes
can be achieved when the Ag NP is located near the NW end. This hybrid cavity has
potential applications in active plasmonic and photonic nanodevices.
PMID- 21894937
TI - Triplet-sensitized photoreactivity of a geminal diazidoalkane.
AB - Photolysis of 1 in chloroform yielded 2 as the major product and a small quantity
of 3. Laser flash photolysis demonstrated that upon irradiation, the first
excited triplet state of the ketone (T(1K)) of 1 is formed and decayed to form
radical 4, which has a lambda(max) at 380 nm (tau = 2 MUs). Radical 4 expelled a
nitrogen molecule to yield imine radical 5 (lambda(max) at 300 nm). Density
functional theory (DFT) calculations showed that the transition state barrier for
the formation of 5 is approximately 4 kcal/mol. In comparison, photolysis of 1 in
argon matrices resulted in triplet nitrene 6, which was further characterized
with (15)N and D isotope labeling and DFT calculations. Prolonged irradiation of
6 yields triplet imine nitrene 7.
PMID- 21894938
TI - Highly efficient coupling of photons from nanoemitters into single-mode optical
fibers.
AB - Highly efficient coupling of photons from nanoemitters into single-mode optical
fibers is demonstrated using tapered fibers. A percentage (7.4 +/- 1.2%) of the
total emitted photons from single CdSe/ZnS nanocrystals were coupled into a 300
nm diameter tapered fiber. The dependence of the coupling efficiency on the taper
diameter was investigated and the coupling efficiency was found to increase
exponentially with decreasing diameter. This method is very promising for
nanoparticle sensing and single-photon sources.
PMID- 21894940
TI - High current density Esaki tunnel diodes based on GaSb-InAsSb heterostructure
nanowires.
AB - We present electrical characterization of broken gap GaSb-InAsSb nanowire
heterojunctions. Esaki diode characteristics with maximum reverse current of 1750
kA/cm(2) at 0.50 V, maximum peak current of 67 kA/cm(2) at 0.11 V, and peak-to
valley ratio (PVR) of 2.1 are obtained at room temperature. The reverse current
density is comparable to that of state-of-the-art tunnel diodes based on heavily
doped p-n junctions. However, the GaSb-InAsSb diodes investigated in this work do
not rely on heavy doping, which permits studies of transport mechanisms in simple
transistor structures processed with high-kappa gate dielectrics and top-gates.
Such processing results in devices with improved PVR (3.5) and stability of the
electrical properties.
PMID- 21894939
TI - The physical basis of FGFR3 response to fgf1 and fgf2.
AB - Fibroblast growth factors (fgfs) play important roles in embryonic development
and in adult life by controlling cell proliferation, differentiation, and
migration. There are 18 known fgfs which activate four fibroblast growth factor
receptors (FGFRs), with different isoforms due to alternative splicing. The
physical basis behind the specificity of the biological responses mediated by
different fgf-FGFR pairs is currently unknown. To gain insight into the
specificity of FGFR3c, a membrane receptor which is critical for bone
development, we studied, analyzed, and compared the activation of FGFR3c over a
wide range of fgf1 and fgf2 concentrations. We found that while the strength of
fgf2 binding to FGFR3c is lower than the strength of fgf1 binding, the fgf2-bound
dimers exhibit higher phosphorylation of the critical tyrosines in the activation
loop. As a result, fgf1 and fgf2 elicit a similar FGFR3c response at low, but not
at high, concentrations. The results demonstrate the versatility of FGFR3c
response to fgf1 and fgf2 and highlight the complexity in fgf signaling.
PMID- 21894941
TI - Working together: the combined application of a magnetic field and penetratin for
the delivery of magnetic nanoparticles to cells in 3D.
AB - Nanoparticles (NPs) are currently being developed as vehicles for in vivo drug
delivery. Two of the biggest barriers facing this therapy are the site-specific
targeting and consequent cellular uptake of drug-loaded NPs(1). In vitro studies
in 2D cell cultures have shown that an external magnetic field (MF) and
functionalization with cell-penetrating peptides (CPPs) have the capacity to
overcome these barriers. This study aimed to investigate if the potential of
these techniques, which has been reported in 2D, can be successfully applied to
cells growing in a 3D environment. As such, this study provides a more realistic
assessment of how these techniques might perform in future clinical settings. The
effect of a MF and/or penetratin attachment on the uptake of 100 and 200 nm
fluorescent iron oxide magnetic NPs (mNPs) into a fibroblast-seeded 3D collagen
gel was quantified by inductively coupled plasma mass spectrometry. The most
suitable mNP species was further investigated by fluorescence microscopy,
histology, confocal microscopy, and TEM. Results show that gel mNP uptake
occurred on average twice as fast in the presence of a MF and up to three times
faster with penetratin attachment. In addition, a MF increased the distance of
mNP travel through the gel, while penetratin increased mNP cell localization.
This work is one of the first to demonstrate that MFs and CPPs can be effectively
translated for use in 3D systems and, if applied together, will make excellent
partners to achieve therapeutic drug delivery in vivo.
PMID- 21894942
TI - Effect of calcium on the morphology and functionality of whey protein
nanofibrils.
AB - Self-assembly of amyloid-like nanofibrils during heating of bovine whey proteins
at 80 degrees C and pH 2 is accelerated by the presence of NaCl and/or CaCl(2),
but the rheological consequences of accelerated self-assembly are largely
unknown. This investigation focused on the impact of CaCl(2) on the evolution of
rheological properties and fibril morphology of heated whey protein isolate
(WPI), both during self-assembly at high temperature and after cooling.
Continuous rotational rheometry of heated 2% w/w WPI showed a nonlinear effect of
CaCl(2) on the viscosity of fibril dispersions, which we attributed to effects on
fibril flexibility and thus the balance between intrafibril and interfibril
entanglements. Small-amplitude oscillatory measurements made in situ during
heating of 10% w/w WPI at 80 degrees C suggest that CaCl(2) is not involved in
either fibril structure or gel structure, and this was confirmed with dialysis
experiments.
PMID- 21894943
TI - Molecular-crowding-induced clustering of DNA-wrapped carbon nanotubes for facile
length fractionation.
AB - Emerging applications require single-wall carbon nanotubes (SWCNTs) of well
defined length. Yet the use of length-defined SWCNTs is limited, in part due to
the lack of an easily accessible materials preparation method. Here, we present a
new strategy for SWCNT length fractionation based on molecular crowding induced
cluster formation. We show that the addition of polyethylene glycol (PEG) as a
crowding agent into DNA-wrapped SWCNT dispersion leads to the formation of
reversible, nematic, and rodlike microclusters, which can be collected by gentle
centrifugation. Since shorter SWCNTs form clusters at higher polyethylene glycol
concentration, gradual increase in PEG concentration results in length
fractionated SWCNTs. Using atomic force microscopy (AFM) we show that fractions
with average lengths of 60-500 nm and standard deviations of 30-40% can be
obtained. The concept of molecular-crowding-based fractionation should be
applicable to other nanoparticle dispersions.
PMID- 21894944
TI - Monitoring of galvanic replacement reaction between silver nanowires and HAuCl4
by in situ transmission X-ray microscopy.
AB - Galvanic replacement reaction between silver nanowires and an aqueous solution of
HAuCl(4) has been successfully monitored in real time by using in situ
transmission X-ray microscopy (TXM) in combination with a flow cell reactor. The
in situ observations clearly show the morphological evolution of the solid silver
nanowires to hollow gold nanotubes in the course of the reaction. Careful
analysis of the images reveals that the galvanic replacement reaction on the
silver nanowires involves multiple steps: (i) local initiation of pitting
process; (ii) anisotropic etching of the silver nanowires and uniform deposition
of the resulting gold atoms on the surfaces of the nanowires; and (iii)
reconstruction of the nanotube walls via an Ostwald ripening process. The in situ
TXM represents a promising approach for studying dynamic processes involved in
the growth and chemical transformation of nanomaterials in solutions, in
particular for nanostructures with dimensions larger than 50 nm.
PMID- 21894946
TI - Responsive two-photon induced europium emission as fluorescent indicator for
paralytic shellfish saxitoxin.
AB - A water-soluble europium(III) complex (1) has been synthesized and demonstrated
to be a specific fluorescence probe for the paralytic shellfish toxin saxitoxin,
a neurotoxin that blocks the voltage-gated sodium channels on cell membranes.
Saxitoxin binds to the europium complex (K(B) = 6.1 * 10(4) M(-1)) and triggers a
two-photon induced f-f emission enhancement by over 100% and increases the two
photon absorption cross-section from 9 to 36 GM.
PMID- 21894947
TI - Screw dislocation-driven growth of two-dimensional nanoplates.
AB - We report the dislocation-driven growth of two-dimensional (2D) nanoplates. They
are another type of dislocation-driven nanostructure and could find application
in energy storage, catalysis, and nanoelectronics. We first focus on nanoplates
of zinc hydroxy sulfate (3Zn(OH)(2).ZnSO(4).0.5H(2)O) synthesized from aqueous
solutions. Both powder X-ray and electron diffraction confirm the zinc hydroxy
sulfate (ZHS) crystal structure as well as their conversion to zinc oxide (ZnO).
Scanning electron, atomic force, and transmission electron microscopy reveal the
presence of screw dislocations in the ZHS nanoplates. We further demonstrate the
generality of this mechanism through the growth of 2D nanoplates of alpha
Co(OH)(2), Ni(OH)(2), and gold that can also follow the dislocation-driven growth
mechanism. Finally, we propose a unified scheme general to any crystalline
material that explains the growth of nanoplates as well as different dislocation
driven nanomaterial morphologies previously observed through consideration of the
relative crystal growth step velocities at the dislocation core versus the outer
edges of the growth spiral under various supersaturations.
PMID- 21894948
TI - Photomodulated rayleigh scattering of single semiconductor nanowires: probing
electronic band structure.
AB - The internal electronic structures of single semiconductor nanowires can be
resolved using photomodulated Rayleigh scattering spectroscopy. The Rayleigh
scattering from semiconductor nanowires is strongly polarization sensitive which
allows a nearly background-free method for detecting only the light that is
scattered from a single nanowire. While the Rayleigh scattering efficiency from a
semiconductor nanowire depends on the dielectric contrast, it is relatively
featureless as a function of energy. However, if the nanowire is photomodulated
using a second pump laser beam, the internal electronic structure can be resolved
with extremely high signal-to-noise and spectral resolution. The photomodulated
Rayleigh scattering spectra can be understood theoretically as a first derivative
of the scattering efficiency that results from a modulation of the band gap and
depends sensitively on the nanowire diameter. Fits to spectral lineshapes provide
both the band structure and the diameter of individual GaAs and InP nanowires
under investigation.
PMID- 21894949
TI - Far-field optical imaging of a linear array of coupled gold nanocubes: direct
visualization of dark plasmon propagating modes.
AB - Plasmonic nanoantenna arrays hold great promise for diffraction-unlimited light
localization, confinement, and transport. Here, we report on linear plasmonic
nanoantenna arrays composed of colloidal gold nanocubes precisely assembled using
a nanomanipulation technique. In particular, we show the direct evidence of dark
propagating modes in the plasmon coupling regime, allowing for transport of
guided plasmon waves without far-field radiation losses. Additionally, we
demonstrate the possibility of plasmon dispersion engineering in coupled gold
nanocube chains. By assembling a nanocube chain with two sections of coupled
nanocubes of different intercube separations, we are able to produce the effect
of a band-pass nanofilter.
PMID- 21894950
TI - Photoluminescence from inner walls in double-walled carbon nanotubes: some do,
some do not.
AB - Double-walled carbon nanotubes (DWNTs) have recently been recognized as important
members in the carbon nanotube family because they are expected to have certain
unique properties. For example, DWNTs are expected to replace single-walled
carbon nanotubes (SWNTs) in biomarker applications and optoelectronics if the
observed luminescence from DWNTs can be verified. However, due to unavoidable
byproducts, such as SWNTs, optical properties of DWNTs still remain
controversial. There is an ongoing debate concerning the ability of DWNTs to
exhibit photoluminescence (PL). In this report, we aim to clearly resolve this
debate through the study of carefully separated DWNTs. DWNTs were successfully
separated from SWNTs using density gradient ultracentrifugation. Here we clearly
show that light is emitted from the inner wall of DWNTs; however, the intensity
of the emission is significantly quenched. Interestingly, it was found that a
very narrow range of diameters of the inner walls of DWNTs is required for PL to
be observable. All other diameters led to complete PL quenching in DWNTs. In
short, we have shown that both sides of the debate are correct under certain
situations. The real answer to the question is that some DWNTs do emit light but
most DWNTs do not.
PMID- 21894951
TI - Ultrasound-induced emission enhancement based on structure-dependent homo- and
heterochiral aggregations of chiral binuclear platinum complexes.
AB - Instant and precise control of phosphorescent emission can be performed by
ultrasound-induced gelation of organic liquids with chiral, clothespin-shaped
trans-bis(salicylaldiminato)Pt(II) complexes, anti-1. Nonemissive solutions of
racemic, short-linked anti-1a (n = 5) and optically pure, long-linked anti-1c (n
= 7) in organic liquids are transformed immediately into stable phosphorescent
gels upon brief irradiation of low-power ultrasound. Emission from the gels can
be controlled by sonication time, linker length, and optical activity of the
complexes. Several experimental results indicated that structure-dependent homo-
and heterochiral aggregations and ultrasound-control of the aggregate morphology
are key factors for emission enhancement.
PMID- 21894952
TI - Concise, stereocontrolled synthesis of the citrinadin B core architecture.
AB - A concise, stereocontrolled synthesis of the citrinadin B core architecture from
scalemic, readily available starting materials is disclosed. Highlights include
ready access to both cyclic tryptophan tautomer and trans-2,6-disubstituted
piperidine fragments, an efficient, stereoretentive mixed Claisen acylation for
the coupling of these halves, and further diastereoselective carbonyl addition
and oxidative rearrangement for assembly of the core.
PMID- 21894954
TI - Cyanocuprates convert carboxylic acids directly into ketones.
AB - Carboxylic acids were converted directly in 56-99% yields into methyl, n-butyl,
and isopropyl ketones using excess cyanocuprates R(2)CuLi.LiCN. A substrate with
a stereocenter alpha to the carboxylic acid was converted into ketones with very
little loss of enantiomeric purity. A variety of functional groups were tolerated
including aryl bromides. This direct transformation of a carboxylic acid into
ketone with minimal tertiary alcohol formation is proposed to involve a
relatively stable copper ketal tetrahedral intermediate.
PMID- 21894953
TI - Rhodium-catalyzed cross-coupling reaction of arylboronates and diazoesters and
tandem alkylation reaction for the synthesis of quaternary alpha,alpha
heterodiaryl carboxylic esters.
AB - A rhodium-catalyzed one-pot three-component coupling reaction was developed for
the synthesis of quaternary alpha,alpha-heterodiaryl carboxylic esters. This
reaction involves cross-coupling of the arylrhodium(I) complexes with alpha
aryldiazoacetates to form oxa-pi-allylrhodium complexes. With KOtBu and alkyl
halides, tandem alkylation of the allyl complex occurs to form a quaternary
stereocenter at the carbenic carbon.
PMID- 21894955
TI - Intraparticle charge delocalization of carbene-functionalized ruthenium
nanoparticles manipulated by selective ion binding.
AB - Olefin metathesis reactions of carbene-stabilized ruthenium nanoparticles were
exploited for the incorporation of multiple functional moieties onto the
nanoparticle surface. When the nanoparticles were cofunctionalized with 4
vinylbenzo-18-crown-6 and 1-vinylpyrene, the resulting particles exhibited
fluorescence characteristics that were consistent with dimeric pyrene with a
conjugated chemical bridge, with three peaks observed in the emission spectra at
391, 410, and 485 nm. The behaviors were ascribed to intraparticle charge
delocalization between the pyrene moieties afforded by the conjugated Ru?carbene
interfacial linkages. Notably, upon the binding of metal ions in the crown ether
cavity, the emission intensity of the nanoparticle fluorescence was found to
diminish at 485 nm and concurrently increase at 391 and 410 nm rather markedly,
with the most significant effects observed with K(+). This was accounted for by
the selective binding of 18-crown-6 to potassium ions, where the positively
charged ions led to the polarization of the nanoparticle core electrons that was
facililated by the conjugated linkage to the metal surface and hence impeded
intraparticle charge delocalization. Control experiments with a pyrene-crown
ether conjugate (2) and with ruthenium nanoparticles cofunctionalized with 4
vinylbenzo-18-crown-6 and 1-allylpyrene suggested that the through-bond pathway
played a predominant role in the manipulation of intraparticle electronic
communication whereas the contributions from simple electrostatic interactions
(i.e., through-space pathway) were minimal.
PMID- 21894956
TI - Simultaneous determination of free amino acid content in tea infusions by using
high-performance liquid chromatography with fluorescence detection coupled with
alternating penalty trilinear decomposition algorithm.
AB - In this paper, a novel application of alternating penalty trilinear decomposition
(APTLD) for high-performance liquid chromatography with fluorescence detection
(HPLC-FLD) has been developed to simultaneously determine the contents of free
amino acids in tea. Although the spectra of amino acid derivatives were similar
and a large number of water-soluble compounds are coextracted, APTLD could
predict the accurate concentrations together with reasonable resolution of
chromatographic and spectral profiles for the amino acids of interest owing to
its "second-order advantage". An additional advantage of the proposed method is
lower cost than traditional methods. The results indicate that it is an
attractive alternative strategy for the routine resolution and quantification of
amino acids in the presence of unknown interferences or when complete separation
is not easily achieved.
PMID- 21894957
TI - Enzymatic and chemical treatment limits on the controlled solubilization of
brewers' spent grain.
AB - The enzymatic hydrolysis of brewers' spent grain (BSG) has been investigated
through treatment with commercial carbohydrases and proteases. Resultant residues
were then chemically fractionated and delignified. Enzymatic treatments released
25-30% of the BSG mass and yielded precursors suitable for subsequent conversion
to potentially value-added products. Controlled chemical fractionation
selectively solubilized arabinoxylan but with no differences apparent due to
prior enzyme treatment. The loss of non-polysaccharide components during alkali
treatment suggests the presence of a high proportion of alkali-soluble lignin.
Further delignification of the alkali-insoluble residues and further chemical
fractionation released the remaining hemicellulose, to yield a residue which was
>90% cellulose. Further knowledge of the properties and interaction between BSG
polymers will facilitate an improved enzyme-assisted total deconstruction of BSG
and hence the exploitation of its biomass.
PMID- 21894958
TI - Characteristics of pregelatinized ae mutant rice flours prepared by boiling after
preroasting.
AB - As ae mutant rice, such as EM10, lacks the starch branching enzyme IIb, its
amylopectin contains more long-chain glucans than that of ordinary Indica and
Japonica rice grains. Although boiled grains of ae rice cultivars are too hard
and nonsticky for table rice, they are promising in terms of biofunctionality,
such as prevention of diabetes. The present paper investigates the
characterization of a novel group of four ae mutant rice cultivars (EM72, EM145,
EM174, and EM189). They were subjected to the evaluation for their main chemical
components, physical properties, and enzyme activities at different grain
conditions (raw milled rice, roasted rice, boiled rice, and rice boiled after
preroasting). These mutant rice grains are characterized by high apparent
amylose, high protein and high glucose contents, high pasting temperature, high
alpha-amylase activities, high resistant starch, and low degree of
gelatinization. A novel method was developed to maintain the high resistant
starch contents of gelatinized rice grains. Rice boild after preroasting showed a
higher ratio of resistant starch and a lower amount of glucose than ordinary
boiled rice. It became possible to produce high-quality and biofunctional
pregelatinized rice flours by boiling with frozen fruits, such as tomatoes, after
rice grains had been preroasted. These ae mutants were found to be suitable
materials for rice/fruit or rice/vegetable products to serve as palatable, low
glucose, and high resistant starch rice products.
PMID- 21894959
TI - Design, synthesis, crystal structure analysis, and insecticidal evaluation of
phenylazoneonicotinoids.
AB - On the basis of research of the proposed modes of action between neonicotinoids
and insect nicotinic acetylcholine receptor (nAChR), a series of
phenylazoneonicotinoids were designed and synthesized to further promote the pi
pi interaction between molecule and amino acid residues. The target compounds
have been identified on the basis of satisfactory analytical and spectral ((1)H
NMR, (13)C NMR, HRMS, and X-ray) data. The preliminary results revealed that tiny
differences in substitutes resulted in different configurations and great
bioactivity variations. Some compounds with electron-donating groups on positions
2 and 6 of the phenyl ring presented higher insecticidal activity than
imidacloprid against cowpea aphids ( Aphis craccivora ). The impressive crystal
structure of the excellent insecticidal activity compound 9q clearly proved that
the functional electronegative pharmacophore was approximately vertical to the
methyleneimidazolidine plane. The differences in the mode of interaction on nAChR
of typical compounds 9h and 9q remain unclear.
PMID- 21894960
TI - Palladium-catalyzed carbohalogenation: bromide to iodide exchange and domino
processes.
AB - Aryl bromides have been used to prepare a variety of nitrogen- and oxygen
containing heterocycles featuring new carbon-carbon and carbon-iodine bonds. This
palladium-catalyzed carbohalogenation requires potassium iodide for the reaction
to proceed in high yields. Additionally, the first examples of domino
carbohalogenation reactions have been demonstrated using both aryl iodide and
aryl bromide starting materials. Complex products with multiple rings and
stereogenic centers are generated in excellent yields with moderate to excellent
diastereoselectivities.
PMID- 21894961
TI - Electrocatalytic water oxidation beginning with the cobalt polyoxometalate
[Co4(H2O)2(PW9O34)2]10-: identification of heterogeneous CoOx as the dominant
catalyst.
AB - The question of "what is the true catalyst?" when beginning with the cobalt
polyoxometalate (POM) [Co(4)(H(2)O)(2)(PW(9)O(34))(2)](10-) in electrochemical
water oxidation catalysis is examined in pH 8.0 sodium phosphate buffer at a
glassy carbon electrode. Is [Co(4)(H(2)O)(2)(PW(9)O(34))(2)](10-) a true water
oxidation catalyst (WOC), or just a precatalyst? Electrochemical, kinetic, UV
vis, SEM, EDX, and other data provide four main lines of compelling evidence
that, under the conditions used herein, the dominant WOC is actually
heterogeneous CoO(x) and not homogeneous [Co(4)(H(2)O)(2)(PW(9)O(34))(2)](10-).
PMID- 21894962
TI - Mapping the landscape of RNA dynamics with NMR spectroscopy.
AB - Among the three major classes of biomacromolecules (DNA, RNA, and proteins) RNA's
pronounced dynamics are the most explicitly linked to its wide variety of
functions, which include catalysis and the regulation of transcription,
translation, and splicing. These functions are mediated by a range of RNA
biomachinery, including such varied examples as macromolecular noncoding RNAs,
microRNAs, small interfering RNAs, riboswitch RNAs, and RNA thermometers. In each
case, the functional dynamics of an interconversion is characterized by an
associated rate constant. In this Account, we provide an introduction to NMR
spectroscopic characterization of the landscape of RNA dynamics. We introduce
strategies for measuring NMR parameters at various time scales as well as the
underlying models for describing the corresponding rate constants. RNA exhibits
significant dynamic motion, which can be modulated by (i) intermolecular
interactions, including specific and nonspecific binding of ions (such as Mg(2+)
and tertiary amines), (ii) metabolites in riboswitches or RNA aptamers, and (iii)
macromolecular interactions within ribonucleic protein particles, including the
ribosome and the spliceosome. Our understanding of the nature of these dynamic
changes in RNA targets is now being incorporated into RNA-specific approaches in
the design of RNA inhibitors. Interactions of RNA with proteins, other RNAs, or
small molecules often occur through binding mechanisms that follow an induced fit
mechanism or a conformational selection mechanism, in which one of several
populated RNA conformations is selected through ligand binding. The extent of
functional dynamics, including the kinetic formation of a specific RNA tertiary
fold, is dependent on the messenger RNA (mRNA) chain length. Thus, during de novo
synthesis of mRNA, both in prokaryotes and eukaryotes, nascent mRNA of various
lengths will adopt different secondary and tertiary structures. The speed of
transcription has a critical influence on the functional dynamics of the RNA
being synthesized. In addition to modulating the local dynamics of a
conformational RNA ensemble, a given RNA sequence may adopt more than one global,
three-dimensional structure. RNA modification is one way to select among these
alternative structures, which are often characterized by nearly equal stability,
but with high energy barriers for conformational interconversion. The refolding
of different secondary and tertiary structures has been found to be a major
regulatory mechanism for transcription and translation. These conformational
transitions can be characterized with NMR spectroscopy, for any given RNA
sequence, in response to external stimuli.
PMID- 21894963
TI - Importance of out-of-state spin-orbit coupling for slow magnetic relaxation in
mononuclear Fe(II) complexes.
AB - Two mononuclear high-spin Fe(II) complexes with trigonal planar
([Fe(II)(N(TMS)(2))(2)(PCy(3))] (1) and distorted tetrahedral
([Fe(II)(N(TMS)(2))(2)(depe)] (2) geometries are reported (TMS = SiMe(3), Cy =
cyclohexyl, depe = 1,2-bis(diethylphosphino)ethane). The magnetic properties of 1
and 2 reveal the profound effect of out-of-state spin-orbit coupling (SOC) on
slow magnetic relaxation. Complex 1 exhibits slow relaxation of the magnetization
under an applied optimal dc field of 600 Oe due to the presence of low-lying
electronic excited states that mix with the ground electronic state. This mixing
re-introduces orbital angular momentum into the electronic ground state via SOC,
and 1 thus behaves as a field-induced single-molecule magnet. In complex 2, the
lowest-energy excited states have higher energy due to the ligand field of the
distorted tetrahedral geometry. This higher energy gap minimizes out-of-state SOC
mixing and zero-field splitting, thus precluding slow relaxation of the
magnetization for 2.
PMID- 21894964
TI - Method for simultaneous imaging of endogenous low molecular weight metabolites in
mouse brain using TiO2 nanoparticles in nanoparticle-assisted laser
desorption/ionization-imaging mass spectrometry.
AB - We report the detection of a group of endogenous low molecular weight metabolites
(LMWM) in mouse brain (80-500 Da) using TiO(2) nanoparticles (NPs) in
nanoparticle-assisted laser desorption/ionization-imaging mass spectrometry (Nano
PALDI-IMS) without any washing and separation step prior to MS analysis. The
identification of metabolites using TiO(2) NPs was compared with a conventional
organic matrix 2,5-dihydroxybenzoic acid (DHB) where signals of 179 molecules
were specific to TiO(2) NPs, 4 were specific to DHB, and 21 were common to both
TiO(2) NPs and DHB. The use of TiO(2) NPs enabled the detection of a higher
number of LMWM as compared to DHB and gold NPs as a matrix. This approach is a
simple, inexpensive, washing, and separation free for imaging and identification
of LMWM in mouse brain. We believe that the biochemical information from distinct
regions of the brain using a Nano-PALDI-IMS will be helpful in elucidating the
imbalances linked with diseases in biomedical samples.
PMID- 21894965
TI - Transfer of CVD-grown monolayer graphene onto arbitrary substrates.
AB - Reproducible dry and wet transfer techniques were developed to improve the
transfer of large-area monolayer graphene grown on copper foils by chemical vapor
deposition (CVD). The techniques reported here allow transfer onto three
different classes of substrates: substrates covered with shallow depressions,
perforated substrates, and flat substrates. A novel dry transfer technique was
used to make graphene-sealed microchambers without trapping liquid inside. The
dry transfer technique utilizes a polydimethylsiloxane frame that attaches to the
poly(methyl methacrylate) spun over the graphene film, and the monolayer graphene
was transferred onto shallow depressions with 300 nm depth. The improved wet
transfer onto perforated substrates with 2.7 MUm diameter holes yields 98%
coverage of holes covered with continuous films, allowing the ready use of Raman
spectroscopy and transmission electron microscopy to study the intrinsic
properties of CVD-grown monolayer graphene. Additionally, monolayer graphene
transferred onto flat substrates has fewer cracks and tears, as well as lower
sheet resistance than previous transfer techniques. Monolayer graphene films
transferred onto glass had a sheet resistance of ~980 Omega/sq and a
transmittance of 97.6%. These transfer techniques open up possibilities for the
fabrication of various graphene devices with unique configurations and enhanced
performance.
PMID- 21894966
TI - Preface: Forum on redox-active ligands.
PMID- 21894967
TI - White phosphorus activation at a metal-phosphorus triple bond: a new route to
cyclo-triphosphorus or cyclo-pentaphosphorus complexes of niobium.
AB - The Nb-P triple bond in [P=Nb(N[Np]Ar)(3)](-) (Np = CH(2)(t)Bu; Ar = 3,5
Me(2)C(6)H(3)) has produced the first case of P(4) activation by a metal-ligand
multiple bond. Treatment of P(4) with the sodium salt of the niobium phosphide
complex in weakly coordinating solvents led to formation of the cyclo-P(3) anion
[(P(3))Nb(N[Np]Ar)(3)](-). Treatment in tetrahydrofuran (THF) led to the
formation of a cyclo-P(5) anion [(Ar[Np]N)(eta(4)-P(5))Nb(N[Np]Ar)(2)](-), which
represents a rare example of a substituted pentaphosphacyclopentadienyl ligand.
The P(4) activation pathway was shown to depend on the dimer-monomer equilibrium
of the niobium phosphide reagent, which, in turn, depends on the solvent used for
the reaction. The pathway leading to the cyclo-P(3) product was shown to require
a 2:1 ratio of the phosphide anion to P(4), while the cyclo-P(5) formation
requires a 1:1 ratio. The cyclo-P(3) salt has been isolated in 56% yield as
orange crystals of the [Na(THF)](2)[(P(3))Nb(N[Np]Ar)(3)](2) dimer or in 83%
yield as an orange powder of [Na(12-crown-4)(2)][(P(3))Nb(N[Np]Ar)(3)]. A solid
state X-ray diffraction experiment on the former salt revealed that each Nb-P(3)
unit exhibits pseudo-C(3) symmetry, while (31)P NMR spectroscopy showed a sharp
signal at -223 ppm that splits into a doublet-triplet pair below -50 degrees C.
It was demonstrated that this salt can serve as a P(3)(3-) source upon treatment
with AsCl(3), albeit with modest yield of AsP(3). The cyclo-P(5) salt was
isolated in 71% yield and structurally characterized from red crystals of
[Na(THF)(6)][(Ar[Np]N)(eta(4)-P(5))Nb(N[Np]Ar)(2)]. The anion in this salt can be
interpreted as the product of trapping of an intermediate
pentaphosphacycplopentadienyl structure through migration of one anilide ligand
onto the P(5) ring. The W(CO)(5)-capped cyclo-P(3) salt was also isolated in 60%
yield as [Na(THF)][(OC)(5)W(P(3))Nb(N[Np]Ar)(3)] from the activation of 0.5 equiv
of P(4) with the sodium salt of the tungsten pentacarbonyl adduct of the niobium
phosphide anion.
PMID- 21894968
TI - Study of molybdenum(4+) quinoxalyldithiolenes as models for the noninnocent
pyranopterin in the molybdenum cofactor.
AB - A model system for the molybdenum cofactor has been developed that illustrates
the noninnocent behavior of an N-heterocycle appended to a dithiolene chelate on
molybdenum. The pyranopterin of the molybdenum cofactor is modeled by a
quinoxalyldithiolene ligand (S(2)BMOQO) formed from the reaction of molybdenum
tetrasulfide and quinoxalylalkyne. The resulting complexes TEA[Tp*MoX(S(2)BMOQO)]
[1, X = S; 3, X = O; TEA = tetraethylammonium; Tp* = hydrotris(3,5
dimethylpyrazolyl)borate] undergo a dehydration-driven intramolecular cyclization
within quinoxalyldithiolene, forming Tp*MoX(pyrrolo-S(2)BMOQO) (2, X = S; 4, X =
O). 4 can be oxidized by one electron to produce the molybdenum(5+) complex 5. In
a preliminary report of this work, evidence from X-ray crystallography,
electronic absorption and resonance Raman spectroscopies, and density functional
theory (DFT) bonding calculations revealed that 4 possesses an unusual asymmetric
dithiolene chelate with significant thione-thiolate character. The results
described here provide a detailed description of the reaction conditions that
lead to the formation of 4. Data from cyclic voltammetry, additional DFT
calculations, and several spectroscopic methods (IR, electronic absorption,
resonance Raman, and electron paramagnetic resonance) have been used to
characterize the properties of members in this suite of five Mo(S(2)BMOQO)
complexes and further substantiate the highly electron-withdrawing character of
the pyrrolo-S(2)BMOQO ligand in 2, 4, and 5. This study of the unique noninnocent
ligand S(2)BMOQO provides examples of the roles that the N-heterocycle pterin can
play as an essential part of the molybdenum cofactor. The versatile nature of a
dithiolene appended by heterocycles may aid in modulating the redox processes of
the molybdenum center during the course of enzyme catalysis.
PMID- 21894969
TI - Temperature dependence of the fluorescence properties of curcumin.
AB - Steady-state and time-resolved techniques were employed to study the nonradiative
process of curcumin dissolved in ethanol and 1-propanol in a wide range of
temperatures. We found that the nonradiative rate constants at temperatures
between 175-250 K qualitatively follow the same trend as the dielectric
relaxation times of both neat solvents. We attribute the nonradiative process to
solvent-controlled proton transfer. We also found a kinetic isotope effect on the
nonradiative process rate constant of ~2. We propose a model in which the excited
state proton transfer breaks the planar hexagonal structure of the keto-enol
center of the molecule. This, in turn, enhances the nonradiative process driven
by the twist angle between the two phenol moieties.
PMID- 21894970
TI - Enhanced visible-light activity of titania via confinement inside carbon
nanotubes.
AB - Titania confined inside carbon nanotubes (CNTs) was synthesized using a
restrained hydrolysis method. Raman spectra and magnetic measurements using a
SQUID magnetometer suggested the formation of remarkable oxygen vacancies over
the encapsulated TiO(2) in comparison with nanoparticles dispersed on the outer
surface of CNTs, extending the photoresponse of TiO(2) from the UV to the visible
light region. The CNT-confined TiO(2) exhibited improved visible-light activity
in the degradation of methylene blue (MB) relative to the outside titania and
commercial P25, which is attributed to the modification of the electronic
structure of TiO(2) induced by the unique confinement inside CNTs. These results
provide further insight into the effect of confinement within CNTs, and the
composites are expected to be promising for applications in visible-light
photocatalysis.
PMID- 21894971
TI - Conversion reaction mechanisms in lithium ion batteries: study of the binary
metal fluoride electrodes.
AB - Materials that undergo a conversion reaction with lithium (e.g., metal fluorides
MF(2): M = Fe, Cu, ...) often accommodate more than one Li atom per transition
metal cation, and are promising candidates for high-capacity cathodes for lithium
ion batteries. However, little is known about the mechanisms involved in the
conversion process, the origins of the large polarization during electrochemical
cycling, and why some materials are reversible (e.g., FeF(2)) while others are
not (e.g., CuF(2)). In this study, we investigated the conversion reaction of
binary metal fluorides, FeF(2) and CuF(2), using a series of local and bulk
probes to better understand the mechanisms underlying their contrasting
electrochemical behavior. X-ray pair-distribution-function and magnetization
measurements were used to determine changes in short-range ordering, particle
size and microstructure, while high-resolution transmission electron microscopy
(TEM) and electron energy-loss spectroscopy (EELS) were used to measure the
atomic-level structure of individual particles and map the phase distribution in
the initial and fully lithiated electrodes. Both FeF(2) and CuF(2) react with
lithium via a direct conversion process with no intercalation step, but there are
differences in the conversion process and final phase distribution. During the
reaction of Li(+) with FeF(2), small metallic iron nanoparticles (<5 nm in
diameter) nucleate in close proximity to the converted LiF phase, as a result of
the low diffusivity of iron. The iron nanoparticles are interconnected and form a
bicontinuous network, which provides a pathway for local electron transport
through the insulating LiF phase. In addition, the massive interface formed
between nanoscale solid phases provides a pathway for ionic transport during the
conversion process. These results offer the first experimental evidence
explaining the origins of the high lithium reversibility in FeF(2). In contrast
to FeF(2), no continuous Cu network was observed in the lithiated CuF(2); rather,
the converted Cu segregates to large particles (5-12 nm in diameter) during the
first discharge, which may be partially responsible for the lack of reversibility
in the CuF(2) electrode.
PMID- 21894972
TI - Multicomponent click synthesis of 1,2,3-triazoles from epoxides in water
catalyzed by copper nanoparticles on activated carbon.
AB - Copper nanoparticles on activated carbon have been found to effectively catalyze
the multicomponent synthesis of beta-hydroxy-1,2,3-triazoles from a variety of
epoxides and alkynes in water. The catalyst is easy to prepare, reusable at a low
copper loading (0.5 mol %), and exhibits higher catalytic activity than some
commercially available copper sources. The regio- and stereochemistry of the
reaction has been revised and unequivocally established on the basis of X-ray
crystallographic analyses. An NMR experiment has been implemented for the rapid
and unmistakable determination of the regiochemistry of the process. Some
mechanistic aspects of the reaction have been also undertaken which unveil the
participation of copper(I) acetylides.
PMID- 21894973
TI - Asymmetric Michael addition of malonates to enones catalyzed by a primary beta
amino acid and its lithium salt.
AB - Highly enantioselective Michael addition of malonates to enones was achieved
using a mixed catalyst consisting of a primary beta-amino acid, O-TBDPS (S)-beta
homoserine, and its lithium salt. Various cyclic and acyclic enones were
converted into 1,5-ketoesters in high yields (up to 92%) with high
enantioselectivity (up to 97% ee) under mild reaction conditions. Details of
synthesis of the catalyst, optimization of the reaction conditions for the
Michael addition reaction, and a plausible reaction mechanism are described.
PMID- 21894974
TI - Understanding three hydration-dependent transitions of zwitterionic
carboxybetaine hydrogel by molecular dynamics simulations.
AB - In this work, molecular dynamics simulations were performed to study a
carboxybetaine methacrylate (CBMA) hydrogel under various swelling states. The
water content in this study ranged from 28% to 91% of the total weight of the
hydrogel. Three transitions of the CBMA hydrogel were observed as the water
content increased. The first transition occurs when the water content increases
from 33% to 37%. The observed kink in the self-diffusion coefficient of water
indicates that the hydration of the polymer network of the hydrogel is saturated;
the further added water is in a less confined state. The second transition was
found to be related to the physical cross-links of the polymer network. As the
water content rises to above 62%, the lifetime of the physical cross-links
decreases significantly. This abrupt change in the lifetime indicates that the
transition represents the equilibrium swelling state of the hydrogel. Finally,
the third transition was observed when the water content goes above 81%. The
significant increases in the bond and angle energies of the polymer network
indicate that the hydrogel reaches its upper limit swelling state at this
transition. These results are comparable to previously published experimental
studies of similar zwitterionic hydrogels.
PMID- 21894975
TI - Fingerprints for structural defects in poly(thienylene vinylene) (PTV): a joint
theoretical-experimental NMR study on model molecules.
AB - In the field of plastic electronics, low band gap conjugated polymers like
poly(thienylene vinylene) (PTV) and its derivatives are a promising class of
materials that can be obtained with high molecular weight via the so-called
dithiocarbamate precursor route. We have performed a joint experimental
theoretical study of the full NMR chemical shift assignment in a series of
thiophene-based model compounds, which aims at (i) benchmarking the quantum
chemical calculations against experiments, (ii) identifying the signature of
possible structural defects that can appear during the polymerization of PTV's,
namely head-to-head and tail-to-tail defects, and (iii) defining a criterion
regarding regioregularity.
PMID- 21894976
TI - Studies of histidine as a suitable isoelectric buffer for tryptic digestion and
isoelectric trapping fractionation followed by capillary electrophoresis-mass
spectrometry for proteomic analysis.
AB - The use of histidine as a protein digestion buffer followed by isoelectric
trapping separations using "membrane separated wells for isoelectric focusing and
trapping" (MSWIFT) and mass spectrometry (MS) analysis is described. Tryptic
digestion of bovine serum albumin (BSA) performed in histidine buffered solutions
yields similar amino acid sequence coverage values to those obtained using
ammonium bicarbonate buffer. Time course studies suggest that histidine buffers
provide faster migration of peptides from the loading compartment compared to
digestions prepared in ammonium bicarbonate due to differences in conductivities
of the two buffers. In addition, this sample preparation method and MSWIFT
separations have been coupled with capillary electrophoresis (CE) and matrix
assisted laser desorption ionization-mass spectrometry (MALDI-MS) as an
alternative separation approach for proteomic studies. Tryptic peptides of
ribosomal proteins in histidine are fractionated using MSWIFT followed by CE
MALDI-MS, which further illustrates the ability to couple fractions from a pI
based separation device to CE-MS. Specifically, two-dimensional CE-MS plots
provide a direct correlation between the numbers of basic residues within the
peptide sequence displayed in charge-state trend lines. Combining MSWIFT and CE
MS provides added information regarding peptide sequence, specifically pI and in
solution charge state. Post-translational modifications can also be identified
using this method.
PMID- 21894977
TI - Conformation and dynamics of 18-membered hexathiametacyclophanes: a two step
racemization as studied by deuterium NMR in chiral lyotropic liquid crystals.
AB - The conformation and interconversion dynamics of two derivatives of the 18
membered hexathia metacyclophane 1 and 2 were studied by (1)H NMR spectroscopy in
isotropic solvents and by (2)H NMR in chiral liquid crystalline (CLC) solutions,
as well as by molecular structure computations. For the analysis of the dynamic
effects, we made use of the concepts of "average symmetry" and "isodynamic
groups", introduced by Altmann (Altmann, Proc. R. Soc.1967, 184, A298). Compound
1, which is unsubstituted in the inner aromatic site, has, according to the NMR
and molecular force field calculations, a boat shaped ground conformation with
C(2) symmetry. It is highly flexible and in the NMR spectrum exhibits two
successive dynamic processes. There is a low temperature (170-210 K, E(a) = 10.5
kcal/mol) alternate "wing flipping", which corresponds to interchange between
pairs of enantiomers and results, in the fast exchange limit, in an average
prochiral molecule with C(2v) symmetry. This process is followed, at higher
temperatures (290-320 K, E(a) = 28.5 kcal/mol), by an umbrella flipping type
inversion with an average structure of D(2h) symmetry. This second process
involves averaging of effective enantiotopic into homotopic sites and can only be
studied in chiral solvents. The origin of the chiral discrimination and of their
stepwise averaging is discussed. Compound 2, which is substituted with methoxy
groups at the inner sites of the benzene rings, is much less flexible and
exhibits dynamic effects in the NMR spectrum only at temperatures above 370 K. We
were able to study the kinetic parameters of this process in isotropic solvents
(E(a) = 21.4 kcal/mol). As for 1, the detailed mechanism of this process can in
principle be established using dynamic NMR in CLC; however, experimental
limitation precluded us from doing so. Possible alternatives and their effect on
the 1D and 2D exchange spectra in CLC are discussed in a concluding section.
PMID- 21894978
TI - Molecular dynamics study on Au/Fe3O4 nanocomposites and their surface function
toward amino acids.
AB - The deposition of gold nanoparticles on the magnetite (Fe(3)O(4)) surface is
demonstrated through a molecular dynamics method. The simulated results show that
an intermediate layer composed by such as a surfactant, polymer, or silica plays
a key role in the formation of core/shell Fe(3)O(4)/Au nanostructures. The
functional groups of the intermediate layer are crucial factors in depositing
gold onto the Fe(3)O(4) surface via nonbonding interactions, in which the van der
Waals and columbic forces will determine the strength of interaction toward the
gold and iron oxide. Such interactions can affect the stability of the metal
coated nanocomposites and hence the functional properties. The nanocomposite is
further investigated on the surface adsorption of amino acids (e.g., cysteine),
which may be useful for functional exploration in biomedical applications.
PMID- 21894980
TI - Use of dual polarization interferometry as a diagnostic tool for protein
crystallization.
AB - The use of dual polarization interferometry (DPI) as a tool for probing the
different possible outcomes of protein crystallization experiments is described.
DPI is a surface analytical technique used for the characterization of structure
and interactions of molecular layers on an optical waveguide surface for a wide
range of applications, including protein-protein interactions and conformational
changes. The application of this technique provides a "signature" of
crystallization events, thus predicting if there will be protein crystal
formation, amorphous precipitate, or clear solution. The technique was
demonstrated on a number of model proteins, and it also produced meaningful
results in the case of two problematic target proteins. DPI in conjunction with a
dialysis setup, allows changes in the protein solution above the waveguide
surface to be monitored simultaneously with continuous control of its precipitant
content. DPI has the potential to be used as a powerful method for discovering
crystallization conditions, for obtaining information on the crystallization
process, and as an aid in crystal optimization. It has also provided what is, to
the best of our knowledge, the most direct observation to date of salting-in
behavior in a protein-salt solution.
PMID- 21894979
TI - Molecular origin of electron paramagnetic resonance line shapes on beta-barrel
membrane proteins: the local solvation environment modulates spin-label
configuration.
AB - In this work, electron paramagnetic resonance (EPR) spectroscopy and X-ray
crystallography were used to examine the origins of EPR line shapes from spin
labels at the protein-lipid interface on the beta-barrel membrane protein BtuB.
Two atomic-resolution structures were obtained for the methanethiosulfonate spin
label derivatized to cysteines on the membrane-facing surface of BtuB. At one of
these sites, position 156, the label side chain resides in a pocket formed by
neighboring residues; however, it extends from the protein surface and yields a
single-component EPR spectrum in the crystal that results primarily from fast
rotation about the fourth and fifth bonds linking the spin-label to the protein
backbone. In lipid bilayers, site 156 yields a multicomponent spectrum resulting
from different rotameric states of the labeled side chain. Moreover, changes in
the lipid environment, such as variations in bilayer thickness, modulate the EPR
spectrum by modulating label rotamer populations. At a second site, position 371,
the labeled side chain interacts with a pocket on the protein surface, leading to
a highly immobilized single-component EPR spectrum that is not sensitive to
hydrocarbon thickness. This spectrum is similar to that seen at other sites that
are deep in the hydrocarbon, such as position 170. This work indicates that the
rotameric states of spin-labels on exposed hydrocarbon sites are sensitive to the
environment at the protein-hydrocarbon interface, and that this environment may
modulate weak interactions between the labeled side chain and the protein
surface. In the case of BtuB, lipid acyl chain packing is not symmetric around
the beta-barrel, and EPR spectra from labeled hydrocarbon-facing sites in BtuB
may reflect this asymmetry. In addition to facilitating the interpretation of EPR
spectra of membrane proteins, these results have important implications for the
use of long-range distance restraints in protein structure refinement that are
obtained from spin-labels.
PMID- 21894981
TI - Symmetric pH-dependent swelling and antibacterial properties of chitosan brushes.
AB - Charged polymer brushes grafted to surfaces are of great interest for
antibacterial, biosensor, nanofluidic, and drug delivery applications. In this
paper, chitosans with quaternary ammonium salts, CH-Q, were immobilized on
silicon oxide and characterized by in situ quartz-crystal microbalance with
dissipation, QCM-D, and in situ spectroscopic ellipsometry, SE. Both methods
showed that the hydrated film exhibited a minimum thickness of ~40 nm near pH 5
that increased strongly (up to ~80 nm) at lower and higher pH. This symmetric
swelling is surprising because CH-Q is a cationic polymer. The CH-Q grafted layer
was stable for pH values from 3 to 8 and exhibited rapid, reversible swelling and
contraction upon varying pH. The CH-Q layer also reduced S. aureus colonization
by a factor of ~30* compared to bare silicon oxide and an amine terminated silane
grafted to silicon oxide. This antibacterial characteristic of CH-Q is attributed
to the quaternary ammonium salts and the flexible polymer brush.
PMID- 21894982
TI - Improving the yield of mono-DNA-functionalized gold nanoparticles through dual
steric hindrance.
AB - A novel strategy of dual steric hindrance, which was obtained by Janus
modification of gold nanoparticles (Au NPs) and volume exclusion of DNA, was
adopted to prepare mono-DNA-modified Au NPs. The yield of mono-DNA-functionalized
Au NPs significantly improved from 44 to 70% in the reaction between Au NPs and
thiolated DNA. Furthermore, the specificity of mono-DNA-functionalized Au NPs was
enhanced from 57 to 95%. The as-prepared Au NPs without postsynthetic treatment
showed good controllability in self-assembly fabrication of complex
nanostructures.
PMID- 21894983
TI - Surface rheology of saponin adsorption layers.
AB - Extracts of the Quillaja saponaria tree contain natural surfactant molecules
called saponins that very efficiently stabilize foams and emulsions. Therefore,
such extracts are widely used in several technologies. In addition, saponins have
demonstrated nontrivial bioactivity and are currently used as essential
ingredients in vaccines, food supplements, and other health products. Previous
preliminary studies showed that saponins have some peculiar surface properties,
such as a very high surface modulus, that may have an important impact on the
mechanisms of foam and emulsion stabilization. Here we present a detailed
characterization of the main surface properties of highly purified aqueous
extracts of Quillaja saponins. Surface tension isotherms showed that the purified
Quillaja saponins behave as nonionic surfactants with a relatively high cmc
(0.025 wt %). The saponin adsorption isotherm is described well by the Volmer
equation, with an area per molecule of close to 1 nm(2). By comparing this area
to the molecular dimensions, we deduce that the hydrophobic triterpenoid rings of
the saponin molecules lie parallel to the air-water interface, with the
hydrophilic glucoside tails protruding into the aqueous phase. Upon small
deformation, the saponin adsorption layers exhibit a very high surface
dilatational elasticity (280 +/- 30 mN/m), a much lower shear elasticity (26 +/-
15 mN/m), and a negligible true dilatational surface viscosity. The measured
dilatational elasticity is in very good agreement with the theoretical
predictions of the Volmer adsorption model (260 mN/m). The measured
characteristic adsorption time of the saponin molecules is 4 to 5 orders of
magnitude longer than that predicted theoretically for diffusion-controlled
adsorption, which means that the saponin adsorption is barrier-controlled around
and above the cmc. The perturbed saponin layers relax toward equilibrium in a
complex manner, with several relaxation times, the longest of them being around 3
min. Molecular interpretations of the observed trends are proposed when possible.
Surprisingly, in the course of our study we found experimentally that the drop
shape analysis method (DSA method) shows a systematically lower surface
elasticity, in comparison with the other two methods used: Langmuir trough and
capillary pressure tensiometry with spherical drops. The possible reasons for the
observed discrepancy are discussed, and the final conclusion is that the DSA
method has specific problems and may give incorrect results when applied to study
the dynamic properties of systems with high surface elasticity, such as
adsorption layers of saponins, lipids, fatty acids, solid particles, and some
proteins. The last conclusion is particularly important because the DSA method
recently became the preferred method for the characterization of fluid interfaces
because of its convenience.
PMID- 21894984
TI - Encapsulation of the ethylene inhibitor 1-Methylcyclopropene by cucurbit[6]uril.
AB - 1-Methylcyclopropene (1-MCP) is an excellent safe and commercially available
ethylene antagonist for the preservation of horticultural products. However 1-MCP
has to be stored in absorbents due to its gaseous and unstable characteristics.
In this paper cucurbit[6]uril (CB[6]) was used as the absorbent to encapsulate 1
MCP, and the resultant inclusion complex was characterized by IR, powder X-ray
diffraction, thermal analysis, and fluorescent spectra. The effects of
encapsulation conditions on the formation of inclusion complex were also
investigated. The amount of 1-MCP encapsulated by CB[6] was about 4.5% by weight
when the initial concentration of 1-MCP, encapsulation temperature, CB[6]
concentration, and encapsulation time were set at 75 mL/L, 20 degrees C, 30 mM,
and 8 h, respectively. Furthermore, the release of 1-MCP from the complex can be
realized with different solutions such as sodium bicarbonate, benzoic acid, and
distilled water. CB[6] can be used as an excellent absorbent for encapsulation of
1-MCP.
PMID- 21894985
TI - Explanation for main features of structure-genotoxicity relationships of aromatic
amines by theoretical studies of their activation pathways in CYP1A2.
AB - Aromatic and heteroaromatic amines (ArNH(2)) represent a class of potential
mutagens that after being metabolically activated covalently modify DNA.
Activation of ArNH(2) in many cases starts with N-hydroxylation by P450 enzymes,
primarily CYP1A2. Poor understanding of structure-mutagenicity relationships of
ArNH(2) limits their use in drug discovery programs. Key factors that facilitate
activation of ArNH(2) are revealed by exploring their reaction intermediates in
CYP1A2 using DFT calculations. On the basis of these calculations and extensive
analysis of structure-mutagenicity data, we suggest that mutagenic metabolites
are generated by ferric peroxo intermediate, (CYP1A2)Fe(III)-OO(-), in a three
step heterolytic mechanism. First, the distal oxygen of the oxidant abstracts
proton from H-bonded ArNH(2). The subsequent proximal protonation of the
resulting (CYP1A2)Fe(III)-OOH weakens both the O-O and the O-H bonds of the
oxidant. Heterolytic cleavage of the O-O bond leads to N-hydroxylation of ArNH(-)
via S(N)2 mechanism, whereas cleavage of the O-H bond results in release of
hydroperoxy radical. Thus, our proposed reaction offers a mechanistic explanation
for previous observations that metabolism of aromatic amines could cause
oxidative stress. The primary drivers for mutagenic potency of ArNH(2) are (i)
binding affinity of ArNH(2) in the productive binding mode within the CYP1A2
substrate cavity, (ii) resonance stabilization of the anionic forms of ArNH(2),
and (iii) exothermicity of proton-assisted heterolytic cleavage of N-O bonds of
hydroxylamines and their bioconjugates. This leads to a strategy for designing
mutagenicity free ArNH(2): Structural alterations in ArNH(2), which disrupt
geometric compatibility with CYP1A2, hinder proton abstraction, or strongly
destabilize the nitrenium ion, in this order of priority, prevent genotoxicity.
PMID- 21894986
TI - Electronic and structural properties of low-lying excited states of vitamin B12.
AB - Time-dependent density functional theory (TD-DFT) has been applied to explore
electronically excited states of vitamin B(12) (cyanocobalamin or CNCbl). To
explain why the Co-C bond in CNCbl does not undergo photodissociation under
conditions of simple photon excitation, electronically excited states have been
computed along the Co-C(CN) stretched coordinate. It was found that the repulsive
(3)(sigma(Co-C) -> sigma*(Co-C)) triplet state drops in energy as the Co-C(CN)
bond lengthens, but it does not become dissociative. Low-lying excited states
were also computed as function of two axial bond lengths. Two energy minima have
been located on the S(1)/CNCbl, as well as T(1)/CNCbl, surfaces. The full
geometry optimization was carried out for each minimum and electronic properties
associated with each optimized structure were analyzed in details. One minimum
was described as excitation having mixed pipi*/MLCT (metal-to-ligand charge
transfer) character, while the second as ligand-to-metal charge transfer (LMCT)
transition. Neither of them, however, can be viewed as pure MLCT or LMCT
transitions since additional excitation to or from sigma-bonds (SB) of N-Co-C
unit have also noticeable contributions. Inclusion of solvent altered the
character of one of the excitations from pipi*/MLCT/SBLCT to pipi*/LMCT/LSBCT
type, and therefore, both of them gained significant contribution from LMCT/LSBCT
transition. Finally, the nature of S(1) electronic state has been comparatively
analyzed in CNCbl and MeCbl cobalamins.
PMID- 21894987
TI - Cu(2+)-assisted synthesis of hexoctahedral Au-Pd alloy nanocrystals with high
index facets.
AB - Controlled syntheses of multicomponent metal nanocrystals (NCs) and high-index
surfaces have attracted increasing attention due to the specific physical and
chemical properties of such NCs. Taking advantage of copper underpotential
deposition as a bridge, hexoctahedral Au-Pd alloy NCs with {hkl} facets exposed
were successfully synthesized, while phase separation occurred in the absence of
Cu(2+) ions. The as-prepared hexoctahedral Au-Pd alloy NCs exhibited very
excellent performance in terms of both formic acid electro-oxidation and methanol
tolerance due to synergism between the high-index facets and the alloy.
PMID- 21894989
TI - Bottom-up fabrication of photoluminescent graphene quantum dots with uniform
morphology.
AB - Multicolor photoluminescent graphene quantum dots (GQDs) with a uniform size of
~60 nm diameter and 2-3 nm thickness were prepared by using unsubstituted hexa
peri-hexabenzocoronene as the carbon source. This result offers a new strategy to
fabricate monodispersed GQDs with well-defined morphology.
PMID- 21894988
TI - Ratio analysis nuclear magnetic resonance spectroscopy for selective metabolite
identification in complex samples.
AB - Metabolite identification in the complex NMR spectra of biological samples is a
challenging task due to significant spectral overlap and limited signal-to-noise.
In this study we present a new approach, RANSY (ratio analysis NMR spectroscopy),
which identifies all the peaks of a specific metabolite on the basis of the
ratios of peak heights or integrals. We show that the spectrum for an individual
metabolite can be generated by exploiting the fact that the peak ratios for any
metabolite in the NMR spectrum are fixed and proportional to the relative numbers
of magnetically distinct protons. When the peak ratios are divided by their
coefficients of variation derived from a set of NMR spectra, the generation of an
individual metabolite spectrum is enabled. We first tested the performance of
this approach using one-dimensional (1D) and two-dimensional (2D) NMR data of
mixtures of synthetic analogues of common body fluid metabolites. Subsequently,
the method was applied to (1)H NMR spectra of blood serum samples to demonstrate
the selective identification of a number of metabolites. The RANSY approach,
which does not need any additional NMR experiments for spectral simplification,
is easy to perform and has the potential to aid in the identification of unknown
metabolites using 1D or 2D NMR spectra in virtually any complex biological
mixture.
PMID- 21894990
TI - Critical role of peroxisome proliferator activated receptor-delta on body fat
reduction in C57BL/6J and human apolipoprotein E2 transgenic mice fed delipidated
soybean.
AB - The consumption of soy protein and fiber reduces body fat accumulation; however,
the mechanism of this effect has not been clearly understood. We investigated the
antiobesogenic effect of soy protein and fiber in two different mouse models.
Normolipidemic nonobese C57BL/6J and hyperlipidemic obese human apolipoprotein E2
transgenic mice were fed either delipidated soybean (DLSB) containing soy protein
and fiber or a control diet. The DLSB-fed mice showed a significant reduction in
body weight gain and adiposity compared with controls, in both C57BL/6J and apoE2
mice. All metabolic parameters were significantly improved in the DLSB group
compared with controls: total cholesterol, low-density lipoprotein cholesterol,
insulin, and leptin levels were significantly reduced. Adiponectin concentrations
were significantly elevated, and glucose tolerance was improved. In both types of
DLSB-fed mice, the specific induction of PPAR-delta protein expression was
evident in muscle and adipose tissues. The expression of PPAR-delta target genes
in the DLSB-fed mice was also significantly altered. Acetyl-CoA carboxylase-1 and
fatty acid synthase levels in adipose tissue were downregulated, and uncoupling
protein-2 in muscle was upregulated. Intestinal expression of fatty acid
transport protein-4, cluster of differentiation-36, and acyl-CoA synthetase were
significantly downregulated. We propose that marked activation of PPAR-delta is
the primary mechanism mediating the antiobesogenic effect of soybean and that
PPAR-delta has multiple actions: induction of thermogenesis in muscle, reduction
of fatty acid synthesis in adipose tissue, and reduction of fatty acid uptake in
intestinal tissue.
PMID- 21894991
TI - Steam etched porous graphene oxide network for chemical sensing.
AB - Oxidative etching of graphene flakes was observed to initiate from edges and the
occasional defect sites in the basal plane, leading to reduced lateral size and a
small number of etch pits. In contrast, etching of highly defective graphene
oxide and its reduced form resulted in rapid homogeneous fracturing of the sheets
into smaller pieces. On the basis of these observations, a slow and more
controllable etching route was designed to produce nanoporous reduced graphene
oxide sheets by hydrothermal steaming at 200 degrees C. The degree of etching
and the concomitant porosity can be conveniently tuned by etching time. In
contrast to nonporous reduced graphene oxide annealed at the same temperature,
the steamed nanoporous graphene oxide exhibited nearly 2 orders of magnitude
increase in the sensitivity and improved recovery time when used as chemiresistor
sensor platform for NO(2) detection. The results underscore the efficacy of the
highly distributed nanoporous network in the low temperature steam etched GO.
PMID- 21894993
TI - Simple route to sterically pure diketopiperazines.
PMID- 21894992
TI - Lipid binding to the carotenoid binding site in photosynthetic reaction centers.
AB - Lipid binding to the carotenoid binding site near the inactive
bacteriochlorophyll monomer was probed in the reaction centers of carotenoid-less
mutant, R-26 from Rhodobacter sphaeroides. Recently, a marked light-induced
change of the local dielectric constant in the vicinity of the inactive
bacteriochlorophyll monomer was reported in wild type that was attributed to
structural changes that ultimately lengthened the lifetime of the charge
separated state by 3 orders of magnitude (Deshmukh, S. S.; Williams, J. C.;
Allen, J. P.; Kalman, L. Biochemistry 2011, 50, 340). Here in the R-26 reaction
centers, the combination of light-induced structural changes and lipid binding
resulted in a 5 orders of magnitude increase in the lifetime of the charge
separated state involving the oxidized dimer and the reduced primary quinone in
proteoliposomes. Only saturated phospholipids with fatty acid chains of 12 and 14
carbon atoms long were bound successfully at 8 degrees C by cooling the reaction
center protein slowly from room temperature. In addition to reporting a dramatic
increase of the lifetime of the charge-separated state at physiologically
relevant temperatures, this study reveals a novel lipid binding site in
photosynthetic reaction center. These results shed light on a new potential
application of the reaction center in energy storage as a light-driven
biocapacitor since the charges separated by ~30 A in a low-dielectric medium can
be prevented from recombination for hours.
PMID- 21894994
TI - One-pot synthesis of highly monodispersed ferrite nanocrystals: surface
characterization and magnetic properties.
AB - In the present study, a facile one-pot synthetic route, utilizing a strong polar
organic solvent, N-methyl 2-pyrrolidone (NMP), is demonstrated to obtain highly
monodispersed ferrite nanocrystals. The equimolar mixture of oleic acid,
C(17)H(33)COOH (R-COOH), and oleylamine, C(18)H(35)NH(2) (R'-NH(2)), was used to
coat the magnetic nanocrystals. Structural and magnetic properties of the ferrite
nanocrystals were studied by a multitechnique approach including X-ray
diffraction (XRD), high resolution transmission electron microscopy (HRTEM),
Fourier transform infrared (FTIR) spectroscopy, thermogravimetric analysis (TGA),
X-ray photoelectron spectroscopy (XPS), vibrating sample magnetometry (VSM), and
Mossbauer spectroscopy. FTIR spectral analysis indicates oleylamine helps in
deprotonation of oleic acid, resulting in the formation of an acid-base complex,
R-COO-:NH(3)(+)-R', which acts as binary capping agent. Structural and
coordination differences of iron were studied by XPS and Mossbauer spectral
analysis. XPS analysis was carried out to examine the oxidation state of iron
ions in iron oxide nanocrystals. The presence of a magnetically dead layer (~0.38
and ~0.67 nm) and a nonmagnetic organic coating (~2.3 and ~1.7 nm) may
substantially reduce the saturation magnetization values for CoFe(2)O(4) and
Fe(3)O(4) nanocrystals, respectively. The energy barrier distribution function of
magnetic anisotropy was derived from the temperature dependent decay of
magnetization. A very narrow energy barrier distribution elucidates that the
ferrite nanocrystals obtained in this study are highly monodispersed.
PMID- 21894995
TI - Copper nitride nanocubes: size-controlled synthesis and application as cathode
catalyst in alkaline fuel cells.
AB - Copper nitride nanocubes are synthesized in a facile one-phase process. The
crystal size could be tuned easily by using different primary amines as capping
agents. Such Pt-free nanocrystals exhibit electrocatalytic activity toward oxygen
reduction and appear to be promising cathodic electrocatalysts in alkaline fuel
cells.
PMID- 21894996
TI - Fast photochemical oxidation of proteins for epitope mapping.
AB - The growing use of monoclonal antibodies as therapeutics underscores the
importance of epitope mapping as an essential step in characterizing antibody
antigen complexes. The use of protein footprinting coupled with mass
spectrometry, which is emerging as a tool in structural biology, offers
opportunities to map antibody-binding regions of antigens. We report here the use
of footprinting via fast photochemical oxidation of proteins (FPOP) with OH
radicals to characterize the epitope of the serine protease thrombin. The data
correlate well with previously published results that determined the epitope of
thrombin. This study marks the first time oxidative labeling has been used for
epitope mapping.
PMID- 21894997
TI - Effects of anions on nanostructuring of cationic amphiphilic peptides.
AB - The effects of addition of a series of stoichiometric salts on the
nanostructuring of cationic amphiphilic peptides have been investigated through
the combination of atomic force microscopy (AFM), circular dichroism (CD), and
turbidity measurements. The results revealed that anions had more pronounced
effects than cations in tuning the nanostructures formed from these peptides.
Addition of ClO(3)(-), NO(3)(-), and Br(-) could stabilize the primary
nanostructures (nanostacks, nanospheres, or short nanorods) formed by A(9)K and
I(3)K and effectively inhibit their growth into longer nanostructures (nanorods
or nanotubes). In contrast, the anions of Cl(-), SO(4)(2-), HPO(4)(2-), PO(4)(3
), and C(6)H(5)O(7)(3-) (citrate) favored the axial growth of these peptides to
form long intersecting nanofibrils and led to an increase in diameter and surface
roughness, as well, clearly enhancing their propensity for nanostructuring. The
efficiency of different anions in promoting the growth of peptide nanoaggregates
into larger ones could be ordered as ClO(3)(-) < NO(3)(-) <= Br(-) < Cl(-) <
SO(4)(2-) < HPO(4)(2-) < PO(4)(3-) < C(6)H(5)O(7)(3-), broadly consistent with
the Hofmeister anion sequence. These observations were well rationalized by
considering different aspects of direct interactions of the anions with the
peptide molecules.
PMID- 21894998
TI - Ring-slippage and multielectron redox properties of Fe/Ru/Os-bis(arene)
complexes: does hapticity change really cause potential inversion?
AB - Bis(hexamethylbenzene) complexes of the group 8 metals (Fe, Ru, Os) show
surprising diversity in their electron-transfer mechanisms and associated
thermodynamics for the M(II) -> M(I) -> M(0) redox series. In electrochemical
experiments, the Fe complex exhibits normally ordered potentials separated by ~1
V, the Ru system shows nearly overlapping one-electron redox events, and Os
demonstrates a one-step, two-electron transfer with a peak potential separation
suggestive of highly inverted potentials. It has been conjectured that the
sequential one-electron transfers observed for Fe are due to the lack of an
accessible eta(4):eta(6) Fe(0) state, destabilizing the fully reduced species.
Using an established model chemistry based on DFT, we demonstrate that the
hapticity change is a consequence of the bonding throughout this transition metal
triad and that apparent multielectron behavior is controlled by the vertical
electron attachment component of the M(II) -> M(I) redox event. Furthermore, the
eta(6):eta(6) Fe(0) triplet state is more favorable than the hypothetical
eta(4):eta(6) singlet state, emphasizing that the hapticity change is not
sufficient for multielectron behavior. Despite both displaying two-electron redox
responses, Ru and Os traverse fundamentally different mechanisms based on whether
the first (Os) or second (Ru) electron transfer induces the hapticity change.
While the electronic structure analysis is limited to the Fe triad here, the
conceptual model that we developed provides a general understanding of the redox
behavior exhibited by d(6) bis(arene) compounds.
PMID- 21894999
TI - Synthesis of ultrathin FePtPd nanowires and their use as catalysts for methanol
oxidation reaction.
AB - We report a facile synthesis of ultrathin (2.5 nm) trimetallic FePtPd alloy
nanowires (NWs) with tunable compositions and controlled length (<100 nm). The
NWs were made by thermal decomposition of Fe(CO)(5) and sequential reduction of
Pt(acac)(2) (acac = acetylacetonate) and Pd(acac)(2) at temperatures from 160 to
240 degrees C. These FePtPd NWs showed composition-dependent catalytic activity
and stability for methanol oxidation reaction. Among FePtPd and FePt NWs as well
as Pd, Pt, and PtPd nanoparticles (NPs) studied in 0.2 M methanol and 0.1 M
HClO(4) solution, the Fe(28)Pt(38)Pd(34) NWs showed the highest activity, with
their mass current density reaching 488.7 mA/mg Pt and peak potential for
methanol oxidation decreasing to 0.614 V from 0.665 V (Pt NP catalyst). The NW
catalysts were also more stable than the NP catalysts, with the
Fe(28)Pt(38)Pd(34) NWs retaining the highest mass current density (98.1 mA/mg Pt)
after a 2 h current-time test at 0.4 V. These trimetallic NWs are a promising new
class of catalyst for methanol oxidation reaction and for direct methanol fuel
cell applications.
PMID- 21895000
TI - Analysis of infrared spectra of beta-hairpin peptides as derived from molecular
dynamics simulations.
AB - Infrared temperature-dependent spectroscopy is a well-known tool to characterize
folding/unfolding transitions in peptides and proteins, assuming that the higher
the temperature, the higher the unfolded population. The infrared spectra at
different temperatures of two beta-hairpin peptides (gramicidin S analogues GS6
and GS10) are here reconstructed by means of molecular dynamics (MD) simulations
and a theoretical-computational method based on the perturbed matrix method. The
calculated temperature-dependent spectra result in good agreement with the
experimental available spectra. The same methodology has been then used to
reconstruct the spectra corresponding to the pure unfolded and folded states, as
defined from the MD simulations, in order to better understand the temperature
dependent spectra and to help the interpretation of the experimental spectra. For
example, our results show that in the case of the GS6 peptide the analysis of the
temperature-dependent spectra cannot be used to investigate the folding/unfolding
kinetics within the usual assumption that the higher the temperature, the higher
the probability of the unfolded state.
PMID- 21895001
TI - Reversible hydrogen transfer reactions of cysteine thiyl radicals in peptides:
the conversion of cysteine into dehydroalanine and alanine, and of alanine into
dehydroalanine.
AB - The photodissociation of disulfide bonds in model peptides containing Ala and Ala
d(3) generates a series of photoproducts following the generation of a CysS(*)
thiyl radical pair. These photoproducts include transformations of Cys to
dehydroalanine (Dha) and Ala, as well as Ala to Dha. Intramolecular Michael
addition of an intact Cys with a photolytically generated Dha results in the
formation of cyclic thioethers. The conversion of Cys into Dha likely involves a
1,3-H-shift from the Cys (alpha)C-H bond to the thiyl radical, followed by
elimination of HS(*). The conversion of Dha into Ala most likely involves
hydrated electrons, which are generated through the photolysis of Cys, the
photoproduct of disulfide photolysis. Prior to stable product formation, CysS(*)
radicals engage in reversible hydrogen transfer reactions with (alpha)C-H and
(beta)C-H bonds of the surrounding amino acids. Especially for the (beta)C-H
bonds of Ala, such hydrogen transfer reactions are unexpected on the basis of
thermodynamic grounds; however, the replacement of deuterons in Ala-d(3) by
hydrogens in H(2)O provides strong experimental evidence for such reactions.
PMID- 21895002
TI - Hydrogen atom abstraction selectivity in the reactions of alkylamines with the
benzyloxyl and cumyloxyl radicals. The importance of structure and of substrate
radical hydrogen bonding.
AB - A time-resolved kinetic study on the hydrogen abstraction reactions from a series
of primary and secondary amines by the cumyloxyl (CumO(*)) and benzyloxyl
(BnO(*)) radicals was carried out. The results were compared with those obtained
previously for the corresponding reactions with tertiary amines. Very different
hydrogen abstraction rate constants (k(H)) and intermolecular selectivities were
observed for the reactions of the two radicals. With CumO(*), k(H) was observed
to decrease on going from the tertiary to the secondary and primary amines. The
lowest k(H) values were measured for the reactions with 2,2,6,6
tetramethylpiperidine (TMP) and tert-octylamine (TOA), substrates that can only
undergo N-H abstraction. The opposite behavior was observed for the reactions of
BnO(*), where the k(H) values increased in the order tertiary < secondary <
primary. The k(H) values for the reactions of BnO(*) were in all cases
significantly higher than those measured for the corresponding reactions of
CumO(*), and no significant difference in reactivity was observed between
structurally related substrates that could undergo exclusive alpha-C-H and N-H
abstraction. This different behavior is evidenced by the
k(H)(BnO(*))/k(H)(CumO(*)) ratios that range from 55-85 and 267-673 for secondary
and primary alkylamines up to 1182 and 3388 for TMP and TOA. The reactions of
CumO(*) were described in all cases as direct hydrogen atom abstractions. With
BnO(*) the results were interpreted in terms of the rate-determining formation of
a hydrogen-bonded prereaction complex between the radical alpha-C-H and the amine
lone pair wherein hydrogen abstraction occurs. Steric effects and amine HBA
ability play a major role, whereas the strength of the substrate alpha-C-H and N
H bonds involved appears to be relatively unimportant. The implications of these
different mechanistic pictures are discussed.
PMID- 21895003
TI - Wiring of redox enzymes on three dimensional self-assembled molecular scaffold.
AB - The integration of biological molecules and nanoscale components provides a
fertile basis for the construction of hybrid materials of synergic properties and
functions. Stable protein 1 (SP1), a highly stable ring shaped protein, was
recently used to display different functional domains, to bind nanoparticles
(NPs), and to spontaneously form two and three-dimensional structures. Here we
show an approach to wire redox enzymes on this self-assembled protein
nanoparticle hybrid. Those hybrids are genetically engineered SP1s, displaying
glucose oxidase (GOx) enzymes tethered to the protein inner pore. Moreover, the
Au-NP-protein hybrids self-assembled to multiple enzymatic layers on the surface.
By wiring the redox enzymes to the electrode, we present an active structure for
the bioelectrocatalytic oxidation of glucose. This system demonstrates for the
first time a three-dimensional assembly of multiple catalytic modules on a
protein scaffold with an efficient electrical wiring of the enzyme units on an
electrode surface, thus implementing a hybrid electrically active unit for
nanobioelectronic applications.
PMID- 21895004
TI - Methods for the preparation of allenes employing indium- and zinc-mediated
dehalogenation reactions in aqueous solutions.
AB - Simple and mild methods for the synthesis of allenes, employing indium- and zinc
mediated dehalogenation reactions of vicinal dihalides in an aqueous solvent, are
described. By using these procedures, various allenylmethyl aryl ethers and
monosubstituted allenes have been prepared in good to excellent yields.
PMID- 21895005
TI - Scalable synthesis of enantiomerically pure syn-2,3-dihydroxybutyrate by
Sharpless asymmetric dihydroxylation of p-phenylbenzyl crotonate.
AB - An efficient four-step synthetic route to the useful chiral building block
(2R,3S)-dihydroxybutyric acid acetonide in >95% ee is detailed. The sequence is
readily scaled, requires no chromatography, and allows for efficient recycling of
p-phenylbenzyl alcohol, an expedient for enantio- and diastereoenrichment by
recrystallization.
PMID- 21895006
TI - Determining the orientation and molecular packing of organic dyes on a TiO2
surface using X-ray reflectometry.
AB - The determination of the orientation and molecular density for several porphyrin
dyes adsorbed on planar TiO(2) surfaces using X-ray reflectometry (XRR) is
reported. Adsorption of nanoscale water layers occurred rapidly upon exposure of
freshly prepared TiO(2) surfaces to ambient conditions; however, this was
successfully eliminated, resulting in clearly discernible adsorbed dye layers for
sensitized surfaces. Adsorbed dye orientations, determined from computations
constrained by the measured dye layer thickness, were calculated to have a
binding tilt angle of 35 degrees -40 degrees . Combining the XXR data with the
orientation models indicates that the porphyrins form densely packed surfaces
with an intermolecular spacing of 3-4 A, consistent with pi-pi stacking
interactions. Changes in the molecular size of probe dyes were reflected in
corresponding changes in the measured dye layer thickness, confirming the ability
of this technique to resolve small variations in dye layer thickness and
consequently adsorption orientation. Application of these results to
understanding the behavior of dye-sensitized devices is discussed.
PMID- 21895007
TI - Novel tripeptides with alpha-glucosidase inhibitory activity isolated from silk
cocoon hydrolysate.
AB - Active compounds with antidiabetic potential were isolated from silk peptide E5K6
by consecutive ultrafiltration and gel filtration using Biogel P-2 and RS-HPLC
using a YMC-Pack Pro C18 column. The highest alpha-glucosidase inhibitory
activity of silk peptide E5K6 resulted from fractions with MW <1 kDa. The
activities of gel-filtered fractions from silk peptide E5K6 of <1 kDa were
assayed in vitro, demonstrating that the fourth peak (F4) had the highest alpha
glucosidase inhibitory activity (IC(50) = 37.1 mg/mL). F4 of silk peptide E5K6
was separated by HPLC into two peaks. Moreover, the purified compounds were
identified as Gly-Glu-Tyr (GEY, MW = 367 Da) and Gly-Tyr-Gly (GYG, MW = 295 Da)
according to amino acid sequences, and their alpha-glucosidase inhibitory
activities (IC(50)) were 2.7 and 1.5 mg/mL, respectively.
PMID- 21895008
TI - Crystal polymorphism of propylammonium chloride and structural properties of its
mixture with water.
AB - The thermal behavior of propylammonium chloride (PAC) has been investigated by
parallel beam X-ray powder diffraction in the 303-463 K thermal range. A
polymorphic transition has been observed at 403 K, whereas the melting process
starts at 438 K. The low-temperature (LT) polymorph is tetragonal, P4/n or
P4/nmm, and a = 6.2429(2) A, c = 7.3830(3) A, and Z = 2. Thermal expansion is
isotropic as the N...Cl interactions have components along the three
crystallographic axes. At the transition temperature, a high-temperature (HT)
polymorph was observed, with candidate space groups P23, Pm3, P432, P43m, and
Pm3m and cell parameter a = 11.715(3) A, consistent with Z = 12. This phase has
features reminiscent of those of plastic phases of molecular crystals. The
structural properties of a PAC/water mixture at ambient conditions were also
studied by using an integrated approach, which combines X-ray diffraction
measurements and molecular dynamics simulations carried out with the SPC/E and
TIP5P water models. By using a Cl-water Lennard-Jones parameter previously
refined for a similar system, a very good agreement between the theoretical and
experimental diffraction patterns was obtained, especially in the case of the
TIP5P simulation. A complex structural behavior has been highlighted, in which
cations and anions do not possess a completely closed hydration shell of their
own, but rather "solvent-shared ion pairs" are formed, where one or more water
molecules act as a bridge between the chloride and propylammonium ions.
PMID- 21895009
TI - Getting more out of a Job plot: determination of reactant to product
stoichiometry in cases of displacement reactions and n:n complex formation.
AB - The method of continuous variation (often referred to as Job's method) is an easy
and common method for the determination of the reactant stoichiometry of chemical
equilibria. The traditional interpretation of Job plots has been limited to
complex association equilibria of the type nA + mB ? A(n)B(m), while little focus
has been placed upon displacement type reactions (e.g., A + B ? C + D), which can
give Job plots that look quite similar. We developed a novel method that allows
the user to accurately distinguish between 1:1 complex association, 2:2 complex
association, and displacement reactions using nothing more than a pocket
calculator. This method involves preparing a Job plot of the system under
investigation (using regularly spaced mole fractions), normalizing the measured
quantities (such as the concentration of A(n)B(m) or C for the above reactions)
to their maximum value (i.e., at mole fraction 0.5), and determining the sum of
the normalized values. This sum is then compared with theoretically predicted
normalized sum values that depend on the nature of the equilibrium. The
relationship between, on the one hand, the sum of the normalized values and, on
the other hand, the reaction equilibrium constant and the concentration of the
stock solutions used for the preparation of the Job plot is also explored. The
use of this new technique for the interpretation of Job plots permits users to
readily determine information that can be obtained otherwise only with laborious
additional experiments, as illustrated by the analysis of four Job plots taken
from the literature.
PMID- 21895011
TI - 4-Aminobenzimidazole-1-methylthymine: a model for investigating Hoogsteen base
pairing between adenine and thymine.
AB - We report the infrared spectrum of the 4-aminobenzimidazole-1-methylthymine
(4ABI:1MT) heterodimer, detected by femtosecond multiphoton ionization. Based on
calculations of both the harmonic and the anharmonic frequencies, the observed
vibrational spectrum is assigned to a structure that mimics the Hoogsteen base
pairing of adenine and thymine. A notable observation made in the course of this
study is that there is a significant imbalance in the observed strengths of the H
bonds. While the N...H-N bond reveals a large red shift of >700 cm(-1) for the NH
stretch frequency, the N-H...O bond is characterized by only a 50 cm(-1) shift.
The importance of this observation in the formation of Hoogsteen duplexes by
thymine-based oligonucleotides is discussed.
PMID- 21895010
TI - A homogeneous, recyclable polymer support for Rh(I)-catalyzed C-C bond formation.
AB - A robust and practical polymer-supported, homogeneous, recyclable biphephos
rhodium(I) catalyst has been developed for C-C bond formation reactions. Control
of polymer molecular weight allowed tuning of the polymer solubility such that
the polymer-supported catalyst is soluble in nonpolar solvents and insoluble in
polar solvents. Using the supported rhodium catalysts, addition of aryl and
vinylboronic acids to the electrophiles such as enones, aldehydes, N-sulfonyl
aldimines, and alkynes occurs smoothly to provide products in high yields.
Additions of terminal alkynes to enones and industrially relevant
hydroformylation reactions have also been successfully carried out. Studies show
that the leaching of Rh from the polymer support is low and catalyst recycle can
be achieved by simple precipitation and filtration.
PMID- 21895012
TI - On the structure and stretching of microhydrated DNA.
AB - The structure of short double-stranded DNA oligomers in complex with varied
amounts of water is investigated with classical molecular dynamics. Free
simulations are performed first and mechanical stress is switched on afterward,
resembling the conditions of single-molecule conductivity experiments. Water as
well as counterions are seen to contribute to the stabilization of double
stranded DNA structure, and a collapse of the native DNA structure is observed
upon the removal of a certain amount of water. Pulling with a moderate external
force provides additional support to the double-stranded DNA structure, whereas
larger forces lead to the overstretching transition followed by the separation of
DNA strands, in a manner similar to that observed in fully hydrated DNA.
PMID- 21895013
TI - Inductive effect: a quantum theory of atoms in molecules perspective.
AB - Substituent effects are ubiquitous in chemistry and the most fundamental is the
inductive effect. In this study, the so-called inductive effect was probed in
derivatives of bicyclo[1.1.1]pentane-1-carboxylic acid using the isodesmic
reaction energy of the acid-base deprotonation, calculated at the PBE0/6
31++G(d,p) level of theory (used throughout). Although structure, molecular
orbitals, and nuclear magnetic shielding parameters are discussed, the main focus
of this study is the use of the quantum theory of atoms in molecules to analyze
the electron density distribution. It was observed that the effect propagates via
the manipulation of atomic dipole moments controlled by that of the substituent.
As the dipole moment conforms to the principle of atomic transferability, it is
found that the substituent dipole determined in simple systems (e.g., R-H) can be
used to describe the effect upon the bicyclo[1.1.1]pentane-1-carboxylic acid
system.
PMID- 21895015
TI - Mechanistic considerations for C-C bond reductive coupling at a cobalt(III)
center.
AB - The diamagnetic cobalt(III) dimethyl complex, cis,mer-(PMe(3))(3)Co(CH(3))(2)I,
was found to promote selective C-C bond formation, affording ethane and triplet
(PMe(3))(3)CoI. The mechanism of reductive elimination has been investigated by a
series of kinetic and isotopic-labeling experiments. Ethane formation proceeds
with a rate constant of 3.1(5) * 10(-5) s(-1) (50 degrees C) and activation
parameters of DeltaH(double dagger) = 31.4(8) kcal/mol and DeltaS(double dagger)
= 17(3) eu. Addition of free trimethylphosphine or coordinating solvent strongly
inhibits reductive elimination, indicating reversible phosphine dissociation
prior to C-C bond-coupling. EXSY NMR analysis established a rate constant of 9(2)
s(-1) for phosphine loss from cis,mer-(PMe(3))(3)Co(CH(3))(2)I. Radical trapping,
crossover, and isotope effect experiments were consistent with a proposed
mechanism for ethane extrusion where formation of an unobserved five-coordinate
intermediate is followed by concerted C-C bond formation. An unusual
intermolecular exchange of cobalt-methyl ligands was also observed by isotopic
labeling.
PMID- 21895014
TI - Structures, energies, and spin-spin coupling constants of methyl-substituted 1,3
diborata-2,4-diphosphoniocyclobutanes: four-member B-P-B-P rings B2P2(CH3)(n)H(8
n), with n = 0, 1, 2, 4.
AB - An ab initio study has been carried out to determine the structures, relative
stabilities, and spin-spin coupling constants of a set of 17 methyl-substituted
1,3-diborata-2,4-diphosphoniocyclobutanes B(2)P(2)(CH(3))(n)H(8-n), for n = 0, 1,
2, 4, with four-member B-P-B-P rings. The B-P-B-P rings are puckered in a
butterfly conformation, in agreement with experimental data for related
molecules. Isomers with the CH(3) group bonded to P are more stable than those
with CH(3) bonded to B. If there is only one methyl group or if two methyl groups
are bonded to two different P or B atoms, isomers with equatorial bonds are more
stable than those with axial bonds. However, when two methyl groups are present,
the gem isomers are the most stable for molecules B(2)P(2)(CH(3))(2)H(6) with P-C
and B-C bonds, respectively. Transition structures present barriers to the
interconversion of two equilibrium structures or to the interchange of axial and
equatorial positions in the same isomer. These barriers are very low for the
isomer with two methyl groups bonded to B in axial positions for the isomer with
four axial bonds and for the isomer with geminal B-C bonds at both B atoms.
Coupling constants (1)J(B-P), (1)J(P-C), (1)J(B-C), (2)J(P-P), and (3)J(P-C) are
capable of providing structural information. They are sensitive to the number of
methyl groups present and can discriminate between axial, equatorial, and geminal
bonds, although not all do this to the same extent. The one-bond coupling
constants (1)J(B-P), (1)J(P-C), and (1)J(B-C) are similar in equilibrium and
transition structures, but (3)J(P-C) and (2)J(P-P) are not. These coupling
constants and those of the corresponding fluoro-derivatives of the 1,3-diborata
2,4-diphosphoniocyclobutanes demonstrate the great sensitivity of phosphorus
coupling to structural and electronic effects.
PMID- 21895017
TI - Do H-bond features of silica surfaces affect the H2O and NH3 adsorption? Insights
from periodic B3LYP calculations.
AB - The adsorption of a single H(2)O and NH(3) molecule on different fully
hydroxylated alpha-quartz, cristobalite, and tridymite surfaces has been studied
at the B3LYP level of theory, within a periodic approach using basis sets of
polarized triple-zeta quality and accounting for basis set superposition error
(BSSE). Fully hydroxylated crystalline silica exhibits SiOH as terminal groups
whose distribution and H-bond features depend on both the considered silica
polymorph and the crystallographic plane, which gives rise to isolated, H-bond
interacting SiOH pairs or infinitely connected H-bond chains. A key point of the
present study is to understand how the H-bond features of a dry crystalline
silica surface influence its adsorption properties. Results reveal that the
silica-adsorbate (H(2)O and NH(3)) interaction energy anticorrelates with the
density of SiOH groups at the surface. This counterintuitive observation arises
from the fact that pre-existing H-bonds of the dry surface need to be broken to
establish new H-bonds between the surface and the adsorbate, which manifests in a
sizable energy cost due to surface deformation. A simple method is also proposed
to estimate the strength of the pre-existing H-bonds at the dry surfaces, which
is shown to anticorrelate with the adsorbate interaction energy, in agreement
with the above trends.
PMID- 21895016
TI - Structure-based design of pseudopeptidic inhibitors for SIRT1 and SIRT2.
AB - The lack of substrate-bound crystal structures of SIRT1 and SIRT2 complicates the
drug design for these targets. In this work, we aim to study whether SIRT3 could
serve as a target structure in the design of substrate based pseudopeptidic
inhibitors of SIRT1 and SIRT2. We created a binding hypothesis for pseudopeptidic
inhibitors, synthesized a series of inhibitors, and studied how well the
fulfillment of the binding criteria proposed by the hypothesis correlated with
the in vitro inhibitory activities. The chosen approach was further validated by
studying docking results between 12 different SIRT3, Sir2Tm, SIRT1 and SIRT2 X
ray structures and homology models in different conformational forms. It was
concluded that the created binding hypothesis can be used in the design of the
substrate based inhibitors of SIRT1 and SIRT2 although there are some
reservations, and it is better to use the substrate-bound structure of SIRT3
instead of the available apo-SIRT2 as the target structure.
PMID- 21895018
TI - Copper-mediated cyanation of aryl halide with the combined cyanide source.
AB - A simple copper-mediated cyanation of aryl halide with the combination of
ammonium bicarbonate and N,N-dimethylformamide as a cyanide source is achieved,
providing nitriles in moderate to good yields. This new approach represents an
exceedingly practical and safe method for the synthesis of aryl nitriles.
PMID- 21895019
TI - Highly facialselective synthesis of pyranose 1,3-oxazines and their ring opening
with nucleophiles: a novel entry to 2-C-branched glycosides.
AB - A TMSOTf-promoted cycloaddition of N-benzoyl-N,O-acetals with various glycals and
3-deoxy glycals affords pyranose 1,3-oxazines with high facial selectivity. In
addition, a highly diastereoselective ring opening of the resulting pyranose 1,3
oxazines is reported. With diverse nucleophiles, these reactions take place upon
heating at 80 degrees C. This novel ring-opening reaction affords structurally
diversified 2-C-branched glycosides with three newly formed contiguous
stereocenters.
PMID- 21895021
TI - Correlating humidity-dependent ionically conductive surface area with transport
phenomena in proton-exchange membranes.
AB - The objective of this effort was to correlate the local surface ionic conductance
of a Nafion 212 proton-exchange membrane with its bulk and interfacial transport
properties as a function of water content. Both macroscopic and microscopic
proton conductivities were investigated at different relative humidity levels,
using direct-current voltammetry and current-sensing atomic force microscopy
(CSAFM). We were able to identify small ion-conducting domains that grew with
humidity at the surface of the membrane. Numerical analysis of the surface ionic
conductance images recorded at various relative humidity levels helped determine
the fractional area of ion-conducting active sites. A simple square-root
relationship between the fractional conducting area and observed interfacial mass
transport resistance was established. Furthermore, the relationship between the
bulk ionic conductivity and surface ionic conductance pattern of the Nafion
membrane was examined.
PMID- 21895020
TI - Enantiodivergent synthetic entry to the quinolizidine alkaloid lasubine II.
AB - Intramolecular cycloaddition of the syn- and the anti-nitrone 9 and 13 leads
stereoselectively to the azabicyclic compounds 10 and 14 which may provide access
to both enantiomers of the quinolizidine alkaloid lasubine II.
PMID- 21895022
TI - Pore with gate: enhancement of the isosteric heat of adsorption of dihydrogen via
postsynthetic cation exchange in metal-organic frameworks.
AB - Three isostructural anionic frameworks
{[(Hdma)(H(3)O)][In(2)(L(1))(2)].4DMF.5H(2)O}(infinity) (NOTT-206-solv),
{[H(2)ppz][In(2)(L(2))(2)].3.5DMF.5H(2)O}(infinity) (NOTT-200-solv), and
{[H(2)ppz][In(2)(L(3))(2)].4DMF.5.5H(2)O}(infinity) (NOTT-208-solv) (dma =
dimethylamine; ppz = piperazine) each featuring organic countercations that
selectively block the channels and act as pore gates have been prepared. The
organic cations within the as-synthesized frameworks can be replaced by Li(+)
ions to yield the corresponding Li(+)-containing frameworks
{Li(1.2)(H(3)O)(0.8)[In(2)(L(1))(2)].14H(2)O}(infinity) (NOTT-207-solv),
{Li(1.5)(H(3)O)(0.5)[In(2)(L(2))(2)].11H(2)O}(infinity) (NOTT-201-solv), and
{Li(1.4)(H(3)O)(0.6)[In(2)(L(3))(2)].4acetone.11H(2)O}(infinity) (NOTT-209-solv)
in which the pores are now unblocked. The desolvated framework materials NOTT
200a, NOTT-206a, and NOTT-208a display nonporous, hysteretic and reversible N(2)
uptakes, respectively, while NOTT-206a and NOTT-200a provide a strong kinetic
trap showing adsorption/desorption hysteresis with H(2). Single crystal X-ray
analysis confirms that the Li(+) ions are either tetrahedrally (in NOTT-201-solv
and NOTT-209-solv) or octahedrally (in NOTT-207-solv) coordinated by carboxylate
oxygen atoms and/or water molecules. This is supported by (7)Li solid-state NMR
spectroscopy. NOTT-209a, compared with NOTT-208a, shows a 31% enhancement in H(2)
storage capacity coupled to a 38% increase in the isosteric heat of adsorption to
12 kJ/mol at zero coverage. Thus, by modulating the pore environment via
postsynthetic cation exchange, the gas adsorption properties of the resultant MOF
can be fine-tuned. This affords a methodology for the development of high
capacity storage materials that may operate at more ambient temperatures.
PMID- 21895024
TI - Advances in optical imaging in cancer research--Part 1.
PMID- 21895023
TI - Seminaphthofluorescein-based fluorescent probes for imaging nitric oxide in live
cells.
AB - Fluorescent turn-on probes for nitric oxide based on seminaphthofluorescein
scaffolds were prepared and spectroscopically characterized. The Cu(II) complexes
of these fluorescent probes react with NO under anaerobic conditions to yield a
20-45-fold increase in integrated emission. The seminaphthofluorescein-based
probes emit at longer wavelengths than the parent FL1 and FL2 fluorescein-based
generations of NO probes, maintaining emission maxima between 550 and 625 nm. The
emission profiles depend on the excitation wavelength; maximum fluorescence turn
on is achieved at excitations between 535 and 575 nm. The probes are highly
selective for NO over other biologically relevant reactive nitrogen and oxygen
species including NO(3)(-), NO(2)(-), HNO, ONOO(-), NO(2), OCl(-), and H(2)O(2).
The seminaphthofluorescein-based probes can be used to visualize endogenously
produced NO in live cells, as demonstrated using Raw 264.7 macrophages.
PMID- 21895025
TI - Time-resolved optical mammography and its preliminary clinical results.
AB - We have been developing an optical mammography prototype consisting of a multi
channel time-resolved spectroscopy system for breast cancer screening. The system
utilizes the time-correlated single photon counting method, and the detector
modules and the signal processing circuits were custom-made to obtain a high
signal to noise ratio and high temperature stability with a high temporal
resolution. Pulsed light generated by a Ti: Sapphire laser was irradiated to the
breast, and the transmitted light was collected by optical fibers placed on the
surface of a hemispherical gantry filled with an optical matching fluid. To
reconstruct a 3D image of the breast, we employed a method using a time-resolved
photon path distribution based on the assumption that scattering and absorption
are independent of each other. We verified the possibility of human breast
imaging by using a three-dimensional phantom model, which provides a simulation
of human breast cancer, in the gantry. The clinical study was also started in
January 2007. In a comparative study with conventional modalities, the breast
cancers were detected as regions of optically higher absorption. Moreover, the
results suggest that optical mammography is useful in monitoring the effects of
chemotherapy.
PMID- 21895026
TI - The utility of direct-current as compared to frequency domain measurements in
spectrally-constrained diffuse optical tomography toward cancer imaging.
AB - This work investigates, by means of analytical and simulation studies, the
performance of spectrally-constrained image reconstruction in Continuous-Wave or
Direct-Current (DC) and Frequency Domain (FD) near-infrared optical tomography. A
recent analytic approach for estimating the accuracy of target recovery and the
level of background artifact for optical tomography at single wavelength, based
on the analysis of parametric reconstruction uncertainty level (PRUL), is
extended to spectrally-constrained optical tomography. The analytical model is
implemented to rank three sets of wavelengths that had been used as spectral
prior in an independent experimental study. Subsequent simulation appraises the
recovery of oxygenated hemoglobin (HbO), deoxygenated hemoglobin (Hb), water
(H2O), scattering amplitude (A), and scattering power (b) using DC-only, DC
excluded FD, and DC-included FD, based on the three sets of wavelengths as the
spectral prior. The simulation results support the analytic ranking of the
performance of the three sets of spectral priors, and generally agree with the
performance outcome of DC-only versus that of DC-excluded FD and DC-included FD.
Specifically, this study indicate that: 1) the rank of overall quality of
chromophore recovery is Hb, H2O, and HbO from the highest to lowest; and in the
scattering part the A is always better recovered than b. This outcome does
suggest that the DC-only information gives rise to unique solution to the image
reconstruction routine under the given spectral prior. 2) DC-information is not
redundant in FD-reconstruction, as the artifact levels of DC-included FD
reconstruction are always lower than those of DC-excluded FD. 3) The artifact
level as represented by the noise-to-contrast-ratio is almost always the lowest
in DC-only, leading to generally better resolution of multiple targets of
identical contrasts over the background than in FD. However, the FD could
outperform DC in the recovery of scattering properties including both A and b
when the spectral prior is less optimal, implying the benefit of phase
information in scattering recovery in the context of spectrally-constrained
optical tomography.
PMID- 21895027
TI - Imaging tumor oxyhemoglobin and deoxyhemoglobin concentrations with ultrasound
guided diffuse optical tomography.
AB - We present an ultrasound (US)-guided diffuse optical tomography for mapping tumor
deoxyhemoglobin (deoxyHb) and oxyhemoglobin (oxyHb) concentrations in blood
phantoms and in in-vivo patients. Because oxyHb and deoxyHb respond differently
at different wavelengths, four laser diodes of wavelengths 740 nm, 780 nm, 808 nm
and 830 nm were used in the study. Tumor model experiments were performed using
phantoms of different hemoglobin oxygen saturations (14%-89%) representing
hemoglobin oxygenation in tissue. Targets of different sizes and located at
different depths were used to validate the accuracy of oxygen saturation
estimation. The absolute deviations between the estimated hemoglobin oxygen
saturations obtained from reconstructed absorption maps and oxygen measurements
obtained using a pO2 electrode were less than 8% over the measured range of
oxygen saturation. An inhomogeneous concentric blood phantom of deoxygenated
center core and oxygenated outer shell was imaged and deoxyHb and oxyHb maps
revealed corresponding distributions which correlated well with inhomogeneous
deoxy- and oxy- distributions frequently seen in breast cancers. Clinical
examples are given to demonstrate the utility of US-guided optical tomography in
mapping heterogeneous deoxyHb and oxyHb distributions in breast cancers.
PMID- 21895029
TI - Automated diagnosis of oral cancer using higher order spectra features and local
binary pattern: a comparative study.
AB - In the field of quantitative microscopy, textural information plays a significant
role very often in tissue characterization and diagnosis, in addition to
morphology and intensity. The objective of this work is to improve the
classification accuracy based on textural features for the development of a
computer assisted screening of oral sub-mucous fibrosis (OSF). In fact, the
approach introduced is used to grade the histopathological tissue sections into
normal, OSF without dysplasia (OSFWD) and OSF with dysplasia (OSFD), which would
help the oral onco-pathologists to screen the subjects rapidly. The main
objective of this work is to evaluate the use of Higher Order Spectra (HOS)
features and Local Binary Pattern (LBP) features extracted from the epithelial
layer in classifying normal, OSFWD and OSFD. For this purpose, we extracted
twenty three HOS features and nine LBP features and fed them to a Support Vector
Machine (SVM) for automated diagnosis. One hundred and fifty eight images (90
normal, 42 OSFWD and 26 OSFD images) were used for analysis. LBP features provide
a good sensitivity of 82.85% and specificity of 87.84%, and the HOS features
provide higher values of sensitivity (94.07%) and specificity (93.33%) using SVM
classifier. The proposed system, can be used as an adjunct tool by the onco
pathologists to cross-check their diagnosis.
PMID- 21895028
TI - Pre-clinical evaluation of fluorescent deoxyglucose as a topical contrast agent
for the detection of Barrett's-associated neoplasia during confocal imaging.
AB - The availability of confocal endomicroscopy motivates the development of optical
contrast agents that can delineate the morphologic and metabolic features of
gastrointestinal neoplasia. This study evaluates 2-NBDG, a fluorescent
deoxyglucose, the uptake of which is associated with increased metabolic
activity, in the identification of Barrett's-associated neoplasia. Surveillance
biopsies from patients with varying pathologic grades of Barrett's esophagus were
incubated ex vivo at 37 degrees C with 2-NBDG and imaged with a fluorescence
confocal microscope. Images were categorized as neoplastic (high grade dysplasia,
esophageal adenocarcinoma) or metaplastic (intestinal metaplasia, low grade
dysplasia) based on the degree of glandular 2-NBDG uptake. Classification
accuracy was assessed using histopathology as the gold standard. Forty-four
biopsies were obtained from twenty-six patients; 206 sites were imaged. The
glandular mean fluorescence intensity of neoplastic sites was significantly
higher than that of metaplastic sites (p<0.001). Chronic inflammation was
associated with increased 2-NBDG uptake in the lamina propria but not in
glandular epithelium. Sites could be classified as neoplastic or not with 96%
sensitivity and 90% specificity based on glandular mean fluorescence intensity.
Classification accuracy was not affected by the presence of inflammation. By
delineating the metabolic and morphologic features of neoplasia, 2-NBDG shows
promise as a topical contrast agent for confocal imaging. Further in vivo testing
is needed to determine its performance in identifying neoplasia during confocal
endomicroscopic imaging.
PMID- 21895030
TI - Fiber confocal back-scattering micro-spectral analysis for single cell.
AB - A fiber confocal back scattering micro-spectrometer (FCBS) was established, which
combined fiber confocal microscopy with light scattering spectroscopy (LSS) for
early diagnosis of the cancer cell at cellular level. An adherent monolayer human
normal gastric epithelium line GES-1 and a carcinoma cell line NCI-N87 as well as
a normal liver cell line L02 and a high-metastatic-potential hepatocellular
carcinoma cell line HCC-LM3 were measured respectively. The spectral results
showed that micro-back-scattering intensity from GES-1 cell and L02 cell
possessed interesting oscillations in contrast to NCI-N87 and HCC-LM3 cells.
There was significant difference between the spectra of the normal and the cancer
cells (p<0.001). This demonstrates that the FCBS system here is able to
distinguish dysplastic cells from normal cells at cellular level.
PMID- 21895031
TI - Identification of in vitro electropermeabilization equivalent pulse protocols.
AB - Exposure of cells to an external sufficiently strong electric field results in
the formation of pores across the membrane. This phenomenon, termed
electropermeabilization, permits the transport of poorly permeant molecules into
cytosol. In clinical practice, cell membrane permeabilization for drug
electrotransfer is achieved using the ESOPE pulse protocol (1000 V/cm, 8 pulses,
100 MUs, 5 kHz). The aim of this study was to investigate several combinations of
electric field amplitude and pulse number able to induce electropermeabilization
as the one observed when the ESOPE protocol was applied. Decreasing electric
field amplitudes (1000 to 300 V/cm) in combination with increasing number of
pulses (8 to 320) were applied to in vitro MG63 cells. Propidium iodide and
Calcein blue AM uptake were used to evaluate cell electropermeabilization and
viability. Results showed that the threshold of local electric field needed to
obtain electropermeabilization decreased exponentially with increasing the number
of pulses delivered (r2 5 0.92, p<0.0001). The absorbed dose threshold was
dependent on the number of pulses for each voltage applied (r2 5 0.96, p<0.0001).
In conclusion, the possibility of applying an increased number of pulses rather
than increasing the electric field amplitude to perform electropermeabilization,
may become an important tool for electropermeabilization-related clinical
applications.
PMID- 21895033
TI - 3D-conformal RT, fixed-field IMRT and RapidArc, which one is better for
esophageal carcinoma treated with elective nodal irradiation.
AB - The purpose of this study is to compare the characteristics of 3D-conformal
radiotherapy (3D-CRT), fixed-field intensity-modulated radiotherapy (IMRT) and
RapidArc for esophageal squamous cell carcinoma (ESCC) treated with elective
nodal irradiation (ENI). CT datasets of 20 patients with ESCC were included and
plans for single and double arcs of RapidArc (RA1 and RA2), 7-field IMRT and 3D
CRT were created and optimized for each patient. The goal was to deliver 59.6 Gy
to >=95% of the planning target volume (40 Gy to electively irradiated lymph
nodal regions) while meeting the same normal-tissue dose constraints. The plans
were compared based on dosimetric characteristics of target and organs at risk
(OARs), monitor units (MUs), and appraised beam-on time. Both RA2 and IMRT
resulted in similar target coverage (V95%, 97.84+/-1.50% for RA2 versus 96.96+/
1.15% for IMRT), homogeneity index (HI, 0.11+/-0.02 for RA2 versus 0.10+/-0.01
for IMRT) and conformity index (CI, 0.81+/-0.03 for RA2 versus 0.79+/-0.04 for
IMRT), which displayed slightly better than single arc (V95%=94.55+/-1.50%,
HI=0.12+/-0.02, CI=0.80+/-0.02) and much better than 3D-CRT (V95%=91.17+/-2.89%,
HI=0.15+/-0.03, CI=0.60+/-0.07). The total lung V20, V30 was reduced
approximately from 31%, 16% (3D-CRT) to 22%, 13% (IMRT) and 20%, 12% (RA2); the
heart V30, V40 from 29%, 21% (3D-CRT) to 28%, 20% (IMRT) and 27%, 18% (RA2). The
maximum dose to the spinal cord was 44.26+/-2.60 Gy for 3D-CRT, 42.47+/-2.40 Gy
for IMRT, and 42.79+/-1.81 Gy for RA2. The number of MUs per fraction reduced
from 990+/-165 (IMRT) to 503+/-70 (3D-CRT) and 502+/-79 (RA2). Appraised beam-on
time of RapidArc was 1.2-2.4 min, which was lower than IMRT with 5.4 min by
average. RapidArc, especially for double arcs plan could provide slight
improvements in OARs sparing and lower MUs without compromised target qualities
compared with IMRT, which was much better than 3D-CRT for ESCC treated with ENI.
PMID- 21895034
TI - Changes in position and volume of lung cancer target volumes during stereotactic
body radiotherapy (SBRT): is image guidance necessary?
AB - The purpose of this study was to analyze inter- and intra-fractional changes in
tumor volume with respect to both spatial and volumetric parameters among
patients treated by SBRT for lung cancer. Twelve patients (13 tumors) were
treated by SBRT with abdominal compression in 3-5 fractions over a 2 week period
to a median dose of 60 Gy (range, 48 to 60 Gy). Kilovoltage cone-beam CT (CBCT)
was obtained prior to the delivery of each fraction as well as intra-fractionally
yielding a total of 55 CBCT scans. All CBCT scans were registered with the
planning CT for target alignment and shifts were recorded and analyzed.
Retrospectively, gross tumor volume (GTV) was contoured on all CBCT images and
compared to initial planning volumes; positional differences were evaluated
utilizing directional and vector analysis. Shifts greater than 5 mm were applied
inter-fractionally in 6.8% (lateral), 29.5% (longitudinal), and 6.8% (vertical)
of all delivered treatments. Using a 10 mm threshold, the corresponding
percentages were 2.3%, 13.6%, and 2.3%, respectively. Across all fractions, the
calculated inter-fractional shift vectors ranged from 0 to 31.2 mm, with 40.9%,
15.9%, and 11.4% of all fractions having shift vectors>=5 mm, >=10 mm, and >=20
mm, respectively. Intra-fractional shifts were also evaluated and found
negligible in a small portion of patients evaluated. The mean overall reduction
in GTV was 21.1% during SBRT. Significant changes in both position and volume
occur during SBRT for lung cancer. Shifts (particularly in the superior-inferior
axis) may exceed applied margins and compromise target coverage. Due to the
extreme hypofractionation associated with SBRT, inter-fractional image guidance
is necessary.
PMID- 21895032
TI - Electrochemotherapy: a new technological approach in treatment of metastases in
the liver.
AB - Electrochemotherapy is now in development for treatment of deep-seated tumors,
like in bones and internal organs, such as liver. The technology is available
with a newly developed electric pulse generator and long needle electrodes;
however the procedures for the treatment are not standardized yet. In order to
describe the treatment procedure, including treatment planning, within the
ongoing clinical study, a case of successful treatment of a solitary metastasis
in the liver of colorectal cancer is presented. The procedure was performed
intraoperatively by inserting long needle electrodes, two in the center of the
tumor and four around the tumor into the normal tissue. The insertion of
electrodes proved to be feasible and was done according to the treatment plan,
prepared by numerical modeling. After intravenous bolus injection of bleomycin
the tumor was exposed to electric pulses. The delivery of the electric pulses did
not interfere with functioning of the heart, since the pulses were synchronized
with electrocardiogram in order to be delivered outside the vulnerable period of
the ventricles. Also the post treatment period was uneventful without side
effects. Re-operation of the treated metastasis demonstrated feasibility of the
reoperation, without secondary effects of electrochemotherapy on normal tissue.
Good antitumor effectiveness with complete tumor destruction was confirmed with
histological analysis. The patient is disease-free 16 months after the procedure.
In conclusion, treatment procedure for electrochemotherapy proved to be a
feasible technological approach for treatment of liver metastasis. Due to the
absence of the side effects and the first complete destruction of the treated
tumor, treatment procedure for electrochemotherapy seems to be a safe method for
treatment of liver metastases with good treatment effectiveness even in difficult
to-reach locations.
PMID- 21895035
TI - Pharmacometrics for regulatory decision making: status and perspective.
PMID- 21895036
TI - Impact of pharmacometric analyses on new drug approval and labelling decisions: a
review of 198 submissions between 2000 and 2008.
AB - Pharmacometric analyses have become an increasingly important component of New
Drug Application (NDA) and Biological License Application (BLA) submissions to
the US FDA to support drug approval, labelling and trial design decisions.
Pharmacometrics is defined as a science that quantifies drug, disease and trial
information to aid drug development, therapeutic decisions and/or regulatory
decisions. In this report, we present the results of a survey evaluating the
impact of pharmacometric analyses on regulatory decisions for 198 submissions
during the period from 2000 to 2008. Pharmacometric review of NDAs included
independent, quantitative analyses by FDA pharmacometricians, even when such
analysis was not conducted by the sponsor, as well as evaluation of the sponsor's
report. During 2000-2008, the number of reviews with pharmacometric analyses
increased dramatically and the number of reviews with an impact on approval and
labelling also increased in a similar fashion. We also present the impact of
pharmacometric analyses on selection of paediatric dosing regimens, approval of
regimens that had not been directly studied in clinical trials and provision of
evidence of effectiveness to support a single pivotal trial. Case studies are
presented to better illustrate the role of pharmacometric analyses in regulatory
decision making.
PMID- 21895038
TI - A single-species approach considering additional physiological information for
prediction of hepatic clearance of glycoprotein derivate therapeutics.
AB - BACKGROUND AND OBJECTIVES: Existing methods for the prediction of human clearance
of therapeutic proteins involve the use of allometry approaches. In general,
these approaches have concentrated on the role of body weight, with only
occasional attention given to more specific physiological parameters. The
objective of this study was to develop a mechanism-based model of hepatic
clearance (CL(H)), which combines a single-species scaling approach with liver
physiology, for predicting CL(H) of selected glycoprotein derivate therapeutics,
and to compare the outcome of this novel method with those of two empirical
methods obtained from the literature - namely, the single-exponent theory and
multiple-species allometry. Thus, this study was designed as an explanatory study
to verify if the addition of physiological information is of benefit for
extrapolating clearance of selected therapeutic proteins from one species to
another. METHODS: Five glycoprotein derivate therapeutics that are known to be
principally eliminated by asialoglycoprotein receptors (ASGPRs) under in vivo
conditions were selected. It was assumed that the interspecies differences in
CL(H) reported for these compounds are reflected by the interspecies differences
in the abundance of these receptors. Therefore, key scaling factors related to
these differences were integrated into one model. Fourteen extrapolation
(prediction) scenarios across species were used in this study while comparing the
single-species model, based on physiology, with the single-exponent theory. In
addition, the physiological model was compared with multiple-species allometry
for three proteins. RESULTS: In general, the novel physiological model is
superior to the derived allometric methods. Overall, the physiological model
produced a predicted CL(H) value with levels of accuracy of 100% within 3-fold,
100% within 2-fold and about 82% within 1.5-fold, compared with the observed
values, whereas the levels of accuracy decreased to 93%, 77% and 53%,
respectively, for allometry. The proposed physiological model is also superior to
allometry on the basis of the root mean square error and absolute average fold
error values. CONCLUSIONS: It has been demonstrated that interspecies differences
in the abundance of ASGPRs principally govern interspecies variations in CL(H) of
compounds that are principally eliminated by ASGPRs. Overall, the proposed
physiological model is an additional tool, which should facilitate investigation
and prediction of human CL(H) of specific glycoproteins solely on the basis of
clearance data determined in a single preclinical species.
PMID- 21895037
TI - Penetration of anti-infective agents into pulmonary epithelial lining fluid:
focus on antibacterial agents.
AB - The exposure-response relationship of anti-infective agents at the site of
infection is currently being re-examined. Epithelial lining fluid (ELF) has been
suggested as the site (compartment) of antimicrobial activity against lung
infections caused by extracellular pathogens. There have been an extensive number
of studies conducted during the past 20 years to determine drug penetration into
ELF and to compare plasma and ELF concentrations of anti-infective agents. The
majority of these studies estimated ELF drug concentrations by the method of urea
dilution and involved either healthy adult subjects or patients undergoing
diagnostic bronchoscopy. Antibacterial agents such as macrolides, ketolides,
newer fluoroquinolones and oxazolidinones have ELF to plasma concentration ratios
of >1. In comparison, beta-lactams, aminoglycosides and glycopeptides have ELF to
plasma concentration ratios of <=1. Potential explanations (e.g. drug
transporters, overestimation of the ELF volume, lysis of cells) for why these
differences in ELF penetration occur among antibacterial classes need further
investigation. The relationship between ELF concentrations and clinical outcomes
has been under-studied. In vitro pharmacodynamic models, using simulated ELF and
plasma concentrations, have been used to examine the eradication rates of
resistant and susceptible pathogens and to explain why selected anti-infective
agents (e.g. those with ELF to plasma concentration ratios of >1) are less likely
to be associated with clinical treatment failures. Population pharmacokinetic
modelling and Monte Carlo simulations have recently been used and permit ELF and
plasma concentrations to be evaluated with regard to achievement of target
attainment rates. These mathematical modelling techniques have also allowed
further examination of drug doses and differences in the time courses of ELF and
plasma concentrations as potential explanations for clinical and microbiological
effects seen in clinical trials. Further studies are warranted in patients with
lower respiratory tract infections to confirm and explore the relationships
between ELF concentrations, clinical and microbiological outcomes, and
pharmacodynamic parameters.
PMID- 21895043
TI - These dermatological disorders share the features of being caused by
hyperactivity of androgen within the pilosebaceous follicle, and although not
life threatening are the source of a considerable psychological and emotional
burden for patients. Foreword.
PMID- 21895039
TI - Rivaroxaban: population pharmacokinetic analyses in patients treated for acute
deep-vein thrombosis and exposure simulations in patients with atrial
fibrillation treated for stroke prevention.
AB - BACKGROUND AND OBJECTIVE: Rivaroxaban is an oral, direct Factor Xa inhibitor,
which is at an advanced stage of clinical development for prevention and
treatment of thromboembolic disorders. Two phase II studies, ODIXa-DVT and
EINSTEIN DVT, assessed the efficacy and safety of oral rivaroxaban (once daily or
twice daily) for treatment of acute deep-vein thrombosis (DVT). Population
pharmacokinetic and pharmacodynamic analyses of rivaroxaban in patients in these
two phase II studies were conducted to characterize the
pharmacokinetics/pharmacodynamics of rivaroxaban and the relationship between
important patient covariates and model parameters. Exposure simulations in
patients with atrial fibrillation (AF) were also performed in order to predict
the exposure of rivaroxaban, using modified demographic data reflecting the
characteristics of a typical AF population. METHODS: A population pharmacokinetic
model was developed using plasma samples from these patients. Various simulations
were conducted to explore the pharmacokinetics of rivaroxaban in patients with
DVT and to predict exposure in those with AF. Correlations between plasma
rivaroxaban concentrations and the prothrombin time, Factor Xa activity,
HepTest(r) and activated partial thromboplastin time were also described.
RESULTS: The pharmacokinetics of rivaroxaban in patients with DVT were found to
be consistent and predictable across all doses studied. The area under the plasma
concentration-time curve (AUC) increased dose dependently. The same total daily
doses given once daily achieved higher maximum plasma concentration (C(max))
values (~20%) and lower trough (minimum) plasma concentration (C(trough)) values
(~60%) than when given twice daily; however, the 5th-95th percentile ranges for
these parameters overlapped. Rivaroxaban clearance was moderately influenced by
age and renal function, and the volume of distribution was influenced by age,
body weight and sex; the effects were within the observed interindividual
variability. Simulations in virtual patient populations with AF showed that a
rivaroxaban dose of 15 mg once daily in patients with creatinine clearance of 30
49 mL/min would achieve AUC and C(max) values similar to those observed with 20
mg once daily in patients with normal renal function. The prothrombin time
correlated almost linearly with plasma rivaroxaban concentrations (<=500 MUg/L).
CONCLUSION: Population analyses of phase II clinical data indicated that the
pharmacokinetics and pharmacodynamics of all rivaroxaban doses were predictable
and were affected by expected demographic factors in patients with acute DVT.
PMID- 21895044
TI - Ethinylestradiol/Chlormadinone acetate: dermatological benefits.
AB - Acne vulgaris, hirsutism, seborrhea and female pattern hair loss (FPHL) are
common disorders of the pilosebaceous unit (PSU). In some women with
hyperandrogenemia, an excess of androgens at the PSU can lead to the development
of these dermatological manifestations. These manifestations can cause many
psychiatric and psychological implications, such as social fears and anxiety, and
can adversely affect quality of life. High androgen levels at the PSU as a
possible underlying cause of acne vulgaris, hirsutism, seborrhea and FPHL
supports the rationale for using combined oral contraceptives for the management
of these conditions in women. The purpose of this review is to describe these
dermatological manifestations of the PSU and the management of these conditions
through the use of the oral contraceptive ethinylestradiol/chlormadinone acetate
(EE/CMA). EE/CMA 0.03/2 mg is a combined monophasic contraceptive pill with anti
androgenic properties. It is approved in Europe for contraception and has been
investigated in phase III trials for the treatment of acne. EE/CMA was better
than placebo and similar to another low-dose oral contraceptive
(ethinylestradiol/levonorgestrel) in improving symptoms of acne in two phase III
randomized controlled trials in patients with mild to moderate papulopustular
acne. In addition, in trials investigating the contraceptive efficacy of EE/CMA,
limited data suggest that there were also improvements in hirsutism, FPHL and
seborrhea in small subgroups of patients. EE/CMA has a good safety profile. The
most commonly reported adverse events are breast tenderness/pain,
headache/migraine and nausea. Evidence in the literature indicates that the use
of EE/CMA for the treatment of dermatological disorders under the control of
androgens may be a valid treatment option. Further investigation is warranted.
PMID- 21895045
TI - Ethinylestradiol/Chlormadinone acetate for use in dermatological disorders.
AB - The diagnosis and management of four cases of dermatological disorders, most of
which are related to the endocrine disorder of androgen excess, are presented.
Combined oral contraceptives (COCs) may be useful when well-tolerated hormonal
therapy and/or when contraception is required. A female patient with androgenetic
alopecia or female pattern balding, without underlying hyperandrogenism, was
treated with ethinylestradiol/chlormadinone acetate (EE/CMA) 0.03 mg/2 mg for 6
months and experienced stabilization of hair loss (case report 1). A patient who
had previously received a COC for an irregular menstrual pattern but again
experienced irregular menses and also acne after stopping treatment was diagnosed
with acne associated with polycystic ovary syndrome (PCOS) [case report 2]. After
6 month's treatment with EE/CMA 0.03 mg/2 mg, this patient had fewer acne lesions
and became eumenorrheic. A third patient who had excess hair since childhood was
diagnosed with idiopathic hirsutism (no underlying gynecological or
endocrinological disorder was found) and was treated with EE/CMA 0.03 mg/2 mg
(case report 3). Less hair growth was reported after 6 months' treatment. Case
report 4 describes a patient who presented with oligomenorrhea and acne. She was
diagnosed with PCOS with acne, seborrhea and mild hirsutism. Treatment with
EE/CMA 0.03 mg/2 mg for 6 months resulted in improvements in her facial acne,
seborrhea and hirsutism; she also became eumenorrheic. These four cases
illustrate that EE/CMA may be a useful and well tolerated treatment option in the
management of patients with dermatological disorders with or without
hyperandrogenization.
PMID- 21895048
TI - Modern clinical management of arterial hypertension: fixed or free combination
therapies? Foreword.
PMID- 21895050
TI - Quasi-Gaussian beam analytical basis and comparison with an alternative approach
(L).
AB - A superposition of propagating Bessel beams was recently numerically demonstrated
to approximate a Gaussian beam and was used to evaluate the scattering by a
sphere centered on the focal point of the beam. An analytical beam synthesis used
in optics by Agrawal and Pattanayak [J. Opt. Soc. Am. 69, 575-578 (1979)] is
found here to be recovered as the weak focusing limit of the quasi-Gaussian beam
when evanescent contributions are omitted from the analytical synthesis. The
propagating-wave part of the analytical synthesis has similarities to, and
differences from, the recent quasi-Gaussian approximation.
PMID- 21895049
TI - Modern clinical management of arterial hypertension: fixed or free combination
therapies?
AB - Blood pressure control is a key element in any cardiovascular prevention
strategy. However, it is also one of the least frequently achieved goals in
modern strategies for the clinical management of cardiovascular diseases,
resulting in high impact in terms of cardiovascular morbidity and mortality.
Among different factors that can be identified as the causes of poor blood
pressure (BP) control in the general population of patients with hypertension,
the excessive use of monotherapy, as opposed to combination therapy, is arguably
one of the most significant. In this perspective, the use of combination
therapies having synergic and complementary actions has been shown to reduce BP
levels to increase the percentage of patients who respond to antihypertensive
treatment and achieve the recommended BP targets. Moreover, recent studies have
demonstrated that these strategies provide effective protection against
hypertension-related organ damage, as well as a significant reduction of major
cardiovascular events. While currently available evidence supports an
increasingly important role of combination therapies compared with monotherapies,
several other issues remain to be clarified. Among these, it has not yet been
clearly established which classes of drugs should be considered for combination
strategies, at what doses each component should be used, and whether combination
strategies may be definitively considered as a first choice for the treatment of
hypertensive patients at cardiovascular risk. Another relevant aspect concerns
the choice between fixed and free combination therapies. This article discusses
and analyses the different factors that may contribute to achieve effective BP
control. In particular, the potential benefits and drawbacks associated with the
use of fixed versus free combination therapies for hypertension treatment will be
examined and discussed. The benefits of using combination strategies based on
drugs that antagonize the renin-angiotensin system and dihydropyridine calcium
antagonists will also be discussed, with a particular focus on amlodipine
besylate combination therapies.
PMID- 21895051
TI - Beamforming with a circular array of microphones mounted on a rigid sphere (L).
AB - Beamforming with uniform circular microphone arrays can be used for localizing
sound sources over 360 degrees . Typically, the array microphones are suspended
in free space or they are mounted on a solid cylinder. However, the cylinder is
often considered to be infinitely long because the scattering problem has no
exact solution for a finite cylinder. Alternatively one can use a solid sphere.
This investigation compares the performance of a circular array mounded on a
rigid sphere with that of such an array in free space and mounted on an infinite
cylinder, using computer simulations. The examined techniques are delay-and-sum
and circular harmonics beamforming, and the results are validated experimentally.
PMID- 21895052
TI - The impact of reverberant self-masking and overlap-masking effects on speech
intelligibility by cochlear implant listeners (L).
AB - The purpose of this study is to determine the relative impact of reverberant self
masking and overlap-masking effects on speech intelligibility by cochlear implant
listeners. Sentences were presented in two conditions wherein reverberant
consonant segments were replaced with clean consonants, and in another condition
wherein reverberant vowel segments were replaced with clean vowels. The
underlying assumption is that self-masking effects would dominate in the first
condition, whereas overlap-masking effects would dominate in the second
condition. Results indicated that the degradation of speech intelligibility in
reverberant conditions is caused primarily by self-masking effects that give rise
to flattened formant transitions.
PMID- 21895053
TI - Fast asymptotic solutions for sound fields above and below a rigid porous ground.
AB - The current study simultaneously addresses the problem of reflection and
refraction of sound from a rigid porous ground surface. A more rigorous approach
is used to derive more accurate asymptotic solutions that can be cast in a
convenient form for ease of numerical implementations. The solutions provide
means for rapid computations of the sound fields above and below the rigid porous
ground. The improved asymptotic formulas for both situations agree well with
numerical results obtained by other numerical schemes, which are more accurate
but computationally more intensive. More importantly, the asymptotic solutions
can be written in the well-known form of the Weyl-van der Pol formula, which
provides a direct correlation between the reflected wave term for the sound field
above the porous ground and the transmitted (refracted) wave term for the sound
field below.
PMID- 21895054
TI - On the use of Gegenbauer reconstructions for shock wave propagation modeling.
AB - In therapeutic ultrasound, the presence of shock waves can be significant due to
the use of high intensity beams, as well as due to shock formation during
inertial cavitation. Although modeling of such strongly nonlinear waves can be
carried out using spectral methods, such calculations are typically considered
impractical, since accurate calculations often require hundreds or even thousands
of harmonics to be considered, leading to prohibitive computational times.
Instead, time-domain algorithms which generally utilize Godunov-type finite
difference schemes are commonly used. Although these time domain methods can
accurately model steep shock wave fronts, unlike spectral methods they are
inherently unsuitable for modeling realistic tissue dispersion relations.
Motivated by the need for a more general model, the use of Gegenbauer
reconstructions as a postprocess tool to resolve the band-limitations of the
spectral methods are investigated. The present work focuses on eliminating the
Gibbs phenomenon when representing a steep wave front using a limited number of
harmonics. Both plane wave and axisymmetric 2D transducer problems will be
presented to characterize the proposed method.
PMID- 21895055
TI - Nonlinear acoustic wave equations with fractional loss operators.
AB - Fractional derivatives are well suited to describe wave propagation in complex
media. When introduced in classical wave equations, they allow a modeling of
attenuation and dispersion that better describes sound propagation in biological
tissues. Traditional constitutive equations from solid mechanics and heat
conduction are modified using fractional derivatives. They are used to derive a
nonlinear wave equation which describes attenuation and dispersion laws that
match observations. This wave equation is a generalization of the Westervelt
equation, and also leads to a fractional version of the Khokhlov-Zabolotskaya
Kuznetsov and Burgers' equations.
PMID- 21895056
TI - Generalized response of a sphere embedded in a viscoelastic medium excited by an
ultrasonic radiation force.
AB - The response of an embedded sphere in a viscoelastic medium excited by acoustic
radiation force has been studied in both the time- and frequency-domains. This
model is important because it can be used to characterize the viscoelastic
properties of the medium by fitting the response to the theoretical model. The
Kelvin-Voigt model has been used exclusively in these models. An extension to the
previously reported models is described so that any viscoelastic rheological
model can be used. This theoretical development describes the generalized
embedded sphere response both in the time and frequency domains. Comparing the
results from derivations in both domains showed very good agreement with a median
absolute error (MAE) ranging from 0.0044 to 0.0072. Good agreement is
demonstrated with finite element model simulations and the theory with a MAE of
0.006. Lastly, results for characterization of gelatin and rubber materials with
the new theory are shown where the MAE values were used to determine which
rheological model best describes the measured responses.
PMID- 21895057
TI - Sound, infrasound, and sonic boom absorption by atmospheric clouds.
AB - This study quantifies the influence of atmospheric clouds on propagation of sound
and infrasound, based on an existing model [Gubaidulin and Nigmatulin, Int. J.
Multiphase Flow 26, 207-228 (2000)]. Clouds are considered as a dilute and
polydisperse suspension of liquid water droplets within a mixture of dry air and
water vapor, both considered as perfect gases. The model is limited to low and
medium altitude clouds, with a small ice content. Four physical mechanisms are
taken into account: viscoinertial effects, heat transfer, water phase changes
(evaporation and condensation), and vapor diffusion. Physical properties of
atmospheric clouds (altitude, thickness, water content and droplet size
distribution) are collected, along with values of the thermodynamical
coefficients. Different types of clouds have been selected. Quantitative
evaluation shows that, for low audible and infrasound frequencies, absorption
within clouds is several orders of magnitude larger than classical absorption.
The importance of phase changes and vapor diffusion is outlined. Finally,
numerical simulations for nonlinear propagation of sonic booms indicate that, for
thick clouds, attenuation can lead to a very large decay of the boom at the
ground level.
PMID- 21895058
TI - In situ calibration of atmospheric-infrasound sensors including the effects of
wind-noise-reduction pipe systems.
AB - A worldwide network of more than 40 infrasound monitoring stations has been
established as part of the effort to ensure compliance with the Comprehensive
Nuclear Test Ban Treaty. Each station has four to eight individual infrasound
elements in a kilometer-scale array for detection and bearing determination of
acoustic events. The frequency range of interest covers a three-decade range
roughly from 0.01 to 10 Hz. A typical infrasound array element consists of a
receiving transducer connected to a multiple-inlet pipe network to average
spatially over the short-wavelength turbulence-associated "wind noise." Although
the frequency response of the transducer itself may be known, the wind-noise
reduction system modifies that response. In order to understand the system's
impact on detection and identification of acoustical events, the overall
frequency response must be determined. This paper describes a technique for
measuring the absolute magnitude and phase of the frequency response of an
infrasound element including the wind-noise-reduction piping by comparison
calibration using ambient noise and a reference-microphone system. Measured
coherence between the reference and the infrasound element and the consistency
between the magnitude and the phase provide quality checks on the process.
PMID- 21895059
TI - Aeroacoustic sources of motorcycle helmet noise.
AB - The prevalence of noise in the riding of motorcycles has been a source of concern
to both riders and researchers in recent times. Detailed flow field information
will allow insight into the flow mechanisms responsible for the production of
sound within motorcycle helmets. Flow field surveys of this nature are not found
in the available literature which has tended to focus on sound pressure levels at
ear as these are of interest for noise exposure legislation. A detailed flow
survey of a commercial motorcycle helmet has been carried out in combination with
surface pressure measurements and at ear acoustics. Three potential noise source
regions are investigated, namely, the helmet wake, the surface boundary layer and
the cavity under the helmet at the chin bar. Extensive information is provided on
the structure of the helmet wake including its frequency content. While the wake
and boundary layer flows showed negligible contributions to at-ear sound the
cavity region around the chin bar was identified as a key noise source. The
contribution of the cavity region was investigated as a function of flow speed
and helmet angle both of which are shown to be key factors governing the sound
produced by this region.
PMID- 21895060
TI - Observationally constrained modeling of sound in curved ocean internal waves:
examination of deep ducting and surface ducting at short range.
AB - A study of 400 Hz sound focusing and ducting effects in a packet of curved
nonlinear internal waves in shallow water is presented. Sound propagation roughly
along the crests of the waves is simulated with a three-dimensional parabolic
equation computational code, and the results are compared to measured propagation
along fixed 3 and 6 km source/receiver paths. The measurements were made on the
shelf of the South China Sea northeast of Tung-Sha Island. Construction of the
time-varying three-dimensional sound-speed fields used in the modeling
simulations was guided by environmental data collected concurrently with the
acoustic data. Computed three-dimensional propagation results compare well with
field observations. The simulations allow identification of time-dependent sound
forward scattering and ducting processes within the curved internal gravity
waves. Strong acoustic intensity enhancement was observed during passage of high
amplitude nonlinear waves over the source/receiver paths, and is replicated in
the model. The waves were typical of the region (35 m vertical displacement). Two
types of ducting are found in the model, which occur asynchronously. One type is
three-dimensional modal trapping in deep ducts within the wave crests (shallow
thermocline zones). The second type is surface ducting within the wave troughs
(deep thermocline zones).
PMID- 21895061
TI - Acoustic inversions for measuring boundary layer suspended sediment processes.
AB - Although sound has been applied to the study of sediment transport processes for
a number of years, it is acknowledged that there are still problems in using the
backscattered signal to measure suspended sediment parameters. In particular,
when the attenuation due to the suspension becomes significant, the uncertainty
associated with the variability in the scattering characteristics of the
sediments in suspension can lead to inversion errors which accumulate as the
sound propagates through the suspension. To study this attenuation propagation
problem, numerical simulations and laboratory experiments have been used to
assess the impact unpredictability in the scattering properties of the suspension
has on the acoustically derived suspended sediments parameters. The results
clearly show the commonly applied iterative implicit inversion can lead to
calculated sediment parameters, which become increasingly erroneous with range,
as the sound propagates through the suspension. To address this problem an
alternative approach to the iterative implicit formulation is investigated using
a recently described dual frequency inversion. This approach is not subject to
the accumulation of errors and has an explicit solution. Here the dual frequency
inversion is assessed and calculated suspended sediment parameters are compared
with those obtained from the iterative implicit inversion.
PMID- 21895062
TI - Effects of locally resonant modes on underwater sound absorption in viscoelastic
materials.
AB - Recently, by introducing locally resonant scatterers with spherical shape
proposed in phononic crystals into design of underwater sound absorption
materials, the low-frequency underwater sound absorption phenomenon induced by
the localized resonances is observed. To reveal this absorption mechanism, the
effect of the locally resonant mode on underwater sound absorption should be
studied. In this paper, the finite element method, which is testified efficiently
by comparing the calculation results with those of the layer multiple scattering
method, is introduced to investigate the dynamic modes and the corresponding
sound absorption of localized resonance. The relationship between the resonance
modes described with the displacement contours of one unit cell and the
corresponding absorption spectra is discussed in detail, which shows that the
localized resonance leads to the absorption peak, and the mode conversion from
longitudinal to transverse waves at the second absorption peak is more efficient
than that at the first one. Finally, to show the modeling capability of FEM and
investigate shape effects of locally resonant scatterers on underwater sound
absorption, the absorption properties of viscoelastic materials containing
locally resonant scatterers with ellipsoidal shape are discussed.
PMID- 21895063
TI - Underwater Mach wave radiation from impact pile driving: theory and observation.
AB - The underwater noise from impact pile driving is studied using a finite element
model for the sound generation and parabolic equation model for propagation.
Results are compared with measurements using a vertical line array deployed at a
marine construction site in Puget Sound. It is shown that the dominant underwater
noise from impact driving is from the Mach wave associated with the radial
expansion of the pile that propagates down the pile after impact at supersonic
speed. The predictions of vertical arrival angle associated with the Mach cone,
peak pressure level as function of depth, and dominant features of the pressure
time series compare well with corresponding field observations.
PMID- 21895064
TI - Experimental testing of the noise-canceling processor.
AB - Signal-processing techniques for localizing an acoustic source buried in noise
are tested in a tank experiment. Noise is generated using a discrete source, a
bubble generator, and a sprinkler. The experiment has essential elements of a
realistic scenario in matched-field processing, including complex source and
noise time series in a waveguide with water, sediment, and multipath propagation.
The noise-canceling processor is found to outperform the Bartlett processor and
provide the correct source range for signal-to-noise ratios below -10 dB. The
multivalued Bartlett processor is found to outperform the Bartlett processor but
not the noise-canceling processor.
PMID- 21895065
TI - Resolving Lambertian surface orientation from fluctuating radiance.
AB - A maximum likelihood method for estimating remote surface orientation from multi
static acoustic, optical, radar, or laser images is presented. It is assumed that
the images are corrupted by signal-dependent noise, known as speckle, arising
from complex Gaussian field fluctuations, and that the surface properties are
effectively Lambertian. Surface orientation estimates for a single sample are
shown to have biases and errors that vary dramatically depending on illumination
direction. This is due to the signal-dependent nature of speckle noise and the
nonlinear relationship between surface orientation, illumination direction, and
fluctuating radiance. The minimum number of independent samples necessary for
maximum likelihood estimates to become asymptotically unbiased and to attain the
lower bound on resolution of classical estimation theory are derived, as are
practical design thresholds.
PMID- 21895066
TI - Travel-time tomography in shallow water: experimental demonstration at an
ultrasonic scale.
AB - Acoustic tomography in a shallow ultrasonic waveguide is demonstrated at the
laboratory scale between two source-receiver arrays. At a 1/1,000 scale, the
waveguide represents a 1.1-km-long, 52-m-deep ocean acoustic channel in the
kilohertz frequency range. Two coplanar arrays record the transfer matrix in the
time domain of the waveguide between each pair of source-receiver transducers. A
time-domain, double-beamforming algorithm is simultaneously performed on the
source and receiver arrays that projects the multi-reflected acoustic echoes into
an equivalent set of eigenrays, which are characterized by their travel times and
their launch and arrival angles. Travel-time differences are measured for each
eigenray every 0.1 s when a thermal plume is generated at a given location in the
waveguide. Travel-time tomography inversion is then performed using two forward
models based either on ray theory or on the diffraction-based sensitivity kernel.
The spatially resolved range and depth inversion data confirm the feasibility of
acoustic tomography in shallow water. Comparisons are made between inversion
results at 1 and 3 MHz with the inversion procedure using ray theory or the
finite-frequency approach. The influence of surface fluctuations at the air-water
interface is shown and discussed in the framework of shallow-water ocean
tomography.
PMID- 21895067
TI - Information and linearity of time-domain complex demodulated amplitude and phase
data in shallow water.
AB - Wave-theoretic ocean acoustic propagation modeling is used to derive the
sensitivity of pressure, and complex demodulated amplitude and phase, at a
receiver to the sound speed of the medium using the Born-Frechet derivative.
Although the procedure can be applied for pressure as a function of frequency
instead of time, the time domain has advantages in practical problems, as
linearity and signal-to-noise are more easily assigned in the time domain. The
linearity and information content of these sensitivity kernels is explored for an
example of a 3-4 kHz broadband pulse transmission in a 1 km shallow water Pekeris
waveguide. Full-wave observations (pressure as a function of time) are seen to be
too nonlinear for use in most practical cases, whereas envelope and phase data
have a wider range of validity and provide complementary information. These
results are used in simulated inversions with a more realistic sound speed
profile, comparing the performance of amplitude and phase observations.
PMID- 21895068
TI - Effects of multiple scattering, attenuation and dispersion in waveguide sensing
of fish.
AB - An ocean acoustic waveguide remote sensing system can instantaneously image and
continuously monitor fish populations distributed over continental shelf-scale
regions. Here it is shown theoretically that the areal population density of fish
groups can be estimated from their incoherently averaged broadband matched
filtered scattered intensities measured using a waveguide remote sensing system
with less than 10% error. A numerical Monte-Carlo model is developed to determine
the statistical moments of the scattered returns from a fish group. It uses the
parabolic equation to simulate acoustic field propagation in a random range
dependent ocean waveguide. The effects of (1) multiple scattering, (2)
attenuation due to scattering, and (3) modal dispersion on fish population
density imaging are examined. The model is applied to investigate population
density imaging of shoaling Atlantic herring during the 2006 Gulf of Maine
Experiment. Multiple scattering, attenuation and dispersion are found to be
negligible at the imaging frequencies employed and for the herring densities
observed. Coherent multiple scattering effects, such as resonance shifts, which
can be significant for small highly dense fish groups on the order of the
acoustic wavelength, are found to be negligible for the much larger groups
typically imaged with a waveguide remote sensing system.
PMID- 21895069
TI - Trading detection for resolution in active sonar receivers.
AB - This paper proposes an active sonar receivers that offers a smooth trade-off
between detection and resolution. A matched filter is the optimal detector of
known signals in white Gaussian noise but may fail to resolve the targets if the
time separation of targets is less than the mainlobe width of the autocorrelation
function of the transmitted signal. An inverse filter achieves optimal resolution
performance for multiple targets in the absence of noise, but amplifies the noise
outside the signal bandwidth in a manner that makes it impractical in many
realistic scenarios. The proposed active sonar receiver, the variable resolution
and detection receiver (VRDR) combines the matched and inverse filter properties
to achieve a smooth trade-off between detection and resolution. Simulated
receiver operating characteristics demonstrate that for a range of dipole sonar
targets, the performance of the VRDR is superior to the matched and inverse
filter, as well as another previously proposed bandlimited inverse filter.
PMID- 21895070
TI - Target time smearing with short transmissions and multipath propagation.
AB - In active sonar the target echo level is often estimated with a propagation model
that adds all multipath arrivals. If the (post-correlator) transmitted pulse is
short compared to the multipath time spread then there is effectively an extra
loss (which may be substantial) since only a few of the paths contribute to the
target echo at any one instant. This well known "time-smearing" loss is treated
in a self-consistent manner with previous calculations of reverberation
[Harrison, J. Acoust. Soc. Am. 114, 2744-2756 (2003)] to estimate the target
response and the signal-to-reverberation-ratio. Again isovelocity water,
Lambert's law, and reflection loss proportional to angle are assumed. In this
important short pulse regime the target response becomes independent of boundary
reflection properties but proportional to transmitted pulse length. Thus the
signal-to-reverberation-ratio becomes independent of pulse length. The effect on
signal-to-ambient-noise is also investigated and the resulting formulas presented
in a table.
PMID- 21895071
TI - Performances of human listeners and an automatic aural classifier in
discriminating between sonar target echoes and clutter.
AB - Human listening tests were conducted to investigate if participants could
distinguish between samples of target echoes and clutter obtained from a
broadband active sonar experiment. For each echo, the listeners assigned a rating
based on how confident they were that it was a target echo or clutter. The
measure of performance was the area under the binormal receiver-operating
characteristic (ROC) curve, A(z). The mean performance was A(z)=0.95 +/- 0.04
when signals were presented with their full available acoustic bandwidth of
approximately 0-2 kHz. It was A(z)=0.77 +/- 0.08 when the bandwidth was reduced
to 0.5-2 kHz. The error bounds are stated as 95% confidence intervals. These
results show that the listeners could definitely hear differences, but their
performance was significantly degraded when the low-frequency signal information
was removed. The performance of an automatic aural classifier was compared
against this human-performance baseline. Results of statistical tests showed that
it outperformed 2 of 13 listeners and 5 of 9 human listeners in the full
bandwidth and reduced-bandwidth tests, respectively, and performed similarly to
the other listeners. Given its performance, the automatic aural classifier may
prove beneficial to Navy sonar systems.
PMID- 21895072
TI - Medium characterization from interface-wave impedance and ellipticity using
simultaneous displacement and pressure measurements.
AB - The interface-wave impedance and ellipticity are wave attributes that interrelate
the full waveforms as observed in different components. For each of the
fluid/elastic-solid interface waves, i.e., the pseudo-Rayleigh (pR) and Stoneley
(St) waves, the impedance and ellipticity are found to have different functional
dependencies on Young's modulus and Poisson's ratio. By combining the attributes
in a cost function, unique and stable estimates of these parameters can be
obtained, particularly when using the St wave. In a validation experiment, the
impedance of the laser-excited pR wave is successfully extracted from
simultaneous measurements of the normal particle displacement and the fluid
pressure at a water/aluminum interface. The displacement is measured using a
laser Doppler vibrometer (LDV) and the pressure with a needle hydrophone. Any LDV
measurement is perturbed by refractive-index changes along the LDV beam once
acoustic waves interfere with the beam. Using a model that accounts for these
perturbations, an impedance decrease of 28% with respect to the plane wave
impedance of the pR wave is predicted for the water/aluminum configuration.
Although this deviation is different for the experimentally extracted impedance,
there is excellent agreement between the observed and predicted pR waveforms in
both the particle displacement and fluid pressure.
PMID- 21895073
TI - Linear frequency modulation photoacoustic radar: optimal bandwidth and signal-to
noise ratio for frequency-domain imaging of turbid media.
AB - The development of the pulse compression photoacoustic (PA) radar using linear
frequency modulation (LFM) demonstrated experimentally that spectral matching of
the signal to the ultrasonic transducer bandwidth does not necessarily produce
the best PA signal-to-noise ratio, and it was shown that the optical and acoustic
properties of the absorber will modify the optimal bandwidth. The effects of
these factors are investigated in frequency-domain (FD) PA imaging by employing
one-dimensional and axisymmetric models of the PA effect, and a Krimholtz-Leedom
Matthaei model for the employed transducers. LFM chirps with various bandwidths
were utilized and transducer sensitivity was measured to ensure the accuracy of
the model. The theory was compared with experimental results and it was shown
that the PA effect can act as a low-pass filter in the signal generation.
Furthermore, with the PA radar, the low-frequency behavior of two-dimensional
wave generation can appear as a false peak in the cross correlation signal trace.
These effects are important in optimizing controllable features of the FD-PA
method to improve image quality.
PMID- 21895074
TI - On the acoustic radiation modes of compact regular polyhedral arrays of
independent loudspeakers.
AB - Compact spherical loudspeaker arrays can be used to provide control over their
directivity pattern. Usually, this is made by adjusting the gains of
preprogrammed spatial filters corresponding to a finite set of spherical
harmonics, or to the acoustic radiation modes of the loudspeaker array. Unlike
the former, the latter are closely related to the radiation efficiency of the
source and span the subspace of the directivities it can produce. However, the
radiation modes depend on frequency for arbitrary distributions of transducers on
the sphere, which yields complex directivity filters. This work focuses on the
most common loudspeaker array configurations, those following the regular shape
of the Platonic solids. It is shown that the radiation modes of these sources are
frequency independent, and simple algebraic expressions are derived for their
radiation efficiencies. In addition, since such modes are vibration patterns
driven by electrical signals, the transduction mechanism of compact multichannel
sources is also investigated, which is an important issue, especially if the
transducers interact inside a shared cabinet. For Platonic solid loudspeakers, it
is shown that the common enclosure does not lead to directivity filters that
depend on frequency.
PMID- 21895075
TI - A hybrid approach for predicting the distribution of vibro-acoustic energy in
complex built-up structures.
AB - Finding the distribution of vibro-acoustic energy in complex built-up structures
in the mid-to-high frequency regime is a difficult task. In particular,
structures with large variation of local wavelengths and/or characteristic scales
pose a challenge referred to as the mid-frequency problem. Standard numerical
methods such as the finite element method (FEM) scale with the local wavelength
and quickly become too large even for modern computer architectures. High
frequency techniques, such as statistical energy analysis (SEA), often miss
important information such as dominant resonance behavior due to stiff or small
scale parts of the structure. Hybrid methods circumvent this problem by coupling
FEM/BEM and SEA models in a given built-up structure. In the approach adopted
here, the whole system is split into a number of subsystems that are treated by
either FEM or SEA depending on the local wavelength. Subsystems with relative
long wavelengths are modeled using FEM. Making a diffuse field assumption for the
wave fields in the short wave length components, the coupling between subsystems
can be reduced to a weighted random field correlation function. The approach
presented results in an SEA-like set of linear equations that can be solved for
the mean energies in the short wavelength subsystems.
PMID- 21895076
TI - Soundscape evaluations in two critical healthcare settings with different
designs.
AB - Intensive care units (ICUs) have important but challenging sound environments.
Alarms and equipment generate high levels of noise and ICUs are typically
designed with hard surfaces. A poor sound environment can add to stress and make
auditory tasks more difficult for clinicians. However few studies have linked
more detailed analyses of the sound environment to nurse wellbeing and
performance. This study is aimed at understanding the relationships between
objective acoustic measures and self-reported nurse outcomes. Two 20-bed ICUs
with similar patient acuity and treatment models were tested: A recently built
neurological ICU and a 1980s-era medical-surgical ICU. The medical-surgical ICU
was perceived as louder, more annoying, and having a greater negative impact of
noise on work performance, health outcomes, and anxiety as compared to the
neurological ICU. Surprisingly, there were little differences between two ICU
sound environments based on traditional overall noise measures. The objective
differences between the occupied sound environments in the two units only emerged
through a more comprehensive analysis of the "occurrence rate" of peak and
maximum levels, frequency content, and the speech interference level.
Furthermore, mid-level transient sound occurrence rates were significantly and
positively correlated to perceived annoyance and loudness levels.
PMID- 21895077
TI - Multiobjective muffler shape optimization with hybrid acoustics modeling.
AB - This paper considers the combined use of a hybrid numerical method for the
modeling of acoustic mufflers and a genetic algorithm for multiobjective
optimization. The hybrid numerical method provides accurate modeling of sound
propagation in uniform waveguides with non-uniform obstructions. It is based on
coupling a wave based modal solution in the uniform sections of the waveguide to
a finite element solution in the non-uniform component. Finite element method
provides flexible modeling of complicated geometries, varying material
parameters, and boundary conditions, while the wave based solution leads to
accurate treatment of non-reflecting boundaries and straightforward computation
of the transmission loss (TL) of the muffler. The goal of optimization is to
maximize TL at multiple frequency ranges simultaneously by adjusting chosen shape
parameters of the muffler. This task is formulated as a multiobjective
optimization problem with the objectives depending on the solution of the
simulation model. NSGA-II genetic algorithm is used for solving the
multiobjective optimization problem. Genetic algorithms can be easily combined
with different simulation methods, and they are not sensitive to the smoothness
properties of the objective functions. Numerical experiments demonstrate the
accuracy and feasibility of the model-based optimization method in muffler
design.
PMID- 21895078
TI - Generalized acoustic energy density.
AB - The properties of acoustic kinetic energy density and total energy density of
sound fields in lightly damped enclosures have been explored thoroughly in the
literature. Their increased spatial uniformity makes them more favorable
measurement quantities for various applications than acoustic potential energy
density (or squared pressure), which is most often used. In this paper, a
generalized acoustic energy density (GED), will be introduced. It is defined by
introducing weighting factors into the formulation of total acoustic energy
density. With an additional degree of freedom, the GED can conform to the
traditional acoustic energy density quantities, or it can be optimized for
different applications. The properties of the GED will be explored in this paper
for individual room modes, a diffuse sound field, and a sound field below the
Schroeder frequency.
PMID- 21895079
TI - Numerical evidence of mixing in rooms using the free path temporal distribution.
AB - The ergodic propriety of a room has strong effects on its reverberation. If the
room is ergodic, the reverberation can be broken up in two steps: a deterministic
process followed by a stochastic one. The late reverberation can be then modeled
by a reverberation algorithm instead of more computationally consuming methods.
In this study, the free path temporal distribution obtained by ray-tracing is
used as an indicator of the room's mixing: the energetic average of the path
lengths is computed at each time step. Ergodic rooms are thus characterized by
rapidly convergent distributions. The free path value becomes independent of
time. On the other hand, path selection mechanism and orbits are observed in non
ergodic rooms. The transition time from the deterministic process to the
stochastic one is also studied through the evaluation of the room's time
constant. It is shown that its value depends only on the mean free path and the
boundaries scattering value. An empirical expression is obtained which agrees
well with simulations carried out in a concert hall. This transition time from a
deterministic model to a stochastic one can be used to speed up the acoustical
predictions and auralizations in ergodic rooms.
PMID- 21895080
TI - Propagation of acoustic waves in a one-dimensional macroscopically inhomogeneous
poroelastic material.
AB - Wave propagation in macroscopically inhomogeneous porous materials has received
much attention in recent years. The wave equation, derived from the alternative
formulation of Biot's theory of 1962, was reduced and solved recently in the case
of rigid frame inhomogeneous porous materials. This paper focuses on the solution
of the full wave equation in which the acoustic and the elastic properties of the
poroelastic material vary in one-dimension. The reflection coefficient of a one
dimensional macroscopically inhomogeneous porous material on a rigid backing is
obtained numerically using the state vector (or the so-called Stroh) formalism
and Peano series. This coefficient can then be used to straightforwardly
calculate the scattered field. To validate the method of resolution, results
obtained by the present method are compared to those calculated by the classical
transfer matrix method at both normal and oblique incidence and to experimental
measurements at normal incidence for a known two-layers porous material,
considered as a single inhomogeneous layer. Finally, discussion about the
absorption coefficient for various inhomogeneity profiles gives further
perspectives.
PMID- 21895081
TI - A survey of acoustic conditions in semi-open plan classrooms in the United
Kingdom.
AB - This paper reports the results of a large scale, detailed acoustic survey of 42
open plan classrooms of varying design in the UK each of which contained between
2 and 14 teaching areas or classbases. The objective survey procedure, which was
designed specifically for use in open plan classrooms, is described. The acoustic
measurements relating to speech intelligibility within a classbase, including
ambient noise level, intrusive noise level, speech to noise ratio, speech
transmission index, and reverberation time, are presented. The effects on speech
intelligibility of critical physical design variables, such as the number of
classbases within an open plan unit and the selection of acoustic finishes for
control of reverberation, are examined. This analysis enables limitations of open
plan classrooms to be discussed and acoustic design guidelines to be developed to
ensure good listening conditions. The types of teaching activity to provide
adequate acoustic conditions, plus the speech intelligibility requirements of
younger children, are also discussed.
PMID- 21895082
TI - Acceptable range of speech level in noisy sound fields for young adults and
elderly persons.
AB - The acceptable range of speech level as a function of background noise level was
investigated on the basis of word intelligibility scores and listening difficulty
ratings. In the present study, the acceptable range is defined as the range that
maximizes word intelligibility scores and simultaneously does not cause a
significant increase in listening difficulty ratings from the minimum ratings.
Listening tests with young adult and elderly listeners demonstrated the
following. (1) The acceptable range of speech level for elderly listeners
overlapped that for young listeners. (2) The lower limit of the acceptable speech
level for both young and elderly listeners was 65 dB (A-weighted) for noise
levels of 40 and 45 dB (A-weighted), a level with a speech-to-noise ratio of +15
dB for noise levels of 50 and 55 dB, and a level with a speech-to-noise ratio of
+10 dB for noise levels from 60 to 70 dB. (3) The upper limit of the acceptable
speech level for both young and elderly listeners was 80 dB for noise levels from
40 to 55 dB and 85 dB or above for noise levels from 55 to 70 dB.
PMID- 21895083
TI - Dynamical energy analysis for built-up acoustic systems at high frequencies.
AB - Standard methods for describing the intensity distribution of mechanical and
acoustic wave fields in the high frequency asymptotic limit are often based on
flow transport equations. Common techniques are statistical energy analysis,
employed mostly in the context of vibro-acoustics, and ray tracing, a popular
tool in architectural acoustics. Dynamical energy analysis makes it possible to
interpolate between standard statistical energy analysis and full ray tracing,
containing both of these methods as limiting cases. In this work a version of
dynamical energy analysis based on a Chebyshev basis expansion of the Perron
Frobenius operator governing the ray dynamics is introduced. It is shown that the
technique can efficiently deal with multi-component systems overcoming typical
geometrical limitations present in statistical energy analysis. Results are
compared with state-of-the-art hp-adaptive discontinuous Galerkin finite element
simulations.
PMID- 21895084
TI - Transient nearfield acoustic holography based on an interpolated time-domain
equivalent source method.
AB - Transient nearfield acoustic holography based on an interpolated time-domain
equivalent source method (ESM) is proposed to reconstruct transient acoustic
fields directly in the time domain. Since the equivalent source strengths solved
by the traditional time-domain ESM formulation cannot be used to reconstruct the
pressure on the source surface directly, an interpolation function is introduced
to develop an interpolated time-domain ESM formulation which permits one to
deduce an iterative reconstruction process. As the reconstruction process is ill
conditioned and especially there exists a cumulative effect of errors, the
Tikhonov regularization is used to stabilize the process. Numerical examples of
reconstructing transient acoustic fields from a baffled planar piston, an
impulsively accelerating sphere and a cube box, respectively, demonstrate that
the proposed method not only can effectively reconstruct transient acoustic
fields in the time domain, but also can visualize acoustic fields in the space
domain. And, in the first numerical example, the cumulative effect of errors and
the validity of using the Tikhonov regularization to suppress the errors are
described.
PMID- 21895085
TI - Fluid coupling in a discrete model of cochlear mechanics.
AB - A discrete model of cochlear mechanics is introduced that includes a full, three
dimensional, description of fluid coupling. This formulation allows the fluid
coupling and basilar membrane dynamics to be analyzed separately and then coupled
together with a simple piece of linear algebra. The fluid coupling is initially
analyzed using a wavenumber formulation and is separated into one component due
to one-dimensional fluid coupling and one comprising all the other contributions.
Using the theory of acoustic waves in a duct, however, these two components of
the pressure can also be associated with a far field, due to the plane wave, and
a near field, due to the evanescent, higher order, modes. The near field
components are then seen as one of a number of sources of additional longitudinal
coupling in the cochlea. The effects of non-uniformity and asymmetry in the fluid
chamber areas can also be taken into account, to predict both the pressure
difference between the chambers and the mean pressure. This allows the
calculation, for example, of the effect of a short cochlear implant on the
coupled response of the cochlea.
PMID- 21895086
TI - Modeling the eardrum as a string with distributed force.
AB - In this paper, an analytical model of the tympanic membrane is introduced where
the two-dimensional tympanic membrane is reduced to a one-dimensional string. It
is intended to bridge the gap between lumped-element models and finite-element
models. In contrast to known lumped-element models, the model takes the
distributed effect of the sound field on the tympanic membrane into account.
Compared to finite-element models, it retains the advantage of a low number of
parameters. The model is adjusted to forward and reverse transfer functions of
the guinea-pig middle ear. Although the fitting to experimental data is not
perfect, important conclusions can be drawn. For instance, the model shows that
the delay of surface waves on the tympanic membrane can be different from the
signal transmission delay of the tympanic membrane. In a similar vein, the
standing wave ratio on the tympanic membrane and within the ear canal can
considerably differ. Further, the model shows that even in a low-loss tympanic
membrane the effective area, which commonly is associated with the transformer
ratio in a lumped-element and some hybrid circuit models, not only is frequency
dependent, but also different for forward and reverse transduction.
PMID- 21895087
TI - A cocktail party model of spatial release from masking by both noise and speech
interferers.
AB - A mathematical formula for estimating spatial release from masking (SRM) in a
cocktail party environment would be useful as a simpler alternative to
computationally intensive algorithms and may enhance understanding of underlying
mechanisms. The experiment presented herein was designed to provide a strong test
of a model that divides SRM into contributions of asymmetry and angular
separation [Bronkhorst (2000). Acustica 86, 117-128] and to examine whether that
model can be extended to include speech maskers. Across masker types the
contribution to SRM of angular separation of maskers from the target was found to
grow at a diminishing rate as angular separation increased within the frontal
hemifield, contrary to predictions of the model. Speech maskers differed from
noise maskers in the overall magnitude of SRM and in the contribution of angular
separation (both greater for speech). These results were used to develop a
modified model that achieved good fits to data for noise maskers (rho=0.93) and
for speech maskers (rho=0.94) while using the same functions to describe
separation and asymmetry components of SRM for both masker types. These findings
suggest that this approach can be used to accurately model SRM for speech maskers
in addition to primarily "energetic" noise maskers.
PMID- 21895088
TI - Predicting speech intelligibility based on the signal-to-noise envelope power
ratio after modulation-frequency selective processing.
AB - A model for predicting the intelligibility of processed noisy speech is proposed.
The speech-based envelope power spectrum model has a similar structure as the
model of Ewert and Dau [(2000). J. Acoust. Soc. Am. 108, 1181-1196], developed to
account for modulation detection and masking data. The model estimates the speech
to-noise envelope power ratio, SNR(env), at the output of a modulation filterbank
and relates this metric to speech intelligibility using the concept of an ideal
observer. Predictions were compared to data on the intelligibility of speech
presented in stationary speech-shaped noise. The model was further tested in
conditions with noisy speech subjected to reverberation and spectral subtraction.
Good agreement between predictions and data was found in all cases. For spectral
subtraction, an analysis of the model's internal representation of the stimuli
revealed that the predicted decrease of intelligibility was caused by the
estimated noise envelope power exceeding that of the speech. The classical
concept of the speech transmission index fails in this condition. The results
strongly suggest that the signal-to-noise ratio at the output of a modulation
frequency selective process provides a key measure of speech intelligibility.
PMID- 21895089
TI - Auditory-nerve responses predict pitch attributes related to musical consonance
dissonance for normal and impaired hearing.
AB - Human listeners prefer consonant over dissonant musical intervals and the
perceived contrast between these classes is reduced with cochlear hearing loss.
Population-level activity of normal and impaired model auditory-nerve (AN) fibers
was examined to determine (1) if peripheral auditory neurons exhibit correlates
of consonance and dissonance and (2) if the reduced perceptual difference between
these qualities observed for hearing-impaired listeners can be explained by
impaired AN responses. In addition, acoustical correlates of consonance
dissonance were also explored including periodicity and roughness. Among the
chromatic pitch combinations of music, consonant intervals/chords yielded more
robust neural pitch-salience magnitudes (determined by harmonicity/periodicity)
than dissonant intervals/chords. In addition, AN pitch-salience magnitudes
correctly predicted the ordering of hierarchical pitch and chordal sonorities
described by Western music theory. Cochlear hearing impairment compressed pitch
salience estimates between consonant and dissonant pitch relationships. The
reduction in contrast of neural responses following cochlear hearing loss may
explain the inability of hearing-impaired listeners to distinguish musical qualia
as clearly as normal-hearing individuals. Of the neural and acoustic correlates
explored, AN pitch salience was the best predictor of behavioral data. Results
ultimately show that basic pitch relationships governing music are already
present in initial stages of neural processing at the AN level.
PMID- 21895090
TI - Perceived tonal continuity through two noise bursts separated by silence.
AB - Three experiments measured the perceived continuity of two pure tones "flankers"
through a masker containing a silence. Experiment 1 used a 2I-2AFC procedure; one
interval contained two noise bursts separated by a silent gap, and the other
contained two noise bursts separated by a tone of the same duration as the
silence. Discrimination between masker conditions was very accurate when the
flankers were absent but was impaired substantially when the flankers were
present. This was taken as evidence that illusory flanker continuity during the
silent gap was heard as similar to the physical presence of a tone in the gap. In
experiment 2, performance remained poor when the flankers were frequency glides
aligned along a common trajectory. Performance improved significantly when the
flankers were misaligned in trajectory. In experiment 3, listeners rated directly
perceived flanker continuity. Strong continuity was reported in the silent gap
conditions for which poor performance had been observed in experiments 1 and 2.
These findings show that continuity may be heard through a masker that cannot
mask a physically continuous tone but can mask the flankers' offset and onset.
The results are explained in terms of the perceptual grouping of onsets and
offsets of the flankers.
PMID- 21895091
TI - Effects of envelope shape on interaural envelope delay sensitivity in acoustic
and electric hearing.
AB - The envelope shape is important for the perception of interaural time difference
(ITD) in the envelope as supported by the improved sensitivity for transposed
tones compared to sinusoidally amplitude-modulated (SAM) tones. The present study
investigated the effects of specific envelope parameters in nine normal-hearing
(NH) and seven cochlear-implant (CI) listeners, using high-rate carriers with 27
Hz trapezoidal modulation. In NH listeners, increasing the off time (the silent
interval in each modulation cycle) up to 12 ms, increasing the envelope slope
from 6 to 8 dB/ms, and increasing the peak level improved ITD sensitivity. The
combined effect of the off time and slope accounts for the gain in sensitivity
for transposed tones relative to SAM tones. In CI listeners, increasing the off
time up to 20 ms improved sensitivity, but increasing the slope showed no
systematic effect. A 27-pulses/s electric pulse train, representing a special
case of modulation with infinitely steep slopes and maximum possible off time,
yielded considerably higher sensitivity compared to the best condition with
trapezoidal modulation. Overall, the results of this study indicate that envelope
ITD sensitivity could be improved by using CI processing schemes that
simultaneously increase the off time and the peak level of the signal envelope.
PMID- 21895092
TI - Effect of stimulus spectrum on distance perception for nearby sources.
AB - The effects of stimulus frequency and bandwidth on distance perception were
examined for nearby sources in simulated reverberant space. Sources to the side
[containing reverberation-related cues and interaural level difference (ILD)
cues] and to the front (without ILDs) were simulated. Listeners judged the
distance of noise bursts presented at a randomly roving level from simulated
distances ranging from 0.15 to 1.7 m. Six stimuli were tested, varying in center
frequency (300-5700 Hz) and bandwidth (200-5400 Hz). Performance, measured as the
correlation between simulated and response distances, was worse for frontal than
for lateral sources. For both simulated directions, performance was inversely
proportional to the low-frequency stimulus cutoff, independent of stimulus
bandwidth. The dependence of performance on frequency was stronger for frontal
sources. These correlation results were well summarized by considering how mean
response, as opposed to response variance, changed with stimulus direction and
spectrum: (1) little bias was observed for lateral sources, but listeners
consistently overestimated distance for frontal nearby sources; (2) for both
directions, increasing the low-frequency cut-off reduced the range of responses.
These results are consistent with the hypothesis that listeners used a direction
independent but frequency-dependent mapping of a reverberation-related cue, not
the ILD cue, to judge source distance.
PMID- 21895093
TI - Can basic auditory and cognitive measures predict hearing-impaired listeners'
localization and spatial speech recognition abilities?
AB - This study aimed to clarify the basic auditory and cognitive processes that
affect listeners' performance on two spatial listening tasks: sound localization
and speech recognition in spatially complex, multi-talker situations. Twenty
three elderly listeners with mild-to-moderate sensorineural hearing impairments
were tested on the two spatial listening tasks, a measure of monaural spectral
ripple discrimination, a measure of binaural temporal fine structure (TFS)
sensitivity, and two (visual) cognitive measures indexing working memory and
attention. All auditory test stimuli were spectrally shaped to restore (partial)
audibility for each listener on each listening task. Eight younger normal-hearing
listeners served as a control group. Data analyses revealed that the chosen
auditory and cognitive measures could predict neither sound localization accuracy
nor speech recognition when the target and maskers were separated along the front
back dimension. When the competing talkers were separated along the left-right
dimension, however, speech recognition performance was significantly correlated
with the attentional measure. Furthermore, supplementary analyses indicated
additional effects of binaural TFS sensitivity and average low-frequency hearing
thresholds. Altogether, these results are in support of the notion that both
bottom-up and top-down deficits are responsible for the impaired functioning of
elderly hearing-impaired listeners in cocktail party-like situations.
PMID- 21895094
TI - Discrimination between sequential and simultaneous virtual channels with
electrical hearing.
AB - In cochlear implants (CIs), simultaneous or sequential stimulation of adjacent
electrodes can produce intermediate pitch percepts between those of the component
electrodes. However, it is unclear whether simultaneous and sequential virtual
channels (VCs) can be discriminated. In this study, CI users were asked to
discriminate simultaneous and sequential VCs; discrimination was measured for
monopolar (MP) and bipolar + 1 stimulation (BP + 1), i.e., relatively broad and
focused stimulation modes. For sequential VCs, the interpulse interval (IPI)
varied between 0.0 and 1.8 ms. All stimuli were presented at comfortably loud,
loudness-balanced levels at a 250 pulse per second per electrode (ppse)
stimulation rate. On average, CI subjects were able to reliably discriminate
between sequential and simultaneous VCs. While there was no significant effect of
IPI or stimulation mode on VC discrimination, some subjects exhibited better VC
discrimination with BP + 1 stimulation. Subjects' discrimination between
sequential and simultaneous VCs was correlated with electrode discrimination,
suggesting that spatial selectivity may influence perception of sequential VCs.
To maintain equal loudness, sequential VC amplitudes were nearly double those of
simultaneous VCs, presumably resulting in a broader spread of excitation. These
results suggest that perceptual differences between simultaneous and sequential
VCs might be explained by differences in the spread of excitation.
PMID- 21895095
TI - Detection and rate discrimination of amplitude modulation in electrical hearing.
AB - Three experiments were designed to examine temporal envelope processing by
cochlear implant (CI) listeners. In experiment 1, the hypothesis that listeners'
modulation sensitivity would in part determine their ability to discriminate
between temporal modulation rates was examined. Temporal modulation transfer
functions (TMTFs) obtained in an amplitude modulation detection (AMD) task were
compared to threshold functions obtained in an amplitude modulation rate
discrimination (AMRD) task. Statistically significant nonlinear correlations were
observed between the two measures. In experiment 2, results of loudness-balancing
showed small increases in the loudness of modulated over unmodulated stimuli
beyond a modulation depth of 16%. Results of experiment 3 indicated small but
statistically significant effects of level-roving on the overall gain of the
TMTF, but no impact of level-roving on the average shape of the TMTF across
subjects. This suggested that level-roving simply increased the task difficulty
for most listeners, but did not indicate increased use of intensity cues under
more challenging conditions. Data obtained with one subject, however, suggested
that the most sensitive listeners may derive some benefit from intensity cues in
these tasks. Overall, results indicated that intensity cues did not play an
important role in temporal envelope processing by the average CI listener.
PMID- 21895096
TI - Gain-induced speech distortions and the absence of intelligibility benefit with
existing noise-reduction algorithms.
AB - Most noise-reduction algorithms used in hearing aids apply a gain to the noisy
envelopes to reduce noise interference. The present study assesses the impact of
two types of speech distortion introduced by noise-suppressive gain functions:
amplification distortion occurring when the amplitude of the target signal is
over-estimated, and attenuation distortion occurring when the target amplitude is
under-estimated. Sentences corrupted by steady noise and competing talker were
processed through a noise-reduction algorithm and synthesized to contain either
amplification distortion, attenuation distortion or both. The attenuation
distortion was found to have a minimal effect on speech intelligibility. In fact,
substantial improvements (>80 percentage points) in intelligibility, relative to
noise-corrupted speech, were obtained when the processed sentences contained only
attenuation distortion. When the amplification distortion was limited to be
smaller than 6 dB, performance was nearly unaffected in the steady-noise
conditions, but was severely degraded in the competing-talker conditions.
Overall, the present data suggest that one reason that existing algorithms do not
improve speech intelligibility is because they allow amplification distortions in
excess of 6 dB. These distortions are shown in this study to be always associated
with masker-dominated envelopes and should thus be eliminated.
PMID- 21895097
TI - Phonation threshold pressure: comparison of calculations and measurements taken
with physical models of the vocal fold mucosa.
AB - In an important paper on the physics of small amplitude oscillations, Titze
showed that the essence of the vertical phase difference, which allows energy to
be transferred from the flowing air to the motion of the vocal folds, could be
captured in a surface wave model, and he derived a formula for the phonation
threshold pressure with an explicit dependence on the geometrical and
biomechanical properties of the vocal folds. The formula inspired a series of
experiments [e.g., R. Chan and I. Titze, J. Acoust. Soc. Am 119, 2351-2362
(2006)]. Although the experiments support many aspects of Titze's formula,
including a linear dependence on the glottal half-width, the behavior of the
experiments at the smallest values of this parameter is not consistent with the
formula. It is shown that a key element for removing this discrepancy lies in a
careful examination of the properties of the entrance loss coefficient. In
particular, measurements of the entrance loss coefficient at small widths done
with a physical model of the glottis (M5) show that this coefficient varies
inversely with the glottal width. A numerical solution of the time-dependent
equations of the surface wave model shows that adding a supraglottal vocal tract
lowers the phonation threshold pressure by an amount approximately consistent
with Chan and Titze's experiments.
PMID- 21895098
TI - Phonetic variability of stops and flaps in spontaneous and careful speech.
AB - Variability is perhaps the most notable characteristic of speech, and it is
particularly noticeable in spontaneous conversational speech. The current
research examines how speakers realize the American English stops /p, k, b, g/
and flaps (r from /t, d/), in casual conversation and in careful speech. Target
consonants appear after stressed syllables (e.g., "lobby") or between unstressed
syllables (e.g., "humanity"), in one of six segmental/word-boundary environments.
This work documents the degree and types of variability listeners encounter and
must parse. Findings show greater reduction in connected and spontaneous speech,
greater reduction in high frequency phrases (but not within high frequency
words), and greater reduction between unstressed syllables than after a stress.
Although highly reduced productions of stops and flaps occur often, with
approximant-like tokens even in careful speech, reduction does not lead to a
large amount of overlap between phonological categories. Approximant-like
realizations of expected stops and flaps in some conditions constitute the
majority of tokens. This shows that reduced speech is something that listeners
encounter, and must perceive, in a large proportion of the speech they hear.
PMID- 21895099
TI - Verification of two minimally invasive methods for the estimation of the contact
pressure in human vocal folds during phonation.
AB - The contact pressure on the vocal fold surface during high pitch or amplitude
voice production is believed to be one major source of phonotrauma. Models for
the quantitative estimate of the contact pressure may be valuable for prevention
and treatment. Various indirect and minimally invasive approaches have been
purported to estimate contact pressure. But the accuracy of these methods has not
yet been objectively verified in controlled laboratory settings. In the present
study, two indirect approaches for the estimation of the contact pressure were
investigated. One is based on a Hertzian impact model, and the other on a finite
element model. A probe microphone was used for direct measurements of the contact
pressure and verifications of the indirect approaches. A silicone replica of
human vocal folds was used as a test bed. Consistent contact pressure estimations
were obtained using all three methods. The advantages and disadvantages of each
approach for eventual clinical applications are described.
PMID- 21895100
TI - Formant onsets and formant transitions as developmental cues to vowel perception.
AB - The purpose of this study was to determine whether children give more perceptual
weight than do adults to dynamic spectral cues versus static cues. Listeners were
10 children between the ages of 3;8 and 4;1 (mean 3;11) and ten adults between
the ages of 23;10 and 32;0 (mean 25;11). Three experimental stimulus conditions
were presented, with each containing stimuli of 30 ms duration. The first
experimental condition consisted of unchanging formant onset frequencies ranging
in value from frequencies for [i] to those for [a], appropriate for a bilabial
stop consonant context. The second two experimental conditions consisted of
either an [i] or [a] onset frequency with a 25 ms portion of a formant transition
whose trajectory was toward one of a series of target frequencies ranging from
those for [i] to those for [a]. Results indicated that the children attended
differently than the adults on both the [a] and [i] formant onset frequency cue
to identify the vowels. The adults gave more equal weight to the [i]-onset and
[a]-onset dynamic cues as reflected in category boundaries than the children did.
For the [i]-onset condition, children were not as confident compared to adults in
vowel perception, as reflected in slope analyses.
PMID- 21895101
TI - Perception of intrusive /r/ in English by native, cross-language and cross
dialect listeners.
AB - In sequences such as law and order, speakers of British English often insert /r/
between law and and. Acoustic analyses revealed such "intrusive" /r/ to be
significantly shorter than canonical /r/. In a 2AFC experiment, native listeners
heard British English sentences in which /r/ duration was manipulated across a
word boundary [e.g., saw (r)ice], and orthographic and semantic factors were
varied. These listeners responded categorically on the basis of acoustic evidence
for /r/ alone, reporting ice after short /r/s, rice after long /r/s; orthographic
and semantic factors had no effect. Dutch listeners proficient in English who
heard the same materials relied less on durational cues than the native
listeners, and were affected by both orthography and semantic bias. American
English listeners produced intermediate responses to the same materials, being
sensitive to duration (less so than native, more so than Dutch listeners), and to
orthography (less so than the Dutch), but insensitive to the semantic
manipulation. Listeners from language communities without common use of intrusive
/r/ may thus interpret intrusive /r/ as canonical /r/, with a language difference
increasing this propensity more than a dialect difference. Native listeners,
however, efficiently distinguish intrusive from canonical /r/ by exploiting the
relevant acoustic variation.
PMID- 21895102
TI - Second-language experience and speech-in-noise recognition: effects of talker
listener accent similarity.
AB - Previous work has shown that the intelligibility of speech in noise is degraded
if the speaker and listener differ in accent, in particular when there is a
disparity between native (L1) and nonnative (L2) accents. This study investigated
how this talker-listener interaction is modulated by L2 experience and accent
similarity. L1 Southern British English, L1 French listeners with varying L2
English experience, and French-English bilinguals were tested on the recognition
of English sentences mixed in speech-shaped noise that was spoken with a range of
accents (French, Korean, Northern Irish, and Southern British English). The
results demonstrated clear interactions of accent and experience, with the least
experienced French speakers being most accurate with French-accented English, but
more experienced listeners being most accurate with L1 Southern British English
accents. An acoustic similarity metric was applied to the speech productions of
the talkers and the listeners, and significant correlations were obtained between
accent similarity and sentence intelligibility for pairs of individuals. Overall,
the results suggest that L2 experience affects talker-listener accent
interactions, altering both the intelligibility of different accents and the
selectivity of accent processing.
PMID- 21895103
TI - Sizing up the competition: quantifying the influence of the mental lexicon on
auditory and visual spoken word recognition.
AB - Much research has explored how spoken word recognition is influenced by the
architecture and dynamics of the mental lexicon (e.g., Luce and Pisoni, 1998;
McClelland and Elman, 1986). A more recent question is whether the processes
underlying word recognition are unique to the auditory domain, or whether
visually perceived (lipread) speech may also be sensitive to the structure of the
mental lexicon (Auer, 2002; Mattys, Bernstein, and Auer, 2002). The current
research was designed to test the hypothesis that both aurally and visually
perceived spoken words are isolated in the mental lexicon as a function of their
modality-specific perceptual similarity to other words. Lexical competition (the
extent to which perceptually similar words influence recognition of a stimulus
word) was quantified using metrics that are well-established in the literature,
as well as a statistical method for calculating perceptual confusability based on
the phi-square statistic. Both auditory and visual spoken word recognition were
influenced by modality-specific lexical competition as well as stimulus word
frequency. These findings extend the scope of activation-competition models of
spoken word recognition and reinforce the hypothesis (Auer, 2002; Mattys et al.,
2002) that perceptual and cognitive properties underlying spoken word recognition
are not specific to the auditory domain. In addition, the results support the use
of the phi-square statistic as a better predictor of lexical competition than
metrics currently used in models of spoken word recognition.
PMID- 21895104
TI - Acoustic analysis and mood classification of pain-relieving music.
AB - Listening to preferred music (that which is chosen by the participant) has been
shown to be effective in mitigating the effects of pain when compared to silence
and a variety of distraction techniques. The wide range of genre, tempo, and
structure in music chosen by participants in studies utilizing experimentally
induced pain has led to the assertion that structure does not play a significant
role, rather listening to preferred music renders the music "functionally
equivalent" as regards its effect upon pain perception. This study addresses this
assumption and performs detailed analysis of a selection of music chosen from
three pain studies. Music analysis showed significant correlation between timbral
and tonal aspects of music and measurements of pain tolerance and perceived pain
intensity. Mood classification was performed using a hierarchical Gaussian
Mixture Model, which indicated the majority of the chosen music expressed
contentment. The results suggest that in addition to personal preference,
associations with music and the listening context, emotion expressed by music, as
defined by its acoustical content, is important to enhancing emotional engagement
with music and therefore enhances the level of pain reduction and tolerance.
PMID- 21895105
TI - Vibrato rate and extent in soprano voice: a survey on one century of singing.
AB - This work presents a statistical study of vibrato parameters in soprano voices.
More than one hundred recordings of the same tone sung by 75 artists have been
analyzed. Vibrato rate and extent, tone length and intonation, together with
their correlations are the main parameters under examination. The study shows a
clear decrease of the mean vibrato rate during the last century (-1.8+/-0.3
Hz/century), together with an increase of vibrato extent (56.4+/
0.3cent/century). Vibrato rate and extent show a statistically significant
negative correlation (r=-0.62). Vibrato rate increase near the end of the tone
has been observed too, in agreement with previous measurements, together with a
mean increase of the pitch of the tone. A small positive correlation has been
also found among note duration and vibrato extent.
PMID- 21895106
TI - Reconstruction of the signal produced by a directional sound source from remote
multi-microphone recordings.
AB - A mathematical method for reconstructing the signal produced by a directional
sound source from knowledge of the same signal in the far field, i.e., microphone
recordings, is developed. The key idea is to compute inverse filters that
compensate for the directional filtering of the signal by the sound source
directivity, using a least-square error optimization strategy. Previous work
pointed out how the method strongly depends on arrival times of signal in the
microphone recordings. Two strategies are used in this paper for calculating the
time shifts that are afterward taken as inputs, together with source directivity,
for the reconstruction. The method has been tested in a laboratory environment,
where ground truth was available, with a Polaroid transducer as source. The
reconstructions are similar with both strategies. The performance of the method
also depends on source orientation.
PMID- 21895107
TI - Vocal cues indicate level of arousal in infant African elephant roars.
AB - Arousal-based physiological changes influence acoustic features of vocalizations
in mammals. In particular, nonlinear phenomena are thought to convey information
about the caller's arousal state. This hypothesis was tested in the infant
African elephant (Loxodonta africana) roar, a call type produced in situations of
arousal and distress. Ninety-two percent of roars exhibited nonlinear phenomena,
with chaos being the most common type. Acoustic irregularities were strongly
associated with elevated fundamental frequency values. Roars produced in
situations of highest urgency, based on the occurrence of behavioral indicators
of arousal, were characterized by the lowest harmonics-to-noise ratio; this
indicates low tonality. In addition, roars produced in these situations lasted
longer than those produced in contexts of lower presumed urgency. Testing the
infant roars for individual distinctiveness revealed only a moderate
classification result. Combined, these findings indicate that infant African
elephant roars primarily function to signal the caller's arousal state. The
effective communication of this type of information may allow mothers to respond
differentially based on their infant's degree of need and may be crucial for the
survival of infant African elephants in their natural environment.
PMID- 21895108
TI - Interaction of emitted sonar pulses and simulated echoes in a false killer whale:
an evoked-potential study.
AB - Auditory evoked potentials (AEP) were recorded during echolocation in a false
killer whale Pseudorca crassidens. An electronically synthesized and played-back
(simulated) echo was triggered by an emitted biosonar pulse, and its intensity
was proportional to that of the emitted click. The delay and transfer factor of
the echo relative to the emitted click was controlled by the operator. The echo
delay varied from 2 to 16 ms (by two-fold steps), and the transfer factor varied
within ranges from -45 to -30 dB at the 2-ms delay to -60 to -45 dB at the 16-ms
delay. Echo-related AEPs featured amplitude dependence both on echo delay at a
constant transfer factor (the longer the delay, the higher amplitude) and on echo
transfer factor at a constant delay (the higher transfer factor, the higher
amplitude). Conjunctional variation of the echo transfer factor and delay kept
the AEP amplitude constant when the delay to transfer factor trade was from -7.1
to -8.4 dB per delay doubling. The results confirm the hypothesis that partial
forward masking of the echoes by the preceding emitted sonar pulses serves as a
time-varying automatic gain control in the auditory system of echolocating
odontocetes.
PMID- 21895109
TI - High-resolution imaging without iteration: a fast and robust method for breast
ultrasound tomography.
AB - Breast ultrasound tomography has the potential to improve the cost, safety, and
reliability of breast cancer screening and diagnosis over the gold-standard of
mammography. Vital to achieving this potential is the development of imaging
algorithms to unravel the complex anatomy of the breast and its mechanical
properties. The solution most commonly relied upon is time-of-flight tomography,
but this exhibits low resolution due to the presence of diffraction effects.
Iterative full-wave inversion methods present one solution to achieve higher
resolution, but these are slow and are not guaranteed to converge to the correct
solution. Presented here is HARBUT, the hybrid algorithm for robust breast
ultrasound tomography, which utilizes the complementary strengths of time-of
flight and diffraction tomography resulting in a direct, fast, robust and
accurate high resolution method of reconstructing the sound speed through the
breast. The algorithm is shown to produce accurate reconstructions with realistic
data from a complex three-dimensional simulation, with masses as small as 4 mm
being clearly visible.
PMID- 21895147
TI - Communication: uncovering molecule-TiO2 interactions with nonlinear spectroscopy.
AB - Femtosecond transient grating experiments are used to investigate electronic
structures and transport mechanisms in dye-sensitized nanocrystalline TiO(2)
films. This study examines two molecular sensitizers spanning the weak (a
phosphonated Ruthenium complex) and strong (catechol) molecule-TiO(2) coupling
regimes. It is shown that strong molecule-TiO(2) interactions give rise to
photoinduced vibrational coherences at the interface between species. We suggest
that the amplitudes of these coherences reflect the molecule-TiO(2) coupling
strength and signify the delocalization of excited state wavefunctions.
PMID- 21895110
TI - Range discrimination in ultrasonic vibrometry: theory and experiment.
AB - A technique has been developed to demodulate periodic broadband ultrasonic
interrogation signals that are returned from multiple scattering sites to
simultaneously determine the low-frequency displacement time histories of each
individual site. The technique employs a broadband periodic transmit signal. The
motions of scattering sites are separately determined from the echoed receive
signal by an algorithm involving comb filtering and pulse synthesis. This
algorithm permits spatial resolution comparable to pulse-echo techniques and
displacement sensitivities comparable to pure-tone techniques. A system based on
this technique was used to image transient audio-frequency displacements on the
order of 1-10 MUm peak (>= 50 nm/?Hz) that were produced by propagating shear
waves in a tissue phantom. The system used concentric transmitting and receiving
transducers and a carrier signal centered at 2.5 MHz with an 800 kHz bandwidth.
The system was self-noise-limited and capable of detecting motions of strongly
reflecting regions on the order of 1 nm/?Hz. System performance is limited by
several factors including signal selection, component hardware, and ultrasonic
propagation within the media of interest.
PMID- 21895148
TI - Communication: highly accurate ozone formation potential and implications for
kinetics.
AB - Atmospheric ozone is formed by the O + O(2) exchange reaction followed by
collisional stabilization of the O(3)(*) intermediate. The dynamics of the O +
O(2) reaction and to a lesser extent the O(3) stabilization depend sensitively on
the underlying potential energy surface, particularly in the asymptotic region.
Highly accurate Davidson corrected multi-state multi-reference configuration
interaction calculations reported here reveal that the minimal energy path for
the formation of O(3) from O + O(2) is a monotonically decaying function of the
atom-diatom distance and contains no "reef" feature found in previous ab initio
calculations. The absence of a submerged barrier leads to an exchange rate
constant with the correct temperature dependence and is in better agreement with
experiment, as shown by quantum scattering calculations.
PMID- 21895149
TI - Communication: a density functional with accurate fractional-charge and
fractional-spin behaviour for s-electrons.
AB - We develop a new density-functional approach combining physical insight from
chemical structure with treatment of multi-reference character by real-space
modeling of the exchange-correlation hole. We are able to recover, for the first
time, correct fractional-charge and fractional-spin behaviour for atoms of groups
1 and 2. Based on Becke's non-dynamical correlation functional [A. D. Becke, J.
Chem. Phys. 119, 2972 (2003)] and explicitly accounting for core-valence
separation and pairing effects, this method is able to accurately describe
dissociation and strong correlation in s-shell many-electron systems.
PMID- 21895150
TI - Communication: imaging wavefunctions in dissociative photoionization.
AB - The dissociative ionization dynamics of excited electronic states of the xenon
dimer, Xe(2), have been studied using velocity map ion imaging (VMI). A one
colour, (2+1) resonant excitation scheme was employed to first excite and then
ionize selected vibrational levels of the Xe(2) 6p (2)[(1)/(2)](0) 0(g)(+)
Rydberg state. Cationic fragments were then detected by the VMI. The data provide
an outstanding example of the reflection principle in photodissociation with the
full nodal structure of the Rydberg state wavefunctions clearly observed in the
final Xe(+) kinetic energy distributions without the need for scanning the
excitation energy. Fitting of the observed distributions provides detailed and
precise information on the form of the Xe(2)(+) I((1)/(2)g) potential energy
curve involved which is in excellent agreement with the results of photoelectron
imaging studies [Shubert and Pratt, J. Chem. Phys. 134, 044315 (2011)].
Furthermore, the anisotropy of the product angular distributions yields
information on the evolution of the electronic character of the ionic state with
internuclear separation, R. The combination of the nature of dissociative
ionization and the extent of the bound state wavefunctions provide information
over an unusually wide range of internuclear separation R (DeltaR > 0.75 A). This
would normally require scanning over a considerable energy region but is obtained
in these studies at a fixed excitation energy.
PMID- 21895151
TI - Communication: efficient counterpoise corrections by a perturbative approach.
AB - We investigate the use of Hartree-Fock and density functional perturbative
corrections for estimating the counterpoise correction (CPC) for interaction
energies at the self-consistent field level. We test our approach using several
popular basis sets on the S22 set of weakly bound systems, which can exhibit
large basis set superposition errors. Our results show that the perturbative
approaches typically recover over 95% of the CPC and can be up to twelve times
faster to compute than the conventional methods and therefore provide an
attractive alternative to calculating CPCs in the conventional way.
PMID- 21895152
TI - Communication: extended multi-state complete active space second-order
perturbation theory: energy and nuclear gradients.
AB - The extended multireference quasi-degenerate perturbation theory, proposed by
Granovsky [J. Chem. Phys. 134, 214113 (2011)], is combined with internally
contracted multi-state complete active space second-order perturbation theory
(XMS-CASPT2). The first-order wavefunction is expanded in terms of the union of
internally contracted basis functions generated from all the reference functions,
which guarantees invariance of the theory with respect to unitary rotations of
the reference functions. The method yields improved potentials in the vicinity of
avoided crossings and conical intersections. The theory for computing nuclear
energy gradients for MS-CASPT2 and XMS-CASPT2 is also presented and the first
implementation of these gradient methods is reported. A number of illustrative
applications of the new methods are presented.
PMID- 21895153
TI - Reciprocity in the degeneracies of some tetra-atomic molecular ions.
AB - Various ab initio computations, as, e.g., in G. J. Halasz and A. Vibok, Int. J.
Quantum Chem. 111, 342 (2011), have shown that in molecules of the type
(HCCH)(+), when the extremal H atoms are distorted from a linear form but
maintain a planar geometry, a pair of conical intersections (ci) occur at such
positions that the ratios of the distortional coordinates of the two atoms are in
the two ci's reciprocals of each other. These computations have here been
extended to locate the ci's also for HCNH. The two groups of results are
explained by simple analytic perturbational expressions for the energy
differences of the lowest adjacent electronic states, with inclusion of excited
state effects.
PMID- 21895154
TI - An orbital-invariant and strictly size extensive post-Hartree-Fock correlation
functional.
AB - A strictly size extensive post-Hartree-Fock correlation functional being
invariant with respect to orbital transformations within the occupied and virtual
subspaces is presented. While avoiding the necessity to solve additional Z vector
equations for the calculation of properties and energy gradients, this functional
reproduces almost exactly the results of coupled-cluster singles doubles (CCSD)
calculations. In particular, it is demonstrated that the method is rigorous in
the sense that it can be systematically improved by the perturbative inclusion of
triple excitations in the same way as CCSD. As to the computational cost, the
presented approach is somewhat more expensive than the CCSD if the energy is
variationally optimized with respect to both the orbitals and the excitation
amplitudes. Replacement of orbital optimization by the Brueckner condition
reduces the computational cost by a factor of two, thus making the method less
expensive than CCSD.
PMID- 21895155
TI - How accurate are the nonlinear chemical Fokker-Planck and chemical Langevin
equations?
AB - The chemical Fokker-Planck equation and the corresponding chemical Langevin
equation are commonly used approximations of the chemical master equation. These
equations are derived from an uncontrolled, second-order truncation of the
Kramers-Moyal expansion of the chemical master equation and hence their accuracy
remains to be clarified. We use the system-size expansion to show that chemical
Fokker-Planck estimates of the mean concentrations and of the variance of the
concentration fluctuations about the mean are accurate to order Omega(-3/2) for
reaction systems which do not obey detailed balance and at least accurate to
order Omega(-2) for systems obeying detailed balance, where Omega is the
characteristic size of the system. Hence, the chemical Fokker-Planck equation
turns out to be more accurate than the linear-noise approximation of the chemical
master equation (the linear Fokker-Planck equation) which leads to mean
concentration estimates accurate to order Omega(-1/2) and variance estimates
accurate to order Omega(-3/2). This higher accuracy is particularly conspicuous
for chemical systems realized in small volumes such as biochemical reactions
inside cells. A formula is also obtained for the approximate size of the relative
errors in the concentration and variance predictions of the chemical Fokker
Planck equation, where the relative error is defined as the difference between
the predictions of the chemical Fokker-Planck equation and the master equation
divided by the prediction of the master equation. For dimerization and enzyme
catalyzed reactions, the errors are typically less than few percent even when the
steady-state is characterized by merely few tens of molecules.
PMID- 21895156
TI - Breaking the carbon dimer: the challenges of multiple bond dissociation with full
configuration interaction quantum Monte Carlo methods.
AB - The full configuration interaction quantum Monte Carlo (FCIQMC) method, as well
as its "initiator" extension (i-FCIQMC), is used to tackle the complex electronic
structure of the carbon dimer across the entire dissociation reaction coordinate,
as a prototypical example of a strongly correlated molecular system. Various
basis sets of increasing size up to the large cc-pVQZ are used, spanning a fully
accessible N-electron basis of over 10(12) Slater determinants, and the accuracy
of the method is demonstrated in each basis set. Convergence to the FCI limit is
achieved in the largest basis with only O[10(7)] walkers within random errorbars
of a few tenths of a millihartree across the binding curve, and extensive
comparisons to FCI, CCSD(T), MRCI, and CEEIS results are made where possible. A
detailed exposition of the convergence properties of the FCIQMC methods is
provided, considering convergence with elapsed imaginary time, number of walkers
and size of the basis. Various symmetries which can be incorporated into the
stochastic dynamic, beyond the standard abelian point group symmetry and spin
polarisation are also described. These can have significant benefit to the
computational effort of the calculations, as well as the ability to converge to
various excited states. The results presented demonstrate a new benchmark
accuracy in basis-set energies for systems of this size, significantly improving
on previous state of the art estimates.
PMID- 21895157
TI - An approximate density-functional method using the Harris-Foulkes functional.
AB - We present a method which uses the results of a molecular Kohn-Sham calculation
at a reference geometry to approximate the energy at many different geometries.
The Kohn-Sham electron density of the reference geometry is decomposed into
atomic fragments, which move with the nuclei to approximate the density at a new
geometry and the energy is evaluated with the Harris-Foulkes functional.
Preliminary results for a biological quantum-mechanics/molecular-mechanics
trajectory are promising: the errors of reference-geometry Harris-Foulkes
(compared to full self-consistent Kohn-Sham) for the PBE exchange-correlation
functional have the same magnitude as the difference between the energies of PBE
and BLYP.
PMID- 21895158
TI - On the accuracy of the state space restriction approximation for spin dynamics
simulations.
AB - We present an algebraic foundation for the state space restriction approximation
in spin dynamics simulations and derive applicability criteria as well as minimal
basis set requirements for practically encountered simulation tasks. The results
are illustrated with nuclear magnetic resonance (NMR), electron spin resonance
(ESR), dynamic nuclear polarization (DNP), and spin chemistry simulations. It is
demonstrated that state space restriction yields accurate results in systems
where the time scale of spin relaxation processes approximately matches the time
scale of the experiment. Rigorous error bounds and basis set requirements are
derived.
PMID- 21895159
TI - Incorporation of charge transfer into the explicit polarization fragment method
by grand canonical density functional theory.
AB - Molecular fragmentation algorithms provide a powerful approach to extending
electronic structure methods to very large systems. Here we present a method for
including charge transfer between molecular fragments in the explicit
polarization (X-Pol) fragment method for calculating potential energy surfaces.
In the conventional X-Pol method, the total charge of each fragment is preserved,
and charge transfer between fragments is not allowed. The description of charge
transfer is made possible by treating each fragment as an open system with
respect to the number of electrons. To achieve this, we applied Mermin's finite
temperature method to the X-Pol wave function. In the application of this method
to X-Pol, the fragments are open systems that partially equilibrate their number
of electrons through a quasithermodynamics electron reservoir. The number of
electrons in a given fragment can take a fractional value, and the electrons of
each fragment obey the Fermi-Dirac distribution. The equilibrium state for the
electrons is determined by electronegativity equalization with conservation of
the total number of electrons. The amount of charge transfer is controlled by re
interpreting the temperature parameter in the Fermi-Dirac distribution function
as a coupling strength parameter. We determined this coupling parameter so as to
reproduce the charge transfer energy obtained by block localized energy
decomposition analysis. We apply the new method to ten systems, and we show that
it can yield reasonable approximations to potential energy profiles, to charge
transfer stabilization energies, and to the direction and amount of charge
transferred.
PMID- 21895160
TI - The theoretical current-voltage dependence of a non-degenerate disordered organic
material obtained with conductive atomic force microscopy.
AB - We develop a simple continuum model for the current voltage characteristics of a
material as measured by the conducting atomic force microscopy, including space
charge effects. We address the effect of the point contact on the magnitude of
the current and on the transition voltages between the different current regimes
by comparing these with the corresponding expressions obtained with planar
electrodes.
PMID- 21895161
TI - Using the charge-stabilization technique in the double ionization potential
equation-of-motion calculations with dianion references.
AB - The charge-stabilization method is applied to double ionization potential
equation-of-motion (EOM-DIP) calculations to stabilize unstable dianion reference
functions. The auto-ionizing character of the dianionic reference states spoils
the numeric performance of EOM-DIP limiting applications of this method. We
demonstrate that reliable excitation energies can be computed by EOM-DIP using a
stabilized resonance wave function instead of the lowest energy solution
corresponding to the neutral + free electron(s) state of the system. The details
of charge-stabilization procedure are discussed and illustrated by examples. The
choice of optimal stabilizing Coulomb potential, which is strong enough to
stabilize the dianion reference, yet, minimally perturbs the target states of the
neutral, is the crux of the approach. Two algorithms of choosing optimal
parameters of the stabilization potential are presented. One is based on the
orbital energies, and another--on the basis set dependence of the total Hartree
Fock energy of the reference. Our benchmark calculations of the singlet-triplet
energy gaps in several diradicals show a remarkable improvement of the EOM-DIP
accuracy in problematic cases. Overall, the excitation energies in diradicals
computed using the stabilized EOM-DIP are within 0.2 eV from the reference EOM
spin-flip values.
PMID- 21895162
TI - Least constraint approach to the extraction of internal motions from molecular
dynamics trajectories of flexible macromolecules.
AB - We propose a rigorous method for removing rigid-body motions from a given
molecular dynamics trajectory of a flexible macromolecule. The method becomes
exact in the limit of an infinitesimally small sampling step for the input
trajectory. In a recent paper [G. Kneller, J. Chem. Phys. 128, 194101 (2008)],
one of us showed that virtual internal atomic displacements for small time
increments can be derived from Gauss' principle of least constraint, which leads
to a rotational superposition problem for the atomic coordinates in two
consecutive time frames of the input trajectory. Here, we demonstrate that the
accumulation of these displacements in a molecular-fixed frame, which evolves in
time according to the virtual rigid-body motions, leads to the desired trajectory
for internal motions. The atomic coordinates in the input and output trajectory
are related by a roto-translation, which guarantees that the internal energy of
the molecule is left invariant. We present a convenient implementation of our
method, in which the accumulation of the internal displacements is performed
implicitly. Two numerical examples illustrate the difference to the classical
approach for removing macromolecular rigid-body motions, which consists of
aligning its configurations in the input trajectory with a fixed reference
structure.
PMID- 21895163
TI - Extension of the invariant environment refinement technique + reverse Monte Carlo
method of structural modelling for interpreting experimental structure factors:
the cases of amorphous silicon, phosphorus, and liquid argon.
AB - The invariant environment refinement technique, as applied to reverse Monte Carlo
modelling [invariant environment refinement technique + reverse Monte Carlo
(INVERT + RMC); M. J. Cliffe, M. T. Dove, D. A. Drabold, and A. L. Goodwin, Phys.
Rev. Lett. 104, 125501 (2010)], is extended so that it is now applicable for
interpreting the structure factor (instead of the pair distribution function).
The new algorithm, called the local invariance calculation, is presented by the
examples of amorphous silicon, phosphorus, and liquid argon. As a measure of the
effectiveness of the new algorithm, the ratio of exactly fourfold coordinated Si
atoms was larger than obtained previously by the INVERT-RMC scheme.
PMID- 21895164
TI - Calculation of multiple initial state selected reaction probabilities from
Chebyshev flux-flux correlation functions: influence of reactant internal
excitations on H + H2O -> OH + H2.
AB - A Chebyshev-based flux-flux correlation function approach is introduced for
calculating multiple initial state selected reaction probabilities for
bimolecular reactions. Based on the quantum transition-state theory, this
approach propagates, with the exact Chebyshev propagator, transition-state wave
packets towards the reactant asymptote. It is accurate and efficient if many
initial state selected reaction probabilities are needed. This approach is
applied to the title reaction to elucidate the influence of the H(2)O ro
vibrational states on its reactivity. Results from several potential energy
surfaces are compared.
PMID- 21895165
TI - Pair correlation function integrals: computation and use.
AB - We describe a method for extending radial distribution functions obtained from
molecular simulations of pure and mixed molecular fluids to arbitrary distances.
The method allows total correlation function integrals to be reliably calculated
from simulations of relatively small systems. The long-distance behavior of
radial distribution functions is determined by requiring that the corresponding
direct correlation functions follow certain approximations at long distances. We
have briefly described the method and tested its performance in previous
communications [R. Wedberg, J. P. O'Connell, G. H. Peters, and J. Abildskov, Mol.
Simul. 36, 1243 (2010); Fluid Phase Equilib. 302, 32 (2011)], but describe here
its theoretical basis more thoroughly and derive long-distance approximations for
the direct correlation functions. We describe the numerical implementation of the
method in detail, and report numerical tests complementing previous results. Pure
molecular fluids are here studied in the isothermal-isobaric ensemble with
isothermal compressibilities evaluated from the total correlation function
integrals and compared with values derived from volume fluctuations. For systems
where the radial distribution function has structure beyond the sampling limit
imposed by the system size, the integration is more reliable, and usually more
accurate, than simple integral truncation.
PMID- 21895166
TI - Analytic energy gradients for the spin-free exact two-component theory using an
exact block diagonalization for the one-electron Dirac Hamiltonian.
AB - We report the implementation of analytic energy gradients for the evaluation of
first-order electrical properties and nuclear forces within the framework of the
spin-free (SF) exact two-component (X2c) theory. In the scheme presented here,
referred to in the following as SFX2c-1e, the decoupling of electronic and
positronic solutions is performed for the one-electron Dirac Hamiltonian in its
matrix representation using a single unitary transformation. The resulting two
component one-electron matrix Hamiltonian is combined with untransformed two
electron interactions for subsequent self-consistent-field and electron
correlated calculations. The "picture-change" effect in the calculation of
properties is taken into account by considering the full derivative of the two
component Hamiltonian matrix with respect to the external perturbation. The
applicability of the analytic-gradient scheme presented here is demonstrated in
benchmark calculations. SFX2c-1e results for the dipole moments and electric
field gradients of the hydrogen halides are compared with those obtained from
nonrelativistic, SF high-order Douglas-Kroll-Hess, and SF Dirac-Coulomb
calculations. It is shown that the use of untransformed two-electron interactions
introduces rather small errors for these properties. As a first application of
the analytic geometrical gradient, we report the equilibrium geometry of
methylcopper (CuCH(3)) determined at various levels of theory.
PMID- 21895167
TI - Velocity-scaling optimized replica exchange molecular dynamics of proteins in a
hybrid explicit/implicit solvent.
AB - We propose a scheme for replica exchange molecular dynamics of proteins in
explicit solvent that minimizes the number of required replicas using velocity
rescaling. Our approach relies on a hybrid method where the protein evolves at
each temperature in an explicit solvent, but replica exchange moves utilize an
implicit solvent term. The two terms are coupled through the velocity rescaling.
We test the efficiency of this approach for a common test case, the trp-cage
protein.
PMID- 21895168
TI - Comparison of Brownian dynamics algorithms with hydrodynamic interaction.
AB - The hydrodynamic interaction is an essential effect to consider in Brownian
dynamics simulations of polymer and nanoparticle dilute solutions. Several
mathematical approaches can be used to build Brownian dynamics algorithms with
hydrodynamic interaction, the most common of them being the exact but time
demanding Cholesky decomposition and the Chebyshev polynomial expansion.
Recently, Geyer and Winter [J. Chem. Phys. 130, 1149051 (2009)] have proposed a
new approximation to treat the hydrodynamic interaction that seems quite
efficient and is increasingly used. So far, a systematic comparison among those
approaches has not been clearly made. In this paper, several features and the
efficiency of typical implementations of those approaches are evaluated by using
bead-and-spring chain models. The different sensitivity to the bead overlap
detected for the different implementations may be of interest to select the
suitable algorithm for a given simulation.
PMID- 21895169
TI - A systematic formulation of the virial expansion for nonadditive interaction
potentials.
AB - A new formulation of the virial expansion for a classical gas is derived without
the restriction to pairwise-additive interaction potentials. Explicit expressions
up to the eighth virial coefficient, suitable for numerical evaluation, are given
in the form of integrals over sums of cluster diagrams. Compared with previous
approaches, the number of cluster diagrams increases more moderately with
increasing order of the virial coefficient. Thus, the new formulation should be
particularly useful for the computation of high-order virial coefficients.
PMID- 21895170
TI - Application of an efficient multireference approach to free-base porphin and
metalloporphyrins: ground, excited, and positive ion states.
AB - The improved virtual orbital-complete active space configuration interaction (IVO
CASCI) method is applied to determine the geometries of the ground state of free
base porphin and its metal derivatives, magnesium and zinc porphyrins. The
vertical excitation energies and ionization potentials are computed at these
optimized geometries using an IVO-based version of multireference Moller-Plesset
(IVO-MRMP) perturbation theory. The geometries and excitation energies obtained
from the IVO-CASCI and IVO-MRMP methods agree well with experiment and with other
correlated many-body methods. We also provide the ground state vibrational
frequencies for free-base porphin and Mg-porphyrin. All frequencies are real in
contrast to self-consistent field treatments which yield an imaginary frequency.
Ground state normal mode frequencies (scaled) of free-base porphin and magnesium
porphyrin from IVO-CASCI and complete active space self-consistent field methods
are quite similar and are consistent with Becke-Slater-Hartree-Fock exchange and
Lee-Yang-Parr correlation density functional theory calculations and with
experiment. In addition, geometries are determined for low-lying excited state
triplets and for positive ion states of the molecules. To our knowledge, no prior
experimental and theoretical data are available for these excited state
geometries of magnesium and zinc porphyrins. Given that the IVO-CASCI and IVO
MRMP computed geometries and excitation energies agree favorably with experiment
and with available theoretical data, our predicted excited state geometries
should be equally accurate.
PMID- 21895171
TI - Closed-shell ring coupled cluster doubles theory with range separation applied on
weak intermolecular interactions.
AB - We explore different variants of the random phase approximation to the
correlation energy derived from closed-shell ring-diagram approximations to
coupled cluster doubles theory. We implement these variants in range-separated
density-functional theory, i.e., by combining the long-range random phase
approximations with short-range density-functional approximations. We perform
tests on the rare-gas dimers He(2), Ne(2), and Ar(2), and on the weakly
interacting molecular complexes of the S22 set of Jurecka et al. [P. Jurecka, J.
Sponer, J. Cerny, and P. Hobza, Phys. Chem. Chem. Phys. 8, 1985 (2006)]. The two
best variants correspond to the ones originally proposed by Szabo and Ostlund [A.
Szabo and N. S. Ostlund, J. Chem. Phys. 67, 4351 (1977)]. With range separation,
they reach mean absolute errors on the equilibrium interaction energies of the
S22 set of about 0.4 kcal/mol, corresponding to mean absolute percentage errors
of about 4%, with the aug-cc-pVDZ basis set.
PMID- 21895172
TI - Self-consistency in frozen-density embedding theory based calculations.
AB - The bi-functional for the non-electrostatic part of the exact embedding potential
of frozen-density embedding theory (FDET) depends on whether the embedded part is
described by means of a real interacting many-electron system or the reference
system of non-interacting electrons (see [Wesolowski, Phys. Rev. A. 77, 11444
(2008)]). The difference deltaDeltaF(MD)[rho(A)]/deltarho(A)(r),
where DeltaF(MD)[rho(A)] is the functional bound from below by the correlation
functional E(c)[rho(A)] and from above by zero. Taking into account
DeltaF(MD)[rho(A)] in both the embedding potential and in energy is indispensable
for assuring that all calculated quantities are self-consistent and that FDET
leads to the exact energy and density in the limit of exact functionals. Since
not much is known about good approximations for DeltaF(MD)[rho(A)], we examine
numerically the adequacy of neglecting DeltaF(MD)[rho(A)] entirely. To this end,
we analyze the significance of deltaDeltaF(MD)[rho(A)]/deltarho(A)(r) in the case where the magnitude of DeltaF(MD)[rho(A)] is the largest,
i.e., for Hartree-Fock wavefunction. In hydrogen bonded model systems, neglecting
deltaDeltaF(MD)[rho(A)]/deltarho(A)(r) in the embedding
potential marginally affects the total energy (less than 5% change in the
interaction energy) but results in qualitative changes in the calculated hydrogen
bonding induced shifts of the orbital energies. Based on this estimation, we
conclude that neglecting deltaDeltaF(MD)[rho(A)]/deltarho(A)(r)
may represent a good approximation for multi-reference variational methods using
adequate choice for the active space. Doing the same for single-reference
perturbative methods is not recommended. Not only it leads to violation of self
consistency but might result in large effect on orbital energies. It is shown
also that the errors in total energy due to neglecting
deltaDeltaF(MD)[rho(A)]/deltarho(A)(r) do not cancel but rather
add up to the errors due to approximation for the bi-functional of the non
additive kinetic potential.
PMID- 21895173
TI - Near-field: a finite-difference time-dependent method for simulation of
electrodynamics on small scales.
AB - We develop near-field (NF), a very efficient finite-difference time-dependent
(FDTD) approach for simulating electromagnetic systems in the near-field regime.
NF is essentially a time-dependent version of the quasistatic frequency-dependent
Poisson algorithm. We assume that the electric field is longitudinal, and hence
propagates only a set of time-dependent polarizations and currents. For near
field scales, the time step (dt) is much larger than in the usual Maxwell FDTD
approach, as it is not related to the velocity of light; rather, it is determined
by the rate of damping and plasma oscillations in the material, so dt = 2.5 a.u.
was well converged in our simulations. The propagation in time is done via a
leapfrog algorithm much like Yee's method, and only a single spatial convolution
is needed per time step. In conjunction, we also develop a new and very accurate
8 and 9 Drude-oscillators fit to the permittivity of gold and silver, desired
here because we use a large time step. We show that NF agrees with Mie-theory in
the limit of small spheres and that it also accurately describes the evolution of
the spectral shape as a function of the separation between two gold or silver
spheres. The NF algorithm is especially efficient for systems with small scale
dynamics and makes it very simple to introduce additional effects such as
embedding.
PMID- 21895174
TI - A density functional theory based estimation of the anharmonic contributions to
the free energy of a polypeptide helix.
AB - We have employed density functional theory to determine the temperature
dependence of the intrinsic stability of an infinite poly-L-alanine helix. The
most relevant helix types, i.e., the alpha- and the 3(10)-helix, and several
unfolded conformations, which serve as reference for the stability analysis, have
been included. For the calculation of the free energies for the various chain
conformations we have explicitly included both, harmonic and anharmonic
contributions. The latter have been calculated by means of a thermodynamic
integration approach employing stochastic Langevin molecular dynamics, which is
shown to provide a dramatic increase in the computational efficiency as compared
to commonly employed deterministic molecular dynamics schemes. Employing this
approach we demonstrate that the anharmonic part of the free energy amounts to
the order of 0.1-0.4 kcal/mol per peptide unit for all analysed conformations.
Although small, the anharmonic contribution stabilizes the helical conformations
with respect to the fully extended structure.
PMID- 21895175
TI - Master equation for a chemical wave front with perturbation of local equilibrium.
AB - In order to develop a stochastic description of gaseous reaction-diffusion
systems, which includes a reaction-induced departure from local equilibrium, we
derive a modified expression of the master equation from analytical calculations
based on the Boltzmann equation. We apply the method to a chemical wave front of
Fisher-Kolmogorov-Petrovsky-Piskunov type, whose propagation speed is known to be
sensitive to small perturbations. The results of the modified master equation are
compared successfully with microscopic simulations of the particle dynamics using
the direct simulation Monte Carlo method. The modified master equation
constitutes an efficient tool at the mesoscopic scale, which incorporates the
nonequilibrium effect without need of determining the particle velocity
distribution function.
PMID- 21895176
TI - The chemical dynamics of nanosensors capable of single-molecule detection.
AB - Recent advances in nanotechnology have produced the first sensor transducers
capable of resolving the adsorption and desorption of single molecules. Examples
include near infrared fluorescent single-walled carbon nanotubes that report
single-molecule binding via stochastic quenching. A central question for the
theory of such sensors is how to analyze stochastic adsorption events and extract
the local concentration or flux of the analyte near the sensor. In this work, we
compare algorithms of varying complexity for accomplishing this by first
constructing a kinetic Monte Carlo model of molecular binding and unbinding to
the sensor substrate and simulating the dynamics over wide ranges of forward and
reverse rate constants. Methods involving single-site probability calculations,
first and second moment analysis, and birth-and-death population modeling are
compared for their accuracy in reconstructing model parameters in the presence
and absence of noise over a large dynamic range. Overall, birth-and-death
population modeling was the most robust in recovering the forward rate constants,
with the first and second order moment analysis very efficient when the forward
rate is large (>10(-3) s(-1)). The precision decreases with increasing noise,
which we show masks the existence of underlying states. Precision is also
diminished with very large forward rate constants, since the sensor surface
quickly and persistently saturates.
PMID- 21895177
TI - Adaptive stochastic methods for sampling driven molecular systems.
AB - Thermostatting methods are discussed in the context of canonical sampling in the
presence of driving stochastic forces. Generalisations of the Nose-Hoover method
and Langevin dynamics are introduced which are able to dissipate excess heat
introduced by steady Brownian perturbation (without a priori knowledge of its
strength) while preserving ergodicity. Implementation and parameter selection are
considered. It is demonstrated using numerical experiments that the methods
derived can adaptively control the target canonical ensemble in the presence of
nonlinear driving perturbations.
PMID- 21895178
TI - Liquid-vapor interfacial properties of vibrating square well chains.
AB - Liquid-vapor interfacial properties of square well chains are calculated. Surface
tension, orthobaric densities, and vapor pressures are reported. Spinodal
decomposition with a discontinuous molecular dynamics simulation program is used
to obtain the results which are compared to previously published data for
orthobaric densities and vapor pressures. In order to analyze the effect of the
chain stiffness results for near tangent and overlapping linear chains as well as
angled chains are obtained. Properties are calculated for linear chains of 2, 4,
and 8 spheres for intramolecular distances of 0.97, 0.6, and 0.4 as well as for
angled chains of 4 and 8 spheres and intramolecular distances of 0.4. The
complete series of fully flexible near tangent square well chains is also studied
(chains of 2, 4, 8, 12, and 16 particles with intramolecular distances of 0.97).
The corresponding states principle applies to most of the systems considered.
Critical properties values are reported as obtained from orthobaric densities,
surface tensions, and vapor pressures. For the near tangent chains the critical
temperatures increase with chain length but the rate of increment tends to zero
for the longest chains considered. When the stiffness of the chain increases
(intramolecular distance from 1 , 0.6, and 0.4) this saturation effect is either
not present or reverses itself. The surface tension increases with the length of
the chain while the width of the interface decreases.
PMID- 21895179
TI - Excited state electron transfer precedes proton transfer following irradiation of
the hydrogen-bonded single water complex of 7-azaindole with UV light.
AB - High resolution electronic spectra of the single water complex of 7-azaindole
(7AIW) and of a deuterated analog (7AIW-d(3)) have been recorded in a molecular
beam, both in the absence and presence of an applied electric field. The obtained
data include the rotational constants of both complexes in their ground (S(0))
and first excited (S(1)) electronic states, their S(1)-S(0) electronic transition
moment and axis-tilting angles, and their permanent electric dipole moments
(EDM's) in both electronic states. Analyses of these data show that the water
molecule forms two hydrogen bonds with 7AI, a donor O-H...N(7) bond and an
acceptor O...H-N(1) bond. The resulting structure has a small EDM in the S(0)
state (MU = 0.54 D) but a greatly enhanced EDM in the S(1) state (MU = 3.97 D).
We deduce from the EDM's of the component parts that 0.281 e(-) of charge is
transferred from the acidic N(1)-H site to the basic N(7) site upon UV excitation
of 7AIW, but that water-assisted proton transfer from N(1) to N(7) does not
occur. A model of the resulting electrostatic interactions in the solute-solvent
pair predicts a solvent-induced red-shift of 1260 cm(-1) which compares favorably
to the experimental value of 1290 cm(-1).
PMID- 21895180
TI - Inner-shell single and double ionization potentials of aminophenol isomers.
AB - A comprehensive study of single and double core ionization potentials of the
aminophenol molecule is reported. The role of relaxation, correlation,
relativistic, and basis set effects in these potentials is clarified. Special
attention is paid to the isomer dependence of the single and double core
ionization potentials. Some of them are also compared with the respective values
of the phenol and aniline molecules. It is shown that the core level single
ionization potentials of the para-, meta-, and ortho-aminophenol molecules differ
only slightly from each other, rendering these structural isomers challenging to
distinguish for conventional x-ray photoelectron spectroscopy. In contrast, the
energy needed to remove two core electrons from different atoms depends
noticeably on the mutual arrangement and even on the relative orientations of the
hydroxyl and amine groups. Together with the electrostatic repulsion between the
two core holes, relaxation effects accompanying double core ionization play a
crucial role here. The pronounced sensitivity of the double ionization
potentials, therefore, enables a spectroscopic characterization of the electronic
structure of aminophenol isomers by means of x-ray two-photon photoelectron
spectroscopy.
PMID- 21895181
TI - The rotational spectra, potential function, Born-Oppenheimer breakdown, and
hyperfine structure of GeSe and GeTe.
AB - The pure rotational spectra of 18 and 21 isotopic species of GeSe and GeTe have
been measured in the frequency range 5-24 GHz using a Fabry-Perot-type resonator
pulsed-jet Fourier-transform microwave spectrometer. Gaseous samples of both
chalcogenides were prepared by a combined dc discharge/laser ablation technique
and stabilized in supersonic jets of Ne. Global multi-isotopologue analyses of
the derived rotational data, together with literature high-resolution infrared
data, produced very precise Dunham parameters, as well as rotational constant
Born-Oppenheimer breakdown (BOB) coefficients (delta(01)) for Ge, Se, and Te. A
direct fit of the same datasets to an appropriate radial Hamiltonian yielded
analytic potential-energy functions and BOB radial functions for the X(1)Sigma(+)
electronic state of both GeSe and GeTe. Additionally, the electric quadrupole and
magnetic hyperfine interactions produced by the nuclei (73)Ge, (77)Se, and
(125)Te were observed, yielding much improved quadrupole coupling constants and
first determinations of the spin-rotation parameters.
PMID- 21895182
TI - Unimolecular dissociation of anthracene and acridine cations: the importance of
isomerization barriers for the C2H2 loss and HCN loss channels.
AB - The loss of C(2)H(2) is a low activation energy dissociation channel for
anthracene (C(14)H(10)) and acridine (C(13)H(9)N) cations. For the latter ion
another prominent fragmentation pathway is the loss of HCN. We have studied these
two dissociation channels by collision induced dissociation experiments of 50 keV
anthracene cations and protonated acridine, both produced by electrospray
ionization, in collisions with a neutral xenon target. In addition, we have
carried out density functional theory calculations on possible reaction pathways
for the loss of C(2)H(2) and HCN. The mass spectra display features of multi-step
processes, and for protonated acridine the dominant first step process is the
loss of a hydrogen from the N site, which then leads to C(2)H(2)/HCN loss from
the acridine cation. With our calculations we have identified three pathways for
the loss of C(2)H(2) from the anthracene cation, with three different cationic
products: 2-ethynylnaphthalene, biphenylene, and acenaphthylene. The third
product is the one with the overall lowest dissociation energy barrier. For the
acridine cation our calculated pathway for the loss of C(2)H(2) leads to the 3
ethynylquinoline cation, and the loss of HCN leads to the biphenylene cation.
Isomerization plays an important role in the formation of the non-ethynyl
containing products. All calculated fragmentation pathways should be accessible
in the present experiment due to substantial energy deposition in the collisions.
PMID- 21895183
TI - The k-j-j' vector correlation in inelastic and reactive scattering.
AB - Quasi-classical trajectory (QCT) methods are presented which allow
characterization of the angular momentum depolarization of the products of
inelastic and reactive scattering. The particular emphasis of the theory is on
three-vector correlations, and on the connection with the two-vector correlation
between the initial and final angular momenta, j and j', which is amenable to
experimental measurement. The formal classical theory is presented, and
computational results for NO(A) + He are used to illustrate the type of
mechanistic information provided by analysis of the two- and three-vector
correlations. The classical j-j' two-vector correlation results are compared with
quantum mechanical calculations, and are shown to be in good agreement. The data
for NO(A) + He support previous conclusions [M. Brouard, H. Chadwick, Y.-P.
Chang, R. Cireasa, C. J. Eyles, A. O. L. Via, N. Screen, F. J. Aoiz, and J. Klos,
J. Chem. Phys. 131, 104307 (2009)] that this system is only weakly depolarizing.
Furthermore, it is shown that the projection of j along the kinematic apse is
nearly conserved for this system under thermal collision energy conditions.
PMID- 21895184
TI - Collisional angular momentum depolarization of OH(A) and NO(A) by Ar: a
comparison of mechanisms.
AB - This paper discusses the contrasting mechanisms of collisional angular momentum
depolarization of OH(A(2)Sigma(+)) and NO(A(2)Sigma(+)) by Ar. New experimental
results are presented for the collisional depolarization of OH(A) + Ar under both
thermal and superthermal collision conditions, including cross sections for loss
of both angular momentum orientation and alignment. Previous work on the two
systems is summarized. It is shown that NO(A) + Ar depolarization is dominated by
impulsive events in which the projection of the angular momentum, j, along the
kinematic apse, a, is nearly conserved, and in which the majority of the
trajectories can be described as "nearside." By contrast, at the relatively low
collision energies sampled at 300 K, OH(A) + Ar depolarization is dominated by
attractive collisions, which show a preponderance of "farside" trajectories.
There is also evidence for very long-lived, complex type trajectories in which
OH(A) and Ar orbit each other for several rotational periods prior to separation.
Nevertheless, there is still a clear preference for conservation of the
projection of j along the kinematic apse for both elastic and inelastic
collisions. Experimental and theoretical results reveal that, as the collision
energy is raised, the depolarization of OH(A) by Ar becomes more impulsive-like
in nature.
PMID- 21895185
TI - Deflection of rotating symmetric top molecules by inhomogeneous fields.
AB - We consider deflection of rotating symmetric top molecules by inhomogeneous
optical and static electric fields, compare results with the case of linear
molecules, and find new singularities in the distribution of the scattering
angle. Scattering of the prolate/oblate molecules is analyzed in detail, and it
is shown that the process can be efficiently controlled by means of short and
strong femtosecond laser pulses. In particular, the angular dispersion of the
deflected molecules may be dramatically reduced by laser-induced molecular
prealignment. We first study the problem by using a simple classical model, and
then find similar results by means of more sophisticated methods, including the
formalism of adiabatic invariants and direct numerical simulation of the Euler
Lagrange equations of motion. The suggested control scheme opens new ways for
many applications involving molecular focusing, guiding, and trapping by optical
and static fields.
PMID- 21895186
TI - Ab initio virial equation of state for argon using a new nonadditive three-body
potential.
AB - An ab initio nonadditive three-body potential for argon has been developed using
quantum-chemical calculations at the CCSD(T) and CCSDT levels of theory. Applying
this potential together with a recent ab initio pair potential from the
literature, the third and fourth to seventh pressure virial coefficients of argon
were computed by standard numerical integration and the Mayer-sampling Monte
Carlo method, respectively, for a wide temperature range. All calculated virial
coefficients were fitted separately as polynomials in temperature. The results
for the third virial coefficient agree with values evaluated directly from
experimental data and with those computed for other nonadditive three-body
potentials. We also redetermined the second and third virial coefficients from
the best experimental prhoT data utilizing the computed higher virial
coefficients as constraints. Thus, a significantly closer agreement of the
calculated third virial coefficients with the experimental data was achieved. For
different orders of the virial expansion, prhoT data have been calculated and
compared with results from high quality measurements in the gaseous and
supercritical region. The theoretically predicted pressures are within the very
small experimental errors of +/-0.02% for p <= 12 MPa in the supercritical region
near room temperature, whereas for subcritical temperatures the deviations
increase up to +0.3%. The computed pressure at the critical density and
temperature is about 1.3% below the experimental value. At pressures between 200
MPa and 1000 MPa and at 373 K, the calculated values deviate by 1% to 9% from the
experimental results.
PMID- 21895187
TI - Ab initio investigation on ion-associated species and association process in
aqueous Na2SO4 and Na2SO4/MgSO4 solutions.
AB - In the present paper, the possible ion associated species in pure Na(2)SO(4) and
mixed Na(2)SO(4)/MgSO(4) aqueous solutions are investigated via the ab initio
method at the HF/6-31+G* level. The vibrational v(1)-SO(4)(2-) band is analyzed.
For the unhydrated species, when the number of metal ions around the SO(4)(2-)
ion is less than 3, the dominating effect to the v(1)-SO(4)(2-) band is the
polarization of the cations, while the M-O bonding will be dominating as the
number is equal to or more than 3. For the hydrated species, the coordinated
structures of the Na(+) ion in all ion pairs are not stable due to the strong
effect of the SO(4)(2-) ion but relatively stable in the triple ion (TI) clusters
since there are fewer vacant hydration sites around the SO(4)(2-). The v(1)
SO(4)(2-) frequencies are close to that of the hydrated SO(4)(2-) ion in the ion
pairs and larger in both Na(2)SO(4) and Na(2)SO(4)/MgSO(4) TI clusters. On the
basis of our calculated results, the evolvement of Raman spectra in the
Na(2)SO(4)/MgSO(4) droplet with the molar ratio of 1:1 is explained.
PMID- 21895188
TI - Weak intermolecular interactions in gas-phase nuclear magnetic resonance.
AB - Gas-phase nuclear magnetic resonance (NMR) spectra demonstrating the effect of
weak intermolecular forces on the NMR shielding constants of the interacting
species are reported. We analyse the interaction of the molecular hydrogen
isotopomers with He, Ne, and Ar, and the interaction in the He-CO(2) dimer. The
same effects are studied for all these systems in the ab initio calculations. The
comparison of the experimental and computed shielding constants is shown to
depend strongly on the treatment of the bulk susceptibility effects, which
determine in practice the pressure dependence of the experimental values. Best
agreement of the results is obtained when the bulk susceptibility correction in
rare gas solvents is evaluated from the analysis of the He-rare gas interactions,
and when the shielding of deuterium in D(2)-rare gas systems is considered.
PMID- 21895189
TI - Electronic and vibrational spectroscopy of intermediates in methane-to-methanol
conversion by CoO+.
AB - At room temperature, cobalt oxide cations directly convert methane to methanol
with high selectivity but very low efficiency. Two potential intermediates of
this reaction, the [HO-Co-CH(3)](+) insertion intermediate and [H(2)O
Co=CH(2)](+) aquo-carbene complex are produced in a laser ablation source and
characterized by electronic and vibrational spectroscopy. Reaction of laser
ablated cobalt cations with different organic precursors seeded in a carrier gas
produces the intermediates, which subsequently expand into vacuum and cool. Ions
are extracted into a time-of-flight mass spectrometer and spectra are measured
via photofragment spectroscopy. Photodissociation of [HO-Co-CH(3)](+) in the
visible and via infrared multiple photon dissociation (IRMPD) makes only Co(+) +
CH(3)OH, while photodissociation of [H(2)O-Co=CH(2)](+) produces CoCH(2)(+) +
H(2)O. The electronic spectrum of [HO-Co-CH(3)](+) shows progressions in the
excited state Co-C stretch (335 cm(-1)) and O-Co-C bend (90 cm(-1)); the IRMPD
spectrum gives nu(OH) = 3630 cm(-1). The [HO-Co-CH(3)](+)(Ar) complex has been
synthesized and its vibrational spectrum measured in the O-H stretching region.
The resulting spectrum is sharper than that obtained via IRMPD and gives nu(OH) =
3642 cm(-1). Also, an improved potential energy surface for the reaction of
CoO(+) with methane has been developed using single point energies calculated by
the CBS-QB3 method for reactants, intermediates, transition states and products.
PMID- 21895190
TI - Dissociation energy and vibrational predissociation dynamics of the ammonia
dimer.
AB - Experiments using infrared excitation of either the intramolecular symmetric N-H
stretch (nu(NH,S)) or the intramolecular antisymmetric N-H stretch (nu(NH,A)) of
the ammonia dimer ((NH(3))(2)) in combination with velocity-map ion imaging
provide new information on the dissociation energy of the dimer and on the energy
disposal in its dissociation. Ion imaging using resonance enhanced multiphoton
ionization to probe individual rovibrational states of one of the ammonia monomer
fragments provides recoil speed distributions. Analyzing these distributions for
different product states gives a dissociation energy of D(0) = 660 +/- 20 cm(-1)
for the dimer. Fitting the distributions shows that rotations are excited up to
their energetic limit and determines the correlation of the fragment vibrations.
The fragments NH(3)(nu(2) = 3(+)) and NH(3)(nu(2) = 2(+)) have a vibrational
ground-state partner NH(3)(nu = 0), but NH(3)(nu(2) = 1(+)) appears in
partnership with another fragment in nu(2) = 1. This propensity is consistent
with the idea of minimizing the momentum gap between the initial and final states
by depositing a substantial fraction of the available energy into internal
excitation.
PMID- 21895191
TI - Optical method for measuring thermal accommodation coefficients using a
whispering-gallery microresonator.
AB - A novel optical method has been developed for the measurement of thermal
accommodation coefficients in the temperature-jump regime. The temperature
dependence of the resonant frequency of a fused-silica microresonator's
whispering-gallery mode is used to measure the rate at which the microresonator
comes into thermal equilibrium with the ambient gas. The thermal relaxation time
is related to the thermal conductivity of the gas under some simplifying
assumptions and measuring this time as a function of gas pressure determines the
thermal accommodation coefficient. Using a low-power tunable diode laser of
wavelength around 1570 nm to probe a microsphere's whispering-gallery mode
through tapered-fiber coupling, we have measured the accommodation coefficients
of air, helium, and nitrogen on fused silica at room temperature. In addition, by
applying thin-film coatings to the microsphere's surface, we have demonstrated
that accommodation coefficients can be measured for various gases on a wide range
of modified surfaces using this method.
PMID- 21895192
TI - Carbonyl vibrational wave packet circulation in Mn2(CO)10 driven by ultrashort
polarized laser pulses.
AB - The excitation of the degenerate E(1) carbonyl stretching vibrations in
dimanganese decacarbonyl is shown to trigger wave packet circulation in the
subspace of these two modes. On the time scale of about 5 ps, intramolecular
anharmonic couplings do not cause appreciable disturbance, even under conditions
where the two E(1) modes are excited by up to about two vibrational quanta each.
The compactness of the circulating wave packet is shown to depend strongly on the
excitation conditions, such as pulse duration and field strength. Numerical
results for the solution of the seven-dimensional vibrational Schrodinger
equation are obtained for a density functional theory based potential energy
surface and using the multi-configuration time-dependent Hartree method.
PMID- 21895193
TI - Quantum-classical simulation of electron localization in negatively charged
methanol clusters.
AB - A series of quantum molecular dynamics simulations have been performed to
investigate the energetic, structural, dynamic, and spectroscopic properties of
methanol cluster anions, [(CH(3)OH)(n)](-), (n = 50-500). Consistent with the
inference from photo-electron imaging experiments, we find two main localization
modes of the excess electron in equilibrated methanol clusters at ~200 K. The two
different localization patterns have strikingly different physical properties,
consistent with experimental observations, and are manifest in comparable cluster
sizes to those observed. Smaller clusters (n <= 128) tend to localize the
electron in very weakly bound, diffuse electronic states on the surface of the
cluster, while in larger ones the electron is stabilized in solvent cavities, in
compact interior-bound states. The interior states exhibit properties that
largely resemble and smoothly extrapolate to those simulated for a solvated
electron in bulk methanol. The surface electronic states of methanol cluster
anions are significantly more weakly bound than the surface states of the anionic
water clusters. The key source of the difference is the lack of stabilizing free
hydroxyl groups on a relaxed methanol cluster surface. We also provide a
mechanistic picture that illustrates the essential role of the interactions of
the excess electron with the hydroxyl groups in the dynamic process of the
transition of the electron from surface-bound states to interior-bound states.
PMID- 21895194
TI - Accurate determination of the Gibbs energy of Cu-Zr melts using the thermodynamic
integration method in Monte Carlo simulations.
AB - The design of multicomponent alloys used in different applications based on
specific thermo-physical properties determined experimentally or predicted from
theoretical calculations is of major importance in many engineering applications.
A procedure based on Monte Carlo simulations (MCS) and the thermodynamic
integration (TI) method to improve the quality of the predicted thermodynamic
properties calculated from classical thermodynamic calculations is presented in
this study. The Gibbs energy function of the liquid phase of the Cu-Zr system at
1800 K has been determined based on this approach. The internal structure of Cu
Zr melts and amorphous alloys at different temperatures, as well as other
physical properties were also obtained from MCS in which the phase trajectory was
modeled by the modified embedded atom model formalism. A rigorous comparison
between available experimental data and simulated thermo-physical properties
obtained from our MCS is presented in this work. The modified quasichemical model
in the pair approximation was parameterized using the internal structure data
obtained from our MCS and the precise Gibbs energy function calculated at 1800 K
from the TI method. The predicted activity of copper in Cu-Zr melts at 1499 K
obtained from our thermodynamic optimization was corroborated by experimental
data found in the literature. The validity of the amplitude of the entropy of
mixing obtained from the in silico procedure presented in this work was analyzed
based on the thermodynamic description of hard sphere mixtures.
PMID- 21895195
TI - Van der Waals supercritical fluid: exact formulas for special lines.
AB - In the framework of the van der Waals model, analytical expressions for the locus
of extrema (ridges) for heat capacity, thermal expansion coefficient,
compressibility, density fluctuation, and sound velocity in the supercritical
region have been obtained. It was found that the ridges for different
thermodynamic values virtually merge into single Widom line only at T < 1.07T(c),
P < 1.25P(c) and become smeared at T < 2T(c), P < 5P(c), where T(c) and P(c) are
the critical temperature and pressure. The behavior of the Batschinski lines and
the pseudo-Gruneisen parameter gamma of a van der Waals fluid were analyzed. In
the critical point, the van der Waals fluid has gamma = 8/3, corresponding to a
soft sphere particle system with exponent n = 14.
PMID- 21895196
TI - Ab initio studies of the para- and antiferroelectric structures and local
polarized configurations in NH4H2PO4.
AB - A study of differently polarized structures relevant to the H-bonded
antiferroelectric (AFE) compound NH(4)H(2)PO(4) (ADP) is performed by first
principles calculations in the framework of the density functional theory. The
calculated structures for the AFE and paraelectric (PE) phases are found in
general good agreement with the available experimental data. We study the
energetics and relative stability of different polarized clusters embedded in a
PE matrix of ADP. We find that local ferroelectric and AFE clusters are stable
and may coexist in the PE phase, which explains the coexistence of both type of
microregions determined by electron spin probe measurements above the AFE-PE
transition temperature. The dependency with the O-H...O bridge length of the
energy barrier heights for proton transfer is studied for coordinated proton
displacements along the bridges within clusters of different sizes. This
dependency may have implications for the geometric isotopic effects on T(c). We
analyze Mulliken orbital and bond populations which confirm the existence of a
charge flow within the NH(4)(+) ion, an essential fact for the stabilization of
the AFE phase over other possible polarized structures. This charge transfer is
correlated with the optimization of the N-H...O bridges and with distortions of
the NH(4)(+) group.
PMID- 21895197
TI - Nuclear magnetic resonance studies on the rotational and translational motions of
ionic liquids composed of 1-ethyl-3-methylimidazolium cation and
bis(trifluoromethanesulfonyl)amide and bis(fluorosulfonyl)amide anions and their
binary systems including lithium salts.
AB - Room temperature ionic liquids (ILs) are stable liquids composed of anions and
cations. 1-ethyl-3-methyl-imidazolium (EMIm, EMI) is a popular and important
cation that produces thermally stable ILs with various anions. In this study two
amide-type anions, bis(trifluoro-methanesulfonyl)amide [N(SO(2)CF(3))(2), TFSA,
TFSI, NTf(2), or Tf(2)N] and bis(fluorosulfonyl)amide [(N(SO(2)F)(2), FSA, or
FSI] were investigated by multinuclear NMR spectroscopy. In addition to EMIm-TFSA
and EMIm-FSA, lithium-salt-doped binary systems were prepared (EMIm-TFSA-Li and
EMIm-FSA-Li). The spin-lattice relaxation times (T(1)) were measured by (1)H,
(19)F, and (7)Li NMR spectroscopy and the correlation times of (1)H NMR,
tau(c)(EMIm) (8 * 10(-10) to 3 * 10(-11) s) for the librational molecular motion
of EMIm and those of (7)Li NMR, tau(c)(Li) (5 * 10(-9) to 2 * 10(-10) s) for a
lithium jump were evaluated in the temperature range between 253 and 353 K. We
found that the bulk viscosity (eta) versus tau(c)(EMIm) and cation diffusion
coefficient D(EMIm) versus the rate 1/tau(c)(EMIm) have good relationships.
Similarly, linear relations were obtained for the eta versus tau(c)(Li) and the
lithium diffusion coefficient D(Li) versus the rate 1/tau(c)(Li). The mean one
jump distances of Li were calculated from tau(c)(Li) and D(Li). The experimental
values for the diffusion coefficients, ionic conductivity, viscosity, and density
in our previous paper were analyzed by the Stokes-Einstein, Nernst-Einstein, and
Stokes-Einstein-Debye equations for the neat and binary ILs to clarify the
physicochemical properties and mobility of individual ions. The deviations from
the classical equations are discussed.
PMID- 21895198
TI - Theoretical study on vibrational circular dichroism spectra of
tris(acetylacetonato)metal(III) complexes: anharmonic effects and low-lying
excited states.
AB - The open-shell density functional theory calculations with M06 exchange
correlation functional and all-electron Douglas-Kroll second order scalar
relativistic correction were performed to interpret the vibrational circular
dichroism (VCD) spectra of four kinds of tris(acetylacetonato)metal(III),
[M(III)(acac)(3)] (acac = acetylacetonato, M = Ru, Cr, Co, and Rh). It was
deduced that the experimental spectra were well reproduced by the calculation
with harmonic approximation in case of [Co(III)(acac)(3)] (d(6); S = 0),
[Rh(III)(acac)(3)] (d(6); S = 0), and [Ru(III)(acac)(3)] (d(5); S = 1/2). In case
of [Cr(III)(acac)(3)] (d(3); S = 3/2), anharmonic effects should be taken into
account to predict the accurate vibrational frequencies of closely located modes.
Time-dependent density functional theory calculations were performed to estimate
the contribution of excited states in the VCD spectra. As a consequence, the
presence of the low-lying excited states was predicted for [Ru(III)(acac)(3)]
alone, which agreed with the experimental observation.
PMID- 21895199
TI - Effect of high hydrostatic pressure on the dielectric relaxation in a non
crystallizable monohydroxy alcohol in its supercooled liquid and glassy states.
AB - The complex relative permittivity of a non-crystallizable secondary alcohol, 5
methyl-2-hexanol, is measured over a wide range of temperatures and pressures up
to 1750 MPa (17.5 kbar). The data at atmospheric pressure (P = 0.101 MPa) are
analyzed in terms of three processes, and the results are in complete agreement
with that of O. E. Kalinovskaya and J. K. Vij [J. Chem. Phys. 112, 3262 (2000)].
Process I is of the Debye type and process II is of the Davidson-Cole type,
whereas process III is identified as the Johari-Goldstein relaxation process. For
pressures of ~500 MPa and higher, processes I and II are seen to merge into each
other to form a single dominant process which unambiguously cannot be resolved
into more than one process. The dielectric relaxation strength of process I
decreases slightly initially with pressure and when the two processes have merged
at elevated pressures, the total relaxation strength increases with increase in
pressure. Process III is better resolvable at higher pressures especially above
T(g) in the supercooled liquid state for the reason that the separation in the
time scales between the dominant and the JG relaxation process increases at
elevated pressures. Surprisingly we find a change in the slope in the plot of log
tau(JG) vs. 1/T for P = 1750 MPa. The results for the relaxation time of alcohols
are compared with the Kirkwood correlation factor, g, and it is found that higher
is the g, lower is the relaxation time for process I, and it is more of the Debye
type. On a reduction in g brought about by an increase in pressure at lower
temperatures, the dominant process becomes non-Debye though extensive hydrogen
bonding is still present. The dielectric strength of the merged processes
increases with increase in pressure. The values of the steepness index, m = |d
log tau/d(T(g)/T)|(T = Tg) for processes I and II are different for P = 0.1 MPa.
However the value of m, for the composite process, which is a merger of processes
I and II, for P = 1750 MPa is almost the same for process II at P = 0.1 MPa. From
the results of the activation volume, activation enthalpy, and a comparison of
the relaxation times with the g factor, we conclude that both processes I and II
are significantly affected by hydrogen bonding and both contribute to the
structural relaxation.
PMID- 21895200
TI - Hard ellipsoids: analytically approaching the exact overlap distance.
AB - Following previous work [G. Odriozola and F. de J. Guevara-Rodriguez, J. Chem.
Phys. 134, 201103 (2011)], the replica exchange Monte Carlo technique is used to
produce the equation of state of hard 1:5 aspect-ratio oblate ellipsoids for a
wide density range. Here, in addition to the analytical approximation of the
overlap distance given by Berne and Pechukas (BP) and the exact numerical
solution of Perram and Wertheim, we tested a simple modification of the original
BP approximation (MBP) which corrects the known T-shape mismatch of BP for all
aspect ratios. We found that the MBP equation of state shows a very good
quantitative agreement with the exact solution. The MBP analytical expression
allowed us to study size effects on the previously reported results. For the
thermodynamic limit, we estimated the exact 1:5 hard ellipsoid isotropic-nematic
transition at the volume fraction 0.343 +/- 0.003, and the nematic-solid
transition in the volume fraction interval (0.592 +/- 0.006)-(0.634 +/- 0.008).
PMID- 21895201
TI - Structural transitions in hypersphere fluids: predictions of Kirkwood's
approximation.
AB - We use an analytic criterion for vanishing of exponential damping of correlations
developed previously [J. Piasecki et al., J. Chem. Phys. 133, 164507 (2010)] to
determine the threshold volume fractions for structural transitions in hard
sphere systems in dimensions D = 3, 4, 5, and 6, proceeding from the Yvon-Born
Green hierarchy and using the Kirkwood superposition approximation. We conclude
that the theory does predict phase transitions in qualitative agreement with
numerical studies. We also derive, within the superposition approximation, the
asymptotic form of the analytic condition for occurrence of a structural
transition in the D -> infinity limit.
PMID- 21895202
TI - Statistical mechanics of hydrated electron recombination in liquid and
supercritical water.
AB - The photochemical yield of hydrated electrons as a function of temperature in
liquid and supercritical water is treated in terms of energy fluctuations of the
medium. The geminate pair, consisting of a positive ion and a hydrated electron,
is regarded as a H-like atom embedded in a completely relaxed dielectric
continuum. If the local medium energy is larger than the ionization energy of
this atom, the electron escapes its geminate partner. By making use of the
classical theory of energy fluctuations, escape probability is described by a
simple explicit function, the variable of which is a combination of temperature,
relative permittivity, and specific heat. First our earlier calculations on the
recombination of solvated electrons, produced by ionizing radiation in a number
of polar liquids, are improved and then the theory is compared with the
experimental results on temperature dependent electron survival by Kratz et al.
[S. Kratz, J. Torres-Alcan, J. Urbanek, J. Lindner, and P. Vohringer, Phys. Chem.
Chem. Phys. 12, 12169 (2010)]. Two adjustable parameters are needed to achieve
reasonable quantitative agreement.
PMID- 21895203
TI - Time-dependent fluorescence in nanoconfined solvents: linear-response
approximations and Gaussian statistics.
AB - The time-dependent fluorescence of a model dye molecule in a nanoconfined solvent
is used to test approximations based on the dynamic and static linear-response
theories and the assumption of Gaussian statistics. Specifically, the results of
nonequilibrium molecular-dynamics simulations are compared to approximate
expressions involving time correlation functions obtained from equilibrium
simulations. Solvation dynamics of a model diatomic dye molecule dissolved in
acetonitrile confined in a spherical hydrophobic cavity of radius 12, 15, and 20
A is used as the test case. Both the time-dependent fluorescence energy,
expressed as the normalized dynamic Stokes shift, and the time-dependent position
of the dye molecule after excitation are examined. While the dynamic linear
response approximation fails to describe key aspects of the solvation dynamics,
assuming Gaussian statistics reproduces the full nonequilibrium simulations well.
The implications of these results are discussed.
PMID- 21895204
TI - Polymorphism of dense, hot oxygen.
AB - The phase diagram and polymorphism of oxygen at high pressures and temperatures
are of great interest to condensed matter and earth science. X-ray diffraction
and Raman spectroscopy of oxygen using laser and resistively heated diamond anvil
cells reveal that the molecular high-pressure phase epsilon-O(2), which consists
of (O(2))(4) clusters, reversibly transforms in the pressure range of 44 to 90
GPa and temperatures near 1000 K to a new phase with higher symmetry. The data
suggest that this new phase (eta') is isostructural to a phase eta reported
previously at lower pressures and temperatures, but differs from it in the P-T
range of stability and type of intermolecular association. The melting curve
increases monotonically up to the maximum pressures studied (~60 GPa). The
structure factor of the fluid measured as a function of pressure to 58 GPa shows
continuous changes toward molecular dissociation.
PMID- 21895205
TI - Structure, dynamics, and thermodynamics of a family of potentials with tunable
softness.
AB - We investigate numerically the structure, thermodynamics, and relaxation behavior
of a family of (n, 6) Lennard-Jones-like glass-forming binary mixtures
interacting via pair potentials with variable softness, fixed well depth, and
fixed well depth location. These constraints give rise to progressively more
negative attractive tails upon softening, for separations greater than the
potential energy minimum. Over the range of conditions examined, we find only
modest dependence of structure on softness. In contrast, decreasing the repulsive
exponent from n=12 to n=7 causes the diffusivity to increase by as much as two
orders of magnitude at fixed temperature and density, and produces mechanically
stable packings (inherent structures) with cohesive energies that are, on
average, ~1.7 well depths per particle larger than for the corresponding Lennard
Jones (n=12) case. The softer liquids have markedly higher entropies and lower
Kauzmann temperatures than their Lennard-Jones (n=12) counterparts, and they
remain diffusive down to appreciably lower temperatures. We find that softening
leads to a modest increase in fragility.
PMID- 21895206
TI - Electric field inside a "Rossky cavity" in uniformly polarized water.
AB - Electric field produced inside a solute by a uniformly polarized liquid is
strongly affected by dipolar polarization of the liquid at the interface. We
show, by numerical simulations, that the electric "cavity" field inside a
hydrated non-polar solute does not follow the predictions of standard Maxwell's
electrostatics of dielectrics. Instead, the field inside the solute tends, with
increasing solute size, to the limit predicted by the Lorentz virtual cavity. The
standard paradigm fails because of its reliance on the surface charge density at
the dielectric interface determined by the boundary conditions of the Maxwell
dielectric. The interface of a polar liquid instead carries a preferential in
plane orientation of the surface dipoles thus producing virtually no surface
charge. The resulting boundary conditions for electrostatic problems differ from
the traditional recipes, affecting the microscopic and macroscopic fields based
on them. We show that relatively small differences in cavity fields propagate
into significant differences in the dielectric constant of an ideal mixture. The
slope of the dielectric increment of the mixture versus the solute concentration
depends strongly on which polarization scenario at the interface is realized. A
much steeper slope found in the case of Lorentz interfacial polarization also
implies a higher free energy penalty for polarizing such mixtures.
PMID- 21895207
TI - Thermodynamics and diffusion in size-symmetric and asymmetric dense electrolytes.
AB - MD simulation results for model size-symmetric and asymmetric electrolytes at
high densities and temperatures (well outside the liquid-gas coexistence region)
are generated and analyzed focusing on thermodynamic and diffusion properties. An
extension of the mean spherical approximation for electrolytes originally derived
for charged hard sphere fluids is adapted to these systems by exploiting the
separation of short range and Coulomb interaction contributions intrinsic to
these theoretical models and is found to perform well for predicting equation of
state quantities. The diffusion coefficients of these electrolytes can also be
reasonably well predicted using entropy scaling ideas suitably adapted to charged
systems and mixtures. Thus, this approach may provide an avenue for studying
dense electrolytes or complex molecular systems containing charged species at
high pressures and temperatures.
PMID- 21895208
TI - Interaction of charge carriers with lattice and molecular phonons in crystalline
pentacene.
AB - The computational protocol we have developed for the calculation of local
(Holstein) and non-local (Peierls) carrier-phonon coupling in molecular organic
semiconductors is applied to both the low temperature and high temperature bulk
crystalline phases of pentacene. The electronic structure is calculated by the
semimpirical INDO/S (Intermediate Neglect of Differential Overlap with
Spectroscopic parametrization) method. In the phonon description, the rigid
molecule approximation is removed, allowing mixing of low-frequency intra
molecular modes with inter-molecular (lattice) phonons. A clear distinction
remains between the low-frequency phonons, which essentially modulate the
transfer integral from a molecule to another (Peierls coupling), and the high
frequency intra-molecular phonons, which modulate the on-site energy (Holstein
coupling). The results of calculation agree well with the values extracted from
experiment. The comparison with similar calculations made for rubrene allows us
to discuss the implications for the current models of mobility.
PMID- 21895209
TI - Charging energy and barrier height of pentacene on Au(111): a local-orbital
hybrid-functional density functional theory approach.
AB - We analyze the pentacene/Au(111) interface by means of density functional theory
(DFT) calculations using a new hybrid functional; in our approach we introduce,
in a local-orbital formulation of DFT, a hybrid exchange potential, and combine
it with a calculation of the molecule charging energy to properly describe the
transport energy gap of pentacene on Au(111). Van der Waals forces are taken into
account to obtain the adsorption geometry. Interface dipole potentials are also
calculated; it is shown that the metal/pentacene energy level alignment is
determined by the potential induced by the charge transfer between the metal
surface and the organic material, as described by the induced density of
interface states model. Our results compare well with the experimental data.
PMID- 21895210
TI - Extension of the Steele 10-4-3 potential for adsorption calculations in
cylindrical, spherical, and other pore geometries.
AB - Simplified fluid-substrate interaction models derived from the Lennard-Jones
potential are widely used in the simulation of gas physisorption phenomena. In
this paper, we reinterpret the well known Steele 10-4-3 potential for a gas
molecule interacting with a planar surface, and use the resultant scheme to
derive new potentials for cylindrical and spherical pore geometries. These new
potentials correctly recover the Steele result in the limit of infinite pore
radius, a useful improvement over existing models. We demonstrate the new
cylindrical Steele 10-4-3 potential in calculations of argon adsorption via fluid
density functional theory. This potential yields markedly different adsorption
behavior than existing cylindrical potentials, which follow from small but
significant differences in both the strength and the shape of the fluid-surface
interaction. These differences cannot be fully reconciled simply by
reparameterizing (scaling) the existing models; the new potential is more
realistic in design, and is especially to be preferred in studies where
comparison with planar substrates is made. Finally, we discuss extensions of this
approach to more complicated pore geometries, yielding a family of Steele-like
potentials that all satisfy the correct planar limit.
PMID- 21895211
TI - Self-consistent meta-generalized gradient approximation study of adsorption of
aromatic molecules on noble metal surfaces.
AB - The adsorption of benzene, pyridine, and two nucleobases on the Au(111) surface
has been investigated using a fully relaxed, self-consistent meta-generalized
gradient approximation (meta-GGA) density functional theory setup with the M06-L
functional. The meta-GGA based molecule-surface separations are shortened and the
adsorption bond strengths of the molecules are greatly improved over the
virtually non-interacting results obtained when using a plain GGA exchange
correlation functional. The nucleobases containing oxygen atoms show higher
corrugation with adsorption site and orientation than the other aromatic
molecules considered. The adsorption of pentacene is studied on Au, Ag, and Cu
surfaces. In agreement with experiment, the adsorption energies are found to
increase with decreasing nobleness, but the dependency is underestimated. We
point out how the kinetic energy density can discriminate between covalent and
non-covalent bonding regions of orbital overlap.
PMID- 21895212
TI - Thermodynamic stability of a bi-layer of copper nitride on Cu(100) surface.
AB - Ultrathin insulating films composed of a few atomic layers are being extensively
used for controlling the electronic coupling of nanostructures deposited on a
substrate. Ultrathin film, for example, a single layer of Cu(2)N deposited on a
Cu(100) surface (known as Cu(2)N/Cu(100) surface) has been used to determine the
spectral properties of nanomagnets using scanning tunneling spectroscopy.
However, recent experiments that measure spin relaxation times in a single atom
suggest that the single layer of Cu(2)N does not provide efficient electronic
decoupling. In this work, we study the thermodynamic stability of a bi-layer of
copper nitride on the Cu(100) surface. We calculate adsorption and co-adsorption
energies of Cu and N as a function of their concentration on the Cu(2)N/Cu(100)
surface using density functional theory. We find that the adsorption and co
adsorption energies of Cu and N on the Cu(2)N/Cu(100) surface are of the order of
a few eV. This suggests that the bi-layer of copper nitride is thermodynamically
stable on the Cu(100) surface. We also find that the work function of N-adsorbed
Cu(2)N/Cu(100) increases with the N concentration, suggesting a better insulating
character of the bi-layer of copper nitride on the Cu(100) surface.
PMID- 21895213
TI - Temperature-dependent growth shapes of Ni nanoclusters on NiAl(110).
AB - Scanning tunneling microscopy studies reveal that two-dimensional nanoscale Ni
islands formed by deposition of Ni on NiAl(110) between 200-400 K exhibit far
from-equilibrium growth shapes which change systematically with temperature.
Island structure reflects the two types of adsorption sites available for Ni
adatoms, and island shapes are controlled by the details of adatom diffusion
along island edges accounting for numerous local configurations. The temperature
dependence of the island shapes is captured and elucidated by kinetic Monte Carlo
simulation of a realistic atomistic-level multisite lattice-gas model
incorporating precise diffusion barriers. These barriers are obtained by
utilizing density functional theory to probe energetics not just at adsorption
sites but also at transition states for diffusion. This success demonstrates a
capability for predictive atomistic-level modeling of nanocluster formation and
shape selection in systems that have a high level of energetic and kinetic
complexity.
PMID- 21895214
TI - Exploring parameter space effects on structure-property relationships of
surfactants at liquid-liquid interfaces.
AB - The ubiquitous use of surfactants in commercial and industrial applications has
led to many experimental, theoretical, and simulation based studies. These
efforts seek to provide a molecular level understanding of the effects on
structuring behavior and the corresponding impacts on observable properties
(e.g., interfacial tension). With such physical detail, targeted system design
can be improved over typical techniques of observational trends and
phenomenological correlations by taking advantage of predictive system response.
This research provides a systematic study of part of the broad parameter space
effects on equilibrium microstructure and interfacial properties of amphiphiles
at a liquid-liquid interface using the interfacial statistical associating fluid
theory density functional theory as a molecular model for the system from the
bulk to the interface. Insights into the molecular level physics and
thermodynamics governing the system behavior are discussed as they relate to both
predictions qualitatively consistent with experimental observations and
extensions beyond currently available studies.
PMID- 21895215
TI - On the formation of hydrogen gas on copper in anoxic water.
AB - Hydrogen gas has been detected in a closed system containing copper and pure
anoxic water [P. Szakalos, G. Hultquist, and G. Wikmark, Electrochem. Solid-State
Lett. 10, C63 (2007) and G. Hultquist, P. Szakalos, M. Graham, A. Belonoshko, G.
Sproule, L. Grasjo, P. Dorogokupets, B. Danilov, T. Aastrup, G. Wikmark, G.
Chuah, J. Eriksson, and A. Rosengren, Catal. Lett. 132, 311 (2009)]. Although
bulk corrosion into any of the known phases of copper is thermodynamically
forbidden, the present paper shows how surface reactions lead to the formation of
hydrogen gas in limited amounts. While water cleavage on copper has been reported
and investigated before, formation of molecular hydrogen at a single-crystal
Cu[100] surface is here explored using density functional theory and transition
state theory. It is found that although solvent catalysis seems possible, the
fastest route to the formation of molecular hydrogen is the direct combination of
hydrogen atoms on the copper surface. The activation free energy
(DeltaG(s)(?)(f)) of hydrogen formation in condensed phase is 0.70 eV, which
corresponds to a rate constant of 10 s(-1) at 298.15 K, i.e., a relatively rapid
process. It is estimated that at least 2.4 ng hydrogen gas could form per cm(2)
on a perfect copper surface.
PMID- 21895216
TI - Colloidal interactions mediated by end-adsorbing polymer-like micelles.
AB - We derive a statistical mechanical model for colloidal interactions mediated by
polymer-like micelles (PLMs) that adsorb at the colloid surface. The model
considers the end-adsorption and reversible scission of ideal chains, and is
based on experimentally measurable parameters relevant to PLMs. The model
predicts interparticle attractions due to micellar bridging that are stronger and
longer-range than those encountered in ordinary telechelic polymers. Mapping the
analytical potential onto the more familiar Double Yukawa potential allows, for
the first time, accurate, a priori prediction of suspension microstructure and
phase behavior when compared to experimental data for model nanoparticles
dispersed in wormlike micelles over a range of solution conditions.
PMID- 21895217
TI - Renormalized one-loop theory of correlations in disordered diblock copolymers.
AB - A renormalized one-loop (ROL) theory developed in previous work [P. Grzywacz, J.
Qin, and D. C. Morse, Phys. Rev E. 76, 061802 (2007)] is used to calculate
corrections to the random phase approximation (RPA) for the structure factor S(q)
in disordered diblock copolymer melts. Predictions are given for the peak
intensity S(q*), peak position q*, and single-chain statistics for symmetric and
asymmetric copolymers as functions of chi(e)N, where chi(e) is an effective Flory
Huggins interaction parameter and N is the degree of polymerization. The ROL and
Fredrickson-Helfand (FH) theories are found to yield asymptotically equivalent
results for the dependence of the peak intensity S(q*) upon chi(e)N for symmetric
diblock copolymers in the limit of strong scattering, or large chi(e)N, but to
yield qualitatively different predictions for symmetric copolymers far from the
ODT and for asymmetric copolymers. The ROL theory predicts a suppression of S(q*)
and a decrease of q* for large values of chi(e)N, relative to the RPA
predictions, but an enhancement of S(q*) and an increase in q* for small chi(e)N.
The decrease in q* near the ODT is shown to be unrelated to any change in single
chain statistics, and to be a result of inter-molecular correlations. Conversely,
the predicted increase in q* at small values of chi(e)N is a direct result of non
Gaussian single-chain statistics.
PMID- 21895218
TI - Thermal rupture of linear alternate copolymers: a molecular dynamics study.
AB - The thermal rupture of a linear alternating copolymer fixed at one end and pulled
by a constant force at the other end has been studied using molecular dynamics
simulation. The dependence of the first breakage time distribution on the mass
ratio of the constituent beads has been studied. The Arrhenian nature of the
scission process has been confirmed and an estimate of the effective energy
barrier has been made.
PMID- 21895219
TI - Pairwise additivity of energy components in protein-ligand binding: the HIV II
protease-Indinavir case.
AB - An energy expansion (binding energy decomposition into n-body interaction terms
for n >= 2) to express the receptor-ligand binding energy for the fragmented HIV
II protease-Indinavir system is described to address the role of cooperativity in
ligand binding. The outcome of this energy expansion is compared to the total
receptor-ligand binding energy at the Hartree-Fock, density functional theory,
and semiempirical levels of theory. We find that the sum of the pairwise
interaction energies approximates the total binding energy to ~82% for HF and to
>95% for both the M06-L density functional and PM6-DH2 semiempirical method. The
contribution of the three-body interactions amounts to 18.7%, 3.8%, and 1.4% for
HF, M06-L, and PM6-DH2, respectively. We find that the expansion can be safely
truncated after n=3. That is, the contribution of the interactions involving more
than three parties to the total binding energy of Indinavir to the HIV II
protease receptor is negligible. Overall, we find that the two-body terms
represent a good approximation to the total binding energy of the system, which
points to pairwise additivity in the present case. This basic principle of
pairwise additivity is utilized in fragment-based drug design approaches and our
results support its continued use. The present results can also aid in the
validation of non-bonded terms contained within common force fields and in the
correction of systematic errors in physics-based score functions.
PMID- 21895220
TI - Effects of surface interactions on peptide aggregate morphology.
AB - The formation of peptide aggregates mediated by an attractive surface is
investigated using replica exchange molecular dynamics simulations with a coarse
grained peptide representation. In the absence of a surface, the peptides exhibit
a range of aggregate morphologies, including amorphous aggregates, beta-barrels
and multi-layered fibrils, depending on the chiral stiffness of the chain (a
measure of its beta-sheet propensity). In contrast, aggregate morphology in the
presence of an attractive surface depends more on surface attraction than on
peptide chain stiffness, with the surface favoring fibrillar structures. Peptide
peptide interactions couple to peptide-surface interactions cooperatively to
affect the assembly process both qualitatively (in terms of aggregate morphology)
and quantitatively (in terms of transition temperature and transition sharpness).
The frequency of ordered fibrillar aggregates, the surface binding transition
temperature, and the sharpness of the binding transition all increase with both
surface attraction and chain stiffness.
PMID- 21895221
TI - Electrostatics and aggregation: how charge can turn a crystal into a gel.
AB - The crystallization of proteins or colloids is often hindered by the appearance
of aggregates of low fractal dimension called gels. Here we study the effect of
electrostatics upon crystal and gel formation using an analytic model of hard
spheres bearing point charges and short range attractive interactions. We find
that the chief electrostatic free energy cost of forming assemblies comes from
the entropic loss of counterions that render assemblies charge-neutral. Because
there exists more accessible volume for these counterions around an open gel than
a dense crystal, there exists an electrostatic entropic driving force favoring
the gel over the crystal. This driving force increases with increasing sphere
charge, but can be counteracted by increasing counterion concentration. We show
that these effects cannot be fully captured by pairwise-additive macroion
interactions of the kind often used in simulations, and we show where on the
phase diagram to go in order to suppress gel formation.
PMID- 21895222
TI - Some aspects of the protein folding problem examined in one-dimensional systems.
AB - Some concepts, such as energy landscape, Gibbs energy landscape, and
cooperativity, frequently used in the theory of protein folding, are examined
exactly in one-dimensional systems. It is shown that much of the confusion that
exists regarding these, and other concepts arise from the misinterpretation of
Anfinsen's thermodynamic hypothesis.
PMID- 21895223
TI - Thermal and mechanical denaturation properties of a DNA model with three sites
per nucleotide.
AB - In this paper, we show that the coarse grain model for DNA, which has been
proposed recently by Knotts et al. [J. Chem. Phys. 126, 084901 (2007)], can be
adapted to describe the thermal and mechanical denaturation of long DNA sequences
by adjusting slightly the base pairing contribution. The adjusted model leads to
(i) critical temperatures for long homogeneous sequences that are in good
agreement with both experimental ones and those obtained from statistical models,
(ii) a realistic step-like denaturation behaviour for long inhomogeneous
sequences, and (iii) critical forces at ambient temperature of the order of 10
pN, close to measured values. The adjusted model furthermore supports the
conclusion that the thermal denaturation of long homogeneous sequences
corresponds to a first-order phase transition and yields a critical exponent for
the critical force equal to sigma = 0.70. This model is both geometrically and
energetically realistic, in the sense that the helical structure and the grooves,
where most proteins bind, are satisfactorily reproduced, while the energy and the
force required to break a base pair lie in the expected range. It therefore
represents a promising tool for studying the dynamics of DNA-protein specific
interactions at an unprecedented detail level.
PMID- 21895224
TI - Transfer matrix approach to the hydrogen-bonding in cellulose Ialpha fibrils
describes the recalcitrance to thermal deconstruction.
AB - Cellulosic biomass has the potential to serve as a major renewable energy source.
However, its strong recalcitrance to degradation hampers its large-scale use in
biofuel production. To overcome this problem, a detailed understanding of the
origins of the recalcitrance is required. One main biophysical phenomenon leading
to the recalcitrance is the high structural ordering of natural cellulose
fibrils, that arises largely from an extensive hydrogen-bond network between and
within cellulose polymers. Here, we present a lattice-based model of cellulose
I(alpha), one of the two major natural forms, at the resolution of explicit
hydrogen bonds. The partition function and thermodynamic properties are evaluated
using the transfer matrix method. Two competing hydrogen-bond patterns are found.
This plasticity of the hydrogen-bond network leads to an entropic contribution
stabilizing the crystalline fibril at intermediate temperatures. At these
temperatures, an enhanced probability of bonding between the individual cellulose
chains gives rise to increased resistance of the entire cellulose fibril to
degradation, before the final disassembly temperature is reached. The results are
consistent with the available crystallographic and IR spectroscopic experiments
on the thermostability of cellulose I(alpha).
PMID- 21895225
TI - Note: extraction of hydrogen bond thermodynamic properties of water from
dielectric constant and relaxation time data.
PMID- 21895226
TI - Invited article: The coherent optical laser beam recombination technique
(COLBERT) spectrometer: coherent multidimensional spectroscopy made easier.
AB - We have developed an efficient spectrometer capable of performing a wide variety
of coherent multidimensional measurements at optical wavelengths. The two major
components of the largely automated device are a spatial beam shaper which
controls the beam geometry and a spatiotemporal pulse shaper which controls the
temporal waveform of the femtosecond pulse in each beam. We describe how to
construct, calibrate, and operate the device, and we discuss its limitations. We
use the exciton states of a semiconductor nanostructure as a working example. A
series of complex multidimensional spectra-displayed in amplitude and real parts
reveals increasingly intricate correlations among the excitons.
PMID- 21895227
TI - Fabrication of silver tips for scanning tunneling microscope induced
luminescence.
AB - We describe a reliable fabrication procedure of silver tips for scanning
tunneling microscope (STM) induced luminescence experiments. The tip was first
etched electrochemically to yield a sharp cone shape using selected electrolyte
solutions and then sputter cleaned in ultrahigh vacuum to remove surface
oxidation. The tip status, in particular the tip induced plasmon mode and its
emission intensity, can be further tuned through field emission and voltage
pulse. The quality of silver tips thus fabricated not only offers atomically
resolved STM imaging, but more importantly, also allows us to perform challenging
"color" photon mapping with emission spectra taken at each pixel simultaneously
during the STM scan under relatively small tunnel currents and relatively short
exposure time.
PMID- 21895228
TI - Dual-trap optical tweezers with real-time force clamp control.
AB - Single molecule force clamp experiments are widely used to investigate how
enzymes, molecular motors, and other molecular mechanisms work. We developed a
dual-trap optical tweezers instrument with real-time (200 kHz update rate) force
clamp control that can exert 0-100 pN forces on trapped beads. A model for force
clamp experiments in the dumbbell-geometry is presented. We observe good
agreement between predicted and observed power spectra of bead position and force
fluctuations. The model can be used to predict and optimize the dynamics of real
time force clamp optical tweezers instruments. The results from a proof-of
principle experiment in which lambda exonuclease converts a double-stranded DNA
tether, held at constant tension, into its single-stranded form, show that the
developed instrument is suitable for experiments in single molecule biology.
PMID- 21895229
TI - High resolution extreme ultraviolet spectrometer for an electron beam ion trap.
AB - An extreme ultraviolet spectrometer has been developed for spectroscopic studies
of highly charged ions with an electron beam ion trap. It has a slit-less
configuration with a spherical varied-line-spacing grating that provides a flat
focal plane for grazing incidence light. Alternative use of two different
gratings enables us to cover the wavelength range 1-25 nm. Test observations with
the Tokyo electron beam ion trap demonstrate the high performance of the present
spectrometer such as a resolving power of above 1000.
PMID- 21895231
TI - Interface for time-resolved electrochemical infrared microspectroscopy using
synchrotron infrared radiation.
AB - A description of a coupled electrochemical and spectrometer interface using
synchrotron infrared radiation is provided. The interface described allows for
the precise and accurate timing needed for time-resolved IR spectroscopic studies
of electrochemical systems. The overall interface uses a series of transistor
transistor logic trigger signals generated from the commercial FTIR spectrometer
to regulate the recording of control, electrochemical, and IR signals with
reproducible and adjustable timing. The instrument has been tested using a thin
layer electrochemical cell with synchrotron light focused through microscope
optics. The time-resolved response of the benzoquinone/dihydroxybenzoquinone
redox couple is illustrated as an example of the instrument's capability.
PMID- 21895230
TI - A combined small- and wide-angle x-ray scattering detector for measurements on
reactive systems.
AB - A detector with high dynamic range designed for combined small- and wide-angle x
ray scattering experiments has been developed. It allows measurements on single
events and reactive systems, such as particle formation in flames and evaporation
of levitating drops. The detector consists of 26 channels covering a region from
0.5 degrees to 60 degrees and it provides continuous monitoring of the sampled
signal without readout dead time. The time resolution for fast single events is
about 40 MUs and for substances undergoing slower dynamics, the time resolution
is set to 0.1 or 1 s with hours of continuous sampling. The detector has been
used to measure soot particle formation in a flame, burning magnesium and
evaporation of a toluene drop in a levitator. The results show that the detector
can be used for many different applications with good outcomes and large
potential.
PMID- 21895232
TI - High resolution 3D gas-jet characterization.
AB - We present a tomographic characterization of gas jets employed for high-intensity
laser-plasma interaction experiments where the shape can be non-symmetrically.
With a Mach-Zehnder interferometer we measured the phase shift for different
directions through the neutral density distribution of the gas jet. From the
recorded interferograms it is possible to retrieve 3-dimensional neutral density
distributions by tomographic reconstruction based on the filtered back
projections. We report on criteria for the smallest number of recorded
interferograms as well as a comparison with the widely used phase retrieval based
on an Abel inversion. As an example for the performance of our approach, we
present the characterization of nozzles with rectangular openings or gas jets
with shock waves. With our setup we obtained a spatial resolution of less than 60
MUm for an Argon density as low as 2 * 10(17) cm(-3).
PMID- 21895233
TI - An LIF characterization of supersonic BO (X2Sigma+) and CN (X2Sigma+) radical
sources for crossed beam studies.
AB - Various ablation sources generating supersonic boron monoxide (BO; X(2)Sigma(+))
radical beams utilizing oxygen (O(2)), carbon dioxide (CO(2)), methanol
(CH(3)OH), and water (H(2)O) as seeding gases were characterized in a crossed
molecular beams setup by mass resolved time-of-flight spectroscopy and
spectroscopically via laser induced fluorescence. Intensities of the sources as
well as rovibrational energy distributions were analyzed. The molecular oxygen
source was found to produce excessive amount of an unwanted BO(2) byproduct.
Internal vibrational energy of boron monoxide generated in the water and methanol
sources was too high to be considered for the study of dynamics of ground state
radicals. The best combination of intensity, purity, and low internal energy was
found in the carbon dioxide source to generate boron monoxide. We successfully
tested the boron monoxide (BO; X(2)Sigma(+)) radical beam source in crossed beams
reactions with acetylene (C(2)H(2)) and ethylene (C(2)H(4)). The source was also
compared with supersonic beams of the isoelectronic cyano (CN; X(2)Sigma(+))
radical.
PMID- 21895234
TI - Creation of arbitrary spectra with an acousto-optic modulator and an injection
locked diode laser.
AB - We use a double-passed acousto-optic modulator (AOM), driven by an arbitrary
waveform generator to produce multiple frequency components for a laser with
arbitrary frequency spacings. A programmed sequence containing various sections
of radio-frequency sinusoidal signal at different frequency is applied to drive
the AOM. The diffracted light is used to injection-lock a diode laser. The
combined techniques allow us to generate the multi-line spectra for the diode
laser with arbitrary frequency spacings in the range of 100 MHz at a relatively
high output power of 80 mW and a small power variation of 2%. Such a light source
can be used in the application for laser cooling of molecules.
PMID- 21895235
TI - High spectral resolution, real-time, Raman spectroscopy in shock compression
experiments.
AB - The use of Raman measurements to examine molecular changes associated with shock
induced structural and chemical changes in condensed materials often poses two
challenging requirements: high spectral resolution and significantly reduced
background light. Here, we describe an experimental method that addresses these
requirements and provides better quality data than the time resolved approach
used previously. Representative measurements are presented for shock compression
of two energetic crystals: pentaerythritol tetranitrate and cyclotrimethylene
trinitramine. The high spectral resolution data have provided insight into
molecular changes that could not be obtained from lower-resolution, time-resolved
methods.
PMID- 21895236
TI - Offset phase locking of noisy diode lasers aided by frequency division.
AB - For heterodyne phase locking, frequency division of the beat note between two
oscillators can improve the reliability of the phase lock and the quality of the
phase synchronization. Frequency division can also reduce the size, weight,
power, and cost of the instrument by excluding the microwave synthesizer from the
control loop when the heterodyne offset frequency is large (5 to 10 GHz). We have
experimentally tested the use of a frequency divider in an optical phase-lock
loop and compared the achieved level of residual phase fluctuations between two
diode lasers with that achieved without the use of a frequency divider. The two
methods achieve comparable phase stability provided that sufficient loop gain is
maintained after frequency division to preserve the required bandwidth. We have
also numerically analyzed the noise properties and internal dynamics of phase
locked loops subjected to a high level of phase fluctuations, and our modeling
confirms the expected benefits of having an in-loop frequency divider.
PMID- 21895237
TI - Increasing the energy dynamic range of solid-state nuclear track detectors using
multiple surfaces.
AB - Solid-state nuclear track detectors, such as CR-39, are widely used in physics
and in many inertial confinement fusion (ICF) experiments. In the ICF
experiments, the particles of interest, such as D(3)He-protons, have ranges of
order of the detector thickness. In this case, the dynamic range of the detector
can be extended by recording data on both the front and back sides of the
detector. Higher energy particles which are undetectable on the front surface can
then be measured on the back of the detector. Studies of track formation under
the conditions on the front and back of the detector reveal significant
differences. Distinct front and back energy calibrations of CR-39 are therefore
necessary and are presented for protons. Utilizing multiple surfaces with
additional calibrations can extend the range of detectable energies on a single
piece of CR-39 by up to 7-8 MeV. The track formation process is explored with a
Monte Carlo code, which shows that the track formation difference between front
and back is due to the non-uniform ion energy deposition in matter.
PMID- 21895238
TI - An ultra-low energy (30-200 eV) ion-atomic beam source for ion-beam-assisted
deposition in ultrahigh vacuum.
AB - The paper describes the design and construction of an ion-atomic beam source with
an optimized generation of ions for ion-beam-assisted deposition under ultrahigh
vacuum (UHV) conditions. The source combines an effusion cell and an electron
impact ion source and produces ion beams with ultra-low energies in the range
from 30 eV to 200 eV. Decreasing ion beam energy to hyperthermal values (~10(1)
eV) without loosing optimum ionization conditions has been mainly achieved by the
incorporation of an ionization chamber with a grid transparent enough for
electron and ion beams. In this way the energy and current density of nitrogen
ion beams in the order of 10(1) eV and 10(1) nA/cm(2), respectively, have been
achieved. The source is capable of growing ultrathin layers or nanostructures at
ultra-low energies with a growth rate of several MLs/h. The ion-atomic beam
source will be preferentially applied for the synthesis of GaN under UHV
conditions.
PMID- 21895239
TI - A Thomson parabola ion imaging spectrometer designed to probe relativistic
intensity ionization dynamics of nanoclusters.
AB - Conventional techniques of probing ionization dynamics at relativistic
intensities for extended target systems such as clusters are difficult both due
to problems of achieving good charge resolution and signal integration over the
focal volume. Simultaneous measurement of arrival time, necessary for these
systems, has normally involved complicated methods. We designed and developed a
Thomson parabola imaging spectrometer that overcomes these problems. Intensity
sampling method evolved in this report is proved to be mandatory for probing
ionization dynamics of clusters at relativistic intensities. We use this method
to measure charge resolved kinetic energy spectra of argon nanoclusters at
intensities of 4 * 10(18) W cm(-2).
PMID- 21895240
TI - Profiling of barrier capacitance and spreading resistance using a transient
linearly increasing voltage technique.
AB - A technique for the combined measurement of barrier capacitance and spreading
resistance profiles using a linearly increasing voltage pulse is presented. The
technique is based on the measurement and analysis of current transients, due to
the barrier and diffusion capacitance, and the spreading resistance, between a
needle probe and sample. To control the impact of deep traps in the barrier
capacitance, a steady state bias illumination with infrared light was employed.
Measurements of the spreading resistance and barrier capacitance profiles using a
stepwise positioned probe on cross sectioned silicon pin diodes and pnp
structures are presented.
PMID- 21895241
TI - A solid-state Marx generator driven Einzel lens chopper.
AB - A new type of pulse chopper called an Einzel lens chopper is described. An Einzel
lens placed immediately after an electron cyclotron resonance ion source is
driven by high-voltage pulses generated by a newly developed solid-state Marx
generator. A rectangular negative barrier pulse-voltage is controlled in time,
and the barrier pulse is turned on only when a beam pulse is required. The
results of successful experiments are reported herein.
PMID- 21895242
TI - Development of a real time monitor and multivariate method for long term
diagnostics of atmospheric pressure dielectric barrier discharges: application to
He, He/N2, and He/O2 discharges.
AB - In this paper we present the development and application of a real time
atmospheric pressure discharge monitoring diagnostic. The software based
diagnostic is designed to extract latent electrical and optical information
associated with the operation of an atmospheric pressure dielectric barrier
discharge (APDBD) over long time scales. Given that little is known about long
term temporal effects in such discharges, the diagnostic methodology is applied
to the monitoring of an APDBD in helium and helium with both 0.1% nitrogen and
0.1% oxygen gas admixtures over periods of tens of minutes. Given the large
datasets associated with the experiments, it is shown that this process is much
expedited through the novel application of multivariate correlations between the
electrical and optical parameters of the corresponding chemistries which, in
turn, facilitates comparisons between each individual chemistry also. The results
of these studies show that the electrical and optical parameters of the discharge
in helium and upon the addition of gas admixtures evolve over time scales far
longer than the gas residence time and have been compared to current modelling
works. It is envisaged that the diagnostic together with the application of
multivariate correlations will be applied to rapid system identification and
prototyping in both experimental and industrial APDBD systems in the future.
PMID- 21895243
TI - New signal processing technique for density profile reconstruction using
reflectometry.
AB - Reflectometry profile measurement requires an accurate determination of the
plasma reflected signal. Along with a good resolution and a high signal to noise
ratio of the phase measurement, adequate data analysis is required. A new data
processing based on time-frequency tomographic representation is used. It
provides a clearer separation between multiple components and improves isolation
of the relevant signals. In this paper, this data processing technique is applied
to two sets of signals coming from two different reflectometer devices used on
the Tore Supra tokamak. For the standard density profile reflectometry, it
improves the initialization process and its reliability, providing a more
accurate profile determination in the far scrape-off layer with density
measurements as low as 10(16) m(-1). For a second reflectometer, which provides
measurements in front of a lower hybrid launcher, this method improves the
separation of the relevant plasma signal from multi-reflection processes due to
the proximity of the plasma.
PMID- 21895244
TI - Tritium plasma experiment: parameters and potentials for fusion plasma-wall
interaction studies.
AB - The tritium plasma experiment (TPE) is a unique facility devoted to experiments
on the behavior of deuterium/tritium in toxic (e.g., beryllium) and radioactive
materials for fusion plasma-wall interaction studies. A Langmuir probe was added
to the system to characterize the plasma conditions in TPE. With this new
diagnostic, we found the achievable electron temperature ranged from 5.0 to 10.0
eV, the electron density varied from 5.0 * 10(16) to 2.5 * 10(18) m(-3), and the
ion flux density varied between 5.0 * 10(20) to 2.5 * 10(22) m(-2) s(-1) along
the centerline of the plasma. A comparison of these plasma parameters with the
conditions expected for the plasma facing components (PFCs) in ITER shows that
TPE is capable of achieving most (~800 m(2) of 850 m(2) total PFCs area) of the
expected ion flux density and electron density conditions.
PMID- 21895245
TI - Compact device for cleaning scanner-mounted scanning tunneling microscope tips
using electron bombardment.
AB - Most scanning probe techniques rely on the assumption that both sample and tip
are free from adsorbates, residues, and oxide not deposited intentionally.
Getting a clean sample surface can be readily accomplished by applying ion
sputtering and subsequent annealing, whereas finding an adequate treatment for
tips is much more complicated. The method of choice would effectively desorb
undesired compounds without reducing the sharpness or the general geometry of the
tip. Several devices which employ accelerated electrons to achieve this are
described in the literature. To minimize both the effort to implement this
technique in a UHV chamber and the overall duration of the cleaning procedure, we
constructed a compact electron source fitted into a sample holder, which can be
operated in a standard Omicron variable-temperature (VT)-STM while the tip stays
in place. This way a maximum of compatibility with existing systems is achieved
and short turnaround times are possible for tip cleaning.
PMID- 21895246
TI - Systematic analyses of vibration noise of a vibration isolation system for high
resolution scanning tunneling microscopes.
AB - We designed and constructed an effective vibration isolation system for stable
scanning tunneling microscopy measurements using a separate foundation and two
vibration isolation stages (i.e., a combination of passive and active vibration
isolation dampers). Systematic analyses of vibration data along the horizontal
and vertical directions are present, including the vibration transfer functions
of each stage and the overall vibration isolation system. To demonstrate the
performance of the system, tunneling current noise measurements are conducted
with and without the vibration isolation. Combining passive and active vibration
isolation dampers successfully removes most of the vibration noise in the
tunneling current up to 100 Hz. These comprehensive vibration noise data, along
with details of the entire system, can be used to establish a clear guideline for
building an effective vibration isolation system for various scanning probe
microscopes and electron microscopes.
PMID- 21895247
TI - Dynamic timber cell recognition using two-dimensional image measurement machine.
AB - Image motion blur and defocus blur often occur when there is a relative motion
between the imaging camera and the detected object. In this paper, we propose a
robust timber cell recognition scheme using the low quality color timber cell
images with the above-mentioned image blurs. First, a novel two-dimensional image
measurement machine is devised, to obtain the object images sequentially by using
a color camera. Second, the image-moment-based blur invariant features are
calculated. Third, timber cell recognition is performed by using the computed
Euclidean distance based on the moment invariants. We have experimentally proved
that the effective use of image blur information improves the recognition
accuracy of camera-captured timber cells. Moreover, the allowed maximum
translation speed of the moving gallery is also discussed theoretically and
experimentally. This scheme can identify the timber species by means of the cell
recognition so as to judge the physical property and economic value of different
timber species correctly.
PMID- 21895248
TI - Angle dependence of the interaction distance in the shear force technique.
AB - We study the interaction distance in the lateral force detection, using a
standard quartz tuning fork as a force transducer. That is the distance at which
the interaction sample-probe starts to be detected. We study in particular the
dependence on the approaching angle. For angles smaller than 0.366 radians, we
found an exponential behavior of the interaction distance as a function of the
approaching angle. We show an equation that adjusts well with the experimental
data, and discuss the possible phenomena.
PMID- 21895249
TI - Cranz-Schardin camera with a large working distance for the observation of small
scale high-speed flows.
AB - The Cranz-Schardin camera utilizes a Q-switched Nd:YAG laser and four single CCD
cameras. Light pulse energy in the range of 25 mJ and pulse duration of about 5
ns is provided by the laser. The laser light is converted to incoherent light by
Rhodamine-B fluorescence dye in a cuvette. The laser beam coherence is
intentionally broken in order to avoid speckle. Four light fibers collect the
fluorescence light and are used for illumination. Different light fiber lengths
enable a delay of illumination between consecutive images. The chosen interframe
time is 25 ns, corresponding to 40 * 10(6) frames per second. Exemplarily, the
camera is applied to observe the bow shock in front of a water jet, propagating
in air at supersonic speed. The initial phase of the formation of a jet structure
is recorded.
PMID- 21895250
TI - Scanning magneto-optical Kerr microscope with auto-balanced detection scheme.
AB - We have developed a scanning magneto-optical Kerr microscope dedicated to
localization and measurement of the in-plane magnetization of ultra-thin layered
magnetic nanostructures with high sensitivity and signal-to-noise ratio. The
novel light detection scheme is based on a differential photodetector with
automatic common mode noise rejection system with a high noise suppression up to
50 dB. The sensitivity of the developed detection scheme was tested by
measurement of a single Co layer and a giant magnetoresistance (GMR) multilayer
stack. The spatial resolution of the Kerr microscope was demonstrated by mapping
an isolated 5*5 MUm spin-valve pillar.
PMID- 21895251
TI - BioPhotonics workstation: a versatile setup for simultaneous optical
manipulation, heat stress, and intracellular pH measurements of a live yeast
cell.
AB - In this study we have modified the BioPhotonics workstation (BWS), which allows
for using long working distance objective for optical trapping, to include
traditional epi-fluorescence microscopy, using the trapping objectives. We have
also added temperature regulation of sample stage, allowing for fast temperature
variations while trapping. Using this modified BWS setup, we investigated the
internal pH (pH(i)) response and membrane integrity of an optically trapped
Saccharomyces cerevisiae cell at 5 mW subject to increasing temperatures. The
pH(i) of the cell is obtained from the emission of 5-(and-6)-carboxyfluorescein
diacetate, succinimidyl ester, at 435 and 485 nm wavelengths, while the
permeability is indicated by the fluorescence of propidium iodide. We present
images mapping the pH(i) and permeability of the cell at different temperatures
and with enough spatial resolution to localize these attributes within the cell.
The combined capability of optical trapping, fluorescence microscopy and
temperature regulation offers a versatile tool for biological research.
PMID- 21895252
TI - Humidity response properties of a potentiometric sensor using LaF3 thin film as
the solid electrolyte.
AB - A thin-film type potentiometric sensor has been prepared by the implementation of
electro-beam evaporation, rf magnetron sputtering methods, and micromachining
processes. Sn film was deposited on n-Si/SiO(2) (400 nm) substrate. A deposited
LaF(3) film was applied as solid electrolyte and sputtered Pt film was used as
the sensing electrode. The patterns of the Pt and LaF(3) were realized by the
micromachining processes. The LaF(3) film was characterized by scanning electron
microscopy and energy dispersive x ray. Saturated aqueous solutions were used to
achieve controlled humidity environments. When the sensor was exposed to humidity
environments, the electromotive force (EMF) of the sensor was examined. It was
found that the sensor varies with the relative humidity (RH). The stable response
curve was presented and non-Nernst behavior between the average EMF values and RH
may be shown.
PMID- 21895253
TI - Rheo-small-angle neutron scattering at the National Institute of Standards and
Technology Center for Neutron Research.
AB - We describe the design and operation of a modified commercial rheometer to
simultaneously perform rheological measurements and structural studies by small
angle neutron scattering (SANS). The apparatus uses a Couette geometry shear cell
allowing two of the three scattering planes to be observed by performing
experiments in either the radial or tangential geometries. The device enables
small angle neutron scattering patterns to be obtained simultaneously with a wide
variety of rheological measurements such as stress/strain flow curves,
oscillatory deformations, and creep, recovery and relaxation tests, from -20
degrees C to 150 degrees C, for samples with viscosities varying by several
orders of magnitude. We give a brief report of recent experiments performed on a
dispersion of acicular nanoparticles and biopolymer network under stress
demonstrating the utility of such measurements. This device has been developed at
the National Institute of Standards and Technology's Center for Neutron Research
(NCNR) and made available to the complex fluids community as part of the standard
sample environment equipment.
PMID- 21895254
TI - A high temperature high pressure cell for quasielastic neutron scattering.
AB - We present our recent development of a high temperature high pressure cell for
neutron scattering. Combining a water cooled Nb1Zr pressure cell body with an
internal heating furnace, the sample environment can reach temperatures of up to
1500 K at a pressure of up to 200 MPa at the sample position, with an available
sample volume of about 700 mm(3). The cell material Nb1Zr is specifically chosen
due to its reasonable mechanical strength at elevated temperatures and fairly
small neutron absorption and incoherent scattering cross sections. With this
design, an acceptable signal-to-noise ratio of about 10:1 can be achieved. This
opens new possibilities for quasielastic neutron scattering studies on different
types of neutron spectrometers under high temperature high pressure conditions,
which is particularly interesting for geological research on, e.g., water
dynamics in silicate melts.
PMID- 21895255
TI - Laboratory test reactor for the investigation of liquid reducing agents in the
selective catalytic reduction of NOx.
AB - A test reactor was designed and built for investigating liquid reducing agents in
the selective catalytic reduction (SCR) process in the laboratory. The design of
the experimental setup is described in detail and its performance was evaluated.
Using a glass nebulizer, liquid reducing agents were sprayed directly onto a
catalyst positioned in a heated glass reactor with a length of 250 mm and an
internal diameter of 20.4 mm or 40 mm. Model exhaust gases were mixed from
individual gas components and were heated up to 450 degrees C in a heat
exchanger before entering the reactor. The off-gas was analyzed using two
complimentary techniques, a multi-component online FTIR gas analysis and a liquid
quench gas absorption setup, to detect higher molecular compounds and aerosols.
Due to the versatility of construction, processes not related to SCR, but
involving three-phase reactions with gases, liquids and a catalyst, can also be
investigated.
PMID- 21895256
TI - High-temperature and high-pressure pulsed synthesis apparatus for supercritical
production of nanoparticles.
AB - In materials science continuous flow supercritical fluid reactors are widely used
for highly controlled synthesis of nanoparticles. The major limitation of
continuous flow reactors is that the inherent distribution of residence times
leads to broadening of the corresponding size distribution of the nanoparticles,
and in addition it is not possible to carry out synthesis with very short or very
long reaction times. Here, we report a new synthesis concept that we call pulsed
synthesis, which removes the limitations of flow synthesis at the expense of a
more complex reactor design and extensive computer control. Another limitation of
flow synthesis is that it is largely a black box, where limited direct
information is available of the specific chemical reactions taking place, the
particle nucleation, the particle growth, etc. Such information is commonly
obtained from in situ synchrotron and neutron scattering studies, but transfer of
information from in situ studies with static reactors to laboratory flow reactor
conditions is highly non-trivial. The new pulse reactor provides superior heating
rates, arbitrary residence times with narrow distribution limited only by the
pulse duration, and the ability of using the same reactor both for nanoparticle
production and in situ synchrotron studies; thus eliminating the need for
transfer of in situ information to laboratory reactor designs.
PMID- 21895257
TI - A shock tube with a high-repetition-rate time-of-flight mass spectrometer for
investigations of complex reaction systems.
AB - A conventional membrane-type stainless steel shock tube has been coupled to a
high-repetition-rate time-of-flight mass spectrometer (HRR-TOF-MS) to be used to
study complex reaction systems such as the formation of pollutants in combustion
processes or formation of nanoparticles from metal containing organic compounds.
Opposed to other TOF-MS shock tubes, our instrument is equipped with a modular
sampling unit that allows to sample with or without a skimmer. The skimmer unit
can be mounted or removed in less than 10 min. Thus, it is possible to adjust the
sampling procedure, namely, the mass flux into the ionization chamber of the HRR
TOF-MS, to the experimental situation imposed by species-specific ionization
cross sections and vapor pressures. The whole sampling section was optimized with
respect to a minimal distance between the nozzle tip inside the shock tube and
the ion source inside the TOF-MS. The design of the apparatus is presented and
the influence of the skimmer on the measured spectra is demonstrated by comparing
data from both operation modes for conditions typical for chemical kinetics
experiments. The well-studied thermal decomposition of acetylene has been used as
a test system to validate the new setup against kinetics mechanisms reported in
literature.
PMID- 21895258
TI - Infrared multiphoton dissociation tandem charge detection-mass spectrometry of
single megadalton electrosprayed ions.
AB - This work presents the implementation of tandem mass spectrometry for experiments
on single electrosprayed ions from compounds of megadalton (MDa) molecular
weight, using two charge detection devices. The first mass spectrometry stage
(first charge detection device) combined with an ion gate allows both mass-to
charge ratio and charge selections of the megadalton ion of interest. The second
stage is based on an electrostatic ion trap and consists of an image charge
detection tube mounted between two ion mirrors. Single MDa ions can be stored for
several dozen milliseconds. During the trapping time, single ions can be
irradiated by a continuous wavelength CO(2) laser. We observe stepwise changes in
the charge of a single trapped ion owing to multiphoton activation. Illustration
of infrared multiphoton dissociation tandem mass spectrometry are given for
single megadalton ions of poly(ethylene oxide)s and DNAs.
PMID- 21895259
TI - Non-invasive and high-sensitivity scanning detection of magnetic nanoparticles in
animals using high-Tc scanning superconducting-quantum-interference-device
biosusceptometry.
AB - Although magnetic nanoparticles (MNPs) have been widely applied to animals in
biomedicine, MNPs within animals should be examined in real time, in vivo, and
without bio-damaged possibility to evaluate whether the bio-function of MNPs is
valid or to further controls the biomedicinal process because of accompanying
complex problems such as MNPs distribution and MNPs biodegradation. The non
invasive and high-sensitivity scanning detection of MNPs in animals using ac
susceptometry based on a high-T(c) superconducting quantum interference device
(SQUID) is presented. The non-invasive results and biopsy results show good
agreement, and two gold-standard biomedicine methods, Prussian blue stain and
inductively coupled plasma, prove the magnetic results. This confirms that the
future clinical diagnosis of bio-functional MNPs could be operated by using
scanning SQUID biosusceptometry as conveniently as an ultrasonic probe.
PMID- 21895260
TI - Temperature dependence dynamical permeability characterization of magnetic thin
film using near-field microwave microscopy.
AB - A temperature dependence characterization system of microwave permeability of
magnetic thin film up to 5 GHz in the temperature range from room temperature up
to 423 K is designed and fabricated as a prototype measurement fixture. It is
based on the near field microwave microscopy technique (NFMM). The scaling
coefficient of the fixture can be determined by (i) calibrating the NFMM with a
standard sample whose permeability is known; (ii) by calibrating the NFMM with an
established dynamic permeability measurement technique such as shorted microstrip
transmission line perturbation method; (iii) adjusting the real part of the
complex permeability at low frequency to fit the value of initial permeability.
The algorithms for calculating the complex permeability of magnetic thin films
are analyzed. A 100 nm thick FeTaN thin film deposited on Si substrate by
sputtering method is characterized using the fixture. The room temperature
permeability results of the FeTaN film agree well with results obtained from the
established short-circuited microstrip perturbation method. Temperature
dependence permeability results fit well with the Landau-Lifshitz-Gilbert
equation. The temperature dependence of the static magnetic anisotropy H(K)(sta),
the dynamic magnetic anisotropy H(K)(dyn), the rotational anisotropy H(rot),
together with the effective damping coefficient alpha(eff), ferromagnetic
resonance f(FMR), and frequency linewidth Deltaf of the thin film are
investigated. These temperature dependent magnetic properties of the magnetic
thin film are important to the high frequency applications of magnetic devices at
high temperatures.
PMID- 21895261
TI - Digitally gain controlled linear high voltage amplifier for laboratory
applications.
AB - The design of a digitally gain controlled high-voltage non-inverting bipolar
linear amplifier is presented. This cost efficient and relatively simple circuit
has stable operation range from dc to 90 kHz under the load of 10 kOmega and 39
pF. The amplifier can swing up to 360 V(pp) under these conditions and it has 2.5
MUs rise time. The gain can be changed by the aid of JFETs. The amplifiers have
been realized using a combination of operational amplifiers and high-voltage
discrete bipolar junction transistors. The circuit details and performance
characteristics are discussed.
PMID- 21895262
TI - Design and performance of a pulse transformer based on Fe-based nanocrystalline
core.
AB - A dry-type pulse transformer based on Fe-based nanocrystalline core with a load
of 0.88 nF, output voltage of more than 65 kV, and winding ratio of 46 is
designed and constructed. The dynamic characteristics of Fe-based nanocrystalline
core under the impulse with the pulse width of several microseconds were studied.
The pulse width and incremental flux density have an important effect on the
pulse permeability, so the pulse permeability is measured under a certain pulse
width and incremental flux density. The minimal volume of the toroidal pulse
transformer core is determined by the coupling coefficient, the capacitors of the
resonant charging circuit, incremental flux density, and pulse permeability. The
factors of the charging time, ratio, and energy transmission efficiency in the
resonant charging circuit based on magnetic core-type pulse transformer are
analyzed. Experimental results of the pulse transformer are in good agreement
with the theoretical calculation. When the primary capacitor is 3.17 MUF and
charge voltage is 1.8 kV, a voltage across the secondary capacitor of 0.88 nF
with peak value of 68.5 kV, rise time (10%-90%) of 1.80 MUs is obtained.
PMID- 21895263
TI - A repetitive S-band long-pulse relativistic backward-wave oscillator.
AB - This paper presents both numerical and experimental studies of a repetitive S
band long-pulse relativistic backward-wave oscillator. The dispersion relation
curve of the main slow-wave structure is given by the numerical calculation.
Experimental results show that a 1 GW microwaves with pulse duration of about 100
ns (full width of half magnitude) under 10 Hz repetitive operation mode are
obtained. The microwave frequency is 3.6 GHz with the dominant mode of TM(01),
and power conversion efficiency is about 20%. The single pulse energy is about
100 J. The experimental results are in good agreement with the simulation ones.
By analyzing the experimental phenomenon, we obtain the conclusion that the
explosive emission on the surface of the electrodynamics structure in intense
radio frequency field mainly leads to the earlier unexpected termination of
microwave output.
PMID- 21895264
TI - High voltage pulse shaping of e-beam diode using perveance variation.
AB - This paper presents a new high voltage pulse shaping methodology for pulsed power
applications. The aim is to generate high voltage square pulse across anode
cathode gap of e-beam diodes. The non-linear time varying perveance
characteristics of e-beam diodes are used for shaping of output voltage pulse
across it, generated directly from Marx generator. Analytically, it has been
shown in the paper that under certain conditions, if achieved, Marx generator
feeding an e-beam diode can produce a square-like pulse at the output, without
any extra pulse shaping arrangements. Experimental results to support the
analysis are also presented in the paper.
PMID- 21895265
TI - Optical temperature sensor based on ZnO thin film's temperature-dependent optical
properties.
AB - A reflective fiber temperature sensor system based on the ZnO thin film is
proposed. The transmittance spectra and temperature dependent optical property of
the sensing head with ZnO thin film is investigated theoretically and
experimentally, and the temperature resolution of ~1 degrees C is obtained in
the temperature region of 300-773 K. The temperature sensing system is only
related to the wavelength shift of transmittance spectra, and has a high
stability without depending on the incident light intensity. This research
results also indicate a fiber optical sensor with a broad temperature measurement
range (10-1800 K) can be gained as a promising temperature sensing device, and
can be applied into some extremely environments, such as aircraft, nuclear power
station and power transmission system, and so on.
PMID- 21895266
TI - Characteristics and measurement of supersonic projectile shock waves by a 32
microphone ring array.
AB - This paper discusses about the characteristics of supersonic projectile shock
wave in muzzle region during firing of high explosive anti-tank (HEAT) and high
explosive (HE) projectiles. HEAT projectiles are fired horizontally at a muzzle
velocity of Mach 3.5 from a medium caliber tank gun equipped with a newly
designed multi-perforated muzzle brake, whereas HE projectiles are fired at
elevation angles at a muzzle velocity of Mach 2 from a large caliber howitzer
equipped with a newly designed double-baffle muzzle brake. In the near field,
pressure signatures of the N-wave generated from projectiles are measured by 32
microphone ring array wrapped by cotton sheath. Records measured by the
microphone array are used to demonstrate several key characteristics of the shock
wave of supersonic projectile. All measurements made in this study can be a
significant reference for developing guns, tanks, or the chassis of fighting
vehicles.
PMID- 21895267
TI - New photoacoustic cell design for studying aqueous solutions and gels.
AB - A new photoacoustic (PA) cell design, which is particularly suitable for
investigations of liquids, gels, and outgassing samples is presented. The setup
is based on a PA cell of only 78.5 mm(3) volume, which is sealed on the sample
side with either a 163 MUm thick chemical vapor deposition diamond window or a
3.91 MUm thin diamond membrane. This design offers great advantages compared to
traditionally used open-ended PA cells especially when investigating volatile
compounds. The new PA cell design is particularly interesting in the studies of
biological samples characterized by a high water content. The performance was
demonstrated with mid-infrared PA measurements of glucose in aqueous solutions
using a tunable quantum-cascade laser as a light source. A detection limit of 100
mg/dl (SNR = 3) has been achieved. Furthermore, the spectral changes of glucose
dissolved in water caused by mutorotation have been monitored time-resolved.
PMID- 21895268
TI - Dynamic junction temperature measurement for high power light emitting diodes.
AB - Junction temperature of high power light emitting diodes (LEDs), which is crucial
for the thermal management of solid-state lighting, needs to be measured
accurately. In this paper, a dynamic junction temperature measurement system for
LEDs was proposed and the calibration including instrument calibration and factor
K calibration were presented. The influence of the fast switch time in dynamic
junction temperature test was analyzed and measurement errors caused by sampling
delay were quantified. To prove the accuracy of the present system, comparison
experiment was conducted. It shows a good agreement between the experimental data
and reference value. Experiments also show that the measurement accuracy of the
instrument can be up to 0.1 degrees C, and the standard error of temperature
measurement can be controlled within 1%.
PMID- 21895269
TI - Quantitative calcium resistivity based method for accurate and scalable water
vapor transmission rate measurement.
AB - The development of flexible organic light emitting diode displays and flexible
thin film photovoltaic devices is dependent on the use of flexible, low-cost,
optically transparent and durable barriers to moisture and/or oxygen. It is
estimated that this will require high moisture barriers with water vapor
transmission rates (WVTR) between 10(-4) and 10(-6) g/m(2)/day. Thus there is a
need to develop a relatively fast, low-cost, and quantitative method to evaluate
such low permeation rates. Here, we demonstrate a method where the resistance
changes of patterned Ca films, upon reaction with moisture, enable one to
calculate a WVTR between 10 and 10(-6) g/m(2)/day or better. Samples are
configured with variable aperture size such that the sensitivity and/or
measurement time of the experiment can be controlled. The samples are connected
to a data acquisition system by means of individual signal cables permitting
samples to be tested under a variety of conditions in multiple environmental
chambers. An edge card connector is used to connect samples to the measurement
wires enabling easy switching of samples in and out of test. This measurement
method can be conducted with as little as 1 h of labor time per sample.
Furthermore, multiple samples can be measured in parallel, making this an
inexpensive and high volume method for measuring high moisture barriers.
PMID- 21895270
TI - Self-integrating inductive loop for measuring high frequency pulses.
AB - High frequency pulses can be measured by means of inductive sensors. The main
advantage of these sensors consists of non-contact measurements that isolate and
protect measuring equipment. The objective of this paper is to present the
implementation of an inductive sensor for measuring rapidly varying currents. It
consists of a rectangular loop with a resistor at its terminals. The inductive
loop gives the derivative of the current according to Faraday's law and the
resistor connected to the loop modifies the sensor's frequency response to obtain
an output proportional to the current pulse. The self-integrating inductive
sensor was validated with two sensors, a non-inductive resistor and a commercial
high frequency current transformer. The results were compared to determine the
advantages and drawbacks of the probe as an adequate inductive transducer.
PMID- 21895271
TI - A range-based method to calibrate a magnetic spectrometer measuring the energy
spectrum of the backward electron beam of a plasma focus.
AB - The electron beam emitted from the back of plasma focus devices is being studied
as a radiation source for intraoperative radiation therapy applications. A plasma
focus device is being developed for this purpose, and there is a need for
characterizing its electron beam, particularly, insofar as the energy spectrum is
concerned. The instrument used is a magnetic spectrometer. To calibrate this
spectrometer, a procedure relying on the energy-range relation in Mylar(r) has
been devised and applied. By measuring the transmission through increasing
thicknesses of the material, electron energies could be assessed and compared to
the spectrometer readings. Thus, the original calibration of the instrument has
been extended to higher energies and also to better accuracy. Methods and results
are presented.
PMID- 21895272
TI - High-speed analog fiber-optic link for electromagnetic interference suppression
in infrared power measurement.
AB - Electromagnetic interference (EMI) is a common problem in a high-power pulsed
infrared laser measurement. In order to eliminate susceptibility to radiated EMI,
we developed, tested and implemented an infrared power measurement system using a
high-speed analog fiber-optic link. Key components are commercially available
parts designed for high-speed digital data transmission, but can be operated in
analog mode. We successfully utilized the system for time-resolved measurements
of high-power transversely-excited atmospheric-pressure CO(2) lasers in amplifier
and oscillator configurations. This paper presents experimental setup, testing
results, and the details of the laser power measurements results.
PMID- 21895273
TI - A novel smooth impact drive mechanism actuation method with dual-slider for a
compact zoom lens system.
AB - In this paper, a novel actuation method for a smooth impact drive mechanism that
positions dual-slider by a single piezo-element is introduced and applied to a
compact zoom lens system. A mode chart that determines the state of the slider at
the expansion or shrinkage periods of the piezo-element is presented, and the
design guide of a driving input profile is proposed. The motion of dual-slider
holding lenses is analyzed at each mode, and proper modes for zoom functions are
selected for the purpose of positioning two lenses. Because the proposed
actuation method allows independent movement of two lenses by a single piezo
element, the zoom lens system can be designed to be compact. For a feasibility
test, a lens system composed of an afocal zoom system and a focusing lens was
developed, and the passive auto-focus method was implemented.
PMID- 21895274
TI - One hundred anode microchannel plate ion detector.
AB - A one-hundred-anode microchannel plate detector is constructed on a 10 cm * 15 cm
printed circuit board and attached to a homebuilt matrix assisted laser
desorption ionization (MALDI) time-of-flight mass spectrometer. Ringing and cross
talk between anodes have been successfully eliminated and preliminary mass
spectra of peptide ions recorded. With one hundred anodes on the printed circuit
board, spatial information about the ion beam can also be readily determined with
this detector. During operation, the detector anode assembly loses sensitivity
after ions strike it for a considerable period of time due to charging of the non
conductive regions between anodes. However, this effect can be minimized by
deflecting matrix ions away from the detector.
PMID- 21895275
TI - Experimental study of a semi-passive ventilation grille with a feedback control
system.
AB - The diffusion of window frames with low air permeability, due to the energy
saving regulations, has implied in several cases the worsening of the indoor
microclimate and air quality. On the other hand, air-tight window frames imply
uncontrolled and too high air change rates. The mechanical ventilation not always
is a practicable solution because of economic reasons and because it implies
energy waste. Various Italian and European environmental and energetic laws take
into consideration and promote the use of controlled natural ventilation, though
this definition is not associated to well defined and tested technical solutions.
An adequate solution can be achieved by using semi-passive self adjustable
ventilation devices, able to ensure controlled changes of indoor air. In this
paper, a semi-passive damper with a feedback control system is proposed and its
behavior is investigated by means of experimental study. The presented semi
passive grille allows to control the air flow rate, injected into the room by
natural or artificial pressure gradient, more effectively than the usual passive
ventilation grilles made available by the present industrial production. However,
since the semi-passive grille has a one-way flow, in the natural ventilation of a
flat the proper functioning of the system could be ensured with a more complex
configuration, with respect to the passive self-regulating grilles, able to limit
the flow of fresh air in the presence of high levels of Deltap; conversely, it
could have widespread use in applications requiring a more accurate control of
airflow in case of mechanical ventilation plants.
PMID- 21895276
TI - The new cold neutron chopper spectrometer at the Spallation Neutron Source:
design and performance.
AB - The design and performance of the new cold neutron chopper spectrometer (CNCS) at
the Spallation Neutron Source in Oak Ridge are described. CNCS is a direct
geometry inelastic time-of-flight spectrometer, designed essentially to cover the
same energy and momentum transfer ranges as IN5 at ILL, LET at ISIS, DCS at NIST,
TOFTOF at FRM-II, AMATERAS at J-PARC, PHAROS at LANSCE, and NEAT at HZB, at
similar energy resolution. Measured values of key figures such as neutron flux at
sample position and energy resolution are compared between measurements and ray
tracing Monte Carlo simulations, and good agreement (better than 20% of absolute
numbers) has been achieved. The instrument performs very well in the cold and
thermal neutron energy ranges, and promises to become a workhorse for the neutron
scattering community for quasielastic and inelastic scattering experiments.
PMID- 21895277
TI - A time-of-flight backscattering spectrometer at the Spallation Neutron Source,
BASIS.
AB - We describe the design and current performance of the backscattering silicon
spectrometer (BASIS), a time-of-flight backscattering spectrometer built at the
spallation neutron source (SNS) of the Oak Ridge National Laboratory (ORNL).
BASIS is the first silicon-based backscattering spectrometer installed at a
spallation neutron source. In addition to high intensity, it offers a high-energy
resolution of about 3.5 MUeV and a large and variable energy transfer range.
These ensure an excellent overlap with the dynamic ranges accessible at other
inelastic spectrometers at the SNS.
PMID- 21895278
TI - Thermoelectric temperature control device for vapor pressure measurements.
AB - The static method of measuring equilibrium vapor pressure requires locating the
sample at the coldest part of the apparatus to avoid errors due to evaporation
and recondensation elsewhere. This paper describes a device that can hold the
sample 1 K below the temperature of the surrounding air without a liquid bath. It
comprises a pair of thermoelectric elements and two thermometers attached to an
insulated aluminum block. The device can operate as high as 200 degrees C while
controlling the sample with a precision of 0.02 K; below 110 degrees C, the
precision is 2 mK. Also described is a method to measure the small temperature
offset due to heat flow between the sample and the surrounding aluminum block.
The uncertainty due to the offset is small compared to the 6 mK uncertainty due
to the thermometer.
PMID- 21895279
TI - Note: Vector reflectometry in a beam waveguide.
AB - We present a one-port calibration technique for characterization of beam
waveguide components with a vector network analyzer. This technique involves
using a set of known delays to separate the responses of the instrument and the
device under test. We demonstrate this technique by measuring the reflected
performance of a millimeter-wave variable-delay polarization modulator.
PMID- 21895280
TI - Note: Direct force and ionic-current measurements on DNA in a nanocapillary.
AB - We have developed optical tweezers, with force measurements based on fast video
tracking, for analysis and control of DNA translocation through nanocapillaries.
Nanocapillaries are single-molecule biosensors with very similar characteristics
to solid-state nanopores. Our novel experimental setup allows for ionic-current
measurements in which the nanocapillary is oriented perpendicular to the trapping
laser. Using video-based particle tracking, we are able to measure the position
of DNA coated colloids at sub-millisecond resolution and in real-time. We present
the first electrophoretic force and simultaneous ionic-current measurements of a
single DNA molecule inside the orifice of a nanocapillary.
PMID- 21895281
TI - Note: Design and construction of a simple and reliable printed circuit board
substrate Bradbury-Nielsen gate for ion mobility spectrometry.
AB - A less laborious, structure-simple, and performance-reliable printed circuit
board (PCB) based Bradbury-Nielsen gate for high-resolution ion mobility
spectrometry was introduced and investigated. The gate substrate was manufactured
using a PCB etching process with small holes (Phi 0.1 mm) drilled along the gold
plated copper lines. Two interdigitated sets of rigid stainless steel spring wire
(Phi 0.1 mm) that stands high temperature and guarantees performance stability
were threaded through the holes. Our homebuilt ion mobility spectrometer mounted
with the gate gave results of about 40 for resolution while keeping a signal
intensity of over 0.5 nano-amperes.
PMID- 21895282
TI - Note: Seesaw actuation of atomic force microscope probes for improved imaging
bandwidth and displacement range.
AB - The authors describe a method of actuation for atomic force microscope (AFM)
probes to improve imaging speed and displacement range simultaneously. Unlike
conventional piezoelectric tube actuation, the proposed method involves a lever
and fulcrum "seesaw" like actuation mechanism that uses a small, fast
piezoelectric transducer. The lever arm of the seesaw mechanism increases the
apparent displacement range by an adjustable gain factor, overcoming the standard
tradeoff between imaging speed and displacement range. Experimental
characterization of a cantilever holder implementing the method is provided
together with comparative line scans obtained with contact mode imaging. An
imaging bandwidth of 30 kHz in air with the current setup was demonstrated.
PMID- 21895283
TI - Note: Multi-point measurement of |B| in the gas-dynamic trap with a spectral
motional Stark effect diagnostic.
AB - An upgraded spectral motional Stark effect diagnostic has been installed on the
gas-dynamic trap (GDT) experiment to enable spatially resolved measurement of
|B|. A new low-noise charge-coupled device detector, combined with enhancements
of the diagnostic neutral beam, allows single-shot profile measurements.
Previously only single-point motional Stark effect measurements were possible,
and detector noise severely limited measurement precision, requiring multi-shot
averaging. The plasma pressure profile in GDT is derived from the measured
diamagnetic modification of |B| and used to examine the conditions of stable
plasma confinement at high plasma pressure.
PMID- 21895284
TI - Note: Demonstration of an external-cavity diode laser system immune to current
and temperature fluctuations.
AB - We demonstrate an external-cavity laser system using an anti-reflection coated
laser diode as gain medium with about 60 nm fluorescence spectrum, and a Rb
Faraday anomalous dispersion optical filter (FADOF) as frequency-selecting
element with a transmission bandwidth of 1.3 GHz. With 6.4% optical feedback, a
single stable longitudinal mode is obtained with a linewidth of 69 kHz. The
wavelength of this laser is operating within the center of the highest
transmission peak of FADOF over a diode current range from 55 mA to 142 mA and a
diode temperature range from 15 degrees C to 35 degrees C, thus it is immune to
the fluctuations of current and temperature.
PMID- 21895285
TI - Note: Miniature 120-kV autonomous generator based on transverse shock-wave
depolarization of Pb(Zr0.52Ti0.48)O3 ferroelectrics.
AB - The design of autonomous ultrahigh-voltage generators with no moving metallic
parts based on transverse explosive shock wave depolarization of
Pb(Zr(0.52)Ti(0.48))O(3) (PZT 52/48) poled ferroelectrics was explored and
studied. It follows from experimental results that the output voltage produced by
the shock-wave ferroelectric generators (FEGs) is directly proportional to the
number of PZT 52/48 elements connected in series. It was demonstrated that
miniature FEGs (volume less than 180 cm(3)) were capable of reliably producing
output voltage pulses with amplitudes exceeding 120 kV which is the record
reported in open literature.
PMID- 21895286
TI - Note: Measurement method for sound velocity of melts in large volume press and
its application to liquid sodium up to 2.0 GPa.
AB - Based on large volume press and conventional pulse-echo ultrasonic technique, we
have overcome the difficulty in determining the length of liquid specimen under
high pressure, and the sound velocity in liquid Na has been measured up to 2 GPa.
The P-V data deduced by our sound velocity results through equation of state is
in an excellent agreement with previous data directly determined by piezometer
method. This new experimental technique is convenient and ready for use, being
expected to advance investigation on thermodynamic properties of liquid metals
and other melts under high pressure.
PMID- 21895287
TI - Note: Determination of temperature dependence of GaP bandgap energy from diode
temperature response characteristics.
AB - A simple method of E(g)(T) dependence determination for active areas of
semiconductor devices based on wide bandgap semiconductors has been proposed and
developed. Verification of the method has been carried out while determining
E(g)(T) dependence in a base area of p(+)-n-type GaP diodes in the temperature
range 77-523 K. The method is based on U-T characterization of the diodes and
calculation of E(g)(T) dependence according to the expression obtained within
present study. Satisfactory agreement between experimental and theoretical
results has been achieved including references available on gallium phosphide.
The method proposed could be applied to experimental data processing in high
temperature thermometry.
PMID- 21895288
TI - Note: Non-destructive measurement of thermal effusivity of a solid and liquid
using a freestanding serpentine sensor-based 3omega technique.
AB - A non-destructive thermal effusivity characterization method described as a
freestanding serpentine sensor-based 3omega technique was reported. This
freestanding serpentine sensor was fabricated by the mature flexible printed
circuit production technique. Expression for the temperature response of the
freestanding serpentine sensor with respect to the thermal effusivity of the test
sample was presented. The technique was further verified by measuring four kinds
of standard samples at room temperature. Experimental results which well agree
with reference values demonstrate the new technique is of great application value
to thermal effusivity characterization of solids, liquids, and structures to
which the conventional 3omega technique is not applicable, e.g., solids with
porous surfaces.
PMID- 21895289
TI - Note: High speed optical profiler based on a phase-shifting technique using
frequency-scanning lasers.
AB - We present a high speed optical profiler (HSOP) using frequency-scanning lasers
for three-dimensional profile measurements of microscopic structures. To improve
upon previous techniques for implementing the HSOP, we developed frequency
scanning lasers and a compact microscopic interferometer. The controller of the
HSOP was also modified to generate proper phase-shifting steps. For measurements
of step height specimens, the HSOP showed results comparable with a commercial
optical profiler, even with much higher measurement speeds (up to 30 Hz). The
typical repeatability of step height measurement was less than 1 nm. We also
present measurements of microscopic structures to verify the HSOP's ability to
perform high speed inline inspection for the semiconductor and flat-panel display
industries.
PMID- 21895290
TI - Note: Detection of a single cobalt microparticle with a microfabricated atomic
magnetometer.
AB - We present magnetic detection of a single, 2 MUm diameter cobalt microparticle
using an atomic magnetometer based on a microfabricated vapor cell. These results
represent an improvement by a factor of 10(5) in terms of the detected magnetic
moment over previous work using atomic magnetometers to detect magnetic
microparticles. The improved sensitivity is due largely to the use of small vapor
cells. In an optimized setup, we predict detection limits of 0.17 MUm(3).
PMID- 21895291
TI - Note: Piezoelectric strain voltage sensing at ultra-low frequencies.
AB - Piezoelectric sensors have emerged as a versatile tool for measurement of various
quantities such as pressure, acceleration, strain, or force across many
industrial applications. When mechanically strained, electric charges are
produced inside a piezoelectric transducer. These charges result in an electric
field that may be measured as a voltage difference between two electrodes, from
which the strain can be inferred. To measure this voltage the sensor must be
interfaced with an external device that would typically have a finite input
impedance. This, together with the capacitive nature of the piezoelectric sensor,
results in an inability to measure strain at low frequencies. We propose a
method, based on using a varactor diode in an oscillator circuit, which can
result in accurate measurements of the piezoelectric voltage at ultra-low
frequencies. We demonstrate successful measurements at 1 mHz.
PMID- 21895292
TI - Note: Vector network analyzer-ferromagnetic resonance spectrometer using high Q
factor cavity.
AB - A ferromagnetic resonance (FMR) spectrometer whose main components consist of an
X-band resonator and a vector network analyzer (VNA) was developed. This
spectrometer takes advantage of a high Q-factor (9600) cavity and state-of-the
art VNA. Accordingly, field modulation lock-in technique for signal to noise
ratio (SNR) enhancement is no longer necessary, and FMR absorption can therefore
be extracted directly. Its derivative for the ascertainment of full width at half
maximum height of FMR peak can be found by taking the differentiation of original
data. This system was characterized with different thicknesses of permalloy (Py)
films and its multilayer, and found that the SNR of 5 nm Py on glass was better
than 50, and did not have significant reduction even at low microwave excitation
power (-20 dBm), and at low Q-factor (3000). The FMR other than X-band can also
be examined in the same manner by using a suitable band cavity within the
frequency range of VNA.
PMID- 21895293
TI - Note: Fabrication of tapered fibre tip using mechanical polishing method.
AB - Tapered fibre tips fabricated using mechanical polishing method is studied. The
fibre tips are formed by sequential polishing flat-ended single mode fibres with
decreasing aluminium oxide polishing film grit size. Based on the proposed
technique, tapered fibre tips with cone angle ranging from 30 degrees to 130
degrees are fabricated by controlling the polishing angle. Besides the variety
of cone angle, considerable smoothness of the fibre tip surface may assist in
good metal coating and hence a well-defined aperture can be obtained. In
addition, this paper presents a two-step hybrid fabrication method combining the
proposed polishing method with chemical etching method to increase the possible
fibre tip cone angles achievable by chemical etching method.
PMID- 21895294
TI - Note: Continuous synthesis of uniform vertical graphene on cylindrical surfaces.
AB - This note describes a new reactor design for continuous synthesis of vertically
oriented graphene (VG) sheets on cylindrical wire substrates using an atmospheric
plasma-enhanced chemical vapor deposition (PECVD) system. Through combining a U
shaped reactor design with "dynamic mode" synthesis featuring simultaneous
rotational and axial movements of the metallic wire substrate, the new setup can
enable continuous synthesis of VG sheets on the wire surface with remarkable
uniformity in both circumferential and axial directions. In contrast, synthesis
of VG at "static mode" with a fixed substrate can only lead to non-uniform growth
of VG sheets on the wire surface. Potential applications of the resulting uniform
VG-coated metallic wire could include field emitters, field-ionization-based
neutral atom detectors, and indoor corona discharges.
PMID- 21895295
TI - Note: Two-dimensional resistivity mapping method for characterization of thin
films and nanomaterials.
AB - A two-dimensional resistivity mapping method is presented as an analysis tool for
thin films. The spatial distribution of resistivity in the interior of the film
is reconstructed with the data measured on its periphery. A square window with
four electrodes on each side is fabricated as the test vehicle. While the current
is applied to one electrode, the potentials on the other electrodes are monitored
and an iterative method generates the resistivity map. The technique is
demonstrated by measurements on a homogeneous organic PEDOT:PSS film and an
inhomogeneous ZnO nanoparticle coating.
PMID- 21895301
TI - Comparative study of the angle-resolved backscattering properties of collagen
fibers in bovine tendon and cartilage.
AB - In a biological tissue, light scattering is based on the size and type of
scatterers seen as refractive index variations that describe the optical
properties shown. In this paper, we have implemented the variable incidence angle
technique of multiple angle of illumination experiment on tendon and cartilage
samples whose dominant constituents are genetically different types of collagen
fibers, type I and type II, respectively. It is found that tendon displays a much
greater angular anisotropy in its optical backscattering coefficient than the
healthy cartilage. We propose that this is due to a more uniform distribution of
fine fibrils than is found in tendon. Rayleigh-Gans approximation is used to give
qualitative support to this idea.
PMID- 21895302
TI - Coregistered photoacoustic-ultrasound imaging applied to brachytherapy.
AB - Brachytherapy is a form of radiation therapy commonly used in the treatment of
prostate cancer wherein sustained radiation doses can be precisely targeted to
the tumor area by the implantation of small radioactive seeds around the
treatment area. Ultrasound is a popular imaging mode for seed implantation, but
the seeds are difficult to distinguish from the tissue structure. In this work,
we demonstrate the feasibility of photoacoustic imaging for identifying
brachytherapy seeds in a tissue phantom, comparing the received intensity to
endogenous contrast. We have found that photoacoustic imaging at 1064 nm can
identify brachytherapy seeds uniquely at laser penetration depths of 5 cm in
biological tissue at the ANSI limit for human exposure with a contrast-to-noise
ratio of 26.5 dB. Our realtime combined photoacoustic-ultrasound imaging approach
may be suitable for brachytherapy seed placement and post-placement verification,
potentially allowing for realtime dosimetry assessment during implantation.
PMID- 21895303
TI - Photoacoustic microscopy of tyrosinase reporter gene in vivo.
AB - Photoacoustic tomography is a hybrid modality based on optical absorption
excitation and ultrasonic detection. It is sensitive to melanin, one of the
primary absorbers in skin. For cells that do not naturally contain melanin,
melanin production can be induced by introducing the gene for tyrosinase, the
primary enzyme responsible for expression of melanin in melanogenic cells.
Optical resolution photoacoustic microscopy was used in the ex vivo study
reported here, where the signal from transfected cells increased by more than 10
times over wild-type cells. A subsequent in vivo experiment was conducted to
demonstrate the capability of photoacoustic microscopy to spectrally
differentiate between tyrosinase-catalyzed melanin and various other absorbers in
tissue.
PMID- 21895304
TI - Simultaneous in vivo imaging of melanin and lipofuscin in the retina with
photoacoustic ophthalmoscopy and autofluorescence imaging.
AB - We combined photoacoustic ophthalmoscopy (PAOM) with autofluorescence imaging for
simultaneous in vivo imaging of dual molecular contrasts in the retina using a
single light source. The dual molecular contrasts come from melanin and
lipofuscin in the retinal pigment epithelium (RPE). Melanin and lipofuscin are
two types of pigments and are believed to play opposite roles (protective versus
exacerbate) in the RPE in the aging process. We have successfully imaged the
retina of pigmented and albino rats at different ages. The experimental results
showed that multimodal PAOM system can be a potentially powerful tool in the
study of age-related degenerative retinal diseases.
PMID- 21895305
TI - Reflection-mode time-reversed ultrasonically encoded optical focusing into turbid
media.
AB - Time-reversed ultrasonically encoded (TRUE) optical focusing was recently
proposed to deliver light dynamically to a tight region inside a scattering
medium. In this letter, we report the first development of a reflection-mode TRUE
optical focusing system. A high numerical aperture light guide is used to
transmit the diffusely reflected light from a turbid medium to a phase-conjugate
mirror (PCM), which is sensitive only to the ultrasound-tagged light. From the
PCM, a phase conjugated wavefront of the tagged light is generated and conveyed
by the same light guide back to the turbid medium, subsequently converging to the
ultrasonic focal zone. We present experimental results from this system, which
has the ability to focus light in a highly scattering medium with a round-trip
optical penetration thickness (extinction coefficient multiplied by round-trip
depth) as large as 160.
PMID- 21895306
TI - Identification of the direction of the neural network activation with a cellular
resolution by fast two-photon imaging.
AB - Spatiotemporal activity patterns in local neural networks are fundamental to
understanding how information is processed and stored in brain microcircuits.
Currently, imaging techniques are able to map the directional activation of
macronetworks across brain areas; however, these strategies still fail to resolve
the activation direction for fine microcircuits with cellular spatial resolution.
Here, we show the capability to identify the activation direction of a multicell
network with a cellular resolution and millisecond precision by using fast two
photon microscopy and cross correlation procedures. As an example, we
characterized a directional neuronal network in an epilepsy brain slice to
provide different initiation delay among multiple neurons defined at a
millisecond scale.
PMID- 21895307
TI - Microfluidic sensing: state of the art fabrication and detection techniques.
AB - Here we introduce the existing fabrication techniques, detection methods, and
related techniques for microfluidic sensing, with an emphasis on the detection
techniques. A general survey and comparison of the fabrication techniques were
given, including prototyping (hot embossing, inject molding, and soft
lithography) and direct fabrication (laser micromachining, photolithography,
lithography, and x-ray lithography) techniques. This is followed by an in-depth
look at detection techniques: optical, electrochemical, mass spectrometry, as
well as nuclear magnetic resonance spectroscopy-based sensing approaches and
related techniques. In the end, we highlight several of the most important issues
for future work on microfluidic sensing. This article aims at providing a
tutorial review with both introductory materials and inspiring information on
microfluidic fabrication and sensing for nonspecialists.
PMID- 21895308
TI - Laser-induced regeneration of cartilage.
AB - Laser radiation provides a means to control the fields of temperature and thermo
mechanical stress, mass transfer, and modification of fine structure of the
cartilage matrix. The aim of this outlook paper is to review physical and
biological aspects of laser-induced regeneration of cartilage and to discuss the
possibilities and prospects of its clinical applications. The problems and the
pathways of tissue regeneration, the types and features of cartilage will be
introduced first. Then we will review various actual and prospective approaches
for cartilage repair; consider possible mechanisms of laser-induced regeneration.
Finally, we present the results in laser regeneration of joints and spine disks
cartilages and discuss some future applications of lasers in regenerative
medicine.
PMID- 21895309
TI - Reflected light intensity profile of two-layer tissues: phantom experiments.
AB - Experimental measurements of the reflected light intensity from two-layer
phantoms are presented. We report, for the first time, an experimental
observation of a typical reflected light intensity behavior for the two-layer
structure characterized by two different slopes in the reflected light profile of
the irradiated tissue. The point in which the first slope changes to the second
slope, named as the crossover point, depends on the upper layer thickness as well
as on the ratio between the absorption coefficients of the two layers. Since
similar experiments from one-layer phantoms present a monotonic decay behavior,
the existence and the location of the crossover point can be used as a diagnostic
fingerprint for two-layer tissue structures. This pertains to two layers with
greater absorptivity in the upper layer, which is the typical biological case in
tissues like skin.
PMID- 21895310
TI - Influence of phase function on modeled optical response of nanoparticle-labeled
epithelial tissues.
AB - Metal nanoparticles can be functionalized with biomolecules to selectively
localize in precancerous tissues and can act as optical contrast enhancers for
reflectance-based diagnosis of epithelial precancer. We carry out Monte Carlo
(MC) simulations to analyze photon propagation through nanoparticle-labeled
tissues and to reveal the importance of using a proper form of phase function for
modeling purposes. We first employ modified phase functions generated with a
weighting scheme that accounts for the relative scattering strengths of unlabeled
tissue and nanoparticles. To present a comparative analysis, we repeat our MC
simulations with simplified functions that only approximate the angular
scattering properties of labeled tissues. The results obtained for common optical
sensor geometries and biologically relevant labeling schemes indicate that the
exact form of the phase function used as model input plays an important role in
determining the reflectance response and approximating functions often prove
inadequate in predicting the extent of contrast enhancement due to labeling.
Detected reflectance intensities computed with different phase functions can
differ up to ~60% and such a significant deviation may even alter the perceived
contrast profile. These results need to be taken into account when developing
photon propagation models to assess the diagnostic potential of nanoparticle
enhanced optical measurements.
PMID- 21895311
TI - New Monte Carlo model of cylindrical diffusing fibers illustrates axially
heterogeneous fluorescence detection: simulation and experimental validation.
AB - We present a new Monte Carlo model of cylindrical diffusing fibers that is
implemented with a graphics processing unit. Unlike previously published models
that approximate the diffuser as a linear array of point sources, this model is
based on the construction of these fibers. This allows for accurate determination
of fluence distributions and modeling of fluorescence generation and collection.
We demonstrate that our model generates fluence profiles similar to a linear
array of point sources, but reveals axially heterogeneous fluorescence detection.
With axially homogeneous excitation fluence, approximately 90% of detected
fluorescence is collected by the proximal third of the diffuser for MU(s)'/MU(a)
= 8 in the tissue and 70 to 88% is collected in this region for MU(s)'/MU(a) =
80. Increased fluorescence detection by the distal end of the diffuser relative
to the center section is also demonstrated. Validation of these results was
performed by creating phantoms consisting of layered fluorescent regions.
Diffusers were inserted into these layered phantoms and fluorescence spectra were
collected. Fits to these spectra show quantitative agreement between simulated
fluorescence collection sensitivities and experimental results. These results
will be applicable to the use of diffusers as detectors for dosimetry in
interstitial photodynamic therapy.
PMID- 21895312
TI - Psychophysics, reliability, and norm values for temporal contrast sensitivity
implemented on the two alternative forced choice C-Quant device.
AB - The current paper describes the design and population testing of a flicker
sensitivity assessment technique corresponding to the psychophysical approach for
straylight measurement. The purpose is twofold: to check the subjects' capability
to perform the straylight test and as a test for retinal integrity for other
purposes. The test was implemented in the Oculus C-Quant straylight meter, using
homemade software (MATLAB). The geometry of the visual field lay-out was
identical, as was the subjects' 2AFC task. A comparable reliability criterion
("unc") was developed. Outcome measure was logTCS (temporal contrast
sensitivity). The population test was performed in science fair settings on about
400 subjects. Moreover, 2 subjects underwent extensive tests to check whether
optical defects, mimicked with trial lenses and scatter filters, affected the TCS
outcome. Repeated measures standard deviation was 0.11 log units for the
reference population. Normal values for logTCS were around 2 (threshold 1%) with
some dependence on age (range 6 to 85 years). The test outcome did not change
upon a tenfold (optical) deterioration in visual acuity or straylight. The test
has adequate precision for checking a subject's capability to perform straylight
assessment. The unc reliability criterion ensures sufficient precision, also for
assessment of retinal sensitivity loss.
PMID- 21895313
TI - Quasi-real-time fluorescence imaging with lifetime dependent contrast.
AB - Conventional fluorescence lifetime imaging requires complicated algorithms to
extract lifetimes of fluorophores and acquisition of multiple data points at
progressively longer delay times to characterize tissues. To address diminishing
signal-to-noise ratios at these progressively longer time delays, we report a
time-resolved fluorescence imaging method, normalized fluorescence yield imaging
that does not require the extraction of lifetimes. The concept is to extract the
"contrast" instead of the lifetime value of the fluorophores by using simple
mathematical algorithms. This process converts differences in decay times
directly to different intensities. The technique was verified experimentally
using a gated iCCD camera and an ultraviolet light-emitting diode light source.
It was shown that this method can distinguish between chemical dyes (Fluorescein
and Rhodamine-B) and biomedical samples, such as powders of elastin and collagen.
Good contrast was obtained between fluorophores that varied by less than 6% in
lifetime. Additionally, it was shown that long gate times up to 16 ns achieve
good contrast depending upon the samples to be studied. These results support the
feasibility of time-resolved fluorescence imaging without lifetime extraction,
which has a potential clinical role in noninvasive real-time imaging.
PMID- 21895314
TI - On the use of frequency-domain reconstruction algorithms for photoacoustic
imaging.
AB - We investigate the use of a frequency-domain reconstruction algorithm based on
the nonuniform fast Fourier transform (NUFFT) for photoacoustic imaging (PAI).
Standard algorithms based on the fast Fourier transform (FFT) are computationally
efficient, but compromise the image quality by artifacts. In our previous work we
have developed an algorithm for PAI based on the NUFFT which is computationally
efficient and can reconstruct images with the quality known from temporal
backprojection algorithms. In this paper we review imaging qualities, such as
resolution, signal-to-noise ratio, and the effects of artifacts in real-world
situations. Reconstruction examples show that artifacts are reduced
significantly. In particular, image details with a larger distance from the
detectors can be resolved more accurately than with standard FFT algorithms.
PMID- 21895315
TI - Two-dimensional and three-dimensional viability measurements of adult stem cells
with optical coherence phase microscopy.
AB - Cell viability assays are essential tools for cell biology. They assess healthy
cells in a sample and enable the quantification of cellular responses to reagents
of interest. Noninvasive and label-free assays are desirable in two-dimensional
(2D) and three-dimensional (3D) cell culture to facilitate time-course viability
studies. Cellular micromotion, emanating from cell to substrate distance
variations, has been demonstrated as a marker of cell viability with electric
cell-substrate impedance sensing (ECIS). In this study we investigated if optical
coherence phase microscopy (OCPM) was able to report phase fluctuations of adult
stem cells in 2D and 3D that could be associated with cellular micromotion. An
OCPM has been developed around a Thorlabs engine (lambdao = 930 nm) and
integrated in an inverted microscope with a custom scanning head. Human adipose
derived stem cells (ADSCs, Invitrogen) were cultured in Mesenpro RS medium and
seeded either on ECIS arrays, 2D cell culture dishes, or in 3D highly porous
microplotted polymeric scaffolds. ADSC micromotion was confirmed by ECIS
analysis. Live and fixed ADSCs were then investigated in 2D and 3D with OCPM.
Significant differences were found in phase fluctuations between the different
conditions. This study indicated that OCPM could potentially assess cell vitality
in 2D and in 3D microstructures.
PMID- 21895316
TI - Ultrahigh sensitive optical microangiography reveals depth-resolved
microcirculation and its longitudinal response to prolonged ischemic event within
skeletal muscles in mice.
AB - The primary pathophysiology of peripheral arterial disease is associated with
impaired perfusion to the muscle tissue in the lower extremities. The lack of
effective pharmacologic treatments that stimulate vessel collateralization
emphasizes the need for an imaging method that can be used to dynamically
visualize depth-resolved microcirculation within muscle tissues. Optical
microangiography (OMAG) is a recently developed label-free imaging method capable
of producing three-dimensional images of dynamic blood perfusion within
microcirculatory tissue beds at an imaging depth of up to ~2 mm, with an
unprecedented imaging sensitivity of blood flow at ~4 MUm/s. In this paper, we
demonstrate the utility of OMAG in imaging the detailed blood flow distributions,
at a capillary-level resolution, within skeletal muscles of mice. By use of the
mouse model of hind-limb ischemia, we show that OMAG can assess the time
dependent changes in muscle perfusion and perfusion restoration along tissue
depth. These findings indicate that OMAG can represent a sensitive, consistent
technique to effectively study pharmacologic therapies aimed at promoting the
growth and development of collateral vessels.
PMID- 21895318
TI - Transverse chemical interface detection with coherent anti-Stokes Raman
scattering microscopy.
AB - Transverse "chemical" interfaces are revealed with a conventional two beam
narrowband coherent anti-Stokes Raman scattering microscopy setup in a collinear
configuration. The exciting "pump" and "Stokes" beams are focused on the sample
in two opposite directions. The subtraction of the two generated anti-Stokes
signals gives rise to a signal that is directly proportional to the pure Raman
spectrum of the resonant medium. This property is used to highlight an interface
between glass and N,N-dimethylformamide (DMF) and recover the pure Raman spectrum
of DMF around its 1408 cm(-1) vibrational band.
PMID- 21895317
TI - Polarization memory effect in optical coherence tomography and dental imaging
application.
AB - We report the existence of polarization memory effect (PME) in optical coherence
tomography and investigate its potential applications in dental imaging. We
performed the study in three steps. First, microsphere scattering phantoms of
different sizes were imaged in order to validate experimental results with PME
theory. Both linearly and circularly polarized light were used to probe the
samples. Second, healthy tooth samples were scanned and polarization memory
effect was identified in dentin. In this step, specific verification and signal
processing were performed to rule out possible image interpretation by
birefringence effect. Third, we evaluated dentin demineralization with PME.
Results show polarization memory can be useful to characterize this dynamic
mineralization process for early caries detection and rehabilitation.
PMID- 21895319
TI - Photon budget analysis for fluorescence lifetime imaging microscopy.
AB - We have constructed a mathematical model to analyze the photon efficiency of
frequency-domain fluorescence lifetime imaging microscopy (FLIM). The power of
the light source needed for illumination in a FLIM system and the signal-to-noise
ratio of the detector have led us to a photon "budget." These measures are
relevant to many fluorescence microscope users and the results are not restricted
to FLIM but applicable to widefield fluorescence microscopy in general.
Limitations in photon numbers, however, are more of an issue with FLIM compared
to other less quantitative types of imaging. By modeling a typical experimental
configuration, examples are given for fluorophores whose absorption peaks span
the visible spectrum from Fura-2 to Cy5. We have performed experiments to
validate the assumptions and parameters used in our mathematical model. The
influence of fluorophore concentration on the intensity of the fluorescence
emission light and the Poisson distribution assumption of the detected
fluorescence emission light have been validated. The experimental results agree
well with the mathematical model. This photon budget is important in order to
characterize the constraints involved in current fluorescent microscope systems
that are used for lifetime as well as intensity measurements and to design and
fabricate new systems.
PMID- 21895320
TI - Differential optical spectropolarimetric imaging system assisted by liquid
crystal devices for skin imaging.
AB - Skin cancer diagnosis depends not only on histopathological examination but also
on visual inspection before and after the excision of suspected lesion. Neoplasm
is accompanied with changes in birefringence of collagen, pleomorphicity, and
hyperchromatic state of epithelial nuclei. These phenomena can be measured by
spectral and polarization changes of light backscattered by the examined tissue.
A new differential spectropolarimetric system is proposed using liquid crystal
devices, one as a tunable filter and the other as a polarization rotator, both
operating at wide spectral ranges from the visible to the near-infrared. Since
collagen's fibrils texture orientation depends on its location in the skin and
since it is not well organized, our system scans the bipolarization states by
continuously rotating the linearly polarized light incident on a skin lesion, and
collecting differential contrasts between sequenced images when simultaneously
averaging the statistical readout of a video camera. This noninvasive method
emphasizes areas on skin where the neoplasm, or tumor, minimizes the statistical
polarization change of the scattered light from the lesion. The module can be
considered as an assistant tool for epiluminescence microscopy. Images of skin
tumors were captured in vivo before the patients having their surgery and
compared to histopathological results.
PMID- 21895321
TI - Time-reversed ultrasonically encoded optical focusing into tissue-mimicking media
with thickness up to 70 mean free paths.
AB - In turbid media such as biological tissue, multiple scattering hinders direct
light focusing at depths beyond one transport mean free path. As a solution to
this problem, time-reversed ultrasonically encoded (TRUE) optical focusing is
proposed based on ultrasonic encoding of diffused laser light and optical time
reversal. In TRUE focusing, a laser beam of long coherence length illuminates a
turbid medium, where the incident light undergoes multiple scattering and part of
it gets ultrasonically encoded within the ultrasonic focal zone. A conjugated
wavefront of the ultrasonically encoded light is then generated by a phase
conjugate mirror outside the medium, which traces back the trajectories of the
ultrasonically encoded diffused light and converges light to the ultrasonic focal
zone. Here, we report the latest experimental improvement in TRUE optical
focusing that increases its penetration in tissue-mimicking media from a
thickness of 3.75 to 7.00 mm. We also demonstrate that the TRUE focus depends on
the focal diameter of the ultrasonic transducer.
PMID- 21895322
TI - Localization of an absorber in a turbid semi-infinite medium by spatially
resolved continuous-wave diffuse reflectance measurements.
AB - A method to locate an absorber embedded in a semi-infinite turbid medium by
spatially-resolved continuous-wave (SRCW) diffuse reflectance measurements is
introduced. The depth of the absorber is assessed by single wavelength SRCW
diffuse reflectance measurements by two detectors in a radial row. The ratio of
perturbations introduced by the defect at two detectors is used to be matched
with the ratio-versus-depth curve, which are generated by approximate formulas of
continuous wave diffuse reflectance. The error due to approximation and the error
in depth assessment are studied for different cases revealing favorable source
detector placements with respect to planar position of the defect. The effect of
lateral displacement of the source with respect to defect is studied. A strategy
to overcome errors introduced by erroneous prediction of background medium
optical properties is suggested. Theoretical results indicate that the depth of
the absorber can be obtained with 0.1 mm precision independent of its absorption
coefficient and its size for the values chosen in the study. The approach is
tested experimentally and it is observed that theoretical results fit with
experimental data.
PMID- 21895323
TI - Functional laser speckle imaging of cerebral blood flow under hypothermia.
AB - Hypothermia can unintentionally occur in daily life, e.g., in cardiovascular
surgery or applied as therapeutics in the neurosciences critical care unit. So
far, the temperature-induced spatiotemporal responses of the neural function have
not been fully understood. In this study, we investigated the functional change
in cerebral blood flow (CBF), accompanied with neuronal activation, by laser
speckle imaging (LSI) during hypothermia. Laser speckle images from Sprague
Dawley rats (n = 8, male) were acquired under normothermia (37 degrees C) and
moderate hypothermia (32 degrees C). For each animal, 10 trials of electrical
hindpaw stimulation were delivered under both temperatures. Using registered
laser speckle contrast analysis and temporal clustering analysis (TCA), we found
a delayed response peak and a prolonged response window under hypothermia.
Hypothermia also decreased the activation area and the amplitude of the peak CBF.
The combination of LSI and TCA is a high-resolution functional imaging method to
investigate the spatiotemporal neurovascular coupling in both normal and
pathological brain functions.
PMID- 21895324
TI - Noninvasive imaging of human skin hemodynamics using a digital red-green-blue
camera.
AB - In order to visualize human skin hemodynamics, we investigated a method that is
specifically developed for the visualization of concentrations of oxygenated
blood, deoxygenated blood, and melanin in skin tissue from digital RGB color
images. Images of total blood concentration and oxygen saturation can also be
reconstructed from the results of oxygenated and deoxygenated blood. Experiments
using tissue-like agar gel phantoms demonstrated the ability of the developed
method to quantitatively visualize the transition from an oxygenated blood to a
deoxygenated blood in dermis. In vivo imaging of the chromophore concentrations
and tissue oxygen saturation in the skin of the human hand are performed for 14
subjects during upper limb occlusion at 50 and 250 mm Hg. The response of the
total blood concentration in the skin acquired by this method and forearm volume
changes obtained from the conventional strain-gauge plethysmograph were
comparable during the upper arm occlusion at pressures of both 50 and 250 mm Hg.
The results presented in the present paper indicate the possibility of
visualizing the hemodynamics of subsurface skin tissue.
PMID- 21895325
TI - Multiphoton microscopy and fluorescence lifetime imaging provide a novel method
in studying drug distribution and metabolism in the rat liver in vivo.
AB - Multiphoton microscopy has been shown to be a useful tool in studying drug
distribution in biological tissues. In addition, fluorescence lifetime imaging
provides information about the structure and dynamics of fluorophores based on
their fluorescence lifetimes. Fluorescein, a commonly used fluorescent probe, is
metabolized within liver cells to fluorescein mono-glucuronide, which is also
fluorescent. Fluorescein and its glucuronide have similar excitation and emission
spectra, but different fluorescence lifetimes. In this study, we employed
multiphoton fluorescence lifetime imaging to study the distribution and
metabolism of fluorescein and its metabolite in vivo in rat liver. Fluorescence
lifetime values in vitro were used to interpret in vivo data. Our results show
that the mean fluorescence lifetimes of fluorescein and its metabolite decrease
over time after injection of fluorescein in three different regions of the liver.
In conclusion, we have demonstrated a novel method to study a fluorescent
compound and metabolite in vivo using multiphoton fluorescence lifetime imaging.
PMID- 21895326
TI - Transillumination hyperspectral imaging for histopathological examination of
excised tissue.
AB - Angular domain spectroscopic imaging (ADSI) is a novel technique for the
detection and characterization of optical contrast in turbid media based on
spectral characteristics. The imaging system employs a silicon micromachined
angular filter array to reject scattered light traversing a specimen and an
imaging spectrometer to capture and discriminate the largely remaining
quasiballistic light based on spatial position and wavelength. The imaging
modality results in hyperspectral shadowgrams containing two-dimensional (2D)
spatial maps of spectral information. An ADSI system was constructed and its
performance was evaluated in the near-infrared region on tissue-mimicking
phantoms. Image-based spectral correlation analysis using transmission spectra
and first order derivatives revealed that embedded optical targets could be
resolved. The hyperspectral images obtained with ADSI were observed to depend on
target concentration, target depth, and scattering level of the background
medium. A similar analysis on a muscle and tumor sample dissected from a mouse
resulted in spatially dependent optical transmission spectra that were distinct,
which suggested that ADSI may find utility in classifying tissues in biomedical
applications.
PMID- 21895328
TI - Classification of change detection and change blindness from near-infrared
spectroscopy signals.
AB - Using a machine-learning classification algorithm applied to near-infrared
spectroscopy (NIRS) signals, we classify a success (change detection) or a
failure (change blindness) in detecting visual changes for a change-detection
task. Five subjects perform a change-detection task, and their brain activities
are continuously monitored. A support-vector-machine algorithm is applied to
classify the change-detection and change-blindness trials, and correct
classification probability of 70-90% is obtained for four subjects. Two types of
temporal shapes in classification probabilities are found: one exhibiting a
maximum value after the task is completed (postdictive type), and another
exhibiting a maximum value during the task (predictive type). As for the
postdictive type, the classification probability begins to increase immediately
after the task completion and reaches its maximum in about the time scale of
neuronal hemodynamic response, reflecting a subjective report of change
detection. As for the predictive type, the classification probability shows an
increase at the task initiation and is maximal while subjects are performing the
task, predicting the task performance in detecting a change. We conclude that
decoding change detection and change blindness from NIRS signal is possible and
argue some future applications toward brain-machine interfaces.
PMID- 21895327
TI - First-in-human pilot study of a spatial frequency domain oxygenation imaging
system.
AB - Oxygenation measurements are widely used in patient care. However, most
clinically available instruments currently consist of contact probes that only
provide global monitoring of the patient (e.g., pulse oximetry probes) or local
monitoring of small areas (e.g., spectroscopy-based probes). Visualization of
oxygenation over large areas of tissue, without a priori knowledge of the
location of defects, has the potential to improve patient management in many
surgical and critical care applications. In this study, we present a clinically
compatible multispectral spatial frequency domain imaging (SFDI) system optimized
for surgical oxygenation imaging. This system was used to image tissue
oxygenation over a large area (16*12 cm) and was validated during preclinical
studies by comparing results obtained with an FDA-approved clinical oxygenation
probe. Skin flap, bowel, and liver vascular occlusion experiments were performed
on Yorkshire pigs and demonstrated that over the course of the experiment,
relative changes in oxygen saturation measured using SFDI had an accuracy within
10% of those made using the FDA-approved device. Finally, the new SFDI system was
translated to the clinic in a first-in-human pilot study that imaged skin flap
oxygenation during reconstructive breast surgery. Overall, this study lays the
foundation for clinical translation of endogenous contrast imaging using SFDI.
PMID- 21895329
TI - Estimating and validating the interbeat intervals of the heart using near
infrared spectroscopy on the human forehead.
AB - In studies with near-infrared spectroscopy, the recorded signals contain
information on the temporal interbeat intervals of the heart. If this cardiac
information is needed exclusively and could directly be extracted, an additional
electrocardiography device would be unnecessary. The aim was to estimate these
intervals from signals measured with near-infrared spectroscopy with two novel
approaches. In one approach, we model the heartbeat oscillations in these signals
with a Fourier series where the coefficients and the fundamental frequency can
continuously change over time. The time-dependent model parameters are estimated
and used to calculate the interbeat intervals. The second approach uses empirical
mode decomposition. The signal measured with near-infrared spectroscopy is
empirically decomposed into a set of oscillatory components. The sum of a
specific subset of them is an estimate of the pure heartbeat signal in which the
diastolic peaks and consequential interbeat intervals are detected. We show in
simultaneous electrocardiography and near-infrared spectroscopy measurements on
11 subjects (8 men and 3 woman with mean age 32.8 +/- 8.1 yr), that the interbeat
intervals (and the consequential pulse rate variability measures), estimated
using the proposed approaches, are in high agreement with their correspondents
from electrocardiography.
PMID- 21895330
TI - Distinguishing autofluorescence of normal, benign, and cancerous breast tissues
through wavelet domain correlation studies.
AB - Using the multiresolution ability of wavelets and effectiveness of singular value
decomposition (SVD) to identify statistically robust parameters, we find a number
of local and global features, capturing spectral correlations in the co- and
cross-polarized channels, at different scales (of human breast tissues). The
copolarized component, being sensitive to intrinsic fluorescence, shows different
behavior for normal, benign, and cancerous tissues, in the emission domain of
known fluorophores, whereas the perpendicular component, being more prone to the
diffusive effect of scattering, points out differences in the Kernel-Smoother
density estimate employed to the principal components, between malignant, normal,
and benign tissues. The eigenvectors, corresponding to the dominant eigenvalues
of the correlation matrix in SVD, also exhibit significant differences between
the three tissue types, which clearly reflects the differences in the spectral
correlation behavior. Interestingly, the most significant distinguishing feature
manifests in the perpendicular component, corresponding to porphyrin emission
range in the cancerous tissue. The fact that perpendicular component is strongly
influenced by depolarization, and porphyrin emissions in cancerous tissue has
been found to be strongly depolarized, may be the possible cause of the above
observation.
PMID- 21895331
TI - Holographic tissue dynamics spectroscopy.
AB - Tissue dynamics spectroscopy uses digital holography as a coherence gate to
extract depth-resolved quasi-elastic dynamic light scattering from inside
multicellular tumor spheroids. The temporal speckle contrast provides endogenous
dynamical images of proliferating and hypoxic or necrotic tissues. Fluctuation
spectroscopy similar to diffusing wave spectroscopy is performed on the dynamic
speckle to generate tissue-response spectrograms that track time-resolved changes
in intracellular motility in response to environmental perturbations. The
spectrograms consist of several frequency bands that range from 0.005 to 5 Hz.
The fluctuation spectral density and temporal autocorrelations show the signature
of constrained anomalous diffusion, but with large fluctuation amplitudes caused
by active processes far from equilibrium. Differences in the tissue-response
spectrograms between the proliferating outer shell and the hypoxic inner core
differentiate normal from starved conditions. The differential spectrograms
provide an initial library of tissue-response signatures to environmental
conditions of temperature, osmolarity, pH, and serum growth factors.
PMID- 21895332
TI - Accelerometer-based method for correcting signal baseline changes caused by
motion artifacts in medical near-infrared spectroscopy.
AB - In medical near-infrared spectroscopy (NIRS), movements of the subject often
cause large step changes in the baselines of the measured light attenuation
signals. This prevents comparison of hemoglobin concentration levels before and
after movement. We present an accelerometer-based motion artifact removal
(ABAMAR) algorithm for correcting such baseline motion artifacts (BMAs). ABAMAR
can be easily adapted to various long-term monitoring applications of NIRS. We
applied ABAMAR to NIRS data collected in 23 all-night sleep measurements and
containing BMAs from involuntary movements during sleep. For reference, three
NIRS researchers independently identified BMAs from the data. To determine
whether the use of an accelerometer improves BMA detection accuracy, we compared
ABAMAR to motion detection based on peaks in the moving standard deviation (SD)
of NIRS data. The number of BMAs identified by ABAMAR was similar to the number
detected by the humans, and 79% of the artifacts identified by ABAMAR were
confirmed by at least two humans. While the moving SD of NIRS data could also be
used for motion detection, on average 2 out of the 10 largest SD peaks in NIRS
data each night occurred without the presence of movement. Thus, using an
accelerometer improves BMA detection accuracy in NIRS.
PMID- 21895333
TI - Habits with killer instincts: in vivo analysis on the severity of oral mucosal
alterations using autofluorescence spectroscopy.
AB - Oral habits like chewing and smoking are main causes of oral cancer, which has a
higher mortality rate than many other cancer forms. Currently, the long term
survival rate of oral cancer is less than 50%, as a majority of cases are
detected very late. The clinician's main challenge is to differentiate among a
multitude of red, white, or ulcerated lesions. Hence, new noninvasive, reliable,
and fast techniques for the discrimination of oral cavity disorders are to be
developed. This study includes autofluorescence spectroscopic screening of normal
volunteers with and without lifestyle oral habits and patients with oral
submucous fibrosis (OSF). The spectra from different sites of habitues, non
habitues, and OSF patients were analyzed using the intensity ratio, redox ratio,
and linear discriminant analysis (LDA). The spectral disparities among these
groups are well demonstrated in the emission regions of collagen and Flavin
adenine dinucleotide. We observed that LDA gives better efficiency of
classification than the intensity ratio technique. Even the differentiation of
habitues and non-habitues could be well established with LDA. The study concludes
that the clinical application of autofluorescence spectroscopy along with LDA,
yields spontaneous screening among individuals, facilitating better patient
management for clinicians and better quality of life for patients.
PMID- 21895334
TI - Efficient determination of the epidermal optical properties using a diffusion
model-based approach: Monte Carlo studies.
AB - In our previous studies, we have shown that the diffusing probe geometry can be
used in conjunction with a two-layer diffusion model to accurately recover the
absorption and scattering properties of skin in vivo. By modifying the original
design to the diffusing probe with planar source (DPPS) geometry, we have also
demonstrated that the efficiency of the accompanying multilayer diffusion model
is comparable to that of a standard semi-infinite diffusion model; thus, precise
quantification of superficial tissue optical properties in real time using a
diffusion model becomes possible. In this study, the performance of the DPPS
diffusion model is evaluated using Monte Carlo simulations and phantom
measurements. It is found that the DPPS geometry is advantageous over the
conventional planar source illumination geometry in interrogating superficial
volumes of samples. In addition, our simulation results have shown that the DPPS
geometry is capable of accurately recovering the optical properties of 50-MUm
thick epidermis and could be very useful in detecting cutaneous melanoma that has
a radius as small as 250 MUm.
PMID- 21895335
TI - Development of motion resistant instrumentation for ambulatory near-infrared
spectroscopy.
AB - Ambulatory near-infrared spectroscopy (aNIRS) enables recording of systemic or
tissue-specific hemodynamics and oxygenation during a person's normal activities.
It has particular potential for the diagnosis and management of health problems
with unpredictable and transient hemodynamic symptoms, or medical conditions
requiring continuous, long-duration monitoring. aNIRS is also needed in
conditions where regular monitoring or imaging cannot be applied, including
remote environments such as during spaceflight or at high altitude. One key to
the successful application of aNIRS is reducing the impact of motion artifacts in
aNIRS recordings. In this paper, we describe the development of a novel prototype
aNIRS monitor, called NINscan, and our efforts to reduce motion artifacts in
aNIRS monitoring. Powered by 2 AA size batteries and weighting 350 g, NINscan
records NIRS, ECG, respiration, and acceleration for up to 14 h at a 250 Hz
sampling rate. The system's performance and resistance to motion is demonstrated
by long term quantitative phantom tests, Valsalva maneuver tests, and
multiparameter monitoring during parabolic flight and high altitude hiking. To
the best of our knowledge, this is the first report of multiparameter aNIRS
monitoring and its application in parabolic flight.
PMID- 21895336
TI - Wavelength selection-based nonlinear calibration for transcutaneous blood glucose
sensing using Raman spectroscopy.
AB - While Raman spectroscopy provides a powerful tool for noninvasive and real time
diagnostics of biological samples, its translation to the clinical setting has
been impeded by the lack of robustness of spectroscopic calibration models and
the size and cumbersome nature of conventional laboratory Raman systems. Linear
multivariate calibration models employing full spectrum analysis are often misled
by spurious correlations, such as system drift and covariations among
constituents. In addition, such calibration schemes are prone to overfitting,
especially in the presence of external interferences that may create
nonlinearities in the spectra-concentration relationship. To address both of
these issues we incorporate residue error plot-based wavelength selection and
nonlinear support vector regression (SVR). Wavelength selection is used to
eliminate uninformative regions of the spectrum, while SVR is used to model the
curved effects such as those created by tissue turbidity and temperature
fluctuations. Using glucose detection in tissue phantoms as a representative
example, we show that even a substantial reduction in the number of wavelengths
analyzed using SVR lead to calibration models of equivalent prediction accuracy
as linear full spectrum analysis. Further, with clinical datasets obtained from
human subject studies, we also demonstrate the prospective applicability of the
selected wavelength subsets without sacrificing prediction accuracy, which has
extensive implications for calibration maintenance and transfer. Additionally,
such wavelength selection could substantially reduce the collection time of
serial Raman acquisition systems. Given the reduced footprint of serial Raman
systems in relation to conventional dispersive Raman spectrometers, we anticipate
that the incorporation of wavelength selection in such hardware designs will
enhance the possibility of miniaturized clinical systems for disease diagnosis in
the near future.
PMID- 21895337
TI - Diagnosis of breast cancer using diffuse optical spectroscopy from 500 to 1600
nm: comparison of classification methods.
AB - We report on the use of diffuse optical spectroscopy analysis of breast spectra
acquired in the wavelength range from 500 to 1600 nm with a fiber optic probe. A
total of 102 ex vivo samples of five different breast tissue types, namely
adipose, glandular, fibroadenoma, invasive carcinoma, and ductal carcinoma in
situ from 52 patients were measured. A model deriving from the diffusion theory
was applied to the measured spectra in order to extract clinically relevant
parameters such as blood, water, lipid, and collagen volume fractions, beta
carotene concentration, average vessels radius, reduced scattering amplitude, Mie
slope, and Mie-to-total scattering fraction. Based on a classification and
regression tree algorithm applied to the derived parameters, a sensitivity
specificity of 98%-99%, 84%-95%, 81%-98%, 91%-95%, and 83%-99% were obtained for
discrimination of adipose, glandular, fibroadenoma, invasive carcinoma, and
ductal carcinoma in situ, respectively; and a multiple classes overall diagnostic
performance of 94%. Sensitivity-specificity values obtained for discriminating
malignant from nonmalignant tissue were compared to existing reported studies by
applying the different classification methods that were used in each of these
studies. Furthermore, in these reported studies, either lipid or beta-carotene
was considered as adipose tissue precursors. We estimate both chromophore
concentrations and demonstrate that lipid is a better discriminator for adipose
tissue than beta-carotene.
PMID- 21895338
TI - Genetically encoded ratiometric biosensors to measure intracellular exchangeable
zinc in Escherichia coli.
AB - Zinc is an essential element for numerous cellular processes, therefore zinc
homeostasis is regulated in living organisms. Fluorescent sensors have been
developed as important tools to monitor the concentrations of readily
exchangeable zinc in live cells. One type of biosensor uses carbonic anhydrase
(CA) as the recognition element based on its tunable affinity, superior metal
selectivity, and fluorescence signal from aryl sulfonamide ligands coupled to
zinc binding. Here, we fuse carbonic anhydrase with a red fluorescent protein to
create a series of genetically-encoded Forster resonance energy transfer-based
excitation ratiometric zinc sensors that exhibit large signal increases in
response to alterations in physiological-free zinc concentrations. These sensors
were applied to the prokaryotic model organism Escherichia coli to quantify the
readily exchangeable zinc concentration. In minimal media, E. coli BL21(DE3)
cells expressing the CA sensor, exhibit a median intracellular readily
exchangeable zinc concentration of 20 pM, much less than the total cellular zinc
concentration of ~0.2 mM. Furthermore, the intracellular readily exchangeable
zinc concentration varies with the concentration of environmental zinc.
PMID- 21895339
TI - Raman spectroscopy detects deterioration in biomechanical properties of bone in a
glucocorticoid-treated mouse model of rheumatoid arthritis.
AB - Although glucocorticoids are frequently prescribed for the symptomatic management
of inflammatory disorders such as rheumatoid arthritis, extended glucocorticoid
exposure is the leading cause of physician-induced osteoporosis and leaves
patients at a high risk of fracture. To study the biochemical effects of
glucocorticoid exposure and how they might affect biomechanical properties of the
bone, Raman spectra were acquired from ex vivo tibiae of glucocorticoid- and
placebo-treated wild-type mice and a transgenic mouse model of rheumatoid
arthritis. Statistically significant spectral differences were observed due to
both treatment regimen and mouse genotype. These differences are attributed to
changes in the overall bone mineral composition, as well as the degree of
phosphate mineralization in tibial cortical bone. In addition, partial least
squares regression was used to generate a Raman-based prediction of each tibia's
biomechanical strength as quantified by a torsion test. The Raman-based
predictions were as accurate as those produced by microcomputed tomography
derived parameters, and more accurate than the clinically-used parameter of bone
mineral density. These results suggest that Raman spectroscopy could be a
valuable tool for monitoring bone biochemistry in studies of bone diseases such
as osteoporosis, including tests of drugs being developed to combat these
diseases.
PMID- 21895340
TI - Correlation of functional and resting state connectivity of cerebral oxy-, deoxy
, and total hemoglobin concentration changes measured by near-infrared
spectrophotometry.
AB - The aim is to study cerebral vascular functional connectivity during motor tasks
and resting state using multichannel frequency-domain near-infrared
spectrophotometry. Maps of 5.7 * 10.8 cm size displaying changes in cerebral
oxyhemoglobin (O(2)Hb), deoxyhemoglobin (HHb), and total hemoglobin (tHb)
concentrations were measured in the motor cortex in 12 subjects (mean age of
28.8+/-12.7 yrs) during resting state and during two palm squeezing tasks with
different timing. For each condition, phase plane plots, cross correlation
functions, and connectivity indices were generated for O(2)Hb, HHb, and tHb. The
amplitude of the concentration changes in O(2)Hb and HHb depends on the age of
the subject. We found large regions of connectivity, which were similar for
resting state and task conditions. This means the spatial relationships during
resting state, when changes in O(2)Hb, HHb, and tHb corresponded to spontaneous
oscillations, were correlated to the spatial patterns during the activation
tasks, when changes in O(2)Hb, HHb, and tHb concentration were related to the
alternation of stimulation and rest. Thus, the vascular functional connectivity
was also present during resting state. The findings suggest that the vascular
response to functional activation may be a nonlinear synchronization phenomenon
and that resting state processes are more important than previously expected.
PMID- 21895341
TI - Femtosecond laser-induced fusion of nonadherent cells and two-cell porcine
embryos.
AB - Cell fusion is a fundamental biological process that can be artificially induced
by different methods. Although femtosecond (fs) lasers have been successfully
employed for cell fusion over the past few years, the underlying mechanisms are
still unknown. In our experimental study, we investigated the correlation between
fs laser-induced cell fusion and membrane perforation, and the influence of laser
parameters on the fusion efficiency of nonadherent HL-60 cells. We found that
shorter exposure times resulted in higher fusion efficiencies with a maximum of
21% at 10 ms and 100 mJ/cm(2) (190 mW). Successful cell fusion was indicated by
the formation of a long-lasting vapor bubble in the irradiated area with an
average diameter much larger than in cell perforation experiments. With this
knowledge, we demonstrated, for the first time, the fusion of very large
parthenogenetic two-cell porcine embryos with high efficiencies of 55% at 20 ms
and 360 mJ/cm(2) (670 mW). Long-term viability of fused embryos was proven by
successful development up to the blastocyst stage in 70% of cases with no
significant difference to controls. In contrast to previous studies, our results
indicate that fs laser-induced cell fusion occurs when the membrane pore size
exceeds a critical value, preventing immediate membrane resealing.
PMID- 21895342
TI - Enhanced laser tissue soldering using indocyanine green chromophore and gold
nanoshells combination.
AB - Gold nanoshells (GNs) are new materials that have an optical response dictated by
the plasmon resonance. The wavelength at which the resonance occurs depends on
the core and shell sizes. The purposes of this study were to use the combination
of indocyanine green (ICG) and different concentration of gold nanoshells for
skin tissue soldering and also to examine the effect of laser soldering
parameters on the properties of repaired skin. Two mixtures of albumin solder and
different combinations of ICG and gold nanoshells were prepared. A full thickness
incision of 2 * 20 mm(2) was made on the surface and after addition of mixtures
it was irradiated by an 810 nm diode laser at different power densities. The
changes of tensile strength (sigma(t)) due to temperature rise, number of scan
(Ns), and scan velocity (Vs) were investigated. The results showed at constant
laser power density (I), sigma(t) of repaired incisions increases by increasing
the concentration of gold nanoshells in solder, Ns, and decreasing Vs. It was
demonstrated that laser soldering using combination of ICG + GNs could be
practical provided the optothermal properties of the tissue are carefully
optimized. Also, the tensile strength of soldered skin is higher than skins that
soldered with only ICG or GNs. In our case, this corresponds to sigma(t) = 1800 g
cm(-2) at I ~ 47 Wcm(-2), T ~ 85 [ordinal indicator, masculine]C, Ns = 10, and Vs
= 0.3 mms(-1).
PMID- 21895343
TI - Clinical use of photodynamic antimicrobial chemotherapy for the treatment of deep
carious lesions.
AB - The purpose of this study was to assess photodynamic antimicrobial chemotherapy
(PACT) via irradiation, using a low power laser associated with a
photosensitization dye, as an alternative to remove cariogenic microorganisms by
drilling. Remaining dentinal samples in deep carious lesions on permanent molars
(n = 26) were treated with 0.01% methylene blue dye and irradiated with a low
power laser (InGaAIP - indium gallium aluminum phosphide; lambda = 660 nm; 100
mW; 320 Jcm(-2); 90 s; 9J). Samples of dentin from the pulpal wall region were
collected with a micropunch before and immediately after PACT and kept in a
transport medium for microbiological analysis. Samples were cultured in plates of
Brucella blood agar, Mitis Salivarius Bacitracin agar and Rogosa SL agar to
determine the total viable bacteria, mutans streptococci and Lactobacillus spp.
counts, respectively. After incubation, colony-forming units were counted and
microbial reduction was calculated for each group of bacteria. PACT led to
statistically significant reductions in mutans streptococci (1.38 log),
Lactobacillus spp. (0.93 log), and total viable bacteria (0.91 log). This therapy
may be an appropriate approach for the treatment of deep carious lesions using
minimally invasive procedures.
PMID- 21895346
TI - Shame regulation in personality pathology.
AB - Drawing on extant work on shame and emotion regulation, this article proposes
that three broad forms of maladaptive shame regulation strategies are fundamental
in much of personality pathology: Prevention (e.g., dependence, fantasy), used
preemptively, lessens potential for shame; Escape (e.g., social withdrawal,
misdirection) reduces current or imminent shame; Aggression, used after shame
begins, refocuses shame into anger directed at the self (e.g., physical self
harm) or others (e.g., verbal aggression). This article focuses on the
contributions of shame regulation to the development and maintenance of
personality pathology, highlighting how various maladaptive shame regulation
strategies may lead to personality pathology symptoms, associated features, and
dimensions. Consideration is also given to the possible shame-related constructs
necessitating emotion regulation (e.g., shame aversion and proneness) and the
points in the emotion process when regulation can occur.
PMID- 21895344
TI - Neural correlates of automatic mood regulation in girls at high risk for
depression.
AB - Daughters of depressed mothers are at significantly elevated risk for developing
a depressive disorder themselves. We have little understanding, however, of the
specific factors that contribute to this risk. The ability to regulate negative
affect effectively is critical to emotional and physical health and may play an
important role in influencing risk for depression. We examined whether never
disordered daughters whose mothers have experienced recurrent episodes of
depression during their daughters' lifetime differ from never-disordered
daughters of never-disordered mothers in their patterns of neural activation
during a negative mood induction and during automatic mood regulation. Sad mood
was induced in daughters through the use of film clips; daughters then recalled
positive autobiographical memories, a procedure shown previously to repair
negative affect. During the mood induction, high-risk girls exhibited greater
activation than did low-risk daughters in brain areas that have frequently been
implicated in the experience of negative affect, including the amygdala and
ventrolateral prefrontal cortex. In contrast, during automatic mood regulation,
low-risk daughters exhibited greater activation than did their high-risk
counterparts in brain areas that have frequently been associated with top-down
regulation of emotion, including the dorsolateral prefrontal cortex and dorsal
anterior cingulate cortex. These findings indicate that girls at high and low
risk for depression differ in their patterns of neural activation both while
experiencing, and while repairing negative affect, and suggest that anomalies in
neural functioning precede the onset of a depressive episode.
PMID- 21895347
TI - 21st birthday drinking and associated physical consequences and behavioral risks.
AB - Twenty-first birthday celebrations often involve dangerously high levels of
alcohol consumption, yet little is known about risk factors for excessive
drinking on this occasion. Participants (N = 150) from a larger prospective study
who consumed at least one drink during their celebration completed questionnaires
and semistructured interviews about their 21st birthday within four days after
the event. Assessments were designed to characterize 21st birthday alcohol use,
adjusted for alcohol content, as well as situational/contextual factors (e.g.,
celebration location, peer influence) that contribute to event-level drinking.
Participants reported an average of 10.85 drinks (9.76 adjusted drinks), with
experienced drinkers consuming significantly more than relatively naive drinkers
who had no previous binge or drunken episodes. Men consumed more drinks, whereas
age of first drunken episode and heavier drinking during the 3-months preceding
the 21st birthday predicted higher estimated blood alcohol concentrations (eBACs)
on the 21st birthday. Celebrating in bars and engaging in birthday-specific
drinking traditions (free drinks at bars) explained additional variance in 21st
birthday eBACs. Both physical consequences (e.g., blacking out or having a
hangover) and behavioral risks (e.g., sexually provocative behaviors) were
prevalent and were predicted by higher eBACs. Together these findings indicate
that 21st birthday celebrations are associated with heavy drinking and a variety
of physical consequences and behavioral risks.
PMID- 21895348
TI - Concurrent drinking and smoking among college students: An event-level analysis.
AB - Cigarette smoking and drinking commonly co-occur among college students, a
population that is at high risk for developing alcohol and nicotine use
disorders. Several studies have been conducted that have examined predictors of
drinking or smoking to gain a better understanding of the antecedents of engaging
in these behaviors. Yet, few studies have examined specific factors that
influence concurrent smoking and drinking in this population. The current study
used data from a 21-day electronic diary-based study of college students (n=86)
who engaged in concurrent drinking and smoking to examine event-level
associations between alcohol use and cigarette smoking in the student's natural
environment. We specifically focused on within-person analyses of contexts in
which students reported smoking and drinking simultaneously in comparison to
contexts in which students reporting drinking without smoking. Situational
contexts included environmental setting, whether s/he was alone or with others,
and changes in stress and urges to smoke before initiating drinking. Results
indicated that students drank more while smoking and smoked three times as many
cigarettes, on average, during drinking episodes. Being with others at a party or
a bar was associated with increased odds of smoking while drinking. Likewise,
increased stress since the prior assessment predicted a greater likelihood of
smoking while drinking. Based on the findings from the present study, it is
important for future prevention and intervention efforts to consider social
settings and heightened stress among students as potential risk factors for
engaging in concurrent drinking and smoking.
PMID- 21895349
TI - Do social networks explain 12-step sponsorship effects? A prospective lagged
mediation analysis.
AB - Sponsorship is a basic and important part of the 12-step approach to recovery
from substance abuse (Alcoholics Anonymous, 2005) and research has shown that
having a sponsor is associated with increased involvement in 12-step programs and
improved outcomes (Bond, Kaskutas, & Weisner, 2003; Tonigan & Rice, 2010).
However, little is known about how sponsorship improves outcomes. Given research
demonstrating bivariate associations between sponsorship and social support for
abstinence (Majer, Jason, Ferrari, Venable, & Olson, 2002), we hypothesized that
the association between having a sponsor and increased abstinence outcomes would
be explained by increases in one's abstinence-based social network. Prospective
fully lagged mediational analyses did not support this hypothesis and these
results ran counter to findings of five previous studies (cf. Groh, Jason, &
Keys, 2008). A review of these studies showed that researchers often used cross
sectional or partially lagged methods to test mediation and the mediational
effect of the social network was small in magnitude. Results suggest that the
prospective association between sponsorship and abstinence is not explained by
increases in the abstinence-based social network and demonstrate the need for
future studies to use rigorous and time-lagged methods to test social support for
abstinence as a mediator of the effects of 12-step involvement.
PMID- 21895350
TI - Mental health treatment need among pregnant and postpartum women/girls entering
substance abuse treatment.
AB - Substance use during pregnancy is widely acknowledged as a major public health
concern with detrimental effects on both mother and unborn child. Mental health
issues often co-occur with substance use and may trigger continued use during
pregnancy or relapse to use postpartum, though little is known about the extent
of these issues in pregnant and postpartum women entering substance abuse
treatment. The purpose of this study is: (a) to examine self-reported mental
health in a population of women and girls who were pregnant in the past year and
are entering substance abuse treatment, and (b) to determine whether disparity
exists in mental health treatment received across groups by race and age if a
treatment need is present. Secondary data analysis was conducted with Global
Appraisal of Individual Needs (GAIN) data from 502 female adolescents and adults
who reported having been pregnant in the past year and who completed the GAIN
upon entry into substance abuse treatment. Participants were compared on
demographic, diagnostic, and problem severity variables by race and age. Results
indicate that mental health treatment need is high among the whole pregnant and
postpartum sample, but African American and Hispanic women and girls are
receiving less mental health treatment than other groups despite having a need
for it. No mental health treatment acquisition disparity was found by age.
PMID- 21895351
TI - Centrality and charisma: comparing how leader networks and attributions affect
team performance.
AB - When leaders interact in teams with their subordinates, they build social capital
that can have positive effects on team performance. Does this social capital
affect team performance because subordinates come to see the leader as
charismatic? We answered this question by examining 2 models. First, we tested
the charisma-to-centrality model according to which the leader's charisma
facilitates the occupation of a central position in the informal advice network.
From this central position, the leader positively influences team performance.
Second, we examined the centrality-to-charisma model according to which charisma
is attributed to those leaders who are socially active in terms of giving and
receiving advice. Attributed charisma facilitates increased team performance. We
tested these 2 models in 2 different studies. In the first study, based on time
separated, multisource data emanating from members of 56 work teams, we found
support for the centrality-to-charisma model. Formal leaders who were central
within team advice networks were seen as charismatic by subordinates, and this
charisma was associated with high team performance. To clarify how leader network
centrality affected the emergence of charismatic leadership, we designed Study 2
in which, for 79 student teams, we measured leader networking activity and leader
charisma at 2 different points in time and related these variables to team
performance measured at a third point in time. On the basis of this temporally
separated data set, we again found support for the centrality-to-charisma model.
PMID- 21895352
TI - Peer-based control in self-managing teams: linking rational and normative
influence with individual and group performance.
AB - The authors use a multilevel framework to introduce peer-based control as a
motivational state that emerges in self-managing teams. The authors specifically
describe how peer-based rational control, which is defined as team members
perceiving the distribution of economic rewards as dependent on input from
teammates, extends and interacts with the more commonly studied normative control
force of group cohesion to explain both individual and collective performance in
teams. On the basis of data from 587 factory workers in 45 self-managing teams at
3 organizations, peer-based rational control corresponded with higher performance
for both individuals and collective teams. Results further demonstrated that the
rational and normative mechanism of peer-based control interacted to explain
performance at both the individual and team levels. Increased peer-based rational
control corresponded with higher individual and collective performance in teams
with low cohesion, but the positive effects on performance were attenuated in
cohesive teams.
PMID- 21895353
TI - Facial affect processing and depression susceptibility: cognitive biases and
cognitive neuroscience.
AB - Facial affect processing is essential to social development and functioning and
is particularly relevant to models of depression. Although cognitive and
interpersonal theories have long described different pathways to depression,
cognitive-interpersonal and evolutionary social risk models of depression focus
on the interrelation of interpersonal experience, cognition, and social behavior.
We therefore review the burgeoning depressive facial affect processing literature
and examine its potential for integrating disciplines, theories, and research. In
particular, we evaluate studies in which information processing or cognitive
neuroscience paradigms were used to assess facial affect processing in depressed
and depression-susceptible populations. Most studies have assessed and supported
cognitive models. This research suggests that depressed and depression-vulnerable
groups show abnormal facial affect interpretation, attention, and memory,
although findings vary based on depression severity, comorbid anxiety, or length
of time faces are viewed. Facial affect processing biases appear to correspond
with distinct neural activity patterns and increased depressive emotion and
thought. Biases typically emerge in depressed moods but are occasionally found in
the absence of such moods. Indirect evidence suggests that childhood neglect
might cultivate abnormal facial affect processing, which can impede social
functioning in ways consistent with cognitive-interpersonal and interpersonal
models. However, reviewed studies provide mixed support for the social risk model
prediction that depressive states prompt cognitive hypervigilance to social
threat information. We recommend prospective interdisciplinary research examining
whether facial affect processing abnormalities promote-or are promoted by
depressogenic attachment experiences, negative thinking, and social dysfunction.
PMID- 21895354
TI - Perspectives on observational learning in animals.
AB - Observational learning is presumed to have occurred when an organism copies an
improbable action or action outcome that it has observed and the matching
behavior cannot be explained by an alternative mechanism. Psychologists have been
particularly interested in the form of observational learning known as imitation
and in how to distinguish imitation from other processes. To successfully make
this distinction, one must disentangle the degree to which behavioral similarity
results from (a) predisposed behavior, (b) increased motivation resulting from
the presence of another animal, (c) attention drawn to a place or object, (d)
learning about the way the environment works, as distinguished from what we think
of as (e) imitation (the copying of the demonstrated behavior). Several of the
processes that may be involved in observational learning are reviewed, including
social facilitation, stimulus enhancement, several kinds of emulation, and
various forms of imitation.
PMID- 21895355
TI - What's social about social learning?
AB - Research on social learning in animals has revealed a rich variety of cases where
animals--from caddis fly larvae to chimpanzees--acquire biologically important
information by observing the actions of others. A great deal is known about the
adaptive functions of social learning, but very little about the cognitive
mechanisms that make it possible. Even in the case of imitation, a type of social
learning studied in both comparative psychology and cognitive science, there has
been minimal contact between the two disciplines. Social learning has been
isolated from cognitive science by two longstanding assumptions: that it depends
on a set of special-purpose modules--cognitive adaptations for social living; and
that these learning mechanisms are largely distinct from the processes mediating
human social cognition. Recent research challenges these assumptions by showing
that social learning covaries with asocial learning; occurs in solitary animals;
and exhibits the same features in diverse species, including humans. Drawing on
this evidence, I argue that social and asocial learning depend on the same basic
learning mechanisms; these are adapted for the detection of predictive
relationships in all natural domains; and they are associative mechanisms-
processes that encode information for long-term storage by forging excitatory and
inhibitory links between event representations. Thus, human and nonhuman social
learning are continuous, and social learning is adaptively specialized--it
becomes distinctively "social"--only when input mechanisms (perceptual,
attentional, and motivational processes) are phylogenetically or ontogenetically
tuned to other agents.
PMID- 21895356
TI - Social connectedness, discrimination, and social status as mediators of
acculturation/enculturation and well-being.
AB - The present study proposed and tested a conceptual model of
acculturation/enculturation and subjective well-being (SWB) by including social
connectedness in mainstream society, social connectedness in the ethnic
community, perceived discrimination, and expected social status as mediators.
Survey data from 273 Asian American college students in the midwest were analyzed
by using structural equation modeling. Results indicated that the effect of
acculturation on SWB was mediated by social connectedness in mainstream and
ethnic communities and expected social status, whereas the effect of
enculturation on SWB was mediated by social connectedness in the ethnic community
and expected social status. Contrary to the authors' hypothesis, perceived
discrimination did not mediate the relation of acculturation and SWB, but
indirectly influenced SWB via a lowered sense of connectedness to mainstream
society. Approximately 46% of the variance in SWB was accounted for by the
variables included in this model. Implications for theory, research, and practice
are discussed.
PMID- 21895357
TI - Resilience: enhancing well-being through the positive cognitive triad.
AB - The present study tested whether the relationships among resilience, life
satisfaction, and depression could be explained by positive views toward the
self, the world, and the future (positive cognitive triad). Structural equation
modeling and mediation analyses were conducted based on 1,419 college students in
Hong Kong. The model of positive cognitive triad as mediator between resilience
and well-being fit the data (comparative fit index = .94, Tucker-Lewis index =
.93, root-mean-square error of approximation = .08). Findings showed resilience
to be significantly related to positive cognitions about the self, the world, and
the future. Individuals who had higher level of resilience held significantly
more positive cognitions and reported significantly higher levels of life
satisfaction and lower levels of depression. The utility of the positive
cognitive triad as the mechanism through which resilience enhances well-being was
supported. Applications in cultivating resilience and positive cognitions in
counseling services are discussed.
PMID- 21895358
TI - Future orientation, impulsivity, and problem behaviors: a longitudinal moderation
model.
AB - In the current study, based on a sample of 1,873 adolescents between 11.4 and
20.9 years of age from the first 3 waves of the National Longitudinal Study of
Adolescent Health, we investigated the longitudinal effects of future orientation
on levels of and developmental changes in problem behaviors, while controlling
for the effects by impulsivity; we also tested the moderating effects by future
orientation on the impulsivity-problem behaviors link over time. Additionally, we
examined future orientation operationalized by items measuring education,
marriage, and life domains. Findings based on growth curve analyses provided
evidence of longitudinal effects by education and life future orientation on both
levels of and developmental changes in problem behaviors; the effect of marriage
future orientation was not significant for either test. In addition, only life
future orientation moderated the effect by impulsivity on levels of problem
behaviors over time. More specifically, impulsivity had a weaker effect on levels
of problem behaviors over time for adolescents who reported higher levels of life
future orientation.
PMID- 21895359
TI - The role of intersensory redundancy in the emergence of social referencing in
51/2-month-old infants.
AB - Early evidence of social referencing was examined in 51/2-month-old infants.
Infants were habituated to 2 films of moving toys, one toy eliciting a woman's
positive emotional expression and the other eliciting a negative expression under
conditions of bimodal (audiovisual) or unimodal visual (silent) speech. It was
predicted that intersensory redundancy provided by audiovisual (but not available
in unimodal visual) events would enhance detection of the relation between
emotional expressions and the corresponding toy. Consistent with predictions,
only infants who received bimodal, audiovisual events detected a change in the
affect-object relations, showing increased looking during a switch test in which
the toy-affect pairing was reversed. Moreover, in a subsequent live preference
test, they preferentially touched the 3-dimensional toy previously paired with
the positive expression. These findings suggest social referencing emerges by
51/2 months in the context of intersensory redundancy provided by dynamic
multimodal stimulation and that even 51/2-month-old infants demonstrate
preferences for 3-dimensional objects on the basis of affective information
depicted in videotaped events.
PMID- 21895360
TI - Families created through surrogacy: mother-child relationships and children's
psychological adjustment at age 7.
AB - Each year, an increasing number of children are born through surrogacy and thus
lack a genetic and/or gestational link with their mother. This study examined the
impact of surrogacy on mother-child relationships and children's psychological
adjustment. Assessments of maternal positivity, maternal negativity, mother-child
interaction, and child adjustment were administered to 32 surrogacy, 32 egg
donation, and 54 natural conception families with a 7-year-old child. No
differences were found for maternal negativity, maternal positivity, or child
adjustment, although the surrogacy and egg donation families showed less positive
mother-child interaction than the natural conception families. The findings
suggest that both surrogacy and egg donation families function well in the early
school years.
PMID- 21895361
TI - Longitudinal effects of theory of mind on later peer relations: the role of
prosocial behavior.
AB - Children's peer relations represent a key aspect of school adjustment. However,
little is known about their social-cognitive precursors. To address this gap, the
authors followed 70 children across the transition to primary school. At Time 1
(age 5), Time 2 (age 6), and Time 3 (age 7), children were assessed on their
theory of mind, prosocial behavior, and verbal ability. In addition, at Time 2
and at Time 3, the authors gathered peer nominations. Results supported the
authors' mediational hypothesis of indirect paths from early theory of mind to
subsequently lower peer rejection and higher peer acceptance, via improvements in
prosocial behavior. The authors discuss implications of these longitudinal
effects for the understanding of the impact of social-cognitive achievements for
children's developing social relations.
PMID- 21895362
TI - The "cost of caring" in youths' friendships: considering associations among
social perspective taking, co-rumination, and empathetic distress.
AB - The current research considered the costs of caring in youths' friendships. The
development of a new construct, empathetic distress, allowed for a direct test of
the commonly held belief that females suffer greater vicarious distress in
response to close others' stressors and problems than do males. Empathetic
distress refers to one's strongly sharing a relationship partner's distress over
problems to the point of taking on the partner's distress and experiencing it as
one's own. This new construct was examined in an ethnically diverse sample of
early adolescents who responded to a series of questionnaires in their
classrooms. Results indicated that girls did experience greater empathetic
distress in friendships than did boys. In addition, the current research revealed
that social perspective taking in friendships (i.e., the social-cognitive ability
to infer and understand the friend's perspective) had adjustment trade-offs in
that it predicted greater positive friendship quality but also greater empathetic
distress in the friendship. Interestingly, the associations of social perspective
taking with both positive friendship quality and empathetic distress were
partially mediated by co-rumination or excessive discussion of problems. Applied
implications of the findings that girls' greater social perspective taking and
associated co-rumination contributed both to their greater positive friendship
quality but also to greater costs of caring in the form of empathetic distress
are discussed.
PMID- 21895363
TI - The effect of generic statements on children's causal attributions: questions of
mechanism.
AB - Generic statements, or generics, express generalizations about entire kinds
(e.g., "Girls are good at a game called 'tooki'"). In contrast, nongeneric
statements express facts about specific (sets of) individuals (e.g., "Jane is
good at tooki"). Aside from simply conveying information, generics and
nongenerics also instill different causal perspectives on the facts expressed,
implying that these facts stem from deep, inherent causes (e.g., talent) or from
external, mechanistic causes (e.g., instruction), respectively. In the present
research (with samples of 4- to 7-year-olds and undergraduates, N = 220), we
proposed that children's causal attributions for the facts learned through these
statements are determined not by the generic/nongeneric format of the statements
themselves but rather by the generic/nongeneric format of the beliefs relevant to
these statements. This proposal led to two specific predictions. First, the
influence of the generic belief induced by a novel generic statement should be
detected in any subsequent context that falls under its scope--even in
circumstances that involve particular individuals. Confirming this prediction,
participants often attributed a fact conveyed in a nongeneric statement (e.g., a
particular girl's tooki ability) to deep, inherent causes if they had previously
formed a relevant generic belief (e.g., by hearing that girls are good at tooki).
Second, we predicted that nongeneric statements such as "Most girls are good at
tooki" should also promote attributions to deep causes because they often
ultimately give rise to generic beliefs, as suggested by recent evidence. This
prediction was confirmed as well. These results clarify and expand our knowledge
of the influence of language on children's understanding of the world.
PMID- 21895364
TI - The presence of a best friend buffers the effects of negative experiences.
AB - The goal of the current study was to examine how the presence of a best friend
might serve as protection against the effect of negative experiences on global
self-worth and the hypothalamic-pituitary-adrenocortical axis (HPA axis). A total
of 103 English-speaking male (n = 55) and female (n = 48) participants from Grade
5 (M = 10.27 years) and Grade 6 (M = 11.30 years) completed booklets about their
experiences that occurred 20 min previously and how they felt about themselves at
the moment, and they provided saliva multiple times per day over the course of 4
consecutive days. Having a best friend present during an experience significantly
buffered the effect of the negativity of the experience on cortisol and global
self-worth. When a best friend was not present, there was a significant increase
in cortisol and a significant decrease in global self-worth as the negativity of
the experience increased. When a best friend was present, there was less change
in cortisol and global self-worth due to the negativity of the experience.
PMID- 21895365
TI - Infants' joint attention skills predict toddlers' emerging mental state language.
AB - To assess predictive relations between joint attention skills, intention
understanding, and mental state vocabulary, 88 children were tested with measures
of comprehension of gaze and referential pointing, as well as the production of
declarative gestures and the comprehension and production of imperative gestures,
at the ages of 7-18 months. Infants' intention-based imitation skills were
assessed at 12, 15, and 18 months. At the ages of 24 and 36 months, toddlers'
internal state lexicon was evaluated by parents with a German adaptation of the
Mental State Language Questionnaire (Olineck & Poulin-Dubois, 2005). Regression
analyses revealed that 9-months-olds' comprehension of referential pointing
contributed significantly to the prediction of intention-based imitation skills
at 15 months, as well as to children's volition and cognition vocabularies at 24
and 36 months, respectively. Moreover, 12-month-olds' comprehension of an
imperative motive was shown to selectively predict toddlers' use of volition
terms at 24 months. Overall, these results provide empirical evidence for both
general and specific developmental relations between preverbal communication
skills and mental state language, thus implying developmental continuity within
the social domain in the first 3 years of life.
PMID- 21895366
TI - Depleted parental psychological resources as mediators of the association of
income with adherence and metabolic control.
AB - For adolescents with Type 1 diabetes, lower family income may be associated with
poorer diabetes management through depleted parental psychological resources
(i.e., higher parental depressive symptoms, lower parental acceptance).
Adolescents (N = 252; 46% male) aged 10-14 years with Type 1 diabetes assessed
the acceptance of their mother and father (e.g., "gives me the feeling that she
likes me as I am"; "she doesn't feel she has to make me over into someone else").
Mothers provided information on family income and demographics. Both mothers and
fathers reported their depressive symptoms. HbA1c scores were indexed via medical
records. Lower family income was associated with higher (i.e., worse) HbA1c, more
mother and father depressive symptoms, and less acceptance from both parents.
Mediation analyses revealed that the relationship of lower family income with
metabolic control occurred indirectly through lower maternal and paternal
acceptance and lower adherence. Lower family income may impair the quality of
parent--adolescent relationships that are beneficial for good diabetes
management.
PMID- 21895367
TI - Executive control resources and frequency of fatty food consumption: findings
from an age-stratified community sample.
AB - OBJECTIVE: Fatty foods are regarded as highly appetitive, and self-control is
often required to resist consumption. Executive control resources (ECRs) are
potentially facilitative of self-control efforts, and therefore could predict
success in the domain of dietary self-restraint. It is not currently known
whether stronger ECRs facilitate resistance to fatty food consumption, and
moreover, it is unknown whether such an effect would be stronger in some age
groups than others. The purpose of the present study was to examine the
association between ECRs and consumption of fatty foods among healthy community
dwelling adults across the adult life span. METHODS: An age-stratified sample of
individuals between 18 and 89 years of age attended two laboratory sessions.
During the first session they completed two computer-administered tests of ECRs
(Stroop and Go-NoGo) and a test of general cognitive function (Wechsler
Abbreviated Scale of Intelligence); participants completed two consecutive 1-week
recall measures to assess frequency of fatty and nonfatty food consumption.
RESULTS: Regression analyses revealed that stronger ECRs were associated with
lower frequency of fatty food consumption over the 2-week interval. This
association was observed for both measures of ECR and a composite measure. The
effect remained significant after adjustment for demographic variables (age,
gender, socioeconomic status), general cognitive function, and body mass index.
The observed effect of ECRs on fatty food consumption frequency was invariant
across age group, and did not generalize to nonfatty food consumption.
CONCLUSIONS: ECRs may be potentially important, though understudied, determinants
of dietary behavior in adults across the life span.
PMID- 21895368
TI - Patient-healthcare provider communication: perspectives of African American
cancer patients.
AB - OBJECTIVE: African Americans are often viewed as ineffective communicators during
medical encounters. However, most previous studies have been conducted among
noncancer populations and based on the perceptions of health care providers (HCP)
and researchers. This study aimed to explore African American cancer patients'
perspectives of HCPs' communication behaviors and how these communication
patterns facilitate or hinder their cancer management and survivorship
experiences. METHODS: This is a secondary data analysis of qualitative interviews
with 28 African American cancer patients residing in the Southeastern U.S.
Participants were purposefully selected to represent patients of both genders
with varied sociodemographic characteristics. Grounded theory techniques of
constant comparison were used to identify patterns of responses from the
participants. RESULTS: Four major themes were identified about communication
patterns between these cancer patients and their HCPs during diagnosis and
treatment: (1) communication of cancer information; (2) communication of shared
decision making; (3) communication of empathy and understanding; and (4)
communication of respect. This study also described the effects of patient-HCP
communication (e.g., satisfaction with oncology care, emotional distress, patient
loyalty, treatment adherence, decisional regrets). CONCLUSIONS: African American
cancer patients in this study perceived that HCPs demonstrated different levels
of communication sensitivity and skills during cancer diagnosis and treatment.
They also believed that HCPs' communication behaviors directly affected their
survivorship experiences. Our findings help to gain knowledge of patient-HCP
communication and to identify potential strategies for better communication
between patients and HCPs among middle-aged and older African American cancer
patients, which will ultimately promote culturally sensitive oncology care.
PMID- 21895369
TI - Daily stress and cortisol patterns in parents of adult children with a serious
mental illness.
AB - OBJECTIVE: The goal of the current study was to examine whether parenting an
adult child with a serious mental illness (SMI) has a physiological impact on
parents. METHOD: Multiple samples of saliva were collected on 4 days from 61
parents (mean age = 60.07 years, SD = 10.01) of individuals with a SMI (bipolar
disorder, schizophrenia, and major depression; mean age = 32.46 years, SD =
10.57) and a comparison group of 321 parents (mean age = 58.09 years, SD = 12.88)
of individuals without a SMI (mean age = 32.36; SD = 13.87). Saliva samples were
assayed for the hormone cortisol and group differences in diurnal cortisol
patterns and their association with daily stress severity were explored. RESULTS:
On days after elevated stress, a hypoactivation pattern of diurnal cortisol
suggestive of chronic stress was evident for parents of individuals with a SMI.
After more stressful days, cortisol levels increased less from waking to 30 min
after waking and declined less from 30 min after waking to bedtime for parents of
individuals with a SMI. CONCLUSIONS: The results of the current study add to a
growing body of evidence that the long-term effects of parenting an adult with a
disability has a biological impact on aging parents and support the need for
family interventions across adulthood and into old age for parents of individuals
with SMI.
PMID- 21895370
TI - Understanding narrative effects: the impact of breast cancer survivor stories on
message processing, attitudes, and beliefs among African American women.
AB - OBJECTIVE: Examine the longitudinal effects of personal narratives about
mammography and breast cancer compared with a traditional informational approach.
METHODS: African American women (n = 489) ages 40 and older were recruited from
low-income neighborhoods in St. Louis, Missouri, and randomized to watch a
narrative video comprised of stories from African American breast cancer
survivors or a content-equivalent informational video. Effects were measured
immediately postexposure (T2) and at 3- (T3) and 6-month (T4) follow-up. T2
measures of initial reaction included positive and negative affect, trust,
identification, and engagement. T3 message-processing variables included arguing
against the messages (counterarguing) and talking to family members about the
information (cognitive rehearsal). T4 behavioral correlates included perceived
breast cancer risk, cancer fear, cancer fatalism, perceived barriers to
mammography, and recall of core messages. Structural equation modeling examined
interrelations among constructs. RESULTS: Women who watched the narrative video
(n = 244) compared to the informational video (n = 245) experienced more positive
and negative affect, identified more with the message source, and were more
engaged with the video. Narratives, negative affect, identification, and
engagement influenced counterarguing, which, in turn, influenced perceived
barriers and cancer fatalism. More engaged women talked with family members more,
which increased message recall. Narratives also increased risk perceptions and
fear via increased negative affect. CONCLUSIONS: Narratives produced stronger
cognitive and affective responses immediately, which, in turn, influenced message
processing and behavioral correlates. Narratives reduced counterarguing and
increased cognitive rehearsal, which may increase acceptance and motivation to
act on health information in populations most adversely affected by cancer
disparities.
PMID- 21895371
TI - Differential cognitive effects of cycling versus stretching/coordination training
in middle-aged adults.
AB - OBJECTIVE: Physical exercise has been linked to higher cognitive functioning and
enhanced brain plasticity in aging humans. The most consistent positive effects
have been reported for executive functions associated with frontal brain regions.
In rodents, however, running has been shown to induce functional and structural
changes in the hippocampus, a brain region known to be important for memory. It
is still a matter of debate which cognitive functions are susceptible to exercise
and whether an increase in cardiovascular fitness is beneficial for cognitive
functioning. Moreover, little is known about the impact of exercise on cognition
in middle-aged humans. METHOD: Sixty-eight sedentary men and women between 40 and
56 years of age were randomly assigned to one of two training programs: aerobic
endurance training (cycling) or nonendurance training (stretching/coordination).
Both groups exercised twice a week for six months. Additionally, a sedentary
control group was tested. At baseline and after six months, episodic memory,
perceptual speed, executive functions, and spatial reasoning were assessed with
standardized psychometric tests, and all participants underwent a cardiovascular
fitness test. RESULTS: Significant improvements in memory were observed in both
the cycling and the stretching/coordination group as compared with the sedentary
control group. The improvement in episodic memory correlated positively with the
increase in cardiovascular fitness. The stretching/coordination training
particularly improved selective attention as compared with the cycling training.
CONCLUSIONS: The results suggest that cardiovascular fitness has beneficial
effects even in high-functioning middle-aged participants, but that these
benefits are very specific to memory functions rather than a wider range of
cognitive functions.
PMID- 21895372
TI - Family poverty is associated with cytomegalovirus antibody titers in U.S.
children.
AB - OBJECTIVE: Early life environmental and psychological influences are thought to
play an important role in the development of the immune system. Antibody response
to latent herpesviruses has been used as an indirect measure of cell-mediated
immune function but has seldom been applied to younger age groups. METHODS: We
used data from the 1999-2004 National Health and Nutrition Examination Survey
(NHANES) to test for an association between family poverty and continuous
antibody response to cytomegalovirus in U.S. children aged 6-16 (N = 2,226) using
ordinary least squares regression. RESULTS: Poverty was significantly associated
with increased antibody levels among seropositive individuals. The association
between income and antibody levels exhibited a threshold effect, with additional
income beyond the poverty line not associated with increased antibody titers.
This relationship was more robust among older compared with younger children.
CONCLUSIONS: Early life social factors such as family poverty could have
detrimental impacts on the developing immune system, with potentially important
consequences for later life health outcomes. Exposure to socioeconomic stressors
for longer periods during childhood may further enhance alterations in immune
response to cytomegalovirus.
PMID- 21895373
TI - Psychological distress in fibromyalgia patients: a role for catechol-O-methyl
transferase Val158met polymorphism.
AB - OBJECTIVE: Fibromyalgia (FM) has been related to biochemical alterations, central
pain sensitization and psychological distress. Among genetic and environmental
hypotheses, a role was suggested for catechol-O-methyl-transferase (COMT), a
modulator in the metabolism of monoaminergic neurotransmitters. METHOD: This
study compared the COMT Val158Met enzyme polymorphism (rs4680) of 198 FM patients
to 99 pain-free controls. Psychological and functional aspects were assessed
through investigating anxiety, depression, catastrophizing, perceived health, and
functional status. RESULTS: The distribution of the COMT Val158Met polymorphism
was similar in FM and controls. Out of 198 patients, 137 were able to stop
medication before evaluation. In these patients, the COMT Val158Met genotype was
associated with specific psychological profiles. The Met/Met subgroup scored
systematically worse on all psychological and functional variables. All variables
displayed a "genotype-trend effect" with the Met/Met and Val/Val subgroups at the
two ends of the scores. Genotypes distribution in the 61 patients unable to stop
medication was significantly different from that of patients able to stop
medication and controls (p = .002 and p = .018, respectively) with an increase in
the proportion of the Met/Met genotype associated to the lowest COMT activity.
These results suggest a possible role of COMT Val158Met polymorphism in the
psychological distress observed in FM. CONCLUSIONS: The association of COMT
genotype with psychological distress may be of importance as identifying
subgroups is a challenge in the diagnosis and treatment of fibromyalgia patients.
This association may contribute to open new perspectives into the understanding
of the pathophysiology of fibromyalgia and stress-related genes.
PMID- 21895374
TI - Interdependent psychological quality of life in dyads adjusting to prostate
cancer.
AB - OBJECTIVE: Prostate cancer negatively influences quality of life (QOL) in
survivors and the people with whom they are close. The purpose of this
investigation was to assess the degree of dyadic interdependence in psychological
QOL in dyads adjusting to prostate cancer and its treatment. METHOD: Participants
were 70 prostate cancer survivors and their partners, most of whom were spouses.
Assessments of psychological QOL (i.e., depression, anxiety, fatigue, and
positive affect) were made at three points in time, each separated by 8 weeks.
RESULTS: Survivors' prostate specific function was associated with both their own
and their partners' psychological QOL. There was evidence of longitudinal dyadic
interdependence for psychological QOL, particularly from partners to survivors
between the T2 and T3 assessments. CONCLUSIONS: Prostate cancer survivors'
psychological QOL is affected substantially by their partners' psychological QOL,
consistent with theories of emotional contagion.
PMID- 21895375
TI - Dynamic psychological and behavioral changes in the adoption and maintenance of
exercise.
AB - OBJECTIVE: Although health cognitions are regarded as key determinants for health
behavior change, they are rarely studied from a dynamic perspective, evaluating
the impact of changes in cognitions on changes in behavior. In the present study,
we examine how changes in phase-specific and generic health cognitions influence
first, the adoption of exercise and later, the maintenance of the newly acquired
behavior. METHODS: Participants were middle-aged, Finnish adults participating in
a lifestyle intervention to prevent Type 2 diabetes (N = 389). Health cognitions
and exercise were measured at baseline (preintervention) and twice after a
lifestyle intervention took place (at 3 months and after 1 year). RESULTS: The
results show that both phase-specific and generic health cognitions changed
during the intervention. However, these changes were most pronounced within those
participants who started at a lower level to begin with. Most important, evidence
for the dynamic interplay between changes in health cognitions and health
behavior was observed: Phase-specific health cognitions facilitated the adoption
as well as the maintenance of behavior changes. CONCLUSIONS: Thus, health
cognitions are amenable to change, and these changes are adaptive in terms of
initiating and maintaining health behavior change. This has implications both for
practical intervention research, as well as for theory development.
PMID- 21895376
TI - The SEA (Social cognition and Emotional Assessment): a clinical
neuropsychological tool for early diagnosis of frontal variant of frontotemporal
lobar degeneration.
AB - OBJECTIVE: The frontal variant of frontotemporal degeneration (fvFTD) is
characterized by a predominant behavioral syndrome, which is mostly attributable
to an orbital-medial prefrontal dysfunction. The orbital and ventral medial
prefrontal functions in humans are difficult to assess in clinical practice.
Here, we propose a new tool, the SEA (Social cognition and Emotional Assessment),
for use in evaluating the functions of the orbital and ventral-medial portions of
the prefrontal cortex. METHOD: The SEA is composed of five subtests, each
assessing a specific orbitofrontal-related function: a test of identification of
facial emotions, a reversal/extinction task, a behavioral control task, a theory
of mind test, and an apathy scale. The maximum score is 55. Three groups have
been tested: 22 fvFTD patients, 22 patients with Alzheimer's disease (AD) or
amnesic mild cognitive impairment (aMCI), and 30 healthy control subjects, all
matched for age and educational level. RESULTS: FvFTD patients showed
significantly lower performances in all subtests of the SEA. A cut-off score of
39.4/55 was proposed to separate normal controls from fvFTD patients, with a
maximum sensitivity and specificity of 100%. A very high specificity (88.5%) was
obtained using the same cut-off with AD/aMCI patients and normal controls versus
fvFTD patients. FvFTD patients' performance in the SEA did not correlate with any
other neuropsychological scores, particularly the classical cognitive executive
tests. CONCLUSIONS: The SEA is a new and useful tool for diagnosing fvFTD and,
more generally, all of the diseases affecting the orbital and medial prefrontal
functions.
PMID- 21895377
TI - Deficits of contralesional awareness: a case study on what paper-and-pencil tests
neglect.
AB - OBJECTIVE: Attentional orienting and awareness for contralesional hemispace were
studied longitudinally in a woman (GB) who suffered a right hemispheric stroke
without any motor impairment and who presented normal performance on standard
paper-and-pencil tests for neglect but manifested difficulties in everyday life.
We aimed to test whether computer-based, dual-task paradigms were sufficiently
sensitive to detect the presence of subclinical neglect in GB. METHOD: We
assessed the spatial awareness of GB by means of cued-detection tasks, paper-and
pencil tests, attentionally demanding dual tasks, and in several ecological
settings after her discharge from the hospital. A group of right brain-damaged
patients and an age-matched healthy participant were also tested with the dual
tasks. RESULTS: Dramatic awareness deficits for the left contralesional hemispace
emerged in GB only under dual-task conditions, both in computer-based and in
ecological settings, as if her degree of contralesional space awareness
impairment was closely dependent on the quantity of available attentional
resources. Our dual-task paradigm was also effective in quantifying awareness
improvements over time. The absence of motor impairments, uncommon for a
postacute patient with severe albeit hidden neglect, allowed us to ascribe her
everyday life impairments for contralesional hemispace to awareness deficits. The
performance of the group of patients confirmed the detrimental effects of the
dual tasks, whereas the performance of the healthy control we tested was not
affected by dual-task manipulation. CONCLUSIONS: Our results confirm the well
known lack of sensitivity of standard neuropsychological tests to detect
subclinical forms of neglect, which, nonetheless, may result in negative
consequences in everyday life. Computer-based, resource-demanding paradigms seem
to be a promising solution to uncover subtle awareness deficits that can affect
the everyday life of stroke patients.
PMID- 21895378
TI - Age differences in memory for names: the effect of prelearned semantic
associations.
AB - Previous research has shown that people-especially older adults-have a special
difficulty in learning the names of newly encountered people. This is potentially
attributable to the lack of direct link in memory between a face and name. The
present experiments investigated whether older and younger adults could use other
semantic information about a person (i.e., a "mediator") to indirectly link a
name to a face. In each of two experiments, older and younger adults prelearned
associations between semantic information (character information or occupations)
and names. They then attempted to learn links between faces and either the names
or semantic information. In the "unmediated" condition, participants learned only
one piece of information (either the name or the semantic information) about each
face, whereas in the "mediated" condition, they learned both the to-be-tested
information as well as the "mediator" (i.e., both the name and the other semantic
information). Experiment 1 showed that, at a simple level, both age groups could
use character information ("good" or "bad") to help recognize people's names,
given their faces. Experiment 2 showed that knowing the occupation associated
with a name helped both age groups to later recall the name associated with a
given face.
PMID- 21895379
TI - Let me guess how old you are: effects of age, gender, and facial expression on
perceptions of age.
AB - Perceptions of age influence how we evaluate, approach, and interact with other
people. Based on a paramorphic human judgment model, the present study
investigates possible determinants of accuracy and bias in age estimation across
the adult life span. For this purpose, 154 young, middle-aged, and older
participants of both genders estimated the age of 171 faces of young, middle
aged, and older men and women, portrayed on a total of 2,052 photographs. Each
face displayed either an angry, fearful, disgusted, happy, sad, or neutral
expression (FACES database; Ebner, Riediger, & Lindenberger, 2010). We found that
age estimation ability decreased with age. Older and young adults, however, were
more accurate and less biased in estimating the age of members of their own as
compared with those of the other age group. In contrast, no reliable own-gender
advantage was observed. Generally, the age of older faces was more difficult to
estimate than the age of younger faces. Furthermore, facial expressions had a
substantial impact on accuracy and bias of age estimation. Relative to other
facial expressions, the age of neutral faces was estimated most accurately, while
the age of faces displaying happy expressions was most likely underestimated.
Results are discussed in terms of methodological and practical implications for
research on age estimation.
PMID- 21895380
TI - The relation between anxiety disorder and experiential avoidance in inpatient
adolescents.
AB - The current study aimed to examine the relation between experiential avoidance
and anxiety disorders, as well as the usefulness of the Avoidance and Fusion
Questionnaire for Youth (AFQ-Y; Greco, Lambert, & Baer, 2008) in detecting
anxiety disorder in a sample of adolescent inpatients. First, the relation
between experiential avoidance and anxiety among inpatient adolescents was
investigated from categorical and dimensional points of view, making use of self
report and parent report, as well as clinical interview, while controlling for
depression and externalizing problems. Second, we sought to establish the
clinical utility value of the AFQ-Y by determining the sensitivity, specificity,
and clinical cutoff score of this measure in predicting anxiety disorder. To this
end, a sample of inpatient adolescents (N = 111) with a mean age of 16.14 (SD =
1.39) years completed the AFQ-Y, alongside interview- and questionnaire-based
measures of psychopathology. Results showed a significant relation between
anxiety disorder and experiential avoidance, independent of depression. Receiver
operating characteristics analysis revealed that the AFQ-Y has moderate accuracy
(area under the curve = .815, SE = .047, p < .001) in discriminating adolescents
with a diagnosis of any anxiety disorder (cutoff score 26.5; sensitivity = .74,
specificity = .76). Given the comorbidity of anxiety and depression in this
sample, this cutoff is not indicative of pure anxiety disorder but may be helpful
in predicting those with emotional disorders in general.
PMID- 21895381
TI - Social relationships and intraindividual variability in interpersonal behavior:
correlates of interpersonal spin.
AB - Personality constructs are typically conceptualized as central tendencies of the
individual. We explore whether dynamic personality constructs that quantify the
within-individual variability of behavior across situations and over time predict
the closeness of social relationships. We focused on interpersonal spin, defined
as the degree of dispersion in a person's interpersonal behaviors around the
interpersonal circumplex across situations and over time. We predicted that
individuals with high spin would have social relationships that are less close
than individuals with low spin. In 3 studies with different measures of
relationship closeness, we found that (a) higher spinners reported that a larger
proportion of their contacts in their workplace social networks were distant
(Study 1); (b) co-workers were less satisfied and less often engaged in pleasant
activities with higher spinners (Study 2); and (c) co-workers avoided higher
spinners with whom they were well acquainted (Study 3). Moderated mediation
analyses in Study 3 revealed that co-workers avoided well-acquainted higher
spinners because they felt more negative affect when interacting with these
individuals. The findings suggest the potential of dynamic personality constructs
for improving our understanding of the characteristics of individuals' social
relationships.
PMID- 21895382
TI - Restoration process of the need for autonomy: the early alarm stage.
AB - Autonomy is described by self-determination theory as a basic psychological need,
essential for individuals' well-being. While basic needs are generally thought to
induce a restorative response when thwarted, evidence for such a process is
lacking for autonomy. To date, most evidence indicates that autonomy deprivation
leads to disaffection of this need in favor of other motives. A temporal model
based on the general adaptation syndrome was adapted to reconcile this seeming
contradiction. Specifically, it is hypothesized that an early alarm response
aimed at restoring the satisfaction of the need for autonomy should precede the
later relinquishment and compensation of this need that would result from a
prolonged deprivation. Three studies provide support for this model by showing
the existence of the immediate autonomy restorative response. Using a controlling
situation to manipulate autonomy deprivation, the authors demonstrate in
Experiments 1 and 2 that a controlling context leads to enhanced accessibility
and an approach bias for autonomy-related stimuli. Experiment 3 indicates that
the urge to restore autonomy can also affect personal judgment, leading
individuals to make more independent judgments, exercising a nonreactive form of
autonomy. Integration of this model within self-determination theory is
discussed.
PMID- 21895383
TI - A dynamic stimulus-driven model of signal detection.
AB - Signal detection theory forms the core of many current models of cognition,
including memory, choice, and categorization. However, the classic signal
detection model presumes the a priori existence of fixed stimulus representations
-usually Gaussian distributions--even when the observer has no experience with
the task. Furthermore, the classic signal detection model requires the observer
to place a response criterion along the axis of stimulus strength, and without
theoretical elaboration, this criterion is fixed and independent of the
observer's experience. We present a dynamic, adaptive model that addresses these
2 long-standing issues. Our model describes how the stimulus representation can
develop from a rough subjective prior and thereby explains changes in signal
detection performance over time. The model structure also provides a basis for
the signal detection decision that does not require the placement of a criterion
along the axis of stimulus strength. We present simulations of the model to
examine its behavior and several experiments that provide data to test the model.
We also fit the model to recognition memory data and discuss the role that
feedback plays in establishing stimulus representations.
PMID- 21895384
TI - Recurrence in major depression: a conceptual analysis.
AB - Theory and research on major depression have increasingly assumed a recurrent and
chronic disease model. Yet not all people who become depressed suffer
recurrences, suggesting that depression is also an acute, time-limited condition.
However, few if any risk indicators are available to forecast which of the
initially depressed will or will not recur. This prognostic impasse may be a
result of problems in conceptualizing the nature of recurrence in depression. In
the current paper we first provide a conceptual analysis of the assumptions and
theoretical systems that presently structure thinking on recurrence. This
analysis reveals key concerns that have distorted views about the long-term
course of depression. Second, as a consequence of these theoretical problems we
suggest that investigative attention has been biased toward recurrent forms of
depression and away from acute, time-limited conditions. Third, an analysis of
how these theoretical problems have influenced research practices reveals that an
essential comparison group has been omitted from research on recurrence: people
with a single lifetime episode of depression. We suggest that this startling
omission may explain why so few predictors of recurrence have as yet been found.
Finally, we examine the reasons for this oversight, document the validity of
depression as an acute, time-limited disorder, and provide suggestions for future
research with the goal of discovering early risk indicators for recurrent
depression.
PMID- 21895385
TI - Post-stop-signal slowing: strategies dominate reflexes and implicit learning.
AB - Control adjustments are necessary to balance competing cognitive demands. One
task that is well-suited to explore control adjustments is the stop-signal
paradigm, in which subjects must balance initiation and inhibition. One common
adjustment in the stop-signal paradigm is post-stop-signal slowing. Existing
models of sequential adjustments in the stop-signal paradigm suggest that post
stop-signal slowing may be based solely on the events of the previous trial,
suggesting that post-stop-signal slowing is a reflexive byproduct of a stop
signal. Alternatively, post-stop-signal slowing could be the result of implicit
learning or strategic adjustment. The authors report three experiments that
manipulated the probability of stop trial repetition and found that these
contingencies eliminate, reverse, or greatly increase post-stop-signal slowing.
When the contingency was not instructed or cued, modest adjustments of post-stop
signal slowing occurred, suggesting implicit learning. When the contingency was
cued, performance adjustments occurred on the next trial, suggesting that
strategies dominated post-stop-signal slowing. These results show that post-stop
signal slowing is not a reflexive byproduct of the stop signal. The large changes
in strategy accompany large changes in task factors, suggesting that the modest
post-stop-signal slowing usually observed may be a result of the relatively
static task environment that does not encourage large strategic changes.
PMID- 21895386
TI - Transfer of recalibration from audition to touch: modality independence as a
special case of anatomical independence.
AB - An important step in developing a theory of calibration is establishing what it
is that participants become calibrated to as a result of feedback. Three
experiments used a transfer of calibration paradigm to investigate this issue. In
particular, these experiments investigated whether recalibration of perception of
length transferred from audition to dynamic (i.e., kinesthetic) touch when
objects were grasped at one end (Experiment 1), when objects were grasped at one
end and when they were grasped at a different location (i.e., the middle)
(Experiment 2), and when false (i.e., inflated) feedback was provided about
object length (Experiment 3). In all three experiments, there was a transfer of
recalibration of perception of length from audition to dynamic touch when
feedback was provided on perception by audition. Such results suggest that
calibration is not specific to a particular perceptual modality and are also
consistent with previous research that perception of object length by audition
and dynamic touch are each constrained by the object's mechanical properties.
PMID- 21895387
TI - Immediate small number perception: evidence from a new numerical carry-over
procedure.
AB - Evidence is presented for the immediate apprehension of exact small quantities.
Participants performed a quantification task (are the number of items greater or
smaller than?), and carry-over effects were examined between numbers requiring
the same response. Carry-over effects between small numbers were strongly
affected by repeats of pattern and number identity relative to when displays were
from the same response category but contained different numbers. Carry-over
effects with large items were less sensitive to both pattern and number identity,
even when the numbers in the small and large categories were matched for
discriminability. The data suggest that small numbers are immediately apprehended
through a direct subitization process distinct from pattern recognition and the
apprehension of approximate number.
PMID- 21895388
TI - A 2.5-D representation of the human hand.
AB - Primary somatosensory maps in the brain represent the body as a discontinuous,
fragmented set of two-dimensional (2-D) skin regions. We nevertheless experience
our body as a coherent three-dimensional (3-D) volumetric object. The links
between these different aspects of body representation, however, remain poorly
understood. Perceiving the body's location in external space requires that
immediate afferent signals from the periphery be combined with stored
representations of body size and shape. At least for the back of the hand, this
body representation is massively distorted, in a highly stereotyped manner. Here
we test whether a common pattern of distortions applies to the entire hand as a 3
D object, or whether each 2-D skin surface has its own characteristic pattern of
distortion. Participants judged the location in external space of landmark points
on the dorsal and palmar surfaces of the hand. By analyzing the internal
configuration of judgments, we produced implicit maps of each skin surface.
Qualitatively similar distortions were observed in both cases. The distortions
were correlated across participants, suggesting that the two surfaces are bound
into a common underlying representation. The magnitude of distortion, however,
was substantially smaller on the palmar surface, suggesting that this binding is
incomplete. The implicit representation of the human hand may be a hybrid,
intermediate between a 2-D representation of individual skin surfaces and a 3-D
representation of the hand as a volumetric object.
PMID- 21895389
TI - Broken expectations: violation of expectancies, not novelty, captures auditory
attention.
AB - The role of memory in behavioral distraction by auditory attentional capture was
investigated: We examined whether capture is a product of the novelty of the
capturing event (i.e., the absence of a recent memory for the event) or its
violation of learned expectancies on the basis of a memory for an event
structure. Attentional capture-indicated by disruption of a focal visually
presented serial recall task-was found when the voice conveying a concurrent
irrelevant auditory sequence changed every 5 recall trials (from male to female
or vice versa). There was no evidence of attentional capture when the irrelevant
sequence was first encountered and hence novel; capture occurred only when an
expectation for a particular voice had been learned and then violated.
Furthermore, with the increasing predictability of (and hence expectancy for) the
voice changes across the experimental session, the capture response diminished
only to be reinstated when that session-wide expectation was itself violated by a
break in the change-every-5-trials pattern. The results highlight the critical
role of learned expectations, as opposed to novelty detection, in behavioral
auditory attentional capture.
PMID- 21895390
TI - How the measurement of memory processes can affect memory performance: the case
of remember/know judgments.
AB - Relatively little attention has been paid thus far in memory research to the
effects of measurement instruments intended to assess memory processes on the
constructs being measured. The current article investigates the influence of
employing the popular remember/know (R/K) measurement procedure on memory
performance itself. This measurement procedure was extensively used in the past
to assess the respective contributions of 2 processes to memory judgments, one
based on familiarity and the other on recollection. Two experiments using
unrelated word pairs showed that the use of an R/K procedure can alter memory
performance. Specifically, the R/K procedure improved associative memory among
older but not younger adults compared to conditions in which participants were
not asked to provide R/K judgments. Such an effect was not observed in item
memory performance. Potential mechanisms mediating these differential memory
measurement effects are outlined, and the measurement effects' implications for
memory and cognitive research are discussed.
PMID- 21895391
TI - The functional unit of Japanese word naming: evidence from masked priming.
AB - Theories of language production generally describe the segment as the basic unit
in phonological encoding (e.g., Dell, 1988; Levelt, Roelofs, & Meyer, 1999).
However, there is also evidence that such a unit might be language specific.
Chen, Chen, and Dell (2002), for instance, found no effect of single segments
when using a preparation paradigm. To shed more light on the functional unit of
phonological encoding in Japanese, a language often described as being mora
based, we report the results of 4 experiments using word reading tasks and masked
priming. Experiment 1 demonstrated using Japanese kana script that primes, which
overlapped in the whole mora with target words, sped up word reading latencies
but not when just the onset overlapped. Experiments 2 and 3 investigated a
possible role of script by using combinations of romaji (Romanized Japanese) and
hiragana; again, facilitation effects were found only when the whole mora and not
the onset segment overlapped. Experiment 4 distinguished mora priming from
syllable priming and revealed that the mora priming effects obtained in the first
3 experiments are also obtained when a mora is part of a syllable. Again, no
priming effect was found for single segments. Our findings suggest that the mora
and not the segment (phoneme) is the basic functional phonological unit in
Japanese language production planning.
PMID- 21895392
TI - At the same time or apart in time? The role of presentation timing and retrieval
dynamics in generalization.
AB - Several bodies of research have found different results with regard to
presentation timing, categorization, and generalization. Both presenting
instances at the same time (simultaneous) and presenting instances apart in time
(spacing) have been shown to facilitate generalization. In this study, we
resolved these results by examining simultaneous, massed, and spaced
presentations in 2-year-old children's (N = 144) immediate and long-term
performance on a novel noun generalization task. Results revealed that, when
tested immediately, children in the simultaneous condition outperformed children
in all other conditions. However, when tested after 15 min, children in the
spaced condition outperformed children in all other conditions. Results are
discussed in terms of how retrieval dynamics during learning affect abstraction,
retention, and generalization across time.
PMID- 21895393
TI - Investigating cue competition in contextual cuing of visual search.
AB - A fundamental principle of learning is that predictive cues or signals compete
with each other to gain control over behavior. Associative and propositional
reasoning theories of learning provide radically different accounts of cue
competition. Propositional accounts predict that under conditions that do not
afford or warrant the use of higher order reasoning processes, cue competition
should not be observed. We tested this prediction in 2 contextual cuing
experiments, using a visual search task in which patterns of distractor elements
predict the location of a target object. Blocking designs were used in which 2
sets of predictive distractors were trained in compound, with 1 set trained
independently. There was no evidence of cue competition in either experiment. In
fact, in Experiment 2, we found evidence for augmentation of learning. The
findings are contrasted with the predictions of an error-driven associative model
of contextual cuing (Brady & Chun, 2007).
PMID- 21895395
TI - Further evidence for temporal decay in working memory: reply to Lewandowsky and
Oberauer (2009).
AB - The sources of forgetting in working memory (WM) are a matter of intense debate:
Is there a time-related decay of memory traces, or is forgetting uniquely due to
representation-based interference? In a previous study, we claimed to have
provided evidence supporting the temporal decay hypothesis (S. Portrat, P.
Barrouillet, & V. Camos, 2008). However, reanalyzing our data, S. Lewandowsky and
K. Oberauer (2009) demonstrated that they do not provide compelling evidence for
temporal decay and suggested a class of alternative models favoring a
representation-based interference account. In this article, we develop from the
most recent proposals made by Lewandowsky and Oberauer 2 of the most plausible
extensions of these alternative models. We show that neither of these extensions
can account for recent findings related to between-domain WM performance and that
both lead to predictions that are contradicted by new empirical evidence.
Finally, we show that recent studies that have been claimed to rule out the
temporal decay hypothesis do not resist close scrutiny. We conclude that the time
based resource-sharing model remains the most parsimonious way to account for
forgetting and restoration of memory traces in WM.
PMID- 21895396
TI - Phonological typicality influences sentence processing in predictive contexts:
reply to Staub, Grant, Clifton, and Rayner (2009).
AB - In 2 separate self-paced reading experiments, Farmer, Christiansen, and Monaghan
(2006) found that the degree to which a word's phonology is typical of other
words in its lexical category influences online processing of nouns and verbs in
predictive contexts. Staub, Grant, Clifton, and Rayner (2009) failed to find an
effect of phonological typicality when they combined stimuli from the separate
experiments into a single experiment. We replicated Staub et al.'s experiment and
found that the combination of stimulus sets affects the predictiveness of the
syntactic context; this reduces the phonological typicality effect as the
experiment proceeds, although the phonological typicality effect was still
evident early in the experiment. Although an ambiguous context may diminish
sensitivity to the probabilistic relationship between the sound of a word and its
lexical category, phonological typicality does influence online sentence
processing during normal reading when the syntactic context is predictive of the
lexical category of upcoming words.
PMID- 21895397
TI - Still no phonological typicality effect on word reading time (and no good
explanation of one, either): a rejoinder to Farmer, Monaghan, Misyak, and
Christiansen.
AB - In this brief rejoinder, we respond to Farmer, Monaghan, Misyak, and Christiansen
(2011). We argue that the data still do not support the claim that reading time
is affected by the phonological typicality of a word for its part of speech. We
also question Farmer et al.'s claim that interleaving syntactic structures in an
experiment modifies grammatically based syntactic expectations.
PMID- 21895398
TI - Ovarian cancer: origin and factors involved in carcinogenesis with potential use
in diagnosis, treatment and prognosis of the disease.
AB - Ovarian cancer representing the most lethal gynecologic malignancy escapes from
the efforts to manage the disease. We reviewed the current state of the research
considering three main concepts on origin of ovarian cancer including epithelial
mesenchymal transition, secondary origin from Mullerian system and cancer stem
cell hypothesis. Cytogenetic and molecular characteristics of ovarian cancer are
focused particularly on microRNA expression studies revealing huge potential in
recent years, although other transcriptomic, proteomic, epigenetic, epidemiologic
and immunological factors are touched upon, too. Routine and investigated
diagnostic and treatment methods are outlined and several factors revealed to be
associated with prognosis of the disease. Despite the huge progress on
elucidating factors involved in ovarian cancer carcinogenesis, still remains
urgent need to improve both the diagnostics as well as the treatment.
PMID- 21895399
TI - Molecular predictive factors of outcome of radiotherapy in cervical cancer.
AB - Radical radiotherapy with concurrent cisplatin-based chemotherapy is an
established treatment for cervical cancer patients with stage FIGO IIB and
higher. The tumor control can be achieved in 40-80% of patients, the treatment is
associated with the risk of late postiradiation complications in 10 - 15% of
cases. Detection of the factors predictive for tumor control and late morbidity
is a possible direction how to individualize radiotherapy dose and technique. The
aim of our review is to summarize results of studies inquiring various molecular
markers predicting tumor response to radiotherapy and a risk of late
complications. A lot of candidate molecules were evaluated in histochemical
studies: membrane receptors (EGFR, HER-2), cell cycle regulators (p53, p21),
proliferative markers (Ki-67), hypoxia and angiogenetic factors (HIF, VEGF), HPV
status, and others (COX-2), with promising results in some of them (HPV, HIF
1alpha, Ku80, ATM polymorphism). Microarray studies identified decades of genes
with different expression in radiosensitive/radioresistant cervical tumors and
sets of genes are able to comletely separate responding and nonresponding tumors,
but these sets differ across studies. Further well designed studies will be
necessary to achieve results matured for use in clinical practice.
PMID- 21895400
TI - Heterochromatin condensation in central and peripheral nuclear regions of
maturing lymphocytes in the peripheral blood of patients suffering from B chronic
lymphocytic leukemia - a cytochemical study.
AB - The present study was undertaken to provide complementary information on
heterochromatin condensation in central and peripheral nuclear regions during
maturation of human leukemic lymphocytes using simple image processing and DNA
image densitometry at the single cell level. Such approach indicated that the
heterochromatin condensation in perinucleolar and extranucleolar "gene rich"
central nucleolar regions preceded that in the "gene poor" nuclear periphery at
the nuclear membrane. Thus, the maturation of lymphocytes was accompanied by a
marked increase of the heterochromatin condensation at the nuclear membrane that
reflected the maturity of these cells. In addition, in contrary to the nuclear
size, no substantial differences of the heterochromatin condensation in central
and peripheral nuclear regions were noted between untreated and treated patients
with cytostatic therapy at the time of taking samples for the present study. On
the other hand, the larger heterochromatin condensation in central nuclear
regions occasionally persisted in small mature lymphocytes of all studied
patients. Such phenomenon might represent the return to the cell cycle or a
further type of maturation asynchrony that in leukemic cells is not exceptional.
PMID- 21895401
TI - Metformin induces apoptosis of lung cancer cells through activating JNK/p38 MAPK
pathway and GADD153.
AB - There are epidemiological and experimental evidences that metformin, an insulin
sensitizer agent widely used for diabetes treatment, has inhibitory effects on
the growth of various human cancers. However, the underlying molecular mechanisms
for its anti-neoplastic activity has not been yet clarified and the effect of
metformin on human lung cancer remains unknown. In this study we revealed for the
first time that metformin treatment led to increased apoptosis in human lung
cancer cell lines A549 and NCI-H1299 and significantly inhibited the cells
proliferation in a dose- and time-dependent manner, which was further
demonstrated by the data obtained from A549 tumor xenografts in nude mice. We
also found that metformin treatment can activate AMP-activated protein kinase,
JNK/p38 MAPK signaling pathway and caspases, as well as upregulate the expression
of growth arrest and DNA damage inducible gene 153 (GADD153). Either blockade of
JNK/p38 MAPK pathway or knockdown of GADD153 gene abrogated the apoptosis
inducing effect of metformin. Taken together, our data suggest that metformin
inhibits the growth of lung cancer cells and induces apoptosis through activating
JNK/p38 MAPK pathway and GADD153.
PMID- 21895402
TI - Over-expression of protein kinase C isoforms (alpha, delta, theta and zeta) in
squamous cervical cancer.
AB - Protein kinase C was found to be significantly over-expressed in cancer samples
compared to adjacent normal cervical tissues by proteomics in our previous study.
The aim of this study was to examine protein kinase C expression and to analyze
the expression patterns of protein kinase C isoforms in squamous cervical cancer
at the protein levels and their associations with clinical and pathologic factors
of squamous cervical cancer. First, Western blotting was used to examine protein
kinase C expression in the specimens of tumors and matched adjacent normal
tissues which were collected from 12 patients with squamous cervical cancer.
Protein kinase C isoforms (alpha, delta, theta and zeta) expression were then
detected by immunohistochemistry in other 43 cases of squamous cervical cancer
tissues, 32 cases of corresponding adjacent normal cervical squamous epithelial
tissue and 31 cases of cervical intraepithelial neoplasia. Western blot analysis
revealed that protein kinase C expression was positive in squamous cervical
cancer while it was not expressed in normal cervical tissues. On the other hand,
immunohistochemical analysis suggested that, protein kinase C isoforms (alpha,
delta, theta and zeta) expression was significantly higher in squamous cervical
cancer compared to cervical intraepithelial neoplasia, as well as in cervical
intraepithelial neoplasia compared with normal tissues, respectively.High levels
of protein kinase C alpha expression were associated with cellular
differentiation(P<0.05). Protein kinase C delta was significantly associated with
tumor stage (P<0.05) and protein kinase C zeta was associated with lymphatic
metastasis (P < 0.05). These findings indicate that protein kinase C isoforms
expression in cervical lesions was associated with carcinogenesis and might play
important roles throughout the process of cervical cancer development.
PMID- 21895403
TI - Analysis of the serum levels of selected biological parameters in monoclonal
gammopathy of undetermined significance and different stages of multiple myeloma.
AB - The aim of the study was to analyze differences in the serum levels of 8 selected
biological parameters between monoclonal gammopathy of undetermined significance
(MGUS) and different stages of multiple myeloma (MM), potentially beneficial for
distinguishing between the two conditions. The analyzed group of 131 subjects
comprised 62 individuals with MGUS and 69 MM patients examined at the time of
diagnosis. The serum levels were determined by a quantitative immunoradiometric
assay (insulin-like growth factor 1, IGF-1) and quantitative sandwich enzyme
immunoassay (osteopontin, OPN; endostatin, ES; macrophage inflammatory proteins
1alpha/beta, MIP-1alpha/beta; angiogenin, ANG; and interleukin 17, IL-17). The
analysis showed a statistically significant difference in serum concentrations
between MGUS and the symptomatic form of MM using the Durie-Salmon (D-S) staging
system only in the cases of OPN and stages II and III (0.001 and MM. More benefit
may be expected from analyses using multiparametric immunophenotyping of plasma
cells and molecular biology methods including gene expression analysis and
proteomics.
PMID- 21895404
TI - Feasibility of reducing the irradiation dose in regions of active neurogenesis
for prophylactic cranial irradiation in patients with small-cell lung cancer.
AB - Prophylactic cranial irradiation (PCI) is performed on patients with limited or
extensive small-cell lung cancer to reduce incidence of brain metastases and
prolong survival. PCI may induce neurocognitive impairment. Decreasing
irradiation of neural stem cells (NSC) might reduce PCI-induced toxicity. We
tested the feasibility of reducing irradiation doses to neural stem cell (NSC)
regions while maintaining prescribed doses to the planned target volume (PTV).
Irradiation plans utilizing intensity-modulated radiotherapy (IMRT), helical
TomoTherapy, and RapidArc for 10 consecutive lung cancer patients were evaluated.
The dose distribution, dose-volume histograms, and dose homogeneity indexes were
analyzed. Planned and actual dose distributions were compared by dosimetric
analysis. Both helical tomotherapy and LINAC-based IMRT reduced the radiation
dose to the NSC regions by approximately 45% while maintaining the full dose to
the rest of brain. Measured dose distributions matched the planned dose
distributions.Protecting the regions of active neurogenesis is technically
feasible. Whether reducing the dose by 35% to 45% is sufficient to reduce
treatment toxicity, however, can only be addressed in a randomized study. Further
reducing the dose within the NSC region might also significantly decrease the
dosage to the PTV.
PMID- 21895405
TI - Structure of flavonoids influences the degree inhibition of Benzo(a)pyrene -
induced DNA damage and micronuclei in HepG2 cells.
AB - Flavonoids are plant derivatives of flavone of which chemical structure is
characterized by various degrees of hydroxylation and glycosidic substitution. In
the present study we investigated the protective effect of two structurally
different groups of flavonoids against-benzo[a]pyrene (B(a)P)-induced genotoxic
effects on human hepatocellular carcinoma (HepG2) cells. The first group of
flavonoids: fisetin, kaempferol, galangin, quercetin, and luteolin, hydroxylated
at the 3',4'-position on the B ring, 3 - position of C ring and on the A ring was
able to inhibit significantly B(a)P-induced genotoxic effects in a greater degree
than the second group of flavonoids: chrysin, 7-hydroxyflavone, 7,8
dihydroxyflavone and baicalein (hydroxylated on the A ring) which showed a
statistically significant inhibition of genotoxicity mainly at higher
concentrations (10 and 25 uM). The tenth flavonoid tested rutin, which contains
hydroxyl group at the position 3 of C ring, substituted by the sugar rutinose,
was not able to inhibit effectively genotoxic changes induced by B(a)P. Our
results, obtained with help of micronucleus test and single cell gel
electrophoresis (comet assay) suggest that inhibition of B(a)P-induced DNA
lesions and micronuclei correlates with the structural arrangement and
organization of the hydroxyl groups in the molecular structure of the flavonoids
tested.
PMID- 21895406
TI - Leukemic cells modulate induction of COX-2 in human stromal fibroblasts.
AB - The interaction of cancer cells with surrounding normal tissue cells is of utmost
importance for their survival and tumor progression. For these purposes the
cancer cells exploit normal tissue responses associated with inflammation and
tissue repair. In the immediate tumor microenvironment one of the early stromal
markers is cyclooxygenase-2 (COX-2). In this study we evaluated the effect of
leukemia cell lines on nemosis-induced COX-2 expression in stromal fibroblasts.
We found that THP-1 cells were the most potent leukemic cells (IC50=746) to
suppress COX-2 expression. The U-937 cell line exhibited similar suppressive
potency (IC50=921), whereas the KG-1 cell line (IC50=3519) was the least potent
to affect COX-2 expression in the stromal cells. Our study shows that human
leukemic cells can actively participate in modulation of stromal inflammation via
inhibition of COX-2 expression. In a co-culture model of leukemia cell lines and
stromal fibroblasts, our data suggest that the tumor-stromal interactions are
complexly regulated, and the straightforward association of COX-2 expression with
tumor progression may require re-evaluation since some tumor cells, e.g. from
hematologic malignancies, may differentially modulate inflammation and COX-2
expression.
PMID- 21895407
TI - Non-nuclear activation of signal transducer and activator of transcription 3 by
17beta- estradiol in endometrial cancer cells.
AB - Why estrogen hyperstimulation can lead to endometrial carcinogenesis has not been
fully clear yet. Non-nuclear action of estrogen has arised much attention of many
experts. Signal transducer and activator of transcription 3 is a very important
signal molecule, which plays vital role in endometrial canver. The present study
is oriented to the problem whether estrogen can activate STAT3 by non-nuclear
action in endometrial cancer cells. So, the levels of phosphorylated STAT3 (P
STAT3) and total STAT3 were examined by western blot in endometrial cancer cells
including Ishikawa with rich-expressed estrogen receptor (ER) and HEC-1A with
poor-expressed ER after stimulation with 1MUM estradiol (E2) at different time
points and at varied doses of E2 for optimal time. Inhibitory role of AG490 on
activation of STAT3 induced by E2 was also tested. P-STAT3/STAT3 was used as a
measure of activation of STAT3. We found that maximum P-STAT3/STAT3 took place at
15 min in both Ishikawa cells and HEC-1A cells. The activation of STAT3 elicited
gradually with increasing doses of E2. AG490 stopped the activating STAT3 in the
same dose-dependent manner in both endometrial cancer cells. The results
demonstrate that E2 is able to activate STAT3 in both Ishikawa with rich
expressed ER and HEC-1A with poor-expressed ER endometrial cancer cells by non
nuclear action, which provides the preliminary laboratory basis for the
probability of endometrial adenocarcinoma treatment with blockage of STAT3
signaling, especially for ER-poor endometrial adenocarcinoma.
PMID- 21895408
TI - Plasmid-based STAT3-siRNA efficiently inhibits breast tumor growth and metastasis
in mice.
AB - Signal transducer and activator of transcription 3 (STAT3) plays an important
role in the tumor formation and metastasis. In this study, short hairpin RNA
targeting STAT3 was cloned into pGenesil-2 plasmid vector and the effects of
STAT3 silencing in 4T1 breast cancer cells were analyzed both in vitro and in
vivo. Forty-eight hours after transfecting with pSi-STAT3, the expression level
of STAT3, the upstream regulator and downstream targets were measured using
Western blot. Moreover, the effects of pSi-STAT3 on migration and invasion in 4T1
cells were tested using wound-healing and tube formation assay. Furthermore, 4T1
subcutaneous mice model was used to evaluate the effects of pSi-STAT3 on tumor
growth and metastasis. Proliferation, apoptosis, angiogenesis in tumor tissues
and lung metastases were measured by PCNA, TUNEL, and CD31 immunostaining,
respectively. Our results indicated that siRNA targeting STAT3 could
significantly silence STAT3 expression in 4T1 breast cancer cells and result in
inhibition of 4T1 breast cells migration and HUVECs tube formation. In vivo, pSi
STAT3 delayed tumor growth (pknockdown of STAT3 by plasmid-based siRNA might be a
potential therapy against breast cancer.
PMID- 21895409
TI - Use of direct sequencing for detection of mutations in the BCR-ABL kinase domain
in Slovak patients with chronic myeloid leukemia.
AB - The presence of BCR-ABL oncogene mutations in patients with chronic myeloid
leukemia (CML) may be responsible for the failure of tyrosine kinase inhibitor
(TKI) treatment. The aim of the study was to evaluate the frequency of BCR-ABL
gene mutations in patients with CML treated with tyrosine kinase inhibitors. Our
lab received 64 samples (34 women, 30 men) from patients with CML who failed or
had suboptimal response to TKI treatment. The mutation analysis was performed in
61 patients with CML, 3 patients could not be tested because of inadequate RNA
quality. An 866 base pair fragment containing the ABL kinase domain was amplified
in a seminested RT (reverse transcriptase)-PCR and then sequenced using Applied
Biosystems BigDye Terminator chemistry with two pairs of primers. We analyzed 61
patients with CML, 11 mutations were detected in 13 (21%) patients and SNP
(single nucleotide polymorphism) in 6 patients (10%). In addition to 9 point
mutations (G250E / F317L, F359V, L387M, Y253H, M388L, M244V, T315I, D276G), 35 bp
insertion between exons 8 and 9 and deletion exon 7 were detected. Our results
demonstrate that direct sequencing is suitable for routine clinical monitoring
patients with CML and may be useful for optimizing therapy.
PMID- 21895410
TI - Prognostic significance of morphological assessment of plasma cells in multiple
myeloma.
AB - Multiple myeloma (MM) is a hematological malignancy caused by clonal
proliferation of malignant plasma cells (PC). The aim of the work is to determine
prognostic significance of morphological subtypes of PC in relation to overall
treatment response, long-term survival and other conventional prognostic
parameters. One hundred and thirty-nine newly diagnosed MM patients who underwent
autologous transplantation in clinical trials conducted in one center were
included. Percentual representation of subtypes of plasma cells in bone marrow
was measured based on progressive analysis of nucleolus, nuclear chromatin and
ratio of nuclei to the volume of cytoplasm (N/C ratio) creating 8 subtypes P000
P111 and four subclassifications of cells. Mature plasma cells (P000, P001) were
found in 42.4% of patients; proplasmocytes I (P010, P011, P100) in 38.1% of
patients, and proplasmocytes II (P101, P110) in 19.4% of patients. Patients who
reached treatment response after autologous transplantation had statistically
significant lower frequency of mature plasma cells than patients with no
treatment response (median 24.0% vs. 36.0 %; p=0.032). Patients with mature
plasma cells of subtype P000 an patients with value P000 >= 37% (median 46.8
months vs. 77.8 months; p = 0.020). Patients with proplasmocytes II subtype P110
rings valuable prognostic information and correlation with other prognostic
factors as well as total treatment response and survival in MM patients who
underwent autologous transplantation.
PMID- 21895413
TI - Youngia denticulata protects against oxidative damage induced by tert
butylhydroperoxide in HepG2 cells.
AB - Improvement of liver function is one of the most popular commercial health claims
of functional foods in Asian countries, including Korea. After examining the
potential of several traditional Korean wild vegetables for enhancing liver
function, we found that Youngia denticulata Kitam. has strong hepatoprotective
effects against oxidative stress induced by tert-butylhydroperoxide (t-BHP). We
are the first to report that the extract and ethyl acetate fractions of Y.
denticulata have radical scavenging activities and inhibit oxidative stress
induced cell death and DNA damage in HepG2 cells. The extract and ethyl acetate
fractions significantly decreased cellular reactive oxygen species production and
apoptosis induced by t-BHP in HepG2 cells. In addition, they prevented the
depletion of cellular glutathione, which is an important defense molecule against
oxidizing xenobiotics. Chlorogenic acid and 3,5-dicaffeoylquinic acid were found
to be major active components responsible for the activity of Y. denticulata and
could serve as marker compounds for standardization. These data suggest that Y.
denticulata could be promoted as a potential antioxidative functional food
candidate, particularly for hepatoprotection against oxidative stress.
PMID- 21895414
TI - Alcohol-fermented soybean increases the expression of receptor-interacting
protein 2 and IkappaB kinase beta in mouse peritoneal macrophages.
AB - Soybean is a useful component of traditional Korean medicine with well-documented
health-promoting effects. We investigated the effects of alcohol-fermented
soybean (AFS) on immune function. When AFS treatment was used in combination with
recombinant interferon-gamma (rIFN-gamma), there was a marked cooperative
induction of nitric oxide (NO) and tumor necrosis factor (TNF)-alpha production
in mouse peritoneal macrophages. AFS increased the expression of inducible NO
synthase mRNA and protein in rIFN-gamma-primed macrophages. Treating macrophages
with pyrrolidine dithiocarbamate, an inhibitor of nuclear factor-kappaB (NF
kappaB), decreased the synergistic effects of AFS. In addition, AFS in
combination with rIFN-gamma increased the phosphorylation of p38 and c-Jun N
terminal kinase (JNK) but not extracellular signal-regulated kinase. However, AFS
had no effect on phosphorylation of mitogen-activated protein kinases by itself.
The p38 inhibitor SB203580 or the JNK inhibitor SP600125 inhibited the AFS
induced NO and TNF-alpha production. When AFS was used in combination with rIFN
gamma, there was a co-operative activation of NF-kappaB and receptor-interacting
protein 2 (Rip2)/IkappaB kinase (IKK)-beta. Our results indicate that AFS
increases the production of NO and TNF-alpha through the activation of Rip2/IKK
beta in rIFN-gamma-primed macrophages.
PMID- 21895415
TI - Ginsenoside Rb1 inhibits cell activation and liver fibrosis in rat hepatic
stellate cells.
AB - Chronic hepatitis/cirrhosis is the eighth leading cause of death in Taiwan.
Excess accumulated extracellular matrix produced by activated hepatic stellate
cells (HSCs) is the major cause of liver fibrosis. Ginsenoside Rb1, the most
active compound purified from ginseng, has been considered to be
hepatoprotective. This study investigated the effects of ginsenoside Rb1 (98.8%
purity) on activation, proliferation, and profibrotic factors in rat HSC-T6 cells
under H2O2 oxidative stress. Rat HSC-T6 cells were activated by 10 nM H2O2 and
then incubated with different concentrations of ginsenoside Rb1 (5, 10, 20, 40,
and 80 MUg/mL) for 24 hours. Medium containing 0.08% dimethyl sulfoxide or 5 mM N
acetyl-l-cysteine was used as a negative or positive control, respectively. The
results showed that ginsenoside Rb1 at 5-40 MUg/mL significantly reduced alpha
smooth muscle actin levels and at 5-80 MUg/mL inhibited cell proliferation in HSC
T6 cells after induction with H2O2 (P<.05). Collagen secreted by HSC-T6 cells was
decreased by ginsenoside Rb1 at 5-80 MUg/mL (P<.05). Protein expression of
transforming growth factor-beta1 (TGF-beta1), matrix metalloproteinase (MMP)-2,
and tissue inhibitor of metalloproteinase (TIMP)-1 was suppressed by ginsenoside
Rb1 at 10-80 MUg/mL (P<.05). In addition, mRNA expression of type I and III
collagen, TGF-beta1, and TIMP-1 was inhibited by ginsenoside Rb1 (10 and 80
MUg/mL) (P<.05). Therefore, ginsenoside Rb1 exerted an antifibrotic effect on
HSCs by inhibiting activation, proliferation, and expression of collagen, TGF
beta1, MMP-2, and TIMP-1.
PMID- 21895416
TI - Genotypic heterogeneity and molecular basis of 5-flucytosine resistance among
Candida dubliniensis isolates recovered from clinical specimens in Kuwait.
AB - There is a paucity of information about genotypic heterogeneity among Candida
dubliniensis isolates recovered from different geographic regions. This study
explored genotypic heterogeneity among 103 C. dubliniensis strains obtained over
a six-year period from clinical specimens in Kuwait. Genotype assignment was
based on amplification with genotype-specific primers and sequencing of rDNA.
Susceptibility to 5-flucytosine was determined by means of the Etest. DNA
sequencing of cytosine deaminase was performed to determine the molecular basis
of resistance to 5-flucytosine. DNA sequencing of rDNA identified seven different
genotypes, i.e., 68 (66%) isolates were found to belong to genotype 1, 25 to
genotype 4, six to genotype 5 and one each to genotypes 6-9. Strains of genotype
2 or genotype 3 were not detected. All isolates of genotype 4 but none of other
genotypes were resistant to 5-flucytosine and the resistant strains all contained
S29L mutation. Isolates of all other genotypes contained wild-type codon 29 in
cytosine deaminase. A simple, PCR-RFLP-based method has been developed to
facilitate rapid detection of S29L mutation in cytosine deaminase. A noteworthy
observation of our study is the identification of five new genotypes of C.
dubliniensis isolates, recovered from oral/respiratory specimens from patients of
Middle Eastern origin. Furthermore, all 5-flucytosine resistant C. dubliniensis
isolates in Kuwait belonged to genotype 4 only.
PMID- 21895417
TI - Endoscopic transnasal cauterization of the anterior ethmoidal artery.
AB - CONCLUSIONS: In our experience, the technique described can be applied
independently according to the specific patient's anatomy and disease, allowing
simple, easy, and safe identification of the anterior ethmoidal artery (AEA).
OBJECTIVES: The aim of this study was to provide the anatomic rationale for
endoscopic cauterization of the AEA and to present our surgical approach to AEA
in the treatment of severe nasal bleeding. METHODS: A retrospective study
reviewed 300 endoscopic transnasal AEA cauterizations, over a 20-year period from
1991 to July 2010, at the Instituto Felippu, Sao Paolo, Brazil. All surgeries
were carried out under general anesthesia and with the help of a rigid 30 degrees
endoscope. RESULTS: The AEA was identified in all the patients treated. In 299
patients we found the AEA located at the level of the horizontal portion of the
frontal bone into the anterior ethmoidal canal; in 88 (29.4%) of these patients
the canal bone was partially open and in 211 (70.6%) it was completely closed. In
only one patient, we found a dehiscent AEA. No patients presented short-term
failure and/or long-term failure.
PMID- 21895418
TI - Sensory acceptability and factors predicting the consumption of grain amaranth in
Kenya.
AB - This study investigated the effect of adding grain amaranth flour on sensory
acceptability of maize porridge in Kenya. Factors influencing the intention of
mothers to feed their children on grain amaranth were identified. A significant
difference between the various porridge ratios (50:50, 70:30, and 100:0
amaranth:maize) either in unfermented or fermented form could be detected.
Preference for the unfermented amaranth enriched maize porridge was observed.
Intention significantly correlated and predicted grain amaranth consumption (p <
.001). Knowledge and health value significantly predicted health behavior
identity. Interaction between barriers and intention negatively influenced
behavior. Findings suggest that unfermented amaranth enriched maize porridge is
acceptable. Unfermented porridge with 70% amaranth can be considered for use in a
program aimed at increasing dietary iron intake among children. Increasing
awareness about micronutrient deficiencies and nutritional benefits of grain
amaranth could enhance its consumption.
PMID- 21895419
TI - The potential of local farming on the Navajo Nation to improve fruit and
vegetable intake: barriers and opportunities.
AB - American Indian populations have low produce intake compared to other ethnic
groups and higher rates of diet-related chronic diseases. Programs linking
farmers to their community (Farm-to-Table) are an innovative way to alter the
food environment. We interviewed Navajo farmers (n = 20), storeowners (n = 7),
and non-governmental organization representatives (n = 4) to better understand
local farming practices and the potential of a Farm-to-Table program to increase
produce intake. Barriers to participation in a Farm-to-Table program included
lack of water, insufficient help, and exotic species. Participants expressed
concern about high obesity rates and voiced support for a Farm-to-Table program
if barriers could be adequately addressed.
PMID- 21895420
TI - Interstate migration and changing food preferences in India.
AB - India is a diversified country. Just as the geography, religion, and culture of
India are diverse, so are Indians' food habits. Even so, regional heterogeneity
in food consumption exists across states. But it may be hypothesized that
regional heterogeneity in food consumption across states has been declining. This
hypothesis is based on the fact that interstate migration has been growing since
1991. The present study tested whether or not regional heterogeneity in food
consumption has been declining over the years 1993-1994, 1999-2000, and 2004
2005. The authors show that regional heterogeneity declined over these periods
and that both rural and urban consumers shifted their preference from cereals to
non-cereals. However, the article also provides some evidence that regional
disparities remain in India. Implications of this shift in consumption are
discussed.
PMID- 21895421
TI - Horticultural and gathering practices complement each other: a case study in a
rural population of Northwestern Patagonia.
AB - We investigated gathering and cultivating practices and how they complement each
other in a rural population of Northwestern Patagonia. We analyzed plant
diversity, species similarity, biogeographic origin, and plant use by means of
semi-structured interviews and field visits. Pichi Leufu inhabitants used 173
species: 138 cultivated plants, mainly for edible purposes, and 45 wild species
principally for medicinal use. Most cultivated species were exotic (91.3%),
whereas gathered plants were both native and exotic. While locals maintained
vegetable gardens, the adoption of greenhouses improved conditions for certain
crops. The integration of novel practices with ancestral knowledge suggests
resilient processes in this community, probably reflected in the dynamics of
current horticultural and gathering practices, which complement each other.
PMID- 21895422
TI - Antioxidant and type 2 diabetes related functional properties of phytic acid
extract from Kenyan local food ingredients: effects of traditional processing
methods.
AB - Emerging scientific evidences reveal that phytic acid has several positive
effects on human health. The antioxidant and type 2 diabetes related enzyme
inhibition properties of phytic acid extract prepared from raw and traditionally
processed local grains and vegetables collected from Kenya were evaluated. Phytic
acid content of raw grains and vegetables ranged between 2.81-3.01 and 0.29-3.23
g/100 g DM, respectively. The phytic acid extract from raw samples revealed 59%
89% of DPPH radical scavenging capacity, 27-3,526 mmol Fe(II)/g extract of
reducing power, 20%-72% of alpha-amylase inhibition activity and 8%-91% of alpha
glucosidase inhibition activity. Cooking and roasting improved the antioxidant
and health relevant functionality of phytic acid extracts obtained from Kenyan
local vegetables and grains, respectively.
PMID- 21895423
TI - Self-regulatory skills usage strengthens the relations of self-efficacy for
improved eating, exercise, and weight in the severely obese: toward an
explanatory model.
AB - Lack of success with behavioral weight-management treatments indicates a need for
a better understanding of modifiable psychological correlates. Adults with class
2 and 3 obesity (N = 183; Mean(BMI) = 42.0 kg/m(2)) volunteered for a 26-week
nutrition and exercise treatment, based on social cognitive theory, that focused
on self-efficacy and self-regulation applied to increasing cardiovascular
exercise and fruit and vegetable consumption. Improved self-efficacy for
controlled eating significantly predicted increased fruit and vegetable
consumption (R(2) = .15). Improved self-efficacy for exercise significantly
predicted increased exercise (R(2) = .46). When changes in self-regulatory skill
usage were stepped into the 2 previous equations, the variances accounted for
significantly increased. Increases in fruit and vegetable consumption and
exercise significantly predicted weight loss (R(2) = .38). Findings suggest that
behavioral theory should guide research on weight-loss treatment, and a focus on
self-efficacy and self-regulatory skills applied to specific nutrition and
exercise behaviors is warranted.
PMID- 21895424
TI - Smoking, depression, and gender in low-income African Americans with HIV/AIDS.
AB - Individuals with HIV/AIDS have disproportionately high depression and smoking
prevalence rates. Smokers with HIV/AIDS are more susceptible to opportunistic
infections, smoking-related illnesses, and mortality. African Americans (AAs)
comprise nearly half of newly diagnosed HIV+ cases. We examined the relationship
among smoking, depression, and gender in un- or under-insured AA patients (N =
289) at an urban community HIV/AIDS clinic in the southeastern US. Approximately
33% met criteria for a depressive disorder and 37% endorsed current smoking
status. Men were more likely than women to be current smokers and depressed
participants were more likely to smoke than non-depressed participants. Women had
significantly higher rates of depression compared to men. Both depression and
male gender independently predicted current smoking status. Depression and
smoking are likely to co-occur in HIV/AIDS patients; however, standard smoking
cessation interventions often exclude smokers with comorbid psychiatric and/or
medical illnesses. Interventions addressing these comorbidities are warranted.
PMID- 21895425
TI - Cynical hostility, anger expression style, and acute myocardial infarction in
middle-aged Japanese men.
AB - Studies using American and European populations have demonstrated that high
levels of anger/ hostility are predictive of coronary heart disease (CHD)
mortality. However, Japanese studies did not show consistent relationship between
anger/hostility and CHD. This study examines the association of cynical hostility
and anger expression style with acute myocardial infarction (AMI) in middle-aged
Japanese men through a case-control study. The patients with acute myocardial
infarction (N = 96, mean age = 50.8 years) and the healthy participants in a
health check-up program (N = 77, mean age = 50.3 years) were studied. Both groups
completed the Cynicism Questionnaire (CQ) and the State-Trait Anger Expression
Inventory (STAXI). The patients exhibited higher scores on CQ than the healthy
controls. Logistic regression analyses controlling for biological risk factors
revealed that the CQ score was associated with increased risk of AMI (OR = 1.11
[95% CI 1.00-1.22]). In addition, the score of Anger-control, a subscale of
STAXI, was associated with decreased risk of AMI (OR = 0.75 [95% CI 0.62-0.92]).
These results indicated that higher levels of cynical hostility increased the
risk of AMI and that anger-control strategies could have some benefit in reducing
the risk of AMI in middle-aged Japanese men.
PMID- 21895426
TI - Social cognitive variables as correlates of physical activity in persons with
multiple sclerosis: findings from a longitudinal, observational study.
AB - There is a lack of data regarding the associations among changes in social
cognitive variables and physical activity over time in persons with multiple
sclerosis (MS). To that end, the current study adopted a panel design and
analysis for examining hypothesized relationships among changes in social
cognitive variables and physical activity over time in persons with MS, and this
is necessary for designing effective behavioral interventions. On two occasions
separated by an 18-month period, persons (N = 218) with relapsing-remitting MS
(RRMS), who were initially recruited by telephone for a cross-sectional study,
completed a battery of questionnaires that assessed social cognitive variables
and physical activity. Those study materials were delivered and returned via the
United State Postal Service. The 18-month changes in self-efficacy (path
coefficient = .25, p < .01) and goal setting (path coefficient = .26, p < .01)
had direct effects on residual change in physical activity. The change in self
efficacy further had an indirect effect on residual change in physical activity
that was accounted for by change in goal setting (path coefficient = .05, p <
.05). This longitudinal study suggests that self-efficacy and goal setting
represent plausible targets for changing physical activity behavior in persons
with RRMS.
PMID- 21895427
TI - Fear of progression in partners of chronically ill patients.
AB - Fear of progression (FoP) is one of the most prevalent symptoms in cancer
patients. This chronic disease does not only have a profound impact on patients,
but also on their spouses. The aim of this study was to evaluate the psychometric
properties of a spouse FoP questionnaire (FoP-Q-SF/P) in 227 partners of
chronically ill patients (breast cancer, prostate cancer, and diabetes mellitus)
in Germany. Estimates of reliability were high (alpha = 0.88). The original one
factor structure of the FoP-Q-SF for patients was replicated. We used the
Hamilton Anxiety and Depression Scale, Impact of Event Scale-revised, Short Form
8 Health Survey, Quality of Marriage Index, Partnership Questionnaire, and Dyadic
Coping Inventory to validate the FoP-Q-SF/P. Significant positive correlations
were found for anxiety, hyperarousal and intrusion (r > .61) as well as for
depression and avoidance (r > .51). Partners of patients with cancer reported
significantly higher levels of FoP than did partners of patients with diabetes
mellitus. The FoP-Q-SF/P is a reliable and valid instrument that can be
recommended for further use in research and clinical care.
PMID- 21895428
TI - Serum levels of interleukin-6 and interleukin-10 in relation to depression scores
in patients with cardiovascular risk factors.
AB - It is currently unknown whether elevated cytokine levels in depression are
confined to any specific subgroup of depressive patients. In this study, medical
out-patients presenting with cardiovascular risk factors (N = 356) were assessed
for both cognitive-affective and physical symptoms of depression using the
Hospital Anxiety and Depression Scale (HADS) and the Maastricht questionnaire
(MQ), respectively. In study participants assigned to the highest (>=21) and
lowest (<=5) quartile for the MQ score, serum levels of cytokines were measured.
We found highly significant associations between cognitive-affective symptoms of
depression and elevated serum levels of interleukin-6 (IL-6; rho = .231; p =
.002) and interleukin-10 (IL-10; rho = .370; p < .001), respectively. In multiple
regression models elevated IL-10 serum concentration was independently related to
cognitive-affective symptoms of depression (rho = .165; p = .002). When all
cytokines were included in one model, elevated IL-10 serum concentrations
remained a significant predictor for depressive mood (rho = .157; p = .009). In
patients with cardiovascular risk factors and extreme scores for vital
exhaustion, elevated serum IL-6 and even more IL-10 concentrations are linked to
the presence of depressive mood. Future studies will have to test whether the so
far unreported association of IL-10 with depressive mood represents a causal
pathway involved in the pathogenesis or in the prognostic effect of depressive
mood in cardiac patients.
PMID- 21895429
TI - Editor's introduction. Special double issue: grief, loss, and bereavement.
PMID- 21895430
TI - Death part three (it never ends).
PMID- 21895431
TI - Bereavement therapy.
PMID- 21895432
TI - Helping older widows rebuild their lives.
AB - Hospice support groups can help older widows rebuild their lives after losing
their husbands. To establish new-normal patterns for their lives, grieving widows
need to address these questions: (a) What parts do you want your family and
friends to play in your new-normal life?; (b) How do you want to spend your time,
now that spending time with your husband is no longer possible?; and (c) How can
your inner resources help you develop a frame of mind where you find peace and
joy?
PMID- 21895433
TI - An assessment of hospice bereavement programs for Hispanics.
AB - Hispanics are the fastest growing minority group in the United States, numbering
over 42 million and comprising 15% of the total population (U.S. Census Bureau,
2008 ). Hispanics are a heterogeneous group that experience disparities in
accessing health care, including at the end of life. Specific gaps can be
identified in the care of bereaved Hispanic individuals and families. This
exploratory study examined bereavement services available and perceived needs for
Hispanics in Florida. Hospice bereavement coordinators indicated that limited
services were available specifically for Spanish-speakers and that language and
cultural barriers were challenges when communicating, offering, and delivering
bereavement services to Hispanics. Implications for social workers include the
need to increase access to and evaluate the effectiveness of bereavement services
for Hispanics.
PMID- 21895434
TI - Support after stillbirth and its effect on parental grief over time.
AB - In this study the authors describe parents' experiences of support over a 2-year
period after a stillbirth and its effect on parental grief. Data was collected by
questionnaire from 33 mothers and 22 fathers at 3 months, 1 year, and 2 years
after a stillbirth. Midwives, physicians, counselors, and priests--at the
hospital where the stillbirth occurred--are those on the front line providing
professional support. The support from family and friends was seen to be
important 2 years after the stillbirth. The need for professional support after
stillbirth can differ, depending on the support provided by family, friends, and
social networks. They may not fully realize the value of their support and how to
be supportive. Printed educational materials given to individuals in the social
network or family might therefore be helpful.
PMID- 21895435
TI - A qualitative study of advice from bereaved parents and siblings.
AB - Despite a growing bereavement literature, relatively little is known about what
families find helpful after a child's death and how best to assist them during
the grieving process. In this qualitative study, the authors explored advice from
40 families (65 parents, 39 siblings) of children who died from cancer 6-19
months earlier. Content analysis emphasized the individual nature of grief and
revealed advice that fit into three temporal categories: before the death, soon
after, and long-term. Findings are discussed in the context of contemporary
theory and provide insight into the development and timing of grief
interventions.
PMID- 21895436
TI - Perceived self-competency among the recently bereaved.
AB - In this study, the authors identified personal resources, features of the marital
relationship, and death circumstances that affected perceived self-competency
among recently bereaved older adults. Data came from 328 widowed persons who
participated in the "Living After Loss" project. Results suggested that those who
had more personal resources--namely, income and good health--were the most
competent in daily life tasks. Surprisingly, neither death forewarning nor
marital quality improved competency after widowhood. Higher competency was
associated with more positive mental health outcomes, suggesting that grief is
complicated by the need to enhance and/or restore daily life activities as well
as the need to deal with the sadness associated with the loss.
PMID- 21895437
TI - Providing support at time of death from cancer: results of a 5-year post
bereavement group study.
AB - Despite advances in the quality and availability of hospice and palliative care
for people with end stage cancers, research addressing the psychosocial needs of
family members and concerned others during the dying process has been limited
primarily to caregivers. In addition, many of these studies focused on the
recently bereaved. In this study, the authors sought to broaden that perspective
by examining the psychosocial needs of secondary survivors, a term that applies
to caregivers, family members, and others who felt a caring bond with a dying
person. A qualitative exploration of needs expressed by secondary survivors
following the conclusion of a structured 8-week psychoeducational grief group
experience revealed that secondary cancer survivors experience a sense of
isolation and powerlessness that is often unrecognized by physicians, nurses,
oncology social workers, or other health care professionals. Furthermore, these
secondary survivors needed support that extends well beyond activities that are
traditionally associated with the physical and emotional care of the dying.
Social work intervention strategies directed toward helping secondary survivors
assert personal needs, develop greater proximity with the health care team, and
prepare for the processes associated with end-of-life may be helpful later during
bereavement.
PMID- 21895438
TI - Risks for complicated grief in family caregivers.
AB - Complicated grief (CG) is a recently recognized disorder experienced by the
bereaved and characterized by intense distress that interferes with functioning.
Estimates indicate that about 20 % of bereaved individuals may develop CG. Family
caregivers of those who are chronically ill may face unique risks for CG, such as
pre-death stressors associated with caregiving. In this article, existing
literature on CG in family caregivers is reviewed to identify pre-bereavement
risk factors for the disorder. Implications for practice are also discussed,
including both preventive interventions that could be instituted before the ill
person's death and therapeutic techniques for treating CG after the death.
PMID- 21895439
TI - Disoriented grief: a lens through which to view the experience of Katrina
evacuees.
AB - Many studies on the impact of natural disasters have focused primarily on
immediate stress reactions and posttraumatic stress disorder (PTSD) symptoms
rather than on evacuees' stories of grief and loss. Known categories of grief and
loss do not fully capture the experiences of disaster survivors as evidenced by
interviews of Hurricane Katrina evacuees in Austin, Texas. This article will
describe their experiences through a resultant framework of Disoriented Grief.
PMID- 21895440
TI - Bereavement, cognitive-emotional processing, and coping with the loss: a study of
Indian and Japanese students.
AB - Campus suicides have increased manifold across academic institutions, often
leaving unresolved bereavement issues in these institutions, primarily because
students are supposed to carry on with their daily activities with little or no
time and attention paid to this necessary process. In this study, the role of
cognitive-emotional processes in coping, especially when one is grieving a death,
was investigated through a comparison between 40 bereaved Japanese and Indian
female college students. The participants were assessed for resilience, cognitive
emotional regulation, posttraumatic cognition, and coping strategies in the
aftermath of the suicide death of someone close. Positive reappraisal mediated
the relationship between resilience and proactive coping, whereas negative
cognitions about the self mediated the relationship between resilience and
proactive as well as reflective coping. The participants from the two cultures
differed significantly on resilience, with Indians scoring higher than Japanese
young adults. The findings are analyzed in light of the coping with distressful
life events model and could have possible implications for social workers and/or
mental health professionals in terms of acceptability of interventions.
PMID- 21895441
TI - Introduction. Volcanism and astrobiology: life on earth and beyond.
PMID- 21895442
TI - Putative fossilized fungi from the lithified volcaniclastic apron of Gran
Canaria, Spain.
AB - We report the discovery of fossilized filamentous structures in samples of the
lithified, volcaniclastic apron of Gran Canaria, which were obtained during Leg
157 of the Ocean Drilling Program (ODP). These filamentous structures are 2-15
MUm in diameter and several hundred micrometers in length and are composed of Si,
Al, Fe, Ca, Mg, Na, Ti, and C. Chitin was detected in the filamentous structures
by staining with wheat germ agglutinin dye conjugated with fluorescein
isothiocyanate (WGA-FITC), which suggests that they are fossilized fungal hyphae.
The further elucidation of typical filamentous fungal morphological features,
such as septa, hyphal bridges, and anastomosis and their respective sizes,
support this interpretation. Characteristic structures that we interpreted as
fossilized spores were also observed in association with the putative hyphae. The
fungal hyphae were found in pyroxene phenocrysts and in siderite pseudomorphs of
a basalt breccia. The fungal colonization of the basalt clasts occurred after the
brecciation but prior to the final emplacement and lithification of the sediment
at ~16-14 Ma. The siderite appears to have been partially dissolved by the
presence of fungal hyphae, and the fungi preferentially colonized Fe-rich
carbonates over Fe-poor carbonates (aragonite). Our findings indicate that fungi
may be an important geobiological agent in subseafloor environments and an
important component of the deep subseafloor biosphere, and that hydrothermal
environments associated with volcanism can support a diverse ecosystem, including
eukaryotes.
PMID- 21895443
TI - Following the kinetics: iron-oxidizing microbial mats in cold icelandic volcanic
habitats and their rock-associated carbonaceous signature.
AB - Icelandic streams with mean annual temperatures of less than 5 degrees C, which
receive the cationic products of basaltic rock weathering, were found to host
mats of iron-cycling microorganisms. We investigated two representative sites.
Iron-oxidizing Gallionella and iron-reducing Geobacter species were present. The
mats host a high bacterial diversity as determined by culture-independent
methods. beta-Proteobacteria, Actinobacteria, alpha-Proteobacteria, and
Bacteroidetes were abundant microbial taxa. The mat contained a high number of
phototroph sequences. The carbon compounds in the mat displayed broad G and D
bands with Raman spectroscopy. This signature becomes incorporated into the
weathered oxidized surface layer of the basaltic rocks and was observed on rocks
that no longer host mats. The presence of iron-oxidizing taxa in the stream
microbial mats, and the lack of them in previously studied volcanic rocks in
Iceland that have intermittently been exposed to surface water flows, can be
explained by the kinetic limitations to the extraction of reduced iron from
rocks. This type of ecosystem illustrates key factors that control the
distribution of chemolithotrophs in cold volcanic environments. The data show
that one promising sample type for which the hypothesis of the existence of past
life on Mars can be tested is the surface of volcanic rocks that, previously,
were situated within channels carved by flowing water. Our results also show that
the carbonaceous signatures of life, if life had occurred, could be found in or
on these rocks.
PMID- 21895444
TI - Analysis of airway epithelial regeneration and repair following endobronchial
brush biopsy in sheep.
AB - Understanding the fundamental processes involved in repairing the airway wall
following injury is fundamental to understanding the way in which these processes
are perturbed during disease pathology. Indeed complex diseases such as asthma
and chronic obstructive pulmonary disease (COPD) have at their core evidence of
airway wall remodeling processes that play a crucial functional role in these
diseases. The authors sought to understand the dynamic cellular events that occur
during bronchial airway epithelial repair in sheep. The injury was induced by
endobronchial brush biopsy (BBr), a process that causes epithelial debridement
and induces a consequential repair process. In addition, the current experimental
protocol allowed for the time-dependent changes in airway wall morphology to be
studied both within and between animals. The initial debridement was followed by
evidence of dedifferentiation in the intact epithelium at the wound margins,
followed by proliferation of cells both within the epithelium and in the deeper
wall structures, notably in association with the submucosal glands and smooth
muscle bundles. Seven days after injury, although the airway wall was thickened
at the site of damage, the epithelial layer was intact, with evidence of
redifferentiation. These studies, in demonstrating broad agreement with previous
studies in small animals, indicate the wider relevance of this system as a
comparative model and should provide a solid basis upon which to further
characterize the critical cellular and molecular interactions that underlie both
effective restitution and pathological repair.
PMID- 21895445
TI - Prolonged amelioration of acute lung allograft rejection by sequential
overexpression of human interleukin-10 and hepatocyte growth factor in rats.
AB - The effect of prolonged electroporation-mediated human interleukin-10 (hIL-10)
overexpression 24 hours before transplantation, combined with sequential human
hepatocyte growth factor (HGF) overexpression into skeletal muscle on day 5, on
rat lung allograft rejection was evaluated. Left lung allotransplantation was
performed from Brown-Norway to Fischer-F344 rats. Gene transfer into skeletal
muscle was enhanced by electroporation. Three groups were studied: group I
animals (n = 5) received 2.5 MUg pCIK-hIL-10 (hIL-10/CMV [cytomegalovirus] early
promoter enhancer) on day -1 and 80 MUg pCIK-HGF (HGF/CMV early promoter
enhancer) on day 5. Group II animals (n = 4) received 2.5 MUg pCIK-hIL-10 and
pUbC-hIL-10 (hIL-10/pUbC promoter) on day -1. Control group III animals (n = 4)
were treated by sham electroporation on days -1 and 5. All animals received daily
nontherapeutic intraperitoneal dose of cyclosporin A (2.5 mg/kg) and were
sacrificed on day 15. Graft oxygenation and allograft rejection were evaluated.
Significant differences were found between study groups in graft oxygenation
(Pao(2)) (P = .0028; group I vs. groups II and III, P < .01 each). Pao(2) was low
in group II (31 +/- 1 mm Hg) and in group III controls (34 +/- 10 mm Hg), without
statistically significant difference between these 2 groups (P = .54). In
contrast, in group I, Pao(2) of recipients sequentially transduced with IL-10 and
HGF plasmids was much improved, with 112 +/- 39 mm Hg (vs. groups II and III; P <
.01 each), paralleled by reduced vascular and bronchial rejection (group I vs.
groups II and III, P < .021 each). Sequential overexpression of anti-inflammatory
cytokine IL-10, followed by sequential and overlapping HGF overexpression on day
5, preserves lung function and reduces acute lung allograft rejection up to day
15 post transplant as compared to prolonged IL-10 overexpression alone.
PMID- 21895446
TI - Beta-adrenergic modulation of lung fluid balance in acute P aeruginosa pneumonia
in rats.
AB - OBJECTIVE: we investigated the effects of terbutaline, a beta(2)-adrenergic
agonist, on lung permeability and alveolar fluid clearance (AFC) in acute lung
injury (ALI). METHODS: the study was conducted in vivo on a rat model of P
aeruginosa (Pa)-induced ALI. Rats were randomly divided into five groups: the
control group (saline group), Pa and saline group, Pa and terbutaline treated
group receiving intratracheal instillation of terbutaline at 10(-4) M, Pa and
terbutaline plus propranolol treated group (terbutaline+propranolol group) and Pa
and propranolol treated group (propranolol group). Hemodynamics, airway
pressures, arterial blood gases, extravascular lung water, lung permeability to
protein evaluated by the extravascular accumulation of (125)I-albumin (EPE),
bacterial counts, and alveolar fluid clearance (AFC) were measured. RESULTS: 4.5
hours after bacterial instillation, the lung wet-to-dry ratio and the EPE were
significantly decreased in the terbutaline group compared to saline control group
(respectively 4.31 +/- 0.51 g/g versus 5.99 +/- 0.5 g/g 4.18 +/- 0.25 g/g and 148
+/- 68 MUL versus 349 +/- 97 MUL respectively p < 0.01). Treatment with
terbutaline in the Pa-instilled group significantly increased basal AFC compared
with the saline and Pa group, (respectively 22.3 +/- 1.3% versus 12.5 +/- 4.7%, p
< 0.001). Intratracheal instillation of propranolol (10(-4) M) inhibited the
effects of terbutaline on lung fluid balance. CONCLUSION: Exogenous instillation
of beta2-adrenergic have a beneficial effect on lung fluid balance following Pa
pneumonia in rats, by reducing pulmonary endothelial permeability and increasing
alveolar fluid clearance. These data suggest that exogenous beta-adrenergic
therapy can protect against alveolar edema formation in acute P aeruginosa
pneumonia.
PMID- 21895447
TI - Therapeutic role of terbutaline in a rat whole-lung lavage model.
AB - Whole-lung lavage (WLL) is the preferred therapy for patients with pulmonary
alveolar proteinosis (PAP). However, WLL can cause fluid retention, surfactant
lost, and hypoxia. Terbutaline has been shown to accelerate the rate of alveolar
fluid clearance and augment surfactant secretion. The present study aimed at
investigating effects of terbutaline and oxygen ventilation in rats with WLL.
Forty rats were randomly divided into control, ventilation, NS (normal saline) +
ventilation, LT (terbutaline in low concentration, 10(-4) M) + ventilation, and
HT (terbutaline in high concentration, 10(-3) M) + ventilation groups. The left
thoracic cavity was opened and a cannula was inserted into the left bronchus. The
left lung was ventilated, while the right lung was lavaged. Arterial blood gas,
electrocardiogram, histological changes, and wet/dry ratio of lung tissues were
examined. The concentrations of total protein (TP), total phospholipids (TPL),
and disaturated phosphatidylcholine (DSPC) in recovery fluid were measured. For
the in vitro study, alveolar type II (ATII) cells were isolated from healthy male
rats, incubated for 24 hours, and divided into control, LT, and HT groups and
exposed to different concentration of terbutaline (10(-4) and 10(-3) M) for 2
hours, followed by measuring sodium-potassium adenosine triphosphatase
(Na(+),K(+)-ATPase) activity. Oxygen ventilation significantly increased
Pao(2)/Fio(2) after lavage in the ventilation group, as compared to control group
(249.4 +/- 7.9 vs 210.6 +/- 9.4; P = .001). Compared with NS + venlitation group,
a higher concentration of terbutaline decreased the wet/dry ratio of lung tissues
(5.0 +/- 0.1 vs 5.6 +/- 0.1; P = .007), increased the concentrations of TPL
(175.9 +/- 14.0 vs 162.0 +/- 6.8 mg/L; P = .031) and DSPC (93.2 +/- 6.9 vs 70.9
+/- 6.2 mg/L, P = .0001) in the recovery fluid, and alleviated hypoxia
significantly. Terbutaline in both low and high doses increased Na(+),K(+)-ATPase
activity in ATII cells (62.5 +/- 2.4 and 62.6 +/- 2.8 vs 32.2 +/- 2.1 mmol/h/mg
protein; P < .01). Theses results show that the administration of terbutaline
facilitates alveolar fluid absorption and increases surfactant secretion during
lung lavage, the former is partly driven by increasing Na(+),K(+)-ATPase
activity. The modified lavage method, with the use of terbutaline and oxygen
ventilation, is one of potential therapies for patients with PAP.
PMID- 21895448
TI - Impact of lipophilic antioxidants and level of antibodies against oxidized low
density lipoprotein in Polish children with phenylketonuria.
AB - The treatment of phenylketonuria (PKU) patients constitutes a phenylalanine (Phe)
intake restriction in their diet, which is achieved by adding a special Phe-free
amino acid mixture to the diet. It has been reported that this diet could have
some micronutrient deficiency. Several authors have also reported an increased
oxidative stress or impaired antioxidant status in human and experimental PKU.
Our project assessed the concentrations of retinol, alpha-tocopherol, coenzyme
Q10, and anti-oxidized low-density lipoprotein (ox-LDL) antibodies in PKU
children's plasma. It was found that retinol concentration in PKU children
remains within the norm despite a low intake. The lower plasma alpha-tocopherol
concentration in PKU children compared with normal children was associated with
the lower level of antibodies against ox-LDL. This raises the question whether
higher than observed circulatory alpha-tocopherol is indeed beneficial to lower
plasma ox-LDL levels. Further studies are needed to explain the genetic factor in
PKU patients (e.g., CD36/FAT polymorphism gene). The open clinical question is
whether daily supplementation of alpha-tocopherol changes the PKU patients' level
of antibodies against ox-LDL.
PMID- 21895449
TI - Late-life bereavement and complicated grief: a proposed comprehensive framework.
AB - OBJECTIVES: The construct of complicated grief (CG) has garnered increased
empirical attention since it has been proposed as a diagnostic category for the
upcoming Diagnostic and Statistical Manual of Mental Disorders-V. The aim of this
article is to critically examine construct validity in light of a proposed
conceptual framework, with special emphasis on understanding late-life
bereavement. METHOD: This is a review article that critically examined current
bereavement and grief models. We explored discriminant and convergent validity
between CG and uncomplicated grief (UG) and other psychopathological constructs
in terms of symptom intensity, symptom trajectories, bereavement outcomes, and
treatment response. RESULTS: The findings from this review show mixed support for
differentiating CG from other outcomes of bereavement for the following reasons:
(1) a clear boundary between CG and UG has not been adequately supported, (2)
symptoms of CG and bereavement-related depression and anxiety overlap, although
there is some evidence of incremental validity in that CG symptoms predict global
functioning above and beyond symptoms of depression, and (3) the treatment
literature demonstrated that general grief interventions and treatment targeted
for improving depression are ineffective at treating symptoms of CG, whereas
interventions specially tailored to treating CG have been moderately effective.
The findings also emphasize the importance of considering pre-bereavement
circumstances, such as preexisting depression, in the conceptualization of
broader bereavement outcome. CONCLUSION: There were mixed findings supporting the
construct validation of CG. A comprehensive framework that emphasizes pre
bereavement circumstances was proposed in order to better predict various grief
trajectories and outcomes of late-life loss.
PMID- 21895450
TI - Self-compassion and social anxiety disorder.
AB - Self-compassion refers to having an accepting and caring orientation towards
oneself. Although self-compassion has been studied primarily in healthy
populations, one particularly compelling clinical context in which to examine
self-compassion is social anxiety disorder (SAD). SAD is characterized by high
levels of negative self-criticism as well as an abiding concern about others'
evaluation of one's performance. In the present study, we tested the hypotheses
that: (1) people with SAD would demonstrate less self-compassion than healthy
controls (HCs), (2) self-compassion would relate to severity of social anxiety
and fear of evaluation among people with SAD, and (3) age would be negatively
correlated with self-compassion for people with SAD, but not for HC. As expected,
people with SAD reported less self-compassion than HCs on the Self-Compassion
Scale and its subscales. Within the SAD group, lesser self-compassion was not
generally associated with severity of social anxiety, but it was associated with
greater fear of both negative and positive evaluation. Age was negatively
correlated with self-compassion for people with SAD, whereas age was positively
correlated with self-compassion for HC. These findings suggest that self
compassion may be a particularly important target for assessment and treatment in
persons with SAD.
PMID- 21895451
TI - Dyspnea review for the palliative care professional: assessment, burdens, and
etiologies.
AB - BACKGROUND: Dyspnea is a common symptom experienced by many patients with
chronic, life-threatening, and/or life-limiting illnesses. Although it can be
defined and measured in several ways, dyspnea is best described directly by
patients through regular assessment, as its burdens exert a strong influence on
the patient's experience throughout the trajectory of serious illness. Its
significance is amplified due to its impact on family and caregivers. DISCUSSION:
Anatomic and physiologic changes associated with dyspnea, and cognitive
perceptions related to patients and the underlying disease, provide insights into
how to shape interventions targeting this oppressive symptom. Additionally, as
described in the concept of "total dyspnea," the complex etiology and
manifestation of this symptom require multidisciplinary treatment plans that
focus on psychological, social, and spiritual distress as well as physical
components. Several validated assessment tools are available for clinical and
research use, and choice of method should be tailored to the individual patient,
disease, and care setting in the context of patient-centered care. CONCLUSION:
This article, the first in a two-part series, reviews the identification and
assessment of dyspnea, the burden it entails, and the underlying respiratory and
nonrespiratory etiologies that may cause or exacerbate it.
PMID- 21895452
TI - Pain outcomes of inpatient pain and palliative care consultations: differences by
race and diagnosis.
AB - BACKGROUND: Pain management disparities exist among patients not receiving
palliative care. We examined pain outcomes for disparities among patients
receiving palliative care. METHODS: At a 542-bed teaching hospital in Honolulu,
The Queens' Medical Center Pain and Palliative Care Department collected patient
characteristics and pain severity (initial, final) for each consultation from
2005 through 2009. Analyses compared pain levels by race (white, Asian,
Hawaiian/Pacific Islander [PI], other) and consultation diagnosis (cancer,
noncancer medical, surgical [59% orthopedic], other). Multiple regression models
analyzed factors associated with lower final pain levels and pain reduction.
RESULTS: Study population included 4658 patients. No final pain was reported by
more non-white patients (33%-39%) than white (27%, p<0.0001) and more cancer and
noncancer medical patients (45%-54%) than surgical/other patients (20%-31%,
p<0.0001). Asian (adjusted odds ratio [aOR] 1.24; 95% confidence interval [CI]
1.06-1.46; p=0.007) and PI (aOR 1.46, 95% CI 1.20-1.77, p=0.0001) races had
increased likelihoods of lower final pain severity versus whites, controlling for
age, gender, Karnofsky score, preconsult length of stay, and initial pain
severity. Surgical diagnoses had decreased likelihood of lower final pain levels
versus cancer (aOR 0.38, 95% CI 0.32-0.46, p<0.0001). Among 2304 patients
reporting moderate/severe initial pain, 1738 (75.4%) reported pain reduction to
mild/no final pain. PI race was associated with pain reduction versus whites (aOR
1.57, 95% CI 1.17-2.10, p=0.003). Surgical diagnoses had decreased likelihood of
pain reduction vs. cancer (aOR 0.52, 95% CI 0.39-0.71, p<0.0001). CONCLUSION:
Pain outcomes were similar or better among non-white races than whites. Surgical
patients reported more final pain than cancer patients.
PMID- 21895453
TI - Palliative medicine and decision science: the critical need for a shared agenda
to foster informed patient choice in serious illness.
AB - Assisting patients and their families in complex decision making is a
foundational skill in palliative care; however, palliative care clinicians and
scientists have just begun to establish an evidence base for best practice in
assisting patients and families in complex decision making. Decision scientists
aim to understand and clarify the concepts and techniques of shared decision
making (SDM), decision support, and informed patient choice in order to ensure
that patient and family perspectives shape their health care experience. Patients
with serious illness and their families are faced with myriad complex decisions
over the course of illness and as death approaches. If patients lose capacity,
then surrogate decision makers are cast into the decision-making role. The fields
of palliative care and decision science have grown in parallel. There is much to
be gained in advancing the practices of complex decision making in serious
illness through increased collaboration. The purpose of this article is to use a
case study to highlight the broad range of difficult decisions, issues, and
opportunities imposed by a life-limiting illness in order to illustrate how
collaboration and a joint research agenda between palliative care and decision
science researchers, theorists, and clinicians might guide best practices for
patients and their families.
PMID- 21895454
TI - Sterols from Sargassum oligocystum, a brown algae from the Persian Gulf, and
their bioactivity.
AB - Sargassum oligocystum (Heterokontophyta) is one of the most abundant algae
distributed in the Persian Gulf. In this study, the cytotoxic effects of this
algae on brine shrimp larvae were evaluated and the main sterols of the algae
identified. Separation and purification of the compounds was carried out using
silica gel column chromatography and HPLC to obtain eight pure compounds, 1-8.
Structural elucidation of the constituents was based on the data obtained from
(1)H-NMR, (13)C-NMR, HSQC, HMBC, DEPT and EI-MS. The compounds separated from S.
oligocystum were identified as 22-dehydrocholesterol (1), cholesterol (2),
fucosterol (3), 29-hydroperoxystigmasta-5,24(28)-dien-3beta-ol (4), 24
hydroperoxy-24-vinylcholesterol (5), a mixture of 24(S)-hydroxy-24
vinylcholesterol (6) and 24(R)-hydroxy-24-vinylcholesterol (7), and ostreasterol
(8) based on their spectral data and from comparison with those previously
reported in the literature.
PMID- 21895455
TI - The in vivo anti-plasmodial activity of haliclonacyclamine A, an alkaloid from
the marine sponge, Haliclona sp.
AB - The compound haliclonacyclamine A was isolated from the Haliclona sponge at
Solomon Islands. It acts as a powerful in vitro and in vivo anti-plasmodial agent
against the chloroquine-resistant Plasmodium falciparum strain FCB1and Plasmodium
vinckei petteri-infected mice, respectively.
PMID- 21895456
TI - In vitro antiviral activities of extracts derived from Daucus maritimus seeds.
AB - The antiviral activities of extracts from Daucus maritimus seeds were
investigated against the reverse transcriptase of human immunodeficiency virus
(HIV) type 1 and a panel of RNA-dependent RNA polymerases of dengue virus, West
Nile virus (WNV) and hepatitis C virus (HCV). The extracts showed moderate to
potent inhibition rates against the four viral polymerases. The ethyl acetate
extract exhibited a potent inhibitory effect against WNV's RdRp, with an IC50
value of 8 ug mL-1. The F2 fraction exhibited potent inhibitory activity against
WNV and HCV's RdRps, with IC50 values 1 and 5 ug mL-1, respectively. The P2
fraction also showed potent inhibitory effects on WNV and HCV's RdRps, with IC50
values 2.7 and 4 ug mL-1, respectively. The results suggest that these extracts
are candidates for the development of new anti-WNV RpDp and anti-HCV RpDp agents.
PMID- 21895457
TI - Comparison of antimicrobial activities of naphthoquinones from Impatiens
balsamina.
AB - Lawsone (1), lawsone methyl ether (2), and methylene-3,3'-bilawsone (3) are the
main naphthoquinones in the leaf extracts of Impatiens balsamina L.
(Balsaminaceae). Antimicrobial activities of these three naphthoquinones against
dermatophyte fungi, yeast, aerobic bacteria and facultative anaerobic and
anaerobic bacteria were evaluated by determination of minimal inhibitory
concentrations (MICs) and minimal bactericidal or fungicidal concentrations (MBCs
or MFCs) using a modified agar dilution method. Compound 2 showed the highest
antimicrobial activity. It showed antifungal activity against dermatophyte fungi
and Candida albicans with the MICs and MFCs in the ranges of 3.9-23.4 and 7.8
23.4 ug mL(-1), respectively, and also had some antibacterial activity against
aerobic, facultative anaerobic and anaerobic bacteria with MICs in the range of
23.4-93.8, 31.2-62.5 and 125 ug mL(-1), respectively. Compound 1 showed only
moderate antimicrobial activity against dermatophytes (MICs and MFCs in the
ranges of 62.5-250 and 125-250 ug mL(-1), respectively), but had low potency
against aerobic bacteria, and was not active against C. albicans and facultative
anaerobic bacteria. In contrast, 3 showed significant antimicrobial activity only
against Staphylococus epidermidis and Bacillus subtilis (MIC and MBC of 46.9 and
93.8 ug mL(-1), respectively).
PMID- 21895458
TI - Investigating the predictive validity of implicit and explicit measures of
motivation on condom use, physical activity and healthy eating.
AB - The literature on health-related behaviours and motivation is replete with
research involving explicit processes and their relations with intentions and
behaviour. Recently, interest has been focused on the impact of implicit
processes and measures on health-related behaviours. Dual-systems models have
been proposed to provide a framework for understanding the effects of explicit or
deliberative and implicit or impulsive processes on health behaviours. Informed
by a dual-systems approach and self-determination theory, the aim of this study
was to test the effects of implicit and explicit motivation on three health
related behaviours in a sample of undergraduate students (N = 162). Implicit
motives were hypothesised to predict behaviour independent of intentions while
explicit motives would be mediated by intentions. Regression analyses indicated
that implicit motivation predicted physical activity behaviour only. Across all
behaviours, intention mediated the effects of explicit motivational variables
from self-determination theory. This study provides limited support for dual
systems models and the role of implicit motivation in the prediction of health
related behaviour. Suggestions for future research into the role of implicit
processes in motivation are outlined.
PMID- 21895459
TI - Follow-up effects of social comparison information on the quality of life of
cancer patients: the moderating role of social comparison orientation.
AB - OBJECTIVE: To examine how social comparison orientation (SCO) moderates the
effects of three types of social comparison information on the global quality of
life of cancer patients 2 weeks and 3 months later. DESIGN: Cancer patients
(n=226) were provided with social comparison information just prior to undergoing
radiation therapy, using audiotapes. Each participant was confronted with one of
three tapes: (1) focusing on procedural aspects, (2) focusing on emotional
reactions and (3) focusing on coping strategies. MAIN OUTCOME MEASURES: Quality
of life as measured with the Cantril self-anchoring scale [Cantril, H. (1965).
The pattern of human concerns. New Brunswick, NJ: Rutgers University Press].
RESULTS: With increasing SCO, a lower quality of life was reported after
listening to the emotion tape, while a higher quality of life was reported after
listening to the coping tape. These effects were found 2 weeks as well as 3
months after the radiation therapy had ended. CONCLUSION: Social comparison
information may have longitudinal effects on quality of life, but these effects
are to an important extent dependent on the nature of the information and
individual differences in SCO.
PMID- 21895460
TI - The anti-biofilm activity secreted by a marine Pseudoalteromonas strain.
AB - Bacterial biofilms occur on all submerged structures in marine environments. The
authors previously reported that the marine bacterium Pseudoalteromonas sp. 3J6
secretes antibiofilm activity. Here, it was discovered that another
Pseudoalteromonas sp. strain, D41, inhibited the development of strain 3J6 in
mixed biofilms. Confocal laser scanning microscope observations revealed that the
culture supernatant of strain D41 impaired biofilm formation of strain 3J6 and
another marine bacterium. A microtiter plate assay of the antibiofilm activity
was set up and validated with culture supernatants of Pseudoalteromonas sp. 3J6.
This assay was used to determine the spectra of action of strains D41 and 3J6.
Each culture supernatant impaired the biofilm development of 13 marine bacteria
out of 18. However, differences in the spectra of action and the physical
behaviours of the antibiofilm molecules suggest that the latter are not
identical. They nevertheless share the originality of being devoid of
antibacterial activity against planktonic bacteria.
PMID- 21895461
TI - Preparation of a genipin blue from egg protein and genipin.
AB - Genipin blue is a pigment prepared from the reaction of genipin with amino acid.
We describe herein a new method used to prepare genipin blue, water-soluble blue
pigments, through the reaction of hen egg protein with genipin. The effects of
reaction time, reaction temperature, the pH value of the solution and the mass
ratio of the reactants on the preparation are studied. One part of genipin
reacted with eight parts of hen egg protein (w/w) in water (pH value of reaction
system 7.5) at 60 degrees C for 96 h and gave blue pigments with the maximum
colour value of 146.2. The blue pigments showed identical absorption activity in
UV spectroscopy (lambda(max )= 584 nm) to that of gardenia blue pigments, which
were prepared from the reaction of genipin with amino acid.
PMID- 21895462
TI - Testing mediator variables in a resistance training intervention for obese adults
with type 2 diabetes.
AB - A poor understanding of behaviour change mechanisms has hindered the development
of effective physical activity interventions. The aim of this study was to
identify potential mediators of change in a home-based resistance training (RT)
program for obese individuals with type 2 diabetes. Obese individuals with type 2
diabetes (N = 48) were randomly allocated to either an RT intervention (n = 27)
or a control group (n = 21) for the 16-week study period. The study sample
included 16 men and 32 women and the mean age of participants was 54.4 (+/-11.7)
years. Participants in the RT group received a multi-gym and dumbbells and home
supervision from a certified personal trainer. RT behaviour was measured using a
modified Godin Leisure Time Questionnaire. Social-cognitive constructs were
measured and tested in a mediating variable framework using a product-of
coefficients test. The intervention had a significant effect on RT behaviour (p <
0.001) and muscular strength (p < 0.001). The intervention had a significant
effect on RT planning strategies (p < 0.01), which mediated the effect of the
intervention on RT behaviour. The home-based RT program successfully targeted
participants' RT planning strategies which contributed to their exercise
adherence.
PMID- 21895463
TI - Lamiolactone, a new iridoid lactone from Lamiophlomis rotata.
AB - Lamiolactone (1), a new iridoid lactone, together with five known iridoids, were
isolated from the 95% EtOH extract of the roots of Lamiophlomis rotata. The
structure of 1 was elucidated to be methyl antirrhinolide-4-carboxylate on the
basis of spectroscopic analysis.
PMID- 21895464
TI - Fatty acids of Rhodobryum ontariense (Bryaceae).
AB - The chemical composition of Rhodobryum ontariense (Kindb.) Kindb. has not been
previously investigated. Fatty acids of this moss were analysed qualitatively and
quantitatively with an aim to identify its corresponding pattern. A total of
eight fatty acids were identified including two acetylenic ones: 9,12,15
octadecatrien-6-ynoic acid (42.26%), alpha-linolenic acid (20.32%), palmitic acid
(14.31%), 9,12-octadecadienoic-6-ynoic acid (13.31%), linoleic acid (5.25%),
oleic acid (2.47%), stearic acid (1.14%) and gamma-linolenic acid (0.92%). To our
knowledge, this is the first record of acetylenic fatty acids in the genus
Rhodobryum. In general, acetylenic fatty acids vary considerably among different
moss groups and have been used as a chemotaxonomic character in bryophyte
classifications. Other species of Rhodobryum from Asia have been traditionally
used in ethno medicine by indigenous cultures. Two fatty acids of those reported
here, 9,12,15-octadecatrien-6-ynoic and alpha-linolenic acid, have known cardio
protective activity, which supports respective claims of traditional herbal use
of these mosses.
PMID- 21895465
TI - Reproductive immunology: the clinical view.
PMID- 21895466
TI - Sidestepping maternal antibody: a lesson from measles virus vaccination.
PMID- 21895467
TI - Murine trophoblast stem cells and their immunological capabilities.
PMID- 21895469
TI - Cinryze (C1-inhibitor) for the treatment of hereditary angioedema.
AB - Cinryze is a pasteurized, nanofiltered plasma derived concentrate of C1-inhibitor
(pdC1-INH) licensed for the prophylactic treatment of hereditary angioedema. In a
double-blind placebo-controlled crossover trial to evaluate Cinryze as
prophylaxis, the frequency of attacks was halved (6.26 per 12 weeks on Cinryze
versus 12.73 per 12 weeks on placebo). Furthermore, attacks were generally milder
and of shorter duration. For treatment of acute attacks in patients receiving
Cinryze, 1000 units, within 4 h of the start of an attack, the estimated time to
the onset of unequivocal relief was reduced to 2 h, compared with more than 4 h
in those treated with placebo. Cinryze and other similar products are going to
change the future management of hereditary angioedema and have potential in other
areas of medicine.
PMID- 21895470
TI - New frontiers in reproductive immunology research: bringing bedside problems to
the bench.
AB - The 31st Annual Meeting of the American Society for Reproductive Immunology
provided an excellent platform for basic and clinical scientists to brainstorm on
current reproductive health issues such as repeated implantation and pregnancy
failure, preterm birth, preeclampsia and genital tract infections such as HIV.
The goal of the meeting was to foster cross-pollination of ideas as well as to
encourage participation of young investigators in the field. The conference was
preceded by the 4th Annual Post-Graduate Workshop with the theme of bringing
bedside problems to the bench and facilitating collaboration between clinicians
and basic scientists. Christopher Davies and Richard Bronson chaired the
conference, which hosted approximately 180 delegates representing more than 26
countries across Asia, Australia, Latin America, Europe and North America.
PMID- 21895471
TI - Prenatal programming of the innate immune response following in utero exposure to
inflammation: a sexually dimorphic process?
AB - Maternal infection and inflammation are common events during pregnancy. This
article documents evidence that suggests such inflammation compromises the
development of the fetal innate immune response, in support of an in utero
origins hypothesis of neonatal and childhood inflammatory disease. The potential
for this response to exhibit sex specificity is also explored, based on evidence
of sexually dimorphic placental responses to maternal inflammation.
PMID- 21895472
TI - Dendritic cell function at the maternal-fetal interface.
AB - Understanding the evolutionary adaptation of the immune system to the developing
fetus and placenta represents one of the most fascinating problems in
reproductive biology. Recent work has focused on how the behavior of dendritic
cells (DCs) is altered at the maternal-fetal interface to suit the unique
requirements of pregnancy. This work has provided a significant new perspective
into the long-standing immunological paradox of fetomaternal tolerance, and has
opened up a new and intriguing area of research into the potential trophic role
of uterine DCs in the peri-implantation period. Further research on the biology
of uterine DCs promises to give insight into the pathogenesis of many clinically
important disorders of pregnancy.
PMID- 21895473
TI - Understanding the link between the IL-6 cytokine family and pregnancy:
implications for future therapeutics.
AB - Cytokines are involved in almost all processes during the menstrual cycle, the
fertilization period and pregnancy. They are expressed in numerous reproduction
related body fluids and tissues. Disorders of cytokine expression patterns may
cause pregnancy pathologies. Therefore, cytokines have the potential as new
biomarkers in different body compartments for a variety of such pathologies.
Furthermore, cytokines may also serve to treat fertility and pregnancy disorders.
The IL-6-like family of cytokines is an intensively investigated group of
cytokines with well-accepted functions in fertility and pregnancy. This article
summarizes current knowledge on IL-6-like cytokines in regard of their role in
reproduction and their potential for new strategies in the treatment of
reproductive pathologies.
PMID- 21895475
TI - The role of mast cells in male infertility.
AB - Increased numbers of mast cells (MCs) were described in the testes of males
exhibiting infertility many years ago. Since beneficial effects of treatment with
MC blockers on impaired male fertility were reported, more attention has been
drawn on the role of MCs in the male reproductive tract. The main interest is
focused on testicular MCs, however MCs also occur in the epididymis and seminal
fluid, which may be relevant for fertility as well. The increase in testicular
MCs in close contact to the seminiferous tubules indicates a relationship between
MC proliferation and a dysfunction of the blood-testis barrier. Activated MCs not
only coincide with fibrotic events, but also with elevated numbers of several
types of immune cells in the testes of infertile men and may, therefore, be
involved in the pathogenesis of testicular inflammatory processes as well.
Outside the testis, MCs have really been assigned a key role in chronic
protatitis/chronic pelvic pain syndrome. The occurrence of MCs in the seminal
plasma of fertile/infertile men and negative effects on sperm functions has not
been clarified so far and require further investigation. Optimistic reports on
the beneficial effects of the treatment with MC blockers on disturbed male
fertility also warrant further confirmation.
PMID- 21895476
TI - Systemic lupus erythematosus, regulatory T cells and pregnancy.
AB - Systemic lupus erythematosus (SLE) is the most common autoimmune disease
affecting women of reproductive age and is associated with poor maternal and
fetal outcomes. CD4(+)CD25(+) Treg cells are a subset of T lymphocytes with
potent immunosuppressive activity that play crucial roles in controlling
immunological self tolerance. Evidence suggests that they are augmented in
pregnancy, especially in the first trimester, suggesting an important role in
early placental development. The literature describing Treg cells in SLE is
conflicting, but SLE is associated with reduced numbers and functionally
defective Treg cells, which may predispose pregnant women with the disease to
pregnancy complications. This article discusses the role of Treg cells in SLE and
pregnancy, and how these cells may contribute to poor pregnancy outcome in SLE
affected women.
PMID- 21895474
TI - Immune interactions in endometriosis.
AB - Endometriosis is a common, complex gynecologic disorder characterized by the
presence of endometrial glands and stroma at extrauterine (ectopic) sites. In
women who develop this disease, alterations in specific biological processes
involving both the endocrine and immune systems have been observed, which may
explain the survival and growth of displaced endometrial tissue in affected
women. In the past decade, a considerable amount of research has implicated a
role for alterations in progesterone action at both eutopic and ectopic sites of
endometrial growth which may contribute to the excessive inflammation associated
with progression of endometriosis; however, it remains unclear whether these
anomalies induce the condition or are simply a consequence of the disease
process. In this article, we summarize current knowledge of alterations within
the immune system of endometriosis patients and discuss how endometrial cells
from women with this disease not only have the capacity to escape
immunosurveillance, but also use inflammatory mechanisms to promote their growth
within the peritoneal cavity. Finally, we discuss evidence that exposure to an
environmental endocrine disruptor, such as 2,3,7,8-tetrachlorodibenzo-p-dioxin,
can mediate the development of an endometrial phenotype that exhibits both
reduced progesterone responsiveness and hypersensitivity to proinflammatory
stimuli mimicking the endometriosis phenotype. Future studies in women with
endometriosis should consider whether a heightened inflammatory response within
the peritoneal microenvironment contributes to the development and persistence of
this disease.
PMID- 21895477
TI - Clinical implication of recent advances in our understanding of IL-17 and
reproductive immunology.
AB - The identification of a novel helper T (Th)-cell subset, the IL-17-producing Th
(Th17) cells, has provided new insight into our understanding of the molecular
mechanisms of reproduction. IL-17 has an important role in induction of the
protective immune response against extracellular bacteria or fungal pathogens.
Th17 cells seem to participate in successful pregnancy processes. Th17 cells also
play a pivotal role in pathogenesis of endometriosis, miscarriage, preterm labor
and preeclampsia. Recent data show the reciprocal development of pathways between
Th1/Th17 subsets and between Th17/Treg subsets, and the imbalance of Th17/Treg
development has been reported in recurrent pregnancy loss and preeclampsia.
PMID- 21895479
TI - Anti-inflammatory mediators as physiological and pharmacological regulators of
parturition.
AB - Increasing evidence highlights parturition as an inflammatory event characterized
by leukocyte influx and proinflammatory mediator production in the intrauterine
environment. While the mechanisms responsible for the initiation of this
inflammatory cascade are not well understood, it is clear that these inflammatory
events must be tightly regulated as the premature activation of these
inflammatory signals is associated with adverse pregnancy outcomes, such as
preterm labor, which is the leading cause of neonatal mortality and morbidity. In
this article we highlight the importance of anti-inflammatory factors in
regulating the inflammatory events surrounding parturition and discuss the use of
anti-inflammatory mediators as potential novel therapeutic agents in the
treatment of inflammation-induced preterm labor.
PMID- 21895480
TI - Thyroid physiology and autoimmunity in pregnancy and after delivery.
AB - During pregnancy and after delivery, the maternal thyroid gland faces several
metabolic, hemodynamic and immunologic changes. In this article we first
summarize the current knowledge on the physiologic adaptation of the healthy
thyroid to pregnancy, including variations of thyroid-stimulating hormone and
free thyroid hormones, as well as variations of thyroid volume. Our second aim is
to illustrate the background of thyroid autoimmunity in this period, which
characteristically ameliorates during pregnancy and aggravates after delivery.
Although rare during pregnancy, Graves' disease is the most frequent cause of
hyperthyroidism, while Hashimoto's thyroiditis is the most frequent cause for
hypothyroidism. Both types of thyroid dysfunction may lead to detrimental
complications in mother and child and therefore timely recognition and treatment
is essential. Postpartum autoimmunity most frequently exacerbates in the form of
postpartum thyroiditis, which presents with diverse clinical presentations and
may lead to permanent hypothyroidism.
PMID- 21895478
TI - Receptor-activating autoantibodies and disease: preeclampsia and beyond.
AB - The research reviewed in this article provides examples of autoantibody-mediated
receptor activation that likely contributes to disease. The classic example is
Graves' hyperthyroidism, in which autoantibodies activate the thyroid-stimulating
hormone receptor resulting in overproduction of thyroid hormones. Other
compelling examples come from the cardiovascular literature and include agonistic
autoantibodies targeting the cardiac beta(1)-adrenergic receptor, which are
associated with dilated cardiomyopathy. Autoantibodies capable of activating
alpha(1)-adrenergic receptors are associated with refractory hypertension and
cardiomyopathy. A prominent example is preeclampsia, a hypertensive disease of
pregnancy, characterized by the presence of autoantibodies that activate the
major angiotensin receptor, AT(1). AT(1) receptor-activating autoantibodies are
also observed in kidney transplant recipients suffering from severe vascular
rejection and malignant hypertension. AT(1) receptor-activating autoantibodies
and antibodies that activate the endothelin-1 receptor, ET(A), are prevalent in
individuals diagnosed with systemic sclerosis. Thus, the presence of agonistic
autoantibodies directed to G protein-coupled receptors has been observed in
numerous cardiovascular disease states. Rapidly emerging evidence indicates that
receptor-activating autoantibodies contribute to disease, and that efforts to
detect and remove these pathogenic autoantibodies or block their actions will
provide promising therapeutic possibilities.
PMID- 21895482
TI - Quantitative relationship between axonal injury and mechanical response in a
rodent head impact acceleration model.
AB - A modified Marmarou impact acceleration model was developed to study the
mechanical responses induced by this model and their correlation to traumatic
axonal injury (TAI). Traumatic brain injury (TBI) was induced in 31 anesthetized
male Sprague-Dawley rats (392+/-13 g) by a custom-made 450-g impactor from
heights of 1.25 m or 2.25 m. An accelerometer and angular rate sensor measured
the linear and angular responses of the head, while the impact event was captured
by a high-speed video camera. TAI distribution along the rostro-caudal direction,
as well as across the left and right hemispheres, was determined using beta
amyloid precursor protein (beta-APP) immunocytochemistry, and detailed TAI injury
maps were constructed for the entire corpus callosum. Peak linear acceleration
1.25 m and 2.25 m impacts were 666+/-165 g and 907+/-501 g, respectively. Peak
angular velocities were 95+/-24 rad/sec and 124+/-48 rad/sec, respectively.
Compared to the 2.25-m group, the observed TAI counts in the 1.25-m impact group
were significantly lower. Average linear acceleration, peak angular velocity,
average angular acceleration, and surface righting time were also significantly
different between the two groups. A positive correlation was observed between
normalized total TAI counts and average linear acceleration (R(2)=0.612, p<0.05),
and time to surface right (R(2)=0.545, p<0.05). Our study suggested that a 2.25-m
drop in the Marmarou model may not always result in a severe injury, and TAI
level is related to the linear and angular acceleration response of the rat head
during impact, not necessarily the drop height.
PMID- 21895483
TI - Cerebrovascular connexin expression: effects of traumatic brain injury.
AB - Traumatic brain injury (TBI) results in dysfunction of the cerebrovasculature.
Gap junctions coordinate vasomotor responses and evidence suggests that they are
involved in cerebrovascular dysfunction after TBI. Gap junctions are comprised of
connexin proteins (Cxs), of which Cx37, Cx40, Cx43, and Cx45 are expressed in
vascular tissue. This study tests the hypothesis that TBI alters Cx mRNA and
protein expression in cerebral vascular smooth muscle and endothelial cells.
Anesthetized (1.5% isoflurane) male Sprague-Dawley rats received sham or fluid
percussion TBI. Two, 6, and 24 h after, cerebral arteries were harvested, fresh
frozen for RNA isolation, or homogenized for Western blot analysis. Cerebral
vascular endothelial and smooth muscle cells were selected from frozen sections
using laser capture microdissection. RNA was quantified by ribonuclease
protection assay. The mRNA for all four Cx genes showed greater expression in the
smooth muscle layer compared to the endothelial layer. Smooth muscle Cx43 mRNA
expression was reduced 2 h and endothelial Cx45 mRNA expression was reduced 24
h after injury. Western blot analysis revealed that Cx40 protein expression
increased, while Cx45 protein expression decreased 24 h after injury. These
studies revealed significant changes in the mRNA and protein expression of
specific vascular Cxs after TBI. This is the first demonstration of cell type
related differential expression of Cx mRNA in cerebral arteries, and is a first
step in evaluating the effects of TBI on gap junction communication in the
cerebrovasculature.
PMID- 21895484
TI - The impact of 5,10-methenyltetrahydrofolate synthetase polymorphism on diabetic
nephropathy in the Taiwanese population.
AB - Genome-wide association studies provide information implicating 5,10
methenyltetrahydrofolate synthetase (MTHFS) as a candidate gene for renal
disease. In the Atherosclerosis Risk in Communities study, the intronic single
nucleotide polymorphism rs6495446 in the gene MTHFS confirmed the association
between this gene and renal disease among Caucasian participants. We replicated
this genetic association in a Taiwanese population with diabetic nephropathy
(DN). A total of 358 Taiwanese patients with type 2 diabetes (T2D) were
recruited. The case group comprised 180 T2D patients with DN, and the control
group comprised the remaining patients without DN. rs6495446 in MTHFS had no
significant effect on the risk of DN in Taiwanese patients with T2D. Multivariate
logistic regression analysis demonstrated that being male, the duration of
diabetes, plasma triglyceride level, and glycemic control were factors that
predicted the development of DN.
PMID- 21895485
TI - Braided nanofibrous scaffold for tendon and ligament tissue engineering.
AB - Tendon and ligament (T/L) injuries present an important clinical challenge due to
their intrinsically poor healing capacity. Natural healing typically leads to the
formation of scar-like tissue possessing inferior mechanical properties.
Therefore, tissue engineering has gained considerable attention as a promising
alternative for T/L repair. In this study, we fabricated braided nanofibrous
scaffolds (BNFSs) as a potential construct for T/L tissue engineering. Scaffolds
were fabricated by braiding 3, 4, or 5 aligned bundles of electrospun poly(L
lactic acid) nanofibers, thus introducing an additional degree of flexibility to
alter the mechanical properties of individual scaffolds. We observed that the
Young's modulus, yield stress, and ultimate stress were all increased in the 3
bundle compared to the 4- and 5-bundle BNFSs. Interestingly, acellular BNFSs
mimicked the normal tri-phasic mechanical behavior of native tendon and ligament
(T/L) during loading. When cultured on the BNFSs, human mesenchymal stem cells
(hMSCs) adhered, aligned parallel to the length of the nanofibers, and displayed
a concomitant realignment of the actin cytoskeleton. In addition, the BNFSs
supported hMSC proliferation and induced an upregulation in the expression of key
pluripotency genes. When cultured on BNFSs in the presence of tenogenic growth
factors and stimulated with cyclic tensile strain, hMSCs differentiated into the
tenogenic lineage, evidenced most notably by the significant upregulation of
Scleraxis gene expression. These results demonstrate that BNFSs provide a
versatile scaffold capable of supporting both stem cell expansion and
differentiation for T/L tissue engineering applications.
PMID- 21895487
TI - Differences between chondrocytes and bone marrow-derived chondrogenic cells.
AB - Implantation of autologous chondrogenic cells has become the mainstay strategy
for repairing articular cartilage defects. Because the availability of autologous
chondrocytes is extremely limited, many recent studies have used artificially
induced mesenchymal stem cells (iMSCs) as substitutes for chondrocytes. In this
study, we analyzed the differences between the iMSCs and chondrocytes, including
their molecular biological and mechanical properties. Human bone marrow-derived
MSCs were collected and induced to exhibit the chondrogenic phenotype by
culturing the pelleted MSCs in a chemically defined culture medium supplemented
with transforming growth factor-beta 1. The molecular biological properties of
iMSCs and culture-expanded chondrocytes, including their mRNA profiles and
surface proteomics, were analyzed using reverse transcription-polymerase chain
reaction (RT-PCR) and flow cytometry, respectively. The biomechanical properties
of iMSCs and native chondrocytes, including their surface topology, adhesion
force, and membrane stiffness, were analyzed using atomic force microscopy (AFM).
Both iMSCs and chondrocytes presented type II collagen and glycosaminoglycan,
whereas only chondrocytes presented type X collagen. Flow cytometric assays
showed that the expression of type II collagen and integrin-1 was higher in the
chondrocytes than in the iMSCs. AFM revealed that the MSCs, iMSCs, and
chondrocytes greatly differed in their shape. The MSCs were spindle shaped and
easily distinguishable from the spherical chondrocytes. The iMSCs appeared round
and resembled the spherical chondrocytes; however, the iMSCs were flatter with a
central hump of condensed mass and a surrounding thin and broad pleat. The mean
adhesion force and mean surface stiffness were significantly lower for the iMSCs
(4.54 nN and 0.109 N/m, respectively) than for the chondrocytes (6.86 nN and
0.134 N/m, respectively). To conclude, although the iMSCs exhibited the
chondrogenic phenotype, they differed from the chondrocytes in their molecular
biological and mechanical properties.
PMID- 21895486
TI - Characterization of in vitro endothelial linings grown within microfluidic
channels.
AB - In vivo, endothelial cells grow on the inner surface of blood vessels and are
shaped to conform to the vessel's geometry. In the smallest vessels this shape
entails substantial bending within each cell. Microfabricated channels can
replicate these small-scale geometries, but endothelial cells grown within them
have not been fully characterized. In particular, the presence of focal adhesions
and adherens junctions in endothelial cells grown in microchannels with corners
has not been confirmed. We have fabricated square microfluidic channels (50 MUm
wide, 50 MUm deep) and semicircular microfluidic channels (60 MUm wide, 45 MUm
deep) in polydimethylsiloxane and cultured human umbilical vein endothelial cells
(HUVEC) within them. Immunofluorescent staining and three-dimensional
reconstruction of image stacks taken with confocal microscopy confirmed that
HUVEC are capable of forming adherens junctions on all channel walls in both
channel geometries, including the sidewalls of square profile channels. The
presence of shear stress is critical for the cells to form focal adhesions within
both channel geometries. Shear stress is also responsible for the conforming of
HUVEC to the channel walls and produces a square cross-sectional geometry of in
vitro endothelial linings within square profile channels. Thus, geometry and
applied shear stress are important design criteria for the development of in
vitro endothelial linings of microvessels.
PMID- 21895488
TI - Sustaining neovascularization of a scaffold through staged release of vascular
endothelial growth factor-A and platelet-derived growth factor-BB.
AB - Tissue regeneration into a three-dimensional scaffold requires the stimulation of
blood vessel ingrowth. We have employed a freely interconnecting porous scaffold
developed by us to determine the utility of a covalently bound heparin surface
coating for the delivery of vascular endothelial growth factor (VEGF) and
platelet-derived growth factor BB (PDGF-BB) in vivo. The heparin surface was
shown to release VEGF far more rapidly than PDGF-BB in vitro (VEGF: 75 ng/h for
24 h; PDGF-BB: 86 pg/h for >7 days). In rat subcutaneous implants, at 10 days the
heparin surface alone increased vessel ingrowth substantially (p<0.05 vs.
unmodified scaffold), release of VEGF resulted in a further increase (p<0.05 vs.
heparinized scaffold), whereas PDGF-BB had no additional effect. The increase
induced by the combination of growth factors was similar to VEGF alone. After 2
months, PDGF-BB, but not VEGF delivery, resulted in a substantial increase in
vascularization above that induced by heparin (p<0.05). At the longer time point
the combination of growth factors was similar to PDGF-BB. However, only the
combination of growth factors significantly elevated the number of ingrowing
arterioles (p<0.05 vs. heparinized scaffold). Thus, the covalent modification of
a porous scaffold with heparin allows for the differential release of VEGF and
PDGF-BB that results in both a rapid and sustained increase in scaffold
vascularization.
PMID- 21895489
TI - Depression scores associate with chronotype and social jetlag in a rural
population.
AB - In public health, mood disorders are among the most important mental impairments.
Patients with depressive episodes exhibit daily mood variations, abnormal
patterns in sleep-wake behavior, and in the daily rhythms of several endocrine
metabolic parameters. Although the relationship between the sleep/circadian
processes and mood disorders is poorly understood, clock-related therapies, such
as light therapy, sleep deprivation, and rigid sleep schedules, have been shown
to be effective treatments. Several studies investigated the relationship between
circadian phenotype (chronotype) and depression. These focused mainly on urban
populations and assessed diurnal preferences (Morningness-Eveningness score)
rather than the actual timing of sleep and activity. Here, we used the Beck
Depression Inventory (BDI) in an essentially rural population (N?=?4051), and
investigated its relation to circadian phenotype (chronotype and social jetlag),
assessed with the Munich Chronotype Questionnaire (MCTQ). In our study design, we
(i) normalized both chronotype and BDI scores for age and sex (MSF(sas) and
BDI(as), respectively); (ii) calculated individual social jetlag (misalignment of
the biological and social time); and (iii) investigated the relationship between
circadian phenotypes and BDI scores in a population homogeneous in respect to
culture, socioeconomic factors, and daily light exposure. A 15.65% (N?=?634) of
the participants showed mild to severe depressive BDI scores. Late chronotypes
had a higher BDI(as) than intermediate and early types, which was independent of
whether or not the participants were smokers. Both chronotype and BDI(as)
correlated positively with social jetlag. BDI(as) was significantly higher in
subjects with >2?h of social jetlag than in the rest of the population?again
independent of smoking status. We also compared chronotype and social jetlag
distributions between BDI categories (no symptoms, minimal symptoms, and mild to
severe symptoms of depression) separately for men and women and for four age
groups; specifically in the age group 31?40 yrs, subjects with mild to severe BDI
scores were significantly later chronotypes and suffered from higher social
jetlag. Our results indicate that misalignment of circadian and social time may
be a risk factor for developing depression, especially in 31- to 40-yr-olds.
These relationships should be further investigated in longitudinal studies to
reveal if reduction of social jetlag should be part of prevention strategies.
(Author correspondence: karla.allebrandt@med.uni-muenchen.de ).
PMID- 21895490
TI - Stocking density affects circadian rhythms of locomotor activity in African
catfish, Clarias gariepinus.
AB - The effect of stocking density on the locomotor activity of African catfish C.
gariepinus under different light regimes was investigated. C. gariepinus were
stocked under different densities (1, 5, or 10 fish/tank), and their locomotor
activity recorded under light-dark (LD), constant light (LL), constant darkness
(DD), and LD-reversed (DL) regimens. Under the LD cycle, catfish showed a
crepuscular activity pattern, irrespective of stocking density, with most of the
daily activity concentrated around the light-onset and light-offset times. When
fish were subjected to DD, all 4 tanks with medium (5 fish) and high (10 fish)
stocking densities showed circadian rhythmicity, with an average period (?) of
23.3???0.5 and 24.6???0.5?h, respectively. In contrast, only 2 low (1 fish)
density tanks showed free-running rhythms. Under LL, activity levels decreased
significantly in comparison with levels observed under LD and DD. Moreover, fish
of 1, 2, and 3 out of the 4 tanks with low, medium, and high densities,
respectively, showed free-running rhythms under these conditions. When the
photocycle was reversed (DL), fish of 3, 2, and 4 out of the 4 tanks with low,
medium, and high stocking densities, respectively, showed gradual
resynchronization to the new phase, and transient cycles of activity were
observed. These results suggest that stocking density of fish affected the
display of circadian rhythmicity and the intensity of activity levels. Thus, fish
kept in higher densities showed more robust rhythmicity and higher levels of
daily activity, indicating that social interactions may have an influence on
behavioral patterns in the African catfish.
PMID- 21895492
TI - Characterization and optimization of cell seeding in scaffolds by factorial
design: quality by design approach for skeletal tissue engineering.
AB - Cell seeding into scaffolds plays a crucial role in the development of efficient
bone tissue engineering constructs. Hence, it becomes imperative to identify the
key factors that quantitatively predict reproducible and efficient seeding
protocols. In this study, the optimization of a cell seeding process was
investigated using design of experiments (DOE) statistical methods. Five seeding
factors (cell type, scaffold type, seeding volume, seeding density, and seeding
time) were selected and investigated by means of two response parameters,
critically related to the cell seeding process: cell seeding efficiency (CSE) and
cell-specific viability (CSV). In addition, cell spatial distribution (CSD) was
analyzed by Live/Dead staining assays. Analysis identified a number of
statistically significant main factor effects and interactions. Among the five
seeding factors, only seeding volume and seeding time significantly affected CSE
and CSV. Also, cell and scaffold type were involved in the interactions with
other seeding factors. Within the investigated ranges, optimal conditions in
terms of CSV and CSD were obtained when seeding cells in a regular scaffold with
an excess of medium. The results of this case study contribute to a better
understanding and definition of optimal process parameters for cell seeding. A
DOE strategy can identify and optimize critical process variables to reduce the
variability and assists in determining which variables should be carefully
controlled during good manufacturing practice production to enable a clinically
relevant implant.
PMID- 21895491
TI - Toward a clinical-grade expansion of mesenchymal stem cells from human sources: a
microcarrier-based culture system under xeno-free conditions.
AB - The immunomodulatory properties of mesenchymal stem cells (MSCs) make them
attractive therapeutic agents for a wide range of diseases. However, the highly
demanding cell doses used in MSC clinical trials (up to millions of cells/kg
patient) currently require labor intensive methods and incur high reagent costs.
Moreover, the use of xenogenic (xeno) serum-containing media represents a risk of
contamination and raises safety concerns. Bioreactor systems in combination with
novel xeno-free medium formulations represent a viable alternative to
reproducibly achieve a safe and reliable MSC doses relevant for cell therapy. The
main goal of the present study was to develop a complete xeno-free microcarrier
based culture system for the efficient expansion of human MSC from two different
sources, human bone marrow (BM), and adipose tissue. After 14 days of culture in
spinner flasks, BM MSC reached a maximum cell density of (2.0+/-0.2)*105 cells.mL
1 (18+/-1-fold increase), whereas adipose tissue-derived stem cells expanded to
(1.4+/-0.5)*105 cells.mL-1 (14+/-7-fold increase). After the expansion, MSC
expressed the characteristic markers CD73, CD90, and CD105, whereas negative for
CD80 and human leukocyte antigen (HLA)-DR. Expanded cells maintained the ability
to differentiate robustly into osteoblast, adipocyte, and chondroblast lineages
upon directed differentiation. These results demonstrated the feasibility of
expanding human MSC in a scalable microcarrier-based stirred culture system under
xeno-free conditions and represent an important step forward for the
implementation of a Good Manufacturing Practices-compliant large-scale production
system of MSC for cellular therapy.
PMID- 21895493
TI - Formation of an aggregated alginate construct in a tubular perfusion system.
AB - Tissue engineering strategies are often limited by in vitro culture techniques of
three dimensional scaffolds. Here we develop a method to form an aggregated cell
containing construct in vitro in a bioreactor system. Human mesenchymal stem
cells (hMSCs) are cultured in individual alginate beads in a tubular perfusion
system (TPS) bioreactor and then aggregated to form a single large construct.
Mechanical evaluation of this construct demonstrated that aggregated alginate
constructs (AACs) made from beads with 2.15 mm diameters had a Young's modulus of
85.6+/-15.8 kPa, a tensile strength of 3.24+/-0.55 kPa and a yield strength of
1.44+/-0.27 kPa. These mechanical properties were shown to be dependent on the
bead size used to fabricate the AACs with smaller bead sizes resulting in
stronger constructs. Analysis of metabolic activity revealed that hMSCs
encapsulated in alginate exposed to AAC treatment sustained metabolic activity
while live dead staining indicated cells remain viable. These results demonstrate
the formation of AACs in the TPS bioreactor as an elegant method to create tissue
engineering constructs in vitro.
PMID- 21895494
TI - Three-dimensional system for the in vitro study of megakaryocytes and functional
platelet production using silk-based vascular tubes.
AB - Platelets are specialized cells produced by megakaryocytes in the bone marrow
that represent the first defense against hemorrhage, yet they also play a
pathological role in thrombosis, inflammation, and cancer. Millions of platelet
transfusions are conducted each year, and the supply of this blood component is
limited. There are many diseases where platelet production or function is
impaired with severe consequences for patients. With such clinical need, new
insight into the formation of platelets would have a major impact on patients and
healthcare. We developed an innovative 3D system to study platelet production
that represents the first spatial reconstruction of the bone marrow environment.
In this system human megakaryocytes were able to migrate toward the vascular
niche, extend proplatelets, and release functional platelets into vascular tubes.
The combination of different bone marrow components and the compliance of silk
based vascular tubes makes this model a unique tool for the study of platelet
formation and production for use in healthcare needs.
PMID- 21895495
TI - Bone tissue engineering in oral surgery: a new method of bone development in
periodontal surgery.
AB - This article describes the development of a new surgical approach to periodontal
treatment. Twenty patients who suffered from bone defects without existing bony
walls due to adult periodontitis were treated in three different groups using
methods of bone tissue engineering. At that time no surgical technique existed
that could be applied to those patients to generate new bone. The periodontal
surgeries were performed between 2004 and 2008. All patients received follow-up
examinations at 6, 12, and 24 months after surgical procedure. Measured
parameters were compared to baseline. The surgical approach and the augmentation
material have been improved based on the results of the previous group. This
strategy was applied because of the ethical fact that a medical treatment of
patients has to be carried out with the knowledge and experience of previous
settings. All groups received recombinant human bone morphogenetic protein 2 and
platelet-rich plasma. The above-mentioned procedure had been approved in other
indications in the field of oral and maxillofacial surgery. The first group
underwent conventional muco-periosteal flap technique and obtained an
augmentation with absorbable collagen sponge (ACS). The second and third groups
were treated using endoscopically assisted microsurgery due to wound healing
disturbances that appeared in the first group. The augmentation was carried out
with demineralized bone matrix (DBM) instead of ACS (group 2) or
tricalciumphosphate as a further development instead of DBM (group 3). The
radiological control 12 months (group 1), 18 months (group 2), and 2 years (group
3) after surgery proved the following results-first group: 1.7 mm (average)
vertical bone development (VBD); second group: 2.5 mm (average) VBD; third group:
3.2 mm (average) VBD. These results of single patient treatment open new ways
into periodontal surgery. They have to be confirmed by prospective case series
and multicenter studies.
PMID- 21895496
TI - Effects of poly(amidoamine) dendrimers on ocular absorption of puerarin using
microdialysis.
AB - PURPOSE: The purpose of this study was to investigate the effects of
poly(amidoamine) (PAMAM) dendrimers on ocular absorption of puerarin. METHODS:
The samples of rabbits' aqueous humor were collected by in vivo microdialysis.
The ocular pharmacokinetic properties of puerarin were measured to evaluate the
effects of PAMAM dendrimers on ocular absorption of puerarin. RESULTS: In the
pharmacokinetic studies, the AUC(0~infinity) values of puerarin solution with
0.2% (w/v) PAMAM dendrimers (G3.0, G4.0, G5.0) were greater than those in the
control group by 2.3-, 3.5-, and 2.1-folds, respectively. The C(max) values of
puerarin solution with 0.2% (w/v) PAMAM dendrimers were 1.5, 2.5, and 1.3 times
the values of the control group and the t(1/2) values were significantly longer
than that of control group. CONCLUSIONS: Using PAMAM dendrimers might be a
promising strategy to enhance the absorption of puerarin. The generation of PAMAM
dendrimers may play a key role in increasing the ocular absorption of puerarin.
PMID- 21895497
TI - Strontium doping of bone graft extender.
AB - BACKGROUND AND PURPOSE: Allografts are often used during revision hip replacement
surgery for stabilization of the implant. Resorption of the allograft may exceed
new bone formation, and instability of the prosthesis can develop. We
investigated whether strontium could regulate the imbalance of fast resorption of
allograft and slower formation of new bone, because it is both an anabolic and an
anticatabolic agent. METHOD: Strontium was added to the implant interface
environment by doping a hydroxyapatite bone graft extender. 10 dogs each received
2 experimental titanium implants. The implants were inserted within a 2.7-mm
concentric gap in cancellous bone. The gap was filled with 50% (v/v) allograft
mixed with 50% bone graft extender. The extender either had 5% strontium doping
(SrHA) or was undoped (HA). After 4 weeks, osseointegration and mechanical
fixation were evaluated by histomorphometry and by push-out test. RESULTS: SrHA
bone graft extender induced a 1.2-fold increase in volume of new bone, a 1.2-fold
increase in allograft remaining in the gap, and a 1.4-fold increase in surface
area of the bone graft extender material in contact with new bone compared to HA
bone graft extender. All these increases were statistically significant. SrHA
bone graft extender did not significantly improve ongrowth of bone onto the
implants or improve any of the mechanical push-out parameters compared to HA bone
graft extender. INTERPRETATION: Doping of the HA bone graft extender with 5%
strontium increased gap healing, preserved more of the allograft in the gap, and
increased the ongrowth of bone onto the bone graft extender material, but did not
improve mechanical fixation.
PMID- 21895498
TI - Complications after hip arthroplasty and the association with hospital procedure
volume.
AB - BACKGROUND AND PURPOSE: It has been suggested that a higher procedure volume is
associated with less complications after hip arthroplasty. In order to
investigate the incidence of serious negative outcomes and a possible association
with procedure volume, we performed a retrospective nationwide cohort study on
total hip replacements in all Dutch hospitals. METHODS: All total hip
replacements (n = 50,080) that were identified as primary intervention in all
general and university medical centers between January 1, 2002 and October 1,
2004 were included. Primary endpoints of follow-up were mortality and
complications during admission, and re-admission within 3 months due to
complications. Variables that were assessed as potential risk factor were age,
sex, duration of (preoperative) admission, specific diagnosis, acute/non-planned
admission, co-morbidity, and hospital procedure volume. RESULTS: Age, sex, and
comorbidity were associated with complications and mortality. Additionally, acute
admission was a risk factor for mortality but not for complications. There was no
linear trend indicating that decreasing volume led to an increasing number of
complications, and no statistically sginificant effect for mortality was found.
INTERPRETATION: After adjustment for several risk factors, we found that the
hospitals performing most hip procedures every year had fewer complications
during index admission, but that they did not have a lower mortality than groups
performing fewer procedures. The lack of a linear trend may be explained by the
fact that almost all Dutch hospitals perform a high number of hip arthroplasties
each year.
PMID- 21895499
TI - Preoperative lateral subluxation of the patella is a predictor of poor early
outcome of Oxford phase-III medial unicompartmental knee arthroplasty.
AB - BACKGROUND AND PURPOSE: There is disagreement in the literature about the
importance of patellofemoral joint degeneration and knee pain for the outcome of
unicompartmental knee arthroplasty (UKA). We therefore investigated the
importance of selected predictors including patellofemoral joint degeneration and
the location of preoperative knee pain for the early outcome of UKA. PATIENTS AND
METHODS: The study group comprised 260 consecutive patients from 5 hospitals who
underwent Oxford UKA for anteromedial osteoarthritis. Data were collected at
baseline and included pain location, radiologically observed degeneration of the
patellofemoral joint including subluxation of the patella, intraoperative
cartilage status of the patellofemoral joint, disease-specific knee status, and
Oxford knee score (OKS). Outcomes were evaluated after 1 year using the OKS,
global patient satisfaction, and global patient result. RESULTS: The average OKS
score at baseline was 24 (SD 7), and it was 40 (SD 8) at the 1-year follow-up.
94% of the patients claimed improvement after the operation and 90% were
satisfied with the UKA. Lateral subluxation of the patella was a predictor of
poor outcome, and the preoperative OKS score was also a predictor of outcome.
Full-thickness cartilage loss at any location gave a similar outcome to that with
a normal or near-normal joint surface, and likewise, preoperative anterior knee
pain was not a predictor of outcome. INTERPRETATION: We conclude that the good
early outcome after UKA in this study is in line with the best reported results.
Patellofemoral degeneration should not be considered a contraindication to Oxford
UKA. Patients with lateral subluxation of the patella have an increased risk of a
poor result after UKA and should preferably be offered a total knee replacement.
PMID- 21895500
TI - Enhanced recovery program for hip and knee replacement reduces death rate.
AB - BACKGROUND AND PURPOSE: Multimodal techniques can aid early rehabilitation and
discharge of patients following primary joint replacement. We hypothesized that
this not only reduces the economic burden of joint replacement by reducing length
of stay, but also helps in reduction of early complications. PATIENTS AND
METHODS: We evaluated 4,500 consecutive unselected total hip replacements and
total knee replacements regarding length of hospital stay, mortality, and
perioperative complications. The first 3,000 underwent a traditional protocol
while the other 1,500 underwent an enhanced recovery protocol involving
behavioral, pharmacological, and procedural modifications. RESULTS: There was a
reduction in 30-day death rate (0.5% to 0.1%, p = 0.02) and 90-day death rate
(0.8% to 0.2%, p = 0.01). The median length of stay decreased from 6 days to 3
days (p < 0.001), resulting in a saving of 5,418 bed days. Requirement for blood
transfusion was reduced (23% to 9.8%, p < 0.001). There was a trend of a reduced
rate of 30-day myocardial infarction (0.8% to 0.5%. p = 0 .2) and stroke (0.5% to
0.2%, p = 0.2). The 60-day deep vein thrombosis figures (0.8% to 0.6%, p = 0.5)
and pulmonary embolism figures (1.2% to 1.1%, p = 0.9) were similar. Re-admission
rate remained unchanged during the period of the study (4.7% to 4.8%, p = 0.8).
INTERPRETATION: This large observational study of unselected consecutive hip and
knee arthroplasty patients shows a substantial reduction in death rate, reduced
length of stay, and reduced transfusion requirements after the introduction of a
multimodal enhanced recovery protocol.
PMID- 21895501
TI - Modulation of the intramedullary pressure responses by calcium dobesilate in a
rabbit knee model of osteoarthritis.
AB - BACKGROUND AND PURPOSE: The presence of bone marrow edema in patients with
osteoarthritis is associated with pain and disease progression. Management of
bone edema with the synthetic prostacyclin iloprost may be complicated by side
effects. Calcium dobesilate, a treatment for chronic venous disease, shares some
pharmacological actions with iloprost but appears to be better tolerated.
Anecdotal reports have suggested that calcium dobesilate may be useful for
medical management of osteoarthritis, possibly by reducing bone marrow edema, and
this study was performed to investigate possible benefits of treatment. METHODS:
The effects of a 6-week period of oral calcium dobesilate administration on
tibial intramedullary pressure dynamics and physical joint characteristics were
evaluated in 20 rabbits with unilaterally induced knee osteoarthritis that were
randomly allocated to either a treatment group or a placebo control group.
Treatment or placebo started 8 weeks after induction of osteoarthritis, and was
followed by a 4-week washout period. RESULTS: Calcium dobesilate did not affect
joint thickness or range of motion, nor individual pressure measurements,
compared to placebo. Pressure ranges in the operated limb were greater than in
the intact limb after 8 weeks, and approached those of the intact limb after 6
weeks of treatment with calcium dobesilate but not with placebo. Inter-limb
differences were lower (p = 0.02) in the dobesilate group following the washout
period. INTERPRETATION: Calcium dobesilate had a detectable effect on pressure
dynamics in the subchondral bone of osteoarthritic joints in this model. The
significance of these effects for pain and function should be established.
PMID- 21895502
TI - Isolated fractures of the greater tuberosity of the proximal humerus: a long-term
retrospective study of 30 patients .
AB - BACKGROUND AND PURPOSE: The diagnosis and treatment of isolated greater
tuberosity fractures of the proximal humerus is not clear-cut. We retrospectively
assessed the clinical and radiographic outcome of isolated greater tuberosity
fractures. PATIENTS AND METHODS: 30 patients (mean age 58 (26-85) years, 19
women) with 30 closed isolated greater tuberosity fractures were reassessed after
an average follow-up time of 3 years with DASH score and Constant score.
Radiographic outcome was assessed on standard plain radiographs. RESULTS: 14 of
17 patients with undisplaced or slightly displaced fractures (<= 5 mm) were
treated nonoperatively and had good clinical outcome (mean DASH score of 13, mean
Constant score of 71). 8 patients with moderately displaced fractures (6-10 mm)
were either treated nonoperatively (n = 4) or operatively (n = 4), with good
functional results (mean DASH score of 10, mean Constant score of 72). 5 patients
with major displaced fractures (> 10 mm) were all operated with good clinical
results (mean DASH score of 14, mean Constant score of 69). The most common
discomfort at the follow-up was an impingement syndrome of the shoulder, which
occurred in both nonoperatively treated patients (n = 3) and operatively treated
patients (n = 4). Only 1 nonoperatively treated patient developed a non-union. By
radiography, all other fractures healed. INTERPRETATION: We found that minor to
moderately displaced greater tuberosity fractures may be treated successfully
without surgery.
PMID- 21895503
TI - Metal-backed versus all-polyethylene tibial components in primary total knee
arthroplasty.
AB - BACKGROUND AND PURPOSE: The choice of either all-polyethylene (AP) tibial
components or metal-backed (MB) tibial components in total knee arthroplasty
(TKA) remains controversial. We therefore performed a meta-analysis and
systematic review of randomized controlled trials that have evaluated MB and AP
tibial components in primary TKA. METHODS: The search strategy included a
computerized literature search (Medline, EMBASE, Scopus, and the Cochrane Central
Register of Controlled Trials) and a manual search of major orthopedic journals.
A meta-analysis and systematic review of randomized or quasi-randomized trials
that compared the performance of tibial components in primary TKA was performed
using a fixed or random effects model. We assessed the methodological quality of
studies using Detsky quality scale. RESULTS: 9 randomized controlled trials
(RCTs) published between 2000 and 2009 met the inclusion quality standards for
the systematic review. The mean standardized Detsky score was 14 (SD 3). We found
that the frequency of radiolucent lines in the MB group was significantly higher
than that in the AP group. There were no statistically significant differences
between the MB and AP tibial components regarding component positioning, knee
score, knee range of motion, quality of life, and postoperative complications.
INTERPRETATION: Based on evidence obtained from this study, the AP tibial
component was comparable with or better than the MB tibial component in TKA.
However, high-quality RCTs are required to validate the results.
PMID- 21895504
TI - A randomized study on migration of the Spectron EF and the Charnley flanged 40
cemented femoral components using radiostereometric analysis at 2 years.
AB - BACKGROUND AND PURPOSE: We performed a randomized study to determine the
migration patterns of the Spectron EF femoral stem and to compare them with those
of the Charnley stem, which is regarded by many as the gold standard for
comparison of implants due to its extensive documentation. PATIENTS AND METHODS:
150 patients with a mean age of 70 years were randomized, single-blinded, to
receive either a cemented Charnley flanged 40 monoblock, stainless steel,
vaquasheen surface femoral stem with a 22.2-mm head (n = 30) or a cemented
Spectron EF modular, matte, straight, collared, cobalt-chrome femoral stem with a
28-mm femoral head and a roughened proximal third of the stem (n = 120). The
patients were followed with repeated radiostereometric analysis for 2 years to
assess migration. RESULTS: At 2 years, stem retroversion was 2.3 degrees and 0.7
degrees (p < 0.001) and posterior translation was 0.44 mm and 0.17 mm (p =
0.002) for the Charnley group (n = 26) and the Spectron EF group (n = 74),
respectively. Subsidence was 0.26 mm for the Charnley and 0.20 mm for the
Spectron EF (p = 0.5). INTERPRETATION: The Spectron EF femoral stem was more
stable than the Charnley flanged 40 stem in our study when evaluated at 2 years.
In a report from the Norwegian arthroplasty register, the Spectron EF stem had a
higher revision rate due to aseptic loosening beyond 5 years than the Charnley.
Initial stability is not invariably related to good long-term results. Our
results emphasize the importance of prospective long-term follow-up of prosthetic
implants in clinical trials and national registries and a stepwise introduction
of implants.
PMID- 21895505
TI - External or internal fixation in the treatment of non-reducible distal radial
fractures?
AB - BACKGROUND AND PURPOSE: We have previously shown in a randomized study that in
the first year after treatment, open reduction and internal fixation resulted in
better grip strength and forearm rotation than closed reduction and bridging
external fixation. In the present study, we investigated whether this difference
persists over time. PATIENTS AND METHODS: The 50 patients included in the
original study (mean age 53 years, 36 women) were sent a QuickDASH questionnaire
and an invitation to a radiographic and clinical examination after a mean of 5 (3
7) years. RESULTS: All 50 patients returned the QuickDASH questionnaire and 45
participated in the clinical and radiographic examination. In the internal
fixation group, the grip strength was 95% (SD 12) of the uninjured side and in
the external fixation group it was 90% (SD 21) of the uninjured side (p = 0.3).
QuickDASH score, range of motion, and radiographic parameters were similar
between the groups. INTERPRETATION: The difference originally found between
internal and external fixation in distal radial fractures at 1 year regarding
grip strength and range of motion was found to diminish with time. At 5 years,
both groups had approached normal values.
PMID- 21895506
TI - Genetic epidemiology of Scheuermann's disease.
AB - BACKGROUND AND PURPOSE: The genetic/environmental etiology of Scheuermann's
disease is unclear. We estimated the heritability of the disease using an
etiological model adjusted for sex and time of diagnosis, and examined whether
the prevalence of Scheuermann's disease was constant over time. METHODS: 46,418
twins were sent a questionnaire about health and disease. Of these, 75% returned
the questionnaire and 97% answered the question "Have you been diagnosed as
having Scheuermann's disease by a doctor?" RESULTS: Responders included 11,436
complete pairs of twins. Data were analysed using classical twin modeling
methods. Tetrachoric correlations were used to decide which etiological model to
fit. The best-fitting model was the AE model. Heritability was 0.74 (95% CI: 0.65
0.81), while variance explained by environmental factors was 0.26 (95% CI: 0.19
0.35). A threshold of 2.1 (95% CI: 1.9-2.2) was calculated, corresponding to a
prevalence of 1.9% (95% CI: 1.3-2.8) for women. Regression coefficients for age
and sex were 0.000 (95% CI: -0.003 to 0.002) and -0.32 (95% CI: -0.42 to -0.23).
INTERPRETATION: We found a heritability of 0.74 in Scheuermann's disease. The
threshold in men was lower than in women, corresponding to a male prevalence that
was almost twice that of females. We found no change in the prevalence of
Scheuermann's disease throughout the 50-year age span that we examined.
PMID- 21895507
TI - Spasticity of the gastrosoleus muscle is related to the development of reduced
passive dorsiflexion of the ankle in children with cerebral palsy: a registry
analysis of 2,796 examinations in 355 children.
AB - BACKGROUND AND PURPOSE: Spasticity and muscle contracture are two common
manifestations of cerebral palsy (CP). A spastic muscle may inhibit growth in
length of the muscle, but the importance of this relationship is not known. In
1994, a register and a healthcare program for children with CP in southern Sweden
were initiated. The child's muscle tone according to the Ashworth scale and the
ankle range of motion (ROM) is measured annually during the entire growth period.
We have used these data to analyze the relationship between spasticity and ROM of
the gastrosoleus muscle. PATIENTS AND METHODS: All measurements in the total
population of children with CP aged 0-18 years during the period January 1995
through June 2008 were analyzed. The study was based on 2,796 examinations in 355
children. In the statistical analysis, the effect of muscle tone on ROM was
estimated using a random effects model. RESULTS: The range of dorsiflexion of the
ankle joint decreased in the total material by mean 19 (95% CI: 14-24) degrees
during the first 18 years of life. There was a statistically significant
association between the ROM and the child's level of spasticity during the year
preceding the ROM measurement. INTERPRETATION: Spasticity is related to the
development of muscle contracture. In the treatment of children with CP, the
spasticity, contracture, and strength of the gastrosoleus muscle must be
considered together.
PMID- 21895508
TI - Different competing risks models applied to data from the Australian Orthopaedic
Association National Joint Replacement Registry.
AB - PURPOSE: Here we describe some available statistical models and illustrate their
use for analysis of arthroplasty registry data in the presence of the competing
risk of death, when the influence of covariates on the revision rate may be
different to the influence on the probability (that is, risk) of the occurrence
of revision. PATIENTS AND METHODS: Records of 12,525 patients aged 75-84 years
who had received hemiarthroplasty for fractured neck of femur were obtained from
the Australian Orthopaedic Association National Joint Replacement Registry. The
covariates whose effects we investigated were: age, sex, type of prosthesis, and
type of fixation (cementless or cemented). Extensions of competing risk
regression models were implemented, allowing the effects of some covariates to
vary with time. RESULTS: The revision rate was significantly higher for patients
with unipolar than bipolar prostheses (HR = 1.38, 95% CI: 1.01-1.89) or with
monoblock than bipolar prostheses (HR = 1.45, 95% CI: 1.08-1.94). It was
significantly higher for the younger age group (75-79 years) than for the older
one (80-84 years) (HR = 1.28, 95% CI: 1.05-1.56) and higher for males than for
females (HR = 1.37, 95% CI: 1.09-1.71). The probability of revision, after
correction for the competing risk of death, was only significantly higher for
unipolar prostheses than for bipolar prostheses, and higher for the younger age
group. The effect of fixation type varied with time; initially, there was a
higher probability of revision for cementless prostheses than for cemented
prostheses, which disappeared after approximately 1.5 years. INTERPRETATION: When
accounting for the competing risk of death, the covariates type of prosthesis and
sex influenced the rate of revision differently to the probability of revision.
We advocate the use of appropriate analysis tools in the presence of competing
risks and when covariates have time-dependent effects.
PMID- 21895509
TI - Cognitive screening in patients with amyotrophic lateral sclerosis in early
stages.
AB - Few studies have examined the use of a short cognitive screening of ALS patients
in order to establish which patients should undergo a more comprehensive
neuropsychological assessment. We tested 20 patients with early ALS with four
cognitive screening instruments and subsequently with an extensive
neuropsychological assessment. Sixty percent of patients showed a deficit in at
least two scores of tests administered, while 40% had three abnormal tests.
Dysexecutive syndrome was the most common neuropsychological impairment. The
Frontal Assessment Battery (FAB) proved a useful indicator of the presence of
cognitive dysfunction to complete neuropsychological evaluation. In conclusion,
the FAB can be considered a sensitive cognitive screening tool in these patients.
These data will be verified on a larger sample of patients.
PMID- 21895510
TI - Escaping the cut by restriction enzymes through single-strand self-annealing of
host-edited 12-bp and longer synthetic palindromes.
AB - Palindromati, the massive host-edited synthetic palindromic contamination found
in GenBank, is illustrated and exemplified. Millions of contaminated sequences
with portions or tandems of such portions derived from the ZAP adaptor or related
linkers are shown (1) by the 12-bp sequence reported elsewhere, exon Xb, 5'
CCCGAATTCGGG 3', (2) by a 22-bp related sequence 5' CTCGTGCCGAATTCGGCACGAG 3',
and (3) by a longer 44-bp related sequence: 5'
CTCGTGCCGAATTCGGCACGAGCTCGTGCCGAATTCGGCACGAG 3'. Possible reasons for why those
long contaminating sequences continue in the databases are presented here: (1)
the recognition site for the plus strand (+) is single-strand self-annealed; (2)
the recognition site for the minus strand (-) is not only single-strand self
annealed but also located far away from the single-strand self-annealed plus
strand, rendering impossible the formation of the active EcoRI enzyme dimer to
cut on 5' G/AATTC 3', its target sequence. As a possible solution, it is
suggested to rely on at least two or three independent results, such as sequences
obtained by independent laboratories with the use, preferably, of independent
sequencing methodologies. This information may help to develop tools for
bioinformatics capable to detect/remove these contaminants and to infer why some
damaged sequences which cause genetic diseases escape detection by the molecular
quality control mechanism of cells and organisms, being undesirably transferred
unchecked through the generations.
PMID- 21895513
TI - The association between maternal alcohol use and smoking in early pregnancy and
congenital cardiac defects.
AB - BACKGROUND: Alcohol use is an extremely prevalent but preventable risk factor
among women seeking to become pregnant. Many women continue to use alcohol in the
early stages of pregnancy before they are aware they are pregnant. Research is
unclear about the role of maternal alcohol use during pregnancy and congenital
cardiac defects, one of the leading types of birth defects in the United States.
METHODS: Data from the Pregnancy Risk Assessment Monitoring Survey (PRAMS) were
used to examine maternal alcohol use and its association with congenital cardiac
defects. Various measures of alcohol use in the 3 months prior to pregnancy, as
well as smoking and other risk factors for congenital cardiac defects, were
linked to birth certificate data for nine states over a 10-year period (1996
2005). In this case-control study, cases included infants with a congenital
cardiac defect indicated on the birth certificate, and the control group
consisted of healthy, normal weight infants with no indication of a congenital
abnormality on their birth certificate. Complex samples logistic regression
models were used to study the relationships between several measures of alcohol
use, including binge drinking and binge drinking on more than once occasion, and
the interaction between alcohol use and smoking with the odds of congenital
cardiac defects. RESULTS: A significant increase in congenital cardiac defects
was found among mothers who reported binge drinking more than once in the 3
months prior to pregnancy compared to mothers who did not report binge drinking
(adjusted odds ratio [aOR] 2.99, 95% confidence interval [CI] 1.19-7.51). There
was a significant interaction between any binge drinking or binge drinking more
than once and cigarette use, which corresponded to a substancial increase in
congenital cardiac defects (aOR 12.65, 95% CI 3.54-45.25 and aOR 9.45, 95% CI
2.53-35.31, respectively). CONCLUSIONS: Multiple episodes of maternal binge
drinking in early pregnancy may increase the odds of congenital cardiac defects,
and we found this relationship was more dramatic when combined with maternal
smoking.
PMID- 21895511
TI - Macrophage colony stimulating factor regulation by nuclear factor kappa B: a
relevant pathway in human immunodeficiency virus type 1 infected macrophages.
AB - Macrophage colony stimulating factor (M-CSF) is a cytokine that promotes monocyte
differentiation and survival. When overexpressed, M-CSF contributes to pathology
in a wide variety of diseases, including osteoporosis, obesity, certain human
cancers, and in human immunodeficiency virus type 1 (HIV-1) infection,
particularly with respect to monocyte/macrophage infection and the development of
HIV-1 associated central nervous system disorders. In this study, our aim was to
expand the current knowledge of M-CSF regulation, focusing on nuclear factor
kappa B (NF-kappaB), a transcription factor playing a prominent role during
inflammation and HIV-1 infection. Our results suggest that tumor necrosis factor
alpha (TNF-alpha) promotes M-CSF secretion in primary macrophages and activates
the -1310/+48 bp M-CSF promoter in Mono-Mac 1 cells. Inhibitors of the NF-kappaB
pathway diminish this response. We identified four putative NF-kappaB and four
CCAAT-enhancer-binding protein beta binding sites within the M-CSF promoter. Our
findings, using promoter constructs mutated at individual NF-kappaB sites within
the M-CSF promoter region, suggest that these sites are redundant with respect to
NF-kappaB regulation. TNF-alpha treatment promoted NF-kappaB p65 binding to the M
CSF promoter in phorbol 12-myristate 13-acetate (PMA) treated U937 cells
chronically infected with HIV-1 (U1 cells), but not in PMA treated uninfected
U937 cells, suggesting that the presence of HIV-1 increases the NF-kappaB
response. In conclusion, our findings demonstrate that NF-kappaB induces M-CSF
expression on a promoter level via multiple functional NF-kappaB binding sites
and that this pathway is likely relevant in HIV-1 infection of macrophages.
PMID- 21895514
TI - Bilateral rubeosis iridis and rubeotic glaucoma due to peripheral occlusive
vasculitis associated with multiple sclerosis.
AB - Multiple sclerosis is associated with iridocyclitis, intermediate uveitis,
retinal periphlebitis, and optic neuritis. The periphlebitis is known to be
rarely sight-threatening as a result of the sequelae of retinal
neovascularization. CASE: This is a rare case of bilateral rubeosis iridis and
rubeotic glaucoma in the setting of a branch retinal vein occlusion, widespread
peripheral periphlebitis, and angiographic peripheral closure associated with
"clinically definite" multiple sclerosis. DISCUSSION: The case illustrates the
extreme end of the spectrum of peripheral retinal ischemia and neovascularization
associated with demyelinating disease, which can present as rubeotic glaucoma.
Such patients require a proactive approach to inflammation, neovascularization,
and raised intraocular pressure, including systemic immunosuppression,
photocoagulation, and augmented trabeculectomy, respectively. As with other
causes of peripheral ischemia, a favorable visual outcome can often be achieved
using this strategy.
PMID- 21895515
TI - Screening for vigabatrin (Sabril (r)) retinal toxicity in children.
PMID- 21895516
TI - Physical activity patterns in patients in different stages of chronic obstructive
pulmonary disease.
AB - It has previously been suggested that exercise capacity is decreased in COPD and
that it is associated with degree of disease. The reduced exercise capacity may
plausibly be due to low levels of physical activity in this patient group. The
aim of the present study was to assess exercise capacity and physical activity in
different stages of COPD and to examine the associations between exercise
capacity, pulmonary function and degree of physical activity. A total of 44 COPD
patients and 17 healthy subjects participated in the study. Exercise capacity was
assessed using the 6-minute walking test and physical activity was assessed using
an accelerometer worn all waking hours during 7 days. Mean exercise capacity was
significantly lower in COPD patients compared with healthy subjects. Mean
physical activity level and time spent at least moderately active were
significantly lower in patients with moderate and severe COPD compared with
healthy subjects while no differences in time spent sedentary were observed
between the study groups. Pulmonary function, mean physical activity level and
time spent at least moderately physically active were significantly associated
with exercise capacity in the patients. We conclude that patients with moderate
and severe COPD are less physically active compared with healthy subjects.
Furthermore, mean physical activity level and physical activity of at least
moderate intensity are positively associated with exercise capacity in COPD,
while time spent sedentary is not, which stresses an important role of physical
activity on exercise capacity in these patients.
PMID- 21895517
TI - Drugs on the Internet, part II: antidepressant medication web sites.
AB - Antidepressant medications have been the fastest growing category of use of
pharmaceutical products over the past decade. Selected Internet web sites
providing information on antidepressant medications were identified and assessed
using code of conduct criteria for posting health information on the Internet as
developed by the Health on the Internet Foundation. Thirteen representative web
sites were evaluated. Degree of compliance with each of the eight criterion
varied by site, though all 13 sites met the criterion for legality of content and
conduct on their web site. WebMD and FamilyDoctor.org met most of the criteria,
while pharmaceutical company sites tended to meet the fewest criteria.
PMID- 21895518
TI - Continuous monitoring of the Monro-Kellie doctrine: is it possible?
AB - The Monro-Kellie doctrine describes the principle of homeostatic intracerebral
volume regulation, which stipulates that the total volume of the parenchyma,
cerebrospinal fluid, and blood remains constant. Hypothetically, a slow shift
(e.g., brain edema development) in the irregular vasomotion-driven exchanges of
these compartmental volumes may lead to increased intracranial hypertension. To
evaluate this paradigm in a clinical setting and measure the processes involved
in the regulation of systemic intracranial volume, we quantified cerebral blood
flow velocity (CBFv) in the middle cerebral artery, arterial blood pressure
(ABP), and intracranial pressure (ICP), in 238 brain-injured subjects. Relative
changes in compartmental compliances C(a) (arterial) and C(i) (combined venous
and CSF compartments) were mathematically estimated using these raw signals
through time series analysis; C(a) and C(i) were used to compute an index of
cerebral compliance (ICC) as a moving correlation coefficient between C(a) and
C(i). Conceptually, a negative ICC would represent a functional Monro-Kellie
doctrine by illustrating volumetric compensations between C(a) and C(i). Clinical
observations show that Lundberg A-waves and arterial hypertension were associated
with negative ICC, whereas in refractory intracranial hypertension, a positive
ICC was observed. In subjects who died, ICC was significantly greater than in
survivors (0.46 +/- 0.027 versus 0.22 +/- 0.017; p<0.01) over the first 5 days of
intensive care. The mortality rate is 5% when ICC is less than 0, and 43% when
above 0.7. ICC above 0.7 was associated with terminally elevated ICP (chi-square
p=0.026). We propose that the Monro-Kellie doctrine can be monitored in real time
to illustrate the state of intracranial volume regulation.
PMID- 21895520
TI - Identification of plasma biomarkers of TBI outcome using proteomic approaches in
an APOE mouse model.
AB - The current lack of diagnostic and prognostic biomarkers for traumatic brain
injury (TBI) confounds treatment and management of patients and is of increasing
concern as the TBI population grows. We have generated plasma proteomic profiles
from mice receiving TBI by controlled cortical impact at either 1.3 mm or 1.8 mm
depth, comparing these against those of sham injured-animals to identify plasma
biomarkers specific to mild or severe TBI at 24 hours, 1 month, or 3 months post
injury. To identify possible prognostic biomarkers, we used apolipoprotein E
(APOE)3 and APOE4 transgenic mice, which demonstrate relatively favorable and
unfavorable outcomes respectively, following TBI. Using a quantitative proteomics
approach (isobaric tagging for relative and absolute quantitation--iTRAQ) we have
identified proteins that are significantly modulated as a function of TBI and
also in response to the TBI*APOE genotype interaction, the latter representing
potential prognostic biomarkers. These preliminary data clearly demonstrate
plasma protein changes that are not only injury dependent but also interaction
dependent. Importantly, these results demonstrate the presence of TBI-dependent
and interaction-dependent plasma proteins at a 3-month time point, which is a
considerable time post-injury in the mouse model, and will potentially be of
significance for combat veterans receiving assessment at extended periods post
injury. Furthermore, our identification of clusters of functionally related
proteins indicates disturbance of particular biological modules, which
potentially increases their value beyond that of solitary biomarkers.
PMID- 21895519
TI - Mitochondrial injury after mechanical stretch of cortical neurons in vitro:
biomarkers of apoptosis and selective peroxidation of anionic phospholipids.
AB - Mechanical injury of neurites accompanied by rupture of mitochondrial membranes
may lead to immediate nonspecific release and spreading of pro-apoptotic factors
and activation of proteases, that is, execution of apoptotic program. In the
current work, we studied the time course of the major biomarkers of apoptosis as
they are induced by exposure of rat cortical neurons to mechanical stretch. By
using transmission electron microscopy, we found that mitochondria in the
neurites were damaged early (1 h) after mechanical stretch injury whereas somal
mitochondria were significantly more resistant and demonstrated structural damage
and degenerative mitochondrial changes at a later time point after stretch (12
h). We also report that the stretch injury caused immediate activation of
reactive oxygen species production followed by selective oxidation of a
mitochondria-specific phospholipid, cardiolipin, whose individual peroxidized
molecular species have been identified and quantified by electrospray ionization
mass spectrometry analysis. Most abundant neuronal phospholipids -
phosphatidylcholine, phophatidylethanolamine - did not undergo oxidative
modification. Simultaneously, a small-scale release of cytochrome c was observed.
Notably, caspase activation and phosphatidylserine externalization - two
irreversible apoptotic events designating a point of no return - are
substantially delayed and do not occur until 6-12 h after the initial impact. The
early onset of reactive oxygen species production and cytochrome c release may be
relevant to direct stretch-induced damage to mitochondria. The delayed emergence
of apoptotic neuronal death after the immediate mechanical damage to mitochondria
suggests a possible window of opportunity for targeted therapies.
PMID- 21895521
TI - Limb/pelvis-hypoplasia/aplasia syndrome--further delineation of phenotype.
AB - We report a case of Al-Awadi/Raas-Rothschild syndrome, an autosomal recessive
disorder manifesting at an early gestation as hydrops as a result of congenital
high airway obstruction.
PMID- 21895522
TI - Alterations of A-type potassium channels in hippocampal neurons after traumatic
brain injury.
AB - Traumatic brain injury (TBI) is associated with cognitive deficits, memory
impairment, and epilepsy. Previous studies have reported neuronal loss and
neuronal hyperexcitability in the post-traumatic hippocampus. A-type K+ currents
(I(A)) play a critical role in modulating the intrinsic membrane excitability of
hippocampal neurons. The disruption of I(A) is reportedly linked to hippocampal
dysfunction. The present study investigates the changes of I(A) in the
hippocampus after TBI. TBI in rats was induced by controlled cortical impact. The
impact induced a reproducible lesion in the cortex and an obvious neuronal death
in the ipsilateral hippocampus CA3 region. At one week after TBI,
immunohistochemical staining and Western blotting showed that the expression of
I(A) channel subunit Kv4.2 was markedly decreased in the ipsilateral hippocampus,
but remained unchanged in the contralateral hippocampus. Meanwhile,
electrophysiological recording showed that I(A) currents in ipsilateral CA1
pyramidal neurons were significantly reduced, which was associated with an
increased neuronal excitability. Furthermore, there was an increased sensitivity
to bicuculline-induced seizures in TBI rats. At 8 weeks after TBI,
immunohistochemical staining and electrophysiological recording indicated that
I(A) returned to control levels. These findings suggest that TBI causes a
transient downregulation of I(A) in hippocampal CA1 neurons, which might be
associated with the hyperexcitability in the post-traumatic hippocampus, and in
turn leads to seizures and epilepsy.
PMID- 21895523
TI - Lithium ameliorates neurodegeneration, suppresses neuroinflammation, and improves
behavioral performance in a mouse model of traumatic brain injury.
AB - Although traumatic brain injury (TBI) is recognized as one of the leading causes
of death from trauma to the central nervous system (CNS), no known treatment
effectively mitigates its effects. Lithium, a primary drug for the treatment of
bipolar disorder, has been known to have neuroprotective effects in various
neurodegenerative conditions such as stroke. Until this study, however, it has
not been investigated as a post-insult treatment for TBI. To evaluate whether
lithium could have beneficial effects following TBI, lithium at a dose of 1.5
mEq/kg was administered after injury. Assessed at 3 days and 3 weeks post-injury
using hematoxylin and eosin staining, lithium treatment was found to reduce
lesion volume. Lithium at doses of 2.0 and 3.0 mEq/kg also significantly reduced
lesion volume at 3 days after injury, and the therapeutic window was at least 3 h
post-injury. TBI-induced neuronal death, microglial activation, and
cyclooxygenase-2 induction were all attenuated by lithium at 3 days after injury.
In addition, lithium treatment reduced TBI-induced matrix metalloproteinase-9
expression and preserved the integrity of the blood-brain barrier. As for
behavioral outcomes, lithium treatment reduced anxiety-like behavior in an open
field test, and improved short- and long-term motor coordination in rotarod and
beam-walk tests. Lithium robustly increased serine phosphorylation of glycogen
synthase kinase-3beta (GSK-3beta), suggesting that the underlying mechanisms
responsible for lithium's protective effects are triggered by increasing
phosphorylation of this kinase and thereby inhibiting its activity. Our results
support the notion that lithium has heretofore unrecognized capacity to mitigate
the neurodegenerative effects and improve functional outcomes in TBI.
PMID- 21895524
TI - Chronic exercise preserves renal structure and hemodynamics in spontaneously
hypertensive rats.
AB - AIMS: Exercise training (ExT) is a recommended adjunct to many pharmaceutical
antihypertensive therapies. The effects of chronic ExT on the development of
hypertension-induced renal injury remain unknown. We examined whether ExT would
preserve renal hemodynamics and structure in the spontaneously hypertensive rat
(SHR), and whether these effects were mediated by improved redox status and
decreased inflammation. Normotensive WKY rats and SHR underwent moderate
intensity ExT for 16 weeks. One group of SHR animals was treated with hydralazine
to investigate the pressure-dependent/independent effects of ExT. Acute renal
clearance experiments were performed prior to sacrifice. Tissue free radical
production rates were measured by electron paramagnetic resonance; gene and
protein expression were measured by real time RT-PCR and Western blot or
immunofluorescence, respectively. Plasma angiotensin II levels and kidney
antioxidants were assessed. Training efficacy was assessed by citrate synthase
activity assay in hind-limb muscle. RESULTS: ExT delayed hypertension, prevented
oxidative stress and inflammation, preserved antioxidant status, prevented an
increase in circulating AngII levels, and preserved renal hemodynamics and
structure in SHR. In addition, exercise-induced effects, at least, in part, were
found to be pressure-independent. INNOVATION: This study is the first to provide
mechanistic evidence for the renoprotective benefits of ExT in a model of
hypertension. Our results demonstrate that initiation of ExT in susceptible
patients can delay the development of hypertension and provide renoprotection at
the functional and ultrastructural level. CONCLUSION: Chronic ExT preserves renal
hemodynamics and structure in SHR; these effects are partially mediated by
improved redox status and decreased inflammation.
PMID- 21895526
TI - Specific loss of toll-like receptor 2 on bone marrow derived cells decreases
atherosclerosis in LDL receptor null mice.
AB - Innate immunity and, notably, Toll-like receptors (TLR), have an important role
in atherogenesis. We have tested the hypothesis that the selective loss of TLR-2
by cells of bone marrow (BM) origin will protect low-density receptor-deficient
(Ldlr (-/-)) mice from both early- and late-stage atherosclerosis. BM cells from
Tlr2(+/+) and Tlr2(-/-) littermates were used to reconstitute lethally irradiated
Ldlr(-/-) mice. Following a recovery period, mice were placed either on a diet
containing 21% saturated fat - 0.15% cholesterol for 8 weeks to study early-stage
atherosclerosis, or on a diet richer in cholesterol (1.5%) for 16 weeks to study
late-stage atherosclerosis. Donor cell Tlr2 genotype did not alter serum
cholesterol levels or lipoprotein profiles in recipient animals. After 8 weeks on
the 0.15% cholesterol diet, deficiency of TLR-2 expression on cells of BM origin
reduced atherosclerosis in the aortic root and the aortic arch in both genders of
mice. In contrast, the BM recipients who received the 1.5% cholesterol diet for
16 weeks showed much larger lesions in the aortic root, and TLR-2 deficiency in
BM cells failed to provide protection. Thus, TLR-2 expression in BM-derived cells
contributes primarily to early stage atherosclerosis.
PMID- 21895527
TI - The rise and fall of an epizootic of the diphyllobothriidean cestode
Schistocephalus pungitii infecting the ninespine stickleback.
AB - Epizootics of diphyllobothriidean cestodes appear to be simple, but deceptive
similarity conceals the myriad ways in which these events are shaped by complex
abiotic and biotic interactions. In Dog Bone Lake, Alaska, an epizootic of
Schistocephalus pungitii infecting the ninespine stickleback (Pungitius
pungitius) was short-lived. Its duration, with a peak that lasted only 1 yr, was
shorter than for previously documented epizootics in Schistocephalus solidus .
The ability of the ninespine stickleback to sustain infections, which appears to
be related to species-specific characteristics of the host, may have played an
important role in shaping the epizootic. Moreover, the epizootic of S. pungitii
was not coincident with those observed for S. solidus in earlier studies within
this region, supporting the hypothesis that processes involved in epizootics
largely reflect local (lake-level) influences on population dynamics of the
parasite. The outbreak occurred at a time when the host population was not
relatively dense, which is inconsistent with epidemiological theory and may be a
consequence of the parasite's indirect life cycle. The variability of the
unregulated and unstable epizootic events of diphyllobothriidean cestodes
presents a challenge to understand the ecological and evolutionary factors
influencing the prevalence of infections in host populations.
PMID- 21895528
TI - Phototherapy and the peripheral nervous system.
PMID- 21895525
TI - Multiple arrhythmic syndromes in a newborn, owing to a novel mutation in SCN5A.
AB - BACKGROUND: Mutations in the SCN5A gene have been linked to Brugada syndrome
(BrS), conduction disease, Long QT syndrome (LQT3), atrial fibrillation (AF), and
to pre- and neonatal ventricular arrhythmias. OBJECTIVE: The objective of this
study is to characterize a novel mutation in Na(v)1.5 found in a newborn with
fetal chaotic atrial tachycardia, post-partum intraventricular conduction delay,
and QT interval prolongation. METHODS: Genomic DNA was isolated and all exons and
intron borders of 15 ion-channel genes were sequenced, revealing a novel missense
mutation (Q270K) in SCN5A. Na(v)1.5 wild type (WT) and Q270K were expressed in
CHO-K1 with and without the Na(v)beta1 subunit. Results. Patch-clamp analysis
showed ~40% reduction in peak sodium channel current (I(Na)) density for Q270K
compared with WT. Fast and slow decay of I(Na) were significantly slower in
Q270K. Steady-state activation and inactivation of Q270K channels were shifted to
positive potentials, and window current was increased. The tetrodotoxin-sensitive
late I(Na) was increased almost 3-fold compared with WT channels. Ranolazine
reduced late I(Na) in WT and Q270K channels, while exerting minimal effects on
peak I(Na). CONCLUSION: The Q270K mutation in SCN5A reduces peak I(Na) while
augmenting late I(Na), and may thus underlie the development of atrial
tachycardia, intraventricular conduction delay, and QT interval prolongation in
an infant.
PMID- 21895530
TI - Photomedicine and LLLT Literature Watch.
PMID- 21895531
TI - Spinal cord injuries sustained in road crashes are not on the decrease in france:
a study based on epidemiological trends.
AB - Traumatic spinal cord injuries (SCI) are rare but extremely costly. In order to
improve the modelling of inclusion criteria for studies of SCI it is necessary to
determine what epidemiological trends affect SCI. Using the Rhone Registry, which
contains all the casualties resulting from road crashes in the Rhone departement
of France and codes their injuries using the Abbreviated Injury Scale (AIS), we
describe the epidemiological trends that affect spinal cord injury (SCI), major
spinal trauma (MST) and severe injuries (AIS4+) to other body regions between two
periods 1996-2001 and 2003-2008. Although there has been a marked decrease (35%)
in the incidence of casualties after a road traffic crash, and reductions of 22%
in the incidence of MST and 33% in that of severe injuries (AIS4+) (p<0.001), for
SCI the incidence rate and number of casualties have remained surprisingly
stable. In the second period, there was no change in the incidence of SCI
resulting from road traffic crashes, nor in the associated fatality, mortality
and survival rates. The incidence for car users was significantly lower in the
second period. This contrasts with the incidences for motorcyclists and for the
group including pedestrians and cyclists which were respectively 47% and 77%
higher in the second period. The median age of the casualties, the age-adjusted
incidence of SCI and the number of associated injuries were also higher in the
second period. We have observed a marked reduction in the incidence of road
trauma including the most severe injuries, but not SCI. The higher proportion of
motorcyclists, the increase in the age of casualties and the greater presence of
multiple injuries are new factors in the epidemiology of SCI after a road crash.
PMID- 21895532
TI - Endogenous GFAP-positive neural stem/progenitor cells in the postnatal mouse
cortex are activated following traumatic brain injury.
AB - Interest in promoting regeneration of the injured nervous system has recently
turned toward the use of endogenous stem cells. Elucidating cues involved in
driving these precursor cells out of quiescence following injury, and the signals
that drive them toward neuronal and glial lineages, will help to harness these
cells for repair. Using a biomechanically validated in vitro organotypic stretch
injury model, cortico-hippocampal slices from postnatal mice were cultured and a
stretch injury equivalent to a severe traumatic brain injury (TBI) applied. In
uninjured cortex, proliferative potential under in vitro conditions is virtually
absent in older slices (equivalent postnatal day 15 compared to 8). However,
following a severe stretch injury, this potential is restored in injured outer
cortex. Using slices from mice expressing a fluorescent reporter on the human
glial fibrillary acidic protein (GFAP) promoter, we show that GFAP+ cells account
for the majority of proliferating neurospheres formed, and that these cells are
likely to arise from the cortical parenchyma and not from the subventricular
zone. Moreover, we provide evidence for a correlation between upregulation of
sonic hedgehog signaling, a pathway known to regulate stem cell proliferation,
and this restoration of regenerative potential following TBI. Our results
indicate that a source of quiescent endogenous stem cells residing in the cortex
and subcortical tissue proliferate in vitro following TBI. Moreover, these
proliferating cells are multipotent and are derived mostly from GFAP-expressing
cells. This raises the possibility of using this endogenous source of stem cells
for repair following TBI.
PMID- 21895534
TI - Insulin-regulated aminopeptidase deficiency provides protection against ischemic
stroke in mice.
AB - Recent studies have demonstrated that angiotensin IV (Ang IV) provides protection
against brain injury caused by cerebral ischemia. Ang IV is a potent inhibitor of
insulin-regulated aminopeptidase (IRAP). Therefore, we examined the effect of
IRAP gene inactivation on neuroprotection following transient middle cerebral
artery occlusion (MCAo) in mice. IRAP knockout mice and wild-type controls were
subjected to 2 h of transient MCAo using the intraluminal filament technique.
Twenty-four hours after reperfusion, neurological deficits of the stroke-induced
mice were assessed and infarct volumes were measured by TTC staining. The
cerebral infarct volume was significantly reduced in the IRAP knockout mice
compared to wild-type littermates with corresponding improvement in neurological
performance at 24 h post-ischemia. An increase in compensatory cerebral blood
flow during MCAo was observed in the IRAP knockout animals with no differences in
cerebral vascular anatomy detected. The current study demonstrates that deletion
of the IRAP gene protects the brain from ischemic damage analogous to the effect
of the IRAP inhibitor, Ang IV. This study indicates that IRAP is potentially a
new therapeutic target for the development of treatment for ischemic stroke.
PMID- 21895533
TI - Cyclin D1 gene ablation confers neuroprotection in traumatic brain injury.
AB - Cell cycle activation (CCA) is one of the principal secondary injury mechanisms
following brain trauma, and it leads to neuronal cell death, microglial
activation, and neurological dysfunction. Cyclin D1 (CD1) is a key modulator of
CCA and is upregulated in neurons and microglia following traumatic brain injury
(TBI). In this study we subjected CD1-wild-type (CD1(+/+)) and knockout (CD1(-/
)) mice to controlled cortical impact (CCI) injury to evaluate the role of CD1 in
post-traumatic neurodegeneration and neuroinflammation. As early as 24 h post
injury, CD1(+/+) mice showed markers of CCA in the injured hemisphere, including
increased CD1, E2F1, and proliferating cell nuclear antigen (PCNA), as well as
increased Fluoro-Jade B staining, indicating neuronal degeneration. Progressive
neuronal loss in the hippocampus was observed through 21 days post-injury in
these mice, which correlated with a decline in cognitive function. Microglial
activation in the injured hemisphere peaked at 7 days post-injury, with sustained
increases at 21 days. In contrast, CD1(-/-) mice showed reduced CCA and
neurodegeneration at 24 h, as well as improved cognitive function, attenuated
hippocampal neuronal cell loss, decreased lesion volume, and cortical microglial
activation at 21 days post-injury. These findings indicate that CD1-dependent CCA
plays a significant role in the neuroinflammation, progressive neurodegeneration,
and related neurological dysfunction resulting from TBI. Our results further
substantiate the proposed role of CCA in post-traumatic secondary injury, and
suggest that inhibition of CD1 may be a key therapeutic target for TBI.
PMID- 21895535
TI - Human involucrin promoter mediates repression-resistant and compartment-specific
LEKTI expression.
AB - Gene-modified skin grafts, produced through gene transfer to human keratinocyte
stem cells, offer the possibility of therapeutic benefit for inherited skin
diseases. We have previously described efficient lentiviral vector-mediated gene
transfer to keratinocyte stem cells and the generation of human skin grafts for
the inherited skin disease, Netherton syndrome, which arises due to mutations in
serine protease inhibitor Kazal-type 5 (SPINK5). Vectors incorporating an
internal murine retroviral-derived promoter [spleen focus-forming virus (SFFV)]
in combination with a codon-optimized SPINK5 transgene supported high levels of
reconstitution and robust correction of skin architecture. Subsequent longer-term
experiments have uncovered unanticipated silencing phenomena, with loss of SPINK5
gene expression over time. The inadvertent introduction of CpG sites during codon
optimization appears to have rendered vectors susceptible to silencing due to
methylation across the promoter-transgene boundary. Substitution of the
methylation-susceptible SFFV promoter with a 572-bp minimal human involucrin
promoter (INVOp), which encodes very few CpG sites, prevented repression of the
SPINK5 transgene and resulted in durable and highly compartment-specific
reconstitution of lympho-epithelial Kazal-type-related inhibitor (LEKTI) in human
skin grafted onto immunodeficient mice. We conclude that skin grafts modified
with lentiviral vectors encoding INVOp offer a suitable platform for therapeutic
gene therapy in Netherton syndrome, and our experience highlights unanticipated
effects of transgene codon optimization.
PMID- 21895536
TI - Response to intra-arterial oncolytic virotherapy with the herpes virus NV1020
evaluated by [18F]fluorodeoxyglucose positron emission tomography and computed
tomography.
AB - Oncolytic virotherapy poses unique challenges to the evaluation of tumor
response. We hypothesized that the addition of [(18)F]fluorodeoxyglucose (FDG)
positron emission tomography (PET) to standard computed tomography (CT)
evaluation would improve diagnostic and prognostic power of the measurement of
tumor response to oncolytic virotherapy. A phase I/II trial was conducted to
investigate treatment of hepatic metastases from colorectal carcinoma using intra
arterial administration of the oncolytic herpes virus NV1020. Both contrast
enhanced CT and FDG PET were obtained on each patient at each time point.
Quantitative FDG PET and CT responses were correlated with each other and with
clinical outcome metrics. A majority of patients showed initial post-viral
infusion increases in tumor size (69%) or in standardized uptake value (SUV)
(80%) large enough to qualify as progressive disease. Most showed subsequent
decreases in tumor size (64%) or SUV (83%) enough to be reclassified as partial
response or stable disease. Late PET and CT imaging results correlated well with
each other and with clinical outcomes, but results from early in the treatment
scheme did not correlate with each other, with later results, or with clinical
outcomes. The addition of FDG PET to the evaluation of tumor response to the
oncolytic virus NV1020 did not provide useful diagnostic or prognostic data. More
sophisticated molecular imaging will need to be developed to monitor the effects
of this novel class of antineoplastic agents.
PMID- 21895537
TI - Splitting of the umbilical cord in a 13-week-old fetus.
AB - There is an increasing interest in the physiology and pathology of the umbilical
cord because it is recognized as an important source of placental and,
consequently, fetal problems. During the postmortem examination of a severely
macerated 13-week-old fetus, a split umbilical cord was noted. This rare finding
was seen in the middle segment of the cord, the fetal and placental ends both
being normal. The pathogenesis of this lesion is not fully understood, and it is
possible that it results through focal degeneration of previously formed
Wharton's jelly or secondary loss of Wharton's jelly due to incomplete fusion or
hypoplasia of the amniotic covering. Whatever the pathogenesis, it is assumed
that an umbilical vessel devoid of its protective Wharton's jelly is more prone
to compression and thrombosis with all its deleterious effects. Death in this
case was probably associated with the congenital heart defect also presented by
the fetus. The rarity of this lesion is probably explained by the fact that it
represents the end of the spectrum of longitudinal deficiency of Wharton's jelly,
a relatively common finding.
PMID- 21895538
TI - The clinical development of FLT3 inhibitors in acute myeloid leukemia.
AB - INTRODUCTION: Activating mutations of the FMS-like tyrosine kinase 3 (FLT3) gene
occur at high frequency in acute myeloid leukemia (AML), being detected in > 30%
of patients at diagnosis and carrying a profound negative prognostic impact. The
development of effective small molecule inhibitors of FLT3 has been the focus of
an intensive international research effort in recent years. AREAS COVERED: The
published results of the first decade of clinical trials of FLT3-targeted
tyrosine kinase inhibitors are critically reviewed. Over this period, a first
generation of compounds has followed an orderly progression from monotherapy
studies through combination with chemotherapy and into advanced stage
international trials in both relapsed and newly-diagnosed AML. Correlative
laboratory studies performed alongside several of these studies have been highly
illuminating, demonstrating close correlations between clinical activity and
effective inhibition of FLT3, and highlighting potential drug resistance
mechanisms. EXPERT OPINION: Clinical responses to several of the early multi
targeted agents were hindered by unfavorable pharmacokinetics and lack of
potency. Newer, more potent FLT3 inhibitors such as sorafenib and AC220 possess
the ability to achieve more sustained in vivo inhibition of FLT3 and have shown
highly promising activity in early clinical studies. As these agents enter
advanced stage trials, they carry the potential to make a major clinical impact
in this disease. In future, FLT3 inhibitors may be effectively used in
combination with other molecularly targeted agents.
PMID- 21895539
TI - AE37: a novel T-cell-eliciting vaccine for breast cancer.
AB - INTRODUCTION: Immunotherapy, including vaccines targeting the human EGFR2 (HER
2/neu) protein, is an active area of investigation in combatting breast cancer.
Several vaccines are currently undergoing clinical trials, most of which are
CD8(+) T-cell-eliciting vaccines. AE37 is a promising primarily CD4(+) T-cell
eliciting HER-2/neu breast cancer vaccine currently in clinical trials. AREAS
COVERED: This article reviews preclinical investigations as well as findings from
completed and ongoing Phase I and Phase II clinical trials of the AE37 vaccine.
EXPERT OPINION: Clinical trials have shown the AE37 vaccine to be safe and
capable of generating peptide-specific, durable immune responses. This has been
shown in patients with any level of HER-2/neu expression. Early clinical findings
suggest there may be benefit to AE37 vaccination in preventing breast cancer
recurrence.
PMID- 21895540
TI - Drug delivery strategies for poorly water-soluble drugs: the industrial
perspective.
AB - INTRODUCTION: For poorly soluble compounds, a good bioavailability is typically
needed to assess the therapeutic index and the suitability of the compound for
technical development. In industry, the selection of the delivery technology is
not only driven by technical targets, but also by constraints, such as production
costs, time required for development and the intellectual property situation.
AREAS COVERED: This review covers current developments in parenteral and oral
delivery technologies and products for poorly water-soluble compounds, such as
nano-suspensions, solid dispersions and liposomes. In addition, the use of
biorelevant dissolution media to assess dissolution and solubility properties is
described. Suggestions are also included to systematically address development
hurdles typical of poorly water-soluble compounds intended for parenteral or oral
administration. EXPERT OPINION: A holistic assessment is recommended to select
the appropriate delivery technology by taking into account technical as well as
intellectual property considerations. Therefore, first and foremost, a
comprehensive physico-chemical characterization of poorly water-soluble compounds
can provide the key for a successful selection and development outcome. In this
context, the identified physical form of the compound in the formulation is used
as a guide for a risk-benefit assessment of the selected oral delivery
technology. The potential of nano-suspensions for intravenous administration is
unclear. In the case of oral administration, nano-suspensions are mainly used to
improve the oral absorption characteristics of micronized formulations. The
development of an in situ instantaneous solubilization method, based on stable,
standardized liposomes with low toxicity, opens new avenues to solubilize poorly
water-soluble compounds.
PMID- 21895541
TI - Lactoferrin delivery systems: approaches for its more effective use.
AB - INTRODUCTION: Recently, pharmacotherapy has advanced extensively, but there are
still many refractory diseases which cannot be solved fully by existing
therapeutic agents. Therefore, alternative medicine and health foods are now
attracting much attention, for example, lactoferrin (LF): a multifunctional
glycoprotein. As LF is non-toxic and low-cost, its application in healthcare and
therapeutics is expected to be widespread. AREAS COVERED: In this review, LF's
general basic features are described. The interaction of LF with its receptors
activates the immune system, including cytokine production and balance. In
particular, the immune activation of orally administered LF is considered as a
new strategy for the treatment of refractory diseases, such as inflammatory bowel
disease, virus infection and tumor metastasis. Also mentioned are the problems
associated with the use of LF. As LF is degraded rapidly in the body due to
enzymatic hydrolysis, high amounts or frequent dosing is required; an appropriate
delivery system may improve these problems and increase its efficiency. EXPERT
OPINION: Chemical modifications, such as PEGylation, can enhance the stability of
LF in the body, resulting in increased efficacy. Also, liposomes and enteric or
microparticulate formulations can promote the function of LF in oral
administration due to target site delivery and protection of LF from enzymatic
hydrolysis. These delivery systems are expected to improve the utility of LF.
PMID- 21895542
TI - Challenges to antiretroviral therapy: can one sentence in medication labelling
reduce adherence?
PMID- 21895543
TI - Cyclophosphamide, doxorubicin, vincristine, prednisone and rituximab versus
epirubicin, cyclophosphamide, vinblastine, prednisone and rituximab for the
initial treatment of elderly "fit" patients with diffuse large B-cell lymphoma:
results from the ANZINTER3 trial of the Intergruppo Italiano Linfomi.
AB - We conducted a prospective study to compare epirubicin, cyclophosphamide,
vinblastine, prednisone and rituximab (R-miniCEOP) with cyclophosphamide,
doxorubicin, vincristine, prednisone and rituximab (R-CHOP) for the treatment of
"fit" elderly patients with diffuse large B-cell lymphoma (DLBCL). Patients over
the age of 65 with stage II-IV DLBCL were screened with a comprehensive geriatric
assessment. Patients were randomized to receive six courses of R-miniCEOP (n =
114) or R-CHOP (n = 110). Overall, the rate of complete remission was 70% (p =
0.466). After a median follow-up of 42 months, 5-year event-free survival (EFS)
rates were 46% and 48% for R-miniCEOP and R-CHOP, respectively (p = 0.538).
Patients older than 72 years and with low-risk disease had a better outcome when
treated with R-miniCEOP (p = 0.011). Overall R-CHOP and R-miniCEOP are similarly
effective for elderly "fit" patients with DLBCL. The less intense R-miniCEOP may
be an acceptable option for the treatment of relatively older patients with low
risk disease.
PMID- 21895544
TI - Epiphora as the presenting sign in unsuspected B cell lymphoma.
PMID- 21895545
TI - Prognostic value of serum CD44, intercellular adhesion molecule-1 and vascular
cell adhesion molecule-1 levels in patients with indolent non-Hodgkin lymphomas.
AB - Elevated serum CD44, intercellular adhesion molecule-1 (ICAM-1) and vascular cell
adhesion molecule-1 (VCAM-1) have been linked to poor prognosis in aggressive
lymphomas, but their utility in low grade lymphomas remains undefined. We
evaluated serum CD44, VCAM-1 and ICAM-1 levels in 100 patients with newly
diagnosed indolent NHL. The median pre-treatment values of the markers were as
follows: CD44 540 ng/mL (range 156-1201), ICAM-1 311 ng/mL (range 102-1222) and
VCAM-1 1165 ng/mL (range 248-4779). On univariate analysis, elevated sCD44, sICAM
1 and sVCAM-1 were significantly associated with worse overall (OS) and
progression-free survival (PFS). In a subset analysis of patients with stage IV
disease, the effects of sCD44 and sICAM-1 on OS persisted (p<0.05), as did the
effect of sCD44 on PFS (p<0.01). In a multivariate analysis that included
conventional prognostic factors and the Follicular Lymphoma International
Prognostic Index (FLIPI) model, sICAM-1 demonstrated prognostic value for OS and
PFS. We conclude that serum CD44, ICAM-1 and VCAM-1 can potentially be prognostic
in patients with indolent NHL. Though the FLIPI model remains the gold standard
for prognosis, these quantitative serologic markers may be useful as adjunct
tools in assessing disease risk.
PMID- 21895546
TI - A rapid and simple assay for human blood malignancy engraftment, homing and
chemotherapy treatment using fluorescent imaging of avian embryos.
AB - Detection of grafted human cells in mice using fluorescence is a rapid and simple
technique whose use is continually expanding. Robust engraftment of human
hematological malignancy (HHM) lines and patient cells into the naturally
immunodeficient turkey embryo has recently been demonstrated by polymerase chain
reaction (PCR), fluorescence activated cell sorting (FACS) and histology. We
demonstrate here that fluorescence imaging is a rapid and simple technique for
detecting engraftment and homing of cells derived from HHM in turkey embryos.
Raji lymphoma cells expressing a far-red fluorescent protein were injected
intravascularly into turkey embryos and fluorescence was detected 8 days later in
their limbs and skulls. Much stronger signals were obtained after removal of the
bones from the limbs. Unlabeled Raji cells did not give a fluorescent signal.
Treatment with doxorubicin dramatically reduced the fluorescent signal.
Intravenously injected HL-60 leukemia cells labeled with infrared-fluorescing dye
were detected in the bone marrow after 16 h. Homing was active, although some non
specific fluorescence was present. Use of fluorescence imaging of HHM in turkey
embryos is therefore feasible and reduces the time, effort and expense for
detecting engraftment. This technique has potential to become a high-throughput
xenograft system for hematological chemotherapy development and testing, and for
study of hematological cell homing.
PMID- 21895547
TI - Modifying the immune system to treat chronic lymphocytic leukemia.
PMID- 21895548
TI - What's new in the treatment of neonatal shock.
AB - Shock is a clinical disorder that challenges caregivers in the neonatal intensive
care unit. The predominant cause of shock in neonates is sepsis. This article
provides an overview of the current treatment of septic shock with particular
emphasis on newer vasoactive drugs (milrinone, levosimendan and vasopressin) to
support cardiovascular dysfunction.
PMID- 21895549
TI - Nitric oxide: orchestrator of endothelium-dependent responses.
AB - The present review first summarizes the complex chain of events, in endothelial
and vascular smooth muscle cells, that leads to endothelium-dependent relaxations
(vasodilatations) due to the generation of nitric oxide (NO) by endothelial
nitric oxide synthase (eNOS) and how therapeutic interventions may improve the
bioavailability of NO and thus prevent/cure endothelial dysfunction. Then, the
role of other endothelium-derived mediators (endothelium-derived hyperpolarizing
(EDHF) and contracting (EDCF) factors, endothelin-1) and signals (myoendothelial
coupling) is summarized also, with special emphasis on their interaction(s) with
the NO pathway, which make the latter not only a major mediator but also a key
regulator of endothelium-dependent responses.
PMID- 21895550
TI - Synthesis and hemocompatibity evaluation of segmented polyurethane end-capped
with both a fluorine tail and phosphatidylcholine polar headgroups.
AB - To improve the hemocompatibility of polyurethanes, an amine monomer containing a
long fluorine tail and phosphatidylcholine polar headgroups, 2-amino-3-oxo-3-(2
(2,2,3,3,4,4,5,5,6,6,7,7,8,8,8-pentadecafluorooctan amido) ethyl amino) propyl
phosphorylcholine (FASPC) was firstly synthesized and characterized. Then four
kinds of fluorinated phosphatidylcholine end-capped polyurethanes with different
chemical structures were prepared. The surface properties of these prepared
polyurethanes were characterized using X-ray photoelectron spectroscopic analysis
(XPS) and water contact angle measurements. The results indicated that the
phosphatidylcholine (PC) polar headgroups along with the fluorine tail could be
easily enriched on the top surfaces, and the PC groups could be highly oriented
on the outmost surface when the polymer film was in contact with water for only
30 s at room temperature. The evaluation of hemocompatibity was carried out via
fibrinogen adsorption and platelet adhesion. Fibrinogen adsorption (37 degrees C
for 90 min) decreased by 98% to 87% compared to that on ordinary polyurethane
surfaces, and almost no platelet adhesion and activation was observed at 37
degrees C for 2 h.
PMID- 21895551
TI - Signalling pathways of insulin-like growth factors (IGFs) and IGF binding protein
3.
AB - Although the insulin-like growth factor (IGF) system is essential for normal
growth and development, its dysregulation has been implicated in a range of
pathological states. The peptide growth factors IGF-I and IGF-II exert their
effects by binding to cell-surface heterotetrameric tyrosine kinase receptors and
activating multiple intracellular signalling cascades, leading to changes in the
expression of proteins essential for cell proliferation, survival and
differentiation. The IGF system comprises multiple ligands, receptors and high
affinity IGF binding proteins (IGFBPs), with added complexity arising from
crosstalk between its receptors and other key growth-regulatory pathways such as
those activated by steroid hormones, integrins and other receptor tyrosine
kinases. The IGFBPs are also increasingly recognised for their intrinsic growth
regulatory activity, and the ability of IGFBP-3 to modulate signalling pathways
of nuclear hormone and growth factor receptors, as well as novel receptors, is
believed to play a role both in normal physiology and in disease.
PMID- 21895552
TI - Traffic death trends in children aged 0-14 years in Lithuania: patterns by age
group.
AB - The aim of the study was to analyse traffic-injury mortality trends in children
aged 0-14 years in Lithuania between 1971 and 2005. The data was derived from
Statistics Lithuania. Trends were estimated by the use of cubic regression. In
addition, data from 'tail' years was compared, and the t-test was used. The
significance level p <=0.05 was considered statistically significant. In the age
groups 1-4 and 5-9 years, traffic injury mortality showed significantly
decreasing trends, but in the age group 10-14 years, no significant tendencies
have been observed. Traffic deaths as a proportion of injury deaths and as a
proportion of all deaths have risen significantly in the age groups 1-4 and 10-14
years. In children aged 5-9 years, for traffic deaths percentage of injury
deaths, no significance change was observed, and significant decrease was shown
for traffic deaths percentage of all deaths. The study showed that in road safety
work, more attention should be given to young adolescents aged 10-14 years and to
children aged 1-4 years. A public health approach, effective law enforcements and
community involvement are recommended for road safety management.
PMID- 21895553
TI - Current review of antimicrobial treatment of nosocomial pneumonia caused by
multidrug-resistant pathogens.
AB - Nosocomial pneumonia (including ventilator-associated pneumonia; VAP), a
consistently difficult-to-treat entity, is frequently caused by multidrug
resistant (MDR) or pandrug-resistant (PDR) bacteria. Given the high mortality
rates caused by drug-resistant bacteria and the difficulty of developing new
potent antibiotics to target the problematic pathogens, combination regimens are
under ardent evaluation as new strategies to overcome increasing drug resistance.
Adjustment of the administration method of certain beta-lactams (meropenem, or
imipenem/cilastatin), or combination of tigecycline with some agents, may show
promise with regard to successful management of MDR or PDR Acinetobacter
baumannii pneumonia. Additionally, vancomycin plus rifampicin is an effective
regimen against nosocomial pneumonia caused by methicillin-resistant
Staphylococcus aureus (MRSA) responding poorly to vancomycin monotherapy. The
clinical appropriateness of parenteral colistin against pneumonia caused by MDR
A. baumannii has been established in a clinical trial. Facing the decline of
clinical vancomycin efficacy after initial use, linezolid might be the drug of
choice with regard to the treatment of MRSA-VAP. The role of tigecycline
monotherapy for the management of nosocomial pneumonia caused by MRSA and
extended-spectrum beta-lactamase-producing Enterobacteriaceae needs to be
cautiously evaluated.
PMID- 21895554
TI - Escitalopram for the treatment of major depressive disorder in youth.
AB - INTRODUCTION: Major depressive disorder (MDD) is a serious public problem,
affecting 4 - 6% of adolescents at any one time. Although adolescent MDD needs
early and appropriate intervention, concerns regarding the risk of suicidality
associated with antidepressant treatment and efficacy of pharmacotherapy have led
to decreased use of antidepressants in children and adolescents. After the
approval of fluoxetine in 2003, escitalopram received FDA approval in 2009 for
the acute and maintenance treatment of MDD in adolescent patients. AREAS COVERED:
The paper addressed the following questions: Is escitalopram effective for
adolescent MDD? How large is the magnitude of effectiveness? Does escitalopram
treatment have any benefit in adolescents compared with the risk of suicidal
behavior and treatment-emergent adverse events? EXPERT OPINION: The efficacy of
escitalopram in adolescent MDD was demonstrated in a double-blind, randomized,
controlled trial and extrapolated from a similar citalopram trial. The optimal
dose is 10 mg/day and the magnitude of the antidepressant effect is modest.
Escitalopram treatment is generally well tolerated by adolescents, but treatment
emergent agitation, suicidal behavior and manic symptoms should be closely
monitored. Escitalopram can be used as one of the first-line treatment options
for moderate to severe MDD in adolescents.
PMID- 21895555
TI - Detection of activity limitations in older adults with MCI or Alzheimer's disease
through evaluation of perceived difficulty in use of everyday technology: a
replication study.
AB - OBJECTIVES AND METHODS: Earlier research indicates that the ability to use
everyday technology (ET) may be sensitive to subtle functional change. People
with mild cognitive impairment (MCI) have been identified as significantly more
disabled in ET use compared to controls, albeit less disabled than people with
dementia. The aim of this study was to investigate the replicability of these
findings using an improved version of the Everyday Technology Use Questionnaire
(ETUQ) to compare perceptions of relevance and difficulty in ET use in
participants with MCI or Alzheimer's disease (AD) and controls. Additional aims
were to explore the validity of ETUQ, and the relationships between perceived
difficulty in ET use and cognitive status, mood state, and involvement in
everyday life activities. In total, 118 participants were included, 37 with AD,
37 with MCI, and 44 controls. RESULTS: Analyses confirmed that the rating scale
of the ETUQ functioned well. The three groups overlapped but differed
significantly in their perceptions of ETs relevance (p < 0.05) as well as of
difficulties in ET use (p < 0.001). Moderate correlations were also found between
ETUQ measures and cognitive status, mood, and involvement in activities, the
strongest being that between ETUQ measures and involvement in activities (r =
0.563). CONCLUSION: Taken together, the findings underscore the plausibility of
disability already in people with MCI, as the use of ET strongly correlates to
involvement in activities. It is therefore important that professionals who meet
older adults with cognitive impairment take this aspect of function into account
in assessments and targeted interventions.
PMID- 21895556
TI - Three-dimensional finite element analysis of the maxillary central incisor in two
different situations of traumatic impact.
AB - Dental trauma is one of the most common events in dental practice. However, few
studies have investigated the biomechanical characteristics of these injuries.
The objective of this study was to analyse the stress distribution in the
dentoalveolar structures of a maxillary central incisor subjected to two
situations of impact loading. The following loading forces were applied using a
3D finite element model: a force of 2000 N acting at an angle of 90 degrees on
the buccal surface of the crown and a vertical 2000 N force acting in the
cleidocranial direction on the incisal surface of the tooth. Harmful stresses
were observed in both situations, causing damage to both the tooth and adjacent
tissue. However, the damage found in soft tissues such as periodontal ligament
and dental pulp was negligible. In conclusion, injuries resulting from the
traumatic situations were more damaging to the integrity of the tooth and its
associated hard-tissue structures.
PMID- 21895558
TI - The effect of noun animacy on the processing of unambiguous sentences: evidence
from French relative clauses.
AB - Two experiments, one using self-paced reading and one using eye tracking,
investigated the influence of noun animacy on the processing of subject relative
(SR) clauses, object relative (OR) clauses, and object relative clauses with
stylistic inversion (OR-SI) in French. Each sentence type was presented in two
versions: either with an animate relative clause (RC) subject and an inanimate
object (AS/IO), or with an inanimate RC subject and an animate object (IS/AO).
There was an interaction between the RC structure and noun animacy. The advantage
of SR sentences over OR and OR-SI sentences disappeared in AS/IO sentences. The
interaction between animacy and structure occurred in self-paced reading times
and in total fixation times on the RCs, but not in first-pass reading times. The
results are consistent with a late interaction between animacy and structural
processing during parsing and provide data relevant to several models of parsing.
PMID- 21895559
TI - Some effort for some: further evidence that scalar implicatures are effortful.
AB - Under the assumption of the principle of cooperation (Grice, 1989), a statement
such as "some eels are fish" is thought to be false since it contains less
information than is considered sufficient. However, the statement is logically
sound since the meaning of "some" is compatible with "all". Currently, the
primary interpretation of such underinformative statements remains subject to
debate. According to Levinson (2000), the pragmatic "some but not all"
interpretation is the default interpretation, while others (e.g., Sperber &
Wilson, 1995) argue that this pragmatic interpretation only comes to the fore
when relevant within the context and is thus considered secondary to the logical
"some and perhaps all" interpretation. In this study, three factors that may
influence the answer pattern are studied: task load, working memory capacity, and
repetition of the statements. In Experiment 1, we used a secondary task paradigm
to manipulate the cognitive load under which a number of underinformative
statements had to be judged. We observed that for participants with a rather
limited working memory span it is harder to reach a pragmatic interpretation
under cognitive load. In Experiment 2, we manipulated the repetition of the
statements. We observed that with a higher number of filler statements,
participants produced fewer consistent answer patterns. This study provides
further evidence against the automaticity of the pragmatic interpretation: It
shows that the pragmatic interpretation requires more cognitive effort than the
logical interpretation and that increasing the number of filler statements
inhibits the development of a response strategy.
PMID- 21895560
TI - Influence of multiple-day temporal distribution of repetitions on memory: a
comparison of uniform, expanding, and contracting schedules.
AB - Very few studies have examined the influence of schedules of repetitions across
multiple days (e.g., Tsai, 1927 ). Three temporal schedules of four
presentations of pseudoword/word pairs over a 7-day learning period were
compared: a uniform (presentations on Days 1, 3, 5, and 7), an expanding (1, 2,
3, 7), and a contracting (1, 5, 6, 7) schedule. Schedule was a within-subjects
variable. Experiment 1 was performed on the Internet and showed that cued recall
on Day 9 led to higher scores for the stimuli of the expanding schedule.
Experiment 2 was performed in the laboratory and showed that the expanding and
the uniform schedules led to the highest scores on Day 9. A recognition task
performed during the learning phase revealed that stimuli recognized at the time
of their repetition were more likely to be retrieved later than the others. Our
results are discussed within the framework of the study-phase retrieval and
encoding variability theories.
PMID- 21895561
TI - Sound symbolic naming of novel objects is a graded function.
AB - Although linguistic traditions of the last century assumed that there is no link
between sound and meaning (i.e., arbitrariness), recent research has established
a nonarbitrary relation between sound and meaning (i.e., sound symbolism). For
example, some sounds (e.g., /u/ as in took) suggest bigness whereas others (e.g.,
/i/ as in tiny) suggest smallness. We tested whether sound symbolism only marks
contrasts (e.g., small versus big things) or whether it marks object properties
in a graded manner (e.g., small, medium, and large things). In two experiments,
participants viewed novel objects (i.e., greebles) of varying size and chose the
most appropriate name for each object from a list of visually or auditorily
presented nonwords that varied incrementally in the number of "large" and "small"
phonemes. For instance, "wodolo" contains all large-sounding phonemes, whereas
"kitete" contains all small-sounding phonemes. Participants' choices revealed a
graded relationship between sound and size: The size of the object linearly
predicted the number of large-sounding phonemes in its preferred name. That is,
small, medium, and large objects elicited names with increasing numbers of large
sounding phonemes. The results are discussed in relation to cross-modal
processing, gesture, and vocal pitch.
PMID- 21895562
TI - Recognition of own- and other-race faces in autism spectrum disorders.
AB - Empirical data regarding the extent of face recognition abnormalities in autism
spectrum disorder (ASD) is inconsistent. Here, 27 ASD and 47 typically developing
(TD) children completed an immediate two-alternative forced-choice identity
matching task. We contrasted recognition of own- and other-race faces, and,
counter to prediction, we found a typical advantage for recognizing own- over
other-race faces in both the ASD and TD groups. In addition, ASD and TD groups
responded similarly to stimulus manipulations (use of identical or different
photographs for identity matching and cropping stimuli to remove hair
information). However, age-standardized scores varied widely within the ASD
sample, and a subgroup of ASD participants with impaired face recognition did not
exhibit a significant own-race recognition advantage. An explanation regarding
early experience with faces is considered, and implications for research of
individual variation within ASD are discussed.
PMID- 21895563
TI - Layer-by-layer tissue microfabrication supports cell proliferation in vitro and
in vivo.
AB - Layer-by-layer biofabrication represents a novel strategy to create three
dimensional living structures with a controlled internal architecture, using cell
micromanipulation technologies. Laser assisted bioprinting (LAB) is an effective
printing method for patterning cells, biomolecules, and biomaterials in two
dimensions. "Biopapers," made of thin polymer scaffolds, may be appropriate to
achieve three-dimensional constructs and to reinforce mechanical properties of
printed materials. The aim of this work was to evaluate the effect of the
tridimensional organization of cells and biomaterials on cell proliferation in
vitro and in vivo. The experimental LAB setup was comprised of an infrared laser,
focused onto a glass ribbon coated with an absorbing layer of gold. The cell
bioink was made of MG63 cells (50 millions cells/mL in culture medium and 1%
alginate), transduced with Luciferase gene for tracking and quantification. The
printing substrate was a 100-MUm-thick polycaprolacton (PCL) electrospun
scaffold. The building sequence comprised sequential layers of cells and PCL
scaffolds stacked using two different tridimensional arrangements, which were
compared in this study (layer-by-layer vs. seeding on a single locus of the
scaffolds). Then the cell-seeded materials were cultured in vitro or implanted in
vivo in NOD-SCID mice. The qualitative follow-up involved scanning electron
microscopy (SEM) observations, live-dead assays, and histology. The cell amount
was quantified by photon imager during 21 days in vitro and 2 months in vivo.
Live- dead assay and SEM revealed that the cells survived after printing and
spread onto PCL membranes. Circle-shaped patterns were maintained in vitro during
the first week but they were no longer observable after 2 weeks, due to cell
proliferation. Luciferase tracking displayed that the cell amount was increased
in vitro and in vivo when the materials and the cells where stacked layer by
layer. Histological sections of the in vivo samples revealed a thicker fibrous
tissue in the layer-by-layer samples. We have demonstrated in this study that PCL
electrospun biopapers can act as a shock-absorbing mattress for cell printing and
could further support cell proliferation. The layer-by-layer printing provided an
appropriate 3D environment for cell survival and enhanced cell proliferation in
vitro and in vivo.
PMID- 21895564
TI - Clinical effectiveness of Tyrophagus putrescentiae allergy by local nasal
immunotherapy using strips of Dermatophagoides pteronyssinus.
AB - BACKGROUND: House dust mites are a major source of aeroallergens for patients
with allergic rhinitis and asthma. Most patients with allergic rhinitis are
simultaneously sensitized by Dermatophagoides pteronyssinus (Dp) and Tyrophagus
putrescentiae (Tp). Dp and Tp allergy in allergic rhinitis can be caused by cross
reactivity, and the group 2 mite allergens appear to be the major cross-reactive
allergens in mites. We previously demonstrated that local nasal immunotherapy
(LNIT) with Dp-coated strips could modulate the serum levels of Dp-specific IgE.
The aim of this study was to investigate whether Tp allergy could be modulated by
LNIT with Dp. METHODS: Both Tyr p2-specific IgE in the sera and its effect on Tyr
p2-triggered basophil histamine release (BHR) were measured to evaluate the Tp
mediated allergic reaction before and after LNIT. RESULTS: The results showed
that not only was Tyr p2-specific IgE reduced, but also Tyr p2-triggered
histamine release in the sera after LNIT with Dp allergen strips. There was a
significant reduction of Tyr p2-specific IgG1 and upregulation of IgG4 after LNIT
with Dp allergen strips. When the amounts of histamine were compared between the
two groups, rDer p2-triggering or rTyr p2-triggering histamine release was
significantly reduced in the Dp treatment group compared with the normal saline
treatment group. No matter in the rDer p2-triggering or rTyr p2-triggering
histamine release, differences between before LNIT and after LNIT were
statistically significant in the Dp group. CONCLUSION: Our study demonstrated
that not only was Tyr p2-specific IgE reduced in the sera, but also its
biological activity of Tyr p2-triggered BHR after LNIT with Dp strips. It is
conceivable that the clinical effectiveness of Tp allergy by LNIT with Dp
allergen strips may exist.
PMID- 21895565
TI - Facile surface functionalization with glycosaminoglycans by direct coating with
mussel adhesive protein.
AB - The use of mussel adhesive proteins (MAPs) as a surface coating for cell adhesion
has been suggested due to their unique properties of biocompatibility and
effective adhesion on diverse inorganic and organic surfaces. The surface
functionalization of scaffolds or implants using extracellular matrix (ECM)
molecules is important for the enhancement of target cell behaviors such as
proliferation and differentiation. In the present work, we suggest a new, simple
surface functionalization platform based on the charge interactions between the
positively charged MAP linker and negatively charged ECM molecules, such as
glycosaminoglycans (GAGs). MAP was efficiently coated onto a titanium model
surface using its adhesion ability. Then, several GAG molecules, including
hyaluronic acid (HA), heparin sulfate (HS), chondroitin sulfate (CS), and
dermatan sulfate (DS), were effectively immobilized on the MAP-coated surfaces by
charge interactions. Using HA as a model GAG molecule, we found that the
proliferation, spreading, and differentiation behaviors of mouse preosteoblast
cells were all significantly improved on MAP/HA-layered titanium. In addition, we
successfully constructed a multilayer film on a titanium surface with oppositely
charged layer-by-layer coatings of MAP and HA. Collectively, our simple MAP-based
surface functionalization strategy can be successfully used for the efficient
surface immobilization of negatively charged ECM molecules in various tissue
engineering and medical implantation applications.
PMID- 21895566
TI - Occupation and COPD: a brief review.
AB - BACKGROUND AND AIMS: This review summarizes the scientific literature relevant to
occupational risk factors for chronic obstructive pulmonary disease (COPD).
MATERIAL AND METHODS: This review emphasizes recent work in the field, while
placing this in the context of two previous systematic reviews of the subject.
RESULTS: Both the earlier summaries of the literature estimated that the
population attributable risk percent (PAR%) of COPD linked to occupational
exposures is approximately 15%. More recent studies also strongly support the
association between workplace exposures and COPD. Among never smokers, the PAR%
for work-related factors may approach 40%. Emerging data also indicate that
occupational exposures, at a minimum, are additive to smoking-associated risk of
COPD. CONCLUSIONS: The PAR% for work-related COPD is at least 15%. Scientific
significance. The consistency, strength, and plausibility of these data support a
causal relationship between occupational exposures and COPD.
PMID- 21895568
TI - Representational pseudoneglect in an auditory-driven spatial working memory task.
AB - Two experiments explored lateralized biases in mental representations of matrix
patterns formed from aural verbal descriptions. Healthy participants listened,
either monaurally or binaurally, to verbal descriptions of 6 by 3 matrix patterns
and were asked to form a mental representation of each pattern. In Experiment 1,
participants were asked to judge which half of the matrix, left or right,
contained more filled cells and to rate the certainty of their judgement.
Participants tended to judge that the left side was fuller than the right and
showed significantly greater certainty when judging patterns that were fuller on
the left. This tendency was particularly strong for left-ear presentation. In
Experiment 2, participants conducted the same task as that in Experiment 1 but
were also asked to recall the pattern for the side judged as fuller. Participants
were again more certain in judging patterns that were fuller on the left
particularly for left-ear presentation-but were no more accurate in remembering
the details from the left. These results suggest that the left side of the mental
representation was represented more saliently but it was not remembered more
accurately. We refer to this lateralized bias as "representational
pseudoneglect". Results are discussed in terms of theories of visuospatial
working memory.
PMID- 21895569
TI - Ambivalence, communication and past use: understanding what influences women's
intentions to use contraceptives.
AB - Unintended pregnancy among women in the 18-30 age group is a public health
concern. The Extended Parallel Process Model (EPPM) provides a framework for
exploring how women's perceptions of threat, efficacy, and fear influence
intentions to use contraceptives. Past use and communication with best friends
and partners were also considered. A telephone survey of 18-30-year-old women (N
= 599) was completed. After univariate and bivariate analyses were conducted, the
variables were entered into a hierarchal, multi-variate linear regression with
three steps consistent with the EPPM to predict behavioral intention. The first
step included the demographic variables of relationship status and income. The
constructs for the EPPM were entered into step 2. Step 3 contained the fear
measure. The model for the third step was significant, F(10,471) = 36.40, p <
0.001 and the variance explained by this complete model was 0.42. Results suggest
that perceived severity of the consequences of an unintended pregnancy (p <
0.01), communication with friends (p < 0.01) and last sexual partner (p < 0.05),
relationship status (p < 0.01), and past use (p < 0.001) were associated with
women's intentions to use contraceptives. A woman's perception of the severity
was related to her intention to use contraceptives. Half of the women (50.3%)
reported ambivalence about the severity of an unintended pregnancy. In our study,
talking with their last sexual partner had a positive effect on intentions to use
contraceptives, while talking with friends influenced intentions in a negative
direction. These results reconfirm the need for public health practitioners and
health care providers to consider level of ambivalence toward unintended
pregnancy, communication with partner, and relationship status when trying to
improve women's contraceptive behaviors. Implications for effective communication
interventions are discussed.
PMID- 21895567
TI - A quantitative risk assessment of multiple factors influencing HIV/AIDS
transmission through unprotected sex among HIV-seropositive men.
AB - The objective of this study is to conduct a quantitative risk assessment of
multiple factors influencing HIV/AIDS transmission through unprotected sexual
practices among HIV-seropositive men. A knowledgebase was developed by reviewing
different published sources. The data were collected from different sources
including Centers for Disease Control and Prevention, selected journals, and
reports. The risk pathway scenario tree was developed based on a comprehensive
review of published literature. The variables are organized into nine major
parameter categories. Monte Carlo simulations for the quantitative risk
assessment of HIV/AIDS transmission was executed with the software @Risk 4.0
(Palisade Corporation). Results show that the value for the likelihood of
unprotected sex due to having less knowledge about HIV/AIDS and negative attitude
toward condom use and safer sex ranged from 1.24 * 10(-5) to 8.47 * 10(-4) with
the mean and standard deviation of 1.83 * 10(-4) and 8.63 * 10(-5), respectively.
The likelihood of unprotected sex due to having greater anger-hostility, anxiety,
less satisfied with aspects of life, and greater depressive symptoms ranged from
2.76 * 10(-9) to 5.34 * 10(-7) with the mean and standard deviation of 5.23 * 10(
8) and 3.58 * 10(-8), respectively. The findings suggest that HIV/AIDS research
and intervention programs must be focused on behavior, and the broader setting
within which individual risky behaviors occur.
PMID- 21895570
TI - Longitudinal associations between children's understanding of emotions and theory
of mind.
AB - Theory of mind competence and knowledge of emotions were studied longitudinally
in a sample of preschoolers aged 3 (n=263) and 4 (n=244) years. Children were
assessed using standard measures of theory of mind and emotion knowledge. Three
competing hypotheses were tested regarding the developmental associations between
children's theory of mind abilities and their knowledge of emotions. First, that
an understanding of emotion develops early and informs children's understanding
of others' thinking. Alternatively, having a basic theory of mind may help
children learn about emotions. Third, that the two domains are separate aspects
of children's social cognitive skills such that each area develops independently.
Results of hierarchical regressions supported the first hypothesis that early
emotion understanding predicts later theory-of-mind performance, and not the
reverse.
PMID- 21895571
TI - Autobiographical memory characteristics in depression vulnerability: formerly
depressed individuals recall less vivid positive memories.
AB - The differential activation hypothesis (DAH; Teasdale, 1988) proposes that
individuals who are vulnerable to depression can be distinguished from non
vulnerable individuals by the degree to which negative thoughts and maladaptive
cognitive processes are activated during sad mood. While retrieval of negative
autobiographical memories is noted as one such process, the model does not
articulate a role for deficits in recalling positive memories. Two studies were
conducted to compare the autobiographical memory characteristics of never
depressed and formerly depressed individuals following a sad mood induction. In
Study 1, features of negative memories of never-depressed and formerly depressed
individuals did not differ, either in neutral or sad mood. For positive memories,
groups did not differ in neutral mood, but following a sad mood induction,
formerly depressed individuals rated their positive memories as less vivid than
their never-depressed counterparts. Study 2 examined positive autobiographical
memory features more comprehensively and replicated the finding that in a sad
mood formerly depressed individuals recalled less vivid positive memories than
never-depressed controls. These findings suggest that the phenomenological
features of positive memories could represent an important factor in depressive
vulnerability, and, more broadly, that depression may be associated with a
deficit in the processing of positive material.
PMID- 21895573
TI - Cultural grounding of regret: regret in self and interpersonal contexts.
AB - The purpose of this study was to explore cultural similarities and differences in
regret, focusing on distinctions between interpersonal and self-situations, and
between action and inaction regrets. Japanese and American undergraduates were
asked to describe regrets experienced in interpersonal and self-situations. We
found that both situational and cultural contexts influenced the likelihood of
regretting inactions over actions. Participants were more likely to recall
inaction regrets in self-situations than in interpersonal situations, and that
the likelihood of recalling inaction regrets was more pronounced for Americans
than for Japanese. Furthermore, we examined the intensity of the regret. Whereas
American students experienced regret as intense as that of Japanese students in
self-situations, Japanese students experienced regret more strongly than American
students in interpersonal situations. Detailed content analysis also showed that
individuals experienced regret in ways consistent with cultural values. The
situational and cultural grounding of regret is discussed.
PMID- 21895574
TI - Unintentional preparation of motor impulses after incidental perception of need
rewarding objects.
AB - Using a new method, we examined whether incidental perception of need-rewarding
(positive) objects unintentionally prepares motor action. Participants who varied
in their level of need for water were presented with glasses of water (and
control objects) that were accompanied by go and no-go cues that required a
response (key-press) or withholding a response. Importantly, if need-rewarding
objects unintentionally prepare action, presentation of no-go cues should lead to
motor inhibition of these prepared motor impulses. Consistent with this
hypothesis, results showed that participants relatively high in need for water
(and hence, who perceive water as a rewarding, positive object) were slower to
react to a successive action probe after withholding a response during perception
of water than during perception of the control object, suggesting motor
inhibition of unintentionally prepared motor impulses. We propose that incidental
perception of need-rewarding objects unintentionally potentiates preparation of
motor action to these objects.
PMID- 21895572
TI - Children's 5-HTTLPR genotype moderates the link between maternal criticism and
attentional biases specifically for facial displays of anger.
AB - Theorists have proposed that negative experiences in childhood may contribute to
the development of experience-specific information-processing biases, including
attentional biases. There are also clear genetic influences on cognitive
processes, with evidence that polymorphisms in specific candidate genes may
moderate the impact of environmental stress on attentional biases (e.g., a
functional polymorphism in the serotonin transporter gene; 5-HTTLPR). In the
current study, we tested a gene*environment (G*E) model of risk for attentional
biases. We hypothesised that children whose mothers exhibit high levels of
expressed emotion criticism (EE-Crit) would display attentional biases
specifically for angry, but not happy or sad, faces, and that this link would be
stronger among children carrying one or two copies of the 5-HTTLPR short allele
than among those homozygous for the long allele. Results generally supported
these hypotheses, though we found that carriers of the 5-HTTLPR short allele who
also had a critical mother exhibited attentional avoidance of angry faces rather
than preferential attention.
PMID- 21895575
TI - How do social fears in adolescence develop? Fear conditioning shapes attention
orienting to social threat cues.
AB - Social fears emerging in adolescence can have negative effects on emotional well
being. Yet the mechanisms by which these risks occur are unknown. One possibility
is that associative learning results in fears to previously neutral social
stimuli. Such conditioned responses may alter subsequent processing of social
stimuli. We used a novel conditioning task to examine how associative processes
influence social fear and attention orienting in adolescents. Neutral photographs
were paired with socially rewarding or aversive stimuli during conditioning; a
dot-probe task then assessed biases in attention orienting. The social
conditioning task modified subjective ratings of the neutral stimuli. Moreover,
for the neutral stimulus that was paired with the aversive stimulus, the strength
of conditioning showed a relationship with subsequent attentional vigilance. The
findings elucidate mechanisms by which negative peer experiences during
adolescence may affect emotional processing.
PMID- 21895576
TI - CTCF function is modulated by neighboring DNA binding factors.
AB - The zinc-finger protein CTCF was originally identified in the context of gene
silencing and gene repression (Baniahmad et al. 1990; Lobanenkov et al. 1990).
CTCF was later shown to be involved in several transcriptional mechanisms such as
gene activation (Vostrov et al. 2002) and enhancer blocking (Filippova et al.
2001; Hark et al. 2000; Kanduri et al. 2000; Lutz et al. 2003; Szabo et al. 2000;
Tanimoto et al. 2003; Phillips and Corces 2009; Bell et al. 1999; Zlatanova and
Caiafa 2009a, 2009b). Insulators block the action of enhancers when positioned
between enhancer and promoter. CTCF was found to be required in almost all cases
of enhancer blocking tested in vertebrates. This CTCF-mediated enhancer blocking
is in many instances conferred by constitutive CTCF action. For some examples
however, a modulation of the enhancer blocking activity was documented (Lutz et
al. 2003; Weth et al. 2010). One mechanism is achieved by regulation of binding
to DNA. It was shown that CTCF is not able to bind to those binding-sites
containing methylated CpG sequences. At the imprinting control region (ICR) of
the Igf2/H19 locus the binding-site for CTCF on the paternal allele is
methylated. This prevents DNA-binding of CTCF, resulting in the loss of enhancer
blocking (Bell and Felsenfeld 2000; Chao et al. 2002; Filippova et al. 2001; Hark
et al. 2000; Kanduri et al. 2000, 2002; Szabo et al. 2000; Takai et al. 2001).
Not only can DNA methylation interfere with CTCF binding to DNA, it was also
shown in one report that RNA transcription through the CTCF binding site results
in CTCF eviction (Lefevre et al. 2008). In contrast to these cases most of the
DNA sites are not differentially bound by CTCF. Even CTCF interaction with its
cofactor cohesin does not seem to differ in different cell types (Schmidt et al.
2010). These results indicate that regulation of CTCF activity might be achieved
by neighboring factors bound to DNA. In fact, whole genome analyses of CTCF
binding sites identified several classes of neighboring sequences (Dickson et al.
2010; Boyle et al. 2010; Essien et al. 2009). Therefore, in this review we will
summarize those results for which a combined action of CTCF with factors bound
adjacently was found. These neighboring factors include the RNA polymerases I, II
and III, another zinc finger factor VEZF1 and the factors YY1, SMAD, TR and Oct4.
Each of these seems to influence, modulate or determine the function of CTCF.
Thereby, at least some of the pleiotropic effects of CTCF can be explained.
PMID- 21895577
TI - Renovation and renewal.
PMID- 21895578
TI - Medicolegal aspects of the third wave of asbestos-related disease in Australia.
PMID- 21895580
TI - Hendra virus.
PMID- 21895579
TI - Minimising the misuse of oxycodone and other pharmaceutical opioids in Australia.
PMID- 21895581
TI - Why the tobacco industry fears plain packaging.
PMID- 21895582
TI - Should more Australian doctors be salaried than paid by fee-for-service? - Yes.
PMID- 21895583
TI - Should more Australian doctors be salaried than paid by fee-for-service? - No.
PMID- 21895584
TI - PatientsLikeMe and the tale of three brothers.
PMID- 21895585
TI - Is it time to commence newborn screening for congenital adrenal hyperplasia in
Australia?
AB - 21-Hydroxylase deficiency (21-OHD) is the most common cause of congenital adrenal
hyperplasia, with an incidence of 1:14000 live births and equal prevalence among
males and females. Newborns with the most severe "salt-wasting" form of 21-OHD
are susceptible to salt-wasting crises in the first few weeks of life. This is
associated with morbidity and mortality. 21-OHD newborn screening (NBS) is
currently performed in many countries. Despite several prominent medical
societies recommending 21-OHD NBS, no state in Australia currently screens for
this condition. We report a case that illustrates the need to reconsider
including 21-OHD in NBS. 21-OHD NBS can be reliable, sensitive and effective in
reducing morbidity and mortality.
PMID- 21895586
TI - A no-fault compensation scheme for serious adverse events attributed to
vaccination.
PMID- 21895587
TI - What does the future hold for general medicine? Comment.
PMID- 21895588
TI - Should opioids be used for chronic non-cancer pain?
PMID- 21895589
TI - Bipartisan support for Australia's supervised injecting facility: a decade in the
making.
PMID- 21895590
TI - How can Australia do better for Indigenous health?
PMID- 21895591
TI - Development of clinical-quality registries in Australia: the way forward.
PMID- 21895592
TI - Predictive validity of the Undergraduate Medicine and Health Sciences Admission
Test for medical students' academic performance.
PMID- 21895593
TI - Hospital and emergency department use in the last year of life: a baseline for
future modifications to end-of-life care.
PMID- 21895594
TI - Contrast induced nephropathy in patients with pre-existing renal impairment
undergoing invasive coronary procedures - a long-term follow-up.
PMID- 21895595
TI - The impact of potential new diagnostic criteria on the prevalence of gestational
diabetes mellitus in Australia.
PMID- 21895596
TI - Increasing incidence of malignant mesothelioma after exposure to asbestos during
home maintenance and renovation.
AB - OBJECTIVE: To determine trends in incidence of malignant mesothelioma (MM) caused
by exposure to asbestos during home maintenance and renovation. DESIGN, SETTING
AND PARTICIPANTS: Using the Western Australian Mesothelioma Register, we reviewed
all cases of MM diagnosed in WA from 1960 to the end of 2008, and determined the
primary source of exposure to asbestos. Categories of exposure were collapsed
into seven groups: asbestos miners and millers from Wittenoom; all other asbestos
workers; residents from Wittenoom; home maintenance/renovators; other people
exposed but not through their occupation; and people with unknown asbestos
exposure; or no known asbestos exposure. Latency periods and age at diagnosis for
each group were calculated and compared. RESULTS: In WA, 1631 people (1408 men,
223 women) were diagnosed with MM between 1960 and 2008. Since 1981, there have
been 87 cases (55 in men) of MM attributed to asbestos exposure during home
maintenance and renovation, and an increasing trend in such cases, in both men
and women. In the last 4 years of the study (2005-2008), home renovators
accounted for 8.4% of all men and 35.7% of all women diagnosed with MM. After
controlling for sex and both year and age at diagnosis, the latency period for
people exposed to asbestos during home renovation was significantly shorter than
that for all other exposure groups, but the shorter follow-up and difficulty
recalling when exposure first occurred in this group may partly explain this.
CONCLUSIONS: MM after exposure to asbestos during home renovation is an
increasing problem in WA, and these cases seem to have a shorter latency period
than other types of exposure. MM cases related to renovation will probably
continue to increase because of the many homes that have contained, and still
contain, asbestos building products.
PMID- 21895597
TI - Reusable venesection tourniquets: a potential source of hospital transmission of
multiresistant organisms.
AB - OBJECTIVE: To determine the prevalence of multiresistant organism (MRO)
colonisation of reusable venesection tourniquets. DESIGN AND SETTING: A
prospective study in a tertiary hospital to collect and analyse reusable
venesection tourniquets for the presence of MROs - methicillin-resistant
Staphylococcus aureus (MRSA), vancomycin-resistant enterococci (VRE), and
extended-spectrum beta-lactamase and metallo-beta-lactamase-producing
Enterobacteriaceae - using a sensitive enrichment method. Tourniquets were
collected and tested during a 10-week period between September and November 2010.
MAIN OUTCOME MEASURE: Prevalence of MRO colonisation of tourniquets. RESULTS: The
overall colonisation rate of 100 tourniquets randomly collected from general
wards, ambulatory care areas and critical care areas was 78%. MROs were isolated
from 25 tourniquets collected from a variety of hospital locations, including
general wards, the intensive care unit, burns unit and anaesthetic bay. MRSA was
isolated from 14 tourniquets and VRE from 19; both MRSA and VRE were isolated
from nine tourniquets. There were no microorganisms isolated from 22 tourniquets.
CONCLUSION: Reusable tourniquets can be colonised with MROs and may be a
potential source of transmission of MROs to hospitalised patients.
PMID- 21895598
TI - Prescription of opioid analgesics and related harms in Australia.
AB - OBJECTIVE: To document trends in: (i) prescribing of morphine and oxycodone; (ii)
hospital separations for overdose; (iii) presentations for treatment of problems
associated with these drugs; and (iv) oxycodone-related mortality data in
Australia. DESIGN AND SETTING: Cross-sectional study analysing prescriptions for
morphine and oxycodone based on figures adjusted using Australian Bureau of
Statistics estimated resident population and prospectively collected data from:
(i) the National Hospital Morbidity Database on hospital separations primarily
attributed to poisoning with opioids other than heroin ("other opioids"); (ii)
the Alcohol and Other Drug Treatment National Minimum Data Set for treatment
episodes where morphine or oxycodone were the primary or other drugs of concern;
(iii) the National Coronial Information System on deaths where oxycodone was the
underlying cause of death or a contributory factor. MAIN OUTCOME MEASURES:
Population-adjusted numbers of (i) prescriptions for morphine and oxycodone by 10
year age group, (ii) hospital separations for "other opioid" poisoning, and (iii)
treatment episodes related to morphine or oxycodone; and (iv) number of oxycodone
related deaths. RESULTS: Prescriptions for morphine declined, while those for
oxycodone increased. Prescriptions for both were highest among older Australians.
Hospital separations for "other opioid" poisoning doubled between the financial
years 2005-06 and 2006-07. Treatment episodes for morphine remained stable, while
those for oxycodone increased. There were 465 oxycodone-related deaths recorded
during 2001-2009. CONCLUSIONS: Oxycodone prescriptions in Australia have
increased, particularly among older Australians. The increase may, in part,
reflect appropriate prescribing for pain among an ageing population. However we
are unable to differentiate non-medical use from appropriate prescribing from
this data. In comparison to heroin, the morbidity and mortality associated with
oxycodone is relatively low in Australia. There is a continued need for
comprehensive training of general practitioners in assessing patients with
chronic non-malignant pain and prescribing of opioids for these patients, to
minimise the potential for harms associated with use of these medications.
PMID- 21895599
TI - Tunnel vision and night blindness in a 52-year-old man.
PMID- 21895600
TI - Harlequin syndrome after jogging.
PMID- 21895605
TI - VEGF increases the proliferative capacity and eNOS/NO levels of endothelial
progenitor cells through the calcineurin/NFAT signalling pathway.
AB - We have investigated whether VEGF (vascular endothelial growth factor) regulates
the proliferative capacity and eNOS (endothelial nitric oxide synthase)/NO
(nitric oxide) pathway of EPCs (endothelial progenitor cells) by activating CaN
(calcineurin)/NFAT (nuclear factor of activated T-cells) signalling. EPCs were
obtained from cultured mononuclear cells isolated from the peripheral blood of
healthy adults. Treatment with VEGF (50 ng/ml) potently promoted CaN enzymatic
activity, activation of NFAT2, cell proliferation, eNOS protein expression and NO
production. Pretreatment with cyclosporin A (10 MUg/ml), a pharmacological
inhibitor of CaN or 11R-VIVIT, a special inhibitor of NFAT, completely abrogated
the aforementioned effects of VEGF treatment and increased apoptosis. The results
indicate that VEGF treatment promotes the proliferative capacity of human EPCs by
activating CaN/NFAT signalling leading to increased eNOS protein expression and
NO production.
PMID- 21895606
TI - AP-1 and NF-kappaB transcriptionally regulate interleukin-8 in EA.Hy926 cells
under shear stress.
AB - Cardiovascular and cerebrovascular diseases remain the leading cause of death in
the world. AS (atherosclerosis) is not only an inflammatory disease in which
chemokines play the main role but also a disorder that is related to blood SS
(shear stress). We have investigated the action of IL-8 (interleukin-8) mRNA
expression in human endothelial cells line-EA.Hy926 under SS at different
intensities and duration. Expression increases with time in an intensity
dependent manner. With regard to the transcriptional mechanism involved,
transient transfection of the human wild-type IL-8 promoter (-162/+44)/luciferase
reporter plasmid, or site mutation of one of the binding sites [AP-1 (activator
protein 1) or NF-kappaB (nuclear factor kappaB)] in the IL-8 promoter region was
investigated. Both AP-1 and NF-kappaB were essential for SS-activated
transcription, with the cells responding to NF-kappaB activation within minutes.
After stimulated at low SS (4.20 dyne/cm2) for 30 min, the P65 subunit was
translocated from the cytoplasm to nucleus for at least 60 min, while the
cytoplasmic level of IkappaB (inhibitory kappaB) gradually decreased. The
combined activation of NF-kappaB and AP-1 are the upstream regulators of low SS
induced IL-8 production in EA.Hy926 cells, which subsequently trigger an
inflammatory reaction in endothelium.
PMID- 21895608
TI - Intracellular curvature-generating proteins in cell-to-cell fusion.
AB - Cell-to-cell fusion plays an important role in normal physiology and in different
pathological conditions. Early fusion stages mediated by specialized proteins and
yielding fusion pores are followed by a pore expansion stage that is dependent on
cell metabolism and yet unidentified machinery. Because of a similarity of
membrane bending in the fusion pore rim and in highly curved intracellular
membrane compartments, in the present study we explored whether changes in the
activity of the proteins that generate these compartments affect cell fusion
initiated by protein fusogens of influenza virus and baculovirus. We raised the
intracellular concentration of curvature-generating proteins in cells by either
expressing or microinjecting the ENTH (epsin N-terminal homology) domain of epsin
or by expressing the GRAF1 (GTPase regulator associated with focal adhesion
kinase 1) BAR (Bin/amphiphysin/Rvs) domain or the FCHo2 (FCH domain-only protein
2) F-BAR domain. Each of these treatments promoted syncytium formation. Cell
fusion extents were also influenced by treatments targeting the function of
another curvature-generating protein, dynamin. Cell-membrane-permeant inhibitors
of dynamin GTPase blocked expansion of fusion pores and dominant-negative mutants
of dynamin influenced the syncytium formation extents. We also report that
syncytium formation is inhibited by reagents lowering the content and
accessibility of PtdIns(4,5)P(2), an important regulator of intracellular
membrane remodelling. Our findings indicate that fusion pore expansion at late
stages of cell-to-cell fusion is mediated, directly or indirectly, by
intracellular membrane-shaping proteins.
PMID- 21895609
TI - Thermodynamics and structural analysis of positive allosteric modulation of the
ionotropic glutamate receptor GluA2.
AB - Positive allosteric modulators of the ionotropic glutamate receptor-2 (GluA2) are
promising compounds for the treatment of cognitive disorders, e.g. Alzheimer's
disease. These modulators bind within the dimer interface of the LBD (ligand
binding domain) and stabilize the agonist-bound conformation slowing receptor
desensitization and/or deactivation. In the present study, we employ isothermal
titration calorimetry to determine binding affinities and thermodynamic details
of binding of modulators of GluA2. A mutant of the LBD of GluA2 (LBD-L483Y-N754S)
that forms a stable dimer in solution was used. The potent GluA2 modulator BPAM
97 was used as a reference compound. Evidence that BPAM-97 binds in the same
pocket as the well-known GluA2 modulator cyclothiazide was obtained from X-ray
structures. The LBD-L483Y-N754S:BPAM-97 complex has a Kd of 5.6 MUM (DeltaH=-4.9
kcal/mol, -TDeltaS=-2.3 kcal/mol; where 1 kcal~4.187 kJ). BPAM-97 was used in a
displacement assay to determine a Kd of 0.46 mM (DeltaH=-1.2 kcal/mol, -TDeltaS=
3.3 kcal/mol) for the LBD-L483Y-N754S:IDRA-21 complex. The major structural
factors increasing the potency of BPAM-97 over IDRA-21 are the increased van der
Waals contacts to, primarily, Met496 in GluA2 imposed by the ethyl substituent of
BPAM-97. These results add important information on binding affinities and
thermodynamic details, and provide a new tool in the development of drugs against
cognitive disorders.
PMID- 21895610
TI - Anthropometric measurements as predictors of cephalopelvic disproportion: Can the
diagnostic accuracy be improved?
AB - OBJECTIVE: We assessed the efficacy of maternal anthropometric measurements and
clinical estimates of fetal weight in isolation and in combination as predictors
of cephalopelvic disproportion (CPD). DESIGN: Prospective cohort study. SETTING:
Tertiary care teaching hospital, two affiliated hospitals with facilities for
conducting cesarean delivery and seven affiliated primary care facilities with no
operation theaters. SAMPLE: Primigravidae over 37 weeks' gestation attending
these facilities during a 20-month period with a singleton pregnancy in vertex
presentation. METHODS: Several anthropometric measurements were taken in 249
primigravidae. Fetal weight was estimated. Differences in these measurements
between the vaginal delivery and CPD groups were analyzed. The validity of these
measurements in predicting CPD was analyzed by plotting receiver operating
characteristic curves and by logistic regression analysis. MAIN OUTCOME MEASURE:
Mode of delivery. RESULTS: Maternal height, foot size, inter-trochanteric
diameter and bis-acromial diameter showed the highest positive predictive values
for CPD. Combining some maternal measurements with estimates of fetal weight
increased predictive values modestly, which are likely to be greater if the
estimates of fetal weight are close to the actual birth weight. Based on
multivariate analysis the risk factors for CPD in our population were foot length
<=23cm, inter-trochanteric diameter <=30cm and estimated fetal weight >=3 000g.
CONCLUSIONS: Maternal anthropometric measurements can predict CPD to some extent.
Combining maternal measurements with clinical estimates of fetal weight only
enhances the predictive value to a relatively modest degree (positive predictive
value 24%).
PMID- 21895607
TI - Targeting VIP and PACAP receptor signalling: new therapeutic strategies in
multiple sclerosis.
AB - MS (multiple sclerosis) is a chronic autoimmune and neurodegenerative pathology
of the CNS (central nervous system) affecting approx. 2.5 million people
worldwide. Current and emerging DMDs (disease-modifying drugs) predominantly
target the immune system. These therapeutic agents slow progression and reduce
severity at early stages of MS, but show little activity on the neurodegenerative
component of the disease. As the latter determines permanent disability, there is
a critical need to pursue alternative modalities. VIP (vasoactive intestinal
peptide) and PACAP (pituitary adenylate cyclase-activating peptide) have potent
anti-inflammatory and neuroprotective actions, and have shown significant
activity in animal inflammatory disease models including the EAE (experimental
autoimmune encephalomyelitis) MS model. Thus, their receptors have become
candidate targets for inflammatory diseases. Here, we will discuss the
immunomodulatory and neuroprotective actions of VIP and PACAP and their
signalling pathways, and then extensively review the structure-activity
relationship data and biophysical interaction studies of these peptides with
their cognate receptors.
PMID- 21895611
TI - Combined ultrasound and biochemistry for risk evaluation in the first trimester:
the Stockholm experience of a new web-based system.
AB - OBJECTIVE: To evaluate the performance of a new first trimester web-based
software for the detection of chromosomal anomalies using a combination of
ultrasound and biochemistry. DESIGN: Registry-based cohort study. SETTING:
Ultrasound units in the Stockholm region. POPULATION: 20 710 women with singleton
pregnancies were examined at 11(+0) to 13(+6) weeks' gestational age during a
three-year period 2006-2009. METHODS: The risks for trisomy 21, 13 and 18 were
calculated using a combination of maternal age, serum markers and nuchal
translucency. Individual risk estimates were calculated and then reported to a
web-based system using a new algorithm based on likelihood ratios of each marker
derived from Gaussian distributions in normal and affected pregnancies. MAIN
OUTCOME MEASURES: The impact on rates of invasive testing and the incidence of
children born with Down's syndrome after implementing the method. RESULTS:
Approximately a third of all pregnant women in the region were examined with the
combined test. The detection and test positive rates for Down's syndrome was 90
and 6.8%, respectively. Invasive testing among pregnant women decreased from 15
to 8% after introducing the method but the incidence of children born with Down's
syndrome did not decrease during the study period. CONCLUSION: The new web-based
software is an effective method for the detection of trisomy 21 with similar
performance compared to other programs. However, it needs to be offered to all
pregnant women to have an impact on the incidence of Down's syndrome.
PMID- 21895612
TI - Platelet antibodies and fetal growth: maternal antibodies against fetal platelet
antigen 1a are strongly associated with reduced birthweight in boys.
AB - OBJECTIVE: To assess whether maternal HPA 1a alloimmunization is associated with
birthweight. DESIGN: A retrospective observational cohort study. SETTING: The
national reference laboratory for clinical platelet immunology at a university
hospital. POPULATION: 165 HPA 1a incompatible pregnancies identified from a
recent screening study of 100 448 women (124 pregnancies) and the national
reference laboratory for clinical platelet immunology (41 pregnancies). METHODS:
A linear mixed model analysis was used to assess whether maternal anti-HPA 1a
antibodies were associated with birthweight. A generalized linear model was used
to assess maternal anti-HPA 1a antibodies as risk factor for small-for
gestational age neonates. Both models were adjusted for gestational age at time
of delivery, maternal age, parity, smoking habits during pregnancy, preeclampsia,
diabetes mellitus and fetal sex. MAIN OUTCOME MEASURES. Maternal anti-HPA 1a
antibody as risk factor of reduced birthweight and small-for-gestational age
neonates. RESULTS: The level of maternal anti-HPA 1a antibodies was significantly
associated with birthweight and risk of small-for-gestational age neonates after
correcting for confounding variables (p<0.001). However, this association was
only significant for boys. When the mother had high levels of anti-HPA 1a
antibodies during pregnancy, the adjusted mean birthweight in boys was 530g lower
compared with anti-HPA 1a antibody negative pregnancies (p<0.001). CONCLUSIONS: A
linear relation between maternal anti-HPA 1a antibody levels and reduced
birthweight in boys was demonstrated. Reduced birthweight should be considered a
possible complication of fetal and neonatal alloimmune thrombocytopenia.
PMID- 21895613
TI - Long-term outcome of porcine skin graft in surgical treatment of recurrent pelvic
organ prolapse. An open randomized controlled multicenter study.
AB - OBJECTIVE: To determine the long-term objective and subjective outcomes of use of
a porcine skin graft (Pelvicol) compared with conventional colporrhaphy in
recurrent pelvic organ prolapse surgery and to analyze risk factors and safety.
DESIGN: Open randomized controlled multicenter study. SETTING: Eight Swedish
hospitals. POPULATION: 135 consecutive women with recurrent cystocele and/or
rectocele admitted for vaginal prolapse surgery; 132 completed the study, 64 were
randomly allocated to receive conventional colporrhaphy and 68 to Pelvicol.
METHODS: Conventional anterior and posterior colporrhaphy and colporrhaphy with
use of Pelvicol mesh reinforcement. Clinical evaluation by means of pelvic organ
prolapse quantification (POP-Q) and symptom questionnaire preoperatively, three
months and three years postoperatively. MAIN OUTCOME MEASURES: Anatomical and
subjective outcome. Recurrence was defined as POP-Q >= stage 2. RESULTS: At three
month follow-up, early recurrence/surgical failures occurred significantly more
often in the Pelvicol group, but at the three-year follow-up the recurrence rates
were similar. The recurrence rates in the anterior compartment were 57-62% and 44
23% in the posterior compartment for the colporrhaphy and Pelvicol groups,
respectively. Symptoms were substantially and equally reduced in the two groups
after surgery. Sexual activity and function did not seem to be affected adversely
in any group. The complication rate was low. Risk factors for anatomical
recurrence were age, body mass index and preoperative stage of the prolapse.
CONCLUSIONS: With the surgical technique used in this study, Pelvicol did not
provide advantages over conventional colporrhaphy in recurrent pelvic organ
prolapse concerning anatomical and subjective outcomes.
PMID- 21895614
TI - First trimester prediction of small- and large-for-gestation neonates by an
integrated model incorporating ultrasound parameters, biochemical indices and
maternal characteristics.
AB - OBJECTIVE: To identify maternal/pregnancy characteristics, first trimester
ultrasound parameters and biochemical indices which are significant independent
predictors of small-for-gestational age (SGA) and large-for-gestational age (LGA)
neonates. DESIGN: Retrospective cross-sectional study. SETTING: Two fetal
Medicine Units. POPULATION: 4 702 singleton pregnancies presenting for screening
for chromosomal abnormalities by nuchal translucency and maternal serum
biochemistry at 11-14 weeks. METHODS: Reference ranges for birthweight applied to
our population were constructed by the Royston and Wright method. Multiple
logistic regression was applied to develop first trimester prediction models for
SGA and LGA. MAIN OUTCOME MEASURES: Birth of SGA or LGA neonate. RESULTS:
Maternal height, parity, smoking, assisted conception, delta crown-rump length,
delta nuchal translucency, free beta human chorionic gonadotrophin and pregnancy
associated plasma protein-A were significant independent predictors of SGA.
Maternal weight and height, smoking, delta crown-rump length and delta nuchal
translucency were significant independent predictors of LGA. Models for SGA
(AUC=0.7296, CI: 0.69-0.76, p<0.0001) and LGA (AUC=0.6901, CI: 0.65-0.72,
p<0.0001) were derived, applicable to routine obstetric population at low risk
for these conditions. For 20% screen positive rate the modeling achieves
sensitivities of about 55% for SGA and 48% for LGA neonates. CONCLUSION:
Prediction for birthweight deviations is feasible using data available at the
routine 11-14 weeks' examination. Delta CRL and delta nuchal translucency were
significant independent predictors for both SGA and LGA.
PMID- 21895615
TI - Mass ligation: M cross double ligation with the edge picked up.
PMID- 21895616
TI - Mammalian target of rapamycin (mTOR) inhibitors slow skin carcinogenesis, but
impair wound healing.
AB - BACKGROUND: Recent studies suggest that patients on mammalian target of rapamycin
(mTOR) inhibitors experience a reduction in cutaneous carcinogenesis by an
estimated 50% or more compared with calcineurin inhibitors. While randomized
trials are running, organ transplant recipients are frequently switched from
calcineurin inhibitors to mTOR inhibitors when cutaneous carcinogenesis
increases. OBJECTIVES: To slow carcinogenesis in our patient, a heart transplant
recipient with a neuropathic diabetic foot syndrome who had developed cutaneous
carcinogenesis at a rate of more than 20 squamous cell carcinomas (SCC) annually.
METHODS: The patient's immunosuppression was switched from the calcineurin
inhibitor ciclosporin to the mTOR inhibitor everolimus. RESULTS: Carcinogenesis
slowed to six SCC annually; however, he developed recalcitrant diabetic foot
ulcers which were purely neuropathic and nonangiopathic, and a limb-threatening
fistulating necrotic erysipelas of the right leg. Both sites responded poorly to
antibiotic therapy, offloading and debridement. This skin fistula became chronic
and some toes were at risk for minor amputation. In view of the propensity for
mTOR inhibitors to impair would healing, immunosuppression was switched back to
ciclosporin. All wounds healed rapidly, but skin carcinogenesis rose to former
levels. CONCLUSIONS: This case impressively illustrates the clinical dilemma for
mTOR inhibitor use where benefit in carcinogenesis is counterbalanced by
impairment in wound healing. Changes in immunosuppressive regimens should thus be
made on an individual basis with careful consideration of the relative risks.
PMID- 21895617
TI - Nutritional outcome in children with severe generalized recessive dystrophic
epidermolysis bullosa: a short- and long-term evaluation of gastrostomy and
enteral feeding.
AB - BACKGROUND: Generalized recessive dystrophic epidermolysis bullosa (RDEB) is
often complicated by high nutritional difficulties with risks of malnutrition.
OBJECTIVES: To provide information regarding the benefits of enteral feeding by
gastrostomy (GTF), energy and protein requirements, tolerance, growth and
pubertal development in children with RDEB. METHODS: Twenty-four patients were
referred over a 7-year period in a retrospective study. Gastrostomy placement was
decided in patients unable to feed orally and/or presenting loss in weight and
height of at least 1 SD compared with their best growth level, despite regular
nutritional advice. Weight and height were expressed as Z-scores. Catch-up growth
following GTF onset was studied. RESULTS: Gastrostomies were performed in 11
children (aged 9.0+/-5.8years), and one young man aged 18years. The body weight Z
score was -2.3+/-1.0, height Z-score 1.1+/-1.1, weight-for-height was 81+/-11%
and height-for-age 95+/- 4%. At onset, GTF provided 74+/-21% and 180+/-81% of the
recommended dietary allowance (RDA) for energy and proteins, respectively. At
study update (53+/-20months), GTF provided 91+/-29% and 205+/-100% of RDA for
energy and proteins, respectively. Weight-for-height reached 92+/-15% and height
for-age 98+/-5%. A normal puberty was obtained when GT was performed before the
age of 10years. Skin was not improved. CONCLUSION: Malnutrition was observed in
50% of the children with generalized RDEB. Protein and energy needs are
particularly high. GTF is well tolerated and helps with catch-up growth and
puberty. It must be considered before malnutrition onset, and, if necessary,
before puberty.
PMID- 21895618
TI - Molecular genetic support for the rule of dichotomy in type 2 segmental Darier
disease.
PMID- 21895619
TI - Bathing suit ichthyosis with summer exacerbation: a temperature-sensitive case.
PMID- 21895620
TI - Incidence, cancer risk and mortality of dermatomyositis and polymyositis in
Taiwan: a nationwide population study.
AB - BACKGROUND: Nationwide data on the epidemiology of dermatomyositis (DM) and
polymyositis (PM) were limited. OBJECTIVES: This study was to estimate the
incidence, occurrence of cancer and mortality of DM and PM in Taiwan. METHODS:
Both the register of critical illness of the Taiwan National Health Insurance
Research Dataset and the National Death Registry of Taiwan were used to calculate
estimates of the incidence, cancer association, and mortality of DM and PM
between 2003 and 2007. RESULTS: A total of 803 DM and 500 PM cases were
identified between 2003 and 2007. Mean age at diagnosis was 44.0 +/- 18.3 years
for DM and 49.2 +/- 15.9 years for PM. The overall annual incidences of DM and PM
were 7.1 (95% CI 6.6-7.6) and 4.4 (95% CI 4.0-4.8) cases per million population.
The incidence of both DM and PM increased with age and reached a peak at age 50
59 years. One hundred and eleven (13.8%) patients with DM and 31 (6.2%) patients
with PM had cancers. The diagnosis of most cancers was made after the diagnoses
of DM (n = 71; 64.0%) and PM (n = 21; 67.7%). Overall, the standardized incidence
ratios (SIR) for cancer were 5.36 (4.12-6.87) and 1.80 (1.10-2.79) among patients
with DM and PM; however, during the first year, SIRs for cancer were 24.55 (95%
CI 18.62-31.79) and 9.17 (95% CI 14.82-15.93) in patients with DM and PM,
respectively. The most common types of cancer were nasopharyngeal cancer for men
and breast cancer for women. Patients with DM and PM had standardized mortality
ratios of 7.68 (6.41-9.01) and 5.29 (4.28-6.48). CONCLUSION: This study reports
robust estimates of important aspects of the epidemiology of both DM and PM in
Taiwan. This highlights the rarity of these diseases, and their associated cancer
risks and increased mortality.
PMID- 21895621
TI - Lactobacillus salivarius plus fructo-oligosaccharide is superior to fructo
oligosaccharide alone for treating children with moderate to severe atopic
dermatitis: a double-blind, randomized, clinical trial of efficacy and safety.
AB - BACKGROUND: Some probiotics can ameliorate childhood atopic dermatitis (AD).
Prebiotics have also shown some efficacy, although when combined with probiotics
as synbiotics, their efficacy may improve. OBJECTIVE: We compared the effects of
Lactobacillus salivarius and fructo-oligosaccharide (synbiotic) with fructo
oligosaccharide alone (prebiotic) on children with moderate to severe AD.
METHODS: We randomly assigned 60 children aged 2-14years with moderate to severe
AD [SCORing AD (SCORAD)>25] to a treatment (synbiotic) or a control (prebiotic)
group (30 per group). They received one capsule twice daily for 8weeks containing
either L. salivarius plus fructo-oligosaccharide (treatment) or fructo
oligosaccharide only (control). SCORAD indices were monitored at weeks 0, 4, 8
and 10 (post-treatment). Laboratory results and AD medication use were also
monitored. RESULTS: Baseline demographic and clinical characteristics and SCORAD
scores were similar between the two groups. At 8weeks, the treatment group SCORAD
scores (27.4+/-12.7) were significantly lower than for the controls (36.3+/-14.9)
(P=0.022); this difference remained at 10weeks. At 8weeks, treatment group AD
intensity was significantly lower (P=0.013); more children had mild AD in the
treatment group (52%; 14/27) than the control group (30%; 8/27) (P=0.024).
Medication use frequency and eosinophil cationic protein levels were
significantly reduced in the treatment group at 8weeks compared with 4 weeks.
CONCLUSION: A synbiotic combination of L. salivarius plus fructo-oligosaccharide
is superior to the prebiotic alone for treating moderate to severe childhood AD.
However, continued follow-up will be necessary to ascertain long-term benefits.
PMID- 21895622
TI - Demonstration of autoantibodies against tyrosine hydroxylase in patients with
alopecia areata.
AB - BACKGROUND: There is strong evidence to suggest that alopecia areata (AA) is a
tissue-specific, T cell-mediated autoimmune disease, which is usually
characterized by patchy areas of hair loss on the scalp. Tyrosine hydroxylase
(TH) is a known B-cell autoantigen in patients with autoimmune polyendocrine
syndrome type 1 (APS1) associated with the presence of AA. In addition,
melanocyte-specific proteins, gp100 and MelanA, are putative T-cell autoantigens
in AA and so may also represent targets of the humoral immune response.
OBJECTIVE: To analyse the sera of patients with AA for the presence of antibodies
against TH and the melanocyte-specific proteins tyrosinase, tyrosinase-related
protein (TRP)-1, TRP-2, gp100 and MelanA. METHODS: Radioimmunoassays were used to
detect the relevant antibodies in sera from patients with AA (n = 32) and in sera
from healthy individuals (n = 28). RESULTS: Of 32 patients with AA, six (19%)
were positive for TH antibodies. A significant increase in the frequency of TH
antibodies in the AA patient group was evident when compared with controls (P =
0.03). Only three of 32 (9%) patients exhibited antibody responses to tyrosinase,
TRP-1, TRP-2 and gp100. No immunoreactivity against MelanA was detected in any
patient with AA. CONCLUSION: Antibodies against TH can be present in patients
with AA unrelated to APS1. Humoral immune responses against tyrosinase, TRP-1,
TRP-2, gp100 and MelanA are not prevalent in patients with AA. Overall, a
dominant melanocyte-specific B-cell autoantigen in AA has yet to be identified.
PMID- 21895623
TI - Ultraviolet-induced red fluorescence of patients with acne reflects regional
casual sebum level and acne lesion distribution: qualitative and quantitative
analyses of facial fluorescence.
AB - BACKGROUND: The ultraviolet (UV)-induced red fluorescence of patients with acne
has been considered to be caused by Propionibacterium acnes. OBJECTIVES: To study
the correlation of the facial red fluorescence with the casual sebum level and
the number of acne lesions and to investigate the difference in clinical
features, according to both distribution and proportion of fluorescence. METHODS:
A total of 878 patients clinically diagnosed with acne vulgaris were included.
Inflammatory and noninflammatory acne lesions were counted separately. UV
fluorescent photography and casual sebum level measurements were performed. UV
induced fluorescence patterns were classified according to the facial
distribution. The proportions of UV-induced red fluorescence were calculated.
RESULTS: We identified six different fluorescence distribution patterns in the T
zone (the forehead, nose and chin) and three different patterns in the U-zone
(both cheeks). The proportion of fluorescence in the U-zone showed a positive
correlation with the casual sebum level and the number of acne lesions. In the T
zone, the fluorescence proportion correlated with the casual sebum level, but not
with the number of acne lesions. As the patients' age and the age at onset
increased, the distribution of fluorescence changed from the upper part of the T
zone to the lower part, and to the centre of the face in the U-zone. CONCLUSIONS:
Our results support the hypothesis that the origin of facial red fluorescence is
sebum. In patients with acne, analyses of the pattern and proportion of UV
induced red fluorescence can be useful for evaluating the sebum secretion and
selecting efficient treatment modalities.
PMID- 21895624
TI - The influence of nitric oxide synthase 2 on cutaneous wound angiogenesis.
AB - BACKGROUND: Inducible nitric oxide synthase (nitric oxide synthase 2, NOS 2)
inhibition significantly suppresses chronically ischaemic skin flap survival,
possibly because of reduced angiogenesis. OBJECTIVES: To investigate the effect
of genetic NOS 2 inhibition on cutaneous wound angiogenesis in two in vivo murine
models. The impact of NOS 2 manipulation on vascular endothelial growth factor
(VEGF)-A stimulated and fibroblast growth factor (FGF)-2 stimulated angiogenesis
was also investigated in the Matrigel((r)) plug assay. METHODS: (i) Matrigel
plugs/incisional wounds: two groups of NOS 2-/- mice and two groups of wild-type
(WT) mice had bilateral Matrigel plugs containing 500 ng mL(-1) VEGF-A or 1000 ng
mL(-1) FGF-2 injected subcutaneously in the abdomen. A 2.5 cm long dorsal
incisional skin wound was created and sutured closed in the same animals. Wounds
and plugs were explored at 7 or 12 days. (ii) Excisional wounds: dorsal 0.5 * 1.0
cm excisional skin wounds were created in four groups (two NOS 2-/- and two WT)
and explored at 7 or 14 days. Wounds and Matrigel plugs were examined
histologically and morphometrically for determination of percentage vascular
volume (PVV). RESULTS: The PVV in NOS 2-/- incisional wounds and excisional
wounds was significantly less than in WT wounds (P = 0.05 and P < 0.001,
respectively). The PVV was significantly less in VEGF-A stimulated Matrigel plugs
compared with FGF-2 stimulated plugs in NOS 2-/- mice (P < 0.01), but not in WT
mice. CONCLUSIONS: NOS 2 is significantly involved in angiogenic signalling in
healing skin wounds, particularly within the first 7 days. However, Matrigel plug
vascularization suggests that the role of NOS 2 in angiogenesis is related to
VEGF-A but not FGF-2 stimulated angiogenesis.
PMID- 21895625
TI - Misdiagnosis and delay in referral of children with localized scleroderma.
AB - BACKGROUND: Localized scleroderma (LS) usually begins in childhood with a broad
clinical spectrum and the diagnosis is often delayed. OBJECTIVES: To investigate
the diagnostic pathway in a large cohort of paediatric patients with LS, to
identify the duration until correct diagnosis and to characterize clinical clues
for early diagnosis. METHODS: A retrospective case note review of 50 children
with LS. RESULTS: The median (range) age at disease onset was 5.2 (0.1-14.4)
years and disease duration until diagnosis 11.1 (1.8-79) months. The patients
were first seen by a general practitioner (or paediatrician) after 1.2 (0.2-48.7)
months and in none of the cases was the condition recognized at presentation
according to a parental questionnaire (no diagnosis in 44%, misdiagnosis of
atopic eczema 20%, melanocytic naevus 8%, fungal infection 6%, bruise 4%,
varicose vein 4%, bacterial infection 4% and others). The patients were referred
to a local specialist (dermatologist in 72%) after a disease duration of 7.5 (1.0
70.9) months and in 64% the correct diagnosis was established. In 20% the
diagnosis remained unknown, 8% were misdiagnosed as port-wine stains and others
as atopic eczema and melanocytic naevus. The correct diagnosis was eventually
identified by the referring dermatologists, the paediatric dermatologists at our
hospital, external maxillofacial surgeons and a paediatrician in 29 (58%), 17
(34%), 3 (6%) and 1 (2%), respectively. Histology was performed in 15 (30%). The
patients were commenced on appropriate treatment after a disease duration of 16.6
(1.8-113.4) months. The main clinical diagnostic clues were: Blaschko-linear
distribution 76%, atrophic changes 68%, skin fibrosis 40% and loss of scalp hair
or eyelashes 36%. CONCLUSIONS: Physicians involved in the care of these children
need to be aware of the characteristic clinical appearance of LS for early
recognition and prompt initiation of treatment.
PMID- 21895626
TI - Quality of life in psoriasis and chronic hand eczema: the discrepancy in the
definition of severity in NICE guidelines and its implications.
PMID- 21895627
TI - Parallel use of by-passing agents in haemophilia with inhibitors: a critical
review.
AB - In the absence of new outbreaks of transfusion-related infections, the occurrence
of neutralizing antibodies currently remains the most prominent complication in
haemophilia. Coagulation factor products that may circumvent the inadequate
activation of factor X in classical haemophilia, often referred to as bypassing
agents, have demonstrated a high degree of efficacy. A smaller number of patients
have been described in whom either bypassing agent, or both, demonstrate
diminished efficacy. In those cases, the use of both bypassing agents in parallel
was attempted, either using simultaneous (combined) or alternating (sequential)
infusion of the two drugs, reportedly with successful haemostasis. We speculated
whether such treatment might cause thromboembolism. A thorough literature search
disclosed 17 reports regarding the parallel use of bypassing agents in the same
bleeding episode in 49 patients; reporting nine patients with acquired
haemophilia and forty patients with congenital haemophilia with inhibitors.
Notable incidences of thromboembolic manifestations were observed: in nine
patients with acquired haemophilia, five and in 40 patients with congenital
haemophilia five suffered from significant thrombotic complications, and overall
four cases were fatal. Although efficacy of parallel treatment was reported
excellent in most cases, thromboembolism is rare in haemophilia and parallel
treatment with activated prothrombin complex concentrate and activated
recombinant human factor VII appears to increase the risk of thrombosis in these
patients.
PMID- 21895628
TI - Effects of palmitoylation of Cys(415) in helix 8 of the CB(1) cannabinoid
receptor on membrane localization and signalling.
AB - BACKGROUND AND PURPOSE: The CB(1) cannabinoid receptor is regulated by its
association with membrane microdomains such as lipid rafts. Here, we investigated
the role of palmitoylation of the CB(1) receptor by analysing the functional
consequences of site-specific mutation of Cys(415) , the likely site of
palmitoylation at the end of helix 8, in terms of membrane association, raft
targeting and signalling. EXPERIMENTAL APPROACH: The palmitoylation state of
CB(1) receptors in rat forebrain was assessed by
depalmitoylation/repalmitoylation experiments. Cys(415) was replaced with alanine
by site-directed mutagenesis. Green fluorescence protein chimeras of both wild
type and mutant receptors were transiently expressed and functionally
characterized in SH-SY5Y cells and HEK-293 cells by means of confocal microscopy,
cytofluorimetry and competitive binding assays. Confocal fluorescence recovery
after photobleaching was used to assess receptor membrane dynamics, whereas
signalling activity was assessed by [(35) S]GTPgammaS, cAMP and co
immunoprecipitation assays. KEY RESULTS: Endogenous CB(1) receptors in rat brain
were palmitoylated. Mutation of Cys(415) prevented the palmitoylation of the
receptor in transfected cells and reduced its recruitment to plasma membrane and
lipid rafts; it also increased protein diffusional mobility. The same mutation
markedly reduced the functional coupling of CB(1) receptors with G-proteins and
adenylyl cyclase, whereas depalmitoylation abolished receptor association with a
specific subset of G-proteins. CONCLUSIONS AND IMPLICATIONS: CB(1) receptors were
post-translationally modified by palmitoylation. Mutation of Cys(415) provides a
receptor that is functionally impaired in terms of membrane targeting and
signalling. LINKED ARTICLES: This article is part of a themed section on
Cannabinoids in Biology and Medicine. To view the other articles in this section
visit http://dx.doi.org/10.1111/bph.2012.165.issue-8. To view Part I of
Cannabinoids in Biology and Medicine visit
http://dx.doi.org/10.1111/bph.2011.163.issue-7.
PMID- 21895629
TI - Preclinical development of CAT-354, an IL-13 neutralizing antibody, for the
treatment of severe uncontrolled asthma.
AB - BACKGROUND AND PURPOSE: IL-13 is a pleiotropic Th2 cytokine considered likely to
play a pivotal role in asthma. Here we describe the preclinical in vitro and in
vivo characterization of CAT-354, an IL-13-neutralizing IgG4 monoclonal antibody
(mAb), currently in clinical development. EXPERIMENTAL APPROACH: In vitro the
potency, specificity and species selectivity of CAT-354 was assayed in TF-1
cells, human umbilical vein endothelial cells and HDLM-2 cells. The ability of
CAT-354 to modulate disease-relevant mechanisms was tested in human cells
measuring bronchial smooth muscle calcium flux induced by histamine, eotaxin
generation by normal lung fibroblasts, CD23 upregulation in peripheral blood
mononuclear cells and IgE production by B cells. In vivo CAT-354 was tested on
human IL-13-induced air pouch inflammation in mice, ovalbumin-sensitization and
challenge in IL-13 humanized mice and antigen challenge in cynomolgus monkeys.
KEY RESULTS: CAT-354 has a 165 pM affinity for human IL-13 and functionally
neutralized human, human variant associated with asthma and atopy (R130Q) and
cynomolgus monkey, but not mouse, IL-13. CAT-354 did not neutralize human IL-4.
In vitro CAT-354 functionally inhibited IL-13-induced eotaxin production, an
analogue of smooth muscle airways hyperresponsiveness, CD23 upregulation and IgE
production. In vivo in humanized mouse and cynomolgus monkey antigen challenge
models CAT-354 inhibited airways hyperresponsiveness and bronchoalveolar lavage
eosinophilia. CONCLUSIONS AND IMPLICATIONS: CAT-354 is a potent and selective IL
13-neutralizing IgG4 mAb. The preclinical data presented here support the
trialling of this mAb in patients with moderate to severe uncontrolled asthma.
PMID- 21895630
TI - Small molecule chemokine mimetics suggest a molecular basis for the observation
that CXCL10 and CXCL11 are allosteric ligands of CXCR3.
AB - BACKGROUND AND PURPOSE: The chemokine receptor CXCR3 directs migration of T-cells
in response to the ligands CXCL9/Mig, CXCL10/IP-10 and CXCL11/I-TAC. Both ligands
and receptors are implicated in the pathogenesis of inflammatory disorders,
including atherosclerosis and rheumatoid arthritis. Here, we describe the
molecular mechanism by which two synthetic small molecule agonists activate
CXCR3. EXPERIMENTAL APPROACH: As both small molecules are basic, we hypothesized
that they formed electrostatic interactions with acidic residues within CXCR3.
Nine point mutants of CXCR3 were generated in which an acidic residue was mutated
to its amide counterpart. Following transient expression, the ability of the
constructs to bind and signal in response to natural and synthetic ligands was
examined. KEY RESULTS: The CXCR3 mutants D112N, D195N and E196Q were efficiently
expressed and responsive in chemotaxis assays to CXCL11 but not to CXCL10 or to
either of the synthetic agonists, confirmed with radioligand binding assays.
Molecular modelling of both CXCL10 and CXCR3 suggests that the small molecule
agonists mimic a region of the '30s loop' (residues 30-40 of CXCL10) which
interacts with the intrahelical CXCR3 residue D112, leading to receptor
activation. D195 and E196 are located in the second extracellular loop and form
putative intramolecular salt bridges required for a CXCR3 conformation that
recognizes CXCL10. In contrast, CXCL11 recognition by CXCR3 is largely
independent of these residues. CONCLUSION AND IMPLICATIONS: We provide here a
molecular basis for the observation that CXCL10 and CXCL11 are allosteric ligands
of CXCR3. Such findings may have implications for the design of CXCR3
antagonists.
PMID- 21895632
TI - Functional selectivity of central Galpha-subunit proteins in mediating the
cardiovascular and renal excretory responses evoked by central alpha(2)
adrenoceptor activation in vivo.
AB - BACKGROUND AND PURPOSE: Activation of brain alpha(2) -adrenoceptors in conscious
rodents decreases heart rate (HR) and mean arterial blood pressure (MAP) and
increases urine output and urinary sodium excretion. In vitro, alpha(2)
adrenoceptor stimulation activates Galpha(i(1-3)) , Galpha(o) and Galpha(s)
subunit protein-gated signal transduction pathways. Here we have investigated
whether these same Galpha-subunit protein-gated pathways mediate the
cardiovascular and renal excretory responses to central alpha(2) -adrenoceptor
activation in conscious Sprague-Dawley rats. EXPERIMENTAL APPROACH: Rats were pre
treated by intracerebroventricular injection (i.c.v.) with an
oligodeoxynucleotide (ODN) targeted to a Galpha(i1) , Galpha(i2) , Galpha(i3) ,
Galpha(o) , Galpha(s) or a scrambled (SCR) ODN sequence (25 ug, 24 h). On the day
of study, the alpha(2) -adrenoceptor agonist guanabenz (50 ug) or saline vehicle,
was injected i.c.v. into ODN-pre-treated conscious rats. MAP and HR were
recorded, and urine was collected for 150 min. KEY RESULTS: In vehicle- and SCR
ODN-pre-treated rats, i.c.v. guanabenz decreased MAP and HR, and produced marked
diuretic and natriuretic responses. Selective ODN-mediated down-regulation of
brain Galpha(i2) -subunit proteins abolished the central guanabenz-induced
hypotension and natriuresis. In contrast, following selective Galpha(s) down
regulation, the characteristic hypotensive response to i.c.v. guanabenz was
converted to an immediate increase in MAP. The bradycardic and diuretic responses
to i.c.v. guanabenz were not blocked by pre-treatment with any ODN. CONCLUSIONS
AND IMPLICATIONS: There was functional selectivity of Galpha(i2) and Galpha(s)
subunit protein-gated signal transduction pathways in mediating the hypotensive
and natriuretic, but not bradycardic or diuretic, responses evoked by central
alpha(2) -adrenoceptor activation in vivo.
PMID- 21895633
TI - Audiological findings in 100 USH2 patients.
AB - Bilateral sensorineural hearing loss (HL), classically described as mild to
severe with a typically down-sloping audiometric configuration, is the earliest
symptom occurring in Usher syndrome type II (USH2). Audiological findings were
analyzed in a total of 100 USH2 patients (92 families) divided into three groups
according to the gene involved: 88 USH2A, 10 GPR98 and 2 DFNB31 patients. A fine
analysis of audiograms was performed (pure tone average, degree of severity,
configuration). The median age of HL diagnosis was 5 years (range 8 months-31
years) although the median age at USH2 diagnosis was 34.5 (range 8-76). Moderate
HL was predominant (76%) and a gently down-sloping configuration characterized
most audiograms (66%). No statistically significant difference was found between
USH2A and GPR98 patients but a tendency was clearly noted for more GPR98 patients
to present with severe hearing loss. It is not possible to predict the mutated
gene from audiograms.
PMID- 21895631
TI - Human obesity and endothelium-dependent responsiveness.
AB - Obesity is an ongoing worldwide epidemic. Besides being a medical condition in
itself, obesity dramatically increases the risk of development of metabolic and
cardiovascular disease. This risk appears to stem from multiple abnormalities in
adipose tissue function leading to a chronic inflammatory state and to
dysregulation of the endocrine and paracrine actions of adipocyte-derived
factors. These, in turn, disrupt vascular homeostasis by causing an imbalance
between the NO pathway and the endothelin 1 system, with impaired insulin
stimulated endothelium-dependent vasodilation. Importantly, emerging evidence
suggests that the vascular dysfunction of obesity is not just limited to the
endothelium, but also involves the other layers of the vessel wall. In
particular, obesity-related changes in medial smooth muscle cells seem to disrupt
the physiological facilitatory action of insulin on the responsiveness to
vasodilator stimuli, whereas the adventitia and perivascular fat appear to be a
source of pro-inflammatory and vasoactive factors that may contribute to
endothelial and smooth muscle cell dysfunction, and to the pathogenesis of
vascular disease. While obesity-induced vascular dysfunction appears to be
reversible, at least in part, with weight control strategies, these have not
proved sufficient to prevent the metabolic and cardiovascular complication of
obesity on a large scale. While a number of currently available drugs have shown
potentially beneficial vascular effects in patients with obesity and the
metabolic syndrome, elucidation of the pathophysiological mechanisms underlying
vascular damage in obese patients is necessary to identify additional
pharmacologic targets to prevent the cardiovascular complications of obesity, and
their human and economic costs. LINKED ARTICLES: This article is part of a themed
section on Fat and Vascular Responsiveness. To view the other articles in this
section visit http://dx.doi.org/10.1111/bph.2012.165.issue-3.
PMID- 21895634
TI - Recent genomic advances in schizophrenia.
AB - Recent studies have supported the hypothesis based upon expectations from
population genetics that the high heritability of schizophrenia reflects a
combination of relatively common alleles of small effect and rare alleles some
with relatively large effects. Genome-wide association studies have identified a
number of risk loci at genome-wide levels of significance as well as evidence for
a substantial burden of common risk loci. Moreover these recent findings suggest
genetic overlap with bipolar disorder which has traditionally been assumed to be
genetically distinct from schizophrenia. Genome-wide studies of at least one
class of relatively uncommon variant, submicroscopic chromosomal abnormalities
often referred to as copy number variations (CNVs), suggest that these confer
high risk of schizophrenia. There is evidence both for an increased burden of
large, rare CNVs in schizophrenia and that risk is conferred by a number of
specific large CNVs as well as by deletions of NRXN1 which encodes the synaptic
scaffolding protein neurexin 1. Many of these CNVs have been implicated in
autism, mental retardation, epilepsy and other neurodevelopment disorders. These
findings have implications for pathogenesis and nosology of schizophrenia and
related disorders, and for future genetic studies.
PMID- 21895635
TI - BRCA1 and BRCA2 mutations among familial breast cancer patients from Costa Rica.
AB - The contribution of mutations in BRCA1 and BRCA2 genes to the burden of breast
cancer in Costa Rica has not been studied. We estimated the frequency of BRCA
mutations among 111 Costa Rican women with breast cancer and a family history of
breast cancer. These women were mainly from the metropolitan area of San Jose. A
detailed family history was obtained from each patient and a blood sample was
processed for DNA extraction. Mutations in BRCA1 and BRCA2 were sought using a
combination of techniques and all mutations were confirmed by direct sequencing.
Four different mutations were identified in five patients (four in BRCA2 and one
in BRCA1) representing 4.5% of the total. Two unrelated patients were found to
have a BRCA2 5531delTT mutation. Other BRCA2 mutations included C5507G and
6174delT. Only one BRCA1 mutation was found (C3522T). The family with the BRCA1
mutation had five cases of gastric cancer. Families with BRCA2 mutations were
also reported to have cases of gastric and prostate cancers; however, the full
range of cancers associated with BRCA1 and BRCA2 mutations in Costa Rica has not
yet been established.
PMID- 21895636
TI - Detection of chromosomal aneuploidies in fetal cells isolated from maternal blood
using single-chromosome dual-probe FISH analysis.
AB - Detection of chromosomal aneuploidies using fetal cells isolated from maternal
blood, for prenatal non-invasive genetic investigation, has been a long-sought
goal of clinical genetics to replace amniocentesis and chorionic villous sampling
to avoid any risk to the fetus. The purpose of this study was to develop a
sensitive and specific new assay for diagnosing aneuploidy with circulating fetal
cells isolated from maternal blood as previously reported using two novel
approaches: (i) simultaneous immunocytochemistry (ICC) evaluation using a
monoclonal antibody for i-antigen, followed by fluorescence in situ hybridization
(FISH); (ii) dual-probe FISH analysis of interphase nuclei using two differently
labeled probes, specific for different loci of chromosomes 21 and 18; in
addition, short tandem repeats (STR) analysis on single cells isolated by
micromanipulation was applied to confirm the presence of fetal cells in the cell
sample enriched from maternal blood. Blood samples were obtained from women
carrying trisomic fetuses, and from non-pregnant women and men as controls. Using
ICC-FISH approach, a large heterogeneity in immunostaining pattern was observed,
which is a source of very subjective signal interpretation. Differently, dual
probe FISH analysis provided for a correct diagnosis of all pregnancies: the mean
percentage of trisomic cells was 0.5% (range, 0.36-0.76%), while the mean
percentage of trisomic cells in the control group (normal pregnancies or non
pregnant women) was <=0.20%. The application of the dual-probe FISH protocol on
fetal cells isolated from maternal blood enables accurate molecular detection of
fetal aneuploidy, thus providing a foundation for development of non-invasive
prenatal diagnostic testing.
PMID- 21895637
TI - Isolated and syndromic forms of congenital anosmia.
AB - Loss of smell (anosmia) is common in the general population and the frequency
increases with age. A much smaller group have no memory of ever being able to
smell and are classified as having isolated congenital anosmia (ICA). Families
are rare, and tend to present in a dominant inheritance pattern. Despite a strong
degree of heritability, no human disease-causing mutations have been identified.
Anosmia is part of the clinical spectrum in various diseases, as seen in Kallmann
syndrome, various ciliopathies and congenital insensitivity to pain. This review
will focus on ICA through already published families and cases as well as
syndromes where anosmia is part of the clinical disease spectrum. Furthermore,
olfactory signal transduction pathway genes and animal models may shed light on
potential candidate genes and pathways involved in ICA.
PMID- 21895639
TI - Of ORC and forks: the identification of mutations implicated in Meier-Gorlin
syndrome.
PMID- 21895638
TI - Adverse childhood experiences of persons at risk for Huntington's disease or
BRCA1/2 hereditary breast/ovarian cancer.
AB - Huntington's disease (HD) is known to have a negative impact on family life.
Offspring of HD patients may be exposed to adversity in childhood because of the
parent's disease and its psychological consequences. BRCA1/2 hereditary breast
and ovarian cancer (BRCA1/2) increases the risk for offspring of being exposed to
parental disease or loss. Childhood adversity is associated with psychopathology
and various other problems in later life. Adverse childhood experiences (ACEs)
before age 16 were assessed in adults at 50% risk for HD (n = 74) or BRCA1/2 (n =
82) and in controls (n = 101), using the Negative Life Events Scale. Mean number
and occurrence of ACEs were compared between groups. The odds of having
experienced adversity in childhood were higher in HD offspring and BRCA1/2
offspring than in controls. HD offspring reported a higher mean number of ACEs
than controls or BRCA1/2 offspring. In HD offspring, the prevalence of parental
disease and parental dysfunction experienced before age 16 was higher than in
controls. In BRCA1/2 offspring, the prevalence of parental loss before age 16 was
higher than in controls. This study indicates that 53% of HD offspring and 45% of
BRCA1/2 offspring are exposed to adversity in childhood or adolescence. The
relevance of these findings for counseling in predictive testing programs,
reproductive decision-making, and child rearing matters is discussed.
PMID- 21895640
TI - Polycystin-1: a key player in hereditary cystic kidney and liver disorders.
PMID- 21895641
TI - Systematic screening of FBN1 gene unclassified missense variants for splice
abnormalities.
AB - Defects at the level of pre-mRNA splicing are a common source of genetic mutation
but such mutations are not always easy to identify from DNA sequence data alone.
Clinical practice has only recently begun to incorporate analysis for this type
of abnormality. Some base changes at the DNA level currently viewed as
unclassified variants or missense mutations may influence RNA splicing. To
address this problem for fibrillin 1 (FBN1) gene missense mutations we have
carried out RNA analysis and in silico analysis with splice site prediction
programs on 40 cases with 36 different mutations. Direct analysis of RNA from
blood was performed by cDNA preparation, PCR amplification of specific FBN1
fragments, gel electrophoresis and sequencing of the PCR products. Of the 36
missense base changes, direct RNA analysis identified 2 which caused an
abnormality of splicing. In silico analysis using five splice site prediction
programs did not always accurately predict the splicing seen by direct RNA
analysis. In conclusion, some apparent missense mutations have an effect on
splicing which can be identified by direct RNA analysis, however, in silico
analysis of splice sites is not always accurate, should be carried out with more
than one prediction program and results should be used with caution.
PMID- 21895642
TI - A population-based study and systematic review of hearing loss in children with
cerebral palsy.
AB - AIM: The aims of this study were to estimate the frequency of hearing loss in
children with cerebral palsy (CP), to examine factors associated with hearing
loss, and to describe aspects of hearing in a population sample of children with
CP and hearing loss. METHOD: A systematic review of the international literature
was undertaken, and data on the frequency of hearing loss or severe hearing loss
were extracted from 14 data sets based on previously devised criteria. Six
hundred and eight-five children with CP (406 males, 279 females) born in
Victoria, Australia, between 1999 and 2004 were identified from the Victorian
Cerebral Palsy Register. Children were included if they had an established post
neonatal cause for their CP before the age of 2 years. Additional information was
collected on 48 children with documented hearing loss based on a four-tone pure
tone average in the better ear. RESULTS: There was considerable variation in the
definitions and proportions of hearing loss (range 4-13%) and severe hearing loss
(range 2-12%) reported by CP registries in developed countries. In Victoria, 7%
of individuals with CP had bilateral hearing loss of a moderate to profound
degree, whereas the subgroup with a severe-profound degree of loss constituted 3%
to 4% of the CP population. INTERPRETATION: These population-based data are
likely to more accurately reflect the true frequency of defined hearing loss in
children with CP than previous reviews.
PMID- 21895643
TI - Describing hearing in individuals with cerebral palsy.
PMID- 21895644
TI - Unilateral periventricular leukomalacia in association with pyruvate
dehydrogenase deficiency.
AB - Pyruvate dehydrogenase (PDH) deficiency is a major cause of primary lactic
acidosis and neurological dysfunction in infancy and early childhood. A
deficiency of PDH E1 alpha, a subunit of the PDH complex, is a prominent cause of
congenital lactic acidosis. We describe a female infant born at term and
delivered by emergency Caesarean section because of fetal distress. There was no
parental consanguinity. She presented at 5 months of age with failure to thrive,
microcephaly, hypertonia, and developmental impairment. Her plasma and
cerebrospinal fluid lactate were raised. She had raised plasma pyruvate with a
normal lactate-pyruvate ratio. Magnetic resonance imaging of the brain showed a
focal dilatation of the right lateral ventricle with unilateral periventricular
leukomalacia (PVL) with subependymal cyst. Skin fibroblast culture assay revealed
PDH deficiency, confirmed by mutation analysis of the E1 alpha subunit. At 18
months of age, she has hypertonia and global impairment and is making slow
progress. Denver II assessment showed delay in gross motor, fine motor, adaptive,
personal, social, and language categories. She has been treated with
dichloroacetate and a ketogenic diet since the age of 10 and 13 months
respectively, without any side effects. To our knowledge, unilateral PVL as a
neuroradiological feature has not been described in children with PDH deficiency.
PDH deficiency should be considered as a differential diagnosis if PVL is
unilateral and if the perinatal history is not typical of PVL.
PMID- 21895645
TI - Spring water and water culture on Jeju island.
PMID- 21895646
TI - NAPL source zone depletion model and its application to railroad-tank-car spills.
AB - We developed a new semi-analytical source zone depletion model (SZDM) for
multicomponent light nonaqueous phase liquids (LNAPLs) and incorporated this into
an existing screening model for estimating cleanup times for chemical spills from
railroad tank cars that previously considered only single-component LNAPLs.
Results from the SZDM compare favorably to those from a three-dimensional
numerical model, and from another semi-analytical model that does not consider
source zone depletion. The model was used to evaluate groundwater contamination
and cleanup times for four complex mixtures of concern in the railroad industry.
Among the petroleum hydrocarbon mixtures considered, the cleanup time of diesel
fuel was much longer than E95, gasoline, and crude oil. This is mainly due to the
high fraction of low solubility components in diesel fuel. The results
demonstrate that the updated screening model with the newly developed SZDM is
computationally efficient, and provides valuable comparisons of cleanup times
that can be used in assessing the health and financial risk associated with
chemical mixture spills from railroad-tank-car accidents.
PMID- 21895647
TI - Field test of the superconducting gravimeter as a hydrologic sensor.
AB - We report on a field test of a transportable version of a superconducting
gravimeter (SG) intended for groundwater storage monitoring. The test was
conducted over a 6-month period at a site adjacent to a well in the recharge zone
of the karstic Edwards Aquifer, a major groundwater resource in central Texas.
The purpose of the study was to assess requirements for unattended operation of
the SG in a field setting and to obtain a gravimetric estimate of aquifer
specific yield. The experiment confirmed successful operation of the SG, but
water level changes were small (<0.3 m) leading to uncertainty in the estimate of
specific yield. Barometric pressure changes were the dominant cause of both water
level variations and non-tidal gravity changes. The specific yield estimate
(0.26) is larger than most published values and dependent mainly on low frequency
variations in residual gravity and water level time series.
PMID- 21895648
TI - Phylogenetic structure illuminates the mechanistic role of environmental
heterogeneity in community organization.
AB - 1. Diversity begets diversity. Numerous published positive correlations between
environmental heterogeneity and species diversity indicate ubiquity of this
phenomenon. Nonetheless, most assessments of this relationship are
phenomenological and provide little insight into the mechanism whereby such
positive association results. 2. Two unresolved issues could better illuminate
the mechanistic basis to diversity begets diversity. First, as environmental
heterogeneity increases, both productivity and the species richness that
contributes to that productivity often increase in a correlated fashion thus
obscuring the primary driver. Second, it is unclear how species are added to
communities as diversity increases and whether additions are trait based. 3. We
examined these issues based on 31 rodent communities in the central Mojave
Desert. At each site, we estimated rodent species richness and characterized
environmental heterogeneity from the perspectives of standing primary
productivity and number of seed resources. We further examined the phylogenetic
structure of communities by estimating the mean phylogenetic distance (MPD) among
species and by comparing empirical phylogenetic distances to those based on
random assembly from a regional species pool. 4. The relationship between rodent
species diversity and environmental heterogeneity was positive and significant.
Moreover, diversity of resources accounted for more unique variation than did
total productivity, suggesting that variety and not total amount of resource was
the driver of increased rodent diversity. Relationships between environmental
heterogeneity and phylogenetic distance were negative and significant; species
were significantly phylogenetically over-dispersed in communities of low
environmental heterogeneity and became more clumped as environmental
heterogeneity increased. 5. Results suggest that species diversity increases with
environmental heterogeneity because a wider variety of resources allow greater
species packing within communities.
PMID- 21895649
TI - Adolescents' self-reported suicide attempts, self-harm thoughts and their
correlates across 17 European countries.
AB - BACKGROUND: Suicide is a leading cause of death among adolescents in Europe.
Self-harm thoughts and behaviours are documented precursors of completed suicide.
It is therefore of great importance to investigate the prevalence of suicide
thoughts and attempts and their correlates, with the aim of preventing this major
life-threatening public health problem. This study provides cross-national
European data on self-reported suicidal thoughts and attempts among adolescents.
METHODS: Data were obtained from 45,806 high school students aged 15-16 years
from 17 countries that participated in the European School Survey Project on
Alcohol and Other Drugs (ESPAD) 2007 school survey. The standardised methods of
the ESPAD survey ensure comparability across countries. Students completed an
anonymous questionnaire in their classrooms. The prevalences of suicidal thoughts
and attempts are reported as well as their sociodemographic and psychosocial
correlates identified in logistic regression. RESULTS: The median prevalence of
any lifetime self-reported suicide attempt was 10.5% across the participating
countries (range 4.1%-23.5%). The median of frequent self-harm thoughts (at least
five times) was 7.4% (range 2.1%-15.3%). Suicidal behaviour and thoughts had
significant associations with gender, substance use, family integrity and
socioeconomic status. Countries with higher prevalence of substance use tended to
have a weaker association between substance use and self-reported suicide
attempts. CONCLUSION: Although self-reported self-harm thoughts and suicide
attempts vary in prevalence within Europe, there are common correlates across
countries. These have an important impact on understanding the phenomenon of
suicide among young people and in guiding prevention.
PMID- 21895650
TI - Electrocortical reactivity to emotional faces in young children and associations
with maternal and paternal depression.
AB - BACKGROUND: The late positive potential (LPP) is an event-related potential
component that indexes selective attention toward motivationally salient
information and is sensitive to emotional stimuli. Few studies have examined the
LPP in children. Depression has been associated with reduced reactivity to
negative and positive emotional stimuli, including reduced LPPs in response to
emotional faces. The current study sought to identify the time course and scalp
distribution of the LPP in response to emotional faces in young children and to
determine whether reduced reactivity is observed among children at risk for
depression. METHODS: Electrocortical reactivity to emotional faces was examined
in a large sample of young children and as a function of maternal and paternal
depression. RESULTS: In the overall sample, emotional faces were associated with
increased positivities compared to neutral faces at occipital sites 200-600 ms
after stimulus onset and at parietal sites 600-1,000 ms after stimulus onset.
Children of mothers with a history of depressive disorders exhibited reduced
differentiation in the early occipital LPP for emotional compared to neutral
faces. CONCLUSIONS: Results suggest that children as young as 6 years exhibit
LPPs to emotional faces, and patterns of electrocortical reactivity to emotional
stimuli may be associated with vulnerability to depressive disorders.
PMID- 21895651
TI - Annual research review: hoarding disorder: potential benefits and pitfalls of a
new mental disorder.
AB - BACKGROUND: The inclusion of a new mental disorder in the nomenclature is not a
trivial matter. Many have highlighted the risks of an ever-increasing number of
mental disorders and of overpathologizing human behaviour. Given the proposed
inclusion of a new hoarding disorder (HD) in DSM-5 (Diagnostic and Statistical
Manual of Mental Disorders, fifth edition), it is pertinent to discuss the
potential benefits and pitfalls of such a development. METHOD: In this article,
we examine whether HD fits with the current DSM-IV (Diagnostic and Statistical
Manual of Mental Disorders, fourth edition) and proposed DSM-5 definitions of
'mental disorder'. We next discuss the potential benefits and risks of the
creation of this diagnosis. Finally, we address some additional considerations
that may arise when proposing a new disorder for the nomenclature and identify
some of the gaps in the knowledge base. CONCLUSION: HD fits the current DSM-IV
and proposed DSM-5 definitions for a mental disorder. On balance, the potential
benefits of creating the new diagnosis (e.g. identification of the majority of
cases who clearly suffer and need help but are currently missed out by the
existing diagnostic categories) outweigh the potential harms (e.g. pathologizing
normal behaviour). Whether the criteria will need modification for their use in
children/adolescents is unclear and more research is needed to address this
question.
PMID- 21895652
TI - Figure of merit for environmental SEM and its implications.
AB - A recently introduced figure of merit for environmental and low vacuum scanning
electron microscopes has now been computed in the full operational pressure range
for one commercial instrument. The direct simulation Monte Carlo method has been
used in lieu of experimental measurements. The theory of this figure of merit is
further consolidated. It is shown that a thin pressure limiting aperture can
indeed be used as an optimum reference system for all instruments employing
differential pumping in the transfer of an electron beam from high vacuum to high
pressure. The implications of the results obtained are discussed both in relation
to existing commercial instruments and associated literature to pave the way for
future progress in the field.
PMID- 21895653
TI - Automated and semi-automated cell tracking: addressing portability challenges.
AB - Cell tracking is a key task in the high-throughput quantitative study of
important biological processes, such as immune system regulation and
neurogenesis. Variability in cell density and dynamics in different videos,
hampers portability of existing trackers across videos. We address these
potability challenges in order to develop a portable cell tracking algorithm. Our
algorithm can handle noise in cell segmentation as well as divisions and deaths
of cells. We also propose a parameter-free variation of our tracker. In the
tracker, we employ a novel method for recovering the distribution of cell
displacements. Further, we present a mathematically justified procedure for
determining the gating distance in relation to tracking performance. For the
range of real videos tested, our tracker correctly recovers on average 96% of
cell moves, and outperforms an advanced probabilistic tracker when the cell
detection quality is high. The scalability of our tracker was tested on synthetic
videos with up to 200 cells per frame. For more challenging tracking conditions,
we propose a novel semi-automated framework that can increase the ratio of
correctly recovered tracks by 12%, through selective manual inspection of only
10% of all frames in a video.
PMID- 21895654
TI - Determination of spatial correlation functions of refractive index of living
tissue.
AB - We present what to our knowledge the first method of determination of spatial
correlation functions of refractive index fluctuations of living tissues by
Fourier domain optical coherence tomography (FDOCT). Based on the second-order
statistical description of the random characteristic of living tissue, a formula
which clearly relates the spectral electrical power from the detector to the
Fourier spectrum of the refractive index correlation function is given. The
method is characterized by its capability of noninvasive measurements in vivo. It
has the potential of allowing quantitative discrimination between different
tissue types or the same tissue at different pathological states by determining
their Fourier components of spatial correlation functions of refractive index.
PMID- 21895655
TI - Mouse class III myosins: kinase activity and phosphorylation sites.
AB - As class III unconventional myosins are motor proteins with an N-terminal kinase
domain, it seems likely they play a role in both signaling and actin based
transport. A growing body of evidence indicates that the motor functions of human
class IIIA myosin, which has been implicated in progressive hearing loss, are
modulated by intermolecular autophosphorylation. However, the phosphorylation
sites have not been identified. We studied the kinase activity and
phosphorylation sites of mouse class III myosins, mMyo3A and 3B, which are highly
similar to their human orthologs. We demonstrate that the kinase domains of
mMyo3A and 3B are active kinases, and that they have similar, if not identical,
substrate specificities. We show that the kinase domains of these proteins
autophosphorylate, and that they can phosphorylate sites within their myosin and
tail domains. Using liquid chromatography-mass spectrometry, we identified
phosphorylated sites in the kinase, myosin motor and tail domains of both mMyo3A
and 3B. Most of the phosphorylated sites we identified and their consensus
phosphorylation motifs are highly conserved among vertebrate class III myosins,
including human class III myosins. Our findings are a major step toward
understanding how the functions of class III myosins are regulated by
phosphorylation.
PMID- 21895656
TI - The immune molecule CD3zeta and its downstream effectors ZAP-70/Syk mediate
ephrin signaling in neurons to regulate early neuritogenesis.
AB - Recent studies have highlighted the key role of the immune protein CD3zeta in the
maturation of neuronal circuits in the CNS. Yet, the upstream signals that might
recruit and activate CD3zeta in neurons are still unknown. In this study, we show
that CD3zeta functions early in neuronal development and we identify ephrinA1
dependent EphA4 receptor activation as an upstream regulator of CD3zeta. When
newly born neurons are still spherical, before neurite extension, we found a
transient CD3zeta aggregation at the cell periphery matching the initiation site
of the future neurite. This accumulation of CD3zeta correlated with a stimulatory
effect on filopodia extension via a Rho-GEF Vav2 pathway and a repression of
neurite outgrowth. Conversely, cultured neurons lacking CD3zeta isolated from
CD3zeta(-/-) mice showed a decreased number of filopodia and an enhanced neurite
number. Stimulation with ephrinA1 induces the translocation of both CD3zeta and
its activated effector molecules, ZAP-70/Syk tyrosine kinases, to EphA4 receptor
clusters. EphrinA1-induced growth cone collapse was abrogated in CD3zeta(-/-)
neurons and was markedly reduced by ZAP-70/Syk inhibition. Moreover, ephrinA1
induced ZAP-70/Syk activation was inhibited in CD3zeta(-/-) neurons. Altogether,
our data suggest that CD3zeta mediates the ZAP-70/Syk kinase activation triggered
by ephrinA-activated pathway to regulate early neuronal morphogenesis.
PMID- 21895657
TI - Smad proteins differentially regulate transforming growth factor-beta-mediated
induction of chondroitin sulfate proteoglycans.
AB - Traumatic injury to the CNS results in increased expression and deposition of
chondroitin sulfate proteoglycans (CSPGs) that are inhibitory to axonal
regeneration. Transforming growth factor-beta (TGF-beta) has been implicated as a
major mediator of these changes, but the mechanisms through which TGF-beta
regulates CSPG expression are not known. Using lentiviral expressed Smad-specific
ShRNA we show that TGF-beta induction of CSPG expression in astrocytes is Smad
dependent. However, we find a differential dependence of the synthetic machinery
on Smad2 and/or Smad3. TGF-beta induction of neurocan and xylosyl transferase 1
required both Smad2 and Smad3, whereas induction of phosphacan and chondroitin
synthase 1 required Smad2 but not Smad3. Smad3 knockdown selectively reduced
induction of chondroitin-4-sulfotransferase 1 and the amount of 4-sulfated CSPGs
secreted by astrocytes. Additionally, Smad3 knockdown in astrocytes was more
efficacious in promoting neurite outgrowth of neurons cultured on the TGF-beta
treated astrocytes. Our data implicate TGF-beta Smad3-mediated induction of 4
sulfation as a critical determinant of the permissiveness of astrocyte secreted
CSPGs for axonal growth.
PMID- 21895659
TI - beta-Lactotensin derived from bovine beta-lactoglobulin exhibits anxiolytic-like
activity as an agonist for neurotensin NTS(2) receptor via activation of
dopamine D(1) receptor in mice.
AB - beta-Lactotensin (His-Ile-Arg-Leu) is a bioactive peptide derived from bovine
milk beta-lactoglobulin, acting as a natural agonist for neurotensin receptors.
We found that beta-lactotensin exhibited anxiolytic-like activity in an elevated
plus-maze test after its intraperitoneal (i.p.) administration in mice. beta
Lactotensin was also orally active. The anxiolytic-like activity of beta
lactotensin after i.p. administration was blocked by levocabastine, an antagonist
for the neurotensin NTS(2) receptor. beta-Lactotensin had anxiolytic-like
activity in wild-type but not Ntsr2-knockout mice. beta-Lactotensin increased
intracellular Ca(2+) flux in glial cells derived from wild-type mice but not
Ntsr2 knockout mice. These results suggest that beta-lactotensin acts as an
NTS(2) receptor agonist having anxiolytic-like activity. The anxiolytic-like
activity of beta-lactotensin was also blocked by SCH23390 and SKF83566,
antagonists for dopamine D(1) receptor, but not by raclopride, an antagonist for
D(2) receptor. Taken together, beta-lactotensin may exhibit anxiolytic-like
activity via NTS(2) receptor followed by D(1) receptor.
PMID- 21895660
TI - Irsogladine maleate regulates epithelial barrier function in tumor necrosis
factor-alpha-stimulated human gingival epithelial cells.
AB - BACKGROUND AND OBJECTIVE: As epithelial cells function as a mechanical barrier,
the permeability of the gingival epithelial cell layer indicates a defensive
capability against invasion by periodontal pathogens. We have reported the
expression of claudin-1 and E-cadherin, key regulators of permeability, in the
gingival junctional epithelium. Irsogladine maleate (IM) is a medication for
gastric ulcers and also regulates Aggregatibacter actinomycetemcomitans-stimuated
chemokine secretion and E-cadherin expression in gingival epithelium. In this
study, we have further investigated the effects of IM on the barrier functions of
gingival epithelial cells under inflammatory conditions. MATERIAL AND METHODS: We
examined the permeability, and the expression of claudin-1 and E-cadherin, in
human gingival epithelial cells (HGECs) stimulated with tumor necrosis factor
(TNF)-alpha, with or without IM. RESULTS: TNF-alpha increased the permeability of
HGECs, and IM abolished the increase. TNF-alpha reduced the expression of E
cadherin in HGECs, and IM reversed the reduction. In addition, immunofluorescence
staining showed that TNF-alpha disrupted claudin-1 expression in HGECs, and IM
reversed this effect. CONCLUSION: The results suggest that IM reverses the TNF
alpha-induced disruption of the gingival epithelial barrier by regulating E
cadherin and claudin-1.
PMID- 21895661
TI - Hepatocytes produce tumor necrosis factor-alpha and interleukin-6 in response to
Porphyromonas gingivalis.
AB - BACKGROUND AND OBJECTIVE: The liver plays a major role in clearing systemic
bacterial infections. In addition, inflammatory cytokines produced in the liver
play a critical role in systemic cytokine levels. The aim of this study was to
investigate the production of tumor necrosis factor-alpha (TNF-alpha) and
interleukin-6 (IL-6) by hepatocytes in response to periodontal pathogens.
MATERIAL AND METHODS: The mouse hepatic carcinoma cell line Hepa-1.6 and the
mouse macrophage-like cell line RAW 264 were co-cultured in Transwell insert
plates. Cells were stimulated with bacterial extracts prepared from Porphyromonas
gingivalis and the induction of TNF-alpha and IL-6 was measured using real-time
PCR and ELISA. RESULTS: After stimulation with bacteria, the induction of TNF
alpha and IL-6 was observed in RAW 264 cells and Hepa-1.6 cells. Significant
reduction of TNF-alpha mRNA expression in Hepa-1.6 cells was observed after
treatment with antibody to TNF-alpha. CONCLUSION: The results obtained in the
present study show that P. gingivalis extract induces TNF-alpha and IL-6 in an in
vitro liver model and that macrophage-derived TNF-alpha mediates the induction of
TNF-alpha in hepatocytes.
PMID- 21895658
TI - Retinoic acid influences neuronal migration from the ganglionic eminence to the
cerebral cortex.
AB - The ganglionic eminence contributes cells to several forebrain structures
including the cerebral cortex, for which it provides GABAergic interneurons.
Migration of neuronal precursors from the retinoic-acid rich embryonic ganglionic
eminence to the cerebral cortex is known to be regulated by several factors, but
retinoic acid has not been previously implicated. We found retinoic acid to
potently inhibit cell migration in slice preparations of embryonic mouse
forebrains, which was reversed by an antagonist of the dopamine-D(2) receptor,
whose gene is transcriptionally regulated by retinoic acid. Histone-deacetylase
inhibitors, which amplify nuclear receptor-mediated transcription, potentiated
the inhibitory effect of retinoic acid. Surprisingly, when retinoic acid
signalling was completely blocked with a pan-retinoic acid receptor antagonist,
this also decreased cell migration into the cortex, implying that a minimal level
of endogenous retinoic acid is necessary for tangential migration. Given these
opposing effects of retinoic acid in vitro, the in vivo contribution of retinoic
acid to migration was tested by counting GABAergic interneurons in cortices of
adult mice with experimental reductions in retinoic acid signalling: a range of
perturbations resulted in significant reductions in the numerical density of some
GABAergic interneuron subpopulations. These observations suggest functions of
retinoic acid in interneuron diversity and organization of cortical excitatory
inhibitory balance.
PMID- 21895664
TI - Cenozoic extinctions account for the low diversity of extant gymnosperms compared
with angiosperms.
AB - We test the widely held notion that living gymnosperms are 'ancient' and 'living
fossils' by comparing them with their sister group, the angiosperms. This
perception derives partly from the lack of gross morphological differences
between some Mesozoic gymnosperm fossils and their living relatives (e.g. Ginkgo,
cycads and dawn redwood), suggesting that the rate of evolution of gymnosperms
has been slow. We estimated the ages and diversification rates of gymnosperm
lineages using Bayesian relaxed molecular clock dating calibrated with 21
fossils, based on the phylogenetic analysis of alignments of matK chloroplast DNA
(cpDNA) and 26S nuclear ribosomal DNA (nrDNA) sequences, and compared these with
published estimates for angiosperms. Gymnosperm crown groups of Cenozoic age are
significantly younger than their angiosperm counterparts (median age: 32 Ma vs 50
Ma) and have long unbranched stems, indicating major extinctions in the Cenozoic,
in contrast with angiosperms. Surviving gymnosperm genera have diversified more
slowly than angiosperms during the Neogene as a result of their higher extinction
rate. Compared with angiosperms, living gymnosperm groups are not ancient. The
fossil record also indicates that gymnosperms suffered major extinctions when
climate changed in the Oligocene and Miocene. Extant gymnosperm groups occupy
diverse habitats and some probably survived after making adaptive shifts.
PMID- 21895662
TI - Early microbial succession in redeveloping dental biofilms in periodontal health
and disease.
AB - BACKGROUND AND OBJECTIVE: The development of dental biofilms after professional
plaque removal is very rapid. However, it is not clear whether most bacterial
species return at similar rates in periodontally healthy and periodontitis
subjects or if there are differences in bacterial recolonization between
supragingival and subgingival biofilms in periodontal health and disease.
MATERIAL AND METHODS: Supragingival and subgingival plaque samples were taken
separately from 28 teeth in 38 healthy and 17 periodontitis subjects immediately
after professional cleaning. Samples were taken again from seven teeth in
randomly selected quadrants after 1, 2, 4 and 7 d of no oral hygiene and analyzed
using checkerboard DNA-DNA hybridization. The percentage of DNA probe counts were
averaged within subjects at each time-point. Ecological succession was determined
using a modified moving-window analysis. RESULTS: Succession in supragingival
biofilms from subjects with periodontitis and from healthy individuals was
similar. At 1 d, Streptococcus mitis and Neisseria mucosa showed increased
proportions, followed by Capnocytophaga gingivalis, Eikenella corrodens,
Veillonella parvula and Streptococcus oralis at 1-4 d. At 4-7 d, Campylobacter
rectus, Campylobacter showae, Prevotella melaninogenica and Prevotella nigrescens
became elevated. Subgingival plaque redevelopment was slower and very different
from supragingival plaque redevelopment. Increased proportions were first
observed for S. mitis, followed by V. parvula and C. gingivalis and, at 7 d, by
Capnocytophaga sputigena and P. nigrescens. No significant increase in the
proportions of periodontal pathogens was observed in any of the clinical groups
or locations. CONCLUSION: There is a defined order in bacterial species
succession in early supragingival and subgingival biofilm redevelopment after
professional cleaning.
PMID- 21895663
TI - Correlation of cytomegalovirus and human herpesvirus 7 with CD3+ and CD3+ CD4+
cells in chronic periodontitis patients.
AB - BACKGROUND AND OBJECTIVE: Human chronic periodontitis is an inflammatory process
characterized by dense accumulation of immune cells in the periodontal tissue.
The periodontitis can lead to loss of teeth in the patient and the pathogenesis
of this disease is not completely known. This study tested the hypothesis that
chronic periodontitis-affected sites can harbor betaherpesviruses and that
viruses are linked to a profile of the inflammatory infiltrate. MATERIAL AND
METHODS: Biopsies of periodontal tissue were taken from periodontitis-affected
patients and from healthy subjects. Immunohistochemistry was performed to count
CD19(+) B cells, CD3(+) total T cells, T-CD4(+) and T-CD8(+) cell subsets, and
PCR was performed to detect cytomegalovirus and human herpesvirus 6 and 7 in the
samples. One slide of each sample was stained with Giemsa for histopathological
examination and to evaluate the quality of the cellular infiltrate. RESULTS: As
expected, tissues collected from healthy subjects presented no significant level
of inflammatory infiltration and were therefore excluded from immunostaining
procedures. Results showed that CD19(+) B cells were in higher number than CD3(+)
T cells in the periodontitis-affected tissue, but this was not statistically
significant. The T-CD4(+) lymphocyte subset was significantly higher than the T
CD8(+) lymphocyte subset (p = 0.004) in the samples. Cytomegalovirus and human
herpesvirus 7 were found at periodontitis-affected sites, but not in tissue
collected from healthy subjects (p = 0.04 and p = 0.04, respectively). Human
herpesvirus 6 was rarely detected. We found a correlation between cytomegalovirus
and lower CD19(+) /CD3(+) ratios (ratio < 0.9, p = 0.003) and between human
herpesvirus 7 and lower CD19(+) /CD3(+) ratios (ratio < 0.9, p = 0.003) and
higher CD4(+) /CD8(+) ratios (ratio > 1.1, p = 0.002). CONCLUSION: This study
shows that cytomegalovirus and human herpesvirus 7 can be present at
periodontitis-affected sites but are uncommon at healthy periodontal sites.
Moreover, our data suggest that cytomegalovirus can be related to an inflammatory
infiltrate with predominance of CD3(+) T cells, whereas human herpesvirus 7 can
be associated with an infiltrate with predominance of T-CD4(+) cells. However,
further studies are necessary to support this hypothesis. Herpesviruses could
play a role in human chronic periodontitis by modulation of the T cell response.
PMID- 21895665
TI - Photoinduced proton-coupled electron transfers in biorelevant phenolic systems.
AB - Proton-coupled electron transfer (PCET) reactions have received much attention
over the past 10 years, from an experimental as well as from a theoretical point
of view. At the heart of many chemical and biological processes, such reactions
are of particular interest in energy conversion and enzymatic processes. Among
the numerous examples of PCET reactions, photosynthesis and particularly
reactions inside the Photosystem II (PSII) subunit, involving a global four
electrons and four protons process to perform water oxidation and respiration, is
the most emblematic one. This review focuses on the photochemical approaches of
PCET reactions involving phenolic molecules. Indeed, a significant part of
photochemical PCET studies were conducted on tyrosine or phenol relevant to PSII
and charge transport in enzymes. The mechanisms of these reactions, sequential or
concerted, with particular emphasis on the influence of pH, temperature, solvent
nature and H-bonding pattern are presented based on photochemical techniques and
related theoretical analysis.
PMID- 21895666
TI - Theoretical study of electron transfer in Rhodobacter sphaeroides reaction
center.
AB - We investigate the substitution effects on electron transfer in Rhodobacter (Rb.)
sphaeroides reaction center using ab initio calculations. The overlap of
molecular orbitals in the X-ray structure of 1PCR of the protein data bank using
Gaussian09 can qualitatively explain the tendency of the experimental transition
time. The charge effects of proteins on electron transfer in Rb. sphaeroides
reaction center are also investigated, by employing a simple point charge
approximation for proteins. We have found that the primary effect for the route A
orientation is the effect of long side chains. For the route A orientation on the
electron transfer, the influence of the charges of proteins operates through the
long side chains indirectly as well as directly work to increase the value of
overlap integrals.
PMID- 21895667
TI - Photochemical inactivation of alpha- and poxviruses.
AB - The objective of this study was to determine whether photochemical inactivation
of viruses could be accomplished with high efficiency while preserving the
molecular integrity of viral targets allowing subsequent diagnostic tests to be
performed at a lower level of containment and cost. We studied the effect of 5
iodonaphthyl 1-azide (INA) and amotosalen (AMO, also known as S-59), which are
photochemicals known to target either viral proteins or nucleic acids,
respectively. We found that vaccinia virus (VACV, an orthopox virus with a DNA
genome) and pixuna virus (PIXV, an alphavirus with an RNA genome) were stable
when irradiated with UVA alone or when exposed to either INA or AMO in the dark.
AMO followed by UVA exposure was at least 1000-fold more virucidal than INA/UVA
on vaccinia and pixuna viruses treated under similar conditions.
Photoinactivation with either INA or AMO at conditions that abolished viral
infectivity resulted in only minimal impairment of subsequent ELISA and PCR
testing. The results presented in this study should assist in developing methods
to inactivate in the field environmental and forensic samples suspected of viral
contamination, thus limiting the need for costly security and safety operations
after an accidental or intentional viral release.
PMID- 21895668
TI - Overexpression of SsCHLAPXs confers protection against oxidative stress induced
by high light in transgenic Arabidopsis thaliana.
AB - To evaluate the physiological importance of chloroplastic ascorbate peroxidase
(CHLAPX) in the reactive oxygen species (ROS)-scavenging system of a euhalophyte,
we cloned the CHLAPX of Suaeda salsa (SsCHLAPX) encoding stromal APX (sAPX) and
thylakoid-bound APX. The stromal APX of S. salsa (Ss.sAPX) cDNA consists of 1726
nucleotides including an 1137-bp open reading frame (ORF) and encodes 378 amino
acids. The thylakoid-bound APX of S. salsa (Ss.tAPX) cDNA consists of 1561
nucleotides, including a 1284-bp ORF, and encodes 427 amino acids. The N-terminal
378 amino acids of Ss.sAPX are identical with those of Ss.tAPX, whereas the C
terminal 49 amino acids differ. Arabidopsis thaliana lines overexpressing Ss.sAPX
and Ss.tAPX were constructed using Agrobacterium tumefaciens transformation
methods. Under high light (1000 umol m-2 s-1), malondialdehyde (MDA) content was
lower in transgenic plants than in the wild type. Under high light, Fv/Fm and
chlorophyll contents of both overexpressing lines and the wild type declined but
were significantly higher in the overexpressing lines than in the wild type. The
activities of APX (EC 1.11.1.11), catalase (CAT 1.11.1.6) and superoxide
dismutase (SOD EC 1.15.1.1) were higher in the overexpressing lines than in the
wild type. The transgenic plants showed increased tolerance to oxidative stress
caused by high light. These results suggest that SsCHLAPX plays an important role
in scavenging ROS in chloroplasts under stress conditions such as high light.
PMID- 21895669
TI - Comparison of fructan dynamics in two wheat cultivars with different capacities
of accumulation and remobilization under drought stress.
AB - Remobilization of stored carbohydrates in the stem of wheat plants is an
important contributor to grain filling under drought stress (DS) conditions. A
massive screening on Iranian wheat cultivars was performed based on stem dry
weight changes under well-watered and DS conditions. Two cultivars, Shole and
Crossed Falat Hamun (CFH), with different fructan accumulation and remobilization
behavior were selected for further studies. Water-soluble carbohydrates (WSCs)
and fructan metabolizing enzymes were studied both in the stem penultimate and in
sucrose (Suc) treated, excised leaves. Under drought, CFH produced higher grain
yields than Shole (412 vs 220 g m(-2)). Also, grain yield loss under drought was
more limited in CFH than in Shole (17 vs 54%). Under drought, CFH accumulated
more graminan-type fructo-oligosaccharides than Shole. After anthesis, fructan 6
exohydrolase (6-FEH; EC 3.2.1.154) activities increased more prominently than
fructan 1-exohydrolase (EC 3.2.1.153) activities during carbon remobilization.
Interestingly, CFH showed higher 6-FEH activities in the penultimate than Shole.
The field experiment results suggest that the combined higher remobilization
efficiency and high 6-FEH activities in stems of wheat could contribute to grain
yield under terminal drought. Similar to the penultimate, fructan metabolism
differed strongly in Suc-treated detached leaves of selected cultivars. This
suggests that variation in the stem fructan among wheat cultivars grown in the
field could be traced by leaf blade induction experiments.
PMID- 21895670
TI - S-methylmethionine is involved in the salinity tolerance of Arabidopsis thaliana
plants at germination and early growth stages.
AB - Methionine (Met) is biosynthesized by the activated methyl cycle and S
methylmethionine (SMM) cycle in one-carbon (C1) metabolism in plants. It is
converted to S-adenosylmethionine (SAM) which serves as a precursor for many
metabolites including glycinebetaine, methylated polyols, polyamines and ethylene
which accumulate in plants in response to salinity. We have investigated how the
Met biosynthetic pathway is regulated under saline conditions at the
transcriptional level in Arabidopsis thaliana plants. Within Met biosynthesis
related genes, the expression of homocysteine methyltransferase (HMT) and
methionine methyltransferase (MMT) genes in SMM cycle had altered toward
increasing Met production by the presence of NaCl. We have determined the
salinity tolerance of an Arabidopsis mmt mutant with an insertional mutation in
the single copy of the AtMMT gene. Although the mmt mutant showed comparable
germination and shoot growth with wild type under normal conditions, NaCl
treatment caused severe repression of germination rate and shoot growth in the
mmt mutant compared with in the wild type. These results indicate that the
utilization of SMM is important for the salinity tolerance of Arabidopsis plants
at the germination and early growth stages.
PMID- 21895671
TI - Predictors of depressive symptoms among resettled unaccompanied refugee minors.
AB - This study investigated the level and predictors of depressive symptoms among
unaccompanied refugee minors after resettlement in Norway. Participants (N = 414)
were resettled in 26 municipalities from all regions of the country. The average
length of resettlement time was 3.4 years. They originated from 33 different
countries, mainly Afghanistan (n = 116), Somalia (n = 74), Sri Lanka (n = 41) and
Iraq (n = 43). Participants completed a self-report questionnaire administered in
groups. Findings show that unaccompanied minors are a high-risk group for mental
health problems also after resettlement in a new country. A multilevel model
predicting depressive symptoms from individual and contextual demographic factors
indicated that, controlling for post-traumatic stress, females had more symptoms
than males and Somalis had fewer symptoms than participants from other countries.
Variation in symptom levels as a function of gender and ethnic background
indicates that some groups may have inherent protective or vulnerability factors
that need to be further studied to understand differences in psychosocial
adaptation among unaccompanied minors. Further, findings imply that researchers,
policy makers and mental health care workers need to expand their attention
beyond the first phases of arrival of unaccompanied asylum seeking and refugee
minors to the continuing experience of mental health problems after resettlement.
PMID- 21895672
TI - Causal inference in nonrandomized studies via propensity score methods.
PMID- 21895673
TI - FCGR3B*03 allele inheritance pattern in Brazilian families and some new variants
of gene FCGR3B.
AB - BACKGROUND: The FCGR3B gene encoding the FcyRIIIb receptor for immunoglobulin G
has three polymorphic forms known as HNA-1a, HNA-1b, and HNA-1c, encoded by the
alleles FCGR3B*01, FCGR3B*02, and FCGR3B*03, respectively. It is not clear
whether the inheritance of the FCGR3B*03 allele, which encodes the HNA-1c, is
linked or not to the other two alleles. The objective of this study was to
identify the inheritance pattern of the FCGR3B*03 allele in Brazilians. STUDY
DESIGN AND METHODS: Blood samples from nine families with at least one
FCGR3B*03(+) member, totalizing 47 individuals, were studied. The presence of the
FCGR3B*01, FCGR3B*02, and FCGR3B*03 alleles was detected by the polymerase chain
reaction with sequence-specific priming method, and all DNA samples were
sequenced. RESULTS: In three of the nine studied families, the FCGR3B*03 was
passed down with the FCGR3B*02, while in one family the FCGR3B*03 was inherited
in linkage with FCGR3B*01. The other families were not informative regarding
FCGR3B*03 inheritance. Sequencing showed for the first time one single-nucleotide
polymorphism at Position 264 resulting from a simple substitution C->T; three
other different substitutions at Position 230, T->A, T->G; and the presence of
three nucleotides at Position 230 (T, G, and A). The previously reported variants
FCGR3B*01A227G and FCGR3BG330T were also found. CONCLUSION: In this Brazilian
FCGR3B*03(+) group we found that the inheritance of FCGR3B*03 took place by a
linkage to FCGR3B*02 or to FCGR3B*01. Linkage of FCGR3B*03 to FCGR3B*02 was the
most common. Additionally, we report SNPs that have not been described,
suggesting that they might be more common than previously thought.
PMID- 21895674
TI - Demographics of apheresis platelet donors in five blood centers in China.
AB - BACKGROUND: Blood component donations by apheresis has become more common in
modern blood transfusion practices. However, apheresis donation still remains
less common in China. This study describes the demographic profile and
transfusion-transmissible infection (TTI) prevalence among donors making
apheresis platelet (AP) donations compared to those making whole blood (WB)
donations and the differences among five geographically diverse blood centers in
China. STUDY DESIGN AND METHODS: This is a descriptive study using data from all
successful donations at the five blood centers in 2008 and 2009. Donor
demographic and TTI screening reactive rates were collected for WB and AP
donations and blood centers. Logistic regression was used to identify independent
factors associated with AP donations. RESULTS: From January 1, 2008, to December
31, 2009, there were 512,594 WB and 26,199 AP donations at five blood centers. AP
donations accounted for 4.9% of all donations. AP donations have lower reactive
rate than WB donations for hepatitis B virus surface antigen, hepatitis C virus
antibodies, human immunodeficiency virus antibodies, and syphilis screening
testing. Males, donors older than 25 years old, non-Han donors, and donors with
below high school educational level were more likely to make AP donations. The
characteristics of AP donations differed among the five Chinese blood centers.
CONCLUSION: Our analysis suggests that the characteristics of AP donations in
China are different from WB donations and differ among the five Chinese blood
centers. Some of the differences are likely due to different recruitment
policies. Further studies should be conducted to understand what motivates
Chinese blood donors to participate as AP donors.
PMID- 21895676
TI - Transfusion-related acute lung injury prevention measures and their impact at
Canadian Blood Services.
AB - BACKGROUND: Blood operators have taken measures to reduce transfusion-related
acute lung injury (TRALI). We classified suspected TRALI cases reported to
Canadian Blood Services from 2001 to 2009 and assessed the impact of TRALI
reduction measures. STUDY DESIGN AND METHODS: Using Canadian Consensus Conference
definitions, cases were reviewed by two experts or, from 2006 to 2009, a TRALI
Medical Review Group (TMRG). Detection of HLA antibodies was performed using the
Luminex system starting in 2008. Measures implemented from 2007 to 2009 included
use of predominantly male plasma, suspension of buffy coat platelets in male
plasma, and deferral of females with a pregnancy history from plateletpheresis.
The buffy coat production method was implemented from 2005 to 2008. RESULTS:
Reporting of all suspected TRALI cases, as well as cases classified as definite
or possible, increased from 2001 to 2004, was stable from 2004 to 2007, and
declined in 2008 to 2009. The decline was most marked for plasma-associated
cases, but occurred for all components. TMRG consensus on classification was
achieved in 56% of cases. Cases identified as definitive or possible TRALI were
significantly more likely to have donor antibody against a corresponding
recipient antigen, compared to other cases. CONCLUSION: Hemovigilance data
demonstrated an initial increase in TRALI cases, likely due to increased adverse
event reporting and awareness of TRALI, followed by a decrease in cases related
to all components. TRALI prevention measures and possibly the switch to the buffy
coat production method may have contributed to the decline. Classification of
cases remains challenging.
PMID- 21895675
TI - Providing ABO-identical platelets and cryoprecipitate to (almost) all patients:
approach, logistics, and associated decreases in transfusion reaction and red
blood cell alloimmunization incidence.
AB - BACKGROUND: There are multiple benefits to transfusing only ABO-identical blood
components. Historically our institution routinely transfused ABO-nonidentical
platelets (PLTs) and cryoprecipitate to surgical patients. In April 2005, we
implemented a policy of transfusing only ABO-identical components whenever
feasible, regardless of outdating or logistic considerations. STUDY DESIGN AND
METHODS: Technical staff closely monitored product usage and adjusted blood
center orders based on recent utilization and planned transfusions. When unable
to provide ABO-identical PLTs, ABO-compatible PLTs were washed to remove
incompatible plasma. Data on outdating were collected for 18 months before and
after implementation. We compared transfusion reaction and red blood cell (RBC)
alloimmunization incidence for 4 years preceding (2001-2004) and subsequent (2006
2009) to implementation. RESULTS: In the year after implementation, only 11 of
410 surgical patients received ABO-nonidentical PLTs (2.7%). There was a 5.6%
increase in outdating of PLTs. Transfusing ABO-identical components was
associated with significant reductions in febrile (-46%; 8.0 to 4.3 per 10,000
components; p < 0.0001) and allergic transfusion reactions (-23%; from 7.0 to 5.4
per 10,000 components; p = 0.025). A progressive reduction in de novo RBC
alloimmunization incidence also occurred (-50% by 2009; p = 0.03). CONCLUSIONS:
Providing ABO-identical PLTs to almost all patients was feasible in our setting
by changing ordering and inventorying procedures and making the ABO-identical
policy a staff priority. Unexpected and striking reductions in febrile and
allergic reactions and RBC alloimmunization were observed, of uncertain causal
relationship to this ABO policy change, which will require further study.
PMID- 21895677
TI - Plasticizers excreted in urine: indication of autologous blood transfusion in
sports.
AB - BACKGROUND: Misuse of autologous blood transfusions in sports remains
undetectable. The metabolites of the plasticizer di-(2-ethylhexyl)phthalate
(DEHP) were recently proposed as markers of blood transfusion, based on high
urinary concentrations of these compounds observed in patients subjected to blood
transfusion. This study evaluates DEHP metabolites in urine for detecting
autologous blood transfusion. STUDY DESIGN AND METHODS: One blood bag was drawn
from moderately trained subjects and the red blood cells (RBCs) were reinfused
after different storage periods. Group 1 (12 subjects) was reinfused after 14
days, and Group 2 (13 subjects), after 28 days of storage. Urine samples were
collected before and after reinfusion for determination of the concentrations of
three DEHP metabolites, mono-(2-ethylhexyl)phthalate, mono-(2-ethyl-5
hydroxyhexyl)phthalate, and mono-(2-ethyl-5-oxohexyl)phthalate. RESULTS:
Concentrations of DEHP metabolites on the days before reinfusion were in
agreement with those described after common environmental exposure. A few hours
after the reinfusion a significant increase was observed for all metabolites in
all volunteers. Concentrations 1 day later were still higher (p < 0.05) than
before reinfusion. Variations in urine dilution supported normalization by
specific gravity. Concentrations of DEHP metabolites tended to be higher after
longer storage times of RBCs. CONCLUSION: Autologous transfusion with RBCs stored
in plastic bags provokes an acute increase in the urinary concentrations of DEHP
metabolites, allowing the detection of this doping malpractice. The window of
detection is approximately 2 days. The method might be applied to urine samples
submitted for antidoping testing.
PMID- 21895678
TI - The outcome of donor screening for human T-cell lymphotropic virus infection in
The Netherlands.
AB - BACKGROUND AND OBJECTIVES: Blood donor screening reduces the infectious hazards
related to blood transfusion, but the range of agents to be screened for is
debatable. In 1993, the screening of all blood donations for Human T-Cell
Lymphotropic virus (HTLV) was introduced in The Netherlands. We analysed the
outcome and costs of HTLV donor screening. METHODS: For the years 2001-2010, the
number of HTLV infections among new and regular donors was used to estimate the
prevented number of HTLV-infected donors in the donor pool and the amount of
morbidity prevented among recipients. RESULTS: Human T-Cell Lymphotropic virus
screening in The Netherlands detects per year on average 1.4 infected new donors
and 0.5 infected regular donors. The prevalence among new donors is 30 times
higher than the incidence among regular donors. Without HTLV screening, 14 HTLV
infected donors would be donating blood, causing 0.8 to 0.007 cases of HTLV
disease per year. CONCLUSION: The lack of accurate estimators for infectivity and
pathogenicity hampers the estimation of morbidity and mortality that HTLV
infected transfusions would cause. Leucodepletion may be as effective as HTLV
donor screening; its effect on HTLV transmission should be studied.
PMID- 21895679
TI - Report of the fourth International Workshop on molecular blood group genotyping.
AB - The fourth International Society of Blood Transfusion (ISBT) workshop on
molecular blood group genotyping was held in 2010, with a feedback meeting at the
ISBT Congress in Berlin, Germany. Fifty laboratories participated, 17 more than
in 2008. Six samples were distributed. Samples 1-3 were DNA samples for all red
cell blood group tests available to the participants. Of the 46 laboratories that
tested these samples, 37 obtained completely correct results, although the extent
of testing varied considerably. Sample 4, also a DNA sample, was an Rh problem in
which RHDPsi and RHCE*ceCF were present, but the participants were only informed
that the donor's red cells typed as positive with some monoclonal anti-D. Of the
42 laboratories that participated in this exercise, seven performed the
sequencing necessary to obtain the correct result. Samples 5 and 6 were plasma
samples from RhD-negative pregnant women, for foetal RhD testing. These were sent
to 25 laboratories, and two incorrect results were reported. Overall, the level
of accuracy was about equal to that of the previous workshop. The main conclusion
for the last two workshops can be reiterated: with greater care and attention to
detail, very high standards could be set for molecular blood group genotyping.
PMID- 21895680
TI - Molecularly cloned SHIV-CN97001: a replication-competent, R5 simian/human
immunodeficiency virus containing env of a primary Chinese HIV-1 clade C isolate.
AB - BACKGROUND: The increasing prevalence of human immunodeficiency virus type 1 (HIV
1) subtype C infection worldwide calls for efforts to develop a relevant animal
model for evaluating AIDS candidate vaccines. In China, the prevalent HIV strains
comprise a circulating recombinant form, BC (CRF07_BC), in which the envelope
belongs to subtype C. METHODS: To evaluate potential AIDS vaccines targeting
Chinese viral strains in non-human primate models, we constructed a simian/human
immunodeficiency virus (SHIV) carrying most of the envelope sequence of a primary
HIV-1 clade C strain isolated from an HIV-positive intravenous drug user from
YunNan province in China. Furthermore, to determine whether in vivo adaptation
would enhance the infectivity of SHIV-CN97001, the parental infectious strain was
serially passaged through eight Chinese rhesus macaques. RESULTS: Infection of
six Chinese rhesus macaques with SHIV-CN97001 resulted in a low level of viremia
and no significant alteration in CD4+ T-lymphocyte counts. However, the hallmarks
of SHIV infectivity developed gradually, as shown by the increasingly elevated
peak viremia with each passage. CONCLUSION: These findings establish that the R5
tropic SHIV-CN97001/Chinese rhesus macaque model should be very useful for the
evaluation of HIV-1 subtype C vaccines in China.
PMID- 21895681
TI - Pancreas anatomy and surgical procedure for pancreatectomy in rhesus monkeys.
AB - BACKGROUND: The aim of this study was to investigate the pancreas anatomy and
surgical procedure for harvesting pancreas for islet isolation while performing
pancreatectomy to induce diabetes in rhesus monkeys. METHODS: The necropsy was
performed in three cadaveric monkeys. Two monkeys underwent the total
pancreatectomy and four underwent partial pancreatectomy (70-75%). RESULTS: The
greater omentum without ligament to transverse colon, the cystic artery arising
from the proper hepatic artery and the branches supplying the paries posterior
gastricus from the splenic artery were observed. For pancreatectomy, resected
pancreas can be used for islet isolation. Diabetes was not induced in the monkeys
undergoing partial pancreatectomy (70-75%). CONCLUSIONS: Pancreas anatomy in
rhesus monkeys is not the same as in human. Diabetes can be induced in rhesus
monkeys by total but not partial pancreatectomy (70-75%). Resected pancreas can
be used for islet isolation while performing pancreatectomy to induce diabetes.
PMID- 21895682
TI - Residue iteration decomposition (RIDE): A new method to separate ERP components
on the basis of latency variability in single trials.
AB - Event-related brain potentials (ERPs) are important research tools because they
provide insights into mental processing at high temporal resolution. Their
usefulness, however, is limited by the need to average over a large number of
trials, sacrificing information about the trial-by-trial variability of latencies
or amplitudes of specific ERP components. Here we propose a novel method based on
an iteration strategy of the residues of averaged ERPs (RIDE) to separate latency
variable component clusters. The separated component clusters can then serve as
templates to estimate latencies in single trials with high precision. By applying
RIDE to data from a face-priming experiment, we separate priming effects and show
that they are robust against latency shifts and within-condition variability.
RIDE is useful for a variety of data sets that show different degrees of
variability and temporal overlap between ERP components.
PMID- 21895683
TI - Mass univariate analysis of event-related brain potentials/fields I: a critical
tutorial review.
AB - Event-related potentials (ERPs) and magnetic fields (ERFs) are typically analyzed
via ANOVAs on mean activity in a priori windows. Advances in computing power and
statistics have produced an alternative, mass univariate analyses consisting of
thousands of statistical tests and powerful corrections for multiple comparisons.
Such analyses are most useful when one has little a priori knowledge of effect
locations or latencies, and for delineating effect boundaries. Mass univariate
analyses complement and, at times, obviate traditional analyses. Here we review
this approach as applied to ERP/ERF data and four methods for multiple comparison
correction: strong control of the familywise error rate (FWER) via permutation
tests, weak control of FWER via cluster-based permutation tests, false discovery
rate control, and control of the generalized FWER. We end with recommendations
for their use and introduce free MATLAB software for their implementation.
PMID- 21895684
TI - Mass univariate analysis of event-related brain potentials/fields II: Simulation
studies.
AB - Mass univariate analysis is a relatively new approach for the study of ERPs/ERFs.
It consists of many statistical tests and one of several powerful corrections for
multiple comparisons. Multiple comparison corrections differ in their power and
permissiveness. Moreover, some methods are not guaranteed to work or may be
overly sensitive to uninteresting deviations from the null hypothesis. Here we
report the results of simulations assessing the accuracy, permissiveness, and
power of six popular multiple comparison corrections (permutation-based control
of the familywise error rate [FWER], weak control of FWER via cluster-based
permutation tests, permutation-based control of the generalized FWER, and three
false discovery rate control procedures) using realistic ERP data. In addition,
we look at the sensitivity of permutation tests to differences in population
variance. These results will help researchers apply and interpret these
procedures.
PMID- 21895685
TI - Relationships between baroreceptor cardiac reflex sensitivity and cognitive
performance: modulations by gender and blood pressure.
AB - This study aimed at replicating and extending previous results on the association
between baroreceptor reflex sensitivity (BRS) and cognitive performance. Thirty
men and 31 women performed an arithmetic task. After adjusting for numerical
aptitude and effort, no predictors of performance were found in men. In women,
the relationships between BRS and parameters related to correct responses were
modulated by blood pressure (BP). BRS was inversely associated with these
parameters for participants with BP>1 SD above the mean, whereas the associations
were positive in participants with BP<1 SD below the mean. Also for women, BRS
was positively associated with number of errors during the task. These results
suggest that the relation between BRS and performance varies as a function of the
type of cognitive processes assessed and that the central nervous system effects
of the baroreceptors on cognitive functioning are modulated by gender and BP.
PMID- 21895686
TI - Planning of visually guided reach-to-grasp movements: inference from reaction
time and contingent negative variation (CNV).
AB - We performed electroencephalogram (EEG) recording in a precuing task to
investigate the planning processes of reach-to-grasp movements in human. In this
reaction time (RT) task, subjects had to reach, grasp, and pull an object as fast
as possible after a visual GO signal. We manipulated two parameters: the hand
shape for grasping (precision grip or side grip) and the force required to pull
the object (high or low). Three seconds before the GO onset, a cue provided
advance information about force, grip, both parameters, or no information at all.
EEG data show that reach-to-grasp movements generate differences in the
topographic distribution of the late Contingent Negative Variation (ICNV)
amplitude between the 4 precuing conditions. Along with RT data, it confirms that
two distinct functional networks are involved with different time courses in the
planning of grip and force. Finally, we outline the composite nature of the lCNV
that might reflect both high- and low-level planning processes.
PMID- 21895687
TI - Parsing relationships between dimensions of anxiety and action monitoring brain
potentials in female undergraduates.
AB - Anxiety is associated with enhanced action monitoring. Research to date, however,
has employed extreme group designs that fail to address the full spectrum of
anxiety, and in which overlapping and co-occurring symptoms obscure the exact
nature of the relationships between anxiety and action monitoring. To address
these limitations, relationships between distinct dimensions of anxiety and
neural indicators of action monitoring were examined in a sample of female
undergraduates. Results revealed that higher anxious apprehension (i.e., worry)
was associated with enhanced early action monitoring activity, as indexed by the
error-related negativity/correct-response negativity. Anxious arousal (i.e.,
somatic tension) on the other hand, was unrelated to measures of action
monitoring. These findings suggest that the anxiety-action monitoring link holds
along the continuum of severity and is specific to the worry component of
anxiety.
PMID- 21895689
TI - The role of presleep negative emotion in sleep physiology.
AB - Although daytime emotional stressful events are often presumed to cause sleep
disturbances, the few studies of stressful life events on sleep physiology have
resulted in various and contradictory findings. As research has focused in
particular on stress in itself, the present study is the first to investigate the
effect using polysomnography (PSG). Results indicate a significant increase in
sleep fragmentation, as expressed by decreased sleep efficiency, total sleep
time, percentage of rapid eye movement (REM) sleep, and an increased wake after
sleep onset latency, total time awake, latency to SWS, number of awakenings and
number of awakenings from REM sleep. The results demonstrate that negative
emotion correlates with enhanced sleep fragmentation helping us to understand why
sleep patterns change and how sleep disturbances may develop.
PMID- 21895688
TI - Salivary cortisol and soluble tumor necrosis factor-alpha receptor II responses
to multiple experimental modalities of acute pain.
AB - The present study compared cortisol and soluble tumor necrosis factor-alpha
receptor II (sTNFalphaRII) responses provoked by cold pressor, hot water,
ischemic, and neutral water (i.e., room temperature) modalities. Oral fluid
samples were collected before, immediately after, and during recovery to assess
physiological responses. From baseline, the cold pressor, but not hot water or
ischemic modalities, produced a significant time-dependent elevation in cortisol,
whereas cortisol significantly decreased for the neutral water task. When
compared to baseline, the cold pressor, hot water, and ischemic modalities were
associated with decreased sTNFalphaRII responses over time. The sTNFalphaRII
response to neutral water initially decreased but returned to approximate
baseline levels. Pain ratings were positively associated with cortisol increase
from baseline and the overall cortisol response was negatively associated with
the overall sTNFalphaRII response.
PMID- 21895690
TI - Monitoring force errors: medial-frontal negativity in a unimanual force
production task.
AB - The effects of force production on medial-frontal negativity (MFN), reflecting
the activity of an internal action-monitoring system, were investigated in a
force-production task. A precue indicated a low or high force before a stimulus
signaled the execution of the same or opposite force. An incorrectly exerted
force was assumed to involve an error of force selection if the opposite force
was required (invalid precue), and an error of force execution if the same force
was required (valid precue). The task was repeated to examine any improvements in
monitoring sensitivity. No force-related effects were observed on MFN amplitude.
Although performance improved, there was no evidence of a force-error sensitive
monitoring system. As the MFN and motor activity were affected by the precue
invalidity regardless of the response outcome, the MFN might reflect the activity
of a general action-evaluation system that is indirectly related to motor
activation.
PMID- 21895691
TI - Itches and scratches - is there a link between eczema, ADHD, sleep disruption and
food hypersensitivity?
PMID- 21895692
TI - Pharmacokinetics of spinosad and milbemycin oxime administered in combination and
separately per os to dogs.
AB - Pharmacokinetic (PK) studies were conducted to determine the potential PK
interactions when spinosad and milbemycin oxime (MBO) are administered
simultaneously. Investigations used commercial MBO tablets (C-MBO;
Interceptor((r)) Flavor Tabs, active ingredient MBO, Novartis Animal Health,
Greensboro, NC, USA), novel-source (Elanco) MBO (E-MBO) in a gelatin capsule,
spinosad API (Active Pharmaceutical Ingredient using registered manufacturing
process) in a gelatin capsule, spinosad tablets (Comfortis((r)) chewable beef
flavored tablets, active ingredient spinosad, Elanco Animal Health, Greenfield,
IN, USA), and the recently registered spinosad + E-MBO combination tablets
(TrifexisTM chewable beef flavored tablets, active ingredients E-MBO and
spinosad, Elanco Animal Health, Greenfield, IN, USA). Regardless of the source of
MBO, in the presence of spinosad, greater systemic exposure of MBO was obtained
as compared to MBO administered alone. Target animal safety studies conducted
with dose multiples of spinosad and MBO indicate the increased exposure of MBO
does not have implications on adverse clinical reactions. Further research is
required to determine whether the higher levels of MBO have any implications for
improved effectiveness as compared to C-MBO. Effectiveness studies conducted with
0.5 mg/kg of E-MBO in combination tablets demonstrated noninterference against C
MBO with both products achieving >99% effectiveness against the dose-limiting
nematode, Ancylostoma caninum. No statistical differences were detected in the PK
of MBO when comparing animals receiving E-MBO (without spinosad) and C-MBO. Also,
the PK of spinosad was unaltered when co-administered with MBO.
PMID- 21895693
TI - The effects of simulated rain and sun exposure on the plasma disposition of
ivermectin following pour-on administration in heifers.
PMID- 21895694
TI - Overexpression of constitutively active Arabidopsis RabG3b promotes xylem
development in transgenic poplars.
AB - An Arabidopsis small GTPase, RabG3b, was previously characterized as a component
of autophagy and as a positive regulator for xylem development in Arabidopsis. In
this work, we assessed whether RabG3b modulates xylem-associated traits in poplar
in a similar way as in Arabidopsis. We generated transgenic poplars (Populus alba
* Populus tremula var. glandulosa) overexpressing a constitutively active form of
RabG3b (RabG3bCA) and performed a range of morphological, histochemical and
molecular analyses to examine xylogenesis. RabG3bCA transgenic poplars showed
increased stem growth due to enhanced xylem development. Autophagic structures
were observed in differentiating xyelm cells undergoing programmed cell death
(PCD) in wild-type poplar, and were more abundant in RabG3bCA transgenic poplar
plants and cultured cells. Xylogenic activation was also accompanied by the
expression of secondary wall-, PCD- and autophagy-related genes. Collectively,
our results suggest that Arabidopsis RabG3b functions to regulate xylem growth
through the activation of autophagy during wood formation in Populus, as does the
same in Arabidopsis.
PMID- 21895695
TI - Silencing of G proteins uncovers diversified plant responses when challenged by
three elicitors in Nicotiana benthamiana.
AB - Signalling through heterotrimeric G protein composed of alpha-, beta- and gamma
subunits is essential in numerous physiological processes. Here we show that this
prototypical G protein complex acts mechanistically by controlling elicitor
sensitivity towards hypersensitive response (HR) and stomatal closure in
Nicotiana benthamiana. Galpha-, Gbeta1-, and Gbeta2-silenced plants were
generated using virus-induced gene silencing. All silenced plants were treated
with Xanthomonas oryzae harpin, Magnaporthe oryzae Nep1 and Phytophthora
boehmeriae boehmerin, respectively. HR was dramatically impaired in Galpha- and
Gbeta2-silenced plants treated with harpin, indicating that harpin-, rather than
Nep1- or boehmerin-triggered HR, is Galpha- and Gbeta2-dependent. Moreover, all
Galpha-, Gbeta1- and Gbeta2-silenced plants significantly impaired elicitor
induced stomatal closure, elicitor-promoted nitric oxide (NO) production and
active oxygen species accumulation in guard cells. To our knowledge, this is the
first report of Galpha and Gbeta subunits involvement in stomatal closure in
response to elicitors. Furthermore, silencing of Galpha, Gbeta1 and Gbeta2 has an
effect on the transcription of plant defence-related genes when challenged by
three elicitors. In conclusion, silencing of G protein subunits results in many
interesting plant cell responses, revealing that plant immunity systems employ
both conserved and distinct G protein pathways to sense elicitors from distinct
phytopathogens formed during plant-microbe evolution.
PMID- 21895696
TI - Genome-wide identification of Medicago truncatula microRNAs and their targets
reveals their differential regulation by heavy metal.
AB - We adopted a deep sequencing approach developed by Solexa (Illumina Inc., San
Diego, CA, USA) to investigate global expression and complexity of microRNAs
(miRNAs) and their targets from Medicago truncatula. Two small RNA libraries and
two degradome libraries were constructed from mercury (Hg)-treated and Hg-free M.
truncatula seedlings. For miRNAs, each library generated 18.5-18.6 million short
sequences, resulting in 10.2-10.8 million clean reads. At least 52 new miRNA
candidates with ~ 21 nucleotides are perfectly matched to the M. truncatula
genome. Statistical analysis on transcript abundance of the new candidate miRNAs
revealed that most of them were differentially regulated by the heavy metal
mercury Hg(II), with 12 miRNAs being specifically induced by Hg exposure.
Additionally, we identified 201 individual miRNAs representing 63 known M.
truncatula miRNA families, including 12 new conserved and one non-conserved
miRNAs that have not been described before. Finally, 130 targets for 58 known (37
conserved and 21 non-conserved) miRNA families and 37 targets for 18 new M.
truncatula-specific candidate miRNA families were identified by high-throughput
degradome sequencing approach.
PMID- 21895697
TI - Day/night regulation of aquaporins during the CAM cycle in Mesembryanthemum
crystallinum.
AB - Mesembryanthemum crystallinum exhibits induction of Crassulacean acid metabolism
(CAM) after a threshold stage of development, by exposure to long days with high
light intensities or by water and salt stress. During the CAM cycle, fluctuations
in carbon partitioning within the cell lead to transient drops in osmotic
potential, which are likely stabilized/balanced by passive movement of water via
aquaporins (AQPs). Protoplast swelling assays were used to detect changes in
water permeability during the day/night cycle of CAM. To assess the role of AQPs
during the same period, we followed transcript accumulation and protein abundance
of four plasma membrane intrinsic proteins (PIPs) and one tonoplast intrinsic
protein (TIP). CAM plants showed a persistent rhythm of specific AQP protein
abundance changes throughout the day/night cycle, including changes in amount of
McPIP2;1, McTIP1;2, McPIP1;4 and McPIP1;5, while the abundance of McPIP1;2 was
unchanged. These protein changes did not appear to be coordinated with transcript
levels for any of the AQPs analysed; however, they did occur in parrallel to
alterations in water permeability, as well as variations in cell osmolarity,
pinitol, glucose, fructose and phosphoenolpyruvate carboxylase (PEPc) levels
measured throughout the day/night CAM cycle. Results suggest a role for AQPs in
maintaining water balance during CAM and highlight the complexity of protein
expression during the CAM cycle.
PMID- 21895698
TI - Sulfite oxidase controls sulfur metabolism under SO2 exposure in Arabidopsis
thaliana.
AB - In the present study, the significance of sulfite oxidase (SO) for sulfite
detoxification and sulfur assimilation was investigated. In response to sulfur
dioxide (SO(2)) exposure, a remarkable expansion of sulfate and a significant
increase of GSH pool were observed in wild-type and SO-overexpressing
Arabidopsis. These metabolic changes were connected with a negative feedback
inhibition of adenosine 5'-phosphosulfate reductase (APR), but no alterations in
gas exchange parameters or visible symptoms of injury. However, Arabidopsis SO-KO
mutants were consistently negatively affected upon 600 nL L(-1) SO(2) exposure
for 60 h and showed phenotypical symptoms of injury with small necrotic spots on
the leaves. The mean g(H2O) was reduced by about 60% over the fumigation period,
accompanied by a reduction of net CO(2) assimilation and SO(2) uptake of about 50
and 35%. Moreover, sulfur metabolism was completely distorted. Whereas sulfate
pool was kept constant, thiol-levels strongly increased. This demonstrates that
SO should be the only protagonist for back-oxidizing and detoxification of
sulfite. Based on these results, it is suggested that co-regulation of SO and APR
controls sulfate assimilation pathway and stabilizes sulfite distribution into
organic sulfur compounds. In conclusion, a sulfate-sulfite cycle driven by APR
and SO can be postulated for fine-tuning of sulfur distribution that is
additionally used for sulfite detoxification, when plants are exposed to
atmospheric SO(2).
PMID- 21895699
TI - Hydraulic architecture of two species differing in wood density: opposing
strategies in co-occurring tropical pioneer trees.
AB - Co-occurring species often have different strategies for tolerating daily cycles
of water stress. One underlying parameter that can link together the suite of
traits that enables a given strategy is wood density. Here we compare hydraulic
traits of two pioneer species from a tropical forest in Panama that differ in
wood density: Miconia argentea and Anacardium excelsum. As hypothesized, the
higher wood density of Miconia was associated with smaller diameter vessels and
fibres, more water stress-resistant leaves and stems, and roughly half the
capacitance of the lower wood density Anacardium. However, the scaling of
hydraulic parameters such as the increases in leaf area and measures of hydraulic
conductivity with stem diameter was remarkably similar between the two species.
The collection of traits exhibited by Miconia allowed it to tolerate more water
stress than Anacardium, which relied more heavily on its capacitance to buffer
daily water potential fluctuations. This work demonstrates the importance of
examining a range of hydraulic traits throughout the plant and highlights the
spectrum of possible strategies for coping with daily and seasonal water stress
cycles.
PMID- 21895700
TI - Push-out bond strength of two new calcium silicate-based endodontic sealers to
root canal dentine.
AB - AIM: To assess the push-out bond strength of two new calcium silicate-based
endodontic sealers in the root canals of extracted teeth. METHODOLOGY: Thirty
extracted single-rooted central incisors of similar sizes were selected randomly
and distributed to three groups (n = 10). All canals were instrumented using
ProTaper rotary instruments to achieve tapered canal walls. Irrigation was
performed using 5 mL 2.5% NaOCl between each instrument, and the smear layer was
removed using 5 mL 17% EDTA. The canals were filled with three different sealers
using a cold lateral compaction technique: group 1: AH Plus + gutta-percha, group
2: I Root SP + gutta-percha and group 3: MTA Fillapex + gutta-percha. Three
horizontal sections were prepared at a thickness of 1 mm +/- 0.1 in the apical,
middle and coronal parts of each root. The test specimens were subjected to the
push-out test method using a Universal Test Machine (Instron, Canton, MA, USA)
that carried 1-mm, 0.5-mm and 0.3-mm plungers for coronal, middle and apical
specimens, respectively. The loading speed was 1 mm min(-1) . The push-out data
were analysed by two-way analysis of variance (anova) and the post hoc Holm-Sidak
test, with significance set at P < 0.05. RESULTS: In the coronal specimens, there
was no significant difference between the sealers. In the middle and apical
segments, there was no significant difference between I Root SP and AH Plus
groups. However, the I Root SP and AH Plus had significantly higher bond strength
values than the MTA Fillapex (P < 0.05). In terms of root segments, the bond
strengths in the middle specimens and the apical specimens were higher compared
with the bond strengths in the coronal specimens (P < 0.05). There were no
significant differences between the bond strengths in the middle and apical
specimens. CONCLUSION: MTA Fillapex had the lowest push-out bond values to root
dentine compared with other sealers.
PMID- 21895701
TI - Diagnostic accuracy of limited-volume cone-beam computed tomography in the
detection of periapical bone loss: 360 degrees scans versus 180 degrees scans.
AB - AIM: To investigate the effect of reducing limited-volume cone-beam computed
tomographs arc of rotation from 360 degrees to 180 degrees on the ability to
diagnose small, artificially created apical lesions. METHODOLOGY: Small,
artificial apical bone lesions were prepared with a bur in the apical region of
the distal root of ten mandibular first molars, in human dry mandibles. The jaws
were scanned in a fixed position with limited-volume CBCT making a 360 degrees
and 180 degrees arc of rotation, before and after each periapical lesion had
been created. A 4 * 4 cm field of view was used at 90 kV, with a current of 4 mA.
Ten examiners blinded to the scan parameters and controls scored the
presence/absence of bone lesions. Intra-examiner reliability was determined after
2 weeks, reviewing half the data set. Statistical analyses with paired t-tests
determined the diagnostic accuracy of the two modalities (360 degrees vs. 180
degrees ) in terms of sensitivity, specificity, receiver operating characteristic
area under the curve, positive predictive values and negative predictive values.
RESULTS: The mean values for sensitivity of the 360 degrees and 180 degrees
scans were 0.91 and 0.89, respectively; their mean specificities were 0.73. No
significant differences were reflected in the statistical analyses. CONCLUSIONS:
Both 360 degrees and 180 degrees cone-beam computed tomography scans yielded
similar accuracy in the detection of artificial bone lesions. The use of 180
degrees scans might be advisable to reduce the radiation dose to the patient in
line with the ICRP guidance to use as low a dosage as reasonably achievable.
PMID- 21895702
TI - Antibacterial activity of two MTA-based root canal sealers.
AB - AIM: To evaluate the pH and antibacterial activity of Endo CPM Sealer and MTA
Fillapex by two different methods, using white MTA and Endofill as references for
comparison. METHODOLOGY: Antibacterial activity was evaluated against
Enterococcus faecalis (ATCC 29212). The agar diffusion test (ADT) was performed
to evaluate the effect before setting. The materials were placed in four
equidistant wells made in ten agar plates. After incubation at 37 degrees C for
48 h, the inhibition zones were measured using a digital paquimeter. The direct
contact test (DCT) was performed to assess the antibacterial effect after
setting. Suspensions of crushed materials were prepared and mixed with E.
faecalis. After different periods of time (1, 6, 15 and 60 min), the survival of
bacteria was assessed by using 10-fold serial dilution and cultivated on agar
plates in triplicate. Colony-forming units (CFU) mL(-1) were calculated after
incubation. pH values were also measured in triplicate. Comparison between
sealers in the ADT and DCT was performed by the Kruskal-Wallis test. RESULTS: In
the ADT, inhibition zones were found with MTA Fillapex and Endofill. They were
similar to each other and greater than the other sealers (P < 0.05). None of the
tested sealers demonstrated antibacterial activity in the DCT, and thus, all
sealers had similar bacterial counts compared with the negative control group (P
> 0.05). White MTA and Endo CPM Sealer suspensions had pH values >11, whilst MTA
Fillapex and Endofill had lower values. CONCLUSIONS: MTA Fillapex and Endofill
had an antibacterial effect against E. faecalis before setting, but none of the
sealers maintained antibacterial activity after setting, despite the high pH of
the MTA-based materials.
PMID- 21895703
TI - Medico-legal aspects of vertical root fractures in root filled teeth.
AB - AIM: To analyse the medico-legal aspects of vertical root fracture (VRF)
following root canal treatment (RCT). METHODOLOGY: A comprehensive search in a
professional liability insurance database was conducted to retrospectively
identify cases of VRF following RCT. The complaints were categorized as either
financial risk bearing or financial nonrisk bearing, and related demographic,
prosthetic and endodontic variables were analysed. RESULTS: Seventy-seven legal
cases of patients with VRFs following RCT were identified. Most of the cases were
either in premolars or in mandibular molar teeth (P<0.05). Poor-quality root
filling was associated with an extended delay of diagnosis (P<0.05). The presence
of a post significantly increased the financial risk assessment (P<0.05).
CONCLUSIONS: Poor quality root fillings complicate the diagnosis of VRF, which
in turn extends the time for achieving an accurate diagnosis and increasing the
medico-legal risk. Premolar and mandibular molar teeth were more prone to medico
legal claims related to VRF following RCT. Post should be placed only when
essential for additional core support to avoid medico-legal risk.
PMID- 21895704
TI - Cross-ethnic friendships, perceived discrimination, and their effects on ethnic
activism over time: a longitudinal investigation of three ethnic minority groups.
AB - This research examines cross-ethnic friendships as a predictor of perceived
discrimination and support for ethnic activism over time among African American,
Latino American, and Asian American undergraduate participants from a multi-year,
longitudinal study conducted in the United States. Our research builds on prior
cross-sectional research by testing effects longitudinally and examining how
relationships among these variables may differ across ethnic minority groups.
Results indicate that, over time, greater friendships with Whites predict both
lower perceptions of discrimination and less support for ethnic activism among
African Americans and Latino Americans, but not among Asian Americans.
Implications of these findings for future research on inter-group contact,
minority-majority relations, and ethnic group differences in status are
discussed.
PMID- 21895705
TI - When East meets West: a longitudinal examination of the relationship between
group relative deprivation and intergroup contact in reunified Germany.
AB - Intergroup contact and group relative deprivation have both been shown to play a
key role in the understanding of intergroup relations. Nevertheless, we know
little about their causal relationship. In order to shed some light on the
directionality and causality of the relationship between intergroup contact and
group relative deprivation, we analysed responses by East and West Germans from
k= 97 different cities, collected 6 (N(T)(1) = 1,001), 8 (N(T)(2) = 747), and 10
years (N(T)(3) = 565) after reunification. Multi-level cross-lagged analyses
showed that group relative deprivation at T1 led to more (rather than less)
intergroup contact between East and West Germans 2 years as well as 4 years
later. We found no evidence for the reverse causal relationship, or moderation by
group membership. Furthermore, admiration mediated the positive effect of
relative deprivation on intergroup contact for both East and West Germans. This
intriguing finding suggests that intergroup contact may be used as a proactive
identity management strategy by members of both minority and majority groups.
PMID- 21895706
TI - When scoring algorithms matter: effects of working memory load on different IAT
scores.
AB - In most process accounts of the Implicit Association Test (IAT), it is assumed
that compatible and incompatible IAT blocks require different amounts of working
memory capacity (WMC) and recruit executive functions such as task switching and
inhibition to different extents. In the present study (N= 120), cognitive load
during the completion of an IAT was experimentally manipulated by means of an
oral random-number generation secondary task. Cognitive load led to slower
latencies and more errors, especially in the incompatible block. However,
different IAT scores, including conventional scores and D-scores, were affected
differentially by the load manipulation: scores based on raw data of task
performance such as latencies and errors were increased whereas scores that use
transformations such as log-latency scores and D-scores were decreased. A number
of analyses shed light on the reasons for the unexpected dissociation between
scoring algorithms. Remarkably, external correlations of the IAT scores were not
affected by the experimental manipulation.
PMID- 21895707
TI - Identity and attitudinal reactions to perceptions of inter-group interactions
among ethnic migrants: a longitudinal study.
AB - This 1-year follow-up study investigated the direct and indirect effects of past,
anticipated, and actual experiences of inter-group interactions on the
development of national identity and attitudes towards the national majority
among ethnic re-migrants (N= 141) from Russia to Finland. According to the
results, the quality of past inter-group contact in the pre-migration stage
(T(1)) did not directly affect national identification and out-group attitudes in
the post-migration stage (T(2)). Instead, the effect of contact quality at T(1)
on national identification and out-group attitudes at T(2) was indirect via
perceived discrimination and out-group rejection at T(2). In addition, there were
two indirect pathways from out-group attitudes at T(1) to national identification
and out-group attitudes at T(2), via pleasant contact experiences (further
associated with positive out-group attitudes) and via perceived discrimination
(further associated with negative attitudes and lower national identification) in
the post-migration stage. Anticipated discrimination only had a direct effect on
out-group attitudes in the post-migration stage. The results highlight the role
of past and anticipated inter-group relations in the formation of post-migration
inter-group interactions, which, in turn, are decisive for the formation of
national identification and out-group attitudes of re-migrants.
PMID- 21895708
TI - Alcohol dependence is related to overall internalizing psychopathology load
rather than to particular internalizing disorders: evidence from a national
sample.
AB - BACKGROUND: Alcohol dependence is more prevalent among those with any one of
several anxiety or depressive ("internalizing") disorders than among those in the
general population. However, because internalizing disorders are highly
intercorrelated, it is ambiguous whether alcohol dependence is related to
internalizing psychopathology components that are: (i) unique to a particular
internalizing disorder ("specific"); versus (ii) shared across a number of
internalizing disorders ("general"). To clarify this ambiguity, we employed
structural equation and logistic models to decompose the specific versus general
components of internalizing psychopathology and then related these components
separately to alcohol dependence. METHODS: The data were based on face-to-face
interviews of U.S. community residents collected in the 2001 to 2002 National
Epidemiologic Survey on Alcohol and Related Conditions (NESARC; N = 43,093).
RESULTS: Both analytic approaches demonstrated that increases in the general
internalizing psychopathology load are accompanied by increases in the prevalence
of alcohol dependence. Once the general internalizing psychopathology load is
accounted for, knowing whether a particular internalizing disorder is present or
absent provides little additional information regarding the prevalence of alcohol
dependence. CONCLUSIONS: The components of internalizing psychopathology that are
associated with alcohol dependence are shared and cumulative among common anxiety
and depressive disorders. These findings have the potential to influence clinical
and scientific conceptualizations of the association between alcohol dependence
and internalizing psychopathology.
PMID- 21895709
TI - The biometric measurement of alcohol consumption.
AB - BACKGROUND: Proper ascertainment of the history of alcohol consumption by an
individual is an important component of medical diagnosis of disease and
influences the implementation of appropriate treatment strategies that include
prescription of medication, as well as intervention for the negative physical and
social consequences of hazardous/harmful levels of alcohol consumption.
Biological (biometric) diagnostic tests that provide information on current and
past quantity and frequency of alcohol consumption by an individual, prior to
onset of organ damage, continue to be sought. METHODS: Platelet monoamine oxidase
B (MAO-B) protein was quantitated in 2 populations of subjects who had histories
of different levels of alcohol consumption. Levels were assayed by immunoblotting
or by ELISA. The development and evaluation of the new ELISA-based measure of
platelet MAO-B protein levels is described. RESULTS: One subject population
constituted a nontreatment-seeking, cross-sectional subject sample, and the other
population was a longitudinally followed, hospitalized group of subjects. An
algorithm combining measures of platelet MAO-B protein with the plasma levels of
carbohydrate-deficient transferrin (CDT) and with liver enzymes (aspartate
aminotransferase or gamma-glutamyltransferase [GGT]) can detect hazardous/harmful
alcohol use (HHAU) with the highest sensitivity and specificity in the cross
sectional nontreatment-seeking population. In the treatment-seeking population,
low MAO-B protein levels at admission are associated with heavy drinking prior to
admission, and these protein levels increase over a period of abstinence from
alcohol. CONCLUSIONS: The platelet MAO-B protein measurement is particularly
effective for male alcohol consumers. The combined use of MAO-B protein measures
together with measures of CDT and GGT does, however, improve the diagnostic
utility of both markers for ascertaining HHAU in women. Furthermore, measurement
of changes in platelet MAO-B protein levels during treatment for alcohol
dependence may help monitor the success of the treatment program.
PMID- 21895710
TI - Reward and relapse: complete gene-induced dissociation in an animal model of
alcohol dependence.
AB - BACKGROUND: In animal models of continuous alcohol self-administration, in which
physical dependence does not constitute the major factor of ethanol intake, 2
factors likely contribute to the perpetuation of alcohol self-administration: (i)
the rewarding effects of ethanol and (ii) the contextual conditioning cues that
exist along with the process of self-administration. Present studies are aimed at
understanding the relative contribution of these factors on the perpetuation of
heavy alcohol self-administration, as an indication of relapse. METHODS: Wistar
derived UChB high ethanol drinker rats were allowed access to 10% ethanol and
water on a 24-hour basis. In initial studies, an anticatalase shRNA gene-coding
lentiviral vector aimed at inhibiting acetaldehyde generation was administered
into the ventral tegmental area (VTA) of the animals prior to ethanol access. In
subsequent studies, the lentiviral vector was administered to animals, which had
consumed ethanol on a 24-hour basis, or a 1-hour basis, after the animals had
reached high levels of ethanol intake for 60 to 80 days. In final studies,
quinine (0.01%) was added to the ethanol solution to alter the conditioning
taste/smell cues of alcohol that animals had chronically ingested. RESULTS: Data
indicate that the administration of an anticatalase vector into the VTA of naive
animals blocked reward and alcohol self-administration, while it was,
nevertheless, inactive in inhibiting alcohol self-administration in rats that had
been conditioned to ingest ethanol for over 2 months. The lack of inhibitory
effect of the anticatalase vector on ethanol intake in animals that had
chronically self-administered ethanol was fully reversed when the contextual
conditioning cues of the alcohol solution were changed. CONCLUSIONS: Data
highlight the importance of conditioning factors in relapse and suggest that only
abolishing or blunting it, along with long-lasting pharmacological treatment to
reduce ethanol reward, may have protracted effects in reducing alcohol self
administration.
PMID- 21895711
TI - Ethanol-induced oxidative stress via the CYP2E1 pathway disrupts adiponectin
secretion from adipocytes.
AB - BACKGROUND: Adipose tissue is an important target for ethanol action. One
important effect of ethanol is to reduce the secretion of adiponectin from
adipocytes; this decrease is associated with lowered circulating adiponectin in
rodent models of chronic ethanol feeding. Adiponectin is an insulin-sensitizing,
anti-inflammatory adipokine; decreased adiponectin activity may contribute to
tissue injury in response to chronic ethanol. Here, we investigated the role of
cytochrome P450 2E1 (CYP2E1) and oxidative stress in the mechanism for impaired
adiponectin secretion from adipocytes in response to ethanol. METHODS: Male
Wistar rats were fed a liquid diet containing ethanol as 36% of calories or pair
fed a control diet for 4 weeks. 3T3-L1 adipocyte cultures, expressing CYP2E1 or
not, were exposed to ethanol or 4-hydroxynonenal (4-HNE). RESULTS: Chronic
ethanol feeding to rats suppressed the secretion of adiponectin from isolated
epididymal adipocytes. Ethanol feeding induced the expression of CYP2E1 in
adipocytes and increased markers of oxidative stress, including 4-HNE and protein
carbonyls. Because adiponectin is posttranslationally processed in the
endoplasmic reticulum and Golgi, we investigated the impact of ethanol on the
redox status of high-density microsomes. Chronic ethanol decreased the ratio of
reduced glutathione to oxidized glutathione (4.6:1, pair-fed; 2.9:1, ethanol-fed)
in high-density microsomes isolated from rat epididymal adipose tissue. We next
utilized the 3T3-L1 adipocyte-like cell model to interrogate the mechanisms for
impaired adiponectin secretion. Culture of 3T3-L1 adipocytes overexpressing
exogenous CYP2E1, but not those overexpressing antisense CYP2E1, with ethanol
increased oxidative stress and impaired adiponectin secretion from intracellular
pools. Consistent with a role of oxidative stress in impaired adiponectin
secretion, challenge of 3T3-L1 adipocytes with 4-HNE also reduced adiponectin
mRNA expression and secretion, without affecting intracellular adiponectin
concentration. CONCLUSIONS: These data demonstrate that CYP2E1-dependent reactive
oxygen species production in response to ethanol disrupts adiponectin secretion
from adipocytes.
PMID- 21895712
TI - Comparison of alcoholism subtypes as moderators of the response to sertraline
treatment.
AB - BACKGROUND: A variety of typologies have been used to categorize alcoholism's
diverse manifestations. Although the most widely studied typologies are
dichotomous ones based on genetic epidemiologic findings or using cluster
analytic methods, recent efforts have utilized a single item or the onset of a
diagnosis of alcohol dependence to subtype individuals based on the age of
alcoholism onset. We compared 3 different methods to subtype alcoholics. METHODS:
This secondary analysis used data from 134 alcohol-dependent participants in a
placebo-controlled trial of sertraline (Kranzler et al., 2011). We compared
cluster analysis to distinguish 2 risk/severity subtypes (Babor et al., 1992)
with 2 age-of-onset subtypes (i.e., based on the age of onset of problem drinking
or the age at which alcohol dependence criteria were first met). RESULTS: Each
method yielded subgroups that differed significantly from one another on
demographic and clinical measures. Although concordance was high between the 2
age-of-onset methods, it was poor between the age-of-onset methods and the
cluster analysis-derived approach. All 3 subtyping approaches significantly
moderated the effects of sertraline or placebo, but only in the L'L' genotype
group, as originally reported (Kranzler et al., 2011). In all cases, sertraline
treatment was superior to placebo in later-onset individuals and inferior to
placebo in the earlier-onset groups. CONCLUSIONS: Because age-of-onset subtypes
can be defined retrospectively on an individual basis, they may be more
clinically useful than cluster-derived subtypes, which require group data.
Because the 2 age-of-onset measures we examined appear to have comparable
validity, a single item is easier to use as a measure of the age of onset of
problem drinking.
PMID- 21895714
TI - Opioidergic modulation of ethanol self-administration in the ventral pallidum.
AB - BACKGROUND: Striatopallidal medium spiny neurons have been viewed as a final
common path for drug reward and the ventral pallidum as an essential convergent
point for hedonic and motivational signaling in the brain. The medium spiny
neurons are GABAergic, but they colocalize enkephalin. Purpose of this study was
to investigate the role of the opioidergic mechanisms of the ventral pallidum in
ethanol self-administration behavior. METHODS: Effects of bilateral
microinjections of MU-, delta-, and kappa-opioid receptor agonists and
antagonists into the ventral pallidum on voluntary ethanol consumption were
monitored in alcohol-preferring Alko Alcohol (AA) rats using the 90-minute
limited access paradigm. RESULTS: Stimulation of MU-opioid receptors with DAMGO
(0.01 to 0.1 MUg) or morphine (1 to 10 MUg) in the ventral pallidum decreased
ethanol intake dose-dependently. Conversely, blocking MU-receptors with CTOP (0.3
to 3 MUg) increased ethanol intake significantly. Unlike CTOP, DAMGO also
increased locomotor activity. Consumption of ethanol was not modified
significantly by a broad-spectrum opioid receptor antagonist naltrexone, by delta
opioid receptor agonist DPDPE or antagonist naltrindole, or by a kappa-opioid
receptor agonist U50,488H or antagonist nor-BNI. CONCLUSIONS: The study provides
evidence for MU- but not delta- or kappa-opioid receptors in the ventral pallidum
playing a role in the regulation of voluntary ethanol consumption. Furthermore,
present findings give support to earlier work, suggesting an essential role of
pallidal opioidergic transmission in drug reward.
PMID- 21895713
TI - Corticotropin-releasing factor acting on corticotropin-releasing factor receptor
type 1 is critical for binge alcohol drinking in mice.
AB - BACKGROUND: The corticotropin-releasing factor (CRF) system has been implicated
in the regulation of alcohol consumption. However, previous mouse knockout (KO)
studies using continuous ethanol access have failed to conclusively confirm this.
Recent studies have shown that CRF receptor type 1 (CRFR1) antagonists attenuate
alcohol intake in the limited access "drinking in the dark" (DID) model of binge
drinking. To avoid the potential nonspecific effects of antagonists, in this
study, we tested alcohol drinking in CRFR1, CRFR2, CRF, and urocortin 1 (Ucn1) KO
and corresponding wild-type (WT) littermates using the DID paradigm. METHODS: On
days 1 to 3, the CRFR1, CRFR2, Ucn1, and CRF KO mice and their respective WT
littermates were provided with 20% ethanol or 10% sucrose for 2 hours with water
available at all other times. On day 4, access to ethanol or sucrose was
increased to 4 hours. At the end of each drinking session, the volume of ethanol
consumed was recorded, and at the conclusion of the last session, blood was also
collected for blood ethanol concentration (BEC) analysis. RESULTS: CRFR1 KO mice
had lower alcohol intakes and BECs and higher intakes of sucrose compared with
WTs. In contrast, CRFR2 KO mice, while having reduced intakes initially, had
similar alcohol intakes on days 2 to 4 and similar BECs as the WTs. To determine
the ligand responsible, Ucn1 and CRF KO and WT mice were tested next. While Ucn1
KOs had similar alcohol intakes and BECs to their WTs, CRF KO mice showed reduced
alcohol consumption and lower BECs compared with WTs. CONCLUSIONS: Our results
confirm that CRFR1 plays a key role in binge drinking and identify CRF as the
ligand critically involved in excessive alcohol consumption.
PMID- 21895716
TI - Ethanol-induced loss-of-righting response during ethanol withdrawal in male and
female rats: associations with alterations in Arc labeling.
AB - BACKGROUND: There is increasing evidence for relevant sex differences in
responses to ethanol. Several investigations have found differences in expression
and recovery from ethanol withdrawal (EW) in people and across various animal
models. We have found that female rats recover more quickly than male rats and
show differential responses to various behavioral assessments and pharmacological
challenges during withdrawal. The purpose of this study was to determine whether
sex differences in EW behaviors extend to the hypnotic effects of acute ethanol
administration. METHODS: We used a repeated measures design to assess duration
and latency for loss-of-righting reflex following an acute injection of ethanol
(4.2 g/kg; 20% w/v) to pair-fed control or ethanol-withdrawn animals at 1 and 3
days EW in male, female, and ovariectomized female (OVX) rats. We determined
protein levels of the activity-regulated cytoskeletal protein (Arc), used as a
marker for synaptic activity in glutamatergic synapses, in the motor cortex and
prefrontal cortex across these same treatment conditions. RESULTS: Ethanol
withdrawn animals had a reduced ethanol-induced sleep time compared to controls
at 1 day EW. Sleep time remained shortened at 3 days EW for males and OVX, but
not females. Arc protein levels in motor cortex and preoptic nuclei significantly
increased at 1 day EW across all sex conditions, suggestive of an association
with the reduced ethanol-induced sleep times during EW. Arc levels increased
further for males and OVX, but not females, at the 3 days EW time point.
CONCLUSIONS: These findings add further support to sex differences in effects of
and responses to ethanol. They suggest that the more rapid recovery from EW for
females than males also includes expression of tolerance to the hypnotic effects
of ethanol. These sex differences may involve some differential neuroadaptations
in glutamatergic signaling.
PMID- 21895715
TI - An optimized method for the measurement of acetaldehyde by high-performance
liquid chromatography.
AB - BACKGROUND: Acetaldehyde is produced during ethanol metabolism predominantly in
the liver by alcohol dehydrogenase and rapidly eliminated by oxidation to acetate
via aldehyde dehydrogenase. Assessment of circulating acetaldehyde levels in
biological matrices is performed by headspace gas chromatography and reverse
phase high-performance liquid chromatography (RP-HPLC). METHODS: We have
developed an optimized method for the measurement of acetaldehyde by RP-HPLC in
hepatoma cell culture medium, blood, and plasma. After sample deproteinization,
acetaldehyde was derivatized with 2,4-dinitrophenylhydrazine (DNPH). The reaction
was optimized for pH, amount of derivatization reagent, time, and temperature.
Extraction methods of the acetaldehyde-hydrazone (AcH-DNP) stable derivative and
product stability studies were carried out. Acetaldehyde was identified by its
retention time in comparison with AcH-DNP standard, using a new chromatography
gradient program, and quantitated based on external reference standards and
standard addition calibration curves in the presence and absence of ethanol.
RESULTS: Derivatization of acetaldehyde was performed at pH 4.0 with an 80-fold
molar excess of DNPH. The reaction was completed in 40 minutes at ambient
temperature, and the product was stable for 2 days. A clear separation of AcH-DNP
from DNPH was obtained with a new 11-minute chromatography program. Acetaldehyde
detection was linear up to 80 MUM. The recovery of acetaldehyde was >88% in
culture media and >78% in plasma. We quantitatively determined the ethanol
derived acetaldehyde in hepatoma cells, rat blood and plasma with a detection
limit around 3 MUM. The accuracy of the method was <9% for intraday and <15% for
interday measurements, in small volume (70 MUl) plasma sampling. CONCLUSIONS: An
optimized method for the quantitative determination of acetaldehyde in biological
systems was developed using derivatization with DNPH, followed by a short RP-HPLC
separation of AcH-DNP. The method has an extended linear range, is reproducible
and applicable to small-volume sampling of culture media and biological fluids.
PMID- 21895717
TI - Acamprosate for alcohol dependence: a sex-specific meta-analysis based on
individual patient data.
AB - BACKGROUND: It is unknown whether women derive comparable benefits and have a
similar safety and tolerability profile as men from acamprosate, a widely
prescribed drug for the maintenance of abstinence in alcohol dependence. The
objective of this study was to assess sex-specific differences in the efficacy,
safety, and tolerability of acamprosate in the treatment of women and men with
alcohol dependence. METHODS: A sex-specific meta-analysis was conducted based on
individual patient data (IPD). Data were obtained from double-blind, randomized
controlled trials with quantitative drinking measures in patients with alcohol
dependence receiving oral acamprosate or placebo. Sources included PubMed,
PsychInfo, and Cochrane electronic databases; reference lists from retrieved
articles and presentations at professional meetings; and direct access to authors
and companies who provided IPD. RESULTS: Individual records were obtained from
1,317 women and 4,794 men who participated in 22 eligible studies conducted in 18
countries. IPD meta-analyses found a significant beneficial effect of acamprosate
relative to placebo across all 4 efficacy end points: an incremental gain of
10.4% (95% CI 7.1 to 13.7, p < 0.001) in percentage of abstinent days, an
incremental gain of 11.0% (7.4 to 14.6, p < 0.001) in percentage of no heavy
drinking days, an odds ratio of 1.9 (1.6 to 2.2, p < 0.001) for rate of complete
abstinence, and an odds ratio of 1.9 (1.6 to 2.3, p < 0.001) for rate of no heavy
drinking, over the study duration. Acamprosate was also associated with
significantly higher rates of treatment completion (p = 0.004) and medication
compliance (p < 0.001) than placebo. Men and women did not differ on any measure
of acamprosate efficacy, safety, or tolerability. CONCLUSIONS: This sex-specific
IPD meta-analysis provides evidence that acamprosate has a significant effect
compared with placebo in improving rates of abstinence and no heavy drinking in
both women and men with alcohol dependence. Further, acamprosate was associated
with significantly higher rates of treatment completion and medication compliance
than placebo among both women and men and had a comparable safety and
tolerability profile.
PMID- 21895718
TI - Genetic polymorphisms of genes coding to alcohol-metabolizing enzymes in western
Mexicans: association of CYP2E1*c2/CYP2E1*5B allele with cirrhosis and liver
function.
AB - BACKGROUND: Alcoholic cirrhosis constitutes a major public health problem in the
world where ADH1B, ALDH2, and CYP2E1 polymorphisms could be playing an important
role. We determined ADH1B*2, ALDH2*2, and CYP2E1*c2 allele frequencies in healthy
control individuals (C) and patients with alcoholic cirrhosis (AC) from western
Mexico. METHODS: Ninety C and 41 patients with AC were studied. Genotype and
allele frequency were determined through polymerase chain reaction-restriction
fragment length polymorphisms. RESULTS: Polymorphic allele distribution in AC was
1.6%ADH1B*2, 0.0%ALDH2*2, and 19.5%CYP2E1*c2; in C: 6.1%ADH1B*2, 0%ALDH2*2, and
10.6%CYP2E1*c2. CYP2E1*c2 polymorphic allele and c1/c2 genotype frequency were
significantly higher (p < 0.05 and p < 0.01, respectively) in patients with AC
when compared to C. Patients with AC, carrying the CYP2E1*c2 allele, exhibited
more decompensated liver functioning evaluated by total bilirubin and prothrombin
time, than c1 allele carrying patients (p < 0.05). Cirrhosis severity, assessed
by Child's Pugh score and mortality, was higher in patients carrying the c2
allele, although not statistically significant. CONCLUSIONS: In this study,
CYP2E1*c2 allele was associated with susceptibility to AC; meanwhile, ADH1B*2 and
ALDH2*2 alleles were not. CYP2E1*c2 allele was associated with AC severity, which
could probably be attributed to the oxidative stress promoted by this polymorphic
form. Further studies to clearly establish CYP2E1*c2 clinical relevance in the
development of alcohol-induced liver damage and its usefulness as a probable
prognostic marker, should be performed. Also, increasing the number of patients
and including a control group conformed by alcoholic patients free of liver
damage may render more conclusive results. These findings contribute to the
understanding of the influence of gene variations in AC development among
populations, alcohol metabolism, and pharmacogenetics.
PMID- 21895719
TI - To approach or avoid alcohol? Automatic and self-reported motivational tendencies
in alcohol dependence.
AB - BACKGROUND: Motivational conflict is central to alcohol dependence, with patients
reporting motivation to limit their drinking at the same time as urges to drink
alcohol. In addition, dual process models of addiction emphasise the power of
automatic cognitive processes, particularly automatic approach responses elicited
by alcohol-related cues, as determinants of drinking behavior. We aimed to
examine the strength of automatic and self-reported alcohol approach and
avoidance tendencies among alcohol-dependent inpatients relative to matched
controls. METHODS: A total of 63 alcohol-dependent patients undergoing
detoxification and 64 light-drinking controls completed a stimulus-response
compatibility (SRC) task, which assesses the speed of categorization of alcohol
related pictures by making symbolic approach and avoidance movements. We also
included modified versions of the SRC task to assess automatic motivational
conflict, that is, strong approach and avoidance tendencies elicited
simultaneously by alcohol-related cues. RESULTS: There were no differences
between alcohol-dependent patients and controls on the SRC task, although
individual differences in the quantity of alcohol consumed before entering
treatment were significantly positively correlated with the strength of approach
(but not avoidance) tendencies elicited by alcohol-related cues. Automatic
approach tendencies were also positively correlated with self-reported "approach"
inclinations and negatively correlated with self-reported "avoidance"
inclinations. CONCLUSIONS: Although alcohol-dependent patients and matched
controls did not differ on automatic approach and avoidance tendencies elicited
by alcohol-related cues, individual differences in the quantity of alcohol
consumed before entering treatment were associated with the strength of automatic
approach tendencies elicited by alcohol cues.
PMID- 21895720
TI - Alcohol dehydrogenase-1B Arg47His polymorphism and upper aerodigestive tract
cancer risk: a meta-analysis including 24,252 subjects.
AB - BACKGROUND: Cancers of the upper aerodigestive tract (UADT) include malignant
tumors of the oral cavity, pharynx, larynx, and esophagus, account for
approximately 4% of all new cancers in world. Alcohol drinking is an established
risk factor for UADT cancers, and the rate of alcohol metabolism could
significantly been influenced by genetic polymorphisms of alcohol dehydrogenase
1B (ADH1B) His47Arg (rs1229984). To evaluate whether combined evidence shows
ADH1B His47Arg as a common genetic variant that influenced the risk of UADT
cancers, we considered all available studies in a meta-analysis. METHODS:
Eighteen studies were combined representing data of 8,539 cases and 15,713
controls for meta-analysis. Stratified analyses were carried out to determine the
gene-environment interaction between ADH1B His47Arg and alcohol drinking and gene
gene interaction between ADH1B His47Arg and aldehyde dehydrogenase-2 (ALDH2)
Glu/Lys related to UADT cancer risk. Potential sources of heterogeneity between
studies were explored; sensitivity analysis and publication bias was also
evaluated. RESULTS: The ADH1B 47Arg allele was found to be associated with
increased risk of UADT cancers, the pooled odds ratios (ORs) being 1.66 (95% CI:
1.54 to 1.79) and 3.47 (95% CI: 2.76 to 4.36) for the His/Arg and Arg/Arg
genotypes compared with the His/His genotype, respectively. An 18.48-fold
increase in OR (95% CI: 12.95 to 26.40) for UADT cancers among alcohol drinkers
with Arg/Arg genotype was found, when compared among nondrinkers with the His/His
genotype. Significant interaction between carriers with ADH1B 47Arg and ALDH2
487Lys allele related to risk for UADT cancers was more evident, compared with
noncarriers (OR = 10.31, 95% CI: 5.45 to 18.85). CONCLUSIONS: ADH1B 47Arg allele
is a common genetic variant that increased the risk of UADT cancers; furthermore,
it modulates the susceptibility to UADT cancers coupled with alcohol drinking and
interaction with the ALDH2 487Lys allele.
PMID- 21895721
TI - GABA(A) receptor modulation during adolescence alters adult ethanol intake and
preference in rats.
AB - BACKGROUND: To address the hypothesis that GABA(A) receptor modulation during
adolescence may alter the abuse liability of ethanol during adulthood, the
effects of adolescent administration of both a positive and negative GABA(A)
receptor modulator on adult alcohol intake and preference were assessed. METHODS:
Three groups of adolescent male rats received 12 injections of lorazepam (3.2
mg/kg), dehydroepiandrosterone (DHEA, 56 mg/kg), or vehicle on alternate days
starting on postnatal day (PD) 35. After this time, the doses were increased to
5.6 and 100 mg/kg, respectively, for 3 more injections on alternate days.
Subjects had access to 25 to 30 g of food daily, during the period of the first 6
injections, and 18 to 20 g thereafter. Food intake of each group was measured 60
minutes after food presentation, which occurred immediately after drug
administration on injection days or at the same time of day on noninjection days.
When subjects reached adulthood (PD 88), ethanol preference was determined on 2
separate occasions, an initial 3-day period and a 12-day period, in which
increasing concentrations of ethanol were presented. During each preference test,
intake of water, saccharin, and an ethanol/saccharin solution was measured after
each 23-hour access period. RESULTS: During adolescence, lorazepam increased 60
minute food intake, and this effect was enhanced under the more restrictive
feeding schedule. DHEA had the opposite effect on injection days, decreasing food
intake compared with noninjection days. In adulthood, the lorazepam-treated group
preferred the 2 lowest concentrations of ethanol/saccharin more than saccharin
alone compared with vehicle-treated subjects, which showed no preference for any
concentration of ethanol/saccharin over saccharin. DHEA-treated subjects showed
no preference among the 3 solutions. CONCLUSIONS: These data demonstrate that
GABA(A) receptor modulation during adolescence can alter intake and preference
for ethanol in adulthood and highlights the importance of drug history as an
important variable in the liability for alcohol abuse.
PMID- 21895722
TI - The effects of age at drinking onset and stressful life events on alcohol use in
adulthood: a replication and extension using a population-based twin sample.
AB - BACKGROUND: A study by Dawson and colleagues (Alcohol Clin Exp Res 2007; 31:69)
using data from National Epidemiologic Survey on Alcohol and Related Condition
found earlier drinking onset age, and higher levels of past-year stressful life
events (SLE) were associated with higher past-year alcohol consumption. The aims
of our study were as follows: (i) to attempt to replicate this interaction; (ii)
to extend it by examining sex and event dependence as potential moderators of the
effect; and (iii) to estimate the roles of genetic and environmental factors in
mediating the overlap of early drinking onset and SLE in their relations with
alcohol consumption. METHODS: Data were from 1,382 female and 2,218 male drinkers
interviewed as part of the Virginia Adult Twin Study of Psychiatric and Substance
Use Disorders. Regression models were used to evaluate the main and interactive
effects of early drinking onset and moderate or severe past-year SLE on past-year
drinking density (PYDD), a weighted quantity-frequency measure of alcohol
consumption. Analyses adjusted for demographic covariates and were stratified by
sex and whether SLE were independent or dependent on the person's actions, as
rated by interviewers. Structural twin models were used to estimate the degree to
which early drinking onset, SLE, and their interaction accounted for additive
genetic, common environmental and individual-specific variance in PYDD. RESULTS:
We replicated the prior finding of a main effect of higher alcohol consumption
among individuals reporting earlier drinking onset. Age at drinking onset
accounted for about 5% of the variation in PYDD, and this association was mostly
attributable to overlapping genetic influences. Evidence for an interaction
between onset age and SLE was generally weak, possibly because of lower power and
other methodological differences from Dawson and colleagues' study. However,
there was some evidence consistent with an interaction of higher PYDD among early
drinking men who experienced independent SLE and early drinking women with
dependent SLE. CONCLUSIONS: We confirmed prior findings of an association between
early age at drinking onset with higher past-year drinking among young- and
middle-aged adults and found limited evidence supporting a replication for higher
stress-related drinking among early-onset drinkers. The association is consistent
with early onset and stress-related drinking being attributable to overlapping
genetic liability. Among early drinkers, our results suggest sex differences in
consumption with regard to event dependence.
PMID- 21895723
TI - The role of the Asn40Asp polymorphism of the mu opioid receptor gene (OPRM1) on
alcoholism etiology and treatment: a critical review.
AB - The endogenous opioid system has been implicated in the pathophysiology of
alcoholism as it modulates the neurobehavioral effects of alcohol. A variant in
the mu opioid receptor gene (OPRM1), the Asn40Asp polymorphism, has received
attention as a functional variant that may influence a host of behavioral
phenotypes for alcoholism as well as clinical response to opioid antagonists.
This paper will review converging lines of evidence on the effect of the Asn40Asp
SNP on alcoholism phenotypes, including: (i) genetic association studies; (ii)
behavioral studies of alcoholism; (iii) neuroimaging studies; (iv)
pharmacogenetic studies and clinical trials; and (v) preclinical animal studies.
Together, these lines of research seek to elucidate the effects of this
functional polymorphism on alcoholism etiology and treatment response.
PMID- 21895725
TI - Atrial fibrillation hospitalization is not increased with short-term elevations
in exposure to fine particulate air pollution.
AB - BACKGROUND: Previous studies have observed that short-term exposure to elevated
concentrations of particulate matter (PM) air pollution increases risk of acute
ischemic heart disease events and heart failure hospitalization, alters cardiac
autonomic function, and increases risk of arrhythmias. This study explored the
potential associations between short-term elevations in PM exposure and atrial
fibrillation (AF). METHODS AND RESULTS: A case-crossover study design was used to
explore associations between fine PM (PM2.5, particles with an aerodynamic
diameter <=2.5 MUm) and 10,457 AF hospitalizations from 1993 to 2008 of patients
who lived on Utah's Wasatch Front. Patients were hospitalized at Intermountain
Healthcare facilities with a primary diagnosis of AF. Concurrent day exposure and
cumulative lagged exposures for up to 21 days were explored and the data were
stratified by sex, age, and previous or subsequent admission for myocardial
infarction. Although the estimated associations between PM2.5 and AF
hospitalizations for the various lag structures and strata were consistently
positive suggestive of risk, they were not statistically significant and they
were extremely small compared to previously observed associations with ischemic
heart disease events and heart failure hospitalizations. Further, we observed no
additive risk between PM2.5 and AF hospitalization in those with respiratory
disease or sleep apnea. CONCLUSIONS: Unlike previously observed associations with
ischemic heart disease events and heart failure hospitalizations using similar
study design and approaches, this study found that hospitalization for AF was not
significantly associated with elevations in short-term exposure to fine PM air
pollution.
PMID- 21895724
TI - A dual mechanism for I(Ks) current reduction by the pathogenic mutation KCNQ1
S277L.
AB - BACKGROUND: The hereditary long QT syndrome is characterized by prolonged
ventricular repolarization that can be caused by mutations to the KCNQ1 gene,
which encodes the alpha subunits of the cardiac potassium channel complex that
carries the I(Ks) current (the beta subunits are encoded by KCNE1). In this
study, we characterized a deleterious variant, KCNQ1-S277L, found in a patient
who presented with sudden cardiac death in the presence of cocaine use. METHODS:
The KCNQ1-S277L mutation was analyzed via whole-cell patch clamp, confocal
imaging, surface biotinylation assays, and computer modeling. RESULTS: Homomeric
mutant KCNQ1-S277L channels were unable to carry current, either alone or with
KCNE1. When co-expressed in a 50/50 ratio with WT KCNQ1, current density was
reduced in a dominant-negative manner, with the residual current predominantly
wild type. There was no change in the activation rate and minimal changes to
voltage-dependent activation for both KCNQ1 current and I(Ks) current.
Immunofluorescence confocal imaging revealed reduced surface expression of mutant
KCNQ1-S277L, which was biochemically confirmed by surface biotinylation showing a
44% decrease in mutant surface expression. Expression of KCNQ1-S277L with human
ether-a-go-go-related gene (HERG) did not significantly affect HERG protein or
current density compared to KCNQ1-WT co-expression. CONCLUSION: The KCNQ1-S277L
mutation causes biophysical defects that result in dominant-negative reduction in
KCNQ1 and I(Ks) current density, and a trafficking defect that results in reduced
surface expression, both without affecting HERG/I(Kr) . KCNQ1-S277L mutation in
the proband resulted in defective channels that compromised repolarization
reserve, thereby enhancing the arrhythmic susceptibility to pharmacological
blockage of I(Kr) current.
PMID- 21895726
TI - Left ventricular pacing in right ventricular cardiomyopathy: blessing or blunder?
AB - Arrhythmogenic right ventricular dysplasia (ARVD) predominantly involves the
right ventricle, and myocardium is progressively replaced by fat and fibrous
tissue in the apex, base, and outflow tract regions. This pathology, and the
progressive nature of the disease, poses special challenges for implant and
subsequent appropriate functioning of an implantable cardioverter-defibrillator.
This case report describes a solution to problems during lead placement in
patients with ARVD.
PMID- 21895727
TI - Ranolazine reduces ventricular tachycardia burden and ICD shocks in patients with
drug-refractory ICD shocks.
AB - BACKGROUND: There are limited options for patients who present with
antiarrhythmic-drug (AAD)-refractory ventricular tachycardia (VT) with recurrent
implantable cardioverter defibrillator (ICD) shocks. Ranolazine is a drug that
exerts antianginal and antiischemic effects and also acts as an antiarrhythmic in
isolation and in combination with other class III medications. Ranolazine may be
an option for recurrent AAD-refractory ICD shocks secondary to VT, but its
efficacy, outcomes, and tolerance are unknown. METHODS AND RESULTS: Twelve
patients (age 65 +/- 9.7 years) were treated with ranolazine. Eleven (92%) were
male, and 10 (83%) had ischemic heart disease with an average ejection fraction
of 0.34 +/- 0.13. All patients were on a class III AAD (11 amiodarone, one
sotalol), with six (50%) receiving mexilitene or lidocaine. Five patients had a
prior ablation and two were referred for a VT ablation at the index presentation.
The QRS increased nonsignificantly from 128 +/- 31 ms to 133 +/- 31 ms, and the
QTc increased nonsignificantly from 486 +/- 32 ms to 495 +/- 31 ms after
ranolazine initiation. Over a follow-up of 6 +/- 6 months, 11 (92%) patients had
a significant reduction in VT and no ICD shocks were observed. VT ablation was
not required in those referred. In two patients, gastrointestinal side effects
limited long-term use. Of these two patients, one died due to progressive heart
failure. In one patient, severe hypoglycemia limited dosing to 500 mg daily, but
this was sufficient for VT control. CONCLUSION: Ranolazine proved effective in
reducing VT burden and ICD shocks in patients with AAD-refractory VT. Ranolazine
should be further tested for this indication and considered for clinical
application when other options have proven ineffective.
PMID- 21895728
TI - Radiofrequency catheter ablation of posterior paraseptal accessory pathway with
atresia of the coronary sinus ostium.
AB - A 42-year-old man was referred to our hospital for an electrophysiologic study
because of recurrent episodes of palpitation. On coronary angiogram, an anomalous
atresia of the coronary sinus (CS) ostium was discovered. The ablation catheter
was inserted from the right femoral artery to the accessory pathway (AP) of
posterior paraseptal area. The earliest retrograde atrial activation was recorded
in the 5-6 o'clock region of the mitral annulus. Radiofrequency energy was
delivered to this site, resulting in elimination of the AP. After this
application, there was persistent ventriculoatrial dissociation and led to
successful ablation of the AP.
PMID- 21895729
TI - Antiviral activity of Distictella elongata (Vahl) Urb. (Bignoniaceae), a
potentially useful source of anti-dengue drugs from the state of Minas Gerais,
Brazil.
AB - AIMS: To investigate the in vitro antiviral activity of Distictella elongata
(Vahl) Urb. ethanol extracts from leaves (LEE), fruits (FEE), stems and their
main components. METHODS AND RESULTS: The antiviral activity was evaluated
against human herpesvirus type 1 (HSV-1), murine encephalomyocarditis virus
(EMCV), vaccinia virus Western Reserve (VACV-WR) and dengue virus 2 (DENV-2) by
the 3-(4, 5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT)
colorimetric assay. LEE presented anti-HSV-1 [EC(50) 142.8 +/- 5.3 MUg ml(-1);
selectivity index (SI) 2.0] and anti-DENV-2 activity (EC(50) 9.8 +/- 1.3 MUg ml(
1) ; SI 1.5). The pectolinarin (1) isolated from LEE was less active against HSV
1 and DENV-2. A mixture of the triterpenoids ursolic, pomolic and oleanolic acids
was also obtained. Ursolic and oleanolic acids have shown antiviral activity
against HSV-1. A mixture of pectolinarin (1) and acacetin-7-O-rutinoside (2) was
isolated from FEE and has presented anti-DENV-2 activity (EC(50) 11.1 +/- 1.6 MUg
ml(-1) ; SI > 45). Besides the antiviral activity, D. elongata has disclosed
antioxidant effect. CONCLUSIONS: These data shows that D. elongata has antiviral
activity mainly against HSV-1 and DENV-2, besides antioxidant activity. These
effects might be principally attributed to flavonoids isolated. SIGNIFICANCE AND
IMPACT OF THE STUDY: Distictella elongata might be considered a promising source
of anti-dengue fever phytochemicals.
PMID- 21895730
TI - Comparison of disc diffusion, Etest and agar dilution for susceptibility testing
of colistin against Enterobacteriaceae.
AB - AIMS: In this study, we compared different methods of colistin susceptibility
testing, disc diffusion, agar dilution and Etest using a set of
Enterobacteriaceae isolates that included colistin-resistant strains. METHODS AND
RESULTS: Susceptibility of 200 clinical isolates of Enterobacteriaceae to
colistin was tested to compare agar dilution (reference method), disc diffusion
(50 and 10 MUg) and Etest. MICs (minimum inhibitory concentrations) were
interpreted using the criteria established by the European Committee on
Antimicrobial Susceptibility Testing (EUCAST). Colistin exhibited excellent
activity against Escherichia coli and E. cloacae (MIC90 = 0.5 mg l(-1)). In
contrast, colistin was less active against Klebsiella pneumoniae (MIC90 = 16 mg
l(-1)). Resistance rates varied from 0% in E. coli to 1.8% in E. cloacae and 13%
in K. pneumoniae. High rates of very major errors were observed in the disc
diffusion test using either the criteria of the Comite de l'antibiogramme de la
Societe Francaise de Microbiologie (CA-SFM) or the criteria of the Clinical and
Laboratory Standards Institute (CLSI), respectively, 3.5 and 2.5%. When the
criteria of Gales et al. were applied, the number of very major errors was
reduced to one (0.5%). The Etest showed good concordance with agar dilution
method. CONCLUSION: Disc susceptibility testing methods are unreliable on
detecting colistin resistance. MIC should be determined to confirm the
susceptibility results by disc diffusion. SIGNIFICANCE AND IMPACT OF THE STUDY:
We recommend the determination of MIC by Etest for all multidrug-resistant
Enterobacteriaceae when colistin is required for the treatment.
PMID- 21895731
TI - Relapse of health related quality of life and psychological health in patients
with chronic obstructive pulmonary disease 6 months after rehabilitation.
AB - AIMS: This study aimed to evaluate the short- and long-term effects of 4-week
inpatient rehabilitation on health-related quality of life (HRQL), anxiety and
depression in patients with chronic obstructive pulmonary disease (COPD) and
investigate the influence of clinical and socio-demographical factors on
unaltered or improved HRQL after discharge. METHODS: A total of 111 consecutive
cases with mild-to-very severe COPD were recruited from three rehabilitation
centres and measured at baseline (t1), 4 weeks (t2) and 6-month follow-up (t3).
Disease severity was assessed by spirometric tests, HRQL by The St. George's
Respiratory Questionnaire (SGRQ) and anxiety and depression by The Hospital
Anxiety and Depression Scale (HADS). Socio-demography and co-morbidity was also
reported. Changes in SGRQ and HADS scores from baseline to follow-up were
analysed by paired-sample t-test, and logistic regression was used to investigate
the influence of different factors on HRQL after discharge. RESULTS: Health
related quality of life and depression improved between t1 and t2: a change of
3.6 for the SGRQ impact score (p = 0.009), -2.8 for the SGRQ total score (p =
0.012), a clinical relevant change of -4.0 for the SGRQ symptom score (p = 0.012)
and a reduction of -0.7 for the HADS depression score (p = 0.011). Between t2 and
t3, all SGRQ and HADS scores deteriorated with enhancement of SGRQ impact score
(+3.5, p = 0.016), SGRQ total score (+2.5, p = 0.029), HADS anxiety score (+1.1,
p = 0.000), HADS depression score (+0.6, p = 0.022) and HADS total score (+1.7, p
= 0.000). No significant differences between t1 and t3 were found, except for
HADS anxiety score (+0.9, p = 0.003). Patients living alone were 2.9 times more
likely to maintain or improve HRQL 6 months after rehabilitation than patients
living with someone (95% CI 1.1-7.8, p = 0.039). CONCLUSION: Short-term benefits
on HRQL and depression after rehabilitation relapsed at 6-month follow-up, but
without any further deterioration from baseline. Living alone may be beneficial
to maintain or improve HRQL after discharge.
PMID- 21895732
TI - A prospective evaluation of postural stimulation testing, computed tomography and
adrenal vein sampling in the differential diagnosis of primary aldosteronism.
AB - CONTEXT: In primary aldosteronism (PA), discriminating unilateral from bilateral
disease is crucial because adrenalectomy is frequently curative in the former
case but rarely helps in the latter. Various series have reported the utility of
postural stimulation testing (PST), cross-sectional imaging and adrenal vein
sampling (AVS) in the assessment of PA, but most of these studies were
retrospective. OBJECTIVE: To prospectively determine the diagnostic utility of
PST, AVS and computed tomography (CT) using a radiological scoring system in the
assessment of PA in a tertiary centre, as well as to document the incidence of
autonomous cortisol cosecretion. DESIGN AND SETTING: Fifty consecutive patients
with PA underwent PST, CT, AVS and a low-dose dexamethasone suppression test with
measurement of serum cortisol at 48 h. For patients who underwent surgery,
histological confirmation, and a normal postoperative serum aldosterone
concentration and plasma renin activity were taken as evidence for unilateral
disease. For other patients, results from successful adrenal vein sampling were
the diagnostic evidence against which CT and PST were assessed. RESULTS: Postural
stimulation testing had a sensitivity and specificity of 44-56% and 71-75%,
respectively. CT had an overall sensitivity and specificity of 77% and 80%,
respectively, rising to 100% sensitivity and specificity if there was a single,
discrete macronodule with an unequivocally normal contralateral gland. Evidence
of cosecretion of cortisol occurred in 14% of patients. CONCLUSIONS: Preliminary
experience is presented of an objective radiological scoring system for selecting
patients with PA for AVS. PST provides little, if any, useful additional
information. A significant minority of patients with PA exhibit evidence of
cortisol cosecretion, which may have implications for perioperative management.
PMID- 21895733
TI - Chemerin levels are positively correlated with abdominal visceral fat
accumulation.
AB - OBJECTIVE: Chemerin, a recently discovered adipocytokine, may be linked to
obesity and obesity-associated metabolic complications. However, the relationship
between visceral fat accumulation and chemerin is still unknown. Therefore, we
investigated the relationship between serum chemerin levels and body composition
as measured by computed tomography (CT). PATIENTS: We recruited 173 men and women
without histories of diabetes or cardiovascular disease. MEASUREMENTS: Biomarkers
of metabolic risk factors and body composition by computed tomography were
assessed. Serum chemerin levels were measured by enzyme-linked immunosorbent
assay. RESULTS: Chemerin levels correlated with body mass index (BMI), waist
circumference, abdominal visceral fat area, blood pressure, fasting insulin,
homoeostasis model of assessment-insulin resistance, total cholesterol,
triglyceride, creatinine, aspartate aminotransferase and alanine
aminotransferase. By stepwise multiple regression analysis, abdominal visceral
fat area, blood pressure and total cholesterol levels independently affected
chemerin levels. CONCLUSIONS: Abdominal visceral fat accumulation, blood pressure
and lipid profile were significantly associated with serum chemerin levels. Our
findings suggest that chemerin may be a mediator that links visceral obesity to
cardiovascular risk factors.
PMID- 21895734
TI - Cognitive vulnerability and the aetiology and maintenance of dental anxiety.
AB - OBJECTIVES: Dental anxiety prevalence has not changed markedly in the last 30
years, in spite of more modern and less painful technology. The objective of this
study was to explore the four components (dangerousness, uncontrollability,
unpredictability and disgustingness) of the Cognitive Vulnerability Model (CVM)
in relation to the acquisition and maintenance of dental anxiety/phobia. METHODS:
Three hundred and seventy-five participants were recruited through two dental
anxiety online support groups. They completed an online questionnaire which
included: a formal dental anxiety measure; open-ended questions regarding the
perceived origins of their dental anxiety, and questions specifically assessing
the CVM components in the acquisition and maintenance of their fear. RESULTS:
Perceptions of the dental context as uncontrollable and unpredictable were
considered important in fear acquisition, however 'dangerousness' was not as
fully established and 'disgustingness' was not considered salient by
participants. Three of the key components of the CVM (controllability,
dangerousness and disgustingness) predicted current dental anxiety scores
explaining 54% of the variance. Unpredictability was not found to have a
significant independent relationship with dental anxiety. CONCLUSIONS: These
findings show general support for the CVM as an explanatory model for maintaining
dental anxiety, though its role as a model for fear acquisition is still not
fully established.
PMID- 21895735
TI - Dental service utilization by Europeans aged 50 plus.
AB - OBJECTIVES: To describe variations in the utilization of dental services by
persons aged 50+ from 14 European countries and to identify the extent to which
such variations are attributable to differences in oral health need and in
accessibility of dental care. METHODS: We use data from the Survey of Health,
Ageing, and Retirement in Europe (SHARE Waves 2 and 3) and estimate a series of
multivariate logistic regression models to analyze variations in dental service
utilization (overall dental attendance, preventive treatment and/or operative
treatment, dental attendance in early life years) RESULTS: Overall dental
attendance and incidence of solely preventive treatment are comparatively high in
the Netherlands, Sweden, Denmark, Germany, and Switzerland. In contrast, overall
dental attendance is relatively low in Spain, Italy, France, Greece, Poland, and
Ireland. Moreover, a high incidence of solely operative treatment is observed in
Austria, Italy, and France, whereas in the Netherlands, Sweden, Denmark,
Switzerland, and Ireland, the incidence of solely operative treatment is
comparably low. By and large, these variations persist even when controlling for
cross-country differences in oral health need and in accessibility of dental
care. CONCLUSIONS: In comparison with other European regions, there is a tendency
toward more frequent and preventive dental treatment of the elderly populations
residing in Scandinavia and Western Europe. Such utilization patterns appear only
partially attributable to differences in need for and accessibility of dental
care.
PMID- 21895736
TI - GAPDH: a common enzyme with uncommon functions.
AB - Glyceraldehyde-3-phosphate dehydrogenase (GAPDH) has long been recognized as an
important enzyme for energy metabolism and the production of ATP and pyruvate
through anaerobic glycolysis in the cytoplasm. Recent studies have shown that
GAPDH has multiple functions independent of its role in energy metabolism.
Although increased GAPDH gene expression and enzymatic function is associated
with cell proliferation and tumourigenesis, conditions such as oxidative stress
impair GAPDH catalytic activity and lead to cellular aging and apoptosis. The
mechanism(s) underlying the effects of GAPDH on cellular proliferation remains
unclear, yet much evidence has been accrued that demonstrates a variety of
interacting partners for GAPDH, including proteins, various RNA species and
telomeric DNA. The present mini review summarizes recent findings relating to the
extraglycolytic functions of GAPDH and highlights the significant role this
enzyme plays in regulating both cell survival and apoptotic death.
PMID- 21895737
TI - Mitochondrial decay in ageing: 'Qi-invigorating' schisandrin B as a hormetic
agent for mitigating age-related diseases.
AB - 1. The mitochondrial free radical theory of ageing (MFRTA) proposes a primary
role for mitochondrial reactive oxygen species (ROS) in the ageing process. The
reductive hot spot hypothesis of mammalian ageing serves as a supplement to the
MFRTA by explaining how the relatively few cells that have lost oxidative
phosphorylation capacity due to mitochondrial DNA mutations can be toxic to the
rest of the body and result in the development of age-related diseases. 2.
Schisandrin B (SchB), which can induce both a glutathione anti-oxidant and a heat
shock response via redox-sensitive signalling pathways, is a hormetic agent
potentially useful for increasing the resistance of tissues to oxidative damage.
The enhanced cellular/mitochondrial anti-oxidant status and heat shock response
afforded by SchB can preserve the structural and functional integrity of
mitochondria, suggesting a potential role for SchB in ameliorating age-related
diseases. 3. Future studies will focus on investigating whether SchB can produce
the hormetic response in humans.
PMID- 21895738
TI - Human epicardial fat: what is new and what is missing?
AB - 1. Putative physiological functions of human epicardial adipose tissue (EAT)
include: (i) lipid storage for the energy needs of the myocardium; (ii)
thermoregulation, whereby brown fat components of EAT generate heat by non
shivering thermogenesis in response to core cooling; (iii) neuroprotection of the
cardiac autonomic ganglia and nerves; and (iv) regulation of vasomotion and
luminal size of the coronary arteries. Under pathophysiological circumstances,
EAT may play an adverse paracrine role in cardiac arrhythmias and in lipotoxic
cardiomyopathy, but of major current interest is its hypothetical role as an
immunological organ contributing to inflammation around coronary artery disease
(CAD). 2. The amount of EAT measured either by echocardiographic thickness over
the free wall of the right ventricle or as volume by computed tomography expands
in patients with obesity both without and with CAD. The mechanisms other than
obesity governing the increase in EAT volume in CAD are unknown, but EAT around
CAD is infiltrated by chronic inflammatory cells and overexpresses genes for
adipokines that have pro- or anti-inflammatory actions and regulate oxidative
stress plus angiogenesis. 3. Many cross-sectional studies have shown positive
associations between increased EAT mass and stable CAD burden. One prospective
population-based epidemiological study suggested that EAT volume at baseline is a
predictor of acute myocardial infarction, but was without significant incremental
predictive value after adjustment for established cardiovascular risk factors.
However, strategies are needed to obtain robust epidemiological, interventional
and experimental evidence to prove or disprove the hypothesis that EAT is a
cardiovascular risk factor locally contributing to CAD.
PMID- 21895739
TI - Restoring a sense of wellness following colorectal cancer: a grounded theory.
AB - AIM: This paper reports a study to develop a grounded theory to explain the
experience of recovery following surgery for colorectal cancer. BACKGROUND:
Studies have adopted a biomedical framework to measure quality of life and
symptom distress following surgery for colorectal cancer. These studies suggest
that symptoms of pain, insomnia and fatigue, may persist for many months
following treatment. Fewer studies have considered the individual's experiences
and perspective of the emotional, social and cultural aspects of recovery.
METHODS: A longitudinal study using grounded theory was conducted with 12
individuals, who had received surgery for colorectal cancer. Semi-structured
interviews were conducted at four time points over 1 year following surgery,
between 2007 and 2009. Grounded theory analysis was undertaken using Strauss and
Corbin's framework. FINDINGS: Recovery is described in three phases: disrupting
the self; repairing the self; restoring the self. The core category is Restoring
a sense of wellness; fostered through awareness and enjoyment of the physical,
emotional, spiritual and social aspects of life. A sense of wellness exists as a
duality with a sense of illness, where both perspectives may co-exist but one
usually takes precedence. A sense of illness pervades when the individual is
preoccupied with illness and the illness continues to disrupt their daily life.
CONCLUSION: Recovery takes time and energy, particularly when the individual is
at home and in relative isolation from health professionals. Opportunities exist
for nurses to provide information and support to facilitate the individual in
their progress towards achieving a sense of wellness.
PMID- 21895740
TI - Using Campinha-Bacote's process of cultural competence model to examine the
relationship between health literacy and cultural competence.
AB - AIM: This paper presents a discussion of the relation between health literacy and
cultural competence in nursing practice. BACKGROUND: Cultural competence involves
understanding values, beliefs, traditions and customs of diverse groups. Health
literacy is the degree to which individuals can obtain, process, and understand
health information to make healthcare decisions. Cultural competence and health
literacy are directly related to healthcare. Campinha-Bacote's Process of
Cultural Competence Model can assist nurses in addressing cultural issues
associated with a person's low health literacy. Data sources. Literature
searches were performed using CINAHL, ERIC, Academic Search Premier, Health
Source Nursing, MasterFILE Premier and Academic OneFile. All articles reviewed
were published in peer reviewed journals in English from the 1990s to the present
and were relevant to health literacy and cultural competence in nursing. Books
and other supporting scholarly sources were also used. Discussion. Health
literacy and cultural competence are related. Applying Campinha-Bacote's Process
of Cultural Competence Model using the mnemonic ASKED (awareness, skills,
knowledge, encounters and desire) involves incorporating culturally appropriate
assessments and disseminating healthcare information at lower literacy levels and
is needed for nurses to provide care for ethnic minorities and diverse
populations. Implications for nursing. Health literacy should be assessed and
care should be based on a client's level of understanding and cultural values and
norms. CONCLUSION: Nurses can care for ethnic minorities by using resources that
target health literacy deficits and by increasing their own cultural competence.
PMID- 21895741
TI - Seasonal changes in the histochemical properties of the olfactory epithelium and
vomeronasal organ in the Japanese striped snake, Elaphe quadrivirgata.
AB - Seasonal changes in the histochemical properties of the vomeronasal and olfactory
epithelia of the Japanese striped snake were examined in four seasons, viz. the
reproductive, pre-hibernating, hibernating and post-hibernating seasons. In the
vomeronasal and olfactory supporting cells, secretory granules were much more
abundant in the hibernating season than in the other seasons. In the vomeronasal
and olfactory receptor cells, the lipofuscin granules were much fewer in the post
hibernating season than in the other seasons. In histochemical studies with 21
lectins, several lectins stained the vomeronasal and olfactory epithelia
(receptor cells, supporting cells and free border) more weakly in the hibernating
season than in the reproductive season. However, all lectins stained both
epithelia in the hibernating season after sialic acid removal in a similar manner
as in the reproductive season after sialic acid removal. These lectin
histochemical studies indicate that sialic acid residues in the vomeronasal and
olfactory epithelia are more numerous in the hibernating season than in the
reproductive season. The results suggest that during hibernation, the vomeronasal
and olfactory receptor cells possibly undergo rapid cell turnover, and that
during this time, the vomeronasal and olfactory epithelia are securely protected
from pathogens by an innate immune defence system.
PMID- 21895742
TI - Immunohistochemical aspects of anti-microbial properties in goat submandibular
glands.
AB - Salivary glands are known as the principal source of anti-microbial substances,
which are considered to be essential components of saliva. The distribution of
anti-microbial substances in the submandibular glands of Japanese miniature
(Shiba) goat was studied using immunohistochemical methods as performed by
physical development procedures. In the goat, anti-microbial substances such as
lysozyme, IgA, lactoferrin and beta-defensin were demonstrated to be
immunolocalized in the submandibular glands, especially in the serous demilunes
and duct cells. The results obtained are discussed with regard to the specific
functions of the saliva. The presence and secretion of anti-microbial substances
suggest that they participate in the maintenance of oral health among the
elements of saliva.
PMID- 21895743
TI - Magnetic resonance imaging of normal nasal cavity and paranasal sinuses in cats.
AB - A detailed description of the nasal cavity and paranasal sinuses in clinically
normal cats using magnetic resonance imaging (MRI) is presented. The heads of
seven normal cats were imaged using a 1.5-T MR unit and two sequences spin echo
(SE) T1-weighted and fast spin echo (FSE) T2-weighted. Eighteen relevant MR scans
were taken in the transverse (12 scans) and dorsal (six scans) planes. Anatomical
structures were identified and labelled using anatomical texts, sectioned
specimen heads and previous studies. MR scans revealed the soft-tissue structure
of the head. Identified relevant anatomical structures seen on MRI will assist
clinicians to better understand MR images and evaluate pathological conditions
that affect the nasal region.
PMID- 21895744
TI - Clinical efficacy and safety of tacrolimus ointment in patients with vitiligo.
PMID- 21895745
TI - Effect of prior vaccination with a seasonal trivalent influenza vaccine on the
antibody response to the influenza pandemic H1N1 2009 vaccine: a randomized
controlled trial.
AB - Vaccination with the non-adjuvanted split-virion A/California/7/2009 influenza
vaccine (pandemic H1N1 2009 vaccine) began in October 2009 in Japan. The present
study was designed to assess the effect of prior vaccination with a seasonal
trivalent influenza vaccine on the antibody response to the pandemic H1N1 2009
vaccine in healthy adult volunteers. One hundred and seventeen participants aged
22 to 62 were randomly assigned to two study groups. In Group 1 (the priming
group), participants were first vaccinated with the seasonal trivalent influenza
vaccine followed by two separate one-dose vaccinations of the pandemic H1N1 2009
vaccine, whereas in Group 2 (the non-priming group), the participants were first
vaccinated with one dose of the pandemic H1N1 2009 vaccine, followed by
simultaneous vaccination of the seasonal trivalent vaccine and the second dose of
the pandemic H1N1 2009 vaccine. The participants in Group 2 had a seroprotection
rate (SPR) of 79.7% and a seroconversion rate (SCR) of 79.7% in the
hemagglutination-inhibition test after the first dose of the pandemic H1N1 2009
vaccine, indicating that the pandemic H1N1 2009 vaccine is sufficiently
immunogenic. On the other hand, the participants of Group 1 had a significantly
weaker antibody response, with a SPR of 60.8% and a SCR of 58.5%. These results
indicate that prior vaccination with the seasonal trivalent influenza vaccine
inhibits the antibody response to the pandemic H1N1 2009 vaccine. Therefore, the
pandemic H1N1 2009 vaccine should be administered prior to vaccination with the
seasonal trivalent influenza vaccine.
PMID- 21895746
TI - Inhibition of hepatitis C virus replication through adenosine monophosphate
activated protein kinase-dependent and -independent pathways.
AB - Persistent infection with hepatitis C virus (HCV) is closely correlated with type
2 diabetes. In this study, replication of HCV at different glucose concentrations
was investigated by using J6/JFH1-derived cell-adapted HCV in Huh-7.5 cells and
the mechanism of regulation of HCV replication by AMP-activated protein kinase
(AMPK) as an energy sensor of the cell analyzed. Reducing the glucose
concentration in the cell culture medium from 4.5 to 1.0 g/L resulted in
suppression of HCV replication, along with activation of AMPK. Whereas treatment
of cells with AMPK activator 5-aminoimidazole-4-carboxamide 1-beta-D
ribofuranoside (AICAR) suppressed HCV replication, compound C, a specific AMPK
inhibitor, prevented AICAR's effect, suggesting that AICAR suppresses the
replication of HCV by activating AMPK in Huh-7.5 cells. In contrast, compound C
induced further suppression of HCV replication when the cells were cultured in
low glucose concentrations or with metformin. These results suggest that low
glucose concentrations and metformin have anti-HCV effects independently of AMPK
activation.
PMID- 21895747
TI - Differential effect of prior influenza infection on alveolar macrophage
phagocytosis of Staphylococcus aureus and Escherichia coli: involvement of
interferon-gamma production.
AB - The influenza A virus is one of the main causes of respiratory infection.
Although influenza virus infection alone can result in pneumonia, secondary
bacterial infection combined with the virus is the major cause of morbidity and
mortality. Interestingly, while influenza infection increases susceptibility to
some bacteria, including Streptococcus pneumoniae, Staphylococcus aureus (S.
aureus), and Haemophilus influenzae, other bacteria such as Escherichia coli (E.
coli) and Klebsiella pneumoniae are not associated with influenza infection. The
reason for this discrepancy is not known. In this study, it was found that prior
influenza virus infection inhibits murine alveolar macrophage phagocytosis of S.
aureus but not of E. coli. Here, the mechanism for this inhibition is elucidated:
prior influenza virus infection strongly increases interferon gamma (IFN-gamma)
production. Furthermore, it was shown that IFN-gamma differentially affects
alveolar macrophage phagocytosis of S. aureus and E. coli. The findings of the
present study explain how influenza virus infection increases susceptibility to
some bacteria, such as S. aureus, but not others, and provides evidence that IFN
gamma might be a promising target for protecting the human population from
secondary bacterial infection by influenza.
PMID- 21895748
TI - Major histocompatibility complex class I-restricted cytotoxic T lymphocyte
responses during primary simian immunodeficiency virus infection in Burmese
rhesus macaques.
AB - Major histocompatibility complex class I (MHC-I)-restricted CD8(+) cytotoxic T
lymphocyte (CTL) responses are crucial for the control of human immunodeficiency
virus (HIV) and simian immunodeficiency virus (SIV) replication. In particular,
Gag-specific CTL responses have been shown to exert strong suppressive pressure
on HIV/SIV replication. Additionally, association of Vif-specific CTL frequencies
with in vitro anti-SIV efficacy has been suggested recently. Host MHC-I genotypes
could affect the immunodominance patterns of these potent CTL responses. Here,
Gag- and Vif-specific CTL responses during primary SIVmac239 infection were
examined in three groups of Burmese rhesus macaques, each group having a
different MHC-I haplotype. The first group of four macaques, which possessed the
MHC-I haplotype 90-010-Ie, did not show Gag- or Vif-specific CTL responses.
However, Nef-specific CTL responses were elicited, suggesting that primary SIV
infection does not induce predominant CTL responses specific for Gag/Vif epitopes
restricted by 90-010-Ie-derived MHC-I molecules. In contrast, Gag- and Vif
specific CTL responses were induced in the second group of two 89-075-Iw-positive
animals and the third group of two 91-010-Is-positive animals. Considering the
potential of prophylactic vaccination to affect CTL immunodominance post-viral
exposure, these groups of macaques would be useful for evaluation of vaccine
antigen-specific CTL efficacy against SIV infection.
PMID- 21895749
TI - Safety and immunogenicity of BPV-1 L1 virus-like particles in a dose-escalation
vaccination trial in horses.
AB - REASONS FOR PERFORMING STUDY: Infection with bovine papillomaviruses types 1 and
2 (BPV-1, BPV-2) can lead to the development of therapy-resistant skin tumours
termed sarcoids and possibly other skin diseases in equids. Although sarcoids
seriously compromise the welfare of affected animals and cause considerable
economic losses, no prophylactic vaccine is available to prevent this common
disease. In several animal species and man, immunisation with papillomavirus-like
particles (VLP) has been shown to protect efficiently from papillomaviral
infection. HYPOTHESIS: BPV-1 L1 VLPs may constitute a safe and highly immunogenic
vaccine candidate for protection of horses against BPV-1/-2-induced disease.
METHODS: Three groups of 4 horses each received 50, 100 or 150 ug of BPV-1 L1
VLPs, respectively, on Days 0, 28 and 168. Three control horses received adjuvant
only. Horses were monitored on a daily basis for one week after each immunisation
and then in 2 week intervals. Sera were collected immediately before, 2 weeks
after each vaccination and one and 2 years after the final boost and analysed by
pseudovirion neutralisation assay. RESULTS: None of the horses showed adverse
reactions upon vaccination apart from mild and transient swelling in 2
individuals. Irrespective of the VLP dose, all VLP-immunised horses had developed
a BPV-1-neutralising antibody titre of >= 1600 plaque forming units (pfu)/ml 2
weeks after the third vaccination. Eight of 10 trial horses still available for
follow-up had neutralising antibody titres >= 1600 pfu/ml one year and >= 800
pfu/ml 2 years after the last immunisation. CONCLUSION: Intramuscular BPV-1 L1
VLP vaccination in horses is safe and results in a long-lasting antibody response
against BPV-1. Neutralisation titres were induced at levels that correlate with
protection in experimental animals and man. POTENTIAL RELEVANCE: BPV-1 L1 VLPs
constitute a promising vaccine candidate for prevention of BPV-1/-2-induced
disease in equids.
PMID- 21895750
TI - Digital hypothermia inhibits early lamellar inflammatory signalling in the
oligofructose laminitis model.
AB - REASONS FOR PERFORMING STUDY: The pathophysiological events inhibited by
prophylactic digital hypothermia that result in reduction of the severity of
acute laminitis are unknown. OBJECTIVES: To determine if digital hypothermia
inhibits lamellar inflammatory signalling during development of oligofructose
(OF) induced laminitis. METHODS: Fourteen Standardbred horses were given 10 g/kg
bwt OF by nasogastric tube with one forelimb (CRYO) continuously cooled by
immersion in ice and water and one forelimb (NON-RX) at ambient temperature.
Lamellae were harvested prior to the onset of lameness (24 h post OF
administration, DEV group, n = 7) or at the onset of lameness (OG1 group, n = 7).
Lamellar mRNA was purified and cDNA produced for real time-quantitative PCR
analysis of mRNA concentrations of cytokines (IL-6, IL-1beta, IL-10), chemokines
(CXCL1, CXCL6, CXCL8/IL-8, MCP-1, MCP-2), cell adhesion molecules (ICAM-1, E
selectin), COX-2 and 3 housekeeping genes. Data were analysed (NON-RX vs. CRYO,
NON-RX vs. archived control [CON, n = 7] lamellar tissue) using nonparametric
tests. RESULTS: Compared with CON, the OG1 NON-RX had increased (P<0.05) lamellar
mRNA concentrations of all measured mediators except IL-10, IL-1beta and MCP-1/2,
whereas only CXCL8 was increased (P<0.05) in DEV NON-RX. Within the OG1 group,
CRYO limbs (compared with NON-RX) had decreased (P<0.05) mRNA concentrations of
the majority of measured inflammatory mediators (no change in MCP-1 and IL-10).
Within the DEV group, mRNA concentrations of CXCL-1, ICAM-1, IL-1beta, CXCL8 and
MCP-2 were decreased (P<0.05) and the anti-inflammatory cytokine IL-10 was
increased (compared with NON-RX limbs; P<0.05). CONCLUSIONS: Digital hypothermia
effectively blocked early lamellar inflammatory events likely to play an
important role in lamellar injury including the expression of chemokines,
proinflammatory cytokines, COX-2 and endothelial adhesion molecules. POTENTIAL
RELEVANCE: This study demonstrates a potential mechanism by which hypothermia
reduces the severity of acute laminitis, and may help identify molecular targets
for future laminitis intervention.
PMID- 21895751
TI - Magnetic resonance imaging findings in the carpus and proximal metacarpal region
of 50 lame horses.
AB - REASONS FOR PERFORMING STUDY: There is limited information on magnetic resonance
imaging (MRI) findings in the carpus and proximal metacarpal region of lame
horses. OBJECTIVES: To document MRI findings in horses with lameness localised to
the carpus and/or proximal metacarpal region. METHODS: Clinical records of horses
that underwent MRI of the carpus and/or proximal metacarpal region at the Animal
Health Trust between January 2003 and September 2010 were reviewed. Magnetic
resonance images of all horses and available radiographs, ultrasonographic and
scintigraphic images were assessed. When possible, MRI findings were related to
the results of other diagnostic imaging techniques. RESULTS: Seventy-two MR
studies of 58 lame limbs in 50 horses from a broad range of work disciplines and
ages were reviewed. The most commonly detected primary abnormality was decreased
signal intensity in T1- and T2-weighted images in the medial aspect of the carpal
bones and/or the proximomedial aspect of the metacarpal bones (n = 29). Nine
horses had syndesmopathy between the second and third metacarpal bones. In 6
horses the primary abnormalities were identified in the palmar cortex of the
third metacarpal bone (McIII). Significant abnormalities of the suspensory
ligament (SL) with associated lesions in the adjacent palmar cortex of the McIII
were seen in 4 limbs. Ligament and associated osseous abnormalities between the
second and third carpal bones and second and third metacarpal bones were detected
in 4 limbs. CONCLUSIONS AND POTENTIAL RELEVANCE: Magnetic resonance imaging
enabled diagnosis of a variety of lesions not detected by conventional imaging in
horses from a wide range of work disciplines. The distribution of injury types
differed considerably from previous studies.
PMID- 21895752
TI - Osteochondrosis and osteochondral fragments in Standardbred trotters: prevalence
and relationships.
AB - REASONS FOR PERFORMING STUDY: Developmental orthopaedic diseases (DOD) such as
osteochondrosis (OC)/osteochondrosis dissecans (OCD), palmar/plantar
osteochondral fragments (POF), ununited palmar/plantar eminences (UPE) and
dorsoproximal first phalanx fragments are well recognised in the horse.
Aetiopathogeneses are controversial and molecular genetic screening of DNA has
recently been employed for their elucidation. Precise phenotypic definition and
knowledge of breed-specific prevalence and interrelations are essential for the
interpretation of following genomic studies in Standardbred trotters. OBJECTIVES:
To assess the prevalence, trend of development and interrelation of DOD in
tarsocrural, metacarpophalangeal (MCP) and metatarsophalangeal (MTP) joints in
Standardbred trotters. METHODS: The tarsocrural and MCP/MTP joints of 464
Norwegian Standardbred yearlings were radiographed and the prevalence and
interrelation of osteochondral lesions calculated. RESULTS: Osteochondral lesions
were diagnosed in 50.7% of the horses. The prevalence of tarsocrural OC/OCD at
the distal intermediate ridge of the tibia (DIT) and the lateral trochlear ridge
of the talus (LTT) was 19.3%. The prevalence of OC/OCD in MCP joints was 3.6%,
whereas those of POF and UPE in MCP/MTP joints were 23.1 and 3.9%, respectively.
Interrelation was evident for 1) most equivalent lesions in joint homologues, 2)
OCD DIT and OCD LTT and 3) POF and UPE. Lesions in hock and fetlock joints were
generally not significantly associated. CONCLUSIONS: The prevalence of
tarsocrural OC/OCD in Norwegian Standardbreds is apparently increasing, whereas
that of other articular DOD appears stable. Association analyses verify
bilateralism for most equivalent lesions and suggest aetiological resemblance
also between other lesions. The absence of a significant association between
tarsocrural OCD and POF implies that the lesions must be considered statistically
different disorders. POTENTIAL RELEVANCE: The prevalence results emphasise that
DOD should be considered in Standardbred breeding regimens (e.g. by sire
selection subsequent to progeny testing). Also, improved phenotypic definitions
will help elucidate the true causal genes in following genomic studies.
PMID- 21895753
TI - Effects of acute exercise on angiotensin I-converting enzyme (ACE) activity in
horses.
AB - Angiotensin I-converting enzyme (ACE) level measurement in blood samples is an
important tool in human medicine for the detection, treatment and control of
diseases such as sarcoidosis and hypertension. Recently ACE has been advocated as
being correlated to athletic aptitude in human athletes and a genetic
polymorphism has been shown to be responsible for the enzymatic levels in the
circulation. The objective of this research was to evaluate the effects of acute
exercise in horses in order to increase the understanding of a possible
correlation between ACE levels in plasma and performance in equine athletes. A
standardised exercise test (SET) to fatigue was conducted on 8 horses and
repeated venous blood collections carried out for ACE activity measurements
before, during and after the SET. Our results show an increase in ACE activity up
to fatigue and a return to baseline values at 30 min post exercise.
PMID- 21895754
TI - Complications after two transphyseal bridging techniques for treatment of angular
limb deformities of the distal radius in 568 Thoroughbred yearlings.
AB - REASONS FOR PERFORMING STUDY: Surgical correction of carpal angular limb
deformities by growth retardation is commonly undertaken with a screws and
tension band wire loop technique (S&W) or a single transphyseal screw (STS). This
study compares complications after S&W and STS bridging in the distal radius of
Thoroughbred yearlings. OBJECTIVE: To compare the prevalence of complications
serious enough to require follow-up radiographs following either S&W or STS
surgery for growth manipulation in the distal radius of Thoroughbred yearlings.
METHODS: Medical records and radiographs from Thoroughbred yearlings (age range
261-457 days) treated for carpal angular limb deformities at a single hospital
over 2 years were reviewed. Each of the techniques was used exclusively during a
single year. The complication threshold criterion for inclusion was the need for
nonroutine radiographs of the operated site anytime after implant insertion or
removal. RESULTS: Of 568 horses, 253 received S&W and 315 received STS. Horses
were of similar age at the time of surgery for STS and S&W. Single transphyseal
screws were left in place for a significantly shorter amount of time (16 days).
Sex, the limb(s) treated and medial vs. lateral placement were not significantly
different between techniques. Complications included physitis post implant
removal, metaphyseal collapse post implant removal, infection, overcorrection and
seroma formation severe enough to require radiography. Physitis and metaphyseal
collapse occurred significantly more frequently with STS compared with S&W.
Infection, overcorrection and seromas were not significantly different between
techniques. CONCLUSION: The STS and S&W techniques are both viable treatment
options for correction of carpal angular limb deformities. However, horses
treated with the STS technique have a significantly increased risk of developing
physitis or metaphyseal collapse. POTENTIAL RELEVANCE: Horses treated with STS
bridging have a significantly increased risk of developing the post correction
complications of moderate to severe physitis and metaphyseal collapse compared
with horses treated with S&W bridging.
PMID- 21895755
TI - Do early skin care practices alter the risk of atopic dermatitis? A case-control
study.
AB - The rise in atopic dermatitis prevalence observed in industrialized countries is
unexplained. We hypothesized that certain skin care practices early in life may
increase the risk for developing atopic dermatitis. Our case-control study could
not identify any one practice that increased the odds of developing atopic
dermatitis, but it revealed that regular lotion use was very common in infants
who later develop atopic dermatitis.
PMID- 21895756
TI - Resource utilization and quality of life associated with congenital ichthyoses.
AB - We explored resource utilization (ResUtil) and quality of life (QOL) associated
with congenital ichthyoses (CI). Subjects completed an online survey related to
clinical severity, demographics, ResUtil, and QOL as measured according to the
Dermatology Life Quality Index (DLQI). Validated Likert scales were used to
evaluate severity of hyperkeratosis, erythema, and alopecia. ResUtil was
determined according to time spent daily treating CI symptoms (TimeTx) and number
of ichthyosis-related dermatology visits (DermVisits) per year. We used linear
regression to investigate predictors of a transformed DLQI (sqrtDLQI) and
logistic regression for ResUtil. Of 235 subjects, 60.2% were female, 83.8% were
Caucasian, 42.3% had a family history (FamHx) of CI, and the mean age was 28.7
years (SD 20.3). Predictors for worse QOL were hyperkeratosis severity (beta =
0.27, p < 0.01), erythema (beta = 0.27, p < 0.01), TimeTx (beta = 0.21, p <
0.01), ichthyosis type (beta = 0.09, p < 0.01), and age (beta = 0.01, p = 0.02).
Predictors for DermVisits were hyperkeratosis severity (odds ratio [OR] = 1.38,
95% confidence limit [CL] = 1.01, 1.87), FamHx (OR = 0.28, 95% CL = 0.09, 0.85),
age (OR = 0.97, 95% CI = 0.96, 0.99), and alopecia severity (OR = 1.43, 95% CL =
1.12, 1.82). Predictors for treatment duration were erythema (OR = 1.35, 95% CL =
1.02, 1.78), age (OR = 0.98, 95% CL = 0.96, 0.99), and DLQI (OR = 1.09, 95% CL =
1.03, 1.15). Increased hyperkeratosis severity and erythema negatively impact QOL
in the CI. Furthermore, increased disease severity predicted greater ResUtil,
whereas increased age and FamHx predicted less ResUtil. Our findings suggest that
better therapies and increased patient education may improve QOL and decrease
ResUtil.
PMID- 21895757
TI - Clonality of Staphylococcus aureus colonization over time in attendees of a camp
for children with chronic dermatoses.
AB - Chronic dermatoses are risk factors for Staphylococcus aureus colonization;
little is known about the significance of transmission between persons with
chronic dermatoses (CD) and their contacts. We collected nasal, axillary, and
skin swabs for S. aureus from 50 attendees of a camp for children with CD and
their families at three time points: start and end of 2005 camp and start of 2006
camp (times A, B, and C, respectively). Thirty-one persons had CD, including
epidermolysis bullosa (n = 14), atopic dermatitis (n = 7), ichthyosis (n = 5),
and psoriasis (n = 5). Methicillin susceptibility and genotype were determined
for all S. aureus isolates. Seventy-one unique S. aureus isolate from 10 clonal
complexes (CC) were isolated; 14 (20%) were methicillin-resistant (MRSA). Persons
with CD were more likely than those without CD to be colonized with S. aureus at
the start of the 2005 (p = 0.01) and 2006 (p = 0.02) camp or at any time or site
(p = 0.04) or to be persistently colonized with the same S. aureus CC at the
start and end of the 2005 camp. Persons with atopic dermatitis had the highest
burden of S. aureus colonization, whereas MRSA was isolated most frequently from
attendees with epidermolysis bullosa. Three hospitalizations for skin infections
were noted in people with CD between the 2005 and 2006 camps, versus three
hospitalizations in the 6 months before the 2005 camp. Although S. aureus
colonization was frequent among camp attendees (and in persons with CD in
particular), it was diverse and variable. Camp attendance did not appear to
affect infection-related clinical outcomes.
PMID- 21895758
TI - Budesonide-induced periorificial dermatitis presenting as chalazion and
blepharitis.
AB - We report a case of periorificial dermatitis caused by suboptimal inhalation of
budesonide for asthma. The initial skin lesions presented in the eye
surroundings, leading to diagnostic difficulties and treatment of presumed
chalazion and staphylococcal folliculitis. After several months, the patient
developed perioral papules and pustules and was diagnosed with periorificial
dermatitis. He was efficiently treated with topical metronidazole and oral
erythromycin.
PMID- 21895759
TI - Aleukemic leukemia cutis in a child preceding T-cell acute lymphoblastic
leukemia.
AB - An 8-year-old boy presented with a widespread cutaneous eruption featuring
macules, papules, nodules, and ulcers. The histologic infiltrate showed T
lymphoblasts, but there was no sign of systemic involvement, so aleukemic
leukemia cutis was diagnosed. Two months later, he developed leukemia in
peripheral blood and bone marrow that was characterized as T-cell acute
lymphoblastic leukemia.
PMID- 21895760
TI - Clinical effects and outcomes with new P2Y12 inhibitors in ACS.
AB - Thienopyridines have become the cornerstone of treatment for percutaneous
coronary intervention although no survival benefit has ever been shown with
clopidogrel despite increasing loading doses. Newly developed P2Y12 inhibitors
are more potent, more predictable, and have a faster onset of action than
clopidogrel, characteristics that make them particularly attractive for high-risk
percutaneous coronary intervention (PCI). Four new P2Y12 inhibitors have been
tested each of them having particular individual properties. Prasugrel is an oral
pro-drug leading to irreversible blockade of the P2Y12 receptor and is approved
worldwide for ACS PCI. Ticagrelor is a direct-acting and reversible inhibitor of
the P2Y12 receptor with potentially more pleiotropic effects. Cangrelor is an
intravenous direct and reversible inhibitor of the P2Y12 receptor providing the
highest level of inhibition, and elinogrel is an intravenous and oral P2Y12
antagonist with a direct and reversible action. Both prasugrel and ticagrelor,
opposed to clopidogrel, have shown that stronger P2Y12 inhibition led
respectively to significant 19 and 16% relative risk reduction of a similar
primary end point combining cardiovascular death, non-fatal myocardial
infarction, or non-fatal stroke. Both drugs showed a significant 0.6% absolute
excess of TIMI major bleeding not related to CABG surgery. Because in clinical
trials, patients perceived to be at higher risk of bleeding usually are excluded,
the risk of major and even fatal bleeding might even be higher in a 'real-world'
setting, i.e. in the elderly patient with comorbidities. On the other hand, these
newly developed P2Y12 inhibitors decrease mortality after PCI compared with
clopidogrel. The risk/benefit ratio is particularly favorable in PCI for patients
with STEMI.
PMID- 21895761
TI - Pharmacokinetic basis of the antiplatelet action of prasugrel.
AB - Prasugrel is the most recent development of thienopyridine-type antiplatelet
drugs. Like the earlier-generation thienopyridines, i.e. ticlopidine and
clopidogrel, prasugrel is also an inactive prodrug that requires metabolic
processing in vivo to generate the active antiplatelet metabolite. The efficacy
of this bioactivation is the key determinant for the pharmacodynamic potency of
the compound, i.e. the irreversible blockade of the platelet P2Y12-ADP receptor.
Prasugrel is rapidly absorbed from the gut. After oral administration of standard
loading doses of 60 mg, maximum plasma levels of the active metabolite are
achieved within 1 h, effective, maximum inhibition of platelet aggregation at 1-2
h. Bioconversion of prasugrel into the active metabolite requires two metabolic
steps that occur in sequence. The first is the generation of a thiolactone
intermediate, mainly by carboxyesterases-2 in the intestine, the second the
cytochrome (CYP)-dependent conversion of the thiolactone into the active
metabolite. This second step involves several cytochromes, most notably CYP3A4,
CYP2C19, CYP2B6, and CYP2C9. The enzymatic generation of the active metabolite of
prasugrel is much more effective than that of clopidogrel where only about 5% of
oral clopidogrel is transformed into the active compound by two-step CYP
dependent procedures. About 70% of prasugrel metabolites are excreted in the
urine and 30% in the feces. The molar potency of the respective active
metabolites of prasugrel and clopidogrel is identical. Thus, the more rapid
onset, higher potency and lower interindividual variability of antiplatelet
effects of prasugrel as compared to clopidogrel in vivo are entirely because of
its more efficient pharmacokinetics.
PMID- 21895763
TI - Patterns of prescription drug use and incidence of drug-drug interactions in
patients reporting to medical emergency.
AB - Pharmaco-epidemiological studies detailing prescribing patterns of physicians are
very few from developing countries. The present study describes the patterns of
prescription of drugs by physicians working in different clinical settings in
India and explores using the prescriptions the incidence of potential drug-drug
interactions (DDI). This study was a cross-sectional observational study. The
prescriptions of patients for any chronic medical condition and drug therapy
received at the first point of contact with health care services for present
medical emergency were analyzed for information. The prescriptions were also
analyzed for potential DDI. Data were expressed as mean +/- SD or median and
inter-quartile range. Multiple logistic regression was used for variables likely
to be associated with incidence of DDI. Of total 710 patients, 565 prescriptions
were available for analysis. Of the chronic diseases, hypertension (17.7%) and
diabetes mellitus (16.8%) were the commonest. Alcoholic liver disease had maximum
average number of drugs prescribed (3.9). Supplements were the most commonly
prescribed pharmacological agents for chronic disease (142/796). Patients in 35
50 years of age consumed maximum average number of drugs (1.9). Antibiotics were
the most frequently prescribed agents (148/1240) followed by supplements
(122/1240). We noted 296 mild and moderate potential DDI. Literacy of patients
and polypharmacy were the factors associated significantly with DDI. Patients in
India do not consume large number of allopathic medicines. The practice of
prescribing supplements and antibiotics needs to be reviewed. Potential DDI are
not an important problem. Prescription policies need significant revision.
PMID- 21895762
TI - Opioid-like antinociceptive effects of oral administration of a lectin purified
from the seeds of Canavalia brasiliensis.
AB - The objective of this study was to evaluate the antinociceptive effects of a
lectin from Canavalia brasiliensis (ConBr) when administered orally to murine
models of chemical and thermal nociception. ConBr up to 100 mg/kg produced
significant and dose-dependent antinociceptive effects: 81% reduction in
abdominal writhing induced by 0.6% acetic acid; 26 and 52% reduction in early-
and late-stage paw licking, respectively, induced by 2.5% formalin; and 155%
increase in reaction latency (heightened thermal pain threshold). In all models,
the antinociceptive effect was reversed by the lectin-binding carbohydrate alpha
d-methyl-mannoside and by the nonselective opioid antagonist naloxone. The
antinociceptive effect observed in the formalin test was inhibited by the delta
selective antagonist naltrindole and the kappa-selective antagonist nor
binaltorphimine but not by the MU-selective antagonist cyprodime. In conclusion,
when administered orally to Swiss mice, the ConBr lectin displayed
antinociceptive activity, both peripheral and central, mediated by the opioid
system and involving delta-and kappa-receptors and the lectin domain.
PMID- 21895764
TI - Neonatal morbidity in singleton late preterm infants compared with full-term
infants.
AB - AIM: The aim of this study was to test the hypothesis that singleton late preterm
infants (34 0/7 to 36 6/7 weeks of gestation) compared with full-term infants
have a higher incidence of short-term morbidity and stay longer in hospital.
METHODS: In this retrospective, multicentre study, electronic data of children
born at five hospitals in Switzerland were recorded. Short-term outcome of late
preterm infants was compared with a control group of full-term infants (39 0/7 to
40 6/7 weeks of gestation). Multiple gestations, pregnancies complicated by
foetal malformations, maternal consumption of illicit drugs and infants with
incomplete documentation were excluded. The results were corrected for gender
imbalance. RESULTS: Data from 530 late preterm and 1686 full-term infants were
analysed. Compared with full-term infants, late preterm infants had a significant
higher morbidity: respiratory distress (34.7% vs. 4.6%), hyperbilirubinaemia
(47.7% vs. 3.4%), hypoglycaemia (14.3% vs. 0.6%), hypothermia (2.5% vs. 0.6%) and
duration of hospitalization (mean, 9.9 days vs. 5.2 days). The risk to develop at
least one complication was 7.6 (95% CI: 6.2-9.6) times higher among late preterm
infants (70.8%) than among full-term infants (9.3%). CONCLUSION: Singleton late
preterm infants show considerably higher rate of medical complications and
prolonged hospital stay compared with matched full-term infants and therefore
need more medical and financial resources.
PMID- 21895766
TI - Exercise deficit disorder in youth: a hidden truth.
PMID- 21895767
TI - Characterisation of Cl- transporter and channels in experimentally induced myopic
chick eyes.
AB - BACKGROUND: Experimental evidence has shown that myopic and hyperopic optical
defocus induces thickening and thinning of the choroids, respectively, moving the
retina forward and backward toward the plane of focus; however, the underlying
mechanism of this phenomenon remains elusive. It has been hypothesised that the
change in choroidal thickness might be elicited by the alteration of ion and
fluid transport across the retinal pigment epithelium (RPE). Therefore, the aims
of the present study were to determine the content of specific Cl(-)
transporter/channel mRNA and proteins in chick RPE in a normal, untreated state
and in lens-induced myopia. METHODS: Thirty-five White Leghorn chicks were used.
Lens-induced myopia was achieved by securing a -10 D lens in one eye, while the
control eye was mounted with a plano lens. The mRNA and protein expression of the
targeted Cl(-) transporter and channels were assessed by real-time polymerase
chain reaction and western blot, respectively. RESULTS: Our results showed that
the gene and protein products of several Cl(-) transporter and channels including
NKCC, CFTR, ClC-2, ClC-5, ClC-7 and CLCA were expressed in young chick RPE. After
one day of -10 D lens wear, in addition to the myopic shift in refraction and
choroidal thinning, there was a parallel reduction in content of some mRNAs and
proteins (for example, NKCC) in the myopic eye compared with the fellow eye.
Spontaneous recovery of these mRNAs and proteins to control levels was
demonstrated after four days of treatment. CONCLUSION: The relative reduction of
Cl(-) transporter and channel expression in the myopic eye might cause a decrease
in ion and fluid transport across the RPE, leading to a thinning of the choroid
and potentially accelerating axial elongation. Understanding of the identity of
the Cl(-) transport machinery used in developing lens-induced myopia might
facilitate development of novel approaches for controlling myopic progression by
influencing fluid transport by the RPE.
PMID- 21895768
TI - Toric orthokeratology for high myopic and astigmatic subjects for myopic control.
PMID- 21895769
TI - Ballistic impact resistance of selected organic ophthalmic lenses.
AB - PURPOSE: The aim was to assess the impact resistance of coated and uncoated mid
index spectacle lens materials using the ballistic impact test. METHODS:
Nominally plano lenses of each material in three thicknesses were obtained. The
lenses were flat edged to a 50 mm diameter. Each lens was impacted by a 6.35 mm
steel ball. Impact velocities were selected using the Zippy Estimation by
Sequential Testing protocol to determine the threshold fracture impact velocity.
RESULTS: Threshold fracture impact velocity generally increased with thickness;
however, there was a wide variation in performance among the various lens
materials at each thickness. In all but two instances, the differences in impact
velocity at each thickness of lens material were significant. Comparison of the
data for CR39 and Hoya Phoenix with the results of earlier studies showed that
the lens mounting is a significant factor. The fracture velocities found in the
present study were significantly lower than the fracture velocities found when
the lens edge is restrained in the mounting. A scratch resistant coating reduced
the impact resistance of CR39. The effect of the antireflection coating on the
fracture velocity depended on the nature of the base scratch-resistant coating.
CONCLUSIONS: Mid-index lens materials of the same thickness show widely varying
levels of impact resistance under the ballistic test. Impact resistance increases
non-linearly with centre thickness. The lens mounting might affect the results of
the ballistic impact test. The presence of 'cushion coatings' might enhance
impact resistance.
PMID- 21895770
TI - Unrecognized high brachial artery bifurcation is associated with higher rate of
dialysis access failure.
AB - A thorough consideration of all factors contributing to successful dialysis
access creation is necessary to achieve optimal outcomes. A high bifurcation of
the brachial artery (brachioradial variant) occurs in greater than 20% of
patients. Dialysis access was created in 22 limbs with this variant--15 fistula,
and 7 prosthetic grafts. Nonmaturation occurred in 33% of fistula. Early
thromboses occurred in 29% of prosthetic bridge grafts. In this experience, the
brachioradial variant is associated with a relatively higher rate of fistula
nonmaturation and prosthetic graft thromboses. These findings reinforce the
critical role of preoperative imaging studies in dialysis access creation. A
sound algorithm for the surgical management of the brachioradial variation
facilitates decision making and will improve dialysis access outcomes.
PMID- 21895771
TI - A vascular access coordinator improves the prevalent fistula rate.
AB - The Centers for Medicare and Medicaid Services set the prevalent arteriovenous
fistula (AVF) rate of 66% as a national standard. To test the hypothesis that the
use of a clinical vascular access coordinator could increase the rate of AVF in a
large Nephrology group practice, we implemented an aggressive, multidisciplinary
vascular access improvement program led by a trained vascular access coordinator
(VAC). In early 2009, we established protocols, approved by all physicians, for
the care of vascular access and implemented by a nurse VAC. We retrospectively
reviewed Network vascular access data reports from January 2008 through December
2010. The data show that after the implementation of a comprehensive access
program led by a VAC, the prevalent AVF rate increased from 50% to 65%. The
number of grafts decreased while the percentage of dialysis catheters used for
more than 90 days was cut in half. These data suggest that despite an unchanged
catheter rate at dialysis initiation, the use of a VAC implementing an
aggressive, multidisciplinary access program can significantly increase the AVF
rate while decreasing grafts and prevalent catheter use.
PMID- 21895772
TI - Transradial percutaneous coronary interventions using sheathless guiding
catheters: a multicenter registry.
AB - BACKGROUND: Transradial approach (TRA) for percutaneous coronary interventions
(PCIs) is a common alternative to transfemoral approach associated with lower
complications. However, a limitation of TRA is the use of large caliber guiding
catheters due to the small size of the radial artery. The sheathless guiding
catheter system that is in diameter 1-2 French (Fr) smaller than the
corresponding introducer sheath may overcome these difficulties. METHODS: From
January 2010 through December 2010 in 5 Italian high-volume hospitals, 213
consecutive patients who underwent TRA-PCIs using the sheathless Eaucath guiding
catheter system because of small radial artery caliber (Group 1) or undergoing
bifurcation PCIs (Group 2) were enrolled in this registry. In patients of Group 1
(n = 79), a 6.5-Fr sheathless guiding catheter was employed, whereas in patients
of Group 2 (n = 134) a 7.5 Fr was used. RESULTS: Among the 213 patients enrolled,
270 vessels were treated for 316 lesions. No procedures required conversion to a
conventional guiding catheter system. There were significantly more female
patients in Group 1, and they were, older, shorter, and thinner than patients in
Group 2. No cases of major vascular complications were observed in either groups.
During procedures, adjunctive devices employed included intravascular ultrasound,
thrombectomy catheters, and distal protection systems. CONCLUSIONS: The use of
the sheathless guiding catheter system is feasible for TRA-PCIs in case of small
radial artery caliber or intended coronary bifurcation intervention.
PMID- 21895773
TI - The CDKN2A p.A148T variant is associated with cutaneous melanoma in Southern
Brazil.
AB - Several germline mutations and sequence variants in cancer predisposition genes
have been described. Among these, the CDKN2A p.A148T variant appears to be
frequent in patients with melanoma, at least in certain ethnic groups. In this
case-control study, we evaluated 127 patients with cutaneous melanoma and 128
controls from Southern Brazil, the region with the highest melanoma incidence
rates in the country. Using PCR-RFLP, we demonstrate that CDKN2A p.A148T variant
was significantly more frequent in patients with melanoma than in controls (12.6%
vs 3.9%; P=0.009). There was no association between presence of the polymorphism
and tumor thickness, site of the primary tumor, melanoma subtype, age at
diagnosis, quantitative and qualitative number of nevi. Patients with a positive
family of history for other cancers were particularly prone to carry the CDKN2A
p.A148T allele. All patients with p.A148T-positive melanoma reported European
ancestry, especially German, and this was confirmed using a panel of ancestry
informative INDELs. Our data suggest that CDKN2A p.A148T is a melanoma
susceptibility allele in Southern Brazil and is particularly common in patients
with melanoma of predominantly European ancestry.
PMID- 21895774
TI - Inducible nitric oxide synthase (iNOS) and alpha-melanocyte-stimulating hormones
of iNOS origin play important roles in the allergic reactions of atopic
dermatitis in mice.
AB - To elucidate the possible involvement of nitric oxide (NO) derived from inducible
NO synthase (iNOS) in the pathogenesis of patients with allergic rhinitis, we
used an animal model of atopic dermatitis (AD) induced by epicutaneous
sensitization and analysed the differences in ear thickness, the frequency of
scratching and plasma levels of ovalbumin-specific immunoglobulin E (OVA-IgE),
transforming growth factor (TGF)-beta, tumor necrosis factor (TNF)-alpha,
adrenocorticotropic hormone (ACTH) and alpha-melanocyte-stimulating hormone
(alpha-MSH) between control and iNOS(-/-) mice. Eight-week-old control and iNOS(
/-) male C57BL/6j mice were sensitized three times with OVA antigen. Before and
after the last skin sensitization, the number of scratching incidents and the
thickness of the ear were examined, and the plasma levels of OVA-IgE, alpha-MSH,
ACTH, TGF-beta and TNF-alpha were analysed by ELISA. Sensitization of mice with
OVA resulted in increased plasma levels of OVA-IgE, alpha-MSH, ACTH, TGF-beta and
TNF-alpha in control, but not in iNOS(-/-) mice. The administration of l-nitro
arginine-methyl ester (l-NAME) abolished all the above changes that occurred in
the control mice. In addition, iNOS(-/-) mice given alpha-MSH exhibited a change
similar to that seen in the control, whereas iNOS(-/-) mice given ACTH, TGF-beta
or TNF-alpha did not demonstrate any changes. These results indicate that
symptoms of AD such as scratching can be exacerbated by alpha-MSH, which is
induced by iNOS-derived NO.
PMID- 21895775
TI - Infective endocarditis due to Aspergillus following kidney transplantation.
PMID- 21895776
TI - A rare case of an intra-abdominal flat desmoid tumor causing ureteral
obstruction.
PMID- 21895777
TI - Eruptive nevi following bone marrow transplantation: a reflectance confocal
microscopy study.
PMID- 21895778
TI - Evaluations of the nutritional value of Jatropha curcas protein isolate in common
carp (Cyprinus carpio L.).
AB - Jatropha curcas seeds are rich in oil and protein. The oil is used for biodiesel
production. Jatropha seed cake (JSC) obtained after oil extraction is rich in
protein; however, it is toxic (phorbol esters content 1.3 mg/g) and consists of
50-60% shells, which are indigestible. The principle of isoelectric precipitation
was used to obtain Jatropha protein isolate (JPI) from JSC and it was detoxified
(DJPI). Carp (n = 45, 20.3 +/- 0.13 g) were randomly distributed into five groups
with three replicates and for 12-week fed iso-nitrogenous diets (crude protein
38%): Control [fishmeal (FM)-based protein]; J(50) and J(75) (50% and 75% of FM
protein replaced by DJPI); S(50) and S(75) (50% and 75% of FM protein replaced
by soy protein isolate). Growth performance and nutrient utilisation parameters
were highest in S(75) group and not significantly different to those in J(50)
and S(50) groups but were significantly higher than those for all other groups.
Similar trend was observed for protein and energy digestibilities of experimental
diets, whereas opposite trend was observed for the feed to gain ratio. Activities
of intestinal digestive enzymes did not different significantly between the five
groups. In conclusion, DJPI is a good quality protein source for carp.
PMID- 21895779
TI - Concentrations of retinol, 3,4-didehydroretinol, and retinyl esters in plasma of
free-ranging birds of prey.
AB - This study investigated vitamin A compounds in the plasma of healthy free-ranging
Central European raptors with different feeding strategies. Plasma samples of
nestlings of white-tailed sea eagle [white-tailed sea eagle (WTSE), Haliaeetus
albicilla) (n = 32), osprey (Pandion haliaetus) (n = 39), northern goshawk
(Accipiter gentilis) (n = 25), common buzzard (Buteo buteo) (n = 31), and honey
buzzard (Pernis apivorus) (n = 18) and adults of WTSE (n = 10), osprey (n = 31),
and northern goshawk (n = 45) were investigated with reversed-phase-high
performance liquid chromatography (RP-HPLC). In WTSE, northern goshawks and
common buzzards retinol were the main plasma component of vitamin A, whilst in
ospreys and honey buzzards, 3,4-didehydroretinol predominated. The median of the
retinol plasma concentration in the nestlings group ranged from 0.12 to 3.80 MUm
and in the adult group from 0.15 to 6.13 MUm. Median plasma concentrations of 3,4
didehydroretinol in nestlings ranged from 0.06 to 3.55 MUm. In adults, northern
goshawks had the lowest plasma concentration of 3,4-didehydroretinol followed by
WTSE and ospreys. The plasma of all investigated species contained retinyl esters
(palmitate, oleate, and stearate). The results show considerable species-specific
differences in the vitamin A plasma concentrations that might be caused by
different nutrition strategies.
PMID- 21895780
TI - Propionate absorbed from the colon acts as gluconeogenic substrate in a strict
carnivore, the domestic cat (Felis catus).
AB - In six normal-weight and six obese cats, the metabolic effect of propionate
absorbed from the colon was assessed. Two colonic infusions were tested in a
crossover design with intervals of 4 weeks. The test solution contained 4 mmol
sodium propionate per kg ideal body weight in a 0.2% NaCl solution. Normal saline
was given as control solution. Solutions were infused into the hindgut over 30
min. Blood samples were obtained prior to and at various time points after
starting the infusion. As body condition did not affect evaluated parameters, all
data were pooled. Plasma glucose concentrations showed differences neither over
time nor during or after infusion with propionate or control. Plasma amino acid
concentrations rose over time (p < 0.001), but were similar for both infusions.
Plasma propionylcarnitine rose markedly towards the end of the propionate
infusion and decreased afterwards (p < 0.001), whereas 3-hydroxy-3
methylglutarylcarnitine was lower 30 (p = 0.005) and 60 min (p = 0.032) after
ending propionate infusions and acetylcarnitine tended to fall at the same time
points (p = 0.079; p = 0.080), suggesting inhibition of gluconeogenesis from
pyruvate and amino acids, but initiation of propionate-induced gluconeogenesis.
In conclusion, propionate absorbed from the colon is hypothesized to act as
gluconeogenic substrate, regardless of the cat's body condition.
PMID- 21895781
TI - The effect of excess cobalt on milk fatty acid profiles and transcriptional
regulation of SCD, FASN, DGAT1 and DGAT2 in the mammary gland of lactating dairy
cows.
AB - The main objective of this study was to investigate the effect of excess cobalt
(Co) on gene expression of stearoyl-CoA desaturase (SCD), fatty acid synthase
(FASN), diacylglycerol acyltransferase 1 (DGAT1) and diacylglycerol
acyltransferase 2 (DGAT2) of lactating dairy cows in relation to milk fatty acid
profile. Seven multiparous cows of the Norwegian Red cattle breed (NRF) had their
basal diet supplemented with 1.4 g Co as a 24 g/l solution of Co-acetate per os
twice daily for 7 days followed by a 9-day depuration period. Udder biopsies were
performed prior to the treatment period, after 1 week of treatment and
immediately after the depuration period. Excess Co reduced the proportion of all
cis-9 monounsaturated fatty acids and increased the proportion of 18:0 in milk.
However, gene expression levels of SCD, DGAT1, DGAT2 and FASN were not
significantly altered. Our results indicate that the effect of Co on milk fatty
acid profile is mediated at the post-transcriptional level by reduced activity of
SCD in the mammary gland. Potential mechanisms explaining how Co might reduce
stearoyl-CoA desaturation are discussed.
PMID- 21895782
TI - Inhibition of the pro-inflammatory NF-kappaB pathway by a grape seed and grape
marc meal extract in intestinal epithelial cells.
AB - In pigs and other monogastric animal, the weaning phase is commonly accompanied
by an increased susceptibility to gut disorders such as diarrhoea owing to the
induction of an inflammatory process in the intestine during weaning. Given the
unfavourable effects of intestinal inflammation on feed consumption, digestive
capacity of the intestine and growth of animals, controlling intestinal
inflammation is a reasonable approach for the maintenance of performance
characteristics of livestock animals. Therefore, this study aimed to study the
anti-inflammatory potential of a commercial polyphenol-rich grape seed (GS) and
grape marc (GM) meal-based feed additive in a well-established in vitro
intestinal epithelium model (polarized Caco-2 cells). The anti-inflammatory
potential was evaluated by studying the effect of an ethanolic extract obtained
from the GS and GM meal-based feed additive (GSGME) on the pro-inflammatory
transcription factor NF-kappaB, which is considered to play a key role in the
induction of weaning-associated intestinal inflammation. The highest non
cytotoxic concentrations of the ethanolic GSGME dose dependently reduced TNFalpha
induced NF-kappaB transactivation and decreased TNFalpha-induced mRNA levels of
the NF-kappaB target genes IL-1beta, IL-8, MCP-1 and CXCL1 in Caco-2 intestinal
cells (p < 0.05). No effect of the ethanolic GSGME was observed on the
cytoprotective Nrf2 pathway in Caco-2 cells as evidenced by an unaltered Nrf2
transactivation and unchanged mRNA levels of Nrf2 target genes, such as GPX-2,
NQO1, CYP1A1 and UGT1A1. In conclusion, this study shows that an ethanolic GSGME
exerts anti-inflammatory effects in intestinal cells under in vitro conditions.
Thus, polyphenol-rich GSGM meal-based feed additives may be useful for the
inhibition or prevention of inflammatory processes in the intestine of livestock
animals, in particular during states with inappropriate NF-kappaB activation in
the intestinal tissue, such as the weaning phase. Future studies are warranted to
prove the in vivo anti-inflammatory potential of GSGM meal-based feed additives.
PMID- 21895783
TI - Energy intake for maintenance in a mammal with a low basal metabolism, the giant
anteater (Myrmecophaga tridactyla).
AB - Giant anteaters (Myrmecophaga tridactyla) are among those mammals for which a
particularly low metabolism has been reported. In order to verify presumably low
requirements for energy, we used eight anteaters (two males, six females; aged 1
14 years; body mass between 46 and 64 kg) in a total of 64 individual trials, in
which a variety of intake levels was achieved on various diets. Digestible energy
(DE) intake was quantified by measuring food intake and faecal excretion and
analysing representative samples for gross energy, and animals were weighed
regularly. Maintenance DE requirements were calculated by regression analysis for
the DE intake that corresponded to zero weight change. Differences between
individuals were significant. Older anteaters (n = 3 animals aged 12-15 years in
29 trials) had lower relative requirements than younger ones (n = 5 animals aged
1-7 years in 35 trials); thus, giant anteaters resemble other mammals in which
similar age-specific differences in energy requirements are known. However,
estimated maintenance requirements were 347 kJ DE/kg(0.75)/day in the anteaters,
which is low compared to the 460-580 kJ DE/kg(0.75)/day maintenance requirements
of domestic dogs. The lack of knowledge that metabolic requirements are below the
mammalian average could make species particularly susceptible to overfeeding, if
amounts considered adequate for average mammals were provided. Non-scientific
reports on comparatively fast growth rates and high body masses in captive giant
anteaters as compared to free-ranging animals suggest that body mass development
and feeding regimes in captivity should be further assessed.
PMID- 21895784
TI - Influence of feeding Tinospora cordifolia peripartum on lactation parameters in
crossbred cows.
AB - For studying the effect of dietary supplementation of guduchi (Tinospora
cordifolia) peripartum on lactation an investigation was conducted on 15 pregnant
Karan Fries crossbred cows which were divided into two groups: treatment group of
eight cows which were supplemented with guduchi at 60 g/day for 45 days prepartum
and 120 g/day for 45 days postpartum; control group of seven pregnant cows which
were not supplemented with guduchi. Jugular blood samples were collected from all
cows during the periparturient period for analysis of various blood cell and
plasma parameters. A significantly higher total leukocyte count, lymphocyte
count, neutrophil count and neutrophil/lymphocyte ratio was recorded in the
guduchi supplemented treatment group in comparison to untreated control cows
throughout the experimental period. The increase of milk production over 305 days
of lactation due to guduchi supplementation was significant (p < 0.05). A
significant (p < 0.05) reduction in somatic cell count was also observed during
the experimental period. Milk composition (fat, protein, lactose and SNF) was
similar (p > 0.05) for both the groups. Plasma non esterified fatty acid (NEFA)
concentrations were significantly higher (p < 0.01) in cows supplemented with
guduchi throughout the course of study. Plasma concentration of growth hormone in
the treated cows was also significantly higher beginning on the day of
parturition up to 3 weeks postpartum (p < 0.05) in comparison to unsupplemented
group.
PMID- 21895785
TI - Comparison of real-time florescence quantitative PCR measurements of VAD1 mRNA
with three conventional methods in diagnosis and follow-up treatment of
Cryptococcus neoformans infection.
AB - This study was to develop a real-time florescence quantitative PCR (RT-FQ-PCR)
assay to measure virulence-associated DEAD-box RNA helicase (VAD1) mRNA from
Cryptococcus neoformans and evaluate its potential use in diagnosis and follow-up
treatment of C. neoformans meningitis (CNM). Cryptococcus neoformans was detected
using RT-FQ-PCR, ink staining, fungal culturing and C. neoformans antigen
detection in CNM compared with a normal control. VAD1 mRNA was measured in both
acute and stable CNM patients. The sensitivity of RT-FQ-PCR (96%) is higher than
ink staining (72%) and culture culturing (64%) (P<0.05, P<0.05 respectively), but
its sensitivity is the same as antigen detection (96%, P>0.05). The levels of
VAD1 mRNA in the acute and stable phase of a C. neoformans infection are 3.042+/
0.906 and 2.187+/-0.665 respectively (P<0.01). The levels of VAD1 mRNA are
correlated to the numbers of C. neoformans, intracranial pressure and glucose
concentration in cerebrospinal fluid (CSF; P<0.01, P<0.01 and P<0.05
respectively). The levels of expression of VAD1 mRNA in the group of patients who
received an AmB/5-FC/FZC drug regimen decreased more than in patients taking a 5
FC/AmB or 5-FC/FCZ drug combination. Quantitative measurements of VAD1 mRNA are
valuable and reliable in diagnosing C. neoformans infection and evaluating a
therapy response.
PMID- 21895786
TI - Use of Dermasilk briefs in recurrent vulvovaginal candidosis: safety and
effectiveness.
AB - Despite the generally excellent results achieved with fluconazole 150 mg weekly
in recurrent vulvovaginal candidosis (RVVC), some patients with a long history of
disease do not achieve complete resolution of symptoms following antimycotic
treatment. It is thought that use of tight synthetic fabric underwear could be a
significant factor in causing recurrence. We decided to compare underwear made of
Dermasilk(r), a pure fibroin fabric impregnated with a permanent antimicrobial
protection, with a cotton placebo to see whether it could be a useful adjunctive
tool in the management of RVVC. We recruited 96 women who had a long-term history
of RVVC and had not responded to oral antimycotics with complete satisfaction.
The patients were randomly divided into two groups and instructed to use either
white cotton placebo briefs or Dermasilk(r) briefs. Both groups were treated with
fluconazole 150 mg once weekly for 6 months. After 6 months, the Dermasilk group
showed a statistically significant greater decrease of itching, burning, erythema
and a smaller number of recurrences than the cotton group. Our work suggests that
Dermasilk(r) briefs could be a useful adjunctive tool in addition to antimycotic
treatment to help relieve the discomfort of recurrent vulvovaginitis.
PMID- 21895787
TI - Species assignment and antifungal susceptibilities of black aspergilli recovered
from otomycosis cases in Iran.
AB - Black aspergilli are among the main causative agents of otomycosis worldwide. In
this study, the species assignment of black aspergilli isolated from otomycosis
cases in Iran was carried out using sequence analysis of part of the calmodulin
gene. The results indicate that Aspergillus niger is not the only black
Aspergillus species involved in otomycosis cases in Iran: Aspergillus awamori and
Aspergillus tubingensis are also able to cause ear infections. Antifungal
susceptibility tests were carried out against five antifungal drugs including
amphotericin B, fluconazole, itraconazole, ketoconazole and terbinafine. All
isolates were highly susceptible to terbinafine, while they exhibited moderate
susceptibilities against amphotericin B, fluconazole and ketoconazole.
Aspergillus niger and A. awamori were found to have higher minimal inhibitory
concentrations for azoles than A. tubingensis, in accordance with previous
findings.
PMID- 21895788
TI - The importomer peroxins are differentially required for peroxisome assembly and
meiotic development in Podospora anserina: insights into a new peroxisome import
pathway.
AB - Peroxisome biogenesis relies on two known peroxisome matrix protein import
pathways that are mediated by the receptors PEX5 and PEX7. These pathways
converge at the importomer, a peroxisome-membrane complex that is required for
protein translocation into peroxisomes and consists of docking and RING-finger
subcomplexes. In the fungus Podospora anserina, the RING-finger peroxins are
crucial for meiocyte formation, while PEX5, PEX7 or the docking peroxin PEX14 are
not. Here we show that PEX14 and the PEX14-related protein PEX14/17 are
differentially involved in peroxisome import during development. PEX14/17
activity does not compensate for loss of PEX14 function, and elimination of both
proteins has no effect on meiocyte differentiation. In contrast, the docking
peroxin PEX13, and the peroxins implicated in peroxisome membrane biogenesis PEX3
and PEX19, are required for meiocyte formation. Remarkably, the PTS2 coreceptor
PEX20 is also essential for meiocyte differentiation and this function does not
require PEX5 or PEX7. This finding suggests that PEX20 can mediate the import
receptor activity of specific peroxisome matrix proteins. Our results suggest a
new pathway for peroxisome import, which relies on PEX20 as import receptor and
which seems critically required for specific developmental processes, like
meiocyte differentiation in P. anserina.
PMID- 21895789
TI - Evolution of a new bacterial pathway for 4-nitrotoluene degradation.
AB - Bacteria that assimilate synthetic nitroarene compounds represent unique
evolutionary models, as their metabolic pathways are in the process of adaptation
and optimization for the consumption of these toxic chemicals. We used Acidovorax
sp. strain JS42, which is capable of growth on nitrobenzene and 2-nitrotoluene,
in experiments to examine how a nitroarene degradation pathway evolves when its
host strain is challenged with direct selective pressure to assimilate non-native
substrates. Although the same enzyme that initiates the degradation of
nitrobenzene and 2-nitrotoluene also oxidizes 4-nitrotoluene to 4-methylcatechol,
which is a growth substrate for JS42, the strain is incapable of growth on 4
nitrotoluene. Using long-term laboratory evolution experiments, we obtained JS42
mutants that gained the ability to grow on 4-nitrotoluene via a new degradation
pathway. The underlying basis for this new activity resulted from the
accumulation of specific mutations in the gene encoding the dioxygenase that
catalyses the initial oxidation of nitroarene substrates, but at positions distal
to the active site and previously unknown to affect activity in this or related
enzymes. We constructed additional mutant dioxygenases to identify the order of
mutations that led to the improved enzymes. Biochemical analyses revealed a
defined, step-wise pathway for the evolution of the improved dioxygenases.
PMID- 21895790
TI - PerC and GrlA independently regulate Ler expression in enteropathogenic
Escherichia coli.
AB - Ler, encoded by the locus of enterocyte effacement (LEE) of attaching and
effacing (A/E) pathogens, induces the expression of LEE genes by counteracting
the silencing exerted by H-NS. Ler expression is modulated by several global
regulators, and is activated by GrlA, which is also LEE-encoded. Typical
enteropathogenic Escherichia coli (EPEC) strains contain the EAF plasmid, which
carries the perABC locus encoding PerC. The precise role of PerC in EPEC
virulence gene regulation has remained unclear, mainly because EPEC strains
lacking the pEAF still express the LEE genes and because PerC is not present in
other A/E pathogens such as Citrobacter rodentium. Here, we describe that either
PerC or GrlA can independently activate ler expression and, in consequence, of
LEE genes depending on the growth conditions. Both PerC and GrlA, with the aid of
IHF, counteract the repression exerted by H-NS on ler and can also further
increase its activity. Our results substantiate the role of PerC and GrlA in EPEC
virulence gene regulation and suggest that these convergent regulatory mechanisms
may have represented an evolutionary adaptation in EPEC to co-ordinate the
expression of plasmid- and chromosome-encoded virulence factors needed to
successfully colonize its intestinal niche.
PMID- 21895791
TI - Chimeras of Candida albicans Cdr1p and Cdr2p reveal features of pleiotropic drug
resistance transporter structure and function.
AB - Members of the pleiotropic drug resistance (PDR) family of ATP binding cassette
(ABC) transporters consist of two homologous halves, each containing a nucleotide
binding domain (NBD) and a transmembrane domain (TMD). The PDR transporters
efflux a variety of hydrophobic xenobiotics and despite the frequent association
of their overexpression with the multidrug resistance of fungal pathogens, the
transport mechanism of these transporters is poorly understood. Twenty-eight
chimeric constructs between Candida albicans Cdr1p (CaCdr1p) and Cdr2p (CaCdr2p),
two closely related but functionally distinguishable PDR transporters, were
expressed in Saccharomyces cerevisiae. All chimeras expressed equally well,
localized properly at the plasma membrane, retained their transport ability, but
their substrate and inhibitor specificities differed significantly between
individual constructs. A detailed characterization of these proteins revealed
structural features that contribute to their substrate specificities and their
transport mechanism. It appears that most transmembrane spans of CaCdr1p and
CaCdr2p provide or affect multiple, probably overlapping, substrate and inhibitor
binding site(s) similar to mammalian ABC transporters. The NBDs, in particular
NBD1 and/or the ~150 amino acids N-terminal to NBD1, can also modulate the
substrate specificities of CaCdr1p and CaCdr2p.
PMID- 21895792
TI - Control of the replication initiator DnaA by an anti-cooperativity factor.
AB - Proper coordination of DNA replication with cell growth and division is critical
for production of viable progeny. In bacteria, coordination of DNA replication
with cell growth is generally achieved by controlling activity of the replication
initiator DnaA and its access to the chromosomal origin of replication, oriC.
Here we describe a previously unknown mechanism for regulation of DnaA. YabA, a
negative regulator of replication initiation in Bacillus subtilis, interacts with
DnaA and DnaN, the sliding (processivity) clamp of DNA polymerase. We found that
in vivo, YabA associated with the oriC region in a DnaA-dependent manner and
limited the amount of DnaA at oriC. In vitro, purified YabA altered binding of
DnaA to DNA by inhibiting cooperativity. Although previously undescribed,
proteins that directly inhibit cooperativity may be a common mechanism for
regulating replication initiation. Conditions that cause release of DnaN from the
replisome, or overproduction of DnaN, caused decreased association of YabA and
increased association of DnaA with oriC. This effect of DnaN, either directly or
indirectly, is likely responsible, in part, for enabling initiation of a new
round of replication following completion of a previous round.
PMID- 21895794
TI - Evolution of catabolic pathways and their regulatory systems in synthetic
nitroaromatic compounds degrading bacteria.
AB - Evolution of catabolic pathways for the degradation of synthetic nitroaromatic
compounds is currently ongoing process because these compounds have been in
nature only for a short time. Bacteria isolated from contaminated areas contain
pathways for the degradation of nitroaromatic compounds at different stages of
progression. Therefore, the emergence of pathways for the degradation of such
chemicals provides a good opportunity to investigate evolutionary processes
leading to the emergence of new metabolic routes and their regulatory systems. In
Burkholderia sp. strain DNT the regulatory gene encoding the LysR-type
transcriptional regulator DntR is placed divergently of the dinitrotoluene (DNT)
dioxygenase genes. This regulator still recognizes salicylate, an effector of its
NagR-like ancestor but not DNT. In this issue of Molecular Microbiology, de las
Heras et al. demonstrate that the DntR does not respond to any metabolic
intermediates of the DNT catabolic pathway. The results of this study suggest
that the catabolic pathway for the degradation of DNT has reached to an early
stage of evolution when novel specificities of the catabolic enzymes have already
acquired but the cognate regulatory system is still missing. This research
addresses some fundamental questions about bottlenecks to be solved during
evolution of new catabolic operons.
PMID- 21895793
TI - CsrA-FliW interaction governs flagellin homeostasis and a checkpoint on flagellar
morphogenesis in Bacillus subtilis.
AB - CsrA is a widely distributed RNA binding protein that regulates translation
initiation and/or mRNA stability of target transcripts. CsrA activity is
antagonized by sRNA(s) containing multiple CsrA binding sites in several Gram
negative bacterial species. Here we discover FliW, the first protein antagonist
of CsrA activity that constitutes a partner switching mechanism to control
flagellin synthesis in the Gram-positive organism Bacillus subtilis. Following
the flagellar assembly checkpoint of hook completion, secretion of flagellin
(Hag) releases FliW protein from a FliW-Hag complex. FliW then binds to CsrA and
relieves CsrA-mediated translational repression of hag for flagellin synthesis
concurrent with filament assembly. Thus, flagellin homeostatically restricts its
own translation. Homeostatic autoregulation may be a general mechanism to
precisely control structural subunits required at specific times and in finite
amounts such as those involved in the assembly of flagella, type III secretion
machines and pili. Finally, phylogenetic analysis suggests that CsrA, a highly
pleiotropic virulence regulator in many bacterial pathogens, had an ancestral
role in flagellar assembly and evolved to co-regulate various cellular processes
with motility.
PMID- 21895795
TI - Identification of a conserved protein involved in anaerobic unsaturated fatty
acid synthesis in Neiserria gonorrhoeae: implications for facultative and
obligate anaerobes that lack FabA.
AB - Transcriptome analysis of the facultative anaerobe, Neisseria gonorrhoeae,
revealed that many genes of unknown function were induced under anaerobic
conditions. Mutation of one such gene, NGO1024, encoding a protein belonging to
the 2-nitropropane dioxygenase-like superfamily of proteins, was found to result
in an inability of gonococci to grow anaerobically. Anaerobic growth of an NG1024
mutant was restored upon supplementation with unsaturated fatty acids (UFA), but
not with the saturated fatty acid palmitate. Gonococcal fatty acid profiles
confirmed that NGO1024 was involved in UFA synthesis anaerobically, but not
aerobically, demonstrating that gonococci contain two distinct pathways for the
production of UFAs, with a yet unidentified aerobic mechanism, and an anaerobic
mechanism involving NGO1024. Expression of genes involved in classical anaerobic
UFA synthesis, fabA, fabM and fabB, was toxic in gonococci and unable to
complement a NGO1024 mutation, suggesting that the chemistry involved in
gonococcal anaerobic UFA synthesis is distinct from that of the classical
pathway. NGO1024 homologues, which we suggest naming UfaA, form a distinct
lineage within the 2-nitropropane dioxygenase-like superfamily, and are found in
many facultative and obligate anaerobes that produce UFAs but lack fabA,
suggesting that UfaA is part of a widespread pathway involved in UFA synthesis.
PMID- 21895796
TI - Two oppositely oriented arrays of low-affinity recognition sites in oriC guide
progressive binding of DnaA during Escherichia coli pre-RC assembly.
AB - The onset of chromosomal DNA replication requires highly precise and reproducible
interactions between initiator proteins and replication origins to assemble a pre
replicative complex (pre-RC) that unwinds the DNA duplex. In bacteria, initiator
protein DnaA, bound to specific high- and low-affinity recognition sites within
the unique oriC locus, comprises the pre-RC, but how complex assembly is
choreographed to ensure precise initiation timing during the cell cycle is not
well understood. In this study, we present evidence that higher-order DnaA
structures are formed at oriC when DnaA monomers are closely positioned on the
same face of the DNA helix by interaction with two oppositely oriented essential
arrays of closely spaced low-affinity DnaA binding sites. As DnaA levels
increase, peripheral high-affinity anchor sites begin cooperative loading of the
arrays, which is extended by sequential binding of additional DnaA monomers
resulting in growth of the complexes towards the centre of oriC. We suggest that
this polarized assembly of unique DnaA oligomers within oriC plays an important
role in mediating pre-RC activity and may be a feature found in all bacterial
replication origins.
PMID- 21895797
TI - Negative control in two-component signal transduction by transmitter phosphatase
activity.
AB - Bifunctional sensor transmitter modules of two-component systems exert both
positive and negative control on the receiver domain of the cognate response
regulator. In negative control, the transmitter module accelerates the rate of
phospho-receiver dephosphorylation. This transmitter phosphatase reaction serves
the important physiological functions of resetting response regulator
phosphorylation level and suppressing cross-talk. Although the biochemical
reactions underlying positive control are reasonably well understood, the
mechanism for transmitter phosphatase activity has been unknown. A recent
hypothesis is that the transmitter phosphatase reaction is catalysed by a
conserved Gln, Asn or Thr residue, via a hydrogen bond between the amide or
hydroxyl group and the nucleophilic water molecule in acyl-phosphate hydrolysis.
This hypothetical mechanism closely resembles the established mechanisms of
auxiliary phosphatases such as CheZ and CheX, and may be widely conserved in two
component signal transduction. In addition to the proposed catalytic residues,
transmitter phosphatase activity also requires the correct transmitter
conformation and appropriate interactions with the receiver. Evidence suggests
that the phosphatase-competent and autokinase-competent states are mutually
exclusive, and the corresponding negative and positive activities are likely to
be reciprocally regulated through dynamic control of transmitter conformations.
PMID- 21895798
TI - The Lys20 homocitrate synthase isoform exerts most of the flux control over the
lysine synthesis pathway in Saccharomyces cerevisiae.
AB - In Saccharomyces cerevisiae, the first committed step in the lysine (Lys)
biosynthetic pathway is catalysed by the Lys20 and Lys21 homocitrate synthase
(HCS) isoforms. Overexpression of Lys20 resulted in eightfold increased Lys, as
well as 2-oxoglutarate pools, which were not attained by overexpressing Lys21 or
other pathway enzymes (Lys1, Lys9 or Lys12). A metabolic control analysis-based
strategy, by gradually and individually manipulating the Lys20 and Lys21
activities demonstrated that the cooperative and strongly feedback-inhibited
Lys21 isoform exerted low control of the pathway flux whereas most of the control
resided on the non-cooperative and weakly feedback-inhibited Lys20 isoform.
Therefore, the higher control of Lys20 over the Lys flux represents an exception
to the dogma of higher pathway control by the strongest feedback-inhibited enzyme
and points out to multi-site engineering (HCS isoforms and supply of precursors)
to increase Lys synthesis.
PMID- 21895799
TI - Split decision: a thaumarchaeon encoding both FtsZ and Cdv cell division proteins
chooses Cdv for cytokinesis.
AB - Cytoskeletal proteins play a pivotal role in cytokinesis in prokaryotes and
eukaryotes. Most bacteria and a major branch of the archaea called the
Euryarchaeota harbour a tubulin homologue, FtsZ, which assembles into a dynamic
polymeric ring structure required for cytokinesis. However, Crenarchaeota,
another branch of the archaea, lack FtsZ and instead use Cdv proteins, which are
homologues of the ESCRT-III-like system involved in vesicular sorting and
cytokinesis in eukaryotes, for cell division. Recently, a group of Crenarchaeota
that grow in non-extreme environments was found to be sufficiently divergent to
warrant its own branch of the archaea called the Thaumarchaeota. Notably,
Thaumarchaeota have both Cdv and FtsZ homologues, which begs the question of
which system is used for cell division. In this issue of Molecular
Microbiology,Pelve et al. (2011) Pelve and colleagues tackle this question. They
found that cells of the thaumarchaeon Nitrosopumilus maritimus likely divide
using the Cdv system and not FtsZ, based on localization of Cdv proteins but not
FtsZ to division sites. The authors also provide evidence that the cell cycle
during growth of N. maritimus differs significantly from those of other archaea.
PMID- 21895800
TI - Sleep abnormalities in schizophrenia may suggest impaired trans-thalamic cortico
cortical communication: towards a dynamic model of the illness.
AB - Schizophrenia is associated with a wide range of symptoms. These include auditory
hallucinations, delusions, and experiences that one is not in control of one's
own thoughts and actions, but that they are inserted by an outside agency. It has
been proposed that a disturbance in the sense of self may account for many of
these symptoms. This disturbance in turn may be associated with source monitoring
deficits. In other words, individuals with schizophrenia may misattribute the
source of their own thoughts and actions to an outside agency, which then results
in the experience of psychosis such as that of hearing voices. To explain the
source monitoring deficits, it has been proposed that this illness involves
impairment in corollary discharge mechanisms. Corollary discharge refers to
preparation of sensory systems that will be affected by an action in advance of
that action, which then allows this action to be recognized as one's own. Current
research on corollary discharges suggests that they may involve the thalamus,
which is notably affected in schizophrenia in terms of volume loss. Sleep
abnormalities in this illness also suggest thalamic dysfunction as sleep
spindles, which are markedly reduced in schizophrenia, require intact
thalamocortical interactions. In this review, evidence is presented that suggests
that propagation of corollary discharges and sleep spindles may be two
mechanistically related processes as both involve trans-thalamic cortico-cortical
interactions. These interactions may be impaired in schizophrenia and
characterization of their mechanism may constitute a step towards developing a
dynamic model of schizophrenia.
PMID- 21895801
TI - Raphe pallidus modulates Botzinger complex-induced inhibition of the phrenic
nerve activity in rats.
AB - The raphe pallidus (RPa) and Botzinger complex (BotC) represent two important
nuclei which project to spinal phrenic motor neurons. Stimulation of the RPa
produces facilitative effects on respiratory activity, whereas stimulation of the
BotC induces inhibitory effects on respiratory activity. In the present study, we
examined the modulatory effects of serotonergic (5-hydroxytryptamine, 5-HT) RPa
neurons on the inhibitory response of the phrenic nerve activity elicited from
the BotC in rats. Experiments were performed on spontaneously breathing, urethane
anesthetized adult rats. Either high-frequency stimulation or glutamatergic
chemical activation of the RPa region significantly attenuated the BotC-induced
inhibition of the phrenic nerve. This attenuation showed a post-stimulation time
and intensity dependency. Pharmacological experiments showed that intravenous
injection of methysergide, a broad-spectrum antagonist of 5-HT receptors,
markedly reduced the respiratory facilitation induced by electrical stimulation
of the RPa. Furthermore, microinjections of methysergide into the cerebrospinal
fluid around the phrenic motor nucleus (PMN) region at spinal cord segments C4
and C5 significantly decreased the RPa-related attenuation effects on BotC-evoked
inhibition of phrenic nerve discharge. These results suggest that RPa
serotonergic neurons could modulate the inhibition of phrenic nerve activity
induced by BotC. Moreover, as the relevant 5-HT receptors for RPa's modulatory
effects are located in the cervical spinal cord, 5-HT may, in part, function as a
modulator to suppress the BotC neuronal activity via direct RPa-PMN and BotC-PMN
convergent projection pathways to phrenic motoneurons.
PMID- 21895802
TI - Cellular mechanisms underlying the regulation of dendritic development by
hepatocyte growth factor.
AB - Acquisition of a mature dendritic morphology is critical for neural information
processing. In particular, hepatocyte growth factor (HGF) controls dendritic
arborization during brain development. However, the cellular mechanisms
underlying the effects of HGF on dendritic growth remain elusive. Here, we show
that HGF increases dendritic length and branching of rat cortical neurons through
activation of the mitogen-activated protein kinase (MAPK) signaling pathway.
Activation of MAPK by HGF leads to the rapid and transient phosphorylation of
cAMP response element-binding protein (CREB), a key step necessary for the
control of dendritic development by HGF. In addition to CREB phosphorylation,
regulation of dendritic growth by HGF requires the interaction between CREB and
CREB-regulated transcription coactivator 1 (CRTC1), as expression of a mutated
form of CREB unable to bind CRTC1 completely abolished the effects of HGF on
dendritic morphology. Treatment of cortical neurons with HGF in combination with
brain-derived neurotrophic factor (BDNF), a member of the neurotrophin family
that regulates dendritic development via similar mechanisms, showed additive
effects on MAPK activation, CREB phosphorylation and dendritic growth.
Collectively, these results support the conclusion that regulation of cortical
dendritic morphology by HGF is mediated by activation of the MAPK pathway,
phosphorylation of CREB and interaction of CREB with CRTC1.
PMID- 21895803
TI - NR2B-subunit dependent facilitation of long-term potentiation in primary visual
cortex following visual discrimination training of adult rats.
AB - Long-term potentiation (LTP) is an important mechanism thought to mediate changes
in synaptic connectivity following various types of experience. We examined the
effects of visual discrimination training on LTP in the mature, rodent
thalamocortical visual system. Adult rats underwent visual discrimination
training in a modified Morris Water Maze containing a Y-maze insert, requiring
rats to associate visual cues with the location of a hidden escape platform
placed in one of the two goal arms of the Y-maze insert. On the day following
successful task acquisition (average of nine training days), rats were
anesthetized (urethane), and LTP in the thalamocortical system was characterized.
In task-naive rats, theta-burst stimulation of the lateral geniculate nucleus
resulted in modest (~40%) potentiation of field postsynaptic potentials recorded
in the primary visual cortex (V1). Rats trained on the visual discrimination task
showed significantly greater levels of LTP (~60%), an effect that was not seen in
rats trained to swim in the maze without a predictive association between visual
cues and platform location. An antagonist of the N-methyl-d-aspartate (NMDA)
receptor NR2B subunit ([R-(R *,S *)]-alpha-(4-hydroxyphenyl)-beta-methyl-4
(phenylmethyl)-1-piperidinepropanol hydrochloride (Ro 25-6981); 2 mm, applied
locally at the recording site in V1) reversed the training-induced LTP
enhancement without affecting LTP in task-naive rats. An antagonist of
metabotropic glutamate receptors [(2S)-2-amino-2-[(1S,2S)-2-carboxycycloprop-1
yl]-3-(xanth-9-yl) propanoic acid (LY 341495); 2 mm] was ineffective in reversing
the training-induced LTP facilitation. These data suggest that behavioral
(visual) training can result in changes in plasticity exhibited by the mature,
thalamocortical visual system that require activation of NMDA receptors
containing the NR2B subunit.
PMID- 21895804
TI - Recombinant tissue plasminogen activator induces blood-brain barrier breakdown by
a matrix metalloproteinase-9-independent pathway after transient focal cerebral
ischemia in mouse.
AB - The role of the inducible matrix metalloproteinase (MMP)-9 in blood-brain barrier
(BBB) disruption after ischemic stroke is well accepted. Recombinant tissue
plasminogen activator (r-tPA) is the only approved thrombolytic treatment of
ischemic stroke but r-tPA is potentially neurotoxic. Vasogenic edema after r-tPA
treatment has been linked with an increase in cerebral MMP-9. However, because
cerebral ischemia clearly increases the levels of endogenous tPA, the consequence
of additional r-tPA may be questionable. In this study, wild type and MMP-9
knockout mice were subjected to 90 min transient middle cerebral artery occlusion
and treated with 10 mg/kg r-tPA. At 24 h after occlusion, BBB permeability,
hemispheric enlargement, collagen and laminin degradation as well as cerebral
infarction were increased in both wild type and MMP-9 knockout treated animals as
compared with non-treated animals. Mortality was increased in wild type but
reduced in knockout treated mice. Cerebral MMP-9 concentration was not modified
by r-tPA. However, pre-treatment with p-aminobenzoyl-gly-pro-D-leu-D-ala
hydroxamate, a broad-spectrum MMP inhibitor, counteracted the effects of r-tPA on
the neurovascular unit and decreased mortality in both wild type and knockout
mice. MMP inhibition did not modify cerebral infarction in r-tPA-treated animals.
Our results suggest that r-tPA toxicity is mainly independent of MMP-9 after
transient middle cerebral artery occlusion but could involve some other MMPs.
Additionally, our results support the hypothesis of a dissociation between r-tPA
dependent mechanisms of BBB breakdown and cerebral infarction. Due to the
importance of r-tPA in thrombolytic treatment of ischemic stroke patients, the
MMPs that could participate in r-tPA-induced BBB disruption should be further
characterized.
PMID- 21895805
TI - Absence of compensation for vestibular-evoked passive head rotations in human
sound localization.
AB - A world-fixed sound presented to a moving head produces changing sound
localization cues, from which the audiomotor system could infer sound movement
relative to the head. When appropriately combined with self-motion signals, sound
localization remains spatially accurate. Indeed, free-field orienting responses
fully incorporate intervening eye-head movements under open-loop localization
conditions. Here we investigate the default strategy of the audiomotor system
when localizing sounds in the absence of efferent and proprioceptive head
movement signals. Head- and body-restrained listeners made saccades in total
darkness toward brief (3, 10 or 100 ms) broadband noise bursts, while being
rotated sinusoidally (f=1/9 Hz, V(peak) =112 deg/s) around the vertical body
axis. As the loudspeakers were attached to the chair, the 100 ms sounds might be
perceived as rotating along with the chair, and localized in head-centred
coordinates. During 3 and 10 ms stimuli, however, the amount of chair rotation
remained well below the minimum audible movement angle. These brief sounds would
therefore be perceived as stationary in space and, as in open-loop gaze
orienting, expected to be localized in world-centred coordinates. Analysis of the
saccades shows, however, that all stimuli were accurately localized on the basis
of imposed acoustic cues, but remained in head-centred coordinates. These results
suggest that, in the absence of motor planning, the audio motor system keeps
sounds in head-centred coordinates when unsure about sound motion relative to the
head. To that end, it ignores vestibular canal signals of passive-induced head
rotation, but incorporates intervening eye displacements from vestibular
nystagmus during the saccade-reaction time.
PMID- 21895807
TI - A protein shot for biomedical research.
PMID- 21895806
TI - How selective sweeps in domestic animals provide new insight into biological
mechanisms.
AB - Genetic studies of domestic animals are of general interest because there is more
phenotypic diversity to explore in these species than in any experimental
organism. Some mutations with favourable phenotypic effects have been highly
enriched and gone through selective sweeps during the process of domestication
and selective breeding. Three such selective sweeps are described in this review.
All three mutations are intronic and constitute cis-acting regulatory mutations.
Two of the mutations constitute structural changes (one duplication and one copy
number expansion). These examples illustrate a general trend that noncoding
mutations and structural changes have both contributed significantly to the
evolution of phenotypic diversity in domestic animals. How the molecular
characterization of trait loci in domestic animals can provide new basic
knowledge of relevance for human medicine is discussed.
PMID- 21895808
TI - Fresh blood for transfusion in adults with beta thalassaemia.
AB - BACKGROUND: Patients with beta-thalassaemia major require life-long blood
transfusion with the aim of achieving normal growth and development whilst
minimising iron overload. A pre-transfusion Hb between 9.5 and 10 g/dL is thought
to achieve this balance. UK consensus is that fresh blood (less than 14 days) is
better at maintaining this target pre-transfusion Hb but there is no firm
stipulation in place and no robust evidence supporting this. METHODS: After
introduction of a universal fresh blood policy for adult beta-thalassaemics in
2010, we reviewed locally transfused adult patients to determine if there was any
significant difference in pre-transfusion Hb using fresh blood. Nine adult
thalassaemic patients were analysed for two consecutive 6-month periods in 2009
and 2010 (periods 1 and 2). RESULTS: Mean pre-transfusion Hb was significantly
higher by an average of 0.5 g/dL in period 2 than period 1 (P < 0.05). The
average unit age was 18 vs 9.5 days for periods 1 and 2 respectively (P < 0.05).
There were no significant differences in potential confounders such as
transfusion volume (P = 0.06), number of units transfused, ferritin or
transfusion interval. DISCUSSION: Use of fresh blood produced significantly
higher pre-transfusion Hb, giving credence to UK consensus. Lesser volumes of
fresh blood appeared to achieve the target pre-transfusion Hb, which may
translate to reduced iron overload and chelation costs. Whether the assumption
that the use of blood less than 7 days old in these patients would result in
greater benefit requires further study.
PMID- 21895809
TI - Evaluation of two detection methods of microorganisms in platelet concentrates.
AB - BACKGROUND: The performance of a bacterial 16S ribosomal DNA real-time polymerase
chain reaction (PCR) assay was evaluated and validated with an automated culture
system to determine its use for screening of platelet concentrates (PCs). STUDY
DESIGN AND METHODS: PCs were spiked with suspensions of Escherichia coli,
Serratia marcescens, Staphylococcus epidermidis and St. aureus at 1, 10, and 100
colony-forming units (CFUs) mL and stored for 5 days. DNA amplification was
performed using real-time PCR. The BacT/ALERT was used as a reference method and
samples were inoculated into an aerobic culture bottle; for the PCR assay,
aliquots were drawn from all (spiked) PCs on days 0 to 5 of storage. RESULTS:
Real-time PCR detected only the gram-positive bacteria in PCs spiked with low
bacterial titres (1 CFU mL) after 48 h; however, it was able to detect all
positive samples in PCs spiked with 10 CFU mL of either gram-positive or gram
negative bacteria after 48 h. In addition, real-time PCR detected all positive
samples in PCs spiked with high gram-positive bacterial titres (100 CFU mL) after
24 h. On the other hand, the BacT/ALERT system showed positive results in all
samples within 24 h. CONCLUSION: The BacT/ALERT method is more sensitive and
should continue to be the gold standard for identifying bacterial contaminations
in blood samples. The real-time PCR approach can be used for the screening of PCs
for microbial detection before they are released from blood centres or shortly
before they are used in blood transfusion, and thus allow an extended shelf life
of the platelets.
PMID- 21895810
TI - A peroxisomal carrier delivers NAD+ and contributes to optimal fatty acid
degradation during storage oil mobilization.
AB - The existence of a transport protein that imports cytosolic NAD(+) into
peroxisomes has been controversially discussed for decades. Nevertheless, the
biosynthesis of NAD(+) in the cytosol necessitates the import of NAD(+) into
peroxisomes for numerous reduction/oxidation (redox) reactions. However, a gene
encoding such a transport system has not yet been identified in any eukaryotic
organism. Here, we describe the peroxisomal NAD(+) carrier in Arabidopsis. Our
candidate gene At2g39970 encodes for a member of the mitochondrial carrier
family. We confirmed its peroxisomal localization using fluorescence microscopy.
For a long time At2g39970 was assumed to represent the peroxisomal ATP
transporter. In this study, we could show that the recombinant protein mediated
the transport of NAD(+) . Hence, At2g39970 was named PXN for peroxisomal NAD(+)
carrier. The loss of PXN in Arabidopsis causes defects in NAD(+) -dependent beta
oxidation during seedling establishment. The breakdown of fatty acid released
from storage oil was delayed, which led to the retention of oil bodies in pxn
mutant seedlings. Based on our results, we propose that PXN delivers NAD(+) for
optimal fatty acid degradation during storage oil mobilization.
PMID- 21895812
TI - Genome-wide transcriptome dissection of the rice root system: implications for
developmental and physiological functions.
AB - The root system is a crucial determinant of plant growth potential because of its
important functions, e.g. uptake of water and nutrients, structural support and
interaction with symbiotic organisms. Elucidating the molecular mechanism of root
development and functions is therefore necessary for improving plant
productivity, particularly for crop plants, including rice (Oryza sativa). As an
initial step towards developing a comprehensive understanding of the root system,
we performed a large-scale transcriptome analysis of the rice root via a combined
laser microdissection and microarray approach. The crown root was divided into
eight developmental stages along the longitudinal axis and three radial tissue
types at two different developmental stages, namely: epidermis, exodermis and
sclerenchyma; cortex; and endodermis, pericycle and stele. We analyzed a total of
38 microarray data and identified 22,297 genes corresponding to 17,010 loci that
showed sufficient signal intensity as well as developmental- and tissue type
specific transcriptome signatures. Moreover, we clarified gene networks
associated with root cap function and lateral root formation, and further
revealed antagonistic and synergistic interactions of phytohormones such as
auxin, cytokinin, brassinosteroids and ethylene, based on the expression pattern
of genes related to phytohormone biosynthesis and signaling. Expression profiling
of transporter genes defined not only major sites for uptake and transport of
water and nutrients, but also distinct signatures of the radial transport system
from the rhizosphere to the xylem vessel for each nutrient. All data can be
accessed from our gene expression profile database, RiceXPro
(http://ricexpro.dna.affrc.go.jp), thereby providing useful information for
understanding the molecular mechanisms involved in root system development of
crop plants.
PMID- 21895811
TI - The TFL1 homologue KSN is a regulator of continuous flowering in rose and
strawberry.
AB - Flowering is a key event in plant life, and is finely tuned by environmental and
endogenous signals to adapt to different environments. In horticulture,
continuous flowering (CF) is a popular trait introduced in a wide range of
cultivated varieties. It played an essential role in the tremendous success of
modern roses and woodland strawberries in gardens. CF genotypes flower during all
favourable seasons, whereas once-flowering (OF) genotypes only flower in spring.
Here we show that in rose and strawberry continuous flowering is controlled by
orthologous genes of the TERMINAL FLOWER 1 (TFL1) family. In rose, six
independent pairs of CF/OF mutants differ in the presence of a retrotransposon in
the second intron of the TFL1 homologue. Because of an insertion of the
retrotransposon, transcription of the gene is blocked in CF roses and the absence
of the floral repressor provokes continuous blooming. In OF-climbing mutants, the
retrotransposon has recombined to give an allele bearing only the long terminal
repeat element, thus restoring a functional allele. In OF roses, seasonal
regulation of the TFL1 homologue may explain the seasonal flowering, with low
expression in spring to allow the first bloom. In woodland strawberry, Fragaria
vesca, a 2-bp deletion in the coding region of the TFL1 homologue introduces a
frame shift and is responsible for CF behaviour. A diversity analysis has
revealed that this deletion is always associated with the CF phenotype. Our
results demonstrate a new role of TFL1 in perennial plants in maintaining
vegetative growth and modifying flowering seasonality.
PMID- 21895813
TI - Accuracy of population-specific Demirjian curves in the estimation of dental age
of Saudi children.
AB - BACKGROUND: The Demirjian eight-stage method is one of the principal methods used
to quantify the degree of maturity from age 3 to 17. Aim. The objective of this
study was to compare the accuracy of dental age of different population-specific
curves, derived using the Demirjian method, to the chronological age of Saudi
children aged between 4 and 14. DESIGN: Panoramic radiographic records of 176
children (91 boys and 85 girls), without any history of systemic disease, were
assessed using the Demirjian method, and the dental age was calculated using
curves designed for French-Canadian, Belgian, Kuwaiti, and Saudi children. The
difference from chronological age (DA-CA) for each curve was then statistically
compared using ANOVA, and each of the curves was compared to the chronological
age using multinomial regression modelling. RESULTS: The results suggest that
although population-specific curves are more accurate in the prediction of age, a
considerable variation within each population still exists. CONCLUSIONS: The
Demirjian method offers great scope in fields that require the study of the
pattern of growth rather than the accuracy of age estimation.
PMID- 21895814
TI - Psychological distress and unmet supportive care needs in cancer patients and
carers who contact cancer helplines.
AB - Cancer information services are a highly accessible source of support for people
affected by cancer. To date the nature and extent of distress experienced by such
callers and their unmet support needs have not been well described. A cross
sectional survey of 354 cancer patients and 336 carers who reported elevated
distress on contact with a cancer information service assessed socio-demographic
variables; anxiety, depression and somatization; unmet supportive care needs;
cancer-specific distress; presenting problems; post-traumatic growth. Adjustment
to cancer was most commonly reported; followed by anxiety. In all, 53.4% of
patients and 45.2% of carers reached caseness in anxiety, depression or
somatization. Carers had higher distress ratings and intrusive thinking compared
to patients; whereas patients had higher somatization. For patients, most unmet
supportive care needs were psychological; for carers unmet needs were related to
health care services and information related to the person diagnosed with cancer.
Being single, unemployed, in treatment, having higher initial distress scores,
higher intrusion and avoidance predicted poorer outcomes. Information service
frameworks should include distress screening and clear triage and referral
processes for psychological care.
PMID- 21895815
TI - Primary caregivers' satisfaction with clinicians' response to them as informal
carers of young people with first-episode psychosis: a qualitative study.
AB - AIM: To explore first-time primary caregivers' experience of the way mental
health nurses and other mental health clinicians respond to them as carers of
young people with first-episode psychosis. BACKGROUND: Caregivers have a key role
in supporting family members/relatives with mental illness, but their
contribution is undervalued frequently by mental health nurses and other mental
health clinicians. Design. Qualitative interpretative phenomenological analysis.
METHOD: A qualitative interpretative design was undertaken, using semi
structured, audio-recorded interviews. Twenty primary caregivers were recruited
through Orygen Youth Health, a first-episode psychosis centre in Melbourne.
Interpretative phenomenological analysis was used to identify themes in the data.
RESULTS: Two competing themes were identified in the data, highlighting
caregivers' contrasting experience with mental health nurses and other mental
health clinicians. First, most clinical staff were approachable and supportive.
Second, several carers felt their contribution was undervalued by some clinical
staff. This was as a consequence of being excluded from clinical deliberations
because of clinical staffs' concerns and young people's requests about
maintaining confidentiality regarding treatment, as well as carers feeling their
role was not taken seriously by clinical staff. CONCLUSION: First-time primary
carers have positive and negative experiences with first-episode psychosis mental
health nurses and other clinicians, and these competing events are interrelated.
Experiences are affected directly by the manner they are treated by clinical
staff and this may, in turn, affect carers' commitment to caring, the way they
engage with clinical staff on subsequent occasions and towards the first-episode
psychosis service generally. RELEVANCE TO CLINICAL PRACTICE: Greater appreciation
is needed of the contribution, experience and difficulties caregivers encounter
in their role and in engaging with mental health nurses and other clinicians.
Additional training is required for clinical staff in family interventions and to
familiarise them with legislation and mental health policies relating to carers.
PMID- 21895816
TI - Approaches for dealing with missing data in health care studies.
AB - AIM: The aims of this study were to highlight the problems associated with
missing data in healthcare research and to demonstrate the use of several
techniques for dealing with missing values, through the use of an illustrative
example. BACKGROUND: In healthcare research studies, it is almost impossible to
avoid at least some missing values during data collection, which in turn can
threaten the validity of the study conclusions. A range of methods for reducing
the impact of missing data on the validity of study findings have been developed,
depending on the nature and patterns which the missing values may take. DESIGN: A
discursive study. METHODS: Several techniques designed to deal with missing data
are described and applied to an illustrative example. These methods include
complete-case analysis, available-case analysis, as well as single and multiple
imputation. CONCLUSIONS: If research data contain missing values that are not
randomly distributed, then the study results are likely to be biased unless an
effective approach to dealing with the missing values is implemented. RELEVANCE
TO CLINICAL PRACTICE: If nursing and healthcare practice is to be informed by
research findings, then these findings must be reliable and valid. Researchers
should report the details of missing data, and appropriate methods for dealing
with missing values should be incorporated into the data analysis.
PMID- 21895817
TI - Brief exposures of human body lice to sublethal amounts of ivermectin over
transcribes detoxification genes involved in tolerance.
AB - Transcriptional profiling results, using our non-invasive induction assay {short
exposure intervals (2-5 h) to sublethal amounts of insecticides [< lethal
concentration 3% (LC(3)) at 24 h] administered by stress-reducing means (contact
vs. immersion screen) and with induction assessed in a time frame when tolerance
is still present [~lethal concentration 90% (LC(90)) in 2-4 h]}, showed that
ivermectin-induced detoxification genes from body lice are identified by
quantitative real-time PCR analyses. Of the cytochrome P450 monooxygenase and ATP
binding cassette transporter genes induced by ivermectin, CYP6CJ1, CYP9AG1,
CYP9AG2 and PhABCC4 were respectively most significantly over-expressed, had high
basal expression levels and were most closely related to genes from other
organisms that metabolized insecticides, including ivermectin. Injection of
double-stranded RNAs (dsRNAs) against either CYP9AG2 or PhABCC4 into non-induced
female lice reduced their respective transcript level and resulted in increased
sensitivity to ivermectin, indicating that these two genes are involved in the
xenobiotic metabolism of ivermectin and in the production of tolerance.
PMID- 21895818
TI - An update on mosquito cell expressed dengue virus receptor proteins.
AB - Dengue is the most important mosquito transmitted viral disease of humans
worldwide. Despite intensive study over several decades, many of the fine details
of the dengue virus (DENV) replication cycle remain unknown, although generally
more is known about the phase of the replication cycle in mammalian cells as
compared to the phase in mosquito cells. This results from a combination of less
research emphasis on the mosquito stage, as well as fewer tools such as specific
antibodies against mosquito proteins and insect informatics databases. The
binding of a virus to a host cell is a first and critical stage in the infectious
process and the mechanism and identity of cellular proteins involved in this
process remains largely unknown. This short review aims to provide an update on
our current understanding of the proteins expressed by mosquito cells that
mediate DENV binding as a prerequisite to DENV entry and replication.
PMID- 21895819
TI - Influence of hormone response elements (HREs) on ecdysteroid receptor
concentration.
AB - Transcriptional activity of nuclear receptors is the result of transactivation
capability and receptor protein concentration. The concentration of ecdysteroid
receptor (EcR) constitutively expressed in vertebrate cells varies depending on
the isoforms. Besides ligand binding and heterodimerization with ultraspiracle
(USP), which stabilizes receptor protein concentration, degradation is regulated
by interaction of the receptor complex with different ecdysteroid response
elements (EcREs). Coexpression of EcREs significantly reduces ecdysteroid
receptor concentration depending on the type of EcRE. Transcriptional activity
and interaction with hormone response elements (HREs) as determined by
Electrophoretic Mobility Shift Assay (EMSA) are often inversely related to
receptor protein concentration. The complex regulation of receptor protein
concentration offers an additional opportunity to regulate transcriptional
activity in an isoform- and target cell-specific manner and allows the temporal
limitation of hormone action.
PMID- 21895820
TI - Bayesian inference of a historical bottleneck in a heavily exploited marine
mammal.
AB - Emerging Bayesian analytical approaches offer increasingly sophisticated means of
reconstructing historical population dynamics from genetic data, but have been
little applied to scenarios involving demographic bottlenecks. Consequently, we
analysed a large mitochondrial and microsatellite dataset from the Antarctic fur
seal Arctocephalus gazella, a species subjected to one of the most extreme
examples of uncontrolled exploitation in history when it was reduced to the brink
of extinction by the sealing industry during the late eighteenth and nineteenth
centuries. Classical bottleneck tests, which exploit the fact that rare alleles
are rapidly lost during demographic reduction, yielded ambiguous results. In
contrast, a strong signal of recent demographic decline was detected using both
Bayesian skyline plots and Approximate Bayesian Computation, the latter also
allowing derivation of posterior parameter estimates that were remarkably
consistent with historical observations. This was achieved using only
contemporary samples, further emphasizing the potential of Bayesian approaches to
address important problems in conservation and evolutionary biology.
PMID- 21895821
TI - Natural killer cells in free-living Mus musculus have a primed phenotype.
AB - Recent reports have shown that natural killer (NK) cells may be long-lived,
possess memory-like features and may need microbial priming to become fully
reactive. Thus, the notion that these cells are typically innate, nonadaptive
lymphocytes has been challenged. If microbial priming is essential for functional
maturity, it is necessary to raise the question whether NK cells of laboratory
mice, kept under strict hygienic conditions, represent these cells adequately. In
their natural habitat, mice will encounter microbes to a greater extent, and we
here investigated whether NK cells of feral mice showed signs of being primed. In
comparison with C57BL/6 mice raised under specific pathogen-free conditions, NK
cells from feral mice had high expression of CD69, KLRG1, granzyme B and NKp46
and a higher proportion of CD27+ cells, mostly CD11b-, as well as a higher
presence in peripheral lymph nodes. Following cytokine stimulation, feral mouse
NK cells had quickly inducible CD25 expression and a stronger interferon-gamma
response. These findings indicate a high degree of pre-activation of NK cells of
free-living mice, indicating a strong environmental impact on NK cells, which may
be highly relevant for interpretation of studies in the mouse model.
PMID- 21895822
TI - Relationship between sleep-disordered breathing and markers of systemic
inflammation in women from the general population.
AB - Sleep-disordered breathing (SDB) is a risk factor for cardiovascular disease
(CVD). The underlying pathogenesis is not clear. In patients with obstructive
sleep apnoea syndrome (OSAS) elevated levels of inflammatory markers, such as C
reactive protein (CRP), interleukin-6 (IL-6) and tumour necrosis factor alpha
(TNFalpha) have been found. These markers have also been shown as independent
markers of CVD in other populations. The aim of the study was to investigate the
association between SDB and systemic inflammation in a population-based cohort of
women. From 6817 women who previously answered a questionnaire concerning snoring
habits, 230 habitually snoring women and 170 women regardless of snoring status
went through polysomnography, anthropometric measurements and blood sampling.
Analyses were made for CRP, TNFalpha, IL-6, myeloperoxidase (MPO) and lysozyme.
The levels of CRP, IL-6 and lysozyme were significantly higher in subjects with
apnoea-hypopnoea index (AHI) >=15 compared with women with lower AHI. All
inflammatory markers except MPO correlated to AHI and oxygen desaturation
measures, and to waist circumference. In multiple linear regressions adjusting
for age, waist circumference and smoking, independent correlations between oxygen
desaturation indices (ODI) and inflammation were found for IL-6 (P = 0.03 for %
sleep time with saturation <90%) and TNFalpha (P = 0.03 for ODI 3%). No
significant correlations were found between AHI and inflammation. Also, for women
from the general population there is an independent correlation between SDB and
inflammation, even after adjusting for obesity. The results indicate that
intermittent hypoxia, and not the AHI, is related to systemic inflammation seen
in OSAS.
PMID- 21895823
TI - Basing drug scheduling decisions on scientific ranking of harmfulness: false
promise from false premises.
AB - In recent years a number of studies have attempted to rank drugs by a single
measure of harmfulness as the basis for decisions about scheduling and
classification. These efforts are fundamentally flawed, both conceptually and
methodologically. The effort to provide a single measure masks the variety of non
comparable dimensions that are relevant, the fact that benefits are ignored for
most, but not all, drugs and that the harms of a drug are not invariant to the
policy regime chosen. Methodologically, the most prominent recent effort ignores
drug interactions and mixes aggregate and individual harms inappropriately.
Instead we suggest that multiple dimensions of harm need to be displayed to
inform human judgments of what drugs should be scheduled. Harm is not usefully
reducible to a single dimension, and even perfect rankings would not constitute a
'sufficient statistic' for determining scheduling decisions.
PMID- 21895824
TI - Nutt et al.'s harm scales for drugs--room for improvement but better policy based
on science with limitations than no science at all.
PMID- 21895825
TI - Let not the best be the enemy of the good.
PMID- 21895826
TI - Can harm ratings be useful?
PMID- 21895827
TI - Scales and blinkers, motes and beams--whose view is obstructed on drug
scheduling?
PMID- 21895828
TI - Improved ranking of drugs on harmfulness can bring sense and order to a failed
system.
PMID- 21895829
TI - A systematic review of methamphetamine precursor regulations.
AB - AIMS: To assess the effectiveness of methamphetamine precursor regulations in
reducing illicit methamphetamine supply and use. METHODS: A systematic review of
12 databases was used to identify studies that had evaluated the impact of
methamphetamine precursor regulations on methamphetamine supply and/or use. The
guidelines of the Effective Practice and Organization of Care Group (EPOC) of The
Cochrane Collaboration were used to determine which study designs were included
and assess their quality. RESULTS: Ten studies met the inclusion criteria. These
studies evaluated 15 interventions (13 regulations and two related interdiction
efforts), all of which were located in North America. Interventions had
consistent impacts across various indicators of methamphetamine supply and use.
Seven of the 15 interventions produced reductions in methamphetamine indicators
(ranging from 12% to 77%). Two of the largest impacts were seen following
interdiction efforts, involving the closure of rogue pharmaceutical companies.
There was no evidence of a shift into other types of drug use, or injecting use,
although the impact on the synthetic drug market was not examined. Null effects
were related largely to the existence of alternative sources of precursor
chemicals or the availability of imported methamphetamine. CONCLUSIONS:
Methamphetamine precursor regulations can reduce indicators of methamphetamine
supply and use. Further research is needed to determine whether regulations can
be effective outside North America, particularly in developing countries, and
what impact they have on the broader synthetic drug market. Improved data on
precursor diversion are needed to facilitate the evaluation of precursor
regulations.
PMID- 21895831
TI - Predictors of recurrence in patients undergoing cryoballoon ablation for
treatment of atrial fibrillation: the independent role of sleep-disordered
breathing.
AB - INTRODUCTION: In patients with atrial fibrillation (AF) undergoing pulmonary vein
isolation, cryoballoon technique (cryoPVI) has been adopted in many centers. This
study aimed to evaluate predictors of AF recurrence including impact of sleep
disordered breathing (SDB). METHODS AND RESULTS: In 82 patients consecutively
assigned to cryoPVI cardiorespiratory screening for SDB, assessment of medical
history, ECG, echocardiography, standard laboratory measurement, and blood gas
analysis were performed prior to intervention. After a 3-month blanking period, a
7-day Holter ECG was performed at 3, 6 and then every 6 months to determine AF
recurrence. Seventy-five patients (69 paroxysmal AF, 6 persistent AF, 22 female,
age 60 +/- 9 years) completed at least 6-month follow-up. Median follow-up of 12
months (interquartile range 6-18 months) confirmed maintenance of sinus rhythm in
69.4% of these patients. Stepwise forward regression model revealed moderate to
severe SDB (cut-off apnea-hypopnea-index (AHI) >= 15 per hour; Hazard Ratio (HR)
2.95, P = 0.04), early recurrence of AF (HR 8.74, P < 0.001), persistent AF (HR
7.16, P < 0.001), preprocedural class III-antiarrhythmic drug treatment (HR 3.63,
P = 0.02), but not SDB per se (AHI >= 5 per hour) as independent predictors for
AF recurrence. CONCLUSION: Moderate to severe SDB is a treatable condition that
independently predicts AF recurrence in patients undergoing cryoPVI. Screening
for SDB and adequate treatment may improve long-term success of cryoPVI.
PMID- 21895832
TI - Prevention through intervention: catheter ablation to reduce the rate of
progression of paroxysmal to persistent atrial fibrillation.
PMID- 21895833
TI - Long-term insertable loop monitors: how much should we watch to get an answer
(diagnosis)?
PMID- 21895834
TI - Noninvasive imaging of sinus node disease: can it predict the need for pacemaker
implantation?
PMID- 21895835
TI - The effect of mechanical cycling and different misfit levels on Vicker's
microhardness of retention screws for single implant-supported prostheses.
AB - PURPOSE: The aim of this study was to evaluate the effect of mechanical cycling
and different misfit levels on Vicker's microhardness of retention screws for
single implant-supported prostheses. MATERIALS AND METHODS: Premachined UCLA
abutments were cast with cobalt-chromium alloy to obtain 48 crowns divided into
four groups (n = 12). The crowns presented no misfit in group A (control group)
and unilateral misfits of 50 MUm, 100 MUm, and 200 MUm in groups B, C, and D,
respectively. The crowns were screwed to external hexagon implants with titanium
retention screws (torque of 30 N/cm), and the sets were submitted to three
different periods of mechanical cycling: 2*10(4) , 5*10(4) , and 1*10(6) cycles.
Screw microhardness values were measured before and after each cycling period.
Data were evaluated by two-way ANOVA and Tukey's test (p < 0.05). RESULTS:
Mechanical cycling statistically reduced microhardness values of retention screws
regardless of cycling periods and groups. In groups A, B, and C, initial
microhardness values were statistically different from final microhardness values
(p < 0.05). There was no statistically significant difference for initial screw
microhardness values (p > 0.05) among the groups; however, when the groups were
compared after mechanical cycling, a statistically significant difference was
observed between groups B and D (p < 0.05). CONCLUSIONS: Mechanical cycling
reduced the Vicker's microhardness values of the retention screws of all groups.
The crowns with the highest misfit level presented the highest Vicker's
microhardness values.
PMID- 21895836
TI - Higher level taxonomy and molecular phylogenetics of the Choanoflagellatea.
AB - The choanoflagellates (Choanoflagellatea) comprise a major group of
nanoflagellates, which are ubiquitous in the aquatic environment. Recent
molecular phylogenies have shown them to be the sister group to the Metazoa.
However, the phylogeny of the choanoflagellates is still far from understood. We
present here a 29 taxon, multigene phylogeny that robustly places the root of the
choanoflagellates. One of the original nonloricate families, Codonosigidae is
shown to be a polyphyletic assemblage nested within the Salpingoecidae. We
elaborate on a revised taxonomy that divides Choanoflagellatea into two orders:
Craspedida and Acanthoecida. Craspedida is composed of species that possess an
organic cell coating and contains the single family Salpingoecidae. Members of
the predominantly marine Acanthoecida produce a siliceous lorica in addition to
an organic coat and are contained in two families--the Acanthoecidae and
Stephanoecidae fam. n. Previous studies of choanoflagellates have been hindered
by cases of taxon misidentification as well as the limited resolution of 18S
small subunit (SSU) rDNA phylogenies. Unfortunately, cases of misidentification
have been heavily repeated in the literature. In an attempt to avoid further
confusion, we highlight known instances of misnamed taxa. We also examine the
suitability of SSU rDNA sequences alone for choanoflagellate phylogenetics and
recommend the use of protein-coding genes, such as hsp90 and tubA, whenever
possible.
PMID- 21895837
TI - Free sterol composition of species in the dinoflagellate genus Pyrocystis: a
spectrum of sterol diversity.
AB - The dinoflagellate genus Pyrocystis includes a small number of marine species,
which spend the majority of their life cycles as nonmotile cells within a
carbohydrate sheath, and which are found ubiquitously throughout the world's
oceans. The biochemistry of this model dinoflagellate genus has been widely
studied due to its ability to bioluminesce. However, Pyrocystis has been
comparatively understudied with respect to its lipid biochemistry, in particular
that of sterols. To date, examination of the sterols of Pyrocystis has focused
primarily upon Pyrocystis lunula, which produces cholesterol and 4,24-dimethyl
5alpha-cholestan-3beta-ol as its predominant sterols, while it lacks the common
dinoflagellate sterol, dinosterol. We have examined the sterol composition of the
two other commercially available species of Pyrocystis, Pyrocystis fusiformis and
Pyrocystis noctiluca. Pyrocystis noctiluca possesses dinosterol as its most
abundant sterol, while P. fusiformis possesses dinosterol and 4,24-dimethyl
5alpha-cholestan-3beta-ol as the predominant sterols, placing it at an
intermediate position between P. lunula and P. noctiluca, as based on sterol
composition. The potential limitations of the dinoflagellate sterol biomarker
dinosterol are also explored in this study due to its notable absence in P.
lunula.
PMID- 21895838
TI - Naegleria fowleri: enolase is expressed during cyst differentiation.
AB - Cysts of Naegleria fowleri present an external single-layered cyst wall. To date,
little information exists on the biochemical components of this cyst wall.
Knowledge of the cyst wall composition is important to understand its resistance
capacity under adverse environmental conditions. We have used of a monoclonal
antibody (B4F2 mAb) that specifically recognizes enolase in the cyst wall of
Entamoeba invadens. By Western blot assays this antibody recognized in soluble
extracts of N. fowleri cysts a 48-kDa protein with similar molecular weight to
the enolase reported in E. invadens cysts. Immunofluorescence with the B4F2 mAb
revealed positive cytoplasmic vesicles in encysting amebas, as well as a positive
reaction at the cell wall of mature cysts. Immunoelectron microscopy using the
same monoclonal antibody confirmed the presence of enolase in the cell wall of N.
fowleri cysts and in cytoplasmic vesicular structures. In addition, the B4F2 mAb
had a clear inhibitory effect on encystation of N. fowleri.
PMID- 21895839
TI - Morphology and molecular phylogeny of Pseudotrichonympha hertwigi and
Pseudotrichonympha paulistana (Trichonymphea, parabasalia) from neotropical
rhinotermitids.
AB - Pseudotrichonympha is a large hypermastigote parabasalian found in the hindgut of
several species of rhinotermitid termites. The genus was discovered more than 100
years ago, and although over a dozen species have since been described, this
represents only a small fraction of its likely diversity: the termite genera from
which Pseudotrichonympha is known are all species rich, and in most cases their
hindgut symbionts have not been examined. Even formally described species are
mostly lacking in detailed microscopic data and/or sequence data. Using small
subunit ribosomal RNA gene sequences and light and scanning electron microscopy
we describe here the morphology and molecular phylogenetic position of two
Pseudotrichonympha species: the type species for the genus, Pseudotrichonympha
hertwigi from Coptotermes testaceus (described previously in line drawing only),
and Pseudotrichonympha paulistana from Heterotermes tenuis (described previously
based on light microscopy only).
PMID- 21895840
TI - Apotrachelocerca arenicola (Kahl, 1933) n. g., comb. n. (Protozoa, Ciliophora,
Trachelocercidae): morphology and phylogeny.
AB - During faunistic study on psammophilic ciliates along the coast of Qingdao,
China, a population of Trachelocerca arenicola Kahl, 1933 was found and then
investigated using silver staining and gene sequencing methods. The results
indicated that it represented a new genus Apotrachelocerca characterized by
uninterrupted circumoral kineties composed of two rows of dikinetids and no
brosse or ciliary tuft in the oral cavity. This new genus should be assigned to
the family Prototrachelocercidae Foissner, 1996. Based on the small subunit rRNA
gene sequence, phylogenetic trees revealed that Apotrachelocerca arenicola
occupied a basal position to other trachelocercids.
PMID- 21895841
TI - Complete sequence and gene organization of the Nosema heliothidis ribosomal RNA
gene region.
AB - By sequencing the entire ribosomal RNA (rRNA) gene region of Nosema heliothidis
isolated from cotton bollworm (Helicoverpa armigera), we showed that its gene
organization is similar to the type species, Nosema bombycis: the 5'-large
subunit rRNA (2,490 bp)-internal transcribed spacer (192 bp)-small subunit rRNA
(1,232 bp)-intergenic spacer (274 bp)-5S rRNA (115 bp)-3'. We constructed two
phylogenetic trees, analyzed phylogenetic relationships, examined rRNA
organization of microsporidia, and compared the secondary structure of small
subunit rRNA with closely related microsporidia. The latter two features may
provide important information for the classification and phylogenetic analysis of
microsporidia.
PMID- 21895842
TI - Feeding by the newly described, nematocyst-bearing heterotrophic dinoflagellate
Gyrodiniellum shiwhaense.
AB - We explored the feeding ecology of the newly described, nematocyst-bearing
heterotrophic dinoflagellate Gyrodiniellum shiwhaense (GenBank accession
number=FR720082). Using several different types of microscopes and high
resolution video-microscopy, we investigated feeding behavior and types of prey
species that G. shiwhaense feeds upon. Additionally, we measured its growth and
ingestion rates on its optimal algal prey, the cryptophyte Teleaulax sp. and the
dinoflagellate Amphidinium carterae, as a function of prey concentration. These
rates were measured for other edible prey at single prey concentrations at which
the growth and ingestion rates of G. shiwhaense were saturated. After anchoring
the prey with a tow filament, G. shiwhaense fed using a peduncle, ingesting small
algal species with equivalent spherical diameters (ESDs) of <13 MUm. However, it
did not feed on larger algal species that had ESDs>=13 MUm or the small diatom
Skeletonema costatum. The specific growth rates for G. shiwhaense feeding upon
Teleaulax sp. and A. carterae increased rapidly with increasing mean prey
concentration before saturating at concentrations of ca. 180-430 ng C/ml. The
maximum specific growth rate of G. shiwhaense on Teleaulax sp. and A. carterae
were 1.05 and 0.82/d, respectively. However, Heterosigma akashiwo did not support
positive growth of G. shiwhaense. The maximum ingestion rates of G. shiwhaense on
Teleaulax sp. and A. carterae were 0.35 and 0.54 ng C/grazer/d, respectively. The
calculated grazing coefficients attributable to G. shiwhaense on co-occurring
cryptophytes and Amphidinium spp. were 0.01-1.87/d and 0.08-2.60/d, respectively.
Our results suggest that G. shiwhaense can have a considerable grazing impact on
algal populations.
PMID- 21895843
TI - Heterologous expression of the CYP51 gene of the obligate fungus Blumeria
graminis in the necrotrophic fungus Botrytis cinerea.
AB - As it is extremely difficult to make DNA transformation for the obligate fungus,
Blumeria graminis f. sp. tritici (Bgt), we developed a heterologous expression
system for characterization of a Bgt gene, CYP51, which encodes 14alpha
demethylase. The CYP51 gene from Bgt was transformed into the necrotrophic
fungus, Botrytis cinerea. Reverse transcription polymerase chain reaction showed
that the Bgt CYP51 was transcribed in B. cinerea. Green fluorescence was observed
in the transformants of B. cinerea carrying the Bgt CYP51-GFP fusion cassette,
suggesting that its translation was successful. Fungicide sensitivity tests
revealed that B. cinerea transformed with Bgt CYP51 showed reduced sensitivity to
a sterol demethylation inhibitor triadimefon, but not to a benzimidazole
fungicide carbendazim. These results indicated that this heterologous expression
system can be used for functional analysis of other Bgt genes.
PMID- 21895844
TI - Light and ultrastructural description of Meglitschia mylei n. sp. (myxozoa) from
Myleus rubripinnis (Teleostei: Serrasalmidae) in the Amazon River system.
AB - Meglitschia mylei n. sp. found in the gall bladder of the teleostean fish Myleus
rubripinnis (Serrasalmidae) from the middle Amazonian region of Brazil is
described using light and transmission electron microscopy. The spores observed
in the bile averaged 24.6+/-0.8 MUm long, 8.7+/-0.4 MUm wide and 5.1+/-0.3 MUm
thick and were strongly furcate and arcuate ?-shaped composed of two symmetric
equal-sized valves, up to ~70 nm thick. Each valve possessed one opposed tapering
appendage, 20.1+/-0.7 MUm long, oriented parallel towards the basal tip of the
appendages and joined along a right suture line forming a thick strand. The
strand goes around the central part of the spore, which in turn surrounds two
equal and symmetric spherical polar capsules (PC), 2.1+/-0.3 MUm in diameter,
located at the same level. Each capsule contains a polar filament with five
(rarely six) coils. The binucleate sporoplasm was irregular in shape, contained
several sporoplasmosomes, ~175 nm in diameter and filled all the space of the two
caudal appendages. Based on the arc shape of the spore with two tapering caudal
appendages oriented to the basis of spores, on the number and position of the PC
and of the polar filament coils and arrangements, and on the host specificity, we
propose the name M. mylei n. sp. for this new myxozoan. Accordingly, this is the
second described species of this genus.
PMID- 21895845
TI - Perspective: physiological role(s) of the vascular myogenic response.
AB - The vascular myogenic response is an inherent property of VSM in the walls of
small arteries and arterioles, allowing these principal resistance segments of
the microcirculation to respond to changes in transmural pressure. Elevated
intraluminal pressure leads to myogenic constriction, whereas reduced pressure
leads to myogenic dilation. This review focuses on the physiological significance
of the myogenic response in microvascular networks. First, historical concepts
related to the detection of stretch by the vessel wall are reviewed, including
the wall tension hypothesis, and the implications of the proposal that the
arteriolar network responds to Pp changes as a system of series-coupled myogenic
effectors. Next, the role of the myogenic response in the local regulation of
blood flow and/or Pc is examined. Finally, the interaction of myogenic
constriction and dilation with other local control mechanisms, including
metabolic, neural and shear-dependent mechanisms, is discussed. Throughout the
review, an attempt is made to integrate historical and current literature with an
emphasis on the physiological role, rather than the underlying signaling
mechanisms, of this important component of vascular control.
PMID- 21895846
TI - The prognostic role of quality of life assessment in breast cancer.
AB - While the use of quality of life (QoL) assessments has been increasing in
oncology, few studies have examined the prognostic significance of QoL in breast
cancer. We investigated the association between QoL at presentation and survival
in breast cancer. We examined 1,511 breast cancer patients treated at two single
system cancer centers between January 2001 and December 2008. QoL was evaluated
using the validated survey instrument EORTC-QLQ-C30. Patient survival was defined
as the time interval between the date of first patient visit and the date of
death from any cause/date of last contact. Univariate and multivariate Cox
regression analyses were performed to evaluate the prognostic significance of QoL
after controlling for the effects of age, tumor stage, and prior treatment
history. Mean age at presentation was 52.5 years. There were 590 analytic and 921
non-analytic patients. Patient stage of disease at diagnosis was I, 335; II, 591;
III, 290; IV, 159; and 136 indeterminate. Median overall survival was 32.8 months
(95% CI: 27.6-38.0). On univariate analysis, QoL function and symptom scales that
were predictive of survival were physical (p < 0.001), role (p < 0.001),
cognitive (p = 0.003), social (p < 0.001), fatigue (p < 0.001), nausea/vomiting
(p < 0.001), pain (p < 0.001), dyspnea (p < 0.001), loss of appetite (p < 0.001),
and constipation (p < 0.001). On multivariate analyses, only role function
(degree of impairment of work and/or leisure/hobby related activities) was
significantly associated with survival. This study suggests that baseline QoL (in
particular, the role function) provides useful prognostic information in breast
cancer.
PMID- 21895847
TI - Nonmelanoma skin cancer chemoprevention.
PMID- 21895848
TI - Topical antibiotic trends from 1993 to 2007: use of topical antibiotics for non
evidence-based indications.
AB - BACKGROUND: Systemic antibiotic use has become more conservative with the
emergence of drug resistance. Topical antibiotics are employed for a variety of
indications, although there are only a few evidence-based indications. OBJECTIVE:
To examine topical antibiotics use in the outpatient setting. METHODS: Topical
antibiotic use was characterized using data from the 1993 to 2007 National
Ambulatory Medical Care Survey. Visits were identified at which a topical
antibiotic was used and analyzed according to patient demographics, diagnoses,
procedures, concomitant medications, and provider specialty. Topical antibiotic
use over time was analyzed using linear regression. RESULTS: The most frequent
diagnoses associated with topical antibiotic use were benign or malignant
neoplasm of skin, impetigo, insect bite, and cellulitis. Data revealed a
significant downward trend in topical antibiotics associated with dermatologic
surgery (p<.001) and a nonsignificant downward trend in use in conjunction with
skin biopsies (p=.09). Topical antibiotic use by dermatologists was noted to be
decreasing over time, whereas among non dermatologists, it was noted to be
increasing, although neither of these trends was statistically significant.
CONCLUSION: Topical antibiotics continue to be used for non-evidence-based
indications, despite data that suggest that such use may be detrimental for
patients and represents significant costs to the health care system. The authors
have indicated no significant interest with commercial supporters.
PMID- 21895849
TI - Role of podoplanin expression in esophageal squamous cell carcinoma: a
retrospective study.
AB - Lymphatic metastasis is the predominant cause of the low overall survival of
patients with esophageal squamous cell carcinoma (ESCC), as there are no faithful
methods available predicting early metastasis. Recent studies suggest an effect
of podoplanin expression on metastatic spreading to lymph nodes. The purpose of
this study was to investigate the influence of podoplanin expression on lymphatic
metastasis and tumor cells, and to find the relationship between podoplanin
expression and prognosis of patients with ESCC. We evaluated the level of
podoplanin expression on tumor cells and the lymphatic vessel density change of
tumor mass compared with normal tissue from the same patient through D2-40
immunohistochemistry staining, and analyzed associations between these two
variables and various clinicopathologic parameters individually or conjunctively.
There was an association between podoplanin expression and the frequency of lymph
node metastases. In 45 patients (80%), podoplanin was expressed on the tumor
cells. Twenty-one patients (37.5%) showed high levels of expression. The 5-year
disease-free survival rate (5%) for patients with high levels of podoplanin
expression was significantly lower (P < 0.001) than for patients with low and
moderate expression of podoplanin (54% and 27%, respectively). We concluded that
podoplanin is expressed frequently in ESCC, and that the expression of podoplanin
on cancer cells, lymphatics, or both is correlated with lymphatic metastasis and
clinical outcome.
PMID- 21895850
TI - Influence of the esophageal hiatus size on the lower esophageal sphincter, on
reflux activity and on symptomatology.
AB - Hiatal hernia is an underlying factor contributing to gastroesophageal reflux
disease (GERD). However, it remains elusive whether the size of the esophageal
hiatus has a de facto influence on the lower esophageal sphincter (LES), on the
intensity of patient reflux, on GERD symptoms and on the quality of life (QoL).
One hundred patients with documented chronic GERD underwent laparoscopic
fundoplication. QoL was evaluated before surgery using the Gastrointestinal
Quality of Life Index (GIQLI). Additionally, GERD symptoms and nonspecific
gastrointestinal symptoms were documented using a standardized questionnaire
(score 0-224). The size of the esophageal hiatus was measured during surgery by
calculating the hiatal surface area (HSA). Correlation analysis between the
preoperative QoL, GERD symptoms, esophageal manometry, multichannel intraluminal
impedance monitoring data and HSA size was performed, in order to investigate
whether the HSA has an influence on the patients'symptoms, GIQLI, manometry and
multichannel intraluminal impedance monitoring data. Statistical significance was
set at a P-value of 0.05. The HSA sizes ranged from 1.51cm(2) to 16.09cm(2) (mean
4.14cm(2) ). The preoperative GIQLI ranged from 15 points to 133 points (mean
94.37 points). Symptom scores ranged from 2 points to 192 points (mean 49.84
points). No significant influence of the HSA on GIQLI or preoperative symptoms
was recorded. HSA size had a significant negative effect on LES pressure.
Additionally, there was a significant positive correlation between HSA size and
number of refluxes in supine position. For the rest of the evaluated data,
including DeMeester score, total number of refluxes, refluxes in upright
position, acid reflux events, proximal reflux events, LES length and body
motility, no significant correlation was found. Although patients subjectively
are not significantly affected by the size of the hiatus, it has significant
effects on the LES pressure and on gastroesopageal reflux in supine position.
PMID- 21895851
TI - Outcomes of endoscopic and surgical resection for a second primary cancer in the
residual cervical esophagus after thoracic esophagectomy.
AB - Patients who have received subtotal esophagectomy for thoracic esophageal cancer
must be closely monitored for second primary malignancies. The purpose of this
study is to review and assess patients who developed a second primary esophageal
cancer in the residual cervical esophagus. Between 1996 and 2010, 10 patients
were diagnosed in our hospital with esophageal squamous cell cancer in the
residual cervical esophagus after undergoing thoracic esophagectomy and were
treated with endoscopic or surgical resection. Data from these patients were
reviewed retrospectively. Seven of the 10 patients (70%) had multiple primary
carcinoma lesions at the time of their esophagectomy. A second primary cancer in
the residual cervical esophagus was detected in eight patients during follow-up
endoscopic examinations while the patients were still asymptomatic. Seven of the
patients underwent endoscopic resection for a superficial cancer. None of those
patients experienced any complications, and all are currently alive and cancer
free. The remaining three patients underwent resection of the cervical esophagus
with regional lymph node dissection. Two of those patients experienced severe
complications; one subsequently died (hospital death) from pneumonia, 12 months
after surgery, while the other died from recurrence of his cancer. The third
patient is alive and cancer-free. Early detection of a second primary malignancy
in the residual cervical esophagus followed by endoscopic resection is the best
treatment strategy for patients who previously received subtotal esophagectomy
for thoracic esophageal cancer. Surgical resection puts patients at high risk of
mortality or morbidity.
PMID- 21895852
TI - Recent advancement of observing living cells in the esophagus using CM double
staining: endocytoscopic atypia classification.
AB - Magnification endoscopy enables in vivo evaluation of gastrointestinal mucosa.
Furthermore, endocytoscopy (ECS) with ultra-high magnification enables in vivo
observation of cellular atypia during routine endoscopic examination. The purpose
of this study is to clarify the efficacy of ECS and endocytoscopic atypia (ECA)
classification in various types of benign and malignant pathology in the
esophagus. Consecutive 110 patients, who underwent ECS in our institution from
March 2003 to December 2009, were included in this study. One hundred and forty
six esophageal lesions were classified according to ECA classification, and these
endocytoscopic images were compared with histological images. We categorized
endocytoscopic images into five categories according to size and uniformity of
nuclei, number of cells and regularity of cellular arrangement. Eighty-one out of
89 ECA-1 to ECA-3 lesions (91.0%) corresponded to Vienna categories 1 to 3.
Seventy-one out of 84 ECA-4 or ECA-5 lesions (91.2%) corresponded to Vienna
category 4 or 5. Overall accuracy of ECS was 91.3%, providing images similar to
conventional hematoxylin and eosin staining. In addition, with ECS, we can take
an 'optical biopsy' even in patients with cardiovascular disease without
interrupting anticoagulant therapy. A newly designed single charge-coupled device
endocytoscope allows observation of target tissue noninvasibly from regular
magnification to ultra-high magnification. The development of ECS has opened the
door to in vivo cellular imaging, enabling endoscopic diagnosis of tissue
cytological atypia during routine endoscopic examination.
PMID- 21895853
TI - Higher importance of interleukin 6 than classic tumor markers (carcinoembryonic
antigen and squamous cell cancer antigen) in the diagnosis of esophageal cancer
patients.
AB - It has been suggested that interleukin 6 (IL-6) plays a potential role in the
growth and progression of tumors, including esophageal cancer (EC). The aim of
the study was to compare clinical significance of serum IL-6 with classic tumor
markers - carcinoembryonic antigen (CEA) and squamous cell cancer antigen (SCC
Ag) - in EC patients in relation to its histological types - squamous cell
carcinoma of esophagus (ESCC) and adenocarcinoma (AD) of esophagus. The study
included 53 EC patients and 90 healthy subjects. Serum IL-6 and CEA levels were
determined using immunoenzyme assays, while SCC-Ag - chemiluminescent assay. The
diagnostic criteria and prognostic values for markers were defined. The levels of
all proteins tested in EC, ESCC, and AD were higher than in healthy subjects. The
percentage of elevated results was substantially higher for IL-6 (86%) than for
CEA (30%) and SCC-Ag (24%) in EC, similarly as in ESCC (87%, 23%, and 33%) and AD
(87%, 39%, and 13%, respectively) patients. Concentrations of IL-6 depended on
distant metastases and patients' survival in EC and were significantly higher in
ESCC patients with more advanced tumor stage and nodal metastases. The IL-6 area
under receiver operating characteristic curve (0.92) was larger than for CEA
(0.84) and SCC-Ag (0.62) in EC, likewise in ESCC (0.92, 0.87, 0.77) and AD (0.91,
0.79, 0.57, respectively). Our findings indicate better usefulness of IL-6 than
classic tumor markers in the diagnosis of EC, especially in patients with ESCC.
PMID- 21895855
TI - Benefits of regional anesthesia in children.
PMID- 21895854
TI - Criteria for assessing operating room utilization in a free-standing children's
hospital.
AB - BACKGROUND: The staffed hours of operation in any surgical facility are a
valuable institutional resource. The realistic target for the utilization of this
resource is dependent on many factors including scheduling, efficiency, and
culture of the facility. There is no previously reported measure for the actual
utilization of staffed regular operating room (OR) hours in an academic pediatric
institution. The leadership of the perioperative services at Children's Hospital
Boston (CHB) sought to define the utilization of surgical suite staffed block
time hours at that institution and in addition determine whether changes in
workflow could increase the measured utilization. METHODS: Operating room
efficiency in fiscal year 2009 was measured using two variables: utilization and
turnover measured in hours for each month in fiscal year 2009, recorded in hours
expressed as ratios (observed/expected) and as differences (observed - expected).
A total of 27,851 cases from October 1, 2008, through September 30, 2009, were
analyzed. All elective cases were scheduled electronically following
institutional guidelines; urgent or emergent procedures were scheduled into
vacant time slots on the day of the procedure. Time series analysis based on a
generalized autoregressive moving average process was used to compare expected
with observed utilization and to evaluate changes in utilization and turnover
ratios. RESULTS: Efficiency as measured by capped utilization divided by total
available time in the OR averaged 79%. Utilization ratios ranged from a low of
73% in February 2009 to a high of 87% in July 2009. An improvement in on-time
first-case starts may have contributed to the increase in the utilization of
staffed block time. Turnover time as defined by turnover ratio decreased
significantly over time, indicating an improved efficiency in the OR starting in
April 2009. CONCLUSIONS: Adhering to the specific guidelines that are followed
at CHB, the mean utilization of scheduled block time was 79%. This was achieved
by maximizing workflow in the surgical, anesthesia, and nursing disciplines to
shorten turnover time, fill gaps in the elective schedule with emergency
procedures, and provide staffing to accommodate cases that extend beyond the
scheduled staffed time prior to the reporting period. Simulated models from other
pediatric institutions suggest that the optimal utilization of designated time
periods in a surgical facility may range from 85% to 90%.
PMID- 21895856
TI - Lymphogranuloma venereum variant L2b-specific polymerase chain reaction:
insertion used to close an epidemiological gap.
AB - The management of the ongoing lymphogranuloma venereum epidemic in industrialized
Western countries caused by Chlamydia trachomatis variant L2b still needs
improvements in diagnosis, therapy and prevention. We therefore developed the
first rapid C. trachomatis variant L2b-specific polymerase chain reaction to
circumvent laborious ompA gene sequencing.
PMID- 21895857
TI - Liposomal amphotericin B twice weekly as antifungal prophylaxis in paediatric
haematological malignancy patients.
AB - Data on antifungal prophylaxis in paediatric cancer patients at high risk for
invasive fungal disease (IFD) are scant. Intermittent administration of liposomal
amphotericin B (LAMB) has been shown to be safe and effective in adult patients
with haematological malignancies. We prospectively evaluated the safety and
efficacy of prophylactic LAMB at a dosage of 2.5 mg/kg twice weekly in children
at high risk for IFD. Efficacy was compared with that in a historical control
group of patients with similar demographic characteristics not receiving LAMB
prophylaxis. A total of 46 high-risk patients (24 boys; mean age, 7.7 years) with
187 episodes of antifungal prophylaxis were analysed. The median duration of
neutropenia (<500/MUL) was 10 days. LAMB was discontinued in four patients
because of acute allergic reactions. Median values for creatinine and liver
enzymes at end of treatment did not differ significantly from those at baseline.
Hypokalaemia (<3.0 mmol/L) occurred with 13.5% of the prophylactic episodes, but
was usually mild and always reversible. No proven/probable IFD occurred in
patients receiving LAMB prophylaxis. In comparison, five proven and two probable
IFDs were observed in 45 historical controls not receiving LAMB prophylaxis (p
0.01). LAMB prophylaxis had no impact on the use of empirical antifungal therapy.
Systemic antifungal prophylaxis with LAMB 2.5 mg/kg twice weekly is feasible and
safe, and seems to be an effective approach for antifungal prophylaxis in high
risk paediatric cancer patients.
PMID- 21895858
TI - Clinical and microbiological features of bacteraemia with Aerococcus urinae.
AB - Aerococcus urinae is a Gram-positive bacterium that can cause invasive infection,
including infectious endocarditis (IE), mainly in older men. A. urinae is often
misclassified in routine diagnostic laboratories. Through searches in the
laboratory databases we identify 16 isolates of A. urinae causing bacteraemia
during a 6-year period in southern Sweden, indicating that bacteraemia with A.
urinae occurs in at least three cases per million inhabitants per year. The
identity of isolates was confirmed by sequencing of the 16S rRNA genes and
antibiotic susceptibility testing identified two ciprofloxacin-resistant
isolates. A. urinae was the only significant pathogen isolated in all cases.
Fifteen of the 16 patients were male, 15/16 were more than 70 years old, and
12/16 had underlying urological conditions. Though a urinary tract focus was
suspected in the majority of cases, the bacterium was rarely found in urinary
samples. Nine patients fulfilled the criteria for severe sepsis and an additional
four fulfilled the criteria for sepsis. Only one fatality was recorded. Patients
were treated mainly with beta-lactam antibiotics but fluoroquinolones and
clindamycin were also used. Three cases of IE were diagnosed and these were
complicated by spondylodiscitis in one case and by septic embolization to the
brain in one case. An increased awareness of A. urinae is crucial to establishing
its role as an important pathogen in older men with urinary tract disease.
PMID- 21895859
TI - Paroxetine controlled-release formulation in the treatment of major depressive
disorder: a randomized, double-blind, placebo-controlled study in Japan and
Korea.
AB - AIM: The main purpose of this study was to evaluate the efficacy of paroxetine
controlled-release (CR) formulation compared to placebo. A secondary objective
was to test the hypothesis that the CR decreases selective-serotonin-reuptake
inhibitors-induced nausea as its formulation allows more distal gastrointestinal
absorption than the paroxetine immediate-release (IR) formulation. METHODS: We
conducted this study in Japanese and Korean patients with major depressive
disorder (MDD) in order to demonstrate the efficacy and safety of paroxetine CR
compared with placebo. The primary efficacy end-point was the adjusted mean
change from baseline in the 17-item Hamilton Rating Scale for Depression total
score at Week 8. RESULTS: A total of 416 patients with MDD were randomly assigned
to the CR, IR and placebo groups. The mean change from baseline in the 17-item
Hamilton Rating Scale for Depression was -12.8 in the CR group, -12.5 in the IR
group, and -10.4 in the placebo group, which showed a statistically significant
difference compared to placebo in CR (P < 0.001) and IR (P = 0.015). The
incidence of adverse events was 65% in CR, 69% in IR and 55% in placebo. The
adverse events were mostly mild or moderate in severity. In the early treatment
period, when initiated from 12.5 mg, the incidence of nausea in the CR group was
6%, which was comparable with that of placebo (5%). CONCLUSION: Paroxetine CR is
efficacious in the acute treatment of MDD and may have the potential benefit of
decreasing the incidence of nausea in the early treatment period.
PMID- 21895860
TI - Serum cortisol and dehydroepiandrosterone-sulfate levels in schizophrenic
patients and their first-degree relatives.
AB - AIMS: Alterations in cortisol and dehydroepiandrosterone sulfate (DHEA-S) levels
are thought to play a role in the pathophysiology of neuropsychiatric disorders,
including schizophrenia. The aim of this study was to investigate the role of
serum cortisol and DHEA-S in the pathophysiology of schizophrenia. METHODS: Sixty
schizophrenic patients, 70 healthy first-degree relatives, and 60 healthy
volunteers were included. Sociodemographic characteristics, data regarding
disease duration and severity, as well as ongoing and previous drug use were
recorded. Serum cortisol and DHEA-S levels were measured. RESULTS: Serum cortisol
and DHEA-S levels were significantly higher in the schizophrenia group compared
with the first-degree relatives and controls (P < 0.05). Serum cortisol levels in
the first-degree relatives were significantly higher than in the healthy controls
(P < 0.05). There was no significant difference between the first-degree
relatives and healthy-controls in terms of DHEA-S levels and between the three
groups in terms of serum cortisol/DHEA-S ratios. CONCLUSIONS: Elevated serum
cortisol levels in schizophrenic patients might be associated with the role of
cortisol in the pathophysiology of schizophrenia. Also, the elevation of serum
cortisol levels in first-degree relatives compared to controls suggests that
similar pathophysiological processes might have a role in individuals without any
disease symptoms, but with a genetic predisposition for schizophrenia. Elevated
serum DHEA-S levels might be the result of a compensatory response to elevated
cortisol levels. Serum cortisol and DHEA-S levels may be used as a biological
marker for the diagnosis of schizophrenia; however, further studies with larger
sample sizes are warranted to support this finding.
PMID- 21895862
TI - Novel molecular imaging in lung and pleural diseases.
AB - Molecular imaging provides an opportunity to study biological processes in vivo.
Specific molecular 'probes' are labelled with radioactive tracers, and imaging is
carried out using either PET or gamma-cameras. The imaging is quantitative, and
therefore the activity of a specific biological process (e.g. metabolism or
proliferation) can be numerically assessed, which may be important for prognosis
or therapy monitoring. The use of molecular imaging may lead to the development
of a 'molecular profile' of a disease, therefore facilitating individualization
of therapy and rational treatment approaches. This review article summarizes the
most commonly used molecular imaging agents and their role in lung and pleural
diseases. This is a rapidly developing field as new targets and imaging probes
are being developed and as their clinical roles are being established.
PMID- 21895863
TI - Laboratory diagnosis of pertussis: agglutination is not suitable.
PMID- 21895864
TI - Economic burden of injuries in children: cohort study based on administrative
data in a northwestern Italian region.
AB - BACKGROUND: The aims of the present study were to identify which types of
injuries are responsible for the major component of the health burden and to
estimate the relative costs in a cohort of Italian children. METHODS: All
children (0-14 years) residing in the Piedmont region, who were hospitalized for
an injury (ICD-9-CM codes 800-995, excluding late effects from injury and
allergies) between 1 January 2003 and 31 December 2003, were considered. The
cohort was linked by a unique identifier to: all the hospitalizations, all the
day-hospital care, and all the prescribed medicines. Outcome was defined as the
difference in health service use in the 12 months following the injury, and was
compared to the year preceding the injury occurrence. Total number of hospital
admissions for each individual, cumulative length of stay, day-hospital care, and
prescribed medicines were calculated for the two periods. The cost of additional
inpatient days and of additional outpatient care was calculated. RESULTS: The
three most common injury categories were: intracranial injury (27.6%), fracture
of upper limb (18.7%); and fracture of lower limb (9.07%); they were responsible
for the major part of the additional inpatient days (55%) and outpatient care
(70%). The additional expense for the National Health System during the year
following an injury is approximately 1700 ? for children aged 0-14. CONCLUSIONS:
This is the first Italian study to attempt to quantify the injury economic burden
in a cohort of children: the costs imposed on society by injuries, suggest how
important it is to commit resources to injury prevention.
PMID- 21895865
TI - Effect of neonatal resuscitation courses on long-term neurodevelopmental outcomes
of newborn infants with perinatal asphyxia.
AB - BACKGROUND: In previous studies, it has been demonstrated that Neonatal
Resuscitation Program (NRP) courses improve the early outcomes of infants with
perinatal asphyxia, but there has been no evidence to demonstrate the effect of
NRP on long-term outcomes of perinatal asphyxia. The goal of the present study
was to determine the effect of NRP courses on the long-term neurodevelopmental
outcome of perinatal asphyxia. METHODS: This prospective study included infants
referred to the Neonatal Unit during the years 2003-2005. Those patients who were
referred before NRP courses (pretraining period) were designated as group 1,
those who were referred after the first NRP course (transition period) as group
2, and those who were referred after the second NRP course (post-training period)
as group 3. Neurodevelopmental outcomes were assessed and compared at 4-6 years
of age. RESULTS: The study involved 40 patients: 23 in group 1, nine in group 2
and eight in group 3. The number of patients who had been diagnosed with cerebral
palsy was 13 in group 1, two in group 2, and one in group 3, which was a
significant decrease. The number of patients with seizures and
electroencephalography abnormality was 12 and 14 in group 1, three and two in
group 2, and one and one in group 3, respectively, which was also a significant
decrease. CONCLUSIONS: NRP courses have positive effects on short-term as well as
long-term neurodevelopmental outcomes of infants with perinatal asphyxia. Further
studies are required to determine the effects of NRP courses on minor deficits,
such as cognitive and behavioral disturbances.
PMID- 21895867
TI - Membrane sialidase NEU3 is highly expressed in human melanoma cells promoting
cell growth with minimal changes in the composition of gangliosides.
AB - NEU3 is a membrane sialidase specific for gangliosides. Its increased expression
and implication in some cancers have been reported. Here, we analyzed NEU3
expression in malignant melanoma cell lines and its roles in the cancer
phenotypes. Quantitative RT-PCR revealed that high levels of the NEU3 gene were
expressed at almost equivalent levels with those in colon cancers. To examine the
effects of overexpression of NEU3, NEU3 cDNA-transfectant cells were established
using a melanoma cell line SK-MEL-28 and its mutant N1 lacking GD3. SK-MEL-28
sublines overexpressing both the NEU3 gene and NEU3 enzyme activity showed no
changes in both cell growth and ganglioside expression, while N1 cells showed a
mild increase in cell proliferation with increased phosphorylation of the EGF
receptor and neo-synthesis of Gb3 after NEU3 transfection. In contrast, NEU3
silencing resulted in a definite reduction in cell growth in a melanoma line
MeWo, while ganglioside patterns underwent minimal changes. Phosphorylation
levels of ERK1/2 with serum stimulation decreased in the NEU3-silenced cells. All
these results suggest that NEU3 is highly expressed to enhance malignant
phenotypes including apoptosis inhibition in malignant melanomas.
PMID- 21895866
TI - Ampicillin versus penicillin in the empiric therapy of extremely low-birthweight
neonates at risk of early onset sepsis.
AB - BACKGROUND: There are no comparative data on the impact of different empiric
antibiotic regimens on early bowel colonization as well as on clinical efficacy
in extremely low-birthweight (ELBW) neonates at risk of early onset sepsis (EOS).
METHODS: A subgroup analysis was carried out of ELBW neonates recruited into a
two-center, prospective, cluster randomized study comparing ampicillin and
penicillin both combined with gentamicin, within the first 72 h of life. A
composite primary end-point (need for change of antibiotics within 72 h and/or 7
day all-cause mortality) and the rate and duration of colonization by
opportunistic aerobic microorganisms were assessed using hierarchical models
corrected for study center and period. RESULTS: In the ampicillin (n= 36) and
penicillin (n= 39) groups change of antibiotics, 7 day mortality and the
composite end-point occurred at similar rates. Neonatal intensive care unit
mortality for infants with gestational age <26 weeks was lower in the ampicillin
group. Ampicillin treatment was associated with a higher colonization rate by
Klebsiella pneumoniae, including ampicillin-resistant strains. CONCLUSION:
Preliminary data indicate an urgent need for adequately powered studies of early
antibiotic therapy in the subpopulation of ELBW neonates at risk of EOS.
PMID- 21895868
TI - Asbestos surface provides a niche for oxidative modification.
AB - Asbestos is a potent carcinogen associated with increased risks of malignant
mesothelioma and lung cancer in humans. Although the mechanism of carcinogenesis
remains elusive, the physicochemical characteristics of asbestos play a role in
the progression of asbestos-induced diseases. Among these characteristics, a high
capacity to adsorb and accommodate biomolecules on its abundant surface area has
been linked to cellular and genetic toxicity. Several previous studies identified
asbestos-interacting proteins. Here, with the use of matrix-assisted laser
desorption ionization-time of flight mass spectrometry, we systematically
identified proteins from various lysates that adsorbed to the surface of
commercially used asbestos and classified them into the following groups:
chromatin/nucleotide/RNA-binding proteins, ribosomal proteins, cytoprotective
proteins, cytoskeleton-associated proteins, histones and hemoglobin. The surfaces
of crocidolite and amosite, two iron-rich types of asbestos, caused more protein
scissions and oxidative modifications than that of chrysotile by in situ
generated 4-hydroxy-2-nonenal. In contrast, we confirmed the intense hemolytic
activity of chrysotile and found that hemoglobin attached to chrysotile, but not
silica, can work as a catalyst to induce oxidative DNA damage. This process
generates 8-hydroxy-2'-deoxyguanosine and thus corroborates the involvement of
iron in the carcinogenicity of chrysotile. This evidence demonstrates that all
three types of asbestos adsorb DNA and specific proteins, providing a niche for
oxidative modification via catalytic iron. Therefore, considering the affinity of
asbestos for histones/DNA and the internalization of asbestos into mesothelial
cells, our results suggest a novel hypothetical mechanism causing genetic
alterations during asbestos-induced carcinogenesis.
PMID- 21895869
TI - Correlation between mammographic findings and corresponding histopathology:
potential predictors for biological characteristics of breast diseases.
AB - The present study retrospectively evaluated the mammographic findings of 606
Japanese women with breast cancer (median age 50 years; range 27-89 years) and
correlated them with histopathological characteristics. Mammographic findings
were evaluated with an emphasis on mass shape, margin, density, calcification,
and the presence of architectural distortion; these findings were correlated with
histopathological characteristics such as intrinsic subtype, histological grade,
lymphovascular invasion, and the Ki-67 labeling index. An irregular mass shape
and masses with a spiculated margin were significantly higher in the group of
patients with luminal A breast cancer than in patients with masses that were
lobular or round, or in tumors with an indistinct or microlobulated periphery (P
= 0.017, P = 0.024, P < 0.001, and P = 0.001, respectively). Irregular mass shape
and spiculated periphery were significantly lower in patients with Grade 3 cancer
(P < 0.001 for both). In terms of lymphovascular invasion, there were significant
differences between oval and irregular or round mass shape (P = 0.008 and P =
0.034), between tumors with a microlobulated and indistinct periphery (P =
0.014), between tumors with a punctate and amorphous or pleomorphic calcification
shape (P = 0.030 and 0.038), and between the presence and absence of
architectural distortion (P = 0.027). Equivalent or low-density masses were also
higher in Grade 1 breast cancers (P = 0.007). There were significant differences
in the Ki-67 labeling index between irregular and lobular or round tumors (P <
0.001 and P = 0.014), as well as between spiculated and indistinct or
microlobulated tumors (P < 0.001 for both). Significant differences were noted in
the mammographic features of different primary breast cancer subtypes. These
proposed mammographic diagnostic criteria based on biological characteristics may
contribute to a more accurate prediction of biological behavior of breast
malignancies.
PMID- 21895870
TI - Rab5A is associated with axillary lymph node metastasis in breast cancer
patients.
AB - The expression of Rab proteins has been associated with cancer. However, few data
are available on Rab5A expression in human breast cancer or its impact on disease
progression. First, we examined the functional role of Rab5A in breast cancer
cells. The expression of Rab5A in MDA-MB-231 cells can be stimulated by epidermal
growth factor in a dose-dependent manner. The epidermal growth factor-induced
increase of Rab5A expression correlated well with enhanced migration in wound
healing migration assays in these cells. Furthermore, we evaluated the expression
of Rab5A in breast cancer specimens using immunohistochemical staining, then
analyzed the relationship between the expression of Rab5A and clinicopathological
parameters. The increased expression of Rab5A protein in 123 breast cancer
samples was associated with higher histological grade (P = 0.004), more
lymphovascular invasion (P = 0.027), more axillary lymph node (LN) metastasis (P
= 0.008), and a higher number of axillary LN metastases (P = 0.043). Among 218
axillary LNs of more than 10 breast cancer patients with node metastases, 167
metastatic LNs were found to have increased Rab5A expression. Rab5A is associated
with axillary LN metastasis in breast cancer patients.
PMID- 21895873
TI - Retracted: Modulation of p53 /Akt / phosphatase and tensin homolog expression by
esculetin potentiates the anticancer activity of cisplatin and prevents its
nephrotoxicity.
PMID- 21895871
TI - Who participates in the gastric cancer screening and on-time rescreening in the
National Cancer Screening Program? A population-based study in Korea.
AB - Gastric cancer (GC) screening is a major challenge in countries where the disease
is highly prevalent. This study was conducted to identify the factors associated
with participation in GC screening and on-time rescreening among the average-risk
population in Korea. The study population was derived from the National Cancer
Screening Program database. The population for this study was 22 913 618
individuals aged >=40 years who had been invited to participate in a GC screening
program from 2005 to 2006. We determined whether these individuals had attended
the GC screening program and which method - an upper gastrointestinal series
(UGIS) or endoscopy-they underwent. We followed the participants to determine
whether they had a second GC screening after 2 years. The overall participation
rate in the GC screening was 20.5%. More people underwent UGIS than endoscopy.
Individuals who had been screened by endoscopy rather than UGIS were more likely
to be younger, male, or those who were National Health Insurance (NHI)
beneficiaries with a higher premium rate. Of those who underwent baseline
screening, 59.4% participated in a rescreening program 2 years later. NHI
beneficiaries with a higher premium rate were significantly more likely to be
rescreened than medical aid recipients. The results from this study showed that
the UGIS were more commonly used in organized GC screenings in Korea, and those
who underwent UGIS were more likely to return for subsequent screening compared
to those who underwent an endoscopy.
PMID- 21895872
TI - MiR-195, miR-196b, miR-181c, miR-21 expression levels and O-6-methylguanine-DNA
methyltransferase methylation status are associated with clinical outcome in
glioblastoma patients.
AB - Glioblastoma multiforme (GBM) is the most frequently occurring primary malignant
brain tumor; patients with GBM often have a very poor prognosis and differing
responses to treatment. Therefore, it is very important to find new biomarkers
that can predict clinical outcomes and help in treatment decisions. MicroRNAs are
small, non-coding RNAs that function as post-transcriptional regulators of gene
expression and play a key role in the pathogenesis of GBM. In a group of 38
patients with primary GBM, we analyzed the expression of eight microRNAs (miR-21,
miR-128a, miR-181c, miR-195, miR-196a, miR-196b, miR-221, and miR-222). In
addition, we examined the methylation status of O-6-methylguanine-DNA
methyltransferase (MGMT) promoter by high-resolution melting analysis, as this
has been shown to be a predictive marker in GBM. MGMT methylation status
correlated with progression-free survival (P = 0.0201; log-rank test) as well as
with overall survival (P = 0.0054; log-rank test). MiR-195 (P = 0.0124; log-rank
test) and miR-196b (P = 0.0492; log-rank test) positively correlated with overall
survival. Evaluation of miR-181c in combination with miR-21 predicted time to
progression within 6 months of diagnosis with 92% sensitivity and 81% specificity
(P < 0.0001). Our data confirmed that the methylation status of MGMT but also miR
21, miR-181c, miR-195, and miR-196b to be associated with survival of GBM
patients. Above all, we suggest that the combination of miR-181c and miR-21 could
be a very sensitive and specific test to identify patients at high risk of early
progression after surgery.
PMID- 21895875
TI - Genetically engineered humanized anti-ganglioside GM2 antibody against multiple
organ metastasis produced by GM2-expressing small-cell lung cancer cells.
AB - Small-cell lung cancer (SCLC) grows rapidly and metastasizes to multiple organs.
We examined the antimetastatic effects of the humanized anti-ganglioside GM2
(GM2) antibodies, BIW-8962 and KM8927, compared with the chimeric antibody KM966,
in a SCID mouse model of multiple organ metastases induced by GM2-expressing SCLC
cells. BIW-8962 and KM8927 induced higher antibody-dependent cellular
cytotoxicity and complement-dependent cytotoxicity than KM966 against the GM2
expressing SCLC cell line SBC-3 in vitro. These humanized antibodies inhibited
the production of multiple organ metastases, increased the number of apoptotic
cells, and prolonged the survival of the SCID mice. Histological analyses using
clinical specimens showed that SCLC cells expressed GM2. These findings suggest
that humanized anti-GM2 antibodies could be therapeutically useful for
controlling multiple organ metastases of GM2-expressing SCLC.
PMID- 21895874
TI - GSK962040: a small molecule motilin receptor agonist which increases
gastrointestinal motility in conscious dogs.
AB - BACKGROUND: GSK962040, a small molecule motilin receptor agonist, was identified
to address the need for a safe, efficacious gastric prokinetic agent. However, as
laboratory rodents lack a functional motilin system, studies in vivo have been
limited to a single dose, which increased defecation in rabbits. Motilin agonists
do not usually increase human colonic motility, so gastric prokinetic activity
needs to be demonstrated. METHODS: The effect of intravenous GSK962040 on gastro
duodenal motility was assessed in fasted dogs implanted with strain gauges.
Activity was correlated with blood plasma concentrations of GSK962040 (measured
by HPLC-MS/MS) and potency of GSK962040 at the dog recombinant receptor [using a
Fluorometric Imaging Plate Reader (Molecular Devices, Wokingham, UK) after
expression in HEK293 cells]. KEY RESULTS: GSK962040 activated the dog motilin
receptor (pEC(50) 5.79; intrinsic activity 0.72, compared with [Nle(13) ]
motilin). In vivo, GSK962040 induced phasic contractions, the duration of which
was dose-related (48 and 173 min for 3 and 6 mg kg(-1) ), driven by mean plasma
concentrations >1.14 MUmol L(-1) . After the effects of GSK962040 faded,
migrating motor complex (MMC) activity returned. Migrating motor complex
restoration was unaffected by 3 mg kg(-1) GSK962040 but at 6 mg kg(-1) , MMCs
returned 253 min after dosing, compared with 101 min after saline (n=5 each).
CONCLUSIONS & INFERENCES: The results are consistent with lower potency for
agonists at the dog motilin receptor, compared with humans. They also define the
doses of GSK962040 which stimulate gastric motility. Correlation of in vivo and
in vitro data in the same species, together with plasma concentrations, guides
further studies and translation to other species.
PMID- 21895876
TI - Optical clearing improves the imaging depth and signal-to-noise ratio for digital
analysis and three-dimensional projection of the human enteric nervous system.
AB - BACKGROUND: Due to the dispersed nature of neurites and fibers, the microtome
based 2-dimensional histology provides only a limited perspective of the enteric
nervous system. To visualize the enteric plexus, we applied optical clearing to
avoid scattering in the human ileum to facilitate photon penetration for 3
dimensional (3-D) microscopy of the neural tissue. METHODS: Human ileal specimens
were derived by trimming the donor bowel due to its excess length during the
clinical trial of small intestinal transplantation. The pan-neuronal marker
PGP9.5 was used as the immunostaining target to reveal the enteric plexuses. The
labeled tissues were immersed in the optical-clearing solution prior to deep
tissue confocal microscopy. The serial sections were digitally analyzed and
processed by reconstruction algorithms for 3-D visualization. KEY RESULTS:
Optical clearing of the ileal specimen led to less fluorescence signal decay
along the focal path in the tissue and a higher signal-to-noise ratio of the
confocal micrographs in comparison with the untreated saline control. Taking
advantage of the high signal-to-noise ratio images, we applied software-based
signal analysis to identify the presence of the nerve fibers and quantify the
signal peaks. The image stacks derived from the serial anatomic micrographs
created panoramic views of the gut wall innervations with their associated
microstructures. CONCLUSIONS & INFERENCES: We provide an optical approach to
improve the imaging depth in 3-D neurohistology of the human ileum. This
methodology has significant promise in facilitating our understanding of the
enteric nervous system in health and disease.
PMID- 21895879
TI - MRI-based intravenous thrombolysis in stroke patients with unknown time of
symptom onset.
AB - BACKGROUND: Currently, stroke patients with unknown time of symptom onset (UTOS)
are excluded from therapy with intravenous tissue Plasminogen Activator. We
hypothesized that MRI-based intravenous thrombolysis is safe in UTOS. METHODS: We
analyzed radiological and clinical data as well as outcomes of stroke patients
(including UTOS) who received intravenous thrombolytic therapy after MRI.
RESULTS: Compared to patients with known time of symptom onset (n=131), UTOS
(n=17) were older (81, 71-88 vs. 75 years, 66-82, P=0.03), had a longer median
time between last-seen-well and thrombolysis (12.3 h, IQR 11.5-15.2 h vs. 2.1 h,
1.8-2.8 h, P<0.01), had a longer median door-to-needle time (86 min, 49-112 vs.
60 min, 49-76, P=0.02), and a higher rate of arterial obstruction on MR
angiography (82.4% vs. 56.5%, P=0.04). No symptomatic intracerebral hemorrhage
occurred in UTOS. After 3 months, there was no significant difference between
groups concerning good functional outcome (modified Rankin Scale 0-2; 35.3% vs.
49.6%, P=0.26) or mortality (0% vs. 15.3%, P=0.08). In multivariate analyses
including age, gender, baseline NIHSS, and atrial fibrillation UTOS did not have
an independent effect on good functional outcome after 3 months (OR 1.16; 0.32
4.12, P=0.81). CONCLUSIONS: Thrombolysis after MRI seems safe and effective in
UTOS. This observation may encourage those who plan prospective placebo
controlled trials of thrombolytics in this subgroup of stroke patients.
PMID- 21895878
TI - The involvement of nitric oxide synthase neurons in enteric neuropathies.
AB - Nitric oxide (NO), produced by the neural nitric oxide synthase enzyme (nNOS) is
a transmitter of inhibitory neurons supplying the muscle of the gastrointestinal
tract. Transmission from these neurons is necessary for sphincter relaxation that
allows the passage of gut contents, and also for relaxation of muscle during
propulsive activity in the colon. There are deficiencies of transmission from NOS
neurons to the lower esophageal sphincter in esophageal achalasia, to the pyloric
sphincter in hypertrophic pyloric stenosis and to the internal anal sphincter in
colonic achalasia. Deficits in NOS neurons are observed in two disorders in which
colonic propulsion fails, Hirschsprung's disease and Chagas' disease. In
addition, damage to NOS neurons occurs when there is stress to cells, in
diabetes, resulting in gastroparesis, and following ischemia and reperfusion. A
number of factors may contribute to the propensity of NOS neurons to be involved
in enteric neuropathies. One of these is the failure of the neurons to maintain
Ca(2+) homeostasis. In neurons in general, stress can increase cytoplasmic
Ca(2+), causing a Ca(2+) toxicity. NOS neurons face the additional problem that
NOS is activated by Ca(2+). This is hypothesized to produce an excess of NO,
whose free radical properties can cause cell damage, which is exacerbated by
peroxynitrite formed when NO reacts with oxygen free radicals.
PMID- 21895877
TI - Persistent epithelial barrier alterations in a rat model of postinfectious gut
dysfunction.
AB - BACKGROUND: Mucosal mast cells (MMCs), epithelial barrier function (EBF) and the
enteric nervous system (ENS) are interactive factors in the pathophysiology of
functional gastrointestinal disorders. We characterized postinfectious EBF
alterations in the Trichinella spiralis infection model of MMC-dependent
intestinal dysfunction in rats. METHODS: Sprague-Dawley rats were infected with
T. spiralis. 30 +/- 2 days postinfection, jejunal EBF (electrophysiological
parameters, fluorescein isothiocyanate-dextran fluxes and responses to
secretagogues and MMC degranulators) was evaluated (Ussing chamber). In some
experiments, participation of secretomotor neurons was examined by tetrodotoxin
(TTX) pretreatment. Jejunal histology and MMC count and activity were also
assessed. KEY RESULTS: 30 +/- 2 days postinfection, when only a low grade
inflammation was observed, increased MMC number and activity were associated with
altered EBF. EBF alterations were characterized by increased mucosal permeability
and ion secretion. In T. spiralis-infected animals, secretory responses to
serotonin (5-HT) and immunoglobulin E (IgE)-dependent activation of MMCs were
reduced. In contrast, responses to substance P (SP) and capsaicin were similar in
infected and noninfected animals. Neuronal blockade with TTX altered secretory
responses to SP and capsaicin only in infected rats. CONCLUSIONS & INFERENCES:
Trichinella spiralis infection in rats, at late stages, results in persistent
postinfectious intestinal barrier dysfunctions and mucosal mastocytosis, with
other signs suggestive of a low grade inflammation. The altered permeability and
the TTX-independent hyporesponsiveness to 5-HT and IgE indicate epithelial
alterations. Changes in responses to SP and capsaicin after neuronal blockade
suggest an ENS remodeling during this phase. Similar long-lasting neuro
epithelial alterations might contribute to the pathophysiology of functional and
postinfectious gastrointestinal disorders.
PMID- 21895880
TI - Apathy in acute stroke patients.
AB - BACKGROUND AND PURPOSE: Apathy is a frequent disturbance in stroke patients. The
aim of this case-control study was to elucidate whether apathy: (i) was secondary
to stroke or related to hospitalization, (ii) was related to thalamic and
striatocapsular stroke lesions, (iii) was independent from cognitive impairment
and depression in the acute phase of stroke, (iv) was associated with clinical
and demographical variables and (v) was associated with a worse functional
outcome at discharge. METHODS: We assessed a sample of 94 consecutive patients
with an acute (<=4 days) stroke (22 intracerebral haemorrhages, 72 cerebral
infarcts), and a control group of 50 patients with acute coronary syndrome, with
the 10-item Apathy Evaluation Scale-Clinical. We related apathy with cognition
(MMSE), depression (Montgomery Asberg Depression Rating Scale) and with outcome
(modified Rankin Scale). RESULTS: Apathy was present in 36 (38.3%) acute stroke
patients but was also frequent in patients with acute coronary syndrome (24%).
Stroke patients were more inaccurate in understanding their problems than
patients with acute coronary syndrome (P=0.005). Logistic regression identified
cerebral haemorrhage (OR=3.5), low educational level (OR=4.7) and a trend of
right hemispherical lesion (OR=3.0) as independent predictors for apathy
(R(2)=32.3%). Cognitive impairment and depression were not associated to apathy.
Apathy was associated with a worse outcome (P=0.03). CONCLUSION: Apathy was
frequent in acute stroke patients, and it was predicted by acute intracerebral
haemorrhage and right hemispherical acute stroke lesion.
PMID- 21895882
TI - The role of intima-media-thickness, ankle-brachial-index and inflammatory
biochemical parameters for stroke risk prediction: a systematic review.
AB - BACKGROUND: Despite important advances in therapeutic approaches in stroke, the
options of acute treatment are still limited. Primary prevention represents
another potentially highly efficient strategy. For effective prevention the early
detection of subjects at risk is of utmost importance. Coinciding with a change
in current understanding of atherosclerosis as an inflammatory, cross-organ
disease, new parameters to assess the individual risk are emerging. METHODS:
Systematic review of the potential of selected parameters for prediction of
cerebrovascular events beyond detection of traditional risk factors that might
expand the repertoire of primary prevention programs in stroke. RESULTS: An
absolute carotid intima-media thickness difference of 0.1 mm increases the future
risk of stroke by 13-18%. An ankle-brachial index <0.9 was associated with a
relative risk of 2.33 (95% CI 2.02-2.68) for stroke. In patients with acute
stroke and ABI values < 0.9 the risk for a new vascular event is significantly
increased (HR 2.1; 95% CI 1.6-2.8). Measurements of several molecular biomarkers
may be used to predict future vascular events independently of traditional risk
factors. CONCLUSIONS: Based on the data presented, there is clear evidence that
measurement of the ankle-brachial index identifies subjects of increased stroke
risk in primary and secondary care settings as well as of stroke recurrence in
acute stroke.
PMID- 21895881
TI - Clinical and molecular genetic factors affecting postoperative seizure control of
183 Chinese adult patients with low-grade gliomas.
AB - BACKGROUND AND PURPOSE: Seizures are a common symptom of patients with primary
brain tumors, particularly low-grade gliomas (LGGs). Poor seizure control after
surgery has a great adverse impact on quality of life in these patients. The
present study aimed to identify clinical and molecular genetic factors that
influence postoperative seizure control. METHODS: A series of 183 LGGs were
analyzed by denaturing high-performance liquid chromatography (DHPLC) for 1p and
19q status and by immunohistochemical staining for expression of several
molecular markers (P53, Ki-67, MMP-9 and MGMT), with particular emphasis on
correlations with postoperative seizure control. Univariate and multivariate
logistic regression analyses were used for statistic analysis. RESULTS: Of the
183 patients, 134 (73.2%) patients presented with seizures. Most of
oligodendrogliomas and oligoastrocytomas had LOH 1p and LOH 19q, which were
rarely seen in combination in astrocytomas (P<0.001). Oligodendroglial tumors
were more likely to locate in frontal lobe (P=0.011) and present calcification on
MRI (P=0.024). Temporal location (P=0.014), and high expression of mutated P53
(P=0.011) were associated with astrocytomas. Patients achieved much better
seizure control after gross-total resection (P<0.001) than after subtotal
resection. Patients without LOH 19q were more likely to have poor seizure control
(P=0.004) than those with this alteration. Ki-67 was an independent molecular
marker predicting poor seizure control (P=0.016) if over expressed. CONCLUSIONS:
Gross total resection of the tumor, LOH 19q and low Ki-67 expression were
associated with favorable seizure control after surgery for the patients with
LGGs. The possible involvement of other factors should be investigated further.
PMID- 21895883
TI - Off-label intravenous thrombolysis in acute stroke.
AB - BACKGROUND AND PURPOSE: Therapy for stroke with intravenous tissue plasminogen
activator (IV-tPA) is hampered by tight licensing restrictions; some of them have
been discussed in recent literature. We assessed the safety and effectiveness of
off-label IV-tPA in the clinical settings. METHODS: Retrospective analysis of all
the patients treated with IV-tPA at our Stroke Unit. Patients were divided into
two groups by licence criteria [on-label group (OnLG), off-label group (OffLG)].
Primary outcome measures were symptomatic intracranial haemorrhages (sICH), major
systemic haemorrhages, modified Rankin scale (mRS) and mortality rate at 3months.
RESULTS: Five hundred and five patients were registered, 269 (53.2%) were
assigned to OnLG and 236 (46.9%) to OffLG. Inclusion criteria for the OffLG were
aged >80 years (129 patients), time from onset of symptoms to treatment over 3h
(111), prior oral anticoagulant treatment with International Normalised
Ratio<=1.7 (41), combination of previous stroke and diabetes mellitus (14),
surgery or severe trauma within 3months of stroke (13), National Institutes of
Health Stroke Scale score over 25 (11), intracranial tumours (5), systemic
diseases with risk of bleeding (7) and seizure at the onset of stroke (2). No
significant differences were identified between both groups regarding the
proportion of sICH (OnLG 2.2% vs. OffLG 1.6%, P=0.78) or the 3-month mortality
rate (11.1% vs. 19%: odds ratio (OR), 1.49; 95% CI, 0.86-2.55; P=0.14).
Multivariate analysis showed no significant differences in functional
independence at 3months between both groups (mRS <3 64.3% vs. 50.4%: OR mRS >2
1.7; 95% CI, 0.96-2.5; P=0.07). CONCLUSION: Intravenous thrombolysis may be safe
and efficacious beyond its current label restrictions.
PMID- 21895885
TI - Continuous monitoring versus HOLTER ECG for detection of atrial fibrillation in
patients with stroke.
AB - BACKGROUND AND PURPOSE: Detection of atrial fibrillation is of vital importance
because oral anticoagulation decreases the risk of a stroke by 64%. Current
standards for stroke unit treatment require continuous electrocardiogram (ECG)
monitoring for at least 24 h. Additionally, a 24-h HOLTER ECG (HOLTER) should be
performed in selected patients. It remains unclear whether continuous monitoring
at the bedside is equivalent to HOLTER for the detection of atrial fibrillation.
Furthermore, we investigate how many additional patients with paroxysmal atrial
fibrillation can be identified as a result of a longer duration of continuous
monitoring. METHODS: In this study, we prospectively compared the detection rates
of HOLTER and 24-h monitoring at the Stroke Unit at the University of Heidelberg
over a period of 9 months. Continuous monitoring was analyzed by trained nurses,
HOLTER by cardiologists. RESULTS: We included 370 patients with ischemic stroke
or transient ischemic attack (TIA) in our study. Of these, 192 patients underwent
HOLTER. Previously unknown atrial fibrillation was detected in 44 patients, 13
patients had no atrial fibrillation in baseline ECG, but atrial fibrillation was
detected by continuous monitoring. In two patients, the HOLTER showed atrial
fibrillation; both patients had also been detected by continuous monitoring.
Median time to detection of the atrial fibrillation during continuous monitoring
was 43 h after hospitalization. CONCLUSION: In this study, use of HOLTER does not
give any additional benefit in comparison with continuous monitoring with
intermittent analysis by trained staff alone. The median detection time of 43 h
emphasizes the importance of longer continuous monitoring.
PMID- 21895889
TI - Impaired vascular remodeling in the yolk sac of embryos deficient in ROCK-I and
ROCK-II.
AB - Rho-associated coiled-coil-forming protein serine/threonine kinase (ROCK)
consisting of two isoforms, ROCK-I and ROCK-II, functions downstream of the small
GTPase Rho for assembly of actomyosin bundles. To examine the role of ROCK
isoforms in vivo, we previously generated and examined mice deficient in each of
the two isoforms individually. Here, we further examined the in vivo role of ROCK
isoforms by generating mice deficient in both isoforms. Cross-mating of ROCK-I(+/
) ROCK-II(+/-) double heterozygous mice showed that all of the ROCK-I(-/-) ROCK
II(-/-) homozygous mice die in utero before 9.5 days post-coitum (dpc) and ROCK
I(-/-) ROCK-II(+/-) homo-heterozygous or ROCK-I(+/-) ROCK-II(-/-) hetero
homozygous mice die during a period from 9.5 to 12.5 dpc, whereas mice of other
genotypes survive until 12.5 dpc with the expected Mendelian ratio. All of the
ROCK-I(+/-) ROCK-II(-/-) or ROCK-I(-/-) ROCK-II(+/-) mice showed impaired body
turning and defective vascular remodeling in the yolk sac. Impairment of vascular
remodeling was also observed in wild-type embryos treated ex vivo with a ROCK
inhibitor, Y-27632. These results suggest that ROCK isoforms function redundantly
during embryogenesis and play a critical role in vascular development.
PMID- 21895887
TI - Parathyroid hormone and its effects on dental tissues.
AB - In the current era, various pharmacological agents exist for osteoporosis
management, and synthetic parathyroid hormone (PTH) (Teriparatide, Forteo) is one
of the treatment options. Depending on the timing of administration, PTH has a
unique ability to cause both bone apposition and bone resorption. This review
focuses on the effects of PTH on the bone, specifically the jaw bones mandible
and maxilla. The article briefly describes the fundamental mechanism of PTH
action at the molecular level, as well as in experimental animals and in humans.
It differentiates intermittent administration of PTH, especially at doses
tolerated by humans that increase bone strength and prevent bone fractures, from
continuous use that may lead to bone loss. In particular, it shows how
intermittent administration of PTH can play a significant role in periodontal
repair and implant success via stimulation of bone mineral content especially in
the pre-alveolar region.
PMID- 21895888
TI - Sodium-driven motor of the polar flagellum in marine bacteria Vibrio.
AB - The Na(+) -driven bacterial flagellar motor is a molecular machine powered by an
electrochemical potential gradient of sodium ions across the cytoplasmic
membrane. The marine bacterium Vibrio alginolyticus has a single polar flagellum
that enables it to swim in liquid. The flagellar motor contains a basal body and
a stator complexes, which are composed of several proteins. PomA, PomB, MotX, and
MotY are thought to be essential components of the stator that are required to
generate the torque of the rotation. Several mutations have been investigated to
understand the characteristics and function of the ion channel in the stator and
the mechanism of its assembly around the rotor to complete the motor. In this
review, we summarize recent results of the Na(+) -driven motor in the polar
flagellum of Vibrio.
PMID- 21895890
TI - A mutation in a mitochondrial dehydrogenase/reductase gene causes an increased
sensitivity to oxidative stress and mitochondrial defects in the nematode
Caenorhabditis elegans.
AB - rad-8 is an interesting mutant that shows increased sensitivities to UV radiation
and reactive oxygen species in the nematode Caenorhabditis elegans. In this
study, we have characterized rad-8 and have found that rad-8 showed several
phenotypes of mitochondrial dysfunction such as a decreased activity of the
respiratory chain, increased generation of superoxide anions, increased oxidative
damage, increased apoptosis, and abnormal mitochondrial structure. Our genetic
analysis has also indicated that rad-8 has a causative mutation in the F56H1.6
gene, which encodes a mitochondrial dehydrogenase/reductase. The functional role
of RAD-8 may be evolutionarily conserved because expression of the putative human
homologue RTN4IP/NIMP in rad-8 rescued the increased sensitivity to oxygen in rad
8. These results suggest that RAD-8 plays an important role in oxygen metabolism
in mitochondria in higher eukaryotes.
PMID- 21895886
TI - Deep sequencing of short RNAs reveals novel microRNAs in minor salivary glands of
patients with Sjogren's syndrome.
AB - OBJECTIVES: Sjogren's syndrome is a complex autoimmune disease of the salivary
gland with an unknown etiology, so a thorough characterization of the
transcriptome would facilitate our understanding of the disease. We use ultradeep
sequencing of small RNAs from patients with Sjogren's syndrome and healthy
volunteers, primarily to identify and discover novel miRNA sequences that may
play a role in the disease. METHODS: Total RNA was isolated from minor salivary
glands of healthy volunteers and patients with either high or low salivary flow
and sequenced on the SOLiD platform. Prediction of mature miRNAs from the
sequenced reads was carried out using miRanalyzer, and expression was validated
using Taqman qPCR assays. RESULTS: We validated the presence of six previously
unidentified miRNA sequences in patient samples and in several cell lines. One of
the validated novel miRNAs shows promise as a biomarker for salivary function.
CONCLUSION: Sequencing small RNAs in the salivary gland is largely unprecedented,
but here, we show the feasibility of discovering novel miRNAs and disease
biomarkers by sequencing the transcriptome.
PMID- 21895884
TI - Effect of rasagiline as adjunct therapy to levodopa on severity of OFF in
Parkinson's disease.
AB - BACKGROUND: The LARGO study demonstrated that rasagiline 1 mg/day as adjunct to
levodopa significantly reduces OFF time to the same magnitude as adjunct
entacapone. This substudy of LARGO aimed to assess the effect of rasagiline and
entacapone on the motor symptoms of PD during the practically defined OFF state.
METHODS: LARGO was a randomized, double-blind, multicenter trial that assessed
the efficacy and safety of rasagiline (1 mg/day), entacapone (200 mg with each
levodopa dose), and placebo in 687 levodopa-treated PD patients with motor
fluctuations. A substudy of LARGO measured UPDRS motor scores in the practically
defined OFF state in 32 rasagiline, 36 entacapone, and 37 placebo patients.
RESULTS: Treatment with rasagiline produced a significant improvement over
placebo of 5.64 units in UPDRS motor OFF score (P = 0.013 vs. placebo). By
contrast, the effect of adjunct entacapone was not significant (P = 0.14 vs.
placebo). Whereas rasagiline also showed a trend in reducing the UPDRS-ADL OFF
score (P = 0.058 vs. placebo), no such trend was noted for entacapone (P = 0.26
vs. placebo). Retrospective analysis, using the Bonferroni correction, of UPDRS
motor subdomains further revealed that rasagiline, but not entacapone,
significantly improved bradykinesia (P < 0.001) and showed trends for
improvements in facial expression, speech, and axial impairment during OFF time.
CONCLUSIONS: This study provides the first objectively measured evidence that
adjunct rasagiline 1 mg/day is effective in reducing the severity of motor
symptoms in the OFF state. This suggests a continuous effect of rasagiline 1
mg/day throughout the day and night and is consistent with its extended duration
of therapeutic action.
PMID- 21895891
TI - Roles of histone chaperone CIA/Asf1 in nascent DNA elongation during nucleosome
replication.
AB - The nucleosome, which is composed of DNA wrapped around a histone octamer, is a
fundamental unit of chromatin and is duplicated during the eukaryotic DNA
replication process. The evolutionarily conserved histone chaperone cell cycle
gene 1 (CCG1) interacting factor A/anti-silencing function 1 (CIA/Asf1) is
involved in histone transfer and nucleosome reassembly during DNA replication.
CIA/Asf1 has been reported to split the histone (H3-H4)(2) tetramer into histone
H3-H4 dimer(s) in vitro, raising a possibility that, in DNA replication, CIA/Asf1
is involved in nucleosome disassembly and the promotion of semi-conservative
histone H3-H4 dimer deposition onto each daughter strand in vivo. Despite
numerous studies on the functional roles of CIA/Asf1, its mechanistic role(s)
remains elusive because of lack of biochemical analyses. The biochemical studies
described here show that a V94R CIA/Asf1 mutant, which lacks histone (H3-H4)(2)
tetramer splitting activity, does not form efficiently a quaternary complex with
histones H3-H4 and the minichromosome maintenance 2 (Mcm2) subunit of the Mcm2-7
replicative DNA helicase. Interestingly, the mutant enhances nascent DNA strand
synthesis in a cell-free chromosomal DNA replication system using Xenopus egg
extracts. These results suggest that CIA/Asf1 in the CIA/Asf1-H3-H4-Mcm2 complex,
which is considered to be an intermediate in histone transfer during DNA
replication, negatively regulates the progression of the replication fork.
PMID- 21895893
TI - Inclusive health.
AB - We propose the concept of Inclusive Health to encapsulate the Health for All
ethos; to build on the rights-based approach to health; to promote the idea of
inclusion as a verb, where a more proactive approach to addressing distinctive
and different barriers to inclusion is needed; and to recognise that new
initiatives in human resources for health can offer exciting and innovative ways
of healthcare delivery. While Inclusive Education has become a widely recognised
and accepted concept, Health for All is still contested, and new thinking is
required to develop its agenda in line with contemporary developments. Inclusive
Health refers both to who gets health care and to who provides it; and its ethos
resonates strongly with Jefferson's assertion that 'there is nothing more
unequal, than the equal treatment of unequal people'. We situate the timeliness
of the Inclusive Health concept with reference to recent developments in the
recognition of the rights of people with disability, in the new guidelines for
community-based rehabilitation and in the World Report on Disability. These
developments offer a more inclusive approach to health and, more broadly, its
inter-connected aspects of wellbeing. A concept which more proactively integrates
United Nations conventions that recognise the importance of difference -
disability, ethnicity, gender, children - could be of benefit for global
healthcare policy and practice.
PMID- 21895894
TI - Structure-function relationships of the antibacterial activity of phenolic acids
and their metabolism by lactic acid bacteria.
AB - AIMS: To determine structure-function relationships of antibacterial phenolic
acids and their metabolites produced by lactic acid bacteria (LAB). METHODS AND
RESULTS: Minimum inhibitory concentrations (MICs) of 6 hydroxybenzoic and 6
hydroxycinnamic acids were determined with Lactobacillus plantarum, Lactobacillus
hammesii, Escherichia coli and Bacillus subtilis as indicator strains. The
antibacterial activity of phenolic acids increased at lower pH. A decreasing
number of hydroxyl groups enhanced the activity of hydroxybenzoic acids, but had
minor effects on hydroxycinnamic acids. Substitution of hydroxyl groups with
methoxy groups increased the activity of hydroxybenzoic, but not of
hydroxycinnamic, acid. Metabolism of chlorogenic, caffeic, p-coumaric, ferulic,
protocatechuic or p-hydroxybenzoic acids by L. plantarum, L. hammesii,
Lactobacillus fermentum and Lactobacillus reuteri was analysed by LC-DAD-MS.
Furthermore, MICs of substrates and metabolites were compared. Decarboxylated
and/or reduced metabolites of phenolic acids had a lower activity than the
substrates. Strain-specific metabolism of phenolic acids generally corresponded
to resistance. CONCLUSIONS: The influence of lipophilicity on the antibacterial
activity of hydroxybenzoic acids is stronger than that of hydroxycinnamic acids.
Metabolism of phenolic acids by LAB detoxifies phenolic acids. SIGNIFICANCE AND
IMPACT OF THE STUDY: Results allow the targeted selection of plant extracts for
food preservation, and selection of starter cultures for fermented products.
PMID- 21895895
TI - Effect of applying an arsenic-resistant and plant growth-promoting rhizobacterium
to enhance soil arsenic phytoremediation by Populus deltoides LH05-17.
AB - AIMS: Bioremediation of highly arsenic (As)-contaminated soil is difficult
because As is very toxic for plants and micro-organisms. The aim of this study
was to investigate soil arsenic removal effects using poplar in combination with
the inoculation of a plant growth-promoting rhizobacterium (PGPR). METHODS AND
RESULTS: A rhizobacterium D14 was isolated and identified within Agrobacterium
radiobacter. This strain was highly resistant to arsenic and produced indole
acetic acid and siderophore. Greenhouse pot bioremediation experiments were
performed for 5 months using poplar (Populus deltoides LH05-17) grown on As
amended soils, inoculated with strain D14. The results showed that P. deltoides
was an efficient arsenic accumulator; however, high As concentrations (150 and
300 mg kg(-1)) inhibited its growth. With the bacterial inoculation, in the 300
mg kg(-1) As-amended soils, 54% As in the soil was removed, which was higher than
the uninoculated treatments (43%), and As concentrations in roots, stems and
leaves were significantly increased by 229, 113 and 291%, respectively. In
addition, the As translocation ratio [(stems + leaves)/roots = 0.8] was
significantly higher than the uninoculated treatments (0.5). About 45% As was
translocated from roots to the above-ground tissues. The plant height and dry
weight of roots, stems and leaves were all enhanced; the contents of chlorophyll
and soluble sugar, and the activities of superoxide dismutase and catalase were
all increased; and the content of a toxic compound malondialdehyde was decreased.
CONCLUSIONS: The results indicated that the inoculation of strain D14 could
contribute to the increase in the As tolerance of P. deltoides, promotion of the
growth, increase in the uptake efficiency and enhancement of As translocation.
SIGNIFICANCE AND IMPACT OF THE STUDY: The use of P. deltoides in combination with
the inoculation of strain D14 provides a potential application for efficient soil
arsenic bioremediation.
PMID- 21895892
TI - Efficacy and safety of zinc supplementation for adults, children and pregnant
women with HIV infection: systematic review.
AB - OBJECTIVES: To determine the efficacy and safety of zinc supplementary in
children, adults and pregnant women with HIV infection. METHODS: We conducted a
comprehensive search in Medline, Embase, the Cochrane Library, CBM, VIP and CNKI.
Only randomized controlled trials conducted subsequent to the introduction of
zinc supplementation were included in this systematic review. Two reviewers
assessed and extracted data for analysis. RESULTS: Six trials with a total of
1009 participants were included. The findings in this review suggested a benefit
of zinc supplementation in reducing opportunistic infection for both adults and
children with HIV infection. In terms of increase in zinc level and CD4 counts,
however, only adults with HIV infection benefited. For other outcomes, such as
viral load, mortality, mother-to-child transmission of HIV and foetal outcomes,
zinc supplementation conferred no benefit over placebo. No adverse event related
to zinc supplementation was found in all the included trials. CONCLUSION: Based
on the current evidence, zinc supplementation seems to be beneficial in adult
patients with HIV infection in some aspects. More research is needed in children
and pregnant women. The influence of zinc dose, duration and usage of
antiretroviral medicine also requires further investigation.
PMID- 21895896
TI - Use of microwells to investigate the effect of quorum sensing on growth and
antigen production in Bacillus anthracis Sterne 34F2.
AB - AIM: The aim of this study was to investigate the role of quorum sensing in
Bacillus anthracis growth and toxin production. METHODS AND RESULTS: A microwell
plate culture method was developed to simulate the normal UK-licensed anthrax
vaccine production run. Once established, sterile supernatant additions from a
previous B. anthracis culture were made, and reductions in lag phase and early
stimulation of the anthrax toxin component protective antigen (PA) were monitored
using ELISA. The addition of the quorum-sensing inhibitor, fur-1, prolonged the
lag phase and impeded PA production. Spin filters of various sizes were used to
identify the molecular weight fraction of the sterile supernatant responsible for
the autoinducer effect. A weight fraction between 5 and 10 kDa was responsible
for the autoinducer effect; however, further identification using mass
spectroscopy proved inconclusive. CONCLUSIONS: Quorum sensing mediated by the
autoinducer two molecule plays a significant role in both B. anthracis growth and
toxin production. SIGNIFICANCE AND IMPACT OF THE STUDY: While genomic analysis
has eluded to the importance of LuxS and quorum sensing in anthrax, this is the
first analysis using a production strain of B. anthracis and a quorum-sensing
inhibitor to monitor the effect on growth and toxin production. This gives
insights into anthrax pathogenicity and vaccine manufacture.
PMID- 21895897
TI - Using temperature and time criteria to control the effectiveness of continuous
thermal sanitation of piggery effluent in terms of set microbial indicators.
AB - AIM: To determine the minimal conditions (temperature-time), necessary to achieve
set sanitation targets for selected microbial indicators during the continuous
thermal treatment of pig slurry. METHODS AND RESULTS: The effectiveness of
thermal treatment between 55 and 96 degrees C was studied using Escherichia coli,
enterococci, sulfite-reducing Clostridia (SRC), mesophilic culturable bacteria
(MCB), F+-specific and somatic phages. Identification of SRC and MCB was
performed using 16S rRNA gene analysis. Ten minutes at 70 degrees C or 1 h at 60
degrees C was sufficient to reduce the vegetative bacteria by 4-5 log(10), but it
had little effect on somatic phages nor on spore formers, dominated by
Clostridium sp. At 96 degrees C, somatic phages were still detected, but there
was a reduction of 3.1 log(10) for SRC and of 1.4 log(10) for MCB. At 96 degrees
C, Clostridium botulinum was identified among the thermotolerant MCB. CONCLUSION:
Only those hygienic risks relating to mesophilic vegetative bacteria can be
totally eliminated from pig slurry treated at 60 degrees C (60 min) or 70 degrees
C (<10 min). SIGNIFICANCE AND IMPACT OF THE STUDY: Hygiene standards based on the
removal of the indicators E. coli and enterococci can easily be met by treatment
as low as 60 degrees C (enabling, a low-cost treatment using heat recovery).
However, even at 96 degrees C, certain pathogens may persist.
PMID- 21895899
TI - Evaluation of an in vitro cell assay to select attenuated bacterial mutants of
Aeromonas hydrophila and Edwardsiella tarda to channel catfish.
AB - AIMS: To evaluate the feasibility of using an in vitro cell assay to select
attenuated bacterial mutants. METHODS AND RESULTS: Using catfish gill cells G1B,
the feasibility of using an in vitro assay instead of in vivo virulence assay
using live fish to select attenuated bacterial mutants was evaluated in this
study. Pearson correlation analysis between in vitro virulence to G1B cells and
in vivo virulence of Aeromonas hydrophila and Edwardsiella tarda revealed that
there was a significant correlation between the two (r = -0.768, P value = 3.7 *
10(-16)). CONCLUSIONS: The in vitro cell assay might be initially used to screen
large quantities of bacteria to select attenuated mutants of catfish pathogens.
SIGNIFICANCE AND IMPACT OF THE STUDY: The in vitro cell assay using catfish gill
cells to identify attenuated mutants of catfish pathogens will reduce cost
involved in the in vivo virulence assay that requires many fish and aquariums.
PMID- 21895898
TI - Cloning of exoinulinase gene from Penicillium janthinellum strain B01 and its
high-level expression in Pichia pastoris.
AB - AIMS: The aim of this study is to improve exoinulinase production by expression
of a cloned exoinulinase gene inuA1 (GenBank accession no. JF961344) from
Penicillium janthinellum strain B01 in Pichia pastoris. METHODS AND RESULTS: A
full-length cDNA of exoinulinase gene (inuA1) was cloned from P. janthinellum
strain B01 using RACE PCR. An open reading frame (ORF) of 2115 bp is interrupted
by a single intron of 67 bp. The fragment encodes a signal peptide with 20 amino
acids and a mature protein with 684 amino acids. The inuA1 was subcloned to the
pPICZalphaC expression vector and successfully over-expressed in Pichia pastoris
X-33. The highest activity of exoinlinase reached 272.8 U ml(-1) in the
fermentation liquid. It was c. 11-fold of that produced by wild-strain B01. A
large amount of fructose was identified after the hydrolysis of inulin with the
crude recombinant exoinulinase. The recombinant exoinulinase was purified and
characterized. The molecular weight of the purified recombinant exoinulianse was
100 kDa. The mass spectrometry result indicated that the purified protein was
indeed recombinant exoinulinase. The optimal pH and temperature of the purified
recombinant exoinulianse were 4.5 and 50 degrees C, respectively. CONCLUSIONS: An
exoinulinase gene of P. janthinellum strain B01 was cloned, sequenced and over
expressed successfully in P. pastoris. SIGNIFICANCE AND IMPACT OF THE STUDY: Only
a few genes have been cloned from P. janthinellum because its molecular biology
is poorly understood. In this study, we cloned and over-expressed inuA1 gene of
P. janthinellum in P. pastoris. This recombinant exoinulinase can be used to
hydrolyse inulin to produce fructose and facilitate the biofuel production from
inulin resources.
PMID- 21895900
TI - Mugged by reality.
PMID- 21895901
TI - Media coverage of cervical cancer and the HPV vaccine: implications for
geographic health inequities.
AB - OBJECTIVE: To describe the content of newspaper articles about cervical cancer
and the human papillomavirus (HPV) vaccine published in Appalachia and identify
potential differences in coverage as compared to the content of newspaper
articles published in non-Appalachia Ohio. BACKGROUND: Individuals rely on media
as an important source of health information. Inadequate coverage of health
issues may reinforce health inequities such as the elevated cervical cancer
incidence and mortality rates in Appalachia Ohio. METHODS: A content analysis was
conducted of all newspaper articles about cervical cancer and the HPV vaccine
published in Appalachia and non-Appalachia Ohio during 2006. FINDINGS: A total of
121 published newspaper articles (42 in Appalachia and 79 in non-Appalachia)
about cervical cancer and the HPV vaccine were identified. Articles published in
Appalachia Ohio were significantly less likely than articles published in non
Appalachia Ohio to provide information about the threat of cervical cancer and
the efficacy of the HPV vaccine. Specifically, few articles published in
Appalachia included information about the ability of the vaccine to prevent
cervical cancer, the cost of the vaccine and the availability of assistance
programmes for the un- and underinsured. CONCLUSIONS: Newspaper articles printed
in the Appalachia region lacked vital information that could help promote uptake
of the HPV vaccine. Health educators and healthcare providers should be aware
that women from underserved geographic regions like Appalachia may have greater
information needs regarding their risk of cervical cancer and the potential
benefits of the HPV vaccine as compared to the general patient population.
PMID- 21895902
TI - The Cochrane Library review titles that are important to users of health care, a
Cochrane Consumer Network project.
AB - BACKGROUND: The Cochrane Consumer Network is an internet-based community of
international users of health care contributing to the work of The Cochrane
Collaboration, whose mission is to inform healthcare decision making through
development of systematic reviews of best evidence on healthcare interventions.
OBJECTIVE: To prioritize existing review titles listed on The Cochrane Library
from a healthcare user perspective, with particular emphasis on patients, carers
and health consumers. DESIGN: An online survey was developed and after piloting
was made available internationally. The broad dissemination strategy targeted
Consumer Network members and Cochrane Review Group editorial staff to identify
champions who notified patient support groups and participated in snowballing.
The first part of the survey defined criteria that could be applied to review
titles and asked survey respondents to rank them. The second part asked
respondents to select a health area and prioritize review titles that were of
importance to them. Each health area corresponded to a Cochrane Review Group.
RESULTS AND DISCUSSION: Sufficient responses were obtained from 522 valid
responses to prioritize review topics in 19 health areas. A total of 321
respondents completed the titles assessment. The types of prioritized
interventions were determined by the health area. An important observation was
the emphasis on lifestyle and non-medication therapies in many of the included
health areas. The clearest exception to this broad observation was where acute
care is required such as antibiotics for acute respiratory tract and HIV
associated infections and for cardiac conditions. For some cancers, advanced
cancer interventions were prioritized. The most important criteria were for the
title to convey a clear meaning and the title conveyed that the review would have
an impact on health and well-being. The least important criteria were that the
topic was newsworthy or prioritized in the healthcare system. CONCLUSION: This
project was able to identify priority Cochrane review topics for users of health
care in 19 of the 50 areas of health care covered by The Cochrane Collaboration.
Reviews addressing lifestyle and non-medical interventions were strongly
represented in the prioritized review titles. These findings highlight the
importance of developing readable, informative lay summaries to support evidence
based decision making by healthcare users.
PMID- 21895904
TI - Monitoring and improving renal outcomes after heart transplantation.
PMID- 21895903
TI - Low bone mineral density and nutritional vitamin D deficiency in pediatric renal
transplant recipients: Assessment of risk factors and response to oral vitamin D
therapy.
AB - VitD deficiency and bone disease are common after Tx. Prevalence and risk factors
for low VitD and BMD and response to VitD therapy were investigated in pediatric
renal Tx recipients. 25-hydroxy VitD levels of 71 Tx were compared to 54 healthy
AA children. DXA of 44 Tx were compared to 47 AA controls. Of Tx, 59% were AA.
Majority (59.1%) of Tx were VitD deficient (23.9%) or insufficient (35.2%).
Prevalence of low VitD levels was double in AA (73.9%) vs. non-AA Tx (37.7%), (p
= 0.003). Low VitD among Tx was associated with AA ethnicity (p < 0.01), winter
(p < 0.05), older age (p < 0.05), males (p < 0.05) and time <6 months post Tx (p
< 0.05). Tx with low VitD were treated with oral ergocalciferol or
cholecalciferol (23 each); 13% treated with ergocalciferol vs. 82.6% treated with
cholecalciferol achieved repletion (p < 0.0001). Of 36 Tx with whole body DXA,
19.5% had BMD (z < -1) after height adjustment. AA Tx had 3.4-fold higher risk of
low BMD vs. controls (p < 0.05). Low VitD and BMD are prevalent in children after
renal Tx. Better repletion of VitD is achieved with cholecalciferol.
PMID- 21895906
TI - Evaluating the clinical efficacy of a primary care-focused, nurse-led,
consultation liaison model for perinatal mental health.
AB - In Australia, perinatal mental illness is common, although poorly identified and
treated. Improved perinatal mental health depends on service provision models
that reflect a focus on promotion, prevention, and early intervention, while
facilitating improved referral pathways between primary health and specialist
mental health services. In 2008, a contemporary community-based model of mental
health service provision was developed as an alternative to the pre-existing
hospital-based service model. The model is delivered primarily by mental health
nurses using a consultation liaison framework. It provides for specialist mental
health assessment and brief intervention in collaboration with the general
practitioner, who remains the primary health provider. It also aims to raise
community awareness and build capacity for the management of perinatal disorders
in the primary care sector. Evaluation of the clinical effectiveness of the
model, and the improvement in access for primary health providers and women, was
conducted at 2 years from its implementation. Clinical effectiveness was
evaluated by using comparative data from the Edinburgh Depression Scale and
Depression Anxiety and Stress Scale, and the results demonstrated clinical
efficacy. Improved attendance rates indicated that women preferred this community
based service model as an alternative to the pre-existing service model.
PMID- 21895905
TI - The second mini-transplant for unstable mixed chimerism within the first 100 days
after hematopoietic stem cell transplant in severe thalassemia.
AB - Allogeneic HSCT is the only curative treatment for severe thalassemia disease. MC
occurs in one-third of these patients within the first two months after HSCT;
this is a major risk factor of graft rejection, especially when RHCs are more
than 25%. There is still no consensus for the management of MC, especially in the
early phase of HSCT. The DLI has also been described in the treatment of MC
following HSCT for hemoglobinopathies, but its success is still not guaranteed.
The second HSCT has been an approach used in an attempt to cure patients who
reject their graft. Concern about toxicity of conditioning regimen, the second
HSCT is usually delayed for at least a year after the first HSCT. We would like
to demonstrate the successful use of the second mini-allogeneic HSCT in
hemoglobin E/beta-thalassemia with evidence of unstable MC in the first 100 days
after allogeneic HSCT to prevent further graft loss after allogeneic HSCT.
PMID- 21895907
TI - Bacterial sulfur cycling shapes microbial communities in surface sediments of an
ultramafic hydrothermal vent field.
AB - The ultramafic-hosted Logatchev hydrothermal field (LHF) is characterized by vent
fluids, which are enriched in dissolved hydrogen and methane compared with fluids
from basalt-hosted systems. Thick sediment layers in LHF are partly covered by
characteristic white mats. In this study, these sediments were investigated in
order to determine biogeochemical processes and key organisms relevant for
primary production. Temperature profiling at two mat-covered sites showed a
conductive heating of the sediments. Elemental sulfur was detected in the
overlying mat and metal-sulfides in the upper sediment layer. Microprofiles
revealed an intensive hydrogen sulfide flux from deeper sediment layers.
Fluorescence in situ hybridization showed that filamentous and vibrioid,
Arcobacter-related Epsilonproteobacteria dominated the overlying mats. This is in
contrast to sulfidic sediments in basalt-hosted fields where mats of similar
appearance are composed of large sulfur-oxidizing Gammaproteobacteria.
Epsilonproteobacteria (7-21%) and Deltaproteobacteria (20-21%) were highly
abundant in the surface sediment layer. The physiology of the closest cultivated
relatives, revealed by comparative 16S rRNA sequence analysis, was characterized
by the capability to metabolize sulfur components. High sulfate reduction rates
as well as sulfide depleted in (34)S further confirmed the importance of the
biogeochemical sulfur cycle. In contrast, methane was found to be of minor
relevance for microbial life in mat-covered surface sediments. Our data indicate
that in conductively heated surface sediments microbial sulfur cycling is the
driving force for bacterial biomass production although ultramafic-hosted systems
are characterized by fluids with high levels of dissolved methane and hydrogen.
PMID- 21895908
TI - Microbial diversity and stratification of South Pacific abyssal marine sediments.
AB - Abyssal marine sediments cover a large proportion of the ocean floor, but
linkages between their microbial community structure and redox stratification
have remained poorly constrained. This study compares the downcore gradients in
microbial community composition to porewater oxygen and nitrate concentration
profiles in an abyssal marine sediment column in the South Pacific Ocean.
Archaeal 16S rRNA clone libraries showed a stratified archaeal community that
changed from Marine Group I Archaea in the aerobic and nitrate-reducing upper
sediment column towards deeply branching, uncultured crenarchaeotal and
euryarchaeotal lineages in nitrate-depleted, anaerobic sediment horizons.
Bacterial 16S rRNA clone libraries revealed a similar shift on the phylum and
subphylum level within the bacteria, from a complex community of Alpha-, Gamma-
and Deltaproteobacteria, Actinobacteria and Gemmatimonadetes in oxic surface
sediments towards uncultured Chloroflexi and Planctomycetes in the anaerobic
sediment column. The distinct stratification of largely uncultured bacterial and
archaeal groups within the oxic and nitrate-reducing marine sediment column
provides initial constraints for their microbial habitat preferences.
PMID- 21895909
TI - Water column dynamics of Vibrio in relation to phytoplankton community
composition and environmental conditions in a tropical coastal area.
AB - Vibrio abundance generally displays seasonal patterns. In temperate coastal
areas, temperature and salinity influence Vibrio growth, whereas in tropical
areas this pattern is not obvious. The present study assessed the dynamics of
Vibrio in the Arabian Sea, 1-2 km off Mangalore on the south-west coast of India,
during temporally separated periods. The two sampling periods were signified by
oligotrophic conditions, and stable temperatures and salinity. Vibrio abundance
was estimated by culture-independent techniques in relation to phytoplankton
community composition and environmental variables. The results showed that the
Vibrio density during December 2007 was 10- to 100-fold higher compared with the
February-March 2008 period. High Vibrio abundance in December coincided with a
diatom-dominated phytoplankton assemblage. A partial least squares (PLS)
regression model indicated that diatom biomass was the primary predictor
variable. Low nutrient levels suggested high water column turnover rate, which
bacteria compensated for by using organic molecules leaking from phytoplankton.
The abundance of potential Vibrio predators was low during both sampling periods;
therefore it is suggested that resource supply from primary producers is more
important than top-down control by predators.
PMID- 21895910
TI - The effect of inorganic particle concentration on bacteria-virus-nanoflagellate
dynamics.
AB - The effect of inorganic particle concentrations on bacteria-virus-nanoflagellate
dynamics in an oligotrophic coastal system was investigated using a model
aluminosilicate, kaolinite, with a modal size of 2.1 um. Virus-only, bacteria
only and bacteria-virus-nanoflagellate incubations were carried out at increasing
kaolinite concentrations to elucidate the microbial response. The sorption of
bacteria and viruses to kaolinite particles was negligible over a concentration
range of 1-50 mg l(-1). In contrast, the abundance of heterotrophic
nanoflagellates was negatively correlated with kaolinite concentrations following
both 48 and 96 h incubations. Calculated nanoflagellate bacterial ingestion rates
were reduced by 5-35% depending on kaolinite particle concentration. In the
bacteria-virus-nanoflagellate incubations viral production increased by 56 *
10(3) to 104 * 10(3) VLPs ml(-1) h(-1) as a function of kaolinite particle
concentration. Our results demonstrate for the first time that the interaction of
microbial populations with inorganic particles can shift the balance between
protist and virally mediated mortality of marine heterotrophic prokaryotes.
PMID- 21895911
TI - Development of bioreporter assays for the detection of bioavailability of long
chain alkanes based on the marine bacterium Alcanivorax borkumensis strain SK2.
AB - Long-chain alkanes are a major component of crude oil and therefore potentially
good indicators of hydrocarbon spills. Here we present a set of new bacterial
bioreporters and assays that allow to detect long-chain alkanes. These reporters
are based on the regulatory protein AlkS and the alkB1 promoter from Alcanivorax
borkumensis SK2, a widespread alkane degrader in marine habitats. Escherichia
coli cells with the reporter construct reacted strongly to octane in short-term
(6 h) aqueous suspension assays but very slightly only to tetradecane, in line
with what is expected from its low water solubility. In contrast, long-term
assays (up to 5 days) with A. borkumensis bioreporters showed strong induction
with tetradecane and crude oil. Gel-immobilized A. borkumensis reporter cells
were used to demonstrate tetradecane and crude oil bioavailability at a distance
from a source. Alcanivorax borkumensis bioreporters induced fivefold more rapid
and more strongly when allowed physical contact with the oil phase in standing
flask assays, suggesting a major contribution of adhered cells to the overall
reporter signal. Using the flask assays we further demonstrated the effect of
oleophilic nutrients and biosurfactants on oil availability and degradation by A.
borkumensis. The fluorescence signal from flask assays could easily be captured
with a normal digital camera, making such tests feasible to be carried out on,
e.g. marine oil responder vessels in case of oil accidents.
PMID- 21895912
TI - Genomic content of uncultured Bacteroidetes from contrasting oceanic provinces in
the North Atlantic Ocean.
AB - Bacteroidetes are widespread in marine systems where they play a crucial role in
organic matter degradation. Whole genome analysis of several strains has revealed
a broad glycolytic and proteolytic potential. In this study, we used a targeted
metagenomic approach to investigate the degradation capabilities of distinct
Bacteroidetes clades from two contrasting regions of the North Atlantic Ocean,
the Polar Biome (BPLR) and the North Atlantic Subtropical (NAST). We present here
the analysis of 76 Bacteroidetes fosmids, of which 28 encode the 16S rRNA gene as
phylogenetic marker, and their comparison to complete Bacteroidetes genomes.
Almost all of the 16S rRNA harbouring fosmids belonged to clades that we
previously identified in BPLR and NAST. The majority of sequenced fosmids could
be assigned to Bacteroidetes affiliated with the class Flavobacteria. We also
present novel genomic information on the classes Cytophagia and Sphingobacteria,
suggesting a capability of the latter for attachment to algal surfaces. In our
fosmid set we identified a larger potential for polysaccharide degradation and
cell surface attachment in the phytoplankton-rich BPLR. Particularly, two
flavobacterial fosmids, one affiliated with the genus Polaribacter, showed a
whole armoury of enzymes that likely function in degradation of sulfated
polysaccharides known to be major constituents of phytoplankton cell walls. Genes
involved in protein and peptidoglycan degradation, although present in both
fosmid sets, seemed to have a slight preponderance in NAST. This study provides
support for the hypothesis of a distinct specialization among marine
Bacteroidetes for the degradation of certain types of polymers.
PMID- 21895913
TI - Single cysteine substitution in Bacillus thuringiensis Cry7Ba1 improves the
crystal solubility and produces toxicity to Plutella xylostella larvae.
AB - Many Bacillus thuringiensis isolates have no demonstrated toxicity against
insects. In this study, a novel holotype crystal protein gene cry7Ba1 was
isolated from a 'non-insecticidal'B. thuringiensis strain YBT-978. The Cry7Ba1
protein showed high toxicity against Plutella xylostella larvae after the
crystals were dissolved at pH 12.5, suggesting that the 'non-insecticidal'
properties of this protein were due to insolubility in the normal insect midgut
pH environment. After the C-terminal half of Cry7Ba1 was replaced by that of
Cry1Ac or Cry1C proteins, the recombinant protein inclusions could be dissolved
at pH 9.5, and exhibited high toxicity against P. xylostella larvae. This result
proved the insolubility of Cry7Ba1 crystal was determined by the structure of its
C-terminal half. Further, six mutations were constructed by substituting cysteine
residues with serine. Solubility studies showed that the crystals from mutants
C697S, C834S and C854S could be dissolved at lower pH (10.5, 9.5 and 11.5
respectively). Bioassays showed that crystals from mutant C834S were toxic to P.
xylostella larvae. Our discoveries suggest that a single cysteine residue located
in the C-terminal half of the protein determines the solubility and toxicity of
some nontoxic crystal proteins. This study provides a strategy to isolate novel
insecticidal crystal protein genes from 'non-insecticidal'B. thuringiensis
strains.
PMID- 21895914
TI - Detecting unknown sequences with DNA microarrays: explorative probe design
strategies.
AB - Designing environmental DNA microarrays that can be used to survey the extreme
diversity of microorganisms existing in nature, represents a stimulating
challenge in the field of molecular ecology. Indeed, recent efforts in
metagenomics have produced a substantial amount of sequence information from
various ecosystems, and will continue to accumulate large amounts of sequence
data given the qualitative and quantitative improvements in the next-generation
sequencing methods. It is now possible to take advantage of these data to develop
comprehensive microarrays by using explorative probe design strategies. Such
strategies anticipate genetic variations and thus are able to detect known and
unknown sequences in environmental samples. In this review, we provide a detailed
overview of the probe design strategies currently available to construct both
phylogenetic and functional DNA microarrays, with emphasis on those permitting
the selection of such explorative probes. Furthermore, exploration of complex
environments requires particular attention on probe sensitivity and specificity
criteria. Finally, these innovative probe design approaches require exploiting
newly available high-density microarray formats.
PMID- 21895915
TI - Rhodoferax-related pufM gene cluster dominates the aerobic anoxygenic
phototrophic communities in German freshwater lakes.
AB - The presence of aerobic anoxygenic phototrophs (AAPs) has been repeatedly
reported from various marine environments, but their distribution in freshwater
lakes was neglected until recently. We investigated the phylogenetic composition
of AAP communities in 10 lakes in Northeastern Germany with different trophic
status including oligotrophic Lake Stechlin and humic matter rich Lake Grosse
Fuchskuhle. The AAP community was composed by members of Alpha- and
Betaproteobacteria, but their contribution varied largely among the studied
lakes. Our results show that AAP community composition in the studied lakes was
affected mostly by pH and humic matter content. While alkaline lakes were mostly
composed of Betaproteobacteria, the acidic and humic matter rich south-west (SW)
basin of Lake Grosse Fuchskule was dominated (87%) by Alphaproteobacteria. The
most frequent group within Betaproteobacteria was a cluster of pufM genes which
was phylogenetically related to Rhodoferax representing 38.5% of all retrieved
sequences. Alphaproteobacteria-related sequences had a broader phylogenetic
diversity including six different taxa dominated by Sphingomonas- and Rhodobacter
like bacteria in lakes with alkaline to neutral pH. In the acidic and humic
matter-rich SW basin of Lake Grosse Fuchskuhle, however, Methylobacterium-related
sequences dominated the AAP community. We suggest that the variable AAP community
structure might reflect the potential of these bacteria to cope with the
contrasting conditions in freshwater environments.
PMID- 21895918
TI - High-resolution 2D and 3D cryo-TEM reveals structural adaptations of two stalk
forming bacteria to an Fe-oxidizing lifestyle.
AB - Aerobic neutrophilic Fe-oxidizing bacteria (FeOB) thrive where oxic and iron-rich
anoxic waters meet. Here, iron microbial mats are commonly developed by stalk
forming Fe-oxidizers adapted to these iron-rich gradient environments, somehow
avoiding iron encrustation. Few details are known about FeOB physiology; thus,
the bases of these adaptations, notably the mechanisms of interactions with iron,
are poorly understood. We examined two stalked FeOB: the marine
Zetaproteobacterium Mariprofundus ferrooxydans and a terrestrial
Betaproteobacterium Gallionella-like organism. We used cryo-transmission electron
microscopy and cryo-electron tomography to provide unprecedented ultrastructural
data on intact cell-mineral systems. Both FeOB localize iron mineral formation at
stalk extrusion sites, while avoiding surface and periplasmic mineralization. The
M. ferrooxydans cell surface is densely covered in fibrils while the terrestrial
FeOB surface is smooth, suggesting a difference in surface chemistry. Only the
terrestrial FeOB exhibited a putative chemotaxis apparatus, which may be due to
differences in chemotaxis mechanisms. Both FeOB have a single flagellum, which
alone is insufficient to account for cell motion during iron oxidation,
suggesting that stalk extrusion is a mechanism for motility. Our results
delineate the physical framework of iron transformations and characterize
possible structural adaptations to the iron-oxidizing lifestyle. This study shows
ultrastructural similarities and differences between two distinct FeOB, setting
the stage for further (e.g. genomic) comparisons that will help us understand
functional differences and evolutionary history.
PMID- 21895917
TI - Overlapping and unique contributions of two conserved polysaccharide loci in
governing distinct survival phenotypes in Vibrio vulnificus.
AB - As an aetiological agent of bacterial sepsis and wound infections, Vibrio
vulnificus is unique among the Vibrionacea. Its continued environmental
persistence and transmission are bolstered by its ability to colonize shellfish
and form biofilms on various marine biotic surfaces. We previously identified a
polysaccharide locus, brp, which contributes to the survival phenotypes of
biofilm formation, rugose colony formation and stress resistance. Here, we
describe a second polysaccharide locus, rbd (regulation of biofilm development),
which also enhanced biofilm formation when expressed. Despite this functional
overlap, the development of stress resistance and rugosity could be uniquely
attributed to brp expression, whereas rbd expression augmented aggregate
formation. Simultaneous expression of both loci led to the formation of a
dramatic pellicle and maximum biofilm formation. Unlike the brp locus,
transcription of the rbd locus was regulated not by c-di-GMP, but by a response
regulator (RbdG) that was encoded within the locus. We propose that the ability
to regulate the expression of polysaccharides with overlapping and unique
characteristics in response to different environmental cues enables V. vulnificus
to 'fine tune' its biofilm lifestyle to the prevailing environmental conditions
and maximally benefit from the characteristics associated with each
polysaccharide.
PMID- 21895919
TI - Alcohol dehydrogenase expression as a biomarker of denitrification activity in
activated sludge using methanol and glycerol as electron donors.
AB - Carbon sources such as methanol and glycerol are used for enhancing
denitrification at wastewater treatment plants, which are required to meet
increasingly stringent effluent nitrogen limits. Consequently, dosing strategies
for these compounds could benefit from the development and application of
molecular activity biomarkers to infer and distinguish between methanol- or
glycerol-based denitrification in activated sludge. In this study, the
applicability of genes coding for methanol dehydrogenase (mdh2 and mxaF) and
glycerol dehydrogenase (dhaD) as potential biomarkers of denitrification activity
using these specific substrates was explored and confirmed using a two-pronged
approach. First, during short-term spikes of activated sludge biomass with
glycerol, the ability of dhaD mRNA concentrations to closely track nitrate
depletion profiles was demonstrated. Second, a high-degree of correlation of the
mRNA concentrations of mdh2, mxaF and dhaD with methanol- and glycerol-based
denitrification kinetics during long-term bioreactor operation using these
substrates was also shown. Based on these results, expression of mdh2, mxaF and
dhaD genes are promising biomarkers of in situ denitrification activity on
methanol and glycerol, respectively, in mixed-culture engineered wastewater
treatment processes.
PMID- 21895916
TI - Accurate analysis of prevalence of coccidiosis in individually identified wild
cranes in inhabiting and migrating populations in Japan.
AB - Eimeria gruis and E. reichenowi cause coccidiosis, a major parasitic disease of
cranes. By non-invasive molecular approaches, we investigated the prevalence and
genetic characterization of pathogens in two Japanese crane habitats; one is
Hokkaido inhabited by the endangered red-crowned crane, and the other is Izumi in
Kyushu where populations that consist mainly of vulnerable hooded and white-naped
cranes migrate in winter. The non-invasively collected faecal samples from each
wintering population were first subjected to host genomic DNA-targeted analyses
to determine the sample origin and avoid sample redundancy. Extremely high
prevalence was observed in the Izumi populations (> 90%) compared with the
Hokkaido population (18-30%) by examining 470 specimens by microscopy and PCR
based capillary electrophoresis (PCR-CE), using genetic markers in the second
internal transcribed spacer (ITS2). Correspondence analysis of PCR-CE data
revealed differences in community composition of coccidia between hooded and
white-naped cranes. 18S rRNA and ITS2 sequences were determined from single
oocysts excreted by red-crowned and hooded cranes. Phylogenetic analysis of 18S
rRNA suggested that E. reichenowi was polyphyletic while E. gruis was
monophyletic. Together with PCR-CE data, these results indicate different host
specificity among the E. reichenowi type. Our data suggest that E. reichenowi
comprises multiple species.
PMID- 21895921
TI - The role of reprogramming in sacral nerve modulation for constipation.
PMID- 21895922
TI - Impact of early reoperation after resection for colorectal cancer on long-term
oncological outcomes.
AB - AIM: Whether reoperation in the postoperative period adversely affects oncologic
outcomes for colorectal cancer patients undergoing resection has not been well
characterized. The aim of this study was to determine whether long-term
oncological outcomes are affected for patients who undergo repeat surgery in the
early postoperative period. METHOD: From a prospective colorectal cancer
database, patients who underwent resection for colorectal cancer between 1982 and
2008 and were reoperated within 30 days after surgery (group A) were matched for
age (+/-5 years), gender, year of surgery (+/-2 years), American Society of
Anesthesiology score, tumor site (colon or rectum), cancer stage and
differentiation with patients who did not undergo reoperation (group B). The two
groups were compared for overall survival (OS), disease-free survival (DFS) and
local recurrence (LR). RESULTS: In total, 89 reoperated patients (45 rectal, 44
colon cancer) were matched to an equal number of non-reoperated patients.
Anterior resection (39.2%) and right hemicolectomy (19.1%) were predominant
primary operations. Indications for reoperation were anastomotic leak/abscess
(n=40, 45%), massive bleeding (n=15, 16.9%), bowel obstruction (n=11, 12.4%),
wound complications (n=9, 10.1%) and other indications (n=14, 15.6%). Group A had
significantly greater overall morbidity (100% vs 27%, P=0.001) and required more
blood transfusions (20.2% vs 7.9%, P=0.045). Adjuvant therapy use, on the other
hand, was more common in group B (23.6% vs 12.3%, P=0.1). The 5-year OS and DFS
were lower in the reoperated group (OS 55.3% vs 66.4%, P=0.02; DFS 50.8% vs
60.8%, P=0.06, respectively). Five-year LR was slightly lower in the reoperated
group (2.9% vs 6.3%, P=0.34). CONCLUSIONS: Compared with non-reoperated patients
matched for patient, tumour and operative characteristics, patients reoperated in
the early postoperative period have worse long-term oncological outcomes.
Adoption of strategies to reduce the risk of reoperation may be associated with
the additional advantage of improved oncological outcomes in addition to the
short-term advantages.
PMID- 21895923
TI - Intra-operative oxygen delivery in infusion volume-optimized patients undergoing
laparoscopic colorectal surgery within an enhanced recovery programme: the effect
of different analgesic modalities.
AB - AIM: Patients undergoing major open surgery who have an indexed oxygen delivery
(DO(2) I) > 600 ml/min/m(2) have been shown to have a lower incidence of
morbidity and mortality compared with those whose DO(2) I is below this level.
Laparoscopy and Trendelenburg positioning cause a reduction in DO(2) I. We aimed
to quantify the effect of the type of analgesia on DO(2) I and to correlate the
DO(2) I achieved with the incidence of anastomotic leakage in patients undergoing
laparoscopic surgery. METHOD: Following ethical approval, patients were
randomized to receive spinal anaesthesia (Group S), epidural analgesia (Group E)
or intravenous morphine (Group P) followed by postoperative patient-controlled
analgesia (PCA). In addition to standard monitoring, oesophageal Doppler
monitoring of the stroke volume allowed directed intravenous fluid therapy. The
mean DO(2) I was compared with the anastomotic leakage rate. RESULTS: Seventy
five patients were recruited (Group S, 27; Group E, 23; Group P, 25). The mean
(range) DO(2) I for all patients was 490 (230-750) ml/min/m(2) . The analgesic
modality had no effect on DO(2) I. Of the 18 patients with a DO(2) I of < 400
ml/min/m(2) , four (22%) developed anastomotic leakage compared with one (%) of
the 57 patients with a DO(2) I of > 400 ml/min/m(2) (P = 0.01). CONCLUSION: The
analgesic modality used had no effect on the DO(2) I achieved. Anastomotic
leakage was significantly higher in patients with a DO(2) I of < 400 ml/min/m(2)
. A further study assessing the outcome after raising the DO(2) I with inotropes
is required.
PMID- 21895924
TI - The ileo neo-rectal anastomosis in patients with familial adenomatous polyposis:
a prospective case series with long-term follow up.
AB - AIM: In patients with familial adenomatous polyposis (FAP), removal of the
colonic mucosa is essential to reduce the lifetime risk of developing cancer).
For this purpose, ileo-pouch anal anastomosis (IPAA) has been the gold standard,
but morbidity related to the dissection of the pelvis remains substantial. In an
attempt to reduce the procedure-related complications of pelvic dissection,
ileoneo-rectal anastomosis (INRA) has been developed. In this case series of FAP
patients, the long-term functional results, morbidity and quality of life (QoL)
of the INRA procedure were evaluated and compared with its early outcome. METHOD:
Long-term follow up of a consecutive group of eight FAP patients with an INRA
procedure (between 1998 and 2005) was undertaken. Data on functional results,
complications, manometry and endoscopy were recorded prospectively. RESULTS:
Eight patients with FAP underwent the INRA procedure. The median number of
defaecations over 24 h was five. No pelvic sepsis or bladder dysfunction
occurred. One patient, in whom concomitant Crohn's disease was diagnosed in
retrospect, was converted to IPAA. In the INRA patients, no sexual dysfunction
occurred. Endoscopic examination showed normal mucosa without any evidence of
polyp formation. CONCLUSION: Restorative surgery by means of the INRA procedure
yields good functional results in FAP patients, without any pelvic dissection
related morbidity or regrowth of polyps in the neo-rectum.
PMID- 21895920
TI - Efficacy and safety of mitiglinide versus nateglinide in newly diagnose patients
with type 2 diabetes mellitus: a randomized double blind trial.
AB - This study was performed to examine the efficacy and safety of mitiglinide in
type 2 diabetes patients (T2DM). Enrolled patients had received treatment with
diet and exercise in the previous 3 months with glycosylated haemoglobin (HbA1c)
7-10%, and were randomized to receive mitiglinide (n = 111, 5-20 mg/meal) or
nateglinide (n = 114,60-120 mg/meal) for 16 weeks. Primary and secondary efficacy
endpoints were assessed by the changes in HbA1c, fasting blood glucose (FBG) and
postprandial glucose (PBG) levels. The baseline HbA1c value was 8.2 and 8.3% in
both groups. At the end of study, the reduction of HbA1c values from baseline by
mitiglinide was slightly more than that by nateglinide (-1.11% vs. -0.76%), but
not statically significant (p = 0.06). Final FBG and PBG were comparable for the
two treatments. There were 2.8% subjects treated with nateglinide who had
hypoglycaemic episodes, but none in the mitiglinide treatment group. The results
indicate that mitiglinide and nateglinide had similar effects on FBG, PBG and
HbA1c in T2DM patients after the 16-week treatments.
PMID- 21895925
TI - Laparoscopic repair of primary perineal hernias: the approach of choice in the
21st century.
AB - Perineal hernias are rare and result from the herniation of a viscus through the
pelvic floor. Symptomatic perineal hernias are repaired surgically, historically
via an open perineal, abdominal or abdominoperineal approach. We describe
laparoscopic repair of a primary perineal hernia with mesh using the
transabdominal approach. We believe that for uncomplicated primary perineal
hernias laparoscopic repair is technically feasible, and associated with rapid
recovery and minimal complications.
PMID- 21895926
TI - Biological implants in sphincter augmentation offer a good short-term outcome
after a sphincter repair.
AB - AIM: Long-term results of the overlapping sphincter repair (OSR) have been
disappointing, attributed to poor tissue quality that deteriorates with time.
Biological grafts enforce tissues. The aim was to compare functional outcome and
quality of life at 1 year with and without Permacol reinforcement to evaluate
short-term benefit. METHOD: From November 2007 to November 2008, women undergoing
OSR using Permacol (group 1, n = 10) under institutional review board approval
(safety trial) were age matched with patients from an institutional review board
approved database (group 2, n = 10) who underwent the traditional OSR. Permacol
mesh was placed under the two overlapped muscles. Group 2 underwent traditional
repair. Preoperative and postoperative management of the groups was similar. The
Fecal Incontinence Severity Index (FISI), the Cleveland Clinic Incontinence Score
(CCFIS) and the Fecal Incontinence Quality of Life (FIQL) scale were used
preoperatively and 1 year post-surgery. RESULTS: No significant differences in
demographics, symptom duration, number of vaginal deliveries, comorbidities and
symptom severity were noted. Group 2 underwent concomitant procedures. Group 1
reported no complications. Group 2 reported urinary retention and dehiscence. A
significant difference was found in preoperative and postoperative FIQL subscales
of coping/behaviour between groups. However, comparing the pre and post scores,
significant improvements on FISI (P = 0.02), the CCFIS (P = 0.005) and two
subscales of FIQL (coping/behaviour, P = 0.02, and embarrassment, P = 0.01) were
found in group 1. Patient satisfaction was higher in group 1. CONCLUSION:
Biologic tissue enhancers (Permacol) do not add morbidity. Sphincter augmentation
results in significant improvement in continence and quality of life scores
compared with the preoperative scores in the short term over traditional repair.
Long-term studies are needed to determine if this effect is sustained.
PMID- 21895928
TI - Regenerative medicine in urology.
AB - The term 'regenerative medicine' encompasses strategies for restoring or renewing
tissue or organ function by: (i) in vivo tissue repair by in-growth of host cells
into an acellular natural or synthetic biomaterial, (ii) implantation of tissue
'engineered'in vitro by seeding cultured cells into a biomaterial scaffold, and
(iii) therapeutic cloning and stem cell-based tissue regeneration. In this
article, we review recent developments underpinning the emerging science of
regenerative medicine and critically assess where successful implementation of
novel regenerative medicine approaches into urology practice might genuinely
transform the quality of life of affected individuals. We advocate the need for
an evidence-based approach supported by strong science and clinical objectivity.
PMID- 21895929
TI - Tumour in solitary kidney: laparoscopic partial nephrectomy vs laparoscopic
cryoablation.
AB - OBJECTIVES: We compare perioperative, functional and intermediate-term
oncological outcomes of laparoscopic partial nephrectomy (LPN) vs laparoscopic
cryoablation (LCA) for small renal tumour in patients with a solitary kidney. A
treatment algorithm is also proposed. PATIENT AND METHODS: Over a 10-year period
(02/1998-09/2008), 78 patients with a small tumour in a functionally solitary
kidney underwent LPN (n = 48) or LCA (n = 30). Baseline, perioperative, and
follow-up data were collected prospectively and analyzed retrospectively.
RESULTS: Demographic data were similar between the LPN and LCA groups. Tumours
were somewhat larger (3.2 vs 2.6 cm) in the LPN group. LPN was associated with
greater blood loss (391 vs 162 mL; P = 0.003), and trended towards more post
operative complications (22.9% vs 6.7%; P = 0.07). By 3 months post-operative,
eGFR decreased by 14.5% and 7.3% after LPN and LCA, respectively (P = 0.02). Post
operative temporary dialysis was required after 3 LPN (6.2% vs 0%, P = 0.16).
Median follow-up time for LPN and LCA was 42.7 and 60.2 months, respectively.
Local recurrence was detected in 4 (13.3%) LCA patients only (P = 0.02). Overall
survival was comparable between LPN and LCA at 3 and 5 years, respectively (P =
0.74). The LPN group had superior cancer-specific and recurrence-free survival at
3 and 5 years compared to the LCA group (P < 0.05, for all comparisons).
CONCLUSIONS: Given adequate technical expertise, both LPN and LCA are viable
nephron-sparing options for patients with tumour in a solitary kidney. Although
LCA is technically easier and has superior functional outcomes, oncologic
outcomes are superior after LPN.
PMID- 21895927
TI - Cavernous smooth muscle hyperplasia in a rat model of hyperlipidaemia-associated
erectile dysfunction.
AB - What's known on the subject? and what does the study add? Increased cavernous
smooth muscle content has been repeatedly observed in rat models of
hyperlipidaemia - associated erectile dysfunction. This study shows that the
increased smooth muscle content is due to hyperplasia. OBJECTIVE: * To
investigate the structural changes, including possible smooth muscle hyperplasia,
in the penis of a hyperlipidaemia-associated erectile dysfunction (ED) animal
model. MATERIALS AND METHODS: * Hyperlipidaemia was induced in rats through a
high-fat diet. * Penile tissues of normal and hyperlipidaemic rats were stained
with Alexa-488-conjugated phalloidin and/or with antibodies against rat
endothelial cell antigen, neuronal nitric oxide synthase (nNOS), and collagen
type IV (Col-IV) before image and statistical analyses were carried out. * The
main outcome measures were the smooth muscle, endothelial, Col-IV and nNOS
content of the corpus cavernosum. RESULTS: * Phalloidin intensely stained all
smooth muscle in the penis, revealing the circular and longitudinal components of
cavernous smooth muscle (CSM). * The CSM content was significantly higher in the
hyperlipidaemic than in the normal rats (P < 0.05). * Cell numbers in both
circular and longitudinal CSM were significantly higher in the hyperlipidaemic
than in the normal rats (P < 0.05). * Cavernous endothelial content was
significantly lower in hyperlipidaemic than in normal rats (P < 0.05). * nNOS
positive nerves within the dorsal nerves, around the dorsal arteries, and in the
corpora cavernosa were all significantly lower in the hyperlipidaemic than in the
normal rats (P < 0.05). CONCLUSIONS: * Hyperlipidaemia is associated with reduced
nNOS-positive nerves, reduced endothelium, and increased CSM in the penis. * The
increased CSM is attributable to hyperplasia. * These structural changes may
explain why hyperlipidaemic men are more likely to develop ED.
PMID- 21895930
TI - Focal laser interstitial thermotherapy (LITT) at 980 nm for prostate cancer:
treatment feasibility in Dunning R3327-AT2 rat prostate tumour.
AB - OBJECTIVE: To examine the feasibility and reproducibility of laser interstitial
thermotherapy (LITT) as a minimally invasive method for the treatment of prostate
cancer. MATERIALS AND METHODS: Heterotopic tumours of prostatic adenocarcinoma
(Dunning R3327-AT2) were induced in 10 male Copenhagen rats. After preoperative
magnetic resonance imaging (MRI), a 10-mm cylindrical diffusing fibre developed
by our research department was inserted under ultrasonographic guidance into the
tumour. LITT was performed with a 980-nm diode laser (power 5 W) for 75 s
(fluence rate of 1145 J/cm(2)). Non-enhanced T2-weighted and dynamic gadolinium
enhanced T1-weighted MRI examinations were performed at baseline, 1 and 48 h
after the procedure and correlated with histological findings. RESULTS: The
necrosis lesions induced by LITT were visible on MRI. The mean (SD) ellipsoid
necrosis volumes were 0.748 (0.075) mL at 1 h and 0.982 (0.052) mL at 48 h after
the LITT procedure, and significantly different (P < 0.001). Histological
analysis showed a strong correlation (r = 0.87) with the mean necrosis volume
obtained by MRI at 48 h after LITT. CONCLUSIONS: In a prostatic adenocarcinoma
model, 980-nm LITT induces reproducible necrosis volumes. Further
characterization of the response to LITT in an animal model and in human tissues
will be important in establishing the efficacy of the procedure for prostate
cancer focal therapy.
PMID- 21895932
TI - The effect of surgical intervention for stress urinary incontinence (UI) on post
prostatectomy UI during sexual activity.
AB - OBJECTIVE: To determine the impact of anti-incontinence surgical procedures
(artificial urinary sphincter [AUS] or male sling) on bother due to urinary
incontinence (UI) during sexual activity after radical prostatectomy (RP).
PATIENTS AND METHODS: Between October 2000 and December 2007, 27 men in a large
single-surgeon RP series underwent anti-incontinence surgery with an AUS or male
sling. In all, 16 of these 27 men completed a questionnaire retrospectively
capturing bother attributable to UI during arousal and orgasm and the impact of
the AUS/male sling on UI and sexual quality of life (QoL). RESULTS: In all, 15
men were evaluable. Of these, 11 were sexually active; four and seven men
underwent AUS and sling placement, respectively. All 11 men had a marked
improvement in stress UI symptoms, which was the primary indication for surgery.
All men undergoing AUS had an improvement in their sexual QoL, and most (three of
four men) indicated marked improvement. Slightly more than half of men undergoing
the sling procedure reported marked improvement in sexual QoL. CONCLUSIONS: Our
study shows a beneficial effect of anti-incontinence surgery on UI during sexual
activity. Whether these surgical approaches would benefit men with significant
bother due to UI limited to sexual activity warrants further investigation.
PMID- 21895933
TI - Automatic evaluation of ultrasonography-estimated bladder weight and bladder wall
thickness in community-dwelling men with presumably normal bladder function.
AB - OBJECTIVE: To identify measurements of ultrasonography (US)-derived bladder wall
thickness (BWT) and bladder weight in community-dwelling men with presumably
normal bladder function. SUBJECTS AND METHODS: A total of 100 male volunteers
underwent transabdominal US measurements of BWT and bladder weight, using the BVM
9500 bladder scanner (Verathon Medical, Bothell, WA, USA), at a variety of
bladder filling volumes. The data were explored for any correlation between
measurements of BWT and US-estimated bladder weight (UEBW) with subject age,
height, weight, body mass index (BMI), International Consultation on Incontinence
Questionnaire-Male Lower Urinary Tract Symptoms (ICIQ M-LUTS) score,
International Prostate Symptom Score (IPSS) and IPSS Quality of Life index (IPSS
QoL). RESULTS: Several statistically significant but weak correlations were
observed: BWT and weight (r = 0.216, P = 0.032); BWT and BMI (r = 0.246, P =
0.014); UEBW and weight (r = 0.304, P = 0.002); and UEBW and BMI (r = 0.260, P =
0.009). Bladder filling volume appeared to have a greater effect on BWT than on
UEBW, although this could not be determined accurately. There was a substantial
difference in measurements of BWT and UEBW in the assessment of inter- and intra
observer reliability testing. CONCLUSION: Further studies are required to
validate automated measurements of BWT and UEBW and to investigate such
measurements in the symptomatic and asymptomatic male population.
PMID- 21895934
TI - The impact of social networks and partnership status on treatment choice in men
with localized prostate cancer.
AB - OBJECTIVES: To determine whether martial status and social support impact
treatment choice. The decision to pursue radical prostatectomy for prostate
cancer is often influenced by factors outside the realm of tumour risk, such as a
man's support system at home. PATIENTS AND METHODS: We performed a retrospective
cohort study of 418 low-income men who were diagnosed with non-metastatic
prostate cancer and underwent definitive treatment with either radical
prostatectomy or radiotherapy. We performed univariate and multivariate mixed
effects logistic regression analysis, with the dependent variable being treatment
type. Confidence intervals (CIs) for the predicted probabilities and relative
risks were derived using bias-corrected bootstrapping with 1000 repetitions.
RESULTS: Men with two or more members in their support system were more likely to
be older, Hispanic, have less than a high school education, earn more than US
$1500 monthly, have high-risk disease and be in a significant relationship. In
multivariate analysis, partnered men with fewer than two social support members
(relative risk, RR, 1.23; 95% CI, 1.02-1.63) were more likely to undergo surgery,
whereas men who were morbidly obese (RR, 0.46; 95% CI, 0.09-0.88), high school
graduates (RR, 0.80; 95% CI, 0.64-0.99) or had high-risk disease (RR, 0.58; 95%
CI, 0.44-0.85) were less likely to undergo surgery than their respective referent
groups. Partnered men with two or more social support members were no more likely
to undergo surgery than unpartnered men who lacked any social support.
CONCLUSIONS: In the present study cohort, married men with fewer than two members
in their social network were more likely to have undergone surgery. Although
marital status is often used as a proxy for social support, we find that the
quality of support and partner may impact treatment type more than the extent of
the social matrix.
PMID- 21895935
TI - Diagnosis of isolated high-grade prostatic intra-epithelial neoplasia: proposal
of a nomogram for the prediction of cancer detection at saturation re-biopsy.
AB - Study Type--Diagnostic (case series). Level of Evidence 4. What's known on the
subject? And what does the study add? Multifocality, age, PSA values, and biopsy
protocols regarding the predictive value of high grade PIN have been discussed
extensively in the literature. Our study developed for the first time a
predictive nomogram that could be helpful for patient counselling and to guide
the urologist to perform rPBX after an initial diagnosis of isolated HGPIN.
OBJECTIVE: * To evaluate factors that may predict prostate cancer (PCa) detection
after the initial diagnosis of high-grade prostatic intra-epithelial neoplasia
(HGPIN) on prostate biopsy (PBx) with six to 24 random cores. PATIENTS AND
METHODS: * We retrospectively evaluated 262 patients submitted from 1998 to 2007
to prostate re-biopsy (rPBx) after an initial HGPIN diagnosis in tertiary
academic centres. * HGPIN diagnosis was obtained on initial systematic PBx with
six to 24 random cores. * All patients were re-biopsied with a 'saturation' rPBx
with 20-26 cores, with a median time to rPBx of 12 months. * All slides were
reviewed by expert uropathologists. RESULTS: * Plurifocal HGPIN (pHGPIN) was
found in 115 patients and monofocal HGPIN (mHGPIN) was found in 147 patients. *
In total, 108 and 154 patients, respectively, were submitted to >12-core initial
PBx and <=12-core initial PBx. * Overall PCa detection at rPBx was 31.7%. PSA
level (7.7 vs 6.6 ng/mL; P= 0.031) and age (68 vs 64 years; P= 0.001) were
significantly higher in patients with PCa at rPBx. * PCa detection was
significantly higher in patients with a <=12-core initial PBx than in those with
a >12-core initial PBx (37.6% vs 23.1%; P= 0.01), as well as in patients with
pHGPIN than in those with mHGPIN (40% vs 25.1%; P= 0.013). * At multivariable
analysis, PSA level (P= 0.041; hazards ratio, HR, 1.08), age (P < 0.001; HR,
1.09), pHGPIN (P= 0.031; HR, 1.97) and <=12-core initial PBx (P= 0.012; HR, 1.95)
were independent predictors of PCa detection. * A nomogram including these four
variables achieved 72% accuracy for predicting PCa detection after an initial
HGPIN diagnosis. CONCLUSIONS: * PCa detection on saturation rPBx after an initial
diagnosis of HGPIN is significantly higher in patients with a <=12-core initial
PBx than those with a >12-core initial PBx and in patients with pHGPIN than in
those with mHGPIN. * We developed a simple prognostic tool for the prediction of
PCa detection in patients with initial HGPIN diagnosis who were undergoing
saturation rPBx.
PMID- 21895936
TI - Suberoylanilide hydroxamic acid (SAHA) combined with bortezomib inhibits renal
cancer growth by enhancing histone acetylation and protein ubiquitination
synergistically.
AB - OBJECTIVE: To investigate the combined effect of two clinically feasible drugs,
the proteasome inhibitor bortezomib and the histone deacetylase (HDAC) inhibitor
suberoylanilide hydroxamic acid (SAHA), on human renal cancer cells in vitro and
in vivo. MATERIALS AND METHODS: The effectiveness of the combination of
bortezomib (10-20 nm) and SAHA (1-5 um) on renal cancer cells (Caki-1, ACHN, A
498, 786-O, 769-P) was assessed by MTS assay, colony formation assay, cell cycle
analysis, and apoptosis assay. In vivo efficacy was evaluated using murine
subcutaneous (s.c.) tumour models. Protein ubiquitination, unfolded protein
response, histone acetylation, and changes in the expression of HDAC were
evaluated by western blotting. RESULTS: The combination of SAHA and bortezomib
induced apoptosis and inhibited cancer cell proliferation synergistically
(combination indices <1) and colony formation significantly (P < 0.05). In s.c.
tumour models a 10-day treatment with a combination of SAHA (50 mg/kg) and
bortezomib (60 ug/kg) inhibited tumour growth significantly (P < 0.05).
Mechanistically, SAHA combined with bortezomib enhanced protein ubiquitination
synergistically and enhanced histone acetylation by inhibiting the expression of
HDACs. CONCLUSION: SAHA combined with bortezomib inhibits the proliferation of
renal cancer cells in vitro and in vivo, and the effectiveness of the combination
is due to its synergistic enhancement of histone acetylation and protein
ubiquitination.
PMID- 21895938
TI - Is there a contemporary role for percutaneous needle biopsy in the era of small
renal masses?
AB - OBJECTIVE: To evaluate based on the best available data whether there is a
contemporary role for percutaneous needle biopsy in the era of small renal
masses. PATIENTS AND METHODS: SRMs are acknowledged to be tumours less than 4cm
and account for 48%-66% of new kidney cancers. Renal mass biopsy (RMB),
traditionally limited to specific clinical scenarios and with inherent diagnostic
accuracy problems has increased in popularity in recent years and is a potential
valuable tool in the assessment of SRMs. Our discussion focuses on these issues.
We performed a thorough Medline literature review using a combination of the
following keywords; small renal mass, renal biopsy, percutaneous renal biopsy,
renal mass biopsy and renal cell carcinoma. We identified the seminal articles
with data/information pertaining to renal mass biopsy in small renal masses.
RESULTS: The facts that 1) a significant number of SRMs are diagnosed in an
elderly patient cohort, 2) 20% of SRMs are benign on formal histology, 3) there
are various management strategies now available and 4) modern RMB has a
diagnostic accuracy >90% with few complications, are all reasons why there has
been renewed interest in RMB. CONCLUSION: There is a contemporary role for RMB
in the era of SRM as the incorporation of molecular profiling of tissue from RMB
would augment our ability to risk stratify SRMs on an individual patient basis
and adopt management accordingly. However, clinical judgement is paramount as
there remains an unpredictable non-negligible risk of disease progression and
metastasis whilst on surveillance.
PMID- 21895937
TI - Underestimation of Gleason score at prostate biopsy reflects sampling error in
lower volume tumours.
AB - OBJECTIVE: * To determine the influence of tumour and prostate gland volumes on
the underestimation of prostate cancer Gleason score in diagnostic core biopsies.
PATIENTS AND METHODS: * Patients undergoing radical prostatectomy with matched
diagnostic biopsies were identified from a prospectively recorded database. *
Tumour volumes were measured in serial whole-mount sections with image analysis
software as part of routine histological assessment. * Differences in various
metrics of tumour and prostate volume between upgraded tumours and tumours
concordant for the lower or higher grade were analysed. RESULTS: * In all, 684
consecutive patients with Gleason score 6 or 7 prostate cancer on diagnostic
biopsy were identified. * Of 298 patients diagnosed with Gleason 6 tumour on
biopsy, 201 (67.4%) were upgraded to Gleason 7 or higher on final pathology.
Similarly, of 262 patients diagnosed with Gleason 3 + 4 = 7 prostate cancer on
initial biopsy, 60 (22.9%) were upgraded to Gleason score 4 + 3 = 7 or higher. *
Tumours upgraded from Gleason 6 to 7 had a significantly lower index tumour
volume (1.73 vs 2 mL, P= 0.029), higher calculated prostate volume (41.6 vs 39
mL, P= 0.017) and lower relative percentage of tumour to benign glandular tissue
(4.3% vs 5.9%, P= 0.001) than tumours concordant for the higher grade. *
Similarly, tumours that were Gleason score 3 + 4 on biopsy and upgraded on final
pathology to 4 + 3 were significantly smaller as measured by both total tumour
volume (2.3 vs 3.3 mL, P= 0.005) and index tumour volume (2.2 vs 3, P= 0.027) and
occupied a smaller percentage of the gland volume (6.3% vs 8.9%, P= 0.017)
compared with tumours concordant for the higher grade. * On multivariate
analysis, lower prostate weight (hazard ratio 0.97, 95% confidence interval 0.96
0.99, P < 0.001) and larger total tumour volume (hazard ratio 1.87, 95%
confidence interval 1.4-2.6, P < 0.001) independently predicted an upgrade in
Gleason score from 6 to 7. In tumours upgraded from biopsy Gleason 3 + 4, only
higher index tumour volume (hazard ratio 3.1, 95% confidence interval 1.01-9.3,
P= 0.048) was a significant predictor of upgrading on multivariate analysis.
CONCLUSIONS: * Under-graded tumours are significantly smaller than tumours
concordant for the higher grade, indicating that incomplete tumour sampling plays
a significant role in Gleason score assignment error. * Surrogate measures of
tumour volume may predict those at greatest risk of Gleason score upgrade.
PMID- 21895939
TI - Comparison of intravesical hyaluronic acid instillation and hyperbaric oxygen in
the treatment of radiation-induced hemorrhagic cystitis.
AB - OBJECTIVE: * To compare the efficacy of intravesical hyaluronic acid (HA)
instillation and hyperbaric oxygen (HBO) in the treatment of radiation-induced
haemorrhagic cystitis (HC). PATIENTS AND METHODS: * In total 36 patients who
underwent radiotherapy for their pelvic malignancies and subsequently suffered
from HC were randomly divided into an HA group and an HBO group. * Symptoms of
haematuria, frequency of voiding and the visual analogue scale of pelvic pain
(range 0-10) were evaluated before and after the treatment with follow-up of 18
months. RESULTS: * All patients completed this study and no obvious side effects
of intravesical HA were recorded. * The improvement rate showed no statistical
difference between the two groups at 6, 12 and 18 months after treatment. *
Decrease of frequency was significant in both groups 6 months after treatment,
but was only significant in the HA group 12 months after therapy. * The
improvement in the visual analogue scale remained significant in both groups for
18 months. CONCLUSIONS: * Intravesical instillation of HA was as effective in
treating radiation-induced HC as HBO. * It is well tolerated and resulted in a
sustained decrease of bladder bleeding, pelvic pain and frequency of voiding for
at least 12 months.
PMID- 21895940
TI - Open radical prostatectomy in the elderly: a case for concern?
AB - Study Type--Therapy (case series). Level of Evidence 4. What's known on the
subject? And what does the study add? Adverse outcomes after radical
prostatectomy are more often recorded in the elderly. In the USA, elderly
patients undergoing radical prostatectomy are treated at institutions where
suboptimal outcomes are recorded. OBJECTIVE: * To assess the rate of adverse
outcomes after open radical prostatectomy (ORP) in the elderly and to examine the
effect of annual hospital caseload (AHC) and academic institutional status on
adverse outcomes in these of patients. PATIENTS AND METHODS: * Within the Health
Care Utilization Project Nationwide Inpatient Sample, we focused on ORPs
performed between 1998 and 2007. Subsequently, we restricted to patients aged
>=75 years. * In both datasets, we examined transfusion rates, intra-operative
and postoperative complication rates, and in-hospital mortality rates. *
Stratification was performed according to AHC tertiles and academic status. *
Multivariable logistic regression analyses were fitted. RESULTS: * Of 115,554 ORP
patients, 2109 (1.8%) were aged >=75 years. * In multivariable analyses performed
in the entire cohort, elderly age increased homologous blood transfusion rates (P
< 0.001), intra-operative (P= 0.001) and postoperative (P < 0.001) complication
rates, and the mortality rate (P= 0.007). * Most elderly were treated at low or
intermediate AHC (68.5%) and non-academic centres (56.2%). * Within the elderly
cohort, intra-operative (2.9%) and postoperative (22.2%) complications tended to
be highest at low AHC institutions compared to institutions of intermediate (2.7%
and 17.4%) and high AHC (1.7% and 14.5%). Similarly, intra-operative (2.7% vs
2.1%) and postoperative complications (19.1% vs 13.9%) tended to be higher at non
academic than academic centres. * In multivariable analyses performed in the
elderly subgroup, low AHC predicted higher intra-operative complications and
higher homologous transfusions, whereas non-academic status predicted higher
postoperative complications. CONCLUSIONS: * Adverse outcomes are more often
recorded in the elderly. * Most elderly are treated at institutions where
suboptimal outcomes are recorded.
PMID- 21895941
TI - Automatic evaluation of ultrasonography-estimated bladder weight and bladder wall
thickness in community-dwelling men with presumably normal bladder function.
PMID- 21895942
TI - Characterization of CpSte11, a MAPKKK gene of Cryphonectria parasitica, and
initial evidence of its involvement in the pheromone response pathway.
AB - The gene CpSte11 of Cryphonectria parasitica, which encodes a yeast Ste11
homologue, was cloned and characterized. Gene replacement analysis revealed a
high frequency of CpSte11 null mutants. When compared with the wild-type parent
strain, CpSte11 null mutants showed no difference in terms of growth rate or
pigmentation. However, CpSte11 null mutants showed a marked decrease in both the
number and size of stromal pustules on chestnut twigs. The virulence test showed
that, in comparison with those of the wild-type and virus-infected hypovirulent
strains, CpSte11 null mutants produced necrotic areas of intermediate size.
Disruption of the CpSte11 gene also resulted in defects in female fertility. Down
regulation of transcripts for the mating pheromone precursor gene, Mf2/2, and
mating response transcription factors, such as cpst12 and pro1, was observed in
CpSte11 null mutants. The down-regulation of Mf2/2, cpst12 and pro1 was also
observed in the mutant phenotype of Cpmk2, a mating response Fus3-like mitogen
activated protein kinase (MAPK) gene, but not in the mutant of Cpmk1, a high
osmolarity glycerol Hog1-like MAPK gene. These results indicate that the cloned
CpSte11 gene is functionally involved in the mating response pathway and acts
through downstream targets, including Cpmk2, cpst12, pro1 and Mf2/2. However, the
characteristics of the CpSte11 null mutant were fully phenocopied only in the
cpst12 null mutant, but not in other studied null mutants of components of the
putative mating response pathway.
PMID- 21895943
TI - A protease activity-depleted environment for heterologous proteins migrating
towards the leaf cell apoplast.
AB - Recombinant proteins face major constraints along the plant cell secretory
pathway, including proteolytic processing compromising their structural
integrity. Here, we demonstrate the potential of protease inhibitors as in situ
stabilizing agents for recombinant proteins migrating towards the leaf apoplast.
Genomic data for Arabidopsis, rice and Nicotiana spp. were assessed to determine
the relative incidence of protease families in the cell secretory pathway.
Transient expression assays with the model platform Nicotiana benthamiana were
then performed to test the efficiency of protease inhibitors in stabilizing
proteins targeted to the apoplast. Current genomic data suggest the occurrence of
proteases from several families along the secretory pathway, including A1 and A22
Asp proteases; C1A and C13 Cys proteases; and S1, S8 and S10 Ser proteases. In
vitro protease assays confirmed the presence of various proteases in N.
benthamiana leaves, notably pointing to the deposition of A1- and S1-type
activities preferentially in the apoplast. Accordingly, transient expression and
secretion of the A1/S1 protease inhibitor, tomato cathepsin D inhibitor (SlCDI),
negatively altered A1 and S1 protease activities in this cell compartment, while
increasing the leaf apoplast protein content by ~45% and improving the
accumulation of a murine diagnostic antibody, C5-1, co-secreted in the apoplast.
SlCYS9, an inhibitor of C1A and C13 Cys proteases, had no impact on the apoplast
proteases and protein content, but stabilized C5-1 in planta, presumably upstream
in the secretory pathway. These data confirm, overall, the potential of protease
inhibitors for the in situ protection of recombinant proteins along the plant
cell secretory pathway.
PMID- 21895944
TI - Resistance to Wheat streak mosaic virus generated by expression of an artificial
polycistronic microRNA in wheat.
AB - Wheat streak mosaic virus (WSMV) is a persistent threat to wheat production,
necessitating novel approaches for protection. We developed an artificial miRNA
strategy against WSMV, incorporating five amiRNAs within one polycistronic amiRNA
precursor. Using miRNA sequence and folding rules, we chose five amiRNAs
targeting conserved regions of WSMV but avoiding off-targets in wheat. These
replaced the natural miRNA in each of five arms of the polycistronic rice miR395,
producing amiRNA precursor, FanGuard (FGmiR395), which was transformed into wheat
behind a constitutive promoter. Splinted ligation detected all five amiRNAs being
processed in transgenic leaves. Resistance was assessed over two generations.
Three types of response were observed in T(1) plants of different transgenic
families: completely immune; initially resistant with resistance breaking down
over time; and initially susceptible followed by plant recovery. Deep sequencing
of small RNAs from inoculated leaves allowed the virus sequence to be assembled
from an immune transgenic, susceptible transgenic, and susceptible non-transgenic
plant; the amiRNA targets were fully conserved in all three isolates, indicating
virus replication on some transgenics was not a result of mutational escape by
the virus. For resistant families, the resistance segregated with the transgene.
Analysis in the T(2) generation confirmed the inheritance of immunity and gave
further insights into the other phenotypes. Stable resistant lines developed no
symptoms and no virus by ELISA; this resistance was classified as immunity when
extracts failed to transmit from inoculated leaves to test plants. This study
demonstrates the utility of a polycistronic amiRNA strategy in wheat against
WSMV.
PMID- 21895945
TI - Enhanced seed viability and lipid compositional changes during natural ageing by
suppressing phospholipase Dalpha in soybean seed.
AB - Changes in phospholipid composition and consequent loss of membrane integrity are
correlated with loss of seed viability. Furthermore, phospholipid compositional
changes affect the composition of the triacylglycerols (TAG), i.e. the storage
lipids. Phospholipase D (PLD) catalyses the hydrolysis of phospholipids to
phosphatidic acid, and PLDalpha is an abundant PLD isoform. Although wild-type
(WT) seeds stored for 33 months were non-viable, 30%-50% of PLDalpha-knockdown
(PLD-KD) soybean seeds stored for 33 months germinated. WT and PLD-KD seeds
increased in lysophospholipid levels and in TAG fatty acid unsaturation during
ageing, but the levels of lysophospholipids increased more in WT than in PLD-KD
seeds. The loss of viability of WT seeds was correlated with alterations in
ultrastructure, including detachment of the plasma membrane from the cell wall
complex and disorganization of oil bodies. The data demonstrate that, during
natural ageing, PLDalpha affects the soybean phospholipid profile and the TAG
profile. Suppression of PLD activity in soybean seed has potential for improving
seed quality during long-term storage.
PMID- 21895946
TI - Field performance of transgenic sugarcane expressing isomaltulose synthase.
AB - Transgenic sugarcane plants expressing a vacuole-targeted isomaltulose (IM)
synthase in seven recipient genotypes (elite cultivars) were evaluated over 3
years at a field site typical of commercial cane growing conditions in the
Burdekin district of Australia. IM concentration typically increased with
internode maturity and comprised up to 217 mm (33% of total sugars) in whole-cane
juice. There was generally a comparable decrease in sucrose concentration, with
no overall decrease in total sugars. Sugarcane is vegetatively propagated from
stem cuttings known as setts. Culture-derived plants were slower to establish and
generally gave shorter and thinner stalks at harvest than those grown from field
sourced setts in the initial field generations. However, after several cycles of
field propagation, selections were obtained with cane yields similar to the
recipient genotypes. There was no apparent adverse effect of IM accumulation on
vigour assessed by stalk height and diameter or other visual indicators including
germination of setts and establishment of stools. There was some inconsistency in
IM levels in juice, between samplings of the vegetatively propagated transgenic
lines. Until the causes are resolved, it is prudent to selectively propagate from
stalks with higher IM levels in the initial vegetative field generations.
Pol/Brix ratio allowed rapid identification of lines with high IM levels, using
common sugar industry instruments. Sucrose isomerase activity was low in these
transgenic lines, and the results indicate strong potential to develop sugarcane
for commercial-scale production of IM if higher activity can be engineered in
appropriate developmental patterns.
PMID- 21895947
TI - The effect of an antenatal physical exercise programme on maternal/perinatal
outcomes and quality of life in overweight and obese pregnant women: a randomised
clinical trial.
AB - OBJECTIVE: To evaluate the effectiveness and safety of physical exercise in terms
of maternal/perinatal outcomes and the perception of quality of life (QoL) in
pregnant obese and overweight women. DESIGN: A randomised controlled clinical
trial. SETTING: The Prenatal Outpatient Clinic of the Women's Integral Healthcare
Centre (CAISM-UNICAMP) at the University of Campinas, Campinas, Brazil.
POPULATION: Eighty-two pregnant women (age >= 18 years; pre-gestational body mass
index >= 26 kg/m(2) ; gestational age 14-24 weeks). METHODS: Women were
randomised into two groups: women in one group exercised under supervision and
received home exercise counselling (the 'study group'; n = 40) and women in the
other group followed the routine prenatal care programme (the 'control group'; n
= 42). MAIN OUTCOME MEASURES: Primary outcomes were gestational weight gain
during the programme and excessive maternal weight gain. Secondary outcomes were
increased arterial blood pressure, perinatal outcomes and QoL (WHOQOL-BREF).
RESULTS: In the study group, 47% of pregnant women had weight gains above the
recommended limit, compared with 57% of women in the control group (P = 0.43).
There was no difference in gestational weight gain between the groups. Overweight
pregnant women who exercised gained less weight during the entire pregnancy (10.0
+/- 1.7 kg versus 16.4 +/- 3.9 kg, respectively; P = 0.001) and after entry into
the study (5.9 +/- 4.3 kg versus 11.9 +/- 1.5 kg, respectively; P = 0.021)
compared with women in the control group. Arterial blood pressure was similar
between the groups over time. There was no difference in perinatal outcome or
QoL. CONCLUSIONS: The exercise programme was not associated with control of
gestational weight gain in our sample as a whole, but was beneficial for lower
gestational weight gain in overweight women. Exercise was not associated with
adverse perinatal outcomes and did not affect variation in arterial blood
pressure or the perception of QoL.
PMID- 21895951
TI - Trends in obstetric practices and meconium aspiration syndrome: a population
based study.
AB - OBJECTIVE: To determine trends in the incidence of meconium aspiration syndrome
(MAS), and maternal factors and obstetric practices associated with any decline.
DESIGN: Population-based cohort study. SETTING: New South Wales (NSW), Australia.
POPULATION: All 877 037 liveborn, singleton, term infants (>= 37 weeks of
gestation) in the period 1997-2007. METHODS: Data were obtained from birth
records linked to the neonatal hospital discharge records. The birth data
provided information on maternal and obstetric factors, whereas the outcome of
interest, MAS, was obtained from hospital data on the neonates. Multivariable
logistic regression was used to estimate the risk of MAS while simultaneously
adjusting for the explanatory variables. MAIN OUTCOME MEASURES: The incidence of
MAS per 1000 births, and odds ratios and 95% confidence intervals for maternal
and obstetric factors for the development of MAS. RESULTS: The incidence of MAS
declined significantly by 11.3% per annum (95% CI 10.1-12.6; P < 0.001) from 4.1
per 1000 births in 1997 to 1.3 per 1000 births in 2007. This was associated with
a statistically significant decline in risk factors: maternal smoking (from 20 to
12%), gestational age (from 57 to 47% >= 40 weeks of gestation), delivery at
small hospitals (from 15 to 9%) and infants with birthweight below the third
percentile (from 3.3 to 2.4%). There were simultaneous statistically significant
increases in practices that reduce the risk of MAS: labour inductions (from 22 to
27%) and birth by caesarean section, both elective, prior to 40 weeks of
gestation (from 7.3 to 13.8%), and emergency (from 3.0 to 5.3% prior to 40 weeks
of gestation, and from 5.1 to 6.7% at 40 weeks of gestation or later).
CONCLUSIONS: The rate of MAS is declining, and this decline is associated with a
reduction in maternal and pregnancy risk factors, and an increase in protective
obstetric practices.
PMID- 21895948
TI - Regeneration of cervix after excisional treatment for cervical intraepithelial
neoplasia: a study of collagen distribution.
AB - OBJECTIVE: To study the distribution of collagen in the regenerated cervical
tissue after excisional treatment for cervical intraepithelial neoplasia (CIN).
DESIGN: Cohort study. SETTING: A large tertiary teaching hospital in London.
POPULATION: Women who underwent repeat excisional treatment for treatment failure
or persistent CIN. METHODS: Eligible women who underwent a repeat excisional
treatment for treatment failure, including hysterectomy, between January 2002 and
December 2007 in our colposcopy unit were identified by the Infoflex((r))
database and SNOMED encoded histopathology database. Collagen expression was
assessed using picro-Sirius red stain and the intensity of staining was compared
in paired specimens from the first and second treatments. MAIN OUTCOME MEASURE:
Differences in collagen expression were examined in the paired excisional
treatment specimens. RESULTS: A total of 17 women were included. Increased
collagen expression in the regenerated cervical tissue of the second cone
compared with the first cone was noted in six women, decreased expression was
noted in five women, and the pattern of collagen distribution was equivocal in
six women. CONCLUSION: There is no overall change in collagen distribution during
regeneration following excisional treatment for CIN.
PMID- 21895949
TI - Perioperative outcomes using LigaSure compared with conventional bipolar
instruments in laparoscopic hysterectomy: a randomised controlled trial.
AB - OBJECTIVE: To compare the effects of LigaSure versus the conventional bipolar
technique on operating time and blood loss during laparoscopic hysterectomy.
DESIGN: A randomised controlled trial. SETTING: Three teaching hospitals.
POPULATION: Women undergoing a laparoscopic hysterectomy for benign indications.
METHODS: 140 women undergoing a laparoscopic hysterectomy were randomised for
LigaSure or conventional bipolar instruments. MAIN OUTCOME MEASURES: Primary
outcome was operating time from initial skin incision till detachment of the
uterus. Secondary outcome measures were total operating time (from initial skin
incision till final skin closure), time to dissect the adnexal ligaments, intra
operative blood loss and subjective evaluation by the surgeon of the instrument
used. RESULTS: No differences in operating time (from initial skin incision till
uterine detachment and initial skin incision till final skin closure) using
LigaSure versus conventional bipolar instruments: 97.6 versus 91.8 minutes (P =
0.39, 95% CI - 7.6 to 19.2), and 148.1 versus 142.1 minutes (P = 0.46, 95% CI -
10.1 to 22.3), respectively. The mean blood loss using LigaSure versus
conventional bipolar was 234.1 versus 273.1 ml (P = 0.46, 95% CI -39.1 to 52.7).
Various subjective efficacy and instrument handling parameters were significantly
different between the two instruments and between the different participating
centres. CONCLUSIONS There were no significant differences in operating time and
blood loss between the use of LigaSure and the use of conventional bipolar
instruments during laparoscopic hysterectomy, even after correction for potential
confounders. User satisfaction parameters were assessed as significantly
different by surgeons of the participating centres.
PMID- 21895950
TI - Multidisciplinary convalescence recommendations after gynaecological surgery: a
modified Delphi method among experts.
AB - OBJECTIVE: To generate structured detailed uniform convalescence recommendations
after gynaecological surgery by a modified Delphi method amongst experts and a
representative group of physicians. DESIGN: Modified Delphi study. SETTING:
Expert physicians recruited by their respective medical boards and employed at
different hospitals, doctor's surgeries and healthcare services. POPULATION:
Twelve experts (five gynaecologists, two general practitioners [GPs] and five
occupational physicians [OPs]) and a representative sample of 63 medical doctors.
METHODS: Multidisciplinary detailed recommendations for graded resumption of
relevant activities after uncomplicated hysterectomy (laparoscopic supracervical,
total laparoscopic/laparoscopic-assisted, vaginal and abdominal hysterectomies)
and laparoscopic adnexal surgery were developed. Recommendations were based on a
literature review and a modified Delphi procedure among 12 experts, recruited in
collaboration with the participating medical boards of gynaecologists, GPs and
OPs. MAIN OUTCOME MEASURES: A multidisciplinary consensus of at least 67% on the
relevant detailed convalescence recommendations in relation to hysterectomy and
laparoscopic adnexal surgery. RESULTS: Out of initially 65 activities, the expert
panel judged 38 activities relevant for convalescence recommendations. Consensus
for all activities was achieved after four Delphi rounds and two group
discussions. The recommendations were judged as feasible by a representative
sample of 26 gynaecologists, 19 GPs and 18 OPs. CONCLUSIONS: Consensus between
gynaecologists, GPs and OPs was achieved on all relevant convalescence
recommendations regarding hysterectomy (abdominal, vaginal and laparoscopic) and
laparoscopic adnexal surgery.
PMID- 21895952
TI - A pro forma and review process for the assessment of standards of care in
stillbirths.
AB - Stillbirth rates do not address deficiencies in care. We collected information on
stillbirths from 2004 to 2009 using a standardised pro forma. A local panel used
the pro forma to grade the level of care received by the Confidential Enquiry
into Stillbirths and Deaths in Infancy (CESDI) categorisation. Comparison using
kappa scores showed agreement between local and external multidisciplinary panels
of similar referral patterns (n = 47, kappa = 0.7), and that the categorisation
was unaffected by the individual who fills out the pro forma (n = 17, kappa =
0.5). There was less agreement between the local panel and adverse event review
(n = 100, kappa = 0.45). This report represents a validation of the pro forma and
the review process for standard use in all units.
PMID- 21895953
TI - Age at menarche and pelvic girdle syndrome in pregnancy: a population study of 74
973 women.
AB - OBJECTIVE: To study the association of age at menarche with presence of pelvic
girdle syndrome in pregnancy. DESIGN: Population study. SETTING: Norway 1999
2007. POPULATION: A total of 74 973 pregnant women in the Norwegian Mother and
Child Cohort Study. METHODS: Data were obtained by self-administered
questionnaires in weeks 17 and 30 of gestation. MAIN OUTCOME MEASURE: Pelvic
girdle syndrome in pregnancy at 30 weeks of gestation, defined as pain in the
pubic symphysis in the anterior pelvis and in both sacroiliac joints in the
posterior pelvis. RESULTS: The prevalence of pelvic girdle syndrome was 20.6%
(328/1593) in women with menarche before the age of 11, it was 16.3% (3200/22
044) in women with menarche at the age of 12 and 12.7% (1252/9859) in women with
menarche after the age of 14 (chi-square test for trend, P < 0.001). The inverse
association of age at menarche with pelvic girdle syndrome remained after
adjustment for body mass index, maternal age, parity, educational level, previous
low back pain, emotional distress and physically demanding work. Women with early
menarche and high body mass index had the highest prevalence of pelvic girdle
syndrome, but the association of early menarche with pelvic girdle syndrome was
similar in women with and without high body mass index. CONCLUSIONS: Early
menarche was associated with increased prevalence of pelvic girdle syndrome in
pregnancy. Factors associated with early menarche may play a role in the
development of pelvic girdle pain.
PMID- 21895954
TI - To what extent are national guidelines for the management of urinary incontinence
in women adhered? Data from a national audit.
AB - OBJECTIVE To assess the degree of adherence to the current National Institute
for Health and Clinical Excellence (NICE) guidelines on the management of urinary
incontinence (UI) in women. DESIGN: Retrospective survey of consecutive female
inpatients and outpatients with UI as part of a national audit. SETTING: NHS
hospital and primary care (PC) trusts. POPULATION OR SAMPLE: Twenty-five women
<65 years old and 25 women >= 65 years old from each participating site. METHOD:
All NHS trusts in England, Wales and Northern Ireland were eligible to
participate. A web-based data collection form aligned to the NICE guidelines was
constructed for the study. All data submitted to the audit were anonymous and
access to the web-tool was password-protected for confidentiality. RESULTS: Data
were returned by 128 acute and 75 PC trusts on 7846 women. No diagnosis was
documented in 6.8% (153/2254) of younger and 28% (571/2011) of older women in
hospitals (P < 0.001), and by 8.6% (123/1435) of younger and 21% (380/1786) of
older women in PC trusts. In hospitals, 26% (396/1524) of younger women and 15%
(182/1231) of older women (P < 0.001) and in PC trusts 8.2% (77/934) of younger
and 4.7% (46/975) of older women underwent multichannel cystometry before
conservative therapy. Documentation of discussion of causes and treatment of UI
occurred in 76% (1717/2254) of younger and 44% (884/2011) of older women in
hospitals (P < 0.001) and in 75% (1080/1435) of younger and 53% (948/1786) of
older women in PC trusts (P < 0.001). CONCLUSION Older women are less likely to
receive NICE compliant management. Adherence varies according to recommendation.
There needs to be concentration on evidence-based community provision of care by
competent and interested clinicians before the aims of the NICE guidelines are
met.
PMID- 21895955
TI - Delayed blastocyst development does not influence the outcome of frozen-thawed
transfer cycles.
AB - OBJECTIVE: To compare the outcome of transfer of thawed blastocysts frozen on
either day 5 or day 6 after in vitro fertilisation. DESIGN: Cohort observational
study. SETTING: Tertiary assisted conception unit in London, UK. POPULATION: Six
hundred and forty-two consecutive nondonor programmed thawed blastocyst transfer
(TBT) cycles. METHODS: High-grade blastocysts were frozen on day 5 (n = 314) or
day 6 (n = 328) after fertilisation using a slow-freezing protocol. Endometrial
preparation was performed using estradiol valerate. Progesterone supplementation
was commenced when the endometrial thickness had reached 7 mm or more. Frozen
blastocysts were thawed on day 6 of progesterone supplementation and assessed
immediately after thawing for survival, and after 3-4 hours for blastocoele re
expansion. Main outcome measures Thawed blastocyst survival and re-expansion
rates, and pregnancy and live birth rates, per TBT. RESULTS: Thawed blastocyst
survival and re-expansion rates were comparable between the day 5 and day 6
groups (87% versus 87%, P = 0.50 and 73% versus 71%, P = 0.35, respectively). The
live birth rate was similar between the two groups (29% versus 28.5%, P = 0.93,
respectively). After adjusting for confounding variables, the odds ratio (OR) of
a live birth in cycles in which the thawed blastocysts were frozen on day 6
compared with day 5 was 1.23 [95% confidence interval (CI), 0.81-1.86, P = 0.34].
CONCLUSION: The pregnancy potential of high-grade blastocysts frozen on day 5 and
day 6 after in vitro fertilisation and replaced in programmed TBT cycles is
comparable.
PMID- 21895957
TI - The natural history of pregnancy: diseases of early and late gestation.
AB - OBJECTIVE: To identify the disease processes underlying the increasing rate of
gestational age-specific perinatal mortality observed under the fetuses-at-risk
model. DESIGN: Retrospective cohort study. SETTING: USA and Nova Scotia, Canada.
POPULATION: Births in the USA (1995 and 2005) and Nova Scotia, Canada (1988
2007). METHODS: Incidence rates of perinatal death and serious neonatal morbidity
were calculated using the fetuses-at-risk approach (e.g. cumulative incidence of
stillbirth during any gestational week per 1000 fetuses at risk of stillbirth).
MAIN OUTCOME MEASURES: Perinatal mortality and serious neonatal morbidity.
RESULTS: Perinatal mortality rates increased with advancing gestation. Rates of
bronchopulmonary dysplasia, intraventricular haemorrhage, periventricular
leucomalacia and retinopathy of prematurity were highest in early gestation,
whereas rates of meconium aspiration syndrome and aspiration pneumonitis were
highest at late term and post-term gestation. Respiratory depression (i.e. delay
in initiating and maintaining respiration after birth, low 5-minute Apgar score
or seizures caused by neonatal encephalopathy) showed an increase from 34 weeks
onwards. The increase in perinatal mortality rates at late gestation was
congruent with increases in respiratory depression. Other findings included a
high incidence of respiratory distress syndrome at late gestation, a nonspecific
pattern in the gestational age-specific rates of necrotising enterocolitis and
high rates of sudden infant death syndrome at late gestation. CONCLUSIONS: The
natural history of pregnancy is characterised by diseases of early and late
gestation, with the latter largely determining patterns of gestational age
specific perinatal mortality. These findings have implications for obstetric
theory and provide insight into various contemporary phenomena, including the
rise in iatrogenic late preterm birth.
PMID- 21895956
TI - Can visual cervical screening be sustained in routine health services? Experience
from Mali, Africa.
AB - OBJECTIVE: To assess the feasibility of sustaining visual cervical screening and
treatment services in Mali, and to evaluate their performance and impact in
improving the provision of cervical cancer control, following an initial cross
sectional study. DESIGN: Descriptive study. SETTING: Bamako area, Mali.
POPULATION: Women aged 30-59 years. METHODS: Routine visual screening and
treatment services were organised through two hospitals and 14 health centres.
Patients with positive visual screening tests underwent colposcopy and/or
directed biopsies, and ablative or surgical excision treatment was offered to
those with cervical intraepithelial neoplasia (CIN). MAIN OUTCOME MEASURES: Test
positivity, detection and treatment rates for CIN and the sustainability of
screening services. RESULTS: Of the 14,141 women screened, 1682 (11.9%) were
positive and were referred for further investigations and treatment. Over 75% of
the screen-positive women underwent colposcopy and/or biopsy. CIN 1 was detected
in 383 women, CIN 2 in 88, CIN 3 in 37 and invasive cervical cancer in 497. More
than 80% of women with CIN and 35% of those with invasive cancer received
treatment. The test performance characteristics and treatment coverage of routine
screening were similar to those observed in the preceding cross-sectional study.
CONCLUSION: Visual screening and treatment services are sustainable and effective
in improving cervical cancer control provision by health services in Bamako,
Mali. It is essential to organise and sustain several point-of-care services in
order to extend cervical cancer prevention in low-income African countries.
PMID- 21895959
TI - What women want. Women's preferences for the management of low-grade abnormal
cervical screening tests: a systematic review.
AB - BACKGROUND: If human papillomavirus (HPV) testing will replace cytology in
primary cervical screening, the frequency of low-grade abnormal screening tests
will double. Several available alternatives for the follow-up of low-grade
abnormal screening tests have similar outcomes. In this situation, women's
preferences have been proposed as a guide for management decisions. OBJECTIVES:
To determine women's preferences for the follow-up of low-grade cervical
screening abnormalities. SEARCH STRATEGY: Using Medical Subject Headings (MeSH)
terms, PubMed was searched for articles published up to December 2010. The
reference lists of the retrieved studies were consulted. SELECTION CRITERIA:
Studies asking women to state a preference between active follow-up and
observation for the management of low-grade abnormalities on screening cytology
or HPV tests. DATA COLLECTION AND ANALYSIS: Information on study design,
participants and outcomes was retrieved using a prespecified form. Studies were
sorted by design. MAIN RESULTS: Thirteen studies were included in the review. In
all five studies that surveyed women with abnormal tests before any management
had started, two-thirds preferred active follow-up, predominantly as immediate
colposcopy, to observation, predominantly as repeated Pap smears. In all but two
studies testing other situations, women more often expressed a preference for
active follow-up than for observation; however, women appeared to be somewhat
more willing to accept observation if reassured of the low risk of cervical
cancer. CONCLUSIONS: Even for low-grade abnormal cervical tests, women tend to
prefer active management strategies. It may be a challenge to meet their
expectations of optimal follow-up when HPV testing is used in primary screening.
PMID- 21895958
TI - Intra-operative frozen section analysis for suspected early-stage ovarian cancer:
11 years of Gateshead Cancer Centre experience.
AB - OBJECTIVE: In centres in which intra-operative frozen section (FS) analysis is
not performed, 'apparent' early-stage ovarian cancer diagnosed after surgery on
paraffin section may require further restaging laparotomy or adjuvant
chemotherapy. Previous studies on FS analysis have reported high sensitivity,
specificity and overall accuracy. The objective of this article is to present the
largest published dataset on the accuracy of FS analysis over an 11-year period
from a single institution. DESIGN: Diagnostic test accuracy. SETTING: Northern
Gynaecological Oncology Centre and Department of Cellular Pathology, Gateshead,
UK. POPULATION: 1439 intra-operative FS analyses performed between January 2000
and December 2010 for suspected ovarian cancer. METHODS: Prospectively collected
data on FS analysis were compared with gold standard paraffin section. MAIN
OUTCOME MEASURES: Sensitivity, specificity, likelihood ratios and post-test
probability. RESULTS: The overall sensitivity and specificity of FS analysis were
91.2% and 98.6%, respectively. Positive and negative likelihood ratios were 64.7%
and 0.09%, respectively. The pre-test probability of an ovarian tumour being
borderline or malignant was 45.8%. When FS analysis was reported to be positive,
the post-test probability increased to 98% (confidence interval, 97-99%).
Conversely, when FS analysis was reported to be negative, the post-test
probability decreased to 7% (confidence interval, 6-9%). The majority of false
test results were either borderline tumours or of mucinous differentiation.
CONCLUSIONS: Intra-operative FS analysis has excellent diagnostic test accuracy
and assists gynaecological oncologists to perform the appropriate surgery in 95%
of cases, thereby preventing the morbidity of surgical staging in benign cases
and the morbidity of restaging procedures or chemotherapy in early-stage
malignant tumours.
PMID- 21895960
TI - Decline in betahCG levels between days 0 and 4 after a single dose of
methotrexate for ectopic pregnancy predicts treatment success: a retrospective
cohort study.
AB - In current protocols for the medical management of ectopic pregnancies, the first
indication of treatment response is obtained no sooner than day 7. We examined
whether human chorionic gonadotrophin (betahCG) trends between days 0 and 4 after
methotrexate provide an earlier indication of the likely outcome. Of 33 patients
where serum betahCG dropped between days 0 and 4 after methotrexate, the ectopic
pregnancy was resolved in 88% of cases without further treatment. Of 12 women
where serum betahCG rose between days 0 and 4, only 42% had treatment success. A
fall in betahCG between days 0 and 4 after treatment with methotrexate for
ectopic pregnancy predicts a high likelihood of treatment success.
PMID- 21895962
TI - Increased tissue factor pathway inhibitor activity is associated with myocardial
infarction in young women: results from the RATIO study.
AB - BACKGROUND: The tissue factor pathway inhibitor (TFPI)/protein S anticoagulant
system is a potent inhibitor of blood coagulation. TFPI and protein S are major
determinants of thrombin generation (TG) tests determined at low tissue factor
(TF) and at high TF concentrations in the presence of activated protein C (APC).
Both TFPI and protein S protect against venous thrombosis, but the importance of
the TFPI/protein S system in arterial thrombosis remains unclear. OBJECTIVES: To
investigate the influence of the TFPI/protein S anticoagulant system on the risk
of myocardial infarction (MI) in young women. METHODS: The RATIO study is a case
control study in women under 50 years of age, including 205 patients and 638
controls. TFPI and protein S were quantified using ELISA. The TFPI/protein S
activity (nTFPIr) and the APC sensitivity ratio (nAPCsr) were determined using TG
tests. Odds ratios (ORs) adjusted for putative confounders and corresponding 95%
confidence intervals (95% CI) were determined. RESULTS: Women with MI had higher
TFPI levels than controls (135.9 +/- 40% vs. 124.2 +/- 41%), resulting in
increased TFPI/protein S activities and increased APC sensitivity. Furthermore,
an increased TFPI activity was associated with MI [nTFPIr: adjusted OR Q1 vs. Q4
= 2.1 (95%CI 1.1-4.1)]. Additionally, an increased APC sensitivity was associated
with MI [nAPCsr: adjusted OR Q1 vs. Q4 = 1.7 (95% CI 0.9-3.2)] CONCLUSION: Women
with MI had increased TFPI levels compared with controls. Consequently, the
TFPI/protein S activity and APC sensitivity are increased in women with MI.
Whether this increase in TFPI activity acts as a compensating mechanism for an
increased procoagulant state or is a marker of endothelial damage remains to be
investigated.
PMID- 21895964
TI - Elevation of IGF-2 receptor and the possible underlying implications in end-stage
heart failure patients before and after heart transplantation.
AB - Up-regulation of insulin-like growth factor 2 receptor (IGF-2R) involved in
angiotensin II-induced cell apoptosis in cardiomyoblasts, and correlated with
cardiomyocyte apoptosis in hypertensive rat hearts. Here, we detected IGF-2R
levels and explored the possible underlying implications in end-stage heart
failure (HF) patients before and after heart transplantation. Western blot and
immunohistochemistry were used to measure cardiac IGF-2R levels. ELISA was used
to detect serum IGF-2R and CD8 levels. Labelling of DNA strand breaks and
dihydroethidium detection were used to determine cellular apoptosis and reactive
oxygen species, respectively. Cardiac IGF-2R levels increased in end-stage HF
patients (n = 11) compared with non-failing control subjects. Leu27-IGF-2, an IGF
2 analogue to activate specially the IGF-2R, could induce apoptosis and reactive
oxygen species production in neonatal rat ventricular myocytes. The serum IGF-2R
levels were significantly higher in HF patients than those in non-failing control
subjects. An unexpected observation is that the serum IGF-2R levels further
increased after heart transplantation, peaked at the first month, and gradually
reduced close to the levels before heart transplantation at the 6th months after
heart transplantation. Serum CD8, a marker of acute rejection, had no change
after heart transplantation, but IGF-2R and Granzyme B, as a ligand for the IGF
2R and a marker for CD8 T lymphocyte activation, coexisted in the transplanted
hearts. Our preliminary studies suggest that elevation of IGF-2R may participate
in pathological process of end-stage HF and involved in the acute cellular
rejection after heart transplantation.
PMID- 21895963
TI - PED/PEA-15 interacts with the 67 kD laminin receptor and regulates cell adhesion,
migration, proliferation and apoptosis.
AB - Phosphoprotein enriched in diabetes/phosphoprotein enriched in astrocytes-15 kD
(PED/PEA-15) is an anti-apoptotic protein whose expression is increased in
several human cancers. In addition to apoptosis, PED/PEA-15 is involved in the
regulation of other major cellular functions, including cell adhesion, migration,
proliferation and glucose metabolism. To further understand the functions of this
protein, we performed a yeast two-hybrid screening using PED/PEA-15 as a bait and
identified the 67 kD high-affinity laminin receptor (67LR) as an interacting
partner. 67 kD laminin receptor is a non-integrin cell-surface receptor for the
extracellular matrix (ECM), derived from the dimerization of a 37 kD cytosolic
precursor (37LRP). The 67LR is highly expressed in human cancers and widely
recognized as a molecular marker of metastatic aggressiveness. The molecular
interaction of PED/PEA-15 with 67LR was confirmed by pull-down experiments with
recombinant His-tagged 37LRP on lysates of PED/PEA-15 transfected HEK-293 cells.
Further, overexpressed or endogenous PED/PEA-15 was co-immunoprecipitated with
67LR in PED/PEA-15-transfected HEK-293 cells and in U-373 glioblastoma cells,
respectively. PED/PEA-15 overexpression significantly increased 67LR-mediated HEK
293 cell adhesion and migration to laminin that, in turn, determined PED/PEA-15
phosphorylation both in Ser-104 and Ser-116, thus enabling cell proliferation and
resistance to apoptosis. PED/PEA-15 ability to induce cell responses to ECM
derived signals through interaction with 67LR may be of crucial importance for
tumour cell survival in a poor microenvironment, thus favouring the metastatic
spread and colonization.
PMID- 21895965
TI - Immune response to human embryonic stem cell-derived cardiac progenitors and
adipose-derived stromal cells.
AB - Transplantation of allogeneic human embryonic stem cell-derived cardiac
progenitors triggers an immune response. We assessed whether this response could
be modulated by the concomitant use of adipose-derived stromal cells (ADSC).
Peripheral blood mononuclear cells were collected from 40 patients with coronary
artery disease (CAD) and nine healthy controls. Cardiac progenitors (CD15(+)
Mesp1(+)) were generated as already reported from the I6 cell line treated with
bone morphogenetic protein (BMP)-2. Adipose-derived stromal cells were obtained
from abdominal dermolipectomies. We assessed the proliferative response of
peripheral lymphocytes from patients and controls to cardiac progenitors cultured
on a monolayer of ADSC, to allogeneic lymphocytes in mixed lymphocyte culture and
to the T cell mitogen phytohemaglutin A in presence or absence of ADSC. Cardiac
progenitors cultured on a monolayer of ADSC triggered a proliferation of
lymphocytes from both patients and controls albeit lower than that induced by
allogeneic lymphocytes. When cultured alone, ADSC did not induce any
proliferation of allogeneic lymphocytes. When added to cultures of lymphocytes,
ADSC significantly inhibited the alloantigen or mitogen-induced proliferative
response. Compared to healthy controls, lymphocytes from patients presenting CAD
expressed a decreased proliferative capacity, in particular to mitogen-induced
stimulation. Adipose-derived stromal cells express an immunomodulatory effect
that limits both alloantigen and mitogen-induced lymphocyte responses.
Furthermore, lymphocytes from patients with CAD are low responders to
conventional stimuli, possibly because of their age and disease-associated
treatment regimens. We propose that, in combination, these factors may limit the
in vivo immunogenicity of cardiac progenitors co-implanted with ADSC in patients
with CAD.
PMID- 21895969
TI - Will mineral trioxide aggregate replace calcium hydroxide in treating pulpal and
periodontal healing complications subsequent to dental trauma? A review.
AB - Mineral trioxide aggregate (MTA) has over the last two decades begun to take the
place of calcium hydroxide (CH) in the treatment of a variety of pulpal and
periodontal healing complications following dental trauma. These conditions
include teeth with: (i) exposed pulps, (ii) immature roots and pulp necrosis,
(iii) root fractures and pulp necrosis located in the coronal part of the pulps,
and (iv) external infection-related (inflammatory) root resorption. The main
reasons for replacing CH with MTA in these situations have generally been the
delayed effect when using CH to induce hard tissues, the quality of such induced
hard tissues, and finally the dentin weakening effect of CH, which in some
instances lead to cervical root fractures in immature teeth. MTA appears, from a
relatively few clinical studies, to overcome these shortcomings of CH. The lack
of long-term clinical studies, however, may warrant a certain reservation in an
unrestricted replacement of CH with MTA. A definite need for randomized clinical
studies comparing CH and MTA in trauma healing situations is urgently needed.
PMID- 21895967
TI - Molecular mechanisms controlling CFTR gene expression in the airway.
AB - The low levels of CFTR gene expression and paucity of CFTR protein in human
airway epithelial cells are not easily reconciled with the pivotal role of the
lung in cystic fibrosis pathology. Previous data suggested that the regulatory
mechanisms controlling CFTR gene expression might be different in airway
epithelium in comparison to intestinal epithelium where CFTR mRNA and protein is
much more abundant. Here we examine chromatin structure and modification across
the CFTR locus in primary human tracheal (HTE) and bronchial (NHBE) epithelial
cells and airway cell lines including 16HBE14o- and Calu3. We identify regions of
open chromatin that appear selective for primary airway epithelial cells and show
that several of these are enriched for a histone modification (H3K4me1) that is
characteristic of enhancers. Consistent with these observations, three of these
sites encompass elements that have cooperative enhancer function in reporter gene
assays in 16HBE14o- cells. Finally, we use chromosome conformation capture (3C)
to examine the three-dimensional structure of nearly 800 kb of chromosome 7
encompassing CFTR and observe long-range interactions between the CFTR promoter
and regions far outside the locus in cell types that express high levels of CFTR.
PMID- 21895968
TI - Experimental acute myocardial infarction: telocytes involvement in neo
angiogenesis.
AB - We used rat experimental myocardial infarction to study the ultrastructural
recovery, especially neo-angiogenesis in the infarction border zone. We were
interested in the possible role(s) of telocytes (TCs), a novel type of
interstitial cell very recently discovered in myocardim (see
http://www.telocytes.com). Electron microscopy, immunocytochemistry and analysis
of several proangiogenic microRNAs provided evidence for TC involvement in neo
angiogenesis after myocardial infarction. Electron microscopy showed the close
spatial association of TCs with neoangiogenetic elements. Higher resolution
images provided the following information: (a) the intercellular space between
the abluminal face of endothelium and its surrounding TCs is frequently less than
50 nm; (b) TCs establish multiple direct nanocontacts with endothelial cells,
where the extracellular space seems obliterated; such nanocontacts have a length
of 0.4-1.5 MUm; (c) the absence of basal membrane on the abluminal face of
endothelial cell. Besides the physical contacts (either nanoscopic or
microscopic) TCs presumably contribute to neo-angiognesis via paracrine secretion
(as shown by immunocytochemistry for VEGF or NOS2). Last but not least, TCs
contain measurable quantities of angiogenic microRNAs (e.g. let-7e, 10a, 21, 27b,
100, 126-3p, 130a, 143, 155, 503). Taken together, the direct (physical) contact
of TCs with endothelial tubes, as well as the indirect (chemical) positive
influence within the 'angiogenic zones', suggests an important participation of
TCs in neo-angiogenesis during the late stage of myocardial infarction.
PMID- 21895966
TI - Regulatory T cell: a protection for tumour cells.
AB - Characterized by immunosuppression regulatory T cells (Tregs) play a key role in
maintaining immune tolerance. A growing number of tumours have been found with
Tregs accumulating in microenvironment and patients with high density of Tregs in
tumour stroma get a worse prognosis, which suggests that Tregs may inhibit anti
tumour immunity in stroma, resulting in a poor prognosis. In this paper, we
demonstrate the accumulation of Tregs in tumour stroma and the possible
suppressive mechanisms. We also state the immunotherapy that has being used in
animal and clinical trials.
PMID- 21895961
TI - Ablation of ghrelin receptor reduces adiposity and improves insulin sensitivity
during aging by regulating fat metabolism in white and brown adipose tissues.
AB - Aging is associated with increased adiposity in white adipose tissues and
impaired thermogenesis in brown adipose tissues; both contribute to increased
incidences of obesity and type 2 diabetes. Ghrelin is the only known circulating
orexigenic hormone that promotes adiposity. In this study, we show that ablation
of the ghrelin receptor (growth hormone secretagogue receptor, GHS-R) improves
insulin sensitivity during aging. Compared to wild-type (WT) mice, old Ghsr(-/-)
mice have reduced fat and preserve a healthier lipid profile. Old Ghsr(-/-) mice
also exhibit elevated energy expenditure and resting metabolic rate, yet have
similar food intake and locomotor activity. While GHS-R expression in white and
brown adipose tissues was below the detectable level in the young mice, GHS-R
expression was readily detectable in visceral white fat and interscapular brown
fat of the old mice. Gene expression profiles reveal that Ghsr ablation reduced
glucose/lipid uptake and lipogenesis in white adipose tissues but increased
thermogenic capacity in brown adipose tissues. Ghsr ablation prevents age
associated decline in thermogenic gene expression of uncoupling protein 1 (UCP1).
Cell culture studies in brown adipocytes further demonstrate that ghrelin
suppresses the expression of adipogenic and thermogenic genes, while GHS-R
antagonist abolishes ghrelin's effects and increases UCP1 expression. Hence, GHS
R plays an important role in thermogenic impairment during aging. Ghsr ablation
improves aging-associated obesity and insulin resistance by reducing adiposity
and increasing thermogenesis. Growth hormone secretagogue receptor antagonists
may be a new means of combating obesity by shifting the energy balance from
obesogenesis to thermogenesis.
PMID- 21895970
TI - Comparison of soymilk, powdered milk, Hank's balanced salt solution and tap water
on periodontal ligament cell survival.
AB - The purpose of this study was to evaluate the ability of soymilk, powdered milk,
and Hank's balanced salt solution (HBSS) to maintain human periodontal ligament
(PDL) cell viability in vitro. PDL cells were obtained from extracted healthy
third molars and cultured in Dulbecco's modified Eagles medium (DMEM). The
cultures were exposed for 1, 2, 4, and 8 h to experimental solutions (tap water
served as negative control and DMEM as positive control) at 37 degrees C. The
viable cells were then counted using the trypan blue exclusion technique. Data
were analyzed by using one-way anova, post hoc Scheffe and two-way anova test.
Statistical analysis showed that HBSS, powdered baby formula, and soymilk
maintain cell viability equally well in different periods of times. Tap water
cannot keep cells viable as well as other solutions. Soymilk and powdered baby
formula can be recommended as suitable storage media for avulsed teeth for up to
8 h.
PMID- 21895971
TI - Biomimetic approach to extensive fracture of anterior teeth--a case report.
AB - The fracture of anterior teeth in children and adolescents is a common injury.
When most tooth structure is compromised and the broken fragment is not
recovered, its restoration can become rather complex to both dentist and dental
technician. Restoration of single anterior elements is one of the most demanding
challenges in fixed prosthodontics. This article describes a clinical case of a
13-year-old patient with an extensive fractured central incisor and contra
lateral incisor. Endodontic treatment was performed on both teeth, and a
temporary removable appliance was made for esthetics. One month later, an
indirect esthetic post and core was made for each tooth, and provisionals were
placed. After a 6-month period of soft tissue stabilization, two pressed all
ceramic crowns were fabricated and bonded to the preparations. The authors
believe this to be a stable long-term option relative to the reminiscent tooth
structure, esthetic demand, and occlusal features of the case.
PMID- 21895972
TI - Education on and prevention of dental trauma: it's time to act!
AB - Dental trauma is, unfortunately, not uncommon and may be even more prevalent in
high-risk populations. It should be emphasized and acknowledged that many cases
of dental trauma are preventable. Appropriate management includes primary
prevention, i.e. avoidance of pathology development, and secondary prevention,
i.e. early diagnosing and treatment of the pathology before significant morbidity
occurs. The aim of this article is to provide a review of the current dental
trauma literature with regard to education and knowledge and with relevance to
primary and secondary prevention. As the duty of providing the public with
measures for the maintenance of proper oral health is of the dental profession,
the responsibility of providing primary and secondary prevention of dental trauma
is of dentists, dental hygienists, and dental nurses. They may, and should,
educate other medical, paramedical, and non-medical professionals, taking into
account that those non-dental professionals could not maintain a high level of
knowledge and service regarding dental trauma without a continuous backing by the
dental professionals. It should be remembered that as the prevalence of dental
decay has reduced in the Western world during recent decades, dental trauma plays
a significant part in causing dental morbidity and mortality (tooth loss). It
seems that now is the time to act for the benefit of our community and move from
'treating' toward 'managing' risk factors and prevention.
PMID- 21895979
TI - Bupropion as an augmenting agent in patients of depression with partial response.
AB - The objective of this study is to evaluate the effects of bupropion as an add-on
therapy to selective serotonin reuptake inhibitor (SSRI) on patients of major
depressive disorder with partial response. This prospective, randomized,
controlled and single-blind study was conducted in sixty patients suffering from
major depressive disorder as per Diagnostic and Statistical Manual (DSM)-IV TR
criteria, who were having Hamilton depression rating scale (HDRS) score >=16
after 4 weeks of treatment with SSRIs. Group A received SSRI plus placebo and
group B received SSRI plus bupropion. Evaluation was performed based on changes
in HDRS score, Montgomery and Asberg depression rating scale (MADRS), Amritsar
depressive inventory (ADI) and spontaneously reported adverse effects. There was
a significant decrease in the HDRS, MADRS and ADI scores as compared to baseline
in both groups. However, the mean decrease in depression score was more in group
B than in group A. The percentage decrease of remitters was also significantly
more in group B (60% as per HDRS score and 63% as per MADRS score), as compared
to group A (24% as per HDRS score and 27% as per MADRS score) (p < 0.05), at the
end of treatment. In conclusion, bupropion add-on can act as augmenting agent in
patients of depression with partial response to SSRIs.
PMID- 21895976
TI - Reverse tissue expansion by liposuction deflation for revision of post-surgical
thigh scars.
AB - Scars hypertrophy and widen when stretching mechanical forces are applied to
resilient newly formed collagen before it reaches final maturity marring the
final result of many surgical procedures and resulting in a clinical problem for
many patients. Scar revision by surgical excision remains the traditional
treatment for hypertrophic or widespread scars. It relies upon recruitment of
local tissues for closure of the ensuing defect. Providing tension-free skin
closure is the best option to avoid recurrence. Although tissue expansion
procedure is a valuable and reliable technique for scar revision, it has its own
disadvantages and potential complications. We describe an alternative method for
scar revision that may be applicable in certain situations. Instead of expanding
the soft tissues to make available additional skin, deflation by liposuction may
be affected to relax the skin envelope thus indirectly providing additional skin
for scar revision. We call this method 'reverse tissue expansion'.
PMID- 21895978
TI - Inhibitory effects of continuous ingestion of Schisandrin A on CYP3A in the rat.
AB - The objective of this study was to evaluate the ability of schisandrin A (SchA)
to inhibit the P450 enzyme CYP3A in vivo. Male Sprague-Dawley rats were
intragastrically administered with varied doses of SchA (8 mg/kg or 16 mg/kg or
32 mg/kg) or 75 mg/kg ketoconazole for three consecutive days. Ketoconazole, a
chemical inhibitor of CYP3A, was used as positive control. Subsequently, changes
in hepatic microsome CYP3A activity and the pharmacokinetic profiles of midazolam
(MDZ), a specific CYP3A substrate, were studied as indicators of rat hepatic
microsomal activity of CYP3A. Differences in the plasma concentrations of MDZ and
its related metabolites and the hepatic microsome concentrations of 1'
hydroxymidazolam were analysed by high-performance liquid chromatography. The
current results provide direct and explicit evidence that SchA produced
concentration-dependent inhibition of MDZ metabolite formation in rat liver
microsomes (p < 0.01 or p < 0.001). Regular SchA consumption also caused
concentration-dependent increase in Cmax and area under the concentration-time
curve (AUC0-t and AUC0-infinity ) of peroral MDZ (p < 0.05 or p < 0.01) compared
to vehicle-treated rats, whereas those of its metabolites (1'-hydroxymidazolam)
were reduced (p < 0.05 or p < 0.01). Analysis of the data suggests that changes
in the pharmacokinetic profiles of peroral MDZ in the rat model were contributed
mainly to SchA inhibition of CYP3A activity. These results suggest that SchA, as
an inhibitor of CYP3A, possesses a clinically beneficial property of altering the
disposition of drugs metabolized by CYP3A.
PMID- 21895974
TI - Silencing synaptic communication between random interneurons during Drosophila
larval locomotion.
AB - Genetic manipulation of individual neurons provides a powerful approach toward
understanding their contribution to stereotypic behaviors. We describe and
evaluate a method for identifying candidate interneurons and associated neuropile
compartments that mediate Drosophila larval locomotion. We created Drosophila
larvae that express green fluorescent protein (GFP) and a shibire(ts1) (shi(ts1))
transgene (a temperature-sensitive neuronal silencer) in small numbers of
randomly selected cholinergic neurons. These larvae were screened for aberrant
behavior at an elevated temperature (31-32 degrees C). Among larvae with abnormal
locomotion or sensory-motor responses, some had very small numbers of GFP-labeled
temperature-sensitive interneurons. Labeled ascending interneurons projecting
from the abdominal ganglia to specific brain neuropile compartments emerged as
candidates for mediation of larval locomotion. Random targeting of small sets of
neurons for functional evaluation, together with anatomical mapping of their
processes, provides a tool for identifying the regions of the central nervous
system that are required for normal locomotion. We discuss the limitations and
advantages of this approach to discovery of interneurons that regulate motor
behavior.
PMID- 21895977
TI - Kv 7 positive modulators reduce detrusor overactivity and increase bladder
capacity in rats.
AB - The effects of the Kv 7 channel modulators retigabine (opener) and XE991
(blocker) on rat bladder function were investigated ex vivo and in vivo to assess
the potential of Kv 7 openers for the treatment of overactive bladder. In organ
bath studies, capsaicin-stimulated rat urinary bladder rings were exposed to
retigabine and XE991 and the effect on tension and amplitude was evaluated. In
anaesthetized rats, retigabine (0.01-1 mg/kg, i.v.) effects on bladder function,
in which overactivity was induced by continuous infusion of 0.5% acetic acid,
were assessed. The effect of retigabine (10 mg/kg, p.o.) on cystometric
parameters was also measured in conscious rats with capsaicin-induced irritated
bladders. Localization of Kv 7 subunits within bladder tissue was analysed by RT
qPCR and western blotting. In organ bath studies, retigabine robustly reduced
capsaicin-induced contractility of bladder rings and this effect was blocked by
XE991 confirming the specificity of action via Kv 7 channels. In anaesthetized
rats with acetic acid-irritated bladders, retigabine markedly increased bladder
capacity with no concomitant reduction in blood pressure. Retigabine also reduced
bladder pressure and delayed voiding in conscious rats with capsaicin-irritated
bladders. Kv 7.1, Kv 7.4 and Kv 7.5 subunit mRNA transcripts were detected in rat
bladder. Western blot analysis confirmed that Kv 7.4 subunit protein was
expressed in rat bladder. These results suggest that retigabine and other Kv 7
channel positive modulators may have beneficial effects on bladder overactivity
partly via activation of Kv 7 channels expressed in bladder tissue.
PMID- 21895984
TI - Representation of multi-target activity landscapes through target pair-based
compound encoding in self-organizing maps.
AB - Activity landscape representations provide access to structure-activity
relationships information in compound data sets. In general, activity landscape
models integrate molecular similarity relationships with biological activity
data. Typically, activity against a single target is monitored. However, for
steadily increasing numbers of compounds, activity against multiple targets is
reported, resulting in an opportunity, and often a need, to explore multi-target
structure-activity relationships. It would be attractive to utilize activity
landscape representations to aid in this process, but the design of activity
landscapes for multiple targets is a complicated task. Only recently has a first
multi-target landscape model been introduced, consisting of an annotated compound
network focused on the systematic detection of activity cliffs. Herein, we report
a conceptually different multi-target activity landscape design that is based on
a 2D projection of chemical reference space using self-organizing maps and
encodes compounds as arrays of pair-wise target activity relationships. In this
context, we introduce the concept of discontinuity in multi-target activity
space. The well-ordered activity landscape model highlights centers of
discontinuity in activity space and is straightforward to interpret. It has been
applied to analyze compound data sets with three, four, and five target
annotations and identify multi-target structure-activity relationships
determinants in analog series.
PMID- 21895982
TI - Synthesis and biological evaluation of some novel 1,4-dihydropyridines as
potential antitubercular agents.
AB - Recent studies showed that 1,4-dihydropyridine-3,5-dicarbamoyl derivatives with
lipophilic groups have significant antitubercular activity. In this study, we
have synthesized new derivatives of 1,4-dihydropyridines bearing carbmethoxy and
carbethoxy group at C-3 and C-5 of the 1,4-dihydropyridine ring. In addition, 1H
pyrazole ring is substituted at C-4 position. These analogues were synthesized by
multi-component Hantzsch reaction. The in vitro antitubercular activity of
compounds against Mycobacterium tuberculosis H(37) Rv was evaluated. The lowest
minimum inhibitory concentration value, 0.02 MUg/mL and SI > 500, was found for
dimethyl 1,4-dihydro-4-(3-(4-nitrophenyl)-1-phenyl-1H-pyrazol-4-yl)-2,6
dimethylpyridine-3,5-dicarboxylate 3f, diethyl 1,4-dihydro-4-(3-(4-fluorophenyl)
1-phenyl-1H-pyrazol-4-yl)-2,6-dimethylpyridine-3,5-dicarboxylate 4c and diethyl
1,4-dihydro-4-(3-(4-bromophenyl)-1-phenyl-1H-pyrazol-4-yl)-2,6-dimethyl pyridine
3,5-dicarboxylate 4e, making them more potent than first-line antitubercular drug
isoniazid. In addition, these compounds exhibited relatively low cytotoxicity.
PMID- 21895981
TI - Mannose-binding lectin (MBL2) polymorphisms and inflammation in hypertensive
patients.
AB - We investigated the possible role of Mannose binding lectin 2 (MBL2) functional
polymorphisms in the prevalence of hypertension and hypertensive end-organ damage
in 300 hypertensive patients and 313 normotensive individuals from Southern
Brazil. Hypertensive subjects with MBL2 AO/OO genotypes presented lower C
reactive protein levels than AA individuals and consequently lower inflammatory
status.
PMID- 21895986
TI - 2D- and 3D-quantitative structure-activity relationship studies for a series of
phenazine N,N'-dioxide as antitumour agents.
AB - Hypoxic regions of tumours are associated with increased resistance to radiation
and chemotherapy. Nevertheless, hypoxia has been used as a tool for specific
activation of some antitumour prodrugs, named bioreductive agents. Phenazine
dioxides are an example of such bioreductive prodrugs. Our 2D-quantitative
structure activity relationship studies established that phenazine dioxides
electronic and lipophilic descriptors are related to survival fraction in oxia or
in hypoxia. Additionally, statistically significant models, derived by partial
least squares, were obtained between survival fraction in oxia and comparative
molecular field analysis standard model (r2 = 0.755, q2 = 0.505 and F = 26.70) or
comparative molecular similarity indices analysis-combined steric and
electrostatic fields (r2 = 0.757, q2 = 0.527 and F = 14.93), and survival
fraction in hypoxia and comparative molecular field analysis standard model (r2 =
0.736, q2 = 0.521 and F = 18.63) or comparative molecular similarity indices
analysis-hydrogen bond acceptor field (r2 = 0.858, q2 = 0.737 and F = 27.19).
Categorical classification was used for the biological parameter selective
cytotoxicity emerging also good models, derived by soft independent modelling of
class analogy, with both comparative molecular field analysis standard model (96%
of overall classification accuracy) and comparative molecular similarity indices
analysis-steric field (92% of overall classification accuracy). 2D- and 3D
quantitative structure-activity relationships models provided important insights
into the chemical and structural basis involved in the molecular recognition
process of these phenazines as bioreductive agents and should be useful for the
design of new structurally related analogues with improved potency.
PMID- 21895985
TI - QSAR models for phosphoramidate prodrugs of 2'-methylcytidine as inhibitors of
hepatitis C virus based on PSO boosting.
AB - In the current study, boosting regression has been proposed to model the
activities of a series of phosphoramidate prodrugs of 2'-methylcytidine as
inhibitors of hepatitis C virus. The stepwise multiple linear regression and
particle swarm optimization strategies are used to select descriptors which are
responsible for the inhibitory activity of these compounds. As comparisons to the
boosting regression method, the multiple linear regression, back-propagation
neural networks, and support vector machine have also been investigated.
Experimental results have shown that the boosting can drastically enhance the
generalization performance of individual multiple linear regression model and the
particle swarm optimization-boosting method is a well-performing technique in
quantitative structure-activity relationship studies superior to support vector
machine. The squared correlation coefficient and standard deviation of the best
model are 0.744 and 0.438 for the training set and 0.710 and 0.748 for the test
set.
PMID- 21895983
TI - Design, synthesis, and in vitro antitumor activity evaluation of novel 4
pyrrylamino quinazoline derivatives.
AB - Here, we describe the design and synthesis of two series of 4-pyrrylamino
quinazolines as new analogs of the epidermal growth factor receptor inhibitor
gefitinib. In vitro antitumor activity of these novel compounds against
pancreatic (Miapaca2) and prostate (DU145) cancer cell lines was evaluated.
Compared with the parental gefitinib, all 18 derivatives show a greatly increased
cytotoxicity to cancer cells. In vitro kinase inhibitory activity on epidermal
growth factor receptor was also investigated. Among them, compounds GI-6, GII-4,
GII-6, GII-8, and GII-9 are more potential receptor tyrosine kinase (RTK)
inhibitors. Based on these results, we propose simple structure-activity
relationship to provide information for designing and developing more potent
antitumor agents.
PMID- 21895973
TI - Microbiologic endodontic status of young traumatized tooth.
AB - Traumatic dental injuries could expose the dentin and, even the pulp, to the oral
environment, making possible their contamination. The presence of microorganisms
causes pulpal disease and further a tecidual clutter in the periradicular region.
The therapy of periradicular pathosis is the consequence of a correct diagnoses
which depends on the knowledge of the nature and complexity of endodontic
infections. As there is no information on the microbiology of primary endodontic
infection in young teeth, the aim of the current study was to investigate the
microbiologic status of root canals from permanent young teeth with primary
endodontic infection. Twelve patients with the need for endodontic treatment
participated in the study. The selected teeth were uniradicular and had an
incomplete root formation. They had untreated necrotic pulp. After the access
preparation, nineteen microbiologic samples were obtained from the root canals
with sterile paper points. Afterwards, the paper points were pooled in a sterile
tube containing 2 ml of prereduced transport fluid. The samples were diluted and
spread onto plates with selective medium for Enterococcus spp. and for yeast
species and onto plates with non-selective medium. A quantitative analysis was
performed. The mean number of cultivable bacterial cells in the root canals was
5.7 * 10(6). In four samples (21.05%) black pigmented species were recovered and
the mean number of cells was 6.5 * 10(5). One specimen (5.25%) showed the growth
of Enterococcus species and the mean number of cells in this case was of 1.5 *
10(4) . The results showed a root canal microbiota with similar design as seen in
completely formed teeth.
PMID- 21895975
TI - Crystal structure of Onconase at 1.1 A resolution--insights into substrate
binding and collective motion.
AB - Onconase((r)) (ONC) is an amphibian member of the pancreatic ribonuclease
superfamily that is selectively toxic to tumor cells. It is a much less efficient
enzyme than the archetypal ribonuclease A and, in an attempt to gain further
insight, we report the first atomic resolution crystal structure of ONC,
determined in complex with sulfate ions at 100 K. The electron density map is of
a quality sufficient to reveal significant nonplanarity in several peptide bonds.
The majority of active site residues are very well defined, with the exceptions
being Lys31 from the catalytic triad and Lys33 from the B(1) subsite, which are
relatively mobile but rigidify upon nucleotide binding. Cryocooling causes a
compaction of the unit cell and the protein contained within. This is principally
the result of an inward movement of one of the lobes of the enzyme (lobe 2),
which also narrows the active site cleft. Binding a nucleotide in place of
sulfate is associated with an approximately perpendicular movement of lobe 2 and
has little further effect on the cleft width. Aspects of this deformation are
present in the principal axes of anisotropy extracted from C(alpha) atomic
displacement parameters, indicating its intrinsic nature. The three lowest
frequency modes of ONC motion predicted by an anisotropic network model are
compaction/expansion variations in which lobe 2 is the prime mover. Two of these
have high similarity to the cryocooling response and imply that the essential
'breathing' motion of ribonuclease A is conserved in ONC. Instead, shifts in
conformational equilibria may contribute to the reduced ribonucleolytic activity
of ONC.
PMID- 21895980
TI - Genetic, clinical and behavioural determinants of vitamin K-antagonist dose-
explored through multivariable modelling and visualization.
AB - Vitamin K antagonists (VKA) are highly effective anticoagulants but their use is
hampered by multiple interactions with food and medicine and a narrow therapeutic
range. The large variation in dose requirements has led to the development of
several dosing algorithms based on pharmacogenetic and clinical variables. In
contrast, evidence about the influence of behavioural (i.e. diet and exercise)
and socio-psychological factors is sparse. To investigate the impact of
pharmacogenetic, clinical, behavioural and socio-psychological factors on
maintenance dose of VKA. In a cross-sectional study, we interviewed 250
consecutive patients from an anticoagulant clinic and subsequently measured
pharmacogenetic and anthropometric variables. Statistical analyses were carried
out using linear regression and multivariable models with visualization features.
In both types of analyses, the strongest determinants of VKA dose were
polymorphisms in the VKORC1 and CYP2C9 genes and age. Half of the variation in
VKA dose could be explained by a linear regression model including four
variables, while a multivariable model with 20 pharmacogenetic and clinical
variables explained 60%. A multivariable model including 94 predictor variables
was not notably better regarding predictive performance, but visualization of
this model offered information about the correlation structure between predictor
variables. The strongest determinants of VKA dose are well-known pharmacogenetic
variables and age. The variables describing health-related behaviour and socio
psychological factors are strongly inter-correlated and not useful in dosing
algorithms.
PMID- 21895987
TI - Hemoglobin Lepore Hollandia in India.
AB - INTRODUCTION: Hb Lepore is a structurally abnormal hemoglobin in which the
abnormal globin chain is a hybrid or fused deltabeta globin chain. In the
heterozygous condition, Hb Lepore produces the phenotype of heterozygous beta
thalassemia with slightly raised HbF levels. METHOD: Using a combination of HPLC
and DNA analysis, we have identified eight individuals with Hb Lepore Hollandia
from three families including seven heterozygotes and one compound heterozygote
with beta thalassemia who presented with a severe clinical phenotype. RESULTS:
All the heterozygotes showed elevated levels of HbF with a mean of 3.2%. Hb
Lepore Hollandia genes were associated with a single beta globin cluster
haplotype [- - - - - - +] indicating a common origin. CONCLUSION: Hemoglobin
Lepore Hollandia is a relatively uncommon variant in the Indian population and
can be identified using a combination of chromatographic, electrophoretic, and
molecular analysis.
PMID- 21895989
TI - State of the art in natural killer cell malignancies.
AB - The recently updated World Health Organization (WHO) classification of tumors of
hematopoietic and lymphoid tissues, published in 2008, has made great advances in
revising the disorders previously included in the pool of natural killer (NK)
cell tumors. Although NK cell neoplasms represent a relatively rare group of
diseases, accounting for <5% of all lymphoid neoplasms, they include very
distinctive conditions both clinically and pathologically. This family of
diseases includes the most indolent clinical forms, such as the provisional new
entry of chronic lymphoproliferative disorder of NK cells (CLPD-NK) in the WHO
classification, as well as one of the most fatal diseases recognized in medical
oncology, aggressive NK cell leukemia (ANKL), which is characterized by a
prognosis of weeks, or even days. In addition, some disorders previously
identified as blastic NK cell lymphoma within the NK cell system have been more
properly defined and included in the blastic plasmacytoid dentritic cell
neoplasms, although rare cases of bona fide immature NK lymphoid tumors (now
classified as NK cell lymphoblastic leukemia/lymphoma) have been reported in the
literature. This paper focuses on recent concepts and progress in morphology,
pathogenesis, clinicopathological features, treatment approaches, and outcomes of
NK cell malignancies.
PMID- 21895988
TI - Counting of leukocytes in samples from G-CSF mobilized donors, leukapheresis
products, and cord blood: the performances of an analyzer with dedicated
profiles.
AB - INTRODUCTION: Accurate white blood cell counting (WBC) and differential count by
blood analyzers could allow a more informative characterization of granulocyte
colony-stimulating factor (G-CSF) mobilized blood (MB), leukapheresis products
(LP), and cord blood (CB). However, reliable counting by a blood cell analyzer in
this setting is a major challenge owing to quali-quantitative abnormalities of
blood cells. METHODS: We evaluated the performances of the analyzer Pentra DX 120
by Horiba ABX working with dedicated cell-gating profiles, which generate three
part differential counts in samples obtained from donors' MB, LP, and CB. The
results of the analyzer were compared to counts obtained by flow cytometry and
manual counts, the latter performed for reference validation and in the case of
discrepant results between study and reference counts. RESULTS: Pentra DX 120
generated highly correlated counts (R > 0.91 in all cases) to those obtained by
flow cytometry in all samples (MB, LP, and CB) with high degree of count accuracy
in most cases and referred to WBC absolute count and differential count including
lymphocytes (LYM) %, monocytes (MON) %, and polymorphonuclear leukocytes (PMN) %.
Accuracy, judged by the difference between study and reference counts and
expressed as percentage of reference count, ranged from 0.8% to 8.6%, and
sporadic loss of accuracy occurred for MON % only in no more than 10% of CB
samples. CONCLUSION: The ABX Pentra DX 120 provided accurate WBC count and
differential count during MB, LP, and CB analyses and allowed a better
characterization of donors' hematologic status and graft composition.
PMID- 21895990
TI - Adolescent attention deficit hyperactivity disorder and susceptibility to
psychosis in adulthood: a review of the literature and a phenomenological case
report.
AB - AIM: In contrast to affective disorders, some forms of personality disorders and
drug addiction, schizophrenia is commonly not considered to be a sequela of
attention deficit hyperactivity disorder. However, attention deficit
hyperactivity disorder and the prodromal stages of schizophrenia spectrum
disorders do exhibit a number of common central features. To facilitate the early
treatment of schizophrenic symptoms, the detection of discrete and subtle
alterations in the prodromal stages of incipient psychoses is particularly
important. METHODS: We review the literature on the prodromal symptoms of
psychosis and present a case report, in which a phenomenological approach was
used to identify subtle alterations linked to anomalous self-experience. RESULTS:
Using the Examination of Anomalous Self-Experience symptom checklist, the case
report presented here reveals attention deficit hyperactivity disorder symptoms
in adolescence as a precursor state of psychosis in adulthood. CONCLUSIONS: The
characteristics of this schizophrenia spectrum disorder case and its time course
are derived from the specific distribution pattern of Examination of Anomalous
Self-Experience items. When treating adolescent attention deficit hyperactivity
disorder patients, the rare possibility of the development of schizophrenia
spectrum disorder from attention deficit hyperactivity disorder like symptoms
should be kept in mind.
PMID- 21895991
TI - New developments and concepts related to biomarker application to vaccines.
AB - This minireview will provide a perspective on new developments and concepts
related to biomarker applications for vaccines. In the context of preventive
vaccines, biomarkers have the potential to predict adverse events in select
subjects due to differences in genetic make-up/underlying medical conditions or
to predict effectiveness (good versus poor response). When expanding them to
therapeutic vaccines, their utility in identification of patients most likely to
respond favourably (or avoid potentially negative effects of treatment) becomes
self-explanatory. Despite the progress made so far on dissection of various
pathways of biological significance in humans, there is still plenty to unravel
about the mysteries related to the quantitative and qualitative aspects of the
human host response. This review will provide a focused overview of new concepts
and developments in the field of vaccine biomarkers including (i) vaccine
dependent signatures predicting subject response and safety, (ii) predicting
therapeutic vaccine efficacy in chronic diseases, (iii) exploring the genetic
make-up of the host that may modulate subject-specific adverse events or affect
the quality of immune responses, and (iv) the topic of volunteer stratification
as a result of biomarker screening (e.g. for therapeutic vaccines but also
potentially for preventive vaccines) or as a reflection of an effort to compare
select groups (e.g. vaccinated subjects versus patients recovering from
infection) to enable the discovery of clinically relevant biomarkers for
preventive vaccines.
PMID- 21895993
TI - Enzymes for the laundry industries: tapping the vast metagenomic pool of alkaline
proteases.
AB - In the wide field of laundry and cleaning applications, there is an unbroken need
for novel detergent proteases excelling in high stability and activity and a
suitable substrate range. We demonstrated the large amount of highly diverse
subtilase sequences present in metagenomic DNA by recovering 57 non-redundant
subtilase sequence tags with degenerate primers. Furthermore, an activity- as
well as a sequence homology-based screening of metagenomic DNA libraries was
carried out, using alkaline soil and habitat enrichments as a source of DNA. In
this way, 18 diverse full-length protease genes were recovered, sharing only 37
85% of their amino acid residues with already known protease genes. Active clones
were biochemically characterized and subjected to a laundry application assay,
leading to the identification of three promising detergent proteases. According
to sequence similarity, two proteases (HP53 and HP70) can be classified as
subtilases, while the third enzyme (HP23) belongs to chymotrypsin-like S1 serine
proteases, a class of enzymes that has not yet been described for the use in
laundry and cleaning applications.
PMID- 21895994
TI - A novel antimicrobial protein for plant protection consisting of a Xanthomonas
oryzae harpin and active domains of cecropin A and melittin.
AB - Discoveries about antimicrobial peptides and plant defence activators have made
possible the de novo and rational design of novel peptides for use in crop
protection. Here we report a novel chimeric protein, Hcm1, which was made by
linking the active domains of cecropin A and melittin to the hypersensitive
response (HR)-elicitor Hpa1 of Xanthomonas oryzae pv. oryzicola, the causal agent
of rice bacterial leaf streak. The resulting chimeric protein maintained not only
the HR-inducing property of the harpin, but also the antimicrobial activity of
the cecropin A-melittin hybrid. Hcm1 was purified from engineered Escherichia
coli and evaluated in terms of the minimal inhibitory concentration (MIC) and the
50% effective dose (ED(50)) against important plant pathogenic bacteria and
fungi. Importantly, the protein acted as a potential pesticide by inducing
disease resistance for viral, bacterial and fungal pathogens. This designed drug
can be considered as a lead compound for use in plant protection, either for the
development of new broad-spectrum pesticides or for expression in transgenic
plants.
PMID- 21895992
TI - J-LEAPS peptide and LEAPS dendritic cell vaccines.
AB - The J-LEAPS vaccines contain a peptide from beta-2-microglobulin covalently
attached to disease-related peptides of 8-30 amino acids which contain a T cell
epitope. The J-LEAPS vaccines can initiate a protective Th1 immune response or
modulate an ongoing Th17 autoimmune response to the peptide. J-LEAPS vaccines
activate and direct the nature of the subsequent immune response by promoting the
maturation of precursor cells into a unique type of dendritic cell that produces
interleukin 12, but not IL-1 or tumour necrosis factor, and presents the
antigenic peptide to T cells. Adoptive transfer of JgD-LEAPS dendritic cells,
matured with an anti-HSV-1 vaccine, promoted antigen-specific Th1 protection
against lethal challenge with the virus. J-LEAPS peptide immunogens and J-LEAPS
dendritic cell vaccines have potential applications for antimicrobial prevention
and therapy, treatment of autoimmune diseases, and for cancer immunotherapy.
PMID- 21895996
TI - Selective removal of transition metals from acidic mine waters by novel consortia
of acidophilic sulfidogenic bacteria.
AB - Two continuous-flow bench-scale bioreactor systems populated by mixed communities
of acidophilic sulfate-reducing bacteria were constructed and tested for their
abilities to promote the selective precipitation of transition metals (as
sulfides) present in synthetic mine waters, using glycerol as electron donor. The
objective with the first system (selective precipitation of copper from acidic
mine water containing a variety of soluble metals) was achieved by maintaining a
bioreactor pH of ~ 2.2-2.5. The second system was fed with acidic (pH 2.5)
synthetic mine water containing 3 mM of both zinc and ferrous iron, and varying
concentrations (0.5-30 mM) of aluminium. Selective precipitation of zinc sulfide
was possible by operating the bioreactor at pH 4.0 and supplementing the
synthetic mine water with 4 mM glycerol. Analysis of the microbial populations in
the bioreactors showed that they changed with varying operational parameters, and
novel acidophilic bacteria (including one sulfidogen) were isolated from the
bioreactors. The acidophilic sulfidogenic bioreactors provided 'proof of
principle' that segregation of metals present in mine waters is possible using
simple online systems within which controlled pH conditions are maintained. The
modular units are versatile and robust, and involve minimum engineering
complexity.
PMID- 21895995
TI - Hydrogen production by recombinant Escherichia coli strains.
AB - The production of hydrogen via microbial biotechnology is an active field of
research. Given its ease of manipulation, the best-studied bacterium Escherichia
coli has become a workhorse for enhanced hydrogen production through metabolic
engineering, heterologous gene expression, adaptive evolution, and protein
engineering. Herein, the utility of E. coli strains to produce hydrogen, via
native hydrogenases or heterologous ones, is reviewed. In addition, potential
strategies for increasing hydrogen production are outlined and whole-cell systems
and cell-free systems are compared.
PMID- 21895997
TI - The glycerophospholipid inventory of Pseudomonas putida is conserved between
strains and enables growth condition-related alterations.
AB - Microorganisms, such as Pseudomonas putida, utilize specific physical properties
of cellular membrane constituents, mainly glycerophospholipids, to (re-)adjust
the membrane barrier to environmental stresses. Building a basis for membrane
composition/function studies, we inventoried the glycerophospholipids of
different Pseudomonas and challenged membranes of growing cells with n-butanol.
Using a new high-resolution liquid chromatography/mass spectrometry (LC/MS)
method, 127 glycerophospholipid species [e.g. phosphatidylethanolamine PE(32:1)]
with up to five fatty acid combinations were detected. The glycerophospholipid
inventory consists of 305 distinct glycerophospholipids [e.g. PE(16:0/16:1)],
thereof 14 lyso-glycerophospholipids, revealing conserved compositions within the
four investigated pseudomonads P. putida KT2440, DOT-T1E, S12 and Pseudomonas sp.
strain VLB120. Furthermore, we addressed the influence of environmental
conditions on the glycerophospholipid composition of Pseudomonas via long-time
exposure to the sublethal n-butanol concentration of 1% (v/v), focusing on: (i)
relative amounts of glycerophospholipid species, (ii) glycerophospholipid head
group composition, (iii) fatty acid chain length, (iv) degree of saturation and
(v) cis/trans isomerization of unsaturated fatty acids. Observed alterations
consist of changing head group compositions and for the solvent-sensitive strain
KT2440 diminished fatty acid saturation degrees. Minor changes in the
glycerophospholipid composition of the solvent-tolerant strains P. putida S12 and
Pseudomonas sp. VLB120 suggest different strategies of the investigated
Pseudomonas to maintain the barrier function of cellular membranes.
PMID- 21895998
TI - Attenuated Salmonella enteritidis E23 as a vehicle for the rectal delivery of DNA
vaccine coding for HIV-1 polyepitope CTL immunogen.
AB - This study is focusing on elucidation of the capacity of attenuated Salmonella
enteritidis E23 (cya, crp) to serve as a vehicle for the rectal delivery of the
DNA vaccine. Earlier for creation HIV-1 candidate DNA vaccine we have designed
the polyepitope protein TCI (T-cell immunogen), which comprises over 80 CTL
epitopes from subtype A, B and C HIV-1 proteins. The gene coding for TCI protein
was used to construct the eukaryotic expression plasmid pcDNA-TCI. The attenuated
S. enteritidis E23 was transformed by electroporation with recombinant plasmid
pcDNA-TCI and the expression of the TCI gene was determined in vitro and in vivo.
BALB/c mice were rectally immunized with S. enteritidis E23/pcDNA-TCI (108 cfu)
twice at 4 week interval. Bacteria were not pathogenic for mice and spontaneously
eliminated from mice spleen and liver to 60 days post the immunization.
Detectable antibodies were generated in 2 weeks after immunization and their
level increased after second immunization. The results of INF-gamma ELISpot show
that mice immunized with S. enteritidis E23/pcDNA-TCI elicited HIV-specific
cellular immune response. This study demonstrates that attenuated S. enteritidis
E23 is an effective live vector for rectal delivery of the DNA vaccine pcDNA-TCI
to generate humoral and T-cellular responses against HIV-1.
PMID- 21895999
TI - Effects of cationic hydroxyethyl cellulose on glucose metabolism and obesity in a
diet-induced obesity mouse model.
AB - BACKGROUND: To investigate the effect of a new soluble fiber, namely cationic
hydroxyethyl cellulose (cHEC), on weight loss and metabolic disorders associated
with obesity using a high-fat diet-induced obese mouse model. METHODS: Obese male
C57BL/6J (B6) mice were fed high-fat (60% kcal) diets supplemented with cHEC for
5 weeks. Body weight, energy intake, mesenteric adipose and liver weights, plasma
cholesterol, plasma insulin, glucose, adiponectin, and leptin were assessed to
determine the effects of cHEC. Hepatic and fecal lipids were also analyzed to
investigate the effect of cHEC on lipid absorption and metabolism. RESULTS:
Supplementation of the high-fat diet with cHEC resulted in significant weight
loss in obese mice. In addition, significant decreases were seen in mesenteric
adipose and liver weights, as well as concentrations of plasma cholesterol and
hepatic lipids. A significant improvement in glucose homeostasis, insulin
sensitivity, and leptin concentrations were observed at 4% cHEC. Moreover,
increases in fecal excretion of total bile acids, sterols, and fats indicated
altered fat absorption when cHEC was supplemented in the diet. CONCLUSIONS: We
have shown in the present study that cHEC reduces body weight, improves insulin
sensitivity, and prevents the development of metabolic syndrome. Furthermore, the
effects of cHEC on glucose and lipid homeostasis in B6 mice are mediated by
improvements in leptin sensitivity resulting from reduced fat absorption.
PMID- 21896000
TI - Explaining risks and benefits.
PMID- 21896001
TI - Motor preparation in unilateral cerebral palsy.
PMID- 21896002
TI - Motor repertoire and neurological outcome in preterm infants.
PMID- 21896003
TI - Grip force coordination during bimanual tasks in unilateral cerebral palsy.
AB - AIM: The aim of the study was to investigate coordination of fingertip forces
during an asymmetrical bimanual task in children with unilateral cerebral palsy
(CP). METHOD: Twelve participants (six males, six females; mean age 14y 4mo, SD
3.3y; range 9-20y;) with unilateral CP (eight right-sided, four left-sided) and
15 age-matched typically developing participants (five males, 10 females; mean
age 14y 3mo, SD 2.9y; range 9-18y,) were included. Participants were instructed
to hold custom-made grip devices in each hand and place one device on top of the
other. The grip force and load force were recorded simultaneously in both hands.
RESULTS: Temporal coordination between the two hands was impaired in the
participants with CP (compared with that in typically developing participants),
that is they initiated the task by decreasing grip force in the releasing hand
before increasing the force in the holding hand. The grip force increase in the
holding hand was also smaller in participants with CP (involved hand/non-dominant
hand releasing, p<0.001; non-involved hand/dominant hand releasing, p=0.007),
indicating deficient scaling of force amplitude. The impairment was greater when
participants with CP used their non-involved hand as the holding hand.
INTERPRETATION: Temporal coordination and scaling of fingertip forces were
impaired in both hands in participants with CP. The non-involved hand was
strongly affected by activity in the involved hand, which may explain why
children with unilateral CP prefer to use only one hand during tasks that are
typically performed with both hands.
PMID- 21896004
TI - The motor repertoire of extremely low-birthweight infants at term in relation to
their neurological outcome.
AB - AIM: The aim of this study was to assess the motor repertoire of extremely low
birthweight infants at term-equivalent age (TEA), in relation to their
neurological outcome. METHOD: Using Prechtl's method, we assessed both the
quality of general movements and a detailed motor optimality score in 13
extremely low-birthweight infants (four males; nine females; mean gestational age
27.9wks, SD 2.9wks; mean birthweight 798g, SD 129g) at TEA, and related them to
general movements at the age of 3 months after term and neurological outcome at
the age of 2 years 6 months. RESULTS: At TEA, 10 of the 13 infants had abnormal
general movements. All infants showed abnormal leg lifting with extended legs;
nine showed stiff movements, three showed cramped movements, and two showed
cramped synchronized general movements. At 3 months, three infants still had
abnormal general movements. Concurrent movements were abnormal in nine infants
owing to monotony and jerkiness. Abnormal posture was seen in seven infants. None
developed cerebral palsy; one infant showed cognitive and motor delay.
Neurological outcome was not related to general movement quality and optimality
score at TEA. INTERPRETATION: Abnormal general movements at TEA are common in
extremely low-birthweight infants. General movements often appear stiff and
cramped with extended legs. At the age of 3 months after term, general movements
are mostly normal, but concurrent movements are not. Nevertheless, these
abnormalities do not imply an impaired neurological outcome such as cerebral
palsy.
PMID- 21896006
TI - Are mouse models of human mycobacterial diseases relevant? Genetics says: 'yes!'.
AB - Relevance and accuracy of experimental mouse models of tuberculosis (TB) are the
subject of constant debate. This article briefly reviews genetic aspects of this
problem and provides a few examples of mycobacterial diseases with similar or
identical genetic control in mice and humans. The two species display more
similarities than differences regarding both genetics of susceptibility/severity
of mycobacterial diseases and the networks of protective and pathological immune
reactions. In the opinion of the author, refined mouse models of mycobacterial
diseases are extremely useful for modelling the corresponding human conditions,
if genetic diversity is taken into account.
PMID- 21896007
TI - The emerging role of HLA-C in HIV-1 infection.
AB - Recently, genome-wide association studies have identified the major
histocompatibility complex class I protein HLA-C as an important molecule that
affects HIV disease progression. The association between HLA-C and HIV disease
outcome was originally determined through a single nucleotide polymorphism (SNP)
35 kb upstream of the HLA-C locus. More recent work has focused on elucidating
the functional significance of the -35 SNP, and several groups now have
demonstrated HLA-C surface expression to be a key element in control of HIV viral
load, with higher surface expression associating with slower disease progression.
Most recently, control of HLA-C surface expression has been correlated with the
presence of microRNA binding sites that affect HLA-C expression and control of
HIV disease. This review highlights these results and explores the ways in which
HLA-C surface expression could affect immune system function in the setting of
HIV disease.
PMID- 21896009
TI - Synthetic double-stranded RNA enhances airway inflammation and remodelling in a
rat model of asthma.
AB - Respiratory viral infections are frequently associated with exacerbations of
asthma. Double-stranded RNA (dsRNA) produced during viral infections may be one
of the stimuli for exacerbation. We aimed to assess the potential effect of dsRNA
on certain aspects of chronic asthma through the administration of polyinosine
polycytidylic acid (poly I:C), synthetic dsRNA, to a rat model of asthma. Brown
Norway rats were sensitized to ovalbumin and challenged three times to evoke
airway remodelling. The effect of poly I:C on the ovalbumin-induced airway
inflammation and structural changes was assessed from bronchoalveolar lavage
fluid and histological findings. The expression of cytokines and chemokines was
evaluated by real-time quantitative reverse transcription PCR and ELISA.
Ovalbumin-challenged animals showed an increased number of total cells and
eosinophils in bronchoalveolar lavage fluid compared with PBS-challenged
controls. Ovalbumin-challenged animals treated with poly I:C showed an increased
number of total cells and neutrophils in bronchoalveolar lavage fluid compared
with those without poly I:C treatment. Ovalbumin-challenged animals showed goblet
cell hyperplasia, increased airway smooth muscle mass, and proliferation of both
airway epithelial cells and airway smooth muscle cells. Treatment with poly I:C
enhanced these structural changes. Among the cytokines and chemokines examined,
the expression of interleukins 12 and 17 and of transforming growth factor
beta(1) in ovalbumin-challenged animals treated with poly I:C was significantly
increased compared with those of the other groups. Double-stranded RNA enhanced
airway inflammation and remodelling in a rat model of bronchial asthma. These
observations suggest that viral infections may promote airway remodelling.
PMID- 21896008
TI - Vitamin D3: a helpful immuno-modulator.
AB - The active metabolite of vitamin D, 1alpha, 25-dihydroxyvitamin D3 [1,25(OH)(2)
D3], is involved in calcium and phosphate metabolism and exerts a large number of
biological effects. Vitamin D3 inhibits parathyroid hormone secretion, adaptive
immunity and cell proliferation, and at the same time promotes insulin secretion,
innate immunity and stimulates cellular differentiation. The role of vitamin D3
in immunoregulation has led to the concept of a dual function as both as an
important secosteroid hormone for the regulation of body calcium homeostasis and
as an essential organic compound that has been shown to have a crucial effect on
the immune responses. Altered levels of vitamin D3 have been associated, by
recent observational studies, with a higher susceptibility of immune-mediated
disorders and inflammatory diseases. This review reports the new developments
with specific reference to the metabolic and signalling mechanisms associated
with the complex immune-regulatory effects of vitamin D3 on immune cells.
PMID- 21896010
TI - The Toll-like receptor 1/2 agonists Pam(3) CSK(4) and human beta-defensin-3
differentially induce interleukin-10 and nuclear factor-kappaB signalling
patterns in human monocytes.
AB - Human beta-defensin 3 (hBD-3) activates antigen-presenting cells through Toll
like receptors (TLRs) 1/2. Several TLR1/2 agonists have been identified but
little is known about how they might differentially affect cellular activation.
We compared the effects of hBD-3 with those of another TLR1/2 agonist, Pam(3)
CSK(4) , in human monocytes. Monocytes incubated with hBD-3 or Pam(3) CSK(4)
produced interleukin-6 (IL-6), IL-8 and IL-1beta, but only Pam(3) CSK(4) induced
IL-10. The IL-10 induction by Pam(3) CSK(4) caused down-modulation of the co
stimulatory molecule, CD86, whereas CD86 expression was increased in monocytes
exposed to hBD-3. Assessment of signalling pathways linked to IL-10 induction
indicated that mitogen-activated protein kinases were activated similarly by hBD
3 or Pam(3) CSK(4) , whereas the non-canonical nuclear factor-kappaB pathway was
only induced by Pam(3) CSK(4) . Our data suggest that the lack of non-canonical
nuclear factor-kappaB signalling by hBD-3 could contribute to the failure of this
TLR agonist to induce production of the anti-inflammatory cytokine, IL-10, in
human monocytes.
PMID- 21896011
TI - Long-term carriers generate Epstein-Barr virus (EBV)-specific CD4(+) and CD8(+)
polyfunctional T-cell responses which show immunodominance hierarchies of EBV
proteins.
AB - T cells simultaneously producing multiple cytokines and possessing cytotoxic
capacity termed polyfunctional cells (PFCs) are increasingly recognized as the
immune correlate of protection against pathogenic viruses. We investigated co
expression of four cytokines (interferon-gamma, macrophage inflammatory protein 1
alpha, tumour necrosis factor-alpha and interleukin-2) and degranulation capacity
(CD107a surface expression) of Epstein-Barr virus (EBV) -specific CD4(+) and
CD8(+) T cells upon stimulation by overlapping peptides of EBV lytic (BZLF1) and
latent (EBNA1, EBNA3 and LMP2) proteins, in 20 healthy Chinese long-term
carriers. Two patients with post-transplant lymphoproliferative disorder (PTLD),
who had impaired T-cell immunity, were studied for comparison. Both EBV-specific
CD4(+) and CD8(+) PFCs were readily generated in long-term carriers and showed
immunodominance hierarchies of latent proteins (EBNA1 > EBNA3/LMP2 and EBNA3 >
LMP2 > EBNA1 for CD4(+) and CD8(+) T cells, respectively), as evidenced by a
higher proportion of PFCs generated by immunodominant EBV proteins than by
subdominant viral proteins. In contrast, the proportion of EBV-specific PFCs was
markedly decreased in patients with PTLD. The EBV-specific PFCs produced more
cytokine per cell than single-functional T cells and comprised different subsets.
Five-functional CD4(+) and CD8(+) T cells were detected and four-functional
CD4(+) T cells were mainly CD107a negative and expressed all four cytokines
whereas four-functional CD8(+) T cells were mainly CD107a positive and expressed
three of the four cytokines (interleukin-2-negative). We conclude that EBV
specific PFCs are generated in much higher proportions in the long-term carriers
than in the patients with PTLD and maintain the immunodominant characteristics of
the virus.
PMID- 21896012
TI - Differential modulating effect of natural killer (NK) T cells on interferon-gamma
production and cytotoxic function of NK cells and its relationship with NK
subsets in Chlamydia muridarum infection.
AB - Natural killer T (NKT) cells are a newly identified T-cell population with
potential immunomodulatory functions. Several studies have shown modulating
effects of NKT cells activated by alpha-galactosylceramide, a model antigen, on
NK cell function. We here report a differential modulating effect of NKT cells on
the interferon-gamma (IFN-gamma) production and cytolytic function of NK cells in
a chlamydial infection model, using NKT-cell-deficient mice and antibody blocking
(anti-CD1d monoclonal antibody) approaches. Our results showed that both NKT and
NK cells became activated and produced IFN-gamma following Chlamydia muridarum
infection in vitro and in vivo. The NK cells in NKT-cell-deficient mice and CD1d
blocked mice showed decreased CD69 expression, cellular expansion and IFN-gamma
production but surprisingly showed increased cytolytic activity (degranulation)
of immature and more mature NK cell subsets, suggesting an inhibitory role of NKT
cells on NK cell killing activity. The results suggest that NKT cells
preferentially promote IFN-gamma production but are inhibitory for the cytotoxic
function of NK cells in this infection model. Furthermore, the differential
modulating effect of NKT cells on the IFN-gamma production and cytotoxicity of NK
cells was observed in immature and mature NK cell subsets, although it was more
dramatic in the relatively mature CD11b(high) CD27(high) NK cell subset. This
finding demonstrates the complexity of innate cell interactions in infection and
the possible differential impact of NKT cells on the variable functional aspects
of other cell(s) even in one infection setting.
PMID- 21896013
TI - Leukotriene C(4) prevents the complete maturation of murine dendritic cells and
modifies interleukin-12/interleukin-23 balance.
AB - Leukotriene C(4) is an important mediator in the development of inflammatory
reactions and ischaemia. Previous studies have shown that leukotriene C(4) is
able to modulate the function of dendritic cells (DCs) and induce their
chemotaxis from skin to lymph node. In this study, we decided to evaluate the
modulation exerted by leukotriene C(4) on DCs, depending on their status of
activation. We showed for the first time that leukotriene C(4) stimulates
endocytosis both in immature and lipopolysaccharide (LPS) -activated DCs.
Moreover, it suppressed the interleukin-12p70 (IL-12p70) release, but induces the
secretion of IL-23 by DCs activated with LPS and promotes the expansion of T
helper type 17 (Th17) lymphocytes. Furthermore, blocking the release of IL-23
reduced the percentages of CD4(+) T cells producing IL-17 in a mixed lymphocyte
reaction. Ours results suggest that leukotriene C(4) interferes with the complete
maturation of inflammatory DCs in terms of phenotype and antigen uptake, while
favouring the release of IL-23, the main cytokine involved in the maintenance of
the Th17 profile.
PMID- 21896015
TI - Protective immunity against the gastrointestinal nematode Nippostrongylus
brasiliensis requires a broad T-cell receptor repertoire.
AB - The parasitic gastrointestinal nematode Nippostrongylus brasiliensis induces
massive expansion of T helper type 2 (Th2) cells in the lung and small intestine.
Th2 cells are a major source of interleukin-4 and interleukin-13, two cytokines
that appear essential for rapid worm expulsion. It is unclear whether all Th2
cells induced during infection are pathogen-specific because Th2 cells might also
be induced by parasite-derived superantigens or cytokine-mediated bystander
activation. Bystander Th2 polarization could explain the largely unspecific B
cell response during primary infection. Furthermore, it is not known whether
protective immunity depends on a polyclonal repertoire of T-cell receptor (TCR)
specificities. To address these unresolved issues, we performed adoptive transfer
experiments and analysed the TCR-Vbeta repertoire before and after infection of
mice with the helminth N. brasiliensis. The results demonstrate that all Th2
cells were generated by antigen-specific rather than superantigen-driven or
cytokine-driven activation. Furthermore, we show that worm expulsion was impaired
in mice with a limited repertoire of TCR specificities, indicating that a
polyclonal T-cell response is required for protective immunity.
PMID- 21896017
TI - Quality-of-life assessment: honouring our oath in practice and research.
PMID- 21896014
TI - Eosinophils elicit proliferation of naive and fungal-specific cells in vivo so
enhancing a T helper type 1 cytokine profile in favour of a protective immune
response against Cryptococcus neoformans infection.
AB - Experimental Cryptococcus neoformans infection in rats has been shown to have
similarities with human cryptococcosis, because as in healthy humans, rats can
effectively contain cryptococcal infection. Moreover, it has been shown that
eosinophils are components of the immune response to C. neoformans infections. In
a previous in vitro study, we demonstrated that rat peritoneal eosinophils
phagocytose opsonized live yeasts of C. neoformans, thereby triggering their
activation, as indicated by the up-regulation of MHC and co-stimulatory molecules
and the increase in interleukin-12, tumour necrosis factor-alpha and interferon
gamma production. Furthermore, this work demonstrated that C. neoformans-specific
CD4(+) and CD8(+) T lymphocytes cultured with these activated C. neoformans
pulsed eosinophils proliferated, and produced important amounts of T helper type
1 (Th1) cytokines in the absence of Th2 cytokine synthesis. In the present in
vivo study, we have shown that C. neoformans-pulsed eosinophils are also able to
migrate into lymphoid organs to present C. neoformans antigens, thereby priming
naive and re-stimulating infected rats to induce T-cell and B-cell responses
against infection with the fungus. Furthermore, the antigen-specific immune
response induced by C. neoformans-pulsed eosinophils, which is characterized by
the development of a Th1 microenvironment with increased levels of NO synthesis
and C. neoformans-specific immunoglobulin production, was demonstrated to be able
to protect rats against subsequent infection with fungus. In summary, the present
work demonstrates that eosinophils act as antigen-presenting cells for the fungal
antigen, hence initiating and modulating a C. neoformans-specific immune
response. Finally, we suggest that C. neoformans-loaded eosinophils might
participate in the protective immune response against these fungi.
PMID- 21896018
TI - A statistics primer.
AB - Statistical input into an experimental study is often not considered until the
results have already been obtained. This is unfortunate, as inadequate
statistical planning 'up front' may result in conclusions which are invalid. This
review will consider some of the statistical considerations that are appropriate
when planning a research study.
PMID- 21896016
TI - Synthetic peptides containing ITIM-like sequences of IREM-1 inhibit BAFF-mediated
regulation of interleukin-8 expression and phagocytosis through SHP-1 and/or
PI3K.
AB - B-cell activation factor of the tumour necrosis factor family (BAFF), an
important regulator of B-cell survival, has recently been found to be expressed
on the surface of murine and human macrophages and engagement with its receptor
was shown to trigger induction of pro-inflammatory mediators and block phagocytic
activity. In an effort to generate immunomodulatory agents that can regulate BAFF
mediated signal, decapeptides representing the intracellular immunoreceptor
tyrosine-based inhibitory motifs (ITIMs) of immune receptor expressed on myeloid
cells (IREM)-1, an inhibitory transmembrane protein expressed on myeloid cells,
were synthesized in conjugation with HIV-transactivator of transcription (TAT)(48
57,) which facilitates the internalization of peptides into cells. Interestingly,
all five of these synthetic peptides, representing the five ITIM-like sequences
present in the cytoplasmic tail of IREM-1, exhibited inhibitory action against
BAFF-mediated induction of matrix metalloproteinase-9 and interleukin-8
expression. Inhibitor assay and immunoprecipitation assay followed by Western
blotting demonstrated that the inhibitory action was mediated by Src homology 2
(SH2)-containing tyrosine phosphatase (SHP)-1 and/or phosphoinositide 3-kinase
(PI3K). ELISA-based nuclear factor-kappaB DNA binding assay observed that the
synthetic peptides blocked the activation of nuclear factor-kappaB in an SHP-1
and phosphoinositide 3-kinase-dependent manner. Three of these synthetic peptides
exhibited varying degrees of inhibitory action against BAFF-mediated blockage of
phagocytosis in a SHP-1 and PI3K-dependent manner. These data indicate that the
synthetic peptides are capable of blocking BAFF-mediated regulation of macrophage
activities through the activation of SHP-1 and PI3K as well as inhibition of
nuclear factor-kappaB activation.
PMID- 21896020
TI - Veterinary surgeons' opinions on dog welfare issues.
AB - OBJECTIVES: To begin to determine the significance of various dog welfare issues
as perceived by veterinary practitioners. METHODS: Using an online questionnaire,
respondents were asked how frequently they were made aware of 12 welfare issues
and how important they felt each one was for each dog affected. Respondents were
also asked how much they agreed with statements that the veterinary practitioners
in their area, and the veterinary profession, should do more about each issue.
RESULTS: Responses were received from 59 practitioners. The most frequently noted
problems were "obesity", "chronic pain/poor mobility" and "breed-related
conditions". The most important issues for each dog affected were "lack of
treatment for suffering", "abuse or active cruelty" and "malnutrition". "Breed
related conditions", "obesity" and "behavioural problems" were the issues for
which there was greatest agreement that veterinary practitioners should do more,
both in their area and as a profession. CLINICAL SIGNIFICANCE: This pilot study
suggests that the veterinary profession believes that obesity, breed-related
conditions and behavioural problems are important concerns, and that more should
be done about them. Although these results cannot be taken as definitive from a
study of this size, it does provide a background for such further work involving
companion dog welfare and the veterinary profession.
PMID- 21896019
TI - Promoting discussions and decisions about dogs' quality-of-life.
AB - OBJECTIVE: The objective of this paper is to report the formulation and
preliminary testing of a participatory tool in order to encourage discussions and
decisions about dogs' quality-of-life. MATERIALS AND METHODS: A tool was designed
in which owners rated aspects of their dog's quality-of-life. Two randomised
controlled trials were conducted: one with a veterinary surgeon who was involved
in the tool design, the second with other veterinary surgeons. In each, owners
either were given the tool before a veterinary consultation or underwent normal
consultations. After each consultation, owners were asked to report what they had
discussed and what decisions they had made. RESULTS: The study involved a total
of 170 consultations, of which 91 were randomly allocated to the tool group and
79 into the control group. In both trials, using the tool was associated with a
significant increase in the frequency of discussions. In the first trial, using
the tool was also associated with an increase in the number of decisions made,
but this was not found in the second trial with practitioners who were not
involved in the trial design. CLINICAL SIGNIFICANCE: These data suggest that the
use of a participatory tool has the potential to enhance the effectiveness of
consultations, especially if the practitioner is familiar with the tool design.
PMID- 21896021
TI - Mast cell tumour and cutaneous histiocytoma excision wound healing in general
practice.
AB - OBJECTIVE: To assess whether wounds from incomplete mast cell tumour excisions
are at greater risk of healing complications than wounds from complete excisions,
or cutaneous histiocytomas. METHODS: Mast cell tumours and cutaneous
histiocytomas submitted to Nationwide Laboratories between November 1, 2007 and
April 30, 2008 were selected. Questionnaires were sent to submitting
veterinarians requesting details of tumour characteristics, clinical approach to
the tumour and wound healing. RESULTS: Three hundred and eighty-six mast cell
tumours and 524 cutaneous histiocytomas were identified. One hundred and eighty
five mast cell tumours and 244 cutaneous histiocytomas questionnaires were
returned (47% response). Wound complications arose in 20% of mast cell tumours
and 21% of cutaneous histiocytomas. Multivariable analysis confirmed that larger
tumours, tumours on the feet and a soft/"baggy" appearance, were significantly
associated with a greater frequency of problems, leading to delayed wound healing
and dehiscence. CLINICAL SIGNIFICANCE: Incomplete mast cell tumour excision does
not lead to greater risk of wound complications. Mast cell tumour surgical wounds
have a similar rate of wound complications as cutaneous histiocytoma wounds.
PMID- 21896022
TI - Production of an anticanine globulin (polyspecific) reagent for laboratory
investigation.
AB - OBJECTIVES: Canine allo- or autoantibodies are clinically important, but
attachment of these immunoglobulin G (IgG) antibodies does not produce observable
haemagglutination. Antibody to canine globulins is required to demonstrate
sensitisation of red blood cells. Commercial reagents are available, but these
often differ in sensitivity and specificity. Rabbit anticanine globulins
(polyspecific) were produced for use in canine blood compatibility testing and in
the investigation of immune-mediated haemolytic anaemia. METHODS: Canine sera was
pooled, IgG was purified and subsequently used to immunise rabbits. A rising
titre of anticanine IgG was demonstrated by indirect enzyme-linked immunosorbent
assay. Rabbit anticanine complement was isolated and investigated by
agglutination of complement-coated canine red blood cells. Both antibodies were
purified and checked for crossreactivity before being combined to polyspecific
anticanine globulins. The obtained reagent was used to indicate sensitised canine
red blood cells and free antibodies in three different types of clinical samples,
including blood for compatibility testing and that for investigation of immune
mediated haemolytic anaemia and screening for post-transfusion alloantibodies and
was also compared to commercial Coombs' reagent. RESULTS: The product provided
results in accordance with those from commercial Coombs' reagent. The sensitivity
for canine crossmatching was 100% and specificity for diagnosing immune-mediated
haemolytic anaemia was 87%. CLINICAL SIGNIFICANCE: This product is helpful for
canine crossmatching purposes and in the investigation of immune-mediated
haemolytic anaemia.
PMID- 21896023
TI - Ultrasonography of small intestinal obstructions: a contemporary approach.
AB - OBJECTIVES: To assess the accuracy of intestinal ultrasound for diagnosis of
intestinal obstruction in dogs and cats. METHODS: A prospective clinical study
was performed. Inclusion criteria were dogs and cats with clinical signs
suggestive of gastrointestinal obstruction. Animals with no obstruction detected
on ultrasound were excluded if they could not be monitored for 48 hours to
confirm absence of obstruction. Sonographic diagnosis of small intestinal
obstruction was based on identification of at least two findings suggestive of
intestinal obstruction. RESULTS: Ninety-two patients suspected of having
intestinal obstruction were included. Correct diagnosis of intestinal obstruction
was made in 21 cases (23%), and in 68 (74%) this diagnosis was excluded.
Interpretation of the images on prospective analysis had sensitivity, positive
predictive, specificity and negative predictive values of 100%, 87.5%, 95.8% and
100%, respectively. CLINICAL SIGNIFICANCE: Ultrasonography is an excellent method
for investigation of animals with gastrointestinal disorders, and is particularly
useful for excluding obstructive processes.
PMID- 21896028
TI - B19: benign or not?
PMID- 21896029
TI - A window of opportunity: the aggressive use of plasma in early resuscitation.
PMID- 21896030
TI - Transfusion medicine illustrated: therapeutic plasma exchange in
hypertriglyceridemic pancreatitis.
PMID- 21896032
TI - New K103 beta3 allele identified in a context of severe neonatal
thrombocytopenia.
AB - BACKGROUND: A new beta3 allele was identified in a severe case of neonatal
alloimmune thrombocytopenia (<7 * 10(9) /L). STUDY DESIGN AND METHODS: Diagnosis
was done by use of monoclonal antibody-specific immobilization of platelet (PLT)
antigen for serologic analyses and polymerase chain reaction (PCR)-sequence
specific primers (SSP) and PCR-restriction fragment length polymorphism (RFLP)
for genotyping. Direct sequencing of PCR product was done and mutant
alphaIIbbeta3 expressed in HEK-293 cells. RESULTS: Serologic analysis revealed in
the maternal serum an anti-human PLT alloantigen (HPA)-1a alloantibody associated
to an anti-alpha2beta1. Anti-HPA-1a alloimmunization diagnosis was confirmed by
genotyping showing maternofetal incompatibility. However, investigation of rare
HPA polymorphisms revealed discrepant HPA-16b assignation between PCR-RFLP and
PCR-SSP. Sequencing revealed a new c.385C>A mutation in the beta3 coding sequence
resulting in a false assignation of the HPA-16b allele by PCR-RFLP. This mutation
leads to a Q103K substitution in mature beta3. The K103-beta3 form of the complex
was expressed in HEK-293 cells but did not react with the maternal serum.
CONCLUSION: We have characterized a new rare allele (frequency < 1%) of beta3
that yields false HPA-16b genotyping in PCR-RFLP. This new case of false typing
assignation emphasizes the necessity to use two genotyping techniques in
diagnosis. This particularly applies for rare HPA polymorphisms when PLT
phenotyping cannot be used.
PMID- 21896033
TI - Sensitivity comparison of two Food and Drug Administration-licensed, triplex
nucleic acid test automated assays for hepatitis B virus DNA detection and
associated projections of United States yield.
AB - BACKGROUND: There have been no comparisons of the relative sensitivity of the two
Food and Drug Administration-licensed multiplex (MPX) nucleic acid test (NAT)
systems (Procleix Ultrio [Gen-Probe], TIGRIS platform [Novartis]; and cobas
TaqScreen MPX [Roche Molecular Systems], cobas s 201 platform [Roche Instrument
Center]) for detecting hepatitis B virus (HBV)-infected donors in minipool sizes
(MP) used in the United States. STUDY DESIGN AND METHODS: Routine blood samples
from Thailand were obtained from plasma units from 129 hepatitis B surface
antigen (HBsAg)-negative, HBV NAT-yield donations. Blinded US testing included
antibody to hepatitis B core antigen (anti-HBc), NAT using both manufacturers'
systems (undiluted-individual donation [ID], in singlet and diluted 1:6 and 1:16
in triplicate), quantitative antibody to hepatitis B surface antigen, HBV DNA
viral loads, and HBV genotyping. HBV yields in the United States were estimated
using the incidence/window period (WP) model and compared to the calculated assay
sensitivities. RESULTS: Eighty samples were classified as occult HBV (anti-HBc
reactive) and 49 as WP (anti-HBc nonreactive). For US pool sizes, MPX detected
significantly more samples than Ultrio (MPX MP6 vs. Ultrio MP16; p < 0.0001 for
occult and WP). Ultrio MP16 results were not statistically different from Ultrio
MP6 (p = 0.68 for occult; p = 0.42 for WP). There was no difference between
platforms for MP sizes used in most of the world (MPX MP6 vs. Ultrio ID; p = 0.70
for occult and p = 0.34 for WP). Viral loads were higher in WP samples. Modeled
yield estimates were consistent with measured assay sensitivity on the Thai donor
samples. CONCLUSIONS: As used in the United States, MPX MP6 is more sensitive
than Ultrio MP16, but the impact of this difference is mitigated by low numbers
of HBV WP infections.
PMID- 21896034
TI - Cord (placental) blood storage: extent and functional aspects.
PMID- 21896037
TI - Peripheral blood mononuclear cells obtained from leukoreduction system chambers
show better viability than those from leukapheresis.
PMID- 21896038
TI - Cord blood collection after cesarean section improves banking efficiency.
PMID- 21896039
TI - Radiographs and CBCT--time for a reassessment?
PMID- 21896040
TI - Application of transient elastography in chronic hepatitis B.
PMID- 21896042
TI - Microscopic colitis and disease associations.
PMID- 21896044
TI - Erosive oesophagitis with particular reference to Asians.
PMID- 21896047
TI - Editor's choice. The cultural relevance of research instruments.
PMID- 21896046
TI - Inaccuracies concerning Salofalk granules.
PMID- 21896048
TI - Requirement of alveolar bone formation for eruption of rat molars.
AB - Tooth eruption is a localized event that requires a dental follicle (DF) to
regulate the resorption of alveolar bone to form an eruption pathway. During the
intra-osseous phase of eruption, the tooth moves through this pathway. The
mechanism or motive force that propels the tooth through this pathway is
controversial but many studies have shown that alveolar bone growth at the base
of the crypt occurs during eruption. To determine if this bone growth
(osteogenesis) was causal, experiments were designed in which the expression of
an osteogenic gene in the DF, bone morphogenetic protein-6 (Bmp6), was inhibited
by injection of the first mandibular molar of the rat with a small interfering
RNA (siRNA) targeted against Bmp6. The injection was followed by electroporation
to promote uptake of the siRNA. In 45 first molars injected, eruption was either
delayed or completely inhibited (seven molars). In the impacted molars, an
eruption pathway formed but bone growth at the base of the crypt was greatly
reduced compared with the erupted first-molar controls. These studies show that
alveolar bone growth at the base of the crypt is required for tooth eruption and
that Bmp6 may be essential for promoting this growth.
PMID- 21896049
TI - Effect of interleukin-17 on the expression of chemokines in gingival epithelial
cells.
AB - The role of interleukin (IL)-17 in cellular communication in inflammation has
been well described, and a positive correlation between the severity of
periodontitis and the level of IL-17 was reported. Although epithelial cells are
a major target of IL-17, little is known about the effect of IL-17 on the
production of chemokines by human gingival epithelial cells (HGECs). We evaluated
the effects of IL-17 on the expression of CXCL8 and CCL2 by HGECs using
quantitative real-time PCR and ELISA. In addition, the role of the nuclear factor
(NF)-kappaB signalling pathway in the IL-17-mediated expression of chemokines was
assessed using a specific inhibitor. Stimulation with IL-17 up-regulated the
expression of CXCL8 mRNA but not of CCL2 mRNA in HGECs, whereas tumour necrosis
factor-alpha (TNF-alpha) elevated the expression of mRNA for both chemokines.
Stimulation with IL-17 up-regulated the secretion of CXCL8 protein, but not the
secretion of CCL2 protein. The effect of IL-17 on CXCL8 production was suppressed
using an anti-IL-17R Ig, suggesting a role for a specific receptor-ligand
interaction. Inhibition of the NF-kappaB signalling pathway demonstrated that NF
kappaB activation is required for the CXCL8 expression in HGECs. In conclusion,
IL-17 is involved in the regulation of the innate immune response in HGECs by
inducing CXCL8 production.
PMID- 21896050
TI - Involvement of angiotensin II type 1 receptors in interleukin-1beta-induced
interleukin-6 production in human gingival fibroblasts.
AB - The renin-angiotensin system is thought to be involved in inflammatory processes
such as periodontitis. However, its precise role is still unclear. Therefore, in
the present study the expression of the angiotensin II type 1 receptor (AT1R) was
investigated in inflamed human gingival tissue, and the possible involvement of
the AT1R in interleukin-1beta (IL-1beta)-induced interleukin-6 (IL-6) production
by cultured human gingival fibroblasts (HGFs) was also studied.
Immunohistochemical staining revealed that inflammatory cells and fibroblast-like
cells were positive for the AT1R. However, in healthy gingival tissue, AT1R
staining was very weak. The levels of AT1R mRNA and AT1R protein increased in
HGFs after stimulation with IL-1beta. The levels of IL-1beta-induced IL6 mRNA and
IL-6 protein were significantly reduced in AT1R gene-silenced HGFs compared with
control HGFs. The data suggest that the AT1R may be involved in the regulation of
gingival inflammation by modulating IL-1beta-induced IL-6 production in HGFs.
PMID- 21896051
TI - Impairment of acetylcholine-mediated endothelium-dependent relaxation in isolated
parotid artery of the alloxan-induced diabetic rabbit.
AB - The aim of this study was to assess the effect of type 1 diabetes mellitus
(induced by a single intravenous injection of 100 mg kg(-1) of alloxan) on
acetylcholine (ACh)-induced relaxation in isolated rabbit parotid gland feeding
artery. Isometric force measurements and quantification of inducible nitric oxide
synthase (iNOS) mRNA by real-time RT-PCR were made in parotid artery rings from
diabetic and control rabbits. Acetylcholine induced concentration- and
endothelium-dependent vasorelaxation that was significantly decreased in parotid
artery rings from diabetic rabbits. Schild analysis of the ACh vasorelaxant
effect, in the presence of selective muscarinic receptor antagonists, revealed
involvement of the M(3) receptor subtype in parotid artery rings from both
control and diabetic rabbits, with no change in antagonist affinity constants.
The inhibitory effects of indomethacin, a non-selective inhibitor of
cyclooxygenase, and of high potassium, an inhibitor of hyperpolarization, on ACh
vasorelaxation were increased. The effect of N(G) -nitro-l-arginine, a non
selective inhibitor of NOS, was decreased in diabetes. S-methylisothiourea, a
selective inhibitor of iNOS, significantly reduced ACh vasorelaxation only in
parotid artery rings from diabetic rabbits. Also, up-regulation of iNOS mRNA
expression was detected in parotid artery rings from diabetic rabbits. These
results suggest that in parotid artery rings from diabetic rabbits, impaired
endothelium-dependent vasorelaxation to ACh appears to be caused by the loss of a
nitric oxide-mediated component and increased iNOS expression, and is unlikely to
be caused by a change at the M(3) receptor level.
PMID- 21896052
TI - A test of Berggren's model of dental fear and anxiety.
AB - Berggren's (1984) model of dental fear and anxiety predicts that dentally anxious
individuals postpone treatment, leading to a deteriorating dental state and
subsequently to fear of negative evaluations in relation to their oral condition.
The present study aimed to test one of the core assumptions of this model, namely
that deterioration of dental health status would mediate the effects of avoidance
of dental care on self-reported fear of negative evaluation. Participants were 73
patients (mean age 38.5 yr) meeting the diagnostic and statistical manual of
mental disorders - 4th edn - Text Revision (DSM-IV-TR) criteria of dental phobia.
Variables in the theoretical model were operationalized with multiple measures. A
series of Sobel tests indicated that mediation was present for the relationship
between years of avoidance and fear of negative self-evaluation when dental
health status was based on the assessment of dentists or patients' opinion of
their own dental state, but not when dental health status was operationalized as
decayed, missing or filled surfaces (DMFS). Although the findings are supportive
of Berggren's model, other causal pathways that contribute to the perpetuation of
anxiety and fear still need to be tested. The results suggest that individuals
with high levels of dental anxiety would particularly benefit from interventions
specifically designed to break their avoidance pattern.
PMID- 21896054
TI - 'Making dental care possible: a mutual affair': a grounded theory relating to
adult patients with dental fear and regular dental treatment.
AB - Dental fear is a common and widespread problem, which can cause severe stress.
Even so, most patients with dental fear undergo regular dental treatment in spite
of their fear and many enjoy good oral health. The aim of this study was to
obtain a deeper understanding of how patients with dental fear manage to undergo
dental treatment. Fourteen patients with dental fear, who undergo regular dental
care, were interviewed. Qualitative analysis of the transcribed interviews was
performed according to the principles of grounded theory. A conceptual framework
was generated, and the main concern was identified as 'making dental care
possible - a mutual affair'. Four additional categories explained how patients
handled their dental fear and how dental care became possible. The strategies
were labelled 'taking part in a mental wrestling match', 'trust-filled
interaction with dental staff', 'striving for control' and 'seeking and/or
receiving social support'. The results showed that making dental care possible
for patients with dental fear is a mutual challenge that requires interplay
between dental staff and patients, involving verbal and non-verbal communication
reflecting respect, attention, and empathy. Moreover, a balance between nearness
and distance and between professional and personal treatment is required.
PMID- 21896053
TI - Personality and oral health.
AB - We investigated age-26 personality characteristics and age-32 oral health in a
prospective study of a complete birth cohort born in Dunedin, New Zealand.
Personality was measured using the Multidimensional Personality Questionnaire
(MPQ). Oral health was measured using the short-form Oral Health Impact Profile
(OHIP-14), a global measure, and dental examinations. Personality profiles were
constructed for 916 individuals (50.8% men) using standardized MPQ scores, and
multivariate analyses examined their association with oral health. Those
reporting 1+ OHIP-14 impacts had higher Negative Emotionality scores (and lower
Constraint and Positive Emotionality MPQ superfactor scores) than those who did
not. After controlling for gender, clinical status, and the other two MPQ
superfactors, those scoring higher on Negative Emotionality had a greater risk of
reporting 1+ OHIP-14 impacts, as well as 3+ OHIP-14 impacts and worse-than
average oral health. They also had a greater risk of having lost at least one
tooth from caries and of having 3+ decayed surfaces. Personality characteristics
appear to shape self-reports of oral health. Personality is also a risk factor
for clinical disease status, at least with respect to dental caries and its
sequelae. Because the attitudes and values tapped into by personality tests can
be altered by brief cognitive interventions, those might be useful in preventive
dentistry.
PMID- 21896055
TI - Dental caries in adolescents with attention deficit hyperactivity disorder: a
population-based follow-up study.
AB - This study tested the hypothesis that adolescents with attention deficit
hyperactivity disorder (ADHD) exhibit a higher prevalence of caries than
adolescents in a control group. Thirty-two adolescents with ADHD and a control
group of 55 adolescents from a population-based sample, all 17 yr of age,
underwent a clinical and radiographic dental examination. The mean +/- SD number
of decayed surfaces (DS) was 2.0 +/- 2.2 in adolescents with ADHD and 0.9 +/- 1.4
in adolescents of the control group. Thirty-one per cent of the adolescents in
the ADHD group had no new caries lesions (DS = 0) compared with 62% in the
control group. Six per cent of the adolescents in the ADHD group were caries free
[decayed, missing or filled surfaces (DMFS) = 0] compared with 29% in the control
group. Adolescents with ADHD also had a higher percentage of gingival sites that
exhibited bleeding on probing compared with the control group: 35 +/- 39% vs. 16
+/- 24% (mean +/- SD), respectively. At 17 yr of age, adolescents with ADHD
exhibited a statistically significantly higher prevalence of caries compared with
an age-matched control group. Adolescents with ADHD need more support regarding
oral hygiene and dietary habits. They should be followed up with shorter
intervals between dental examinations to prevent caries progression during
adulthood.
PMID- 21896056
TI - Prevalence and risk factors of sleep bruxism and wake-time tooth clenching in a 7
to 17-yr-old population.
AB - Sleep-related bruxism (SB) and wake-time tooth clenching (TC) have been
associated with temporomandibular disorders (TMDs), headache, and sleep and
behavioral complaints. This study aimed to assess the prevalence and risk factors
of these signs and symptoms in a 7- to 17-yr-old population (n = 604) seeking
orthodontic treatment. Data were collected by questionnaire and by a clinical
examination assessing craniofacial morphology and dental status. Sleep-related
bruxism was reported by 15% of the population and TC was reported by 12.4%. The
SB group (n = 58) was mainly composed of children (67.3% were <=12 yr of age) and
the TC group (n = 42) was mainly composed of adolescents (78.6% were >=13 yr of
age). The craniofacial morphology of over 60% of SB subjects was dental class II
and 28.1% were a brachyfacial type. Compared with controls (n = 220), SB subjects
were more at risk of experiencing jaw muscle fatigue [adjusted OR (AOR) = 10.5],
headache (AOR = 4.3), and loud breathing during sleep (AOR = 3.1). Compared with
controls, TC subjects reported more temporomandibular joint clicking (AOR = 5),
jaw muscle fatigue (AOR = 13.5), and several sleep and behavioral complaints.
Sleep- and wake-time parafunctions are frequently associated with signs and
symptoms suggestive of TMDs, and with sleep and behavioral problems. Their
clinical assessment during the planning of orthodontic treatment is recommended.
PMID- 21896057
TI - Influence of oxygen inhibition on the surface free-energy and dentin bond
strength of self-etch adhesives.
AB - We compared the surface free-energies and dentin bond strengths of single-step
self-etch adhesives with and without an oxygen-inhibited layer. The labial dentin
surfaces of bovine mandibular incisors were wet ground with #600-grit silicon
carbide paper. The adhesives were applied to the ground dentin, light-irradiated,
and the oxygen-inhibited layer was either retained or removed with ethanol. The
surface free-energies were determined by measuring the contact angles of three
test liquids placed on the cured adhesives. The dentin bond strengths of
specimens with and without the oxygen-inhibited layer were measured. For all
surfaces, the value of the estimated surface tension component was relatively
constant at 35.5-39.8 mJ m(-2) . The value of the , Lewis acid component
increased slightly when the oxygen-inhibited layer was removed, whereas that of
the , Lewis base component decreased significantly. The bond strengths of the
self-etch adhesives were significantly lower in specimens without an oxygen
inhibited layer (13.2-13.6 MPa) than in those with an oxygen-inhibited layer
(17.5-18.4 MPa). These results indicate that the presence of an oxygen-inhibited
layer in single-step self-etch adhesives with advanced photoinitiators promotes
higher dentin bond strength.
PMID- 21896058
TI - Zinc-doped dentin adhesive for collagen protection at the hybrid layer.
AB - The aim of the study was to ascertain whether the addition of zinc to adhesives
may decrease metalloproteinase-mediated collagen degradation without affecting
bonding efficacy. Human dentin beams were treated with phosphoric acid, with
Clearfil SE Bond Primer or with Clearfil SE Bond Primer plus ZnCl(2) (2 wt%).
Acid-etched dentin was infiltrated with Single Bond, Single Bond plus ZnCl(2) (2
wt%), or Single Bond plus ZnO nanoparticles (10 wt%), and Clearfil SE Bond-primed
dentin was infiltrated with Clearfil SE Bonding resin, Clearfil SE-Bonding resin
with ZnCl(2) (2 wt%), or Clearfil SE-Bonding resin with ZnO nanoparticles (10
wt%). The C-terminal telopeptide concentrations were determined 24 h, and 1 and 4
wk after treatment. Microtensile bond strength to dentin was determined for the
tested adhesives. Matrix metalloproteinases-mediated collagen degradation
occurred in acid-etched and SE-primed dentin. Resin infiltration decreased
collagen degradation. Lower collagen degradation was found for SE Bond than for
Single Bond. Zinc-doped Single Bond resin always reduced collagen degradation,
the ZnO particles being more effective than ZnCl(2) . Zinc-doped SE Bond reduced
the liberation of C-terminal telopeptide only at 24 h. Bond strength to dentin
was not decreased when Zn-doped resins were employed, except when ZnCl(2) was
added to SE Primer. Zinc-doped resin reduced collagen degradation in Single Bond
hybrid layers, but did not affect bond strength. The addition of zinc to SE Bond
had no beneficial effects.
PMID- 21896059
TI - Co-development of proprioceptive afferents and the corticospinal tract within the
cervical spinal cord.
AB - In maturity, skilled movements depend on coordination of control signals by
descending pathways, such as the corticospinal tract (CST), and proprioceptive
afferents (PAs). An important locus for this coordination is the spinal cord
intermediate zone. Convergence of CST and PA terminations onto common regions
leads to interactions that may underlie afferent gating and modulation of
descending control signals during movements. We determined establishment of CST
and PA terminations within common spinal cord regions and development of synaptic
interactions in 4-week-old cats, which is before major spinal motor circuit
refinement, and two ages after refinement (weeks 8, 11). We examined the
influence of one or the other system on monosynaptic responses, on the spinal
cord surface and locally in the intermediate zone, evoked by either CST or deep
radial nerve (DRN) stimulation. DRN stimulation suppressed CST monosynaptic
responses at 4 weeks, but this converted to facilitation by 8 weeks. This may
reflect a strategy to limit CST movement control when it has aberrant immature
connections, and could produce errant movements. CST stimulation showed delayed
development of mixed suppression and facilitation of DRN responses. We found
development of age-dependent overlap of PA and CST terminations where
interactions were recorded in the intermediate zone. Our findings reveal a novel
co-development of different inputs onto common spinal circuits and suggest a
logic to CST-PA interactions at an age before the CST has established
connectional specificity with spinal circuits.
PMID- 21896060
TI - Tinnitus: where is the source (Commentary on Vanneste et al.).
PMID- 21896061
TI - Between-systems memory interference during retrieval.
AB - Context memories normally depend on the hippocampus (HPC) but, in the absence of
the HPC, other memory systems are capable of acquiring and supporting these
memories. This suggests that the HPC can interfere with other systems during
memory acquisition. Here we ask whether the HPC can also interfere with the
retrieval of a context memory that was independently acquired by a non-HPC
system. Specifically, we assess whether the HPC can impair the retrieval of a
contextual fear-conditioning memory that was acquired while the HPC was
temporarily inactive. Rats were infused with the gamma-aminobutyric acid
(GABA)(A) receptor agonist muscimol in the dorsal and ventral HPC either before
acquisition, retrieval, or prior to both acquisition and retrieval, consistent
with the effects of permanent HPC lesions on contextual fear conditioning, if the
HPC was inactive at the time of acquisition and retention memory was intact.
Thus, non-HPC systems acquired and supported this memory in absence of the HPC.
However, if the HPC was inactive during acquisition but active thereafter, rats
displayed severe deficits during the retention test. Moreover, when the same rats
received a second retention test but with the HPC inactive at this time, the
memory was recovered, suggesting that removal of a form of interference allowed
the memory to be expressed. Combined, these findings imply that the HPC competes
and/or interferes with retrieval of a long-term memory that was established in
non-HPC systems.
PMID- 21896062
TI - Cocaine self-administration leads to alterations in temporal responses to cocaine
challenge in limbic and motor circuitry.
AB - Chronic use of cocaine is associated with lasting alterations in brain
metabolism, circuitry, and receptor properties. We used neuroimaging with
pharmacological magnetic resonance imaging to assess alterations in response to
cocaine (0.5 mg/kg) in animals trained to self-administer cocaine on a fixed
ratio 5 schedule of reinforcement, as well as saline-yoked controls, after 28
days of cocaine abstinence. We fitted the cerebral blood volume (CBV) curves for
full-width half-maximum (FWHM) as well as peak CBV response. There were
significant increases in the FWHM of the response curves in the cocaine self
administering (SA) animals as compared with saline-yoked controls in the medial
prefrontal cortex (mPFC) and the caudate/putamen (CPu), and increases in peak CBV
in the M1 motor cortex, CPu, and pedunculopontine tegmental nucleus. Functional
connectivity analysis showed increased correlations in the cocaine SA rats upon
acute cocaine challenge, especially in the S1, mPFC, and thalamus. As D3 receptor
expression is postulated to increase following chronic cocaine administration, we
also examined the response to 0.2 mg/kg of the D3-preferring agonist 7-hydroxy
N,N-di-n-propyl-2-aminotetralin (7-OHDPAT). Cocaine SA animals showed a decreased
overall CBV response to this drug, except in the globus pallidus. The
hypothalamus showed a negative CBV change in response to cocaine challenge,
similar to that noted with the D3 agonist, and showed a smaller response in the
cocaine SA animals than in the controls. Given the good coupling of cerebral
hemodynamics with dopamine dynamics previously observed with pharmacological
magnetic resonance imaging, these data suggest that increased persistence of
dopamine in the prefrontal cortex may be responsible for some of the behavioral
alterations observed subsequent to chronic cocaine use.
PMID- 21896066
TI - The relationship between alcohol and violence: population, contextual and
individual research approaches.
PMID- 21896067
TI - Alcohol and homicide in the United States: is the link dependent on wetness?
AB - INTRODUCTION AND AIMS: Several aggregate-level studies have suggested that the
relationship between alcohol and homicide is stronger in countries with an
intoxication-oriented drinking pattern than in countries where drinking is more
tempered. The present paper extends this research tradition by analysing the
alcohol-homicide link in various regions in the USA. DESIGN AND METHODS: I used
annual time-series data for the US states covering the period 1950-2002. Alcohol
sales figures were used as proxy for alcohol consumption. Mortality data were
used as indicators of homicide. The states were sorted into three groups labelled
Dry, Moderate and Wet, where the last group has the highest prevalence of
hazardous drinking according to survey data. Group-specific data were analysed
using (i) autoregressive integrated moving average (ARIMA) modelling and (ii)
fixed effects modelling. All modelling was based on differenced data, thus
eliminating time trends and interstate correlations, both of which may bias
estimates. RESULTS: The ARIMA estimates displayed a statistically significant
gradient in alcohol effects; the effect was strongest in Wet, and weakest and
insignificant in Dry states. The fixed-effects estimates showed a corresponding
pattern, although the gradient was less steep and insignificant. The gradient was
also weakened if the effects were expressed in absolute rather than relative
terms. The spatial pattern revealed no ecological correlation between alcohol and
homicide. DISCUSSION AND CONCLUSIONS: Results provided mixed support for the
hypothesis that the relationship between alcohol and homicide is stronger in wet
than in dry states in the USA. Future research should probe more specific
indicators of homicide as well as alcohol consumption.
PMID- 21896068
TI - Population drinking and homicide in Australia: a time series analysis of the
period 1950-2003.
AB - BACKGROUND: Despite a significant amount of research on alcohol and homicide in
Australia, as yet there has been no study of the association at the aggregate
level to reveal where Australia fits in with respect to the cultural differences
found in the international research of this association. Aims. To analyse the
temporal association between population drinking and homicide in Australia and to
put the results in an international comparative perspective. METHOD: Using
Autoregressive Integrated Moving Average (ARIMA) time series analysis, overall
and gender-specific homicide rates from 1950 to 2003 were analysed in relation to
alcohol consumption overall as well as to different beverages. FINDINGS: A one
litre increase in per capita consumption was followed by an 8% increase in
overall and male homicide rates and a 6% increase in female homicide rates. The
effect was mainly driven by beer consumption. In a comparative perspective, the
importance of population drinking was similar to what has been found in Western
Europe. Conclusions. Australia belongs to the group of countries where lowering
population drinking is likely to be associated with lower homicide rates and
reducing beer consumption seems to be the most efficient way to achieve this.
PMID- 21896069
TI - Per capita alcohol consumption and suicide mortality in a panel of US states from
1950 to 2002.
AB - introduction and aims: The relationship between per capita alcohol consumption
and suicide rates has been found to vary in significance and magnitude across
countries. This study utilises a panel of time-series measures from the US states
to estimate the effects of changes in current and lagged alcohol sales on suicide
mortality risk. DESIGN AND METHODS: Generalised least squares estimation utilised
53 years of data from 48 US states or state groups to estimate relationships
between total and beverage-specific alcohol consumption measures and age
standardised suicide mortality rates in first-differenced semi-logged models.
RESULTS: An additional litre of ethanol from total alcohol sales was estimated to
increase suicide rates by 2.3% in models utilising a distributed lag
specification while no effect was found in models including only current alcohol
consumption. A similar result is found for men, while for women both current and
distributed lag measures were found to be significantly related to suicide rates
with an effect of approximately 3.2% per litre from current and 5.8% per litre
from the lagged measure. Beverage-specific models indicate that spirits is most
closely linked with suicide risk for women while beer and wine are for men.
Unemployment rates are consistently positively related to suicide rates.
DISCUSSION AND CONCLUSIONS" Results suggest that chronic effects, potentially
related to alcohol abuse and dependence, are the main source of alcohol's impact
on suicide rates in the USA for men and are responsible for about half of the
effect for women.
PMID- 21896070
TI - Alcohol's role in sexual violence perpetration: theoretical explanations,
existing evidence and future directions.
AB - ISSUES: There is controversy regarding the extent to which alcohol plays a causal
role in sexual assault perpetration. APPROACH: This paper critically reviews the
relevant alcohol administration and survey research literature. KEY FINDINGS:
Alcohol administration researchers have randomly assigned male participants to
drink conditions prior to exposure to an acquaintance rape scenario. As compared
to sober participants, intoxicated participants find the male character's use of
force to obtain sex more acceptable and express greater willingness to act in a
similar manner. In several studies, these effects are strongest for intoxicated
men with hostile attitudes toward women. Survey researchers have asked male
participants to describe incidents in which they behaved in a sexually aggressive
manner. Men who commit sexual assault when drinking report having traits,
attitudes and experiences similar to those of other perpetrators except in the
alcohol domain. Perpetrators who commit sexual assault when drinking tend to
drink more than other perpetrators in sexual situations and have stronger
expectancies regarding alcohol's effects on sexual behaviour. IMPLICATIONS:
Overall, research suggests that alcohol interacts with personality and aspects of
the situation, adding to the risk of perpetration among men already predisposed
to engaging in sexual aggression. CONCLUSIONS: Although there are no simple
answers to questions about alcohol's role in sexual assault, research suggests
that intoxication may be a more important determinant of when some men commit
sexual aggression, rather than who becomes sexually aggressive.
PMID- 21896071
TI - Heavy drinking and the disposition of intimate partner violence cases in French
courts.
AB - INTRODUCTION AND AIMS: In 1992, France passed a specific penal law on intimate
partner violence. The present study explores the functioning of this new law by
examining the characteristics of perpetrators in cases that were tried rather
than dismissed. Additionally, the characteristics of heavy drinkers were compared
with those of non-heavy drinkers. DESIGN AND METHODS: We analysed all cases of
intimate partner violence processed in the years 1999-2000 by a major court of
the Paris metropolitan area. Data were collected on 223 perpetrators (all 166
tried perpetrators and 10% of the 570 perpetrators whose case was dismissed) and
how cases were handled institutionally. Logistic regression was used to identify
perpetrator characteristics significantly associated with being tried (vs.
dismissed) and being a heavy drinker. RESULTS: Being tried was significantly more
likely if the perpetrator had inflicted an injury, engaged in prior aggression
and was a heavy drinker. Heavy drinking perpetrators were significantly more
likely than non-heavy drinkers to have been drinking before the act. They were
less likely to be under 40, more likely to be French, part of a stable couple and
to have engaged in various types of prior aggression. DISCUSSION AND CONCLUSIONS:
In 2000, heavy drinking was associated with increased risk of being tried and
with drinking before the act. Heavy drinkers are more likely to have committed
all forms of aggression, but only verbal aggression is significant. In 2000,
judges gave heavy drinkers harsher sentences and a 2007 law sanctioned them even
more severely. Our results suggest that treatment focused on problem drinking
could be a helpful response-perhaps more so than harsher sentences-to intimate
partner violence.
PMID- 21896072
TI - The impact of retail practices on violence: the case of single serve alcohol
beverage containers.
AB - INTRODUCTION AND AIMS: This paper examines the role that sales of single serve
alcoholic beverages plays in violent crime in surrounding areas. Increasingly a
target of regulatory measures, this is the first study to systematically assess
the impact of single serve containers on neighbourhood violence. DESIGN AND
METHODS: The relative proportion of shelf space in each liquor establishment in
San Bernardino, CA devoted to single serve alcohol containers was surveyed.
Assuming that this is a rough indicator of the amount of sales derived from
single serve containers, we use this indicator as a measure of the impact of
specific retail practice on violence around the outlet. RESULTS: Results show
that the average proportion of shelf space devoted to single serve containers in
the unit of analysis, the US Census Bureau block group, was positively related to
violent crime, net of overall retail availability of alcohol and relevant social
and economic indicators often used to predict violent crime rates in such units.
DISCUSSION AND CONCLUSIONS: These findings suggest that if the city were to make
the voluntary ban on single serve container sales mandatory, violence in the
surrounding areas would decline, all other things being equal. This study
provides a much more grounded and specific justification for enacting such policy
changes and once again shows the utility of alcohol policy for the reduction of
crime and violence.
PMID- 21896073
TI - Alcohol availability and youth homicide in the 91 largest US cities, 1984-2006.
AB - The aggregate relationship between homicide and alcohol availability is well
established across a number of national and sub-national settings in North
America, Europe and some parts of Asia. However, results linking youth homicide
and alcohol availability at the retail level are largely absent from the
literature, especially at the city level and across longer time periods. In a
multivariate, pooled time series and cross-section study, youth homicide
offending rates for two age groups, 13-17 and 18-24, were analysed for the 91
largest cities in the USA between 1984 and 2006. Data for social and economic
characteristics, drug use, street gang activity and gun availability were also
used as time series measures. Data on the availability of alcohol for each city
were gathered from the US Census of Economic Activity, which is conducted every 5
years. These data were used to construct an annual time series for the density of
retail alcohol outlets in each city. Results indicated that net of other
variables, several of which had significant impacts on youth homicide, the
density of alcohol outlets had a significant positive effect on youth homicide
for those aged 13-17 and 18-24. Such positive effects have been found for adults
in national and neighbourhood level studies, but this is the first study to
report such evidence for teenagers and young adults. An important policy
implication of these findings is that the reduction of the density of retail
alcohol outlets in a city may be an effective tool for violent crime reduction
among such youth.
PMID- 21896074
TI - Alcohol outlet density and harm: comparing the impacts on violence and chronic
harms.
AB - INTRODUCTION AND AIMS: A number of studies have previously identified
relationships between the density of alcohol outlets and rates of violence, with
different types of outlets related to violence in different locations. The
previous work in Australia has been limited to studies based on police data,
which are subject to numerous biases. This study extends the previous work by
utilising hospital admissions as a less biased outcome measure, incorporating a
14 year longitudinal design and by developing comparative models for violence and
rates of alcohol use disorders. DESIGN AND METHODS: The study examines trends in
postcode-level hospital admission data for assault and for alcohol use disorders
over a 14 year period (n = 186) and their relationship with the density of three
kinds of alcohol outlets. Fixed-effects models are developed to control for the
differences between postcodes and for the overall trends in outlet density and
morbidity rates. RESULTS: The results of this study suggest that the density of
alcohol outlets where the main activity is alcohol consumption (i.e. pubs) is
positively related to rates of assault-related hospital admissions, while the
density of off-premise alcohol outlets is related to the rate of alcohol use
disorders. DISCUSSION AND CONCLUSIONS: These findings have significant
implications for alcohol policies in Victoria, in particular pointing to the
significant contribution of packaged alcohol outlets to both acute and chronic
alcohol-related harm.
PMID- 21896075
TI - Revealing the link between licensed outlets and violence: counting venues versus
measuring alcohol availability.
AB - INTRODUCTION AND AIMS: Associations between alcohol-related harms and numbers of
outlets at the neighbourhood level have been demonstrated; however, the degree to
which alcohol consumption or sales plays a part in levels of violence is not
clear. This has contributed to uncertainty regarding the actual mechanisms by
which outlet density may influence levels of violence. This ecological cross
sectional study investigated the effect of outlet numbers and alcohol sales on
the risk of assault in Western Australia. DESIGN AND METHODS: For 2000/2001,
information on type, number and wholesale alcohol purchases of all licensed
outlets in operation, police-reported assault offences, socioeconomic/demographic
data were obtained from official sources. Multivariate negative binomial
regression was applied to at local government area level in order to assess
associations between outlet density, alcohol sales and violence occurring in both
licensed and domestic settings. RESULTS: Average alcohol sales volume per off
site outlet was significantly associated with all measures of assault. Numbers of
on-site outlets significantly predicted violence with the exception of assaults
occurring at residential premises. Alcohol sales from off-site outlets predicted
violence occurring at on-site outlets. DISCUSSION AND CONCLUSIONS: The link
between on-site outlets and violence may be primarily underpinned by negative
amenity effects while off-site outlet effects occur via increased availability.
Alcohol sales volumes from off-site outlets influence levels of violence, which
occur at both licensed and residential settings. The substantial and wide-ranging
effects of liquor stores on alcohol-related harms may have been underestimated in
the literature and by policy makers.
PMID- 21896076
TI - Getting drunk safely? Night-life policy in the UK and its public health
consequences.
AB - ISSUES: Pubs, bars and nightclubs are central features of recreational night-life
in the towns and cities of many countries. The last two decades have seen UK
towns and cities regenerated through the provision of night-life environments
aimed at servicing youth-focused monocultures typified by heavy drinking, loud
music and dancing. Such changes in night-life settings have created major
problems with management of alcohol-related violence. APPROACH: We examine what
policies and interventions have been implemented to reduce violence in public
night-life environments. We critically appraise the outcomes of such measures and
whether they simply create environments in which it appears 'safe' for people to
routinely get drunk while displacing violence and adding to health and social
problems elsewhere. KEY FINDINGS/IMPLICATIONS: A variety of initiatives have been
put in place to reduce violence and alcohol-related harm in night-time
environments. These include changes to licensing laws, high profile policing,
late night transport security, street lighting and closed circuit television
camera networks. In some circumstances, the evidence for their effectiveness in
containing night-life violence is relatively good. However, such approaches can
also reduce incentives to stay sober, potentially act as a mechanism for
displacing violence into surrounding areas, and divert public monies to city
centre drinking environments at the expense of services in local communities.
CONCLUSION: We argue that a public health approach to night-life is required
which addresses drunkenness rather than pandering to the economic benefits of
excessive alcohol use and managing any violence that is on public display.
PMID- 21896077
TI - Do community interventions targeting licensed venues reduce alcohol-related
emergency department presentations?
AB - INTRODUCTION AND AIMS: Excessive alcohol consumption is related to substantial
health and social order costs. Many of the harms have been identified as coming
from licensed venues. Most communities struggle to find interventions that are
effective, particularly in an environment of little federal government action.
Furthermore, most interventions are based on little or no empirical evidence.
This study aims to investigate the effect of a suite of interventions on
emergency department (ED) attendances in Geelong, Australia. DESIGN AND METHODS:
This paper reports stage one findings from the Dealing with Alcohol-Related
Problems in the Night-time Economy project (DANTE) and specifically examines all
alcohol-related injury frequencies before to after intervention in the City of
Greater Geelong of Victoria, Australia, from 2005 to 2009. Auto-regressive
integrated moving average time-series were used to determine the effect of the
interventions on ED attendances in Geelong. RESULTS: There were 3934 triage
presentations involving alcohol. Over two-thirds (68.9%) of triage presentations
were male and over half (58.5%) of alcohol-related attendances occurred on the
weekend. The time-series analyses indicated that ID scanners (z = 2.66, P <
0.001) and the Just Think awareness campaign (z = 4.21, P < 0.001) were
significant predictors of increases in alcohol-related injury presentation rates
to the ED. DISCUSSION AND CONCLUSIONS: Overall, the community interventions
implemented have not been associated with reduced alcohol-related attendances at
the ED. The findings raise questions about whether targeting the night-time
economy is effective and whether interventions should instead be targeted at
reducing whole-of-community alcohol consumption.
PMID- 21896078
TI - Behavioural indicators of motives for barroom aggression: implications for
preventing bar violence.
AB - INTRODUCTION AND AIMS: To develop new strategies for preventing violence in high
risk licensed premises, we identify behavioural indicators of apparent motives
for aggression in these settings and outline the implications of different
motivations for prevention. DESIGN AND METHODS: The four types of motives for
aggressive or coercive acts defined by the theory of coercive actions framed the
research: gaining compliance, expressing grievances/restoring justice, attaining
a favourable social identity and pursuing fun/excitement. Incidents of aggression
from the Safer Bars evaluation research were analysed to identify behavioural
indicators of each motivation. RESULTS: Compliance-motivated aggression typically
takes the form of unwanted social overtures, third party intervention to stop
conflicts or staff rule enforcement. Prevention strategies include keeping the
aggressor's focus on compliance to avoid provoking grievance and identity motives
that are likely to escalate aggression. Grievance motives are typically elicited
by perceived wrongdoing and therefore prevention should focus on eliminating
sources of grievances and adopting policies/practices to resolve grievances
peacefully. Social identity motives are endemic to many drinking establishments
especially among male patrons and staff. Prevention involves reducing identity
cues in the environment, hiring staff who do not have identity concerns, and
training staff to avoid provoking identity concerns. Aggression motivated by
fun/excitement often involves low-level aggression where escalation can be
prevented by avoiding grievances and attacks on identity. DISCUSSION AND
CONCLUSIONS: Knowledge of behavioural indicators of motives can be used to
enhance staff hiring and training practices, reduce environmental triggers for
aggression, and develop policies to reduce motivation for aggression.
PMID- 21896080
TI - Diagnosis of Helicobacter pylori Infection.
AB - When an endoscopy is performed, it now becomes easier to observe indirect
evidence of the presence of a Helicobacter pylori infection, given the progress
of new methods including magnifying narrow band imaging or confocal laser
endomicroscopy. Out of the biopsy-based tests, the novel original method proposed
concerned culture in a broth medium with or without antibiotics and ELISA
detection of H. pylori. New stool antigen tests are still appearing with no major
improvement in comparison with the monoclonal-based tests already on the market.
The combination of pepsinogen detection to H. pylori serology is now more and
more evaluated to detect preneoplastic lesions.
PMID- 21896079
TI - Epidemiology of Helicobacter pylori infection and public health implications.
AB - This review summarizes studies on the epidemiology and public health implications
of Helicobacter pylori published in peer-reviewed journals from April 2010
through March 2011. Prevalence rates vary widely between different geographical
regions and ethnic groups. An interesting study from the USA identified the
degree of African ancestry as an independent predictor of H. pylori infection.
Two studies have demonstrated early childhood as the period of transmission of
infection and identified an infected sibling as an important risk factor. An oral
oral route of spread has been substantiated with several studies showing the
presence of H. pylori in the oral cavity. Studies have shown the presence of H.
pylori in drinking water and the role of poor living conditions and sanitation in
H. pylori infection, supporting an oral-fecal route of spread. Screening for H.
pylori as a gastric cancer pre-screening strategy has been described in Japan,
and the importance of H. pylori eradication as a gastric cancer-prevention
strategy has now been further emphasized in Japanese guidelines. Two studies have
shown a decrease in the burden of dyspepsia and peptic ulcer disease with H.
pylori eradication.
PMID- 21896081
TI - Pathogenesis of Helicobacter pylori infection.
AB - Helicobacter pylori infections and clinical outcome are dependent on
sophisticated interactions between the bacteria and its host. Crucial bacterial
factors associated with pathogenicity comprise a type IV secretion system encoded
by the cag pathogenicity island, the effector protein CagA, the vacuolating
cytotoxin (VacA), peptidoglycan, lipopolysaccharide (LPS), gamma-glutamyl
transpeptidase (GGT), protease HtrA, and the adhesins BabA, SabA, and others. The
high number of these factors and allelic variation of the involved genes
generates a highly complex scenario and reveals the difficulties in testing the
contribution of each individual factor. Much effort has been put into identifying
the molecular mechanisms associated with H. pylori-associated pathogenesis using
human primary tissues, Mongolian gerbils, transgenic, knockout, and other mice as
well as in vitro cell model systems. Interactions between bacterial factors and
host signal transduction pathways seem to be critical for mediating the induction
of pathogenic downstream processes and disease development. In this review
article, we discuss the most recent progress in this research field.
PMID- 21896082
TI - Inflammation, immunity, and vaccine development for Helicobacter pylori.
AB - The immune response to Helicobacter pylori entails both innate effectors and a
complex mix of Th1, Th17, and Treg adaptive immune responses. The clinical
outcome of infection may well depend to a large degree on the relative balance of
these responses. Vaccination with a wide range of antigens, adjuvants, and
delivery routes can produce statistically significant reductions in H. pylori
colonization levels in mice, though rarely sterilizing immunity. Whether similar
reductions in bacterial load can be achieved in humans, and whether they would be
clinically significant, is still unclear. However, progress in understanding the
role of Th1, Th17, and most recently Treg cells in protection against H. pylori
infection provides reason for optimism.
PMID- 21896083
TI - Helicobacter pylori and nonmalignant diseases.
AB - Research published over the past year has documented the continued decline of
Helicobacter pylori-related peptic ulcer disease and increased recognition of non
H. pylori, non-steroidal anti-inflammatory drugs ulcer disease--idiopathic
ulcers. Despite reduced prevalence of uncomplicated PUD, rates of ulcer
complications and associated mortality remain stubbornly high. The role of H.
pylori in functional dyspepsia is unclear, with some authors considering H.
pylori-associated nonulcer dyspepsia a distinct organic entity. There is
increasing acceptance of an inverse relationship between H. pylori and
gastroesophageal reflux disease (GERD), but little understanding of how GERD
might be more common/severe in H. pylori-negative subjects. Research has focused
on factors such as different H. pylori phenotypes, weight gain after H. pylori
eradication, and effects on hormones such as ghrelin that control appetite.
PMID- 21896084
TI - Gastric cancer: basic aspects.
AB - Gastric cancer (GC) is a world health burden, ranging as the second cause of
cancer death worldwide. Etiologically, GC arises not only from the combined
effects of environmental factors and susceptible genetic variants but also from
the accumulation of genetic and epigenetic alterations. In the last years,
molecular oncobiology studies brought to light a number of genes that are
implicated in gastric carcinogenesis. This review is intended to focus on the
recently described basic aspects that play key roles in the process of gastric
carcinogenesis. Genetic variants of the genes IL-10, IL-17, MUC1, MUC6, DNMT3B,
SMAD4, and SERPINE1 have been reported to modify the risk of developing GC.
Several genes have been newly associated with gastric carcinogenesis, both
through oncogenic activation (GSK3beta, CD133, DSC2, P-Cadherin, CDH17, CD168,
CD44, metalloproteinases MMP7 and MMP11, and a subset of miRNAs) and through
tumor suppressor gene inactivation mechanisms (TFF1, PDX1, BCL2L10, XRCC, psiTPTE
HERV, HAI-2, GRIK2, and RUNX3). It also addressed the role of the inflammatory
mediator cyclooxygenase-2 (COX-2) in the process of gastric carcinogenesis and
its importance as a potential molecular target for therapy.
PMID- 21896085
TI - Gastric cancer: clinical aspects, epidemiology and molecular background.
AB - The validity and usefulness of the 7th edition of the UICC tumor node metastasis
classification in the context of clinical management of gastric cancer are
discussed. The most relevant new agent in gastric cancer therapy is trastuzumab
for HER2-positive gastric carcinomas. This marks the success of continuous effort
of translational research. Trastuzumab, initially applied in palliative settings,
is currently being evaluated also in neoadjuvant treatment regimens. Several new
meta-analyses support the carcinogenic effect of high salt intake and smoking in
the context of Helicobacter pylori infection. Further data have become available
on the efficacy of protective agents, acetyl salicylic acid/nonsteroidal anti
inflammatory drugs, and antioxidants. In search for a successful prevention
strategy, the focus is on the identification of individuals at high risk who
demand screening (testing) and surveillance. Serological assessment of gastric
mucosal abnormalities with increased risk for gastric cancer development is
extensively studied, and new data are presented from Asia as well as from Europe.
New high-throughput techniques combined with bioinformatic vector analysis open
the gate to the identification of new potential diagnostic and therapeutic
targets. Furthermore, these approaches allow us to elucidate the interplay of
bacterial virulence factors and the host's immune response as well as H. pylori
associated alterations of mucosal gene expression.
PMID- 21896086
TI - Treatment of Helicobacter pylori infection 2011.
AB - This article reviews the literature published pertaining to Helicobacter pylori
eradication over the last year. The general perception among clinicians and
academics engaged in research on H. pylori has been that eradication rates for
first-line therapies are falling, although some data published this year have
cast doubt on this. The studies published this year have therefore focussed on
developing alternative strategies for the first-line eradication of H. pylori. In
this regard, clear evidence now exists that both levofloxacin and bismuth are
viable options for first-line therapy. The sequential and "concomitant" regimes
have also been studied in new settings and may have a role in future algorithms
also. In addition, data have emerged that the probiotic Saccharomyces boulardii
may be a useful adjunct to antibiotic therapy. Other studies promote
individualized therapies based on host polymorphisms, age, and other such
demographic factors.
PMID- 21896087
TI - Helicobacter pylori in pediatrics.
AB - This article reviewed the important publications on Helicobacter pylori research
with children between April 2010 and March 2011. The most interesting studies in
the last year lend further weight to the evidence for vertical transmission of H.
pylori. The discovery of a potential role for jhp0562, the gene which encodes for
the cell envelope protein glycosyltransferase, in the progression to peptic ulcer
disease is also very interesting as it may provide a novel way to distinguish
children at risk of peptic ulcer disease from those who are not, and so determine
those who requires treatment to eradicate H. pylori. The rise in non-H. pylori
associated ulcers and erosions continues to be reported with no apparent risk
factors for these ulcers identified to date. High levels of treatment failure
continue to be reported, and there remains an urgent need for more effective
treatment regimes for children.
PMID- 21896088
TI - Extragastric manifestations of Helicobacter pylori infection.
AB - In the previous year, some extragastric diseases, possibly linked to Helicobacter
pylori infection, have been largely investigated. There are, in fact, several
studies concerning cardiovascular diseases, lung diseases, hematologic diseases,
eye and skin diseases, hepatobiliary diseases, diabetes mellitus, and
neurological disorders. Among them, the relationship between bacterial CagA
positivity and coronary heart disease is reportedly emphasized. Concerning normal
tension glaucoma, new interesting data are playing in favor of the association
with H. pylori infection. For other diseases, there are many interesting results,
although more studies are needed to clarify the reality of the proposed
association.
PMID- 21896089
TI - The other Helicobacters.
AB - The last year has seen an interesting and important collection of evidence
presented in the field of the "other" than Helicobacter pylori Helicobacters.
Associations with adult ulcerative colitis and biliary/hepatic disease have been
described. New insights into the immune response and subsequent pathogenesis
associated with infection have also been published. Genomic advances include
description of new and unique species and the complete genome description for
both Helicobacter felis and Helicobacter suis. Molecular studies have also
elucidated the mechanism of action of some functional components of these
organisms.
PMID- 21896091
TI - Unwanted souvenirs: travel and multi-resistant bacteria.
PMID- 21896092
TI - Colonization of returning travelers with CTX-M-producing Escherichia coli.
AB - BACKGROUND: We previously identified foreign travel as a risk factor for
acquiring infections due to CTX-M (active on cefotaxime first isolated in Munich)
producing Escherichia coli. The objective of this study was to assess the
prevalence of extended-spectrum beta-lactamase (ESBL)-producing E coli among
stool samples submitted from travelers as compared to non-travelers (a non
traveler had not been outside of Canada for at least 6 months before submitting a
stool specimen). METHODS: Once a travel case was identified, the next stool from
a non-traveler (not been outside of Canada for at least 6 months) was included
and cultured on the chromID-ESBL selection media. Molecular characterization was
done using polymerase chain reaction and sequencing for bla(CTX-Ms), bla(TEMs),
bla(SHVs), plasmid-mediated quinolone-resistant determinants, O25-ST131,
phylogenetic groups, pulsed-field gel electrophoresis (PFGE), and multilocus
sequencing typing. RESULTS: A total of 226 individuals were included; 195 (86%)
were negative, and 31 (14%) were positive for ESBL-producing E coli. Notably,
travelers were 5.2 (95% CI 2.1-31.1) times more likely than non-travelers to have
an ESBL-producing E coli cultured from their stool. The highest rates of ESBL
positivity were associated with travel to Africa or the Indian subcontinent.
Among the 31 ESBL-producing E coli isolated, 22 produced CTX-M-15, 8 produced CTX
M-14, 1 produced CTX-M-8, 12 were positive for aac(6')-Ib-cr, and 8 belonged to
clone ST131. CONCLUSIONS: Our study confirms that foreign travel, especially to
the Indian subcontinent and Africa, represents a major risk for rectal
colonization with CTX-M-producing E coli and contributed to the Worldwide spread
of these bacteria.
PMID- 21896093
TI - Epidemiologic and biogeographic analysis of 542 VFR traveling children in
Catalonia (Spain). A rising new population with specific needs.
AB - BACKGROUND: Imported diseases recorded in the European Union (EU) increasingly
involve traveling immigrants returning from visits to their relatives and friends
(VFR). Children of these immigrant families can represent a population of extreme
vulnerability. METHODS: A randomized cross-sectional study of 698 traveling
children under the age of 15 was performed. VFR traveling children and non-VFR
(or tourist) children groups were compared. RESULTS: A total of 698 individuals
were analyzed: 354 males (50.7%) and 344 females (49.3%), with a median age
(interquartile range) of 4 (2-9) years. Of these, 578 (82.8%) had been born in
the EU with 542 (77.7%) being considered as VFR, whereas 156 (22.3%) were
considered tourists. VFR children were younger (4.7 vs 8.2 yr; p < 0.001), they
had more frequently been born in the EU (62.8% vs 20.1%; p < 0.01) and were more
frequently lodged in private homes (76.6% vs 3.2%: p < 0.001) and rural areas
(23.2% vs 1.6%; p < 0.001). Furthermore, VFR remained abroad longer (51.6 vs 16.6
d; p < 0.001), the visit/travel time interval was shorter (21.8 vs 32.2 d; p <
0.001) than tourists, and consultation was within 10 days prior to the departure
(26.4% vs 2.7%; p < 0.001). The risk factor most differentiating VFR children
from tourists was accommodation in a rural setting [odds ratio(OR) = 5.26;95%CI =
2.704-10.262;p < 0.001]. CONCLUSIONS: VFR traveling children showed a greater
risk of exposure to infectious diseases compared with tourists. Immigrant
families may represent a target group to prioritize international preventive
activities.
PMID- 21896094
TI - Knowledge, attitudes, and practice of travelers' diarrhea management among
frontline providers.
AB - BACKGROUND: Many studies have found acute gastrointestinal infections to be among
the most likely reason for clinic visits among forward deployed soldiers and are
considered a significant contributor to morbidity in this population. This occurs
despite the controlled food and water distribution systems under which military
populations operate. Furthermore, recent studies have indicated that providers
often fail to appropriately identify and treat the typical causes of these
infections. To adequately address this issue, an assessment of gaps in knowledge,
practice, and management of acute diarrhea in deployed troops was conducted.
METHODS: A multiple-choice survey was developed by clinical researchers with
expertise in travelers' diarrhea (TD) and provided to a convenience sample of
clinical providers with a broad range of training and operational experience. The
survey evaluated provider's knowledge of TD along with their ability to identify
etiologies of various syndromic categories of acute gastrointestinal infections.
Providers were also queried on selection of treatment approaches to a variety of
clinical-based scenarios. RESULTS: A total of 117 respondents completed the
survey. Most were aware of the standard definition of TD (77%); however, their
knowledge about the epidemiology was lower, with less than 24% correctly
answering questions on etiology of diarrhea, and 31% believing that a viral
pathogen was the primary cause of watery diarrhea during deployment. Evaluation
of scenario-based responses showed that 64% of providers chose not to use
antibiotics to treat moderate TD. Furthermore, 19% of providers felt that severe
inflammatory diarrhea was best treated with hydration only while 25% felt
hydration was the therapy of choice for dysentery. Across all provider types,
three practitioner characteristics appeared to be related to better scores on
responses to the nine management scenarios: having a Doctor of Medicine or Doctor
of Osteopathy degree, greater knowledge of TD epidemiology, and favorable
attitudes toward antimotility or antibiotic therapy. CONCLUSION: Results from
this survey support the need for improving knowledge and management of TD among
deploying providers. The information from this study should be considered to
support the establishment and dissemination of military diarrhea-management
guidelines to assist in improving the health of military personnel.
PMID- 21896095
TI - Symptoms of infectious diseases in immunocompromised travelers: a prospective
study with matched controls.
AB - BACKGROUND: Immunocompromised travelers to developing countries are thought to
have symptomatic infectious diseases more often and longer than non
immunocompromised travelers. Evidence for this is lacking. This study evaluates
whether immunocompromised short-term travelers are at increased risk of diseases.
METHODS: A prospective study was performed between October 2003 and May 2010
among adult travelers using immunosuppressive agents (ISA) and travelers with
inflammatory bowel disease (IBD), with their non-immunocompromised travel
companions serving as matched controls with comparable exposure to infection.
Data on symptoms of infectious diseases were recorded by using a structured
diary. RESULTS: Among 75 ISA, the incidence of travel-related diarrhea was 0.76
per person-month, and the number of symptomatic days 1.32 per month. For their 75
controls, figures were 0.66 and 1.50, respectively (p > 0.05). Among 71 IBD, the
incidence was 1.19, and the number of symptomatic days was 2.48. For their 71
controls, figures were 0.73 and 1.31, respectively (p > 0.05). These differences
also existed before travel. ISA had significantly more and longer travel-related
signs of skin infection and IBD suffered more and longer from vomiting. As for
other symptoms, no significant travel-related differences were found. Only 21% of
immunocompromised travelers suffering from diarrhea used their stand-by
antibiotics. CONCLUSIONS: ISA and IBD did not have symptomatic infectious
diseases more often or longer than non-immunocompromised travelers, except for
signs of travel-related skin infection among ISA. Routine prescription of stand
by antibiotics for these immunocompromised travelers to areas with good health
facilities is probably not more useful than for healthy travelers.
PMID- 21896096
TI - The immunogenicity of a modified intradermal pre-exposure rabies vaccination
schedule--a case series of 420 travelers.
AB - BACKGROUND: Current Australian recommendations for rabies pre-exposure
vaccination involve the use of cell-culture-based rabies vaccines, which are
administered via intramuscular (IM) or intradermal (ID) routes. ID vaccination is
more affordable for travelers, but is only recommended if there is sufficient
time to perform serology 2 to 3 weeks post-vaccination and confirm immunity prior
to travel. We report the immunogenicity of a modified ID schedule that can be
completed in less time than the standard ID schedule, and allow more travelers to
be vaccinated prior to departure. METHODS: Travelers were offered a modified
schedule if they were unable to afford standard IM vaccinations, and did not have
time to complete a standard ID course. The modified schedule consisted of two ID
injections of 0.1 mL of human diploid cell rabies vaccine administered on days 0
and 7, and serology was performed to determine immune status at a time between
day 21 and 28. RESULTS: A total of 420 travelers aged between 10 and 65 years
were vaccinated using the modified ID course. The overall seroconversion rate was
94.5%, with 397 travelers developing antibody levels of >0.5 IU/mL when tested at
approximately 21 days post-vaccination. CONCLUSION: The modified ID schedule used
in this case series was highly effective, had similar immunogenicity to the
standard ID schedule, and should be considered in travelers who are unable to
complete standard IM or standard ID courses of rabies vaccines.
PMID- 21896097
TI - A randomized, double-blind, pilot study of rifaximin 550 mg versus placebo in the
prevention of travelers' diarrhea in Mexico during the dry season.
AB - BACKGROUND: Rifaximin has been shown to be effective in treating and preventing
travelers' diarrhea (TD) during the summer season. METHODS: The goal of this
double-blinded multicenter trial was to assess the efficacy and safety of
rifaximin 550 mg administered once daily for 14 days compared with placebo in the
prevention of TD during the dry season in Mexico. RESULTS: There were 101
participants randomized. Overall, 25 participants developed TD during the 3 weeks
of the study: 22% from the rifaximin group and 29% from the placebo group (p =
0.4). Mild diarrhea (defined as only one or two unformed stools during a 24-h
period plus at least one abdominal symptoms) developed in only 3 (6%)
participants taking rifaximin compared with 10 (21%) taking placebo during the
first week of study (p = 0.03). No clinically significant or serious adverse
events were reported. CONCLUSIONS: Antibiotic prophylaxis of TD in Mexico during
the dry season needs to be further studied and its benefits weighed against the
benefits of self-treatment.
PMID- 21896098
TI - Acute mountain sickness in travelers who consulted a pre-travel clinic.
AB - BACKGROUND: The main objective of this study was to investigate the incidence and
predictors of acute mountain sickness (AMS) in travelers who consulted a pre
travel clinic and the compliance with advices concerning this condition. METHODS:
A post-travel questionnaire was sent to clients of five travel clinics who
planned to climb above 2,000 m. RESULTS: The response was 77% and the data of all
744 respondents who stayed above 2,500 m were used for the analysis. Eighty-seven
percent (646) read and understood the written advices on AMS. The incidence of
AMS was 25% (184), and the predictors were previous AMS [odds ratio (OR) 2.2],
female sex (OR 1.6), age (OR 0.98 per year), maximum sleeping altitude (OR 1.2
per 500 m), and the number of nights between 1,500 and 2,500 m (OR 0.9 per
night). Eighty-seven percent of respondents understood the written advices about
AMS but 21% did not read or understand the use of acetazolamide. Forty percent
spent less than two nights between 1,500 and 2,500 m and 43% climbed more than
500 m/d once above 2,500 m. Acetazolamide was brought along by 541 respondents
(72%) and 116 (16%) took it preventively. Of those with AMS 62 (34%) took
acetazolamide treatment and 87 (47%) climbed higher despite AMS symptoms. The
average preventive dose of acetazolamide was 250 mg/d, while the average curative
dose was 375 mg/d. We found no relation between acetazolamide prevention and AMS
(p = 0.540). CONCLUSIONS: The incidence of AMS in travelers who stayed above
2,500 m was 25%. Predictors were previous AMS, female sex, age, maximum overnight
altitude, and the number of nights between 1,500 and 2,500 m. Only half of these
travelers followed the preventive and curative advices and 21% did not read or
understand the use of acetazolamide. We found no preventive effect of a low dose
of acetazolamide in this retrospective observational study.
PMID- 21896099
TI - Risk of highly resistant bacteria importation from repatriates and travelers
hospitalized in foreign countries: about the French recommendations to limit
their spread.
PMID- 21896100
TI - A multidisciplinary approach in travel medicine: the pharmacist perspective.
PMID- 21896101
TI - Preexisting cardiovascular diseases among high-altitude mountaineers in the alps.
AB - This survey evaluated the prevalence of cardiovascular diseases (CVD) among high
altitude mountaineers (n = 473). The prevalence of CVD amounted to 7.4% and
increased with age. Hypertension was the most frequent type of CVD. However, the
recorded frequency of CVD in high-altitude mountaineers is lower compared to
hikers and alpine skiers.
PMID- 21896102
TI - Multidrug-resistant Acinetobacter baumannii infections in three returning
travelers evacuated from Algeria, Thailand, and Turkey after hospitalization in
local intensive care units.
AB - We report three cases of returning travelers evacuated from Algeria, Thailand,
and Turkey by aero-medical repatriation, following overseas hospitalization in
local intensive care units for accidental injuries or medical problems. All three
patients presented with imipenem-resistant Acinetobacter baumannii infections.
One died whereas two recovered.
PMID- 21896103
TI - Malaria is an important differential diagnosis in visitors returning from Sri
Lankan National Safari Parks.
AB - Diagnostic confusion may occur between dengue and malaria when febrile patients
with thrombocytopenia return from travel to previous malaria endemic areas.
Laboratory tests should include blood smear examination for malaria parasites
even though current malaria endemicity in Sri Lanka is low.
PMID- 21896104
TI - Prevention of pediatric malaria in Japan.
PMID- 21896107
TI - 'Telling stories about ourselves': historical methodology and the creation of
mental health nursing narratives.
AB - This paper considers the processes through which historical narratives are
shaped. Interest in this area emerged from the author's struggle to understand
contemporary mental health nursing in Ireland, and to situate this profession
within its historical context and trajectory. It is argued that both the
historical narrative and contemporary image of Irish mental health nursing are
heavily influenced by the methodologies adopted by historians working in this
area. The 'progressive historical approach', which remains the predominant
methodological tradition adopted in nursing history, is firstly considered in
this paper. The limitations of this approach are explored and an 'interpretive
historical approach' is suggested as methodological counterpoint to the
progressive tradition. 'Critical perspectives' are also considered and
contextualized with reference to interpretive schools of thought. It is proposed
that a fusion of the interpretive and critical approaches can offer a sound
theoretical basis for the development of contemporary histories of nursing that
transcends progressive narratives of altruistic caring interventions.
PMID- 21896108
TI - Examination of the personalities and anxiety levels of children in prison: a
sample of crime in Mugla, Turkey.
AB - Child crime and their feelings of guilt have special importance because of their
impact on children's development and future life. In the last few years, the
number of young and child criminals has increased in Turkey. The sample for this
study is from Dugerek prison in Mugla, one of the cities of the Aegean region of
Turkey, from 18 February 2007 to 22 February 2007. The sampling group was
randomly chosen and consisted of 41 boys aged 15-18 from the child section of the
prison. There were no girls in that section. After a one-to-one oral interview
with the children, a questionnaire containing the Cornell Index, Inertial Anxiety
Inventory and demographic questions was administered. There was a highly
positive, meaningful relationship (r: 0.771, P < 0.05) between state anxiety and
trait anxiety levels. Our recommendation is that juvenile delinquents need more
psychological support than their peers with normal lives, so in order to
rehabilitate them, the number of the psychologists should be increased in this
prison.
PMID- 21896109
TI - Clinical differences between immigrants voluntarily and involuntarily admitted to
acute psychiatric units: a 3-year prospective study.
AB - Involuntary psychiatric admissions are widespread among patients with an
immigrant background. According to a study in Norway, involuntary admissions are
about 75% of admissions among immigrants compared to roughly 50% among ethnic
Norwegians. The aim of the present study was to compare clinical and demographic
characteristics of immigrant patients with involuntary or voluntary admissions to
two acute psychiatric units. A 3-year prospective study of 94 immigrant patients
involuntarily and voluntarily admitted to acute psychiatric units was carried
out. Sixty-two patients (66.0%) were voluntarily and 30 (31.9%) involuntarily
admitted. Involuntary admissions were significantly higher among men (22, 73.3%)
compared to women (8, 26.7%; chi(2) = 4.507, d.f. = 1, P= 0.03). The mean length
of stay for voluntary and involuntary patients were 7.8 (SD = 6.6) and 21.6 (SD =
27.3; t=-2.7, d.f. = 88, P= 0.01). Patients diagnosed with schizophrenia and
psychotic disorder were more often admitted involuntarily (63.0%; chi(2) = 17.83,
P= 0.001). This study confirms that immigrant patients diagnosed with psychotic
disorders are more often involuntarily than voluntarily admitted. Comparing the
clinical and demographic characteristics of immigrants helps identify the reasons
behind involuntary admissions and might improve efforts towards reducing such
admissions in the future.
PMID- 21896110
TI - Delivering a basic mental health training programme: views and experiences of
Mental Health First Aid instructors in Wales.
AB - Originating in Australia, 'Mental Health First Aid' (MHFA) is a way of providing
support to someone who is experiencing a mental health problem before
professional help is obtained. Positive evaluations have shown that it both
increases confidence while decreasing stigmatizing attitudes. However, the
evidence base surrounding the delivery of basic mental health programmes remains
underdeveloped. This descriptive qualitative study explored the views and
experiences of 14 MHFA instructors from across Wales through semi-structured
interviews, as a means to identify the experience of course delivery from their
perspective. Data were collected between January and April 2009. The study found
individuals benefited from being an MHFA instructor through increased confidence
and self-development. However, instructors encountered logistical difficulties in
course delivery and noted that as attendees related to the course material, they
wished to discuss their own mental health problems during the course. This
created considerable challenges for instructors, who noted both positive and
negative impacts on themselves, and on their expectations of the role of becoming
MHFA instructors. In conclusion, basic mental health training courses must build
a clear infrastructure, ongoing quality assurance processes and reliable support
structures to train, support and monitor those delivering them.
PMID- 21896111
TI - Mothers with mental illness experiencing homelessness: a critical analysis.
AB - The experiences of homeless mothers with mental illness were examined from the
critical perspective of feminist intersectionality. The purpose of this study was
to unveil experiences of oppression and resistance in the lives of homeless
mothers with mental illness, while learning from them what is conducive to their
health. A qualitative secondary analysis was done using focus group transcripts
from a study examining issues related to diversity and homelessness for
psychiatric survivors and a study on mental health and housing. A purposive
sample of 7 focus groups comprised of 67 participants was used for this study.
Findings revealed three overarching themes: (1) discrimination based on
intersecting social identities; (2) being stuck: the cycle of oppression; and (3)
we're not giving up: resistance through perseverance. The contextual influences
of mothering while homeless with a mental illness were emphasized in the results.
The findings illuminate the need for increased on ongoing advocacy at individual
and structural levels.
PMID- 21896112
TI - Reflections on the education and training of mental health staff who work with
women who have been sexually abused in childhood.
AB - Strategies to reduce sexual assault on women patients in mental health inpatient
acute wards have included effective policies, a consistent approach to recording
and monitoring data relating to incidences of sexual assault, increased staff
levels and improvements in the ward layout. A series of workshops were devised to
enable mental health staff to work with greater awareness and sensitivity to keep
women patients safer on inpatient wards. What emerged in particular during the
training was the ways in which staff felt at loss as to how to build therapeutic
relationships with women patients, particularly those with histories of sexual
abuse. These patients may repeat early ways of relating which may at times be a
challenge for the staff caring for them. This paper suggests that psychoanalytic
insights offer an understanding of these ways of relating. This can be combined
with frequent and supportive supervision to enable staff to safely articulate
their often troubling responses to caring for women patients, this creating a
caring and supportive environment for staff.
PMID- 21896113
TI - A role for mental health nursing in the physical health care of consumers with
severe mental illness.
AB - There is extensive international evidence that people with severe mental illness
have a lower standard of physical health than the general population. This leads
to higher morbidity and mortality rates. Many of the causes for this poor
physical health are modifiable. Yet the physical needs of this consumer group are
neglected by healthcare systems in Australia, and elsewhere. While medical
specialists are clearly integral to remedying this, nurses are well placed to
play a key role in focused prevention and early intervention in the physical well
being of consumers with mental health problems. This paper outlines the specifics
on how mental health nurses can be sensitized, prepared and empowered to help
turn this serious health issue around. In particular, mental health nurses could
be trained in and then utilize a new physical health check and response system in
the UK (called the Health Improvement Profile) if adapted for use within
Australia. This profile will be briefly introduced, and then its value to
improving health care discussed.
PMID- 21896114
TI - An analysis of discourses shaping mental health practitioners.
AB - A mental health practitioner (MHP) role was introduced to health services in
southern England in 2003. The paper will discuss the initial phase within a
longitudinal research study. A discursive approach will be adopted in order to
understand how healthcare discourses constrain and provide possibilities for the
emergence of a new worker role in mental health. The manner in which MHPs
understand and talk about their work is socially constructed in interaction and
constantly being modified by competing discourses. This paper will analyse three
overarching health discourses, namely, the biomedical, person-centred and
psychological discourses that have shaped MHP trainees. Discourses intersect to
inform the role, where practices of nursing, psychology, medicine and
occupational therapy are combined. Thus, the inclusion of physical, psychological
and person-centred components of care serve as a multifaceted approach to care.
This form of interprofessionalism leads MHPs one step closer in the advance
towards an interdisciplinary discourse of holistic care.
PMID- 21896115
TI - Educating healthcare professionals to act on the physical health needs of people
with serious mental illness: a systematic search for evidence.
AB - Healthcare professionals in primary and secondary care should monitor the
physical health of people with serious mental illness, yet in practice this does
not appear to be a routine intervention. Our objective is to develop evidence
based training for healthcare professionals to enable them all to offer better
physical care to this population. We performed a systematic search with the aim
of evaluating the current evidence of the efficacy of education interventions.
Search terms covered Severe Mental Illness, Physical Health and Education. The
search yielded 147 papers, of which none were eligible for inclusion. A number of
studies were excluded from this review as although there was an implicit
education package provided to healthcare professionals, no information was
reported on the outcomes of this education with regard to healthcare
professionals' knowledge, attitudes and behaviours. The only information that
these studies provided was patient-specific outcomes. It is vital that
researchers start to publish details of healthcare professional education and
their outcomes in physical health and serious mental illness research.
PMID- 21896116
TI - Parenting styles and eating disorders.
AB - The aim of the study was to analyse the parental bonding profiles in patients
with eating disorders (ED), as well as the relationship among the different
styles of parenting and some psychological and psychopathological variables. In
addition, the association between the perceived parental bonding and different
coping strategies was analysed. Perception of parenting styles was analysed in a
sample of 70 ED patients. The Parental Bonding Instrument, Self-Esteem Scale of
Rosenberg, Coping Strategies Inventory, State-Trait Anxiety Inventory, Beck
Depression Inventory and Eating Disorders Inventory-2 were used. Kruskal-Wallis
test (comparisons), Spearman correlation coefficients (association among
different variables) and chi(2)-test (parental bonding profiles differences) were
applied. The stereotyped style among ED patients is low care-high control during
the first 16 years, and the same can be said about current styles of the mothers.
Between 8.6% and 12.9% of the patients perceive their parents' styles as
neglectful. The neglectful parenting is the style mainly involved in the specific
ED symptoms as drive for thinness, body dissatisfaction and bulimia. In order to
achieve a better balanced parents' role during the treatment, it would be
necessary to improve the role of the mothers as caregivers, decreasing their role
mainly based on the overprotection.
PMID- 21896117
TI - Beliefs and attitudes of final-year nursing students on honour crimes: a cross
sectional study.
AB - The purpose of this study was to evaluate perceptions of nursing students about
honour crimes and examine their beliefs about inquiring information from the
victims of honour crimes. A questionnaire including demographic data was
administered to a sample of 225 male and female final-year students in a nursing
school. Among them, we found that significantly more male students than female
students justify honour crimes. Although the majority of both male and female
nursing students believed that asking for honour crimes is useful, significantly
more male than female nursing students were against screening for honour crimes.
This study supports the belief that gender has an influence on nurses'
perceptions, attitudes and tolerance of honour crimes.
PMID- 21896118
TI - Quality of Life Enjoyment and Satisfaction Questionnaire-short form for quality
of life assessments in clinical practice: a psychometric study.
AB - The Quality of Life Enjoyment and Satisfaction Questionnaire (Q-LES-Q) and its
short form (Q-LES-Q-SF) are among the most frequently used outcome measures in
psychiatry research. The aim of this study was to analyse the measurement
properties of the Q-LES-Q-SF for quality of life assessments in the clinical
settings. Fifty-seven adults with a psychiatric diagnosis participated.
Psychometric evaluation included descriptive analysis, internal consistency, test
retest reliability, validity, sensitivity and responsiveness analysis. The amount
of missing data was 5.3%, while no floor or ceiling effects were observed. The
internal consistency and test-retest coefficients were 0.9 and 0.93,
respectfully. Almost all items significantly correlated to the total score and
other measures used in the study, with the correlations ranging 0.41-0.81.
Finally, the responsiveness parameters indicated the Q-LES-Q-SF is 80% sensitive
and 100% specific measure. This preliminary analysis of the Q-LES-Q-SF
demonstrated that it could produce reliable and valid clinical assessments of
quality of life.
PMID- 21896119
TI - Making an impact: The International Journal of Mental Health Nursing with a
bullet.
PMID- 21896120
TI - Mental health reform in Qatar.
PMID- 21896121
TI - Placing physical activity in mental health care: a leadership role for mental
health nurses.
AB - The wide-ranging benefits of physical activity for consumers with mental illness
are acknowledged within the mental health nursing field; however, this is not
commonly translated to practice. The primary aim of this paper is to argue that
mental health nurses are well positioned to, and should, provide leadership in
promoting physical activity to improve the quality of care for people with mental
illness. Topics addressed in this paper include the relationship between physical
activity and both physical and mental health, the views and experiences of
consumers with physical activity, the efficacy of physical activity
interventions, the attitudes of nurses to physical activity as a component of
care, barriers to a physical activity focus in care for mental illness, and the
role of mental health nurses in promoting physical activity. There is a clear and
important relationship between physical activity and mental health. Mental health
nurses are well positioned to encourage and assist consumers to engage in
physical activity, although they might lack the educational preparation to
perform this role effectively.
PMID- 21896122
TI - Myth of mental health nursing and the challenge of recovery.
AB - Although the concept of 'mental health nursing' has grown in popularity over the
past 35 years, it remains a myth. People believe that they know what it is and
value it highly, but cannot describe or define it other than in vague terms. This
paper briefly charts the rise of 'mental health nursing', emphasizing its
political implications, and in particular, the drive towards an embrace of a
person-centred, recovery-focused approach to care. If nurses are to realize such
ambitions, they must resolve their historical association with psychiatric
nursing. The concept of the 'mental health nurse' might signal the emergence of a
new vision for human services, but might also signal the need for 'mental health
nurses' to negotiate a formal separation from the traditional 'psychiatric'
family.
PMID- 21896124
TI - Phentermine therapy for obesity does not elevate blood pressure.
PMID- 21896125
TI - Genetic mosaicism and Fitzpatrick skin type.
PMID- 21896126
TI - Is cosmetic platelet-rich plasma a drug to be regulated by the Food and Drug
Administration?
AB - In the area of cosmetic dermatology, some physicians have been injecting
activated platelet-rich plasma into the face to promote cosmesis or using it to
enhance fat grafts. However, subtle changes to the federal drug code (21 CFR
1271.1) made in 2004, when applied to activated PRP, purport to make this
autologous substance a federally regulated drug requiring an extensive and costly
Biologics License Application. Are autologous cells drugs? Many physicians
believe there are significant problems with this regulatory paradigm.
PMID- 21896127
TI - Randomized trial comparing a chemical peel containing a lipophilic hydroxy acid
derivative of salicylic acid with a salicylic acid peel in subjects with
comedonal acne.
AB - BACKGROUND: Lipohydroxyacid is a lipophilic derivative of salicylic acid with
comedolytic properties. OBJECTIVES: To compare lipohydroxyacid and salicylic acid
peels in subjects with comedonal acne. METHODS: In this split face, randomized
study, 20 subjects with comedonal acne received lipohydroxyacid peels on one side
of the face, while the other side was treated with salicylic acid peels. A total
of six peels at 2-week intervals were performed. Efficacy was evaluated by
counting noninflammatory and inflammatory lesions and by performing a global
change in acne assessment. Safety was assessed by evaluating adverse events,
global tolerance, and the presence of erythema, scaling, and dryness. RESULTS:
There was a statistically significant decrease of 55.6% and 48.5% from baseline
to Day 98 in the mean number of noninflammatory lesions for the sides treated
with lipohydroxyacid and salicylic acid peels, respectively (P < 0.001). There
was no significant difference in the degree of reduction in noninflammatory
lesions between the two peels. There was no significant reduction in the number
of inflammatory lesions. Both peels were generally very well tolerated.
CONCLUSION: This study suggests that lipohydroxyacid peels can be beneficial to
subjects with comedonal acne.
PMID- 21896128
TI - Enhanced delivery of cosmeceuticals by microdermabrasion.
AB - BACKGROUND: Microdermabrasion (MDA) is one of the top five nonsurgical cosmetic
procedures performed. It is a well-established technology with widespread
applications in the cosmetic industry. AIMS: To investigate the effects of MDA on
skin and delivery of cosmeceuticals. METHODS: The alternation of skin structure
post-MDA was examined by histological sectioning and transepidermal water loss
measurements. The effect of MDA treatment on skin permeation profiles of
hydrophilic and lipophilic molecules was investigated by laser scanning confocal
microscopy and in vitro permeation studies. RESULTS: Confocal images indicated
different absorption profiles and permeation depths for hydrophilic and
lipophilic molecules. Microdermabrasion enhanced the transdermal delivery of
nicotinamide, the model hydrophilic compound employed. On the other hand,
permeation of retinol, the model lipophilic compound, did not improve after
treatment with MDA. When treated with 20 passes, the skin recovered from MDA
induced changes in 4 days. CONCLUSION: Permeation of cosmeceuticals into skin was
found to be affected by their lipophilicity. Application of skin care products
post-MDA therapy may be promising to improve their dermal uptake.
PMID- 21896129
TI - A clinical evaluation of the comparable efficacy of hyaluronic acid-based foam
and ceramide-containing emulsion cream in the treatment of mild-to-moderate
atopic dermatitis.
AB - BACKGROUND: A variety of prescription devices have been developed to improve
barrier function in persons with atopic dermatitis. These products are based
primarily on the use of occlusive agents to decrease transepidermal water loss,
creating an environment for optimal healing. AIM: A newly developed hyaluronic
acid based, pH neutral foam technology formulated to maximize humectancy and
normalize transepidermal waster loss was evaluated for its ability to optimize
barrier function while minimizing unnecessary irritation. METHODS: This double
blind split body study enrolled 20 subjects with mild to moderate symmetrical
atopic dermatitis involving body surface area greater than or equal to 10% using
the arms or the legs as the target site. Subjects were randomized to apply the
hyaluronic acid based emollient foam or the reference ceramide-containing
emulsion cream to one side of the body with the other test product applied to the
opposite side. Subject and investigator ratings were made for erythema, scaling,
lichenification, excoriation, itching, stinging, and burning at baseline, week 2,
and week 4. RESULTS: Both formulations achieved statistically significant
improvement in all clinical signs and symptoms of atopic dermatitis by week 4,
however the hyaluronic acid foam achieved statistically significant improvement
in overall eczema severity by week 2, whereas the ceramide-containing emulsion
cream did not. The subject's preference statistically significantly favored the
foam in terms of ability to spread, moisturize, ease of use, and lack of odor. In
addition, the foam was preferred for effectiveness and ability to soothe.
CONCLUSION: A prescription hyaluronic acid based foam device offers an aesthetic
formulation with excellent efficacy in patients requiring an environment for
barrier repair with mild to moderate atopic dermatitis.
PMID- 21896130
TI - Efficacy of a novel hydroquinone-free skin-brightening cream in patients with
melasma.
AB - BACKGROUND: For treating irregular skin pigmentation, a hallmark of premature
skin aging, safe and effective alternatives to hydroquinone and kojic acid are
being researched. AIMS: Four skin-brightening actives targeting melanin formation
at multiple levels, namely disodium glycerophosphate, L-leucine, phenylethyl
resorcinol, and undecylenoyl phenylalanine, in an oil-in-water emulsion cream
were evaluated. PATIENTS/METHODS: Twenty female patients with mild-to-moderate
epidermal melasma were included. After a washout period of 1 month with a
sunscreen, they continued using the sunscreen and added the novel skin
brightening cream for 12 weeks twice daily to entire face. RESULTS: Whereas there
was no significant change in skin pigmentation during the washout, signs for
uneven skin tone including melasma area and severity and appearance of lentigines
significantly decreased by up to 43% after the 12-week treatment period with the
skin-brightening cream. The skin-brightening complex is well tolerated, which
should allow its continued use over a prolonged period of time, in particular,
when comparing skin-brightening approaches with exfoliating or peeling agents.
CONCLUSIONS: When used with a daily sunscreen, the skin-brightening complex
represents a valuable alternative to hydroquinone products and can be used for
maintenance or adjunct skin care with lightening therapies.
PMID- 21896131
TI - Patient knowledge and attitudes on laser hair removal: a survey in people of
color.
AB - BACKGROUND: It is now widely accepted that dark-complexioned skin individuals can
be safely treated with laser hair removal (LHR). However, some people are still
concerned about the side effects or have been told that hair removal laser is not
possible in darkly pigmented skin. AIMS: To measure the knowledge and attitudes
of African Americans about LHR and assess the need for additional education
focusing on LHR. PATIENTS/METHODS: A cross-sectional survey with questionnaire
was administered to African American subjects between the ages of 21-70 years.
Questions comprised attitudes and knowledge about LHR. Demographic
characteristics, attitudes, and knowledge were assessed by descriptive
statistics. Results were considered statistically significant if P <0.05.
RESULTS: The survey was completed by 221 African American subjects. There were
only 122 subjects (55.2%) who knew that dark-skinned individuals can be treated
with LHR. Only 44.3% of subjects believed that dark-skinned people are more prone
to develop hyperpigmentation from LHR. Female subjects and subjects with higher
educational background have a higher tendency to consider LHR as a treatment
choice (P < 0.05). CONCLUSIONS: This study shows that many African Americans
still have negative attitudes and lack knowledge about LHR. The results of this
study provide information that can lead to better informed dermatology providers
who can then better educate patients of color regarding LHR knowledge.
PMID- 21896132
TI - A 10% glycolic acid containing oil-in-water emulsion improves mild acne: a
randomized double-blind placebo-controlled trial.
AB - BACKGROUND: Acne is characterized by hyperseborrhea, follicular hyperkeratosis,
and growth of propionibacteria. Alpha hydroxy acids depending on the pH of the
finished product exhibit comedolytic as well as antimicrobial properties.
OBJECTIVES: The aim of this study was to investigate an oil-in-water emulsion
containing 10% glycolic acid (pH 4; Dr. August Wolff GmbH & Co. KG Arzneimittel,
Bielefeld, Germany) as monotherapy in mild acne regarding clinical efficacy and
tolerability for 90 days. PATIENTS AND METHODS: Patients (n = 120; 73 f, 47 m)
suffering from mild acne (Leeds score 0.25-1) aged >=12 (mean 21 +/- 5.8) were
included in this double-blind, placebo-controlled, randomized, monocentric trial.
The cream was applied once daily in the evening. No additional products were
used. Cleansing was standardized by supplying the same product to all patients.
RESULTS: The number of patients (n = 115) in the per-protocol and intention-to
treat analysis was the same. Acne improved significantly in the verum group up to
day 90. Already at day 45, there was a statistical significant (5% level)
difference against placebo. The subjective evaluation of the verum by physicians
and patients regarding clinical efficacy and tolerability was favorable.
Regarding reported adverse effects, there was no statistically significant
difference (5% level) between verum and placebo. CONCLUSIONS: The 10% glycolic
acid containing oil-in-water emulsion improved mild acne applied as monotherapy
in this study significantly, already after 45 days of treatment. Regarding
tolerability, there was no objective or subjective difference between the 10%
glycolic acid containing oil-in-water emulsion and the corresponding placebo.
PMID- 21896133
TI - A study of fractional CO2 laser resurfacing: the best fluences through a
clinical, histological, and ultrastructural evaluation.
AB - BACKGROUND: Fractional resurfacing is a laser treatment modality to create
numerous microscopic thermal injury zones of controlled width, depth, and density
that are surrounded by a reservoir of spared epidermal and dermal tissue,
allowing rapid repair of laser-induced thermal injury. OBJECTIVE: To evaluate the
safety and efficacy of a fractional CO(2) laser system in the treatment of photo
damaged skin with clinical, histological, and ultrastructural evaluation, with
special attention to one of the parameters of this laser system: the fluences.
MATERIALS AND METHODS: Twelve patients with Fitzpatrick skin types II to III with
photo-damage skin underwent fractional laser treatment with one single-pass
superficial on the face and forearm. Clinical outcome and histological and
ultrastructural changes were assessed. RESULTS: Light microscopy of biopsies gave
important information about skin changes at three different times after
fractional treatment, especially revealing some differences between the fluences
used in the three groups of patients. CONCLUSION: Fractional resurfacing offers
significant surgical advantages allowing to achieve excellent esthetic results in
balance with the biological structure. Besides, our study shows already that with
2.07 and 2.77 J/cm(2) , instead of 4.15 J/cm(2) , it is possible to reach a
biological response without scar formation.
PMID- 21896134
TI - Facial skin-lightening benefits of the tetrapeptide Pro-Lys-Glu-Lys on subjects
with skin types V-VI living in South Africa.
AB - BACKGROUND: Irregular skin pigmentation may be a substantial contributor to the
signs of aging and to a person's lack of psychological well-being. Although a
large number of skin-lightening agents are available, the opportunity exists to
identify more efficacious agents, agents that target alternative biological
mechanisms. AIMS: To provide clinical evidence of the skin-lightening effect of
the tetrapeptide, Pro-Lys-Glu-Lys (PKEK), on subjects with skin types V-VI living
in South Africa. METHODS: Pro-Lys-Glu-Lys was evaluated in a double-blind and
vehicle-controlled clinical study using expert grading of digital images by
comparing its effects in subjects with skin types V-VI suffering from facial
melasma and postinflammatory hyperpigmentation. RESULTS: This study demonstrated
the efficacy of PKEK on subjects with skin types V-VI. On comparing the two
treatments, the skin-lightening peptide-containing formulation was significantly
superior to the vehicle at 12 weeks on overall appearance (P < 0.05) and evenness
of skin tone (P < 0.01). CONCLUSIONS: The tetrapeptide, PKEK, has proven skin
lightening benefits on skin discoloration from melasma and postinflammatory
hyperpigmentation. These studies have been conducted on subjects with skin types
V-VI living in South Africa, but we believe this technology to be suitable for
all racial groups.
PMID- 21896135
TI - Extensive necrosis after injection of hyaluronic acid filler: case report and
review of the literature.
AB - BACKGROUND: Use of dermal fillers for soft tissue augmentation has become an
integral part of aesthetic practices. Dermal fillers temporarily remove the
appearance of rhytids and reduce the depth of skin folds. Even with the most
experienced of injectors, adverse effects can and do occur ranging from mild
bruising to severe injection necrosis. AIMS: Physicians should be able to treat
the severe complication of vascular necrosis and detect impending necrosis after
injection of a dermal filler, especially with hyaluronic acid fillers. MATERIALS
AND METHODS: Case report of a patient who was followed for 6 months from time of
injection of hyaluronic acid filler to complete healing of wound. RESULTS:
Complete wound healing was achieved with early recognition and institution of
treatment. DISCUSSION: We review a case report of injection necrosis and methods
used to prevent and treat this complication. CONCLUSION: Early recognition of
vascular necrosis with specific protocol for treatment after injection necrosis
with hyaluronic acid fillers improves the outcome of wound healing.
PMID- 21896136
TI - Safety and efficacy of administering abobotulinumtoxinA through a single
injection point when treating lateral periocular rhytides.
AB - A retrospective analysis was performed to assess efficacy and patient
satisfaction associated with AbobotulinumtoxinA for the treatment of dynamic
periocular rhytides. When keeping the total dose of ABA the same for each side of
the face, one injection point yielded the same efficacy and safety as three
separate injection points into the lateral periocular areas.
PMID- 21896137
TI - Nodular skin reactions in eyebrow permanent makeup: two case reports and an
infection by Mycobacterium haemophilum.
AB - BACKGROUND: Permanent makeup is becoming more and more popular. The procedures,
however, bear some medical risks. AIMS: We will describe possible adverse effects
of the procedure. METHODS: This is a report of clinical observations. RESULTS: We
report about two women aged 26 and 47 years, who developed nodules with some
delay after permanent tattooing the eyebrows. Clinical, histologic, and
laboratory investigations revealed a noninfectious granulomatous reaction not
responding to topical calcineurin inhibitor but corticosteroids in the younger
patient. In the other woman, an infection by Mycobacterium haemophilum could be
identified. A triple combination of clarithromycin, ciprofloxacin, and rifampicin
succeeded in clearance of the lesions. CONCLUSIONS: Adverse reactions after
permanent makeup need a medical evaluation to identify health risks and initiate
early treatment.
PMID- 21896138
TI - Decrease in glutathione may be involved in pathogenesis of acne vulgaris.
AB - BACKGROUND: Some past studies reported that oxidative stress components such as
reactive oxygen species (ROS) or lipid peroxide (LPO) are involved in the
pathogenesis and progression of acne vulgaris. In this study, we hypothesized
that the pathogenesis of acne vulgaris may depend on the differences in
antioxidative activity among antioxidants in our body. We collected samples of
stratum corneum from acne patients and healthy subjects and compared the quantity
of gluthathione (GSH), one of many antioxidative components in our body, for
comparison. METHODS: Samples of stratum corneum were collected from facial acne
involved lesion, facial uninvolved area, and the medial side of the upper arm in
acne vulgaris patients. Similarly, samples were collected from a facial
uninvolved area and the medial side of the upper arm in healthy subjects. The
quantity of GSH was measured in each area. In vitro effects of alpha-melanocyte
stimulating hormone (alpha-MSH) on GSH synthesis-related gene were also examined.
RESULTS: The quantity of GSH in stratum corneum from each area was significantly
lower in acne vulgaris patients than that of healthy subjects. There was no
significant difference in quantity of GSH between the acne-involved lesion and
uninvolved area in acne patients. In vitro studies showed that the expression
level of Glutamate-cysteine ligase catalytic subunit (GCLC), one of the GSH
synthesis-related genes, was significantly decreased by the additional use of
alpha-MSH. CONCLUSIONS: We conclude that a decline in antioxidative activity led
by a decrease in GSH quantity may play an important role in pathogenesis of acne
vulgaris. The use of alpha-MSH may further decrease the GSH level.
PMID- 21896139
TI - The impact of topical photoprotectants intended for daily use on lifetime
ultraviolet exposure.
AB - BACKGROUND: Exposure to solar ultraviolet (UV) radiation is believed to be an
important contributor to facial photoaging. Daily application of topical
photoprotectants is thought to mitigate this process. OBJECTIVES: To examine the
importance of a number of independent factors in reducing the lifetime UV
exposure of facial skin achieved by daily use of photoprotective products.
METHODS: A behavioral model of solar UV exposure to the face is incorporated with
the spectral profile of two different candidate topical products, the age at
which regular photoprotection begins, the SPF of the products, and whether the
product is applied year-round or just in the summer months to examine the
reduction in lifetime UV exposures achieved by daily use of photoprotective
products. RESULTS: The results show that regular use of topical photoprotective
agents reduces significantly lifetime UV exposure to the face compared with
nonuse. Analysis of variance shows that the most important factor is to begin
regular daily use early in life. The SPF and spectral profile of the product is
of lesser importance, as is whether daily use is confined to the summer months
rather than year-round. CONCLUSIONS: While it remains unproven and speculative,
there is good reason to suppose that regular use of daily facial topical products
containing UV filters, particularly if started early in adult life, will be
translated into fewer visible signs of aging later in life.
PMID- 21896141
TI - A compendium of antihypertensive therapy.
PMID- 21896142
TI - Thiazide and loop diuretics.
AB - KEY POINTS AND PRACTICAL RECOMMENDATIONS: * Although chlorthalidone and
hydrochlorothiazide are structurally similar, they are very different
pharmacokinetically, with chlorthalidone having both an extremely long half-life
(approximately 40 to 60 hours) and a large volume of distribution, with gradual
elimination from the plasma compartment by tubular secretion. * Furosemide
usage, the most widely used diuretic in the loop diuretic class, can be
complicated by extremely erratic absorption, with a bioavailability range of 12%
to 112%. * Chlorthalidone, at a dose of 25 mg, is comparatively more potent than
50 mg of hydrochlorothiazide, particularly as related to overnight blood pressure
reduction. * In ALLHAT, there was no difference among chlorthalidone,
amlodipine, lisinopril, and doxazosin for the primary outcome or mortality. *
Secondary outcomes were similar except for a 38% higher rate of heart failure
with amlodipine; a 10% higher rate of combined cardiovascular disease, a 15%
higher rate of stroke, and a 19% higher rate of heart failure with lisinopril;
and a 20% higher rate of cardiovascular disease, a 20% higher rate of stroke (40%
higher rate in blacks), and an 80% higher rate of heart failure with doxazosin,
compared with chlorthalidone. * The ACCOMPLISH study may affect future practice
guidelines as a result of its findings favoring the amlodipine/benazepril
combination; however, the generalizability to patient populations with a lesser
cardiovascular risk profile remains in question and the dose of
hydrochlorothiazide was only 12.5 mg to 25 mg daily, which was a dose lower than
that used in placebo-controlled trials using hydrochlorothiazide. * Certain low
renin patient groups (eg, blacks, the elderly, and diabetics) as well as those
who manifest the metabolic syndrome are commonly more responsive to thiazide-type
diuretic therapy. * Diuretics can be successfully combined with beta-blockers,
angiotensin-converting enzyme inhibitors, angiotensin receptor blockers,
centrally acting agents, and even calcium channel blockers. * Although thiazide
type diuretics are among the best-tolerated antihypertensive agents in terms of
symptomatic adverse effects, diuretic-related adverse side effects include those
with established mechanisms (eg, such as electrolyte changes and/or metabolic
abnormalities) and other side effects, which are less well understood
mechanistically (eg, impotence), although the latter is not universally accepted
as a diuretic-related side effect. * Thiazide-induced hypokalemia is associated
with increased blood glucose, and treatment of thiazide-induced hypokalemia may
reverse glucose intolerance and possibly prevent diabetes. * Thiazide-induced
hyperuricemia occurs as a result of volume contraction and competition with uric
acid for renal tubular secretion, but does not necessarily contraindicate using a
thiazide, especially if a uric acid-lowering drug such as allopurinol is being
used. * Adverse interactions include the blunting of thiazide effects by
nonsteroidal anti-inflammatory drugs and the potential to increase fatigue,
lethargy, and increase in glucose when combined with beta-blockers. * Thiazide
type diuretics are useful first-line agents in the treatment of hypertension
because they have been proven to reduce cardiovascular mortality and morbidity in
systolic and diastolic forms of hypertension and do so at low cost. * Loop
diuretics should not be used as first-line therapy in hypertension since there
are no outcome data with them. They should be reserved for conditions of
clinically significant fluid overload (eg, heart failure and significant fluid
retention with vasodilator drugs, such as minoxidil) or with advanced renal
failure and can be combined with thiazide-type diuretics.
PMID- 21896143
TI - Aldosterone blockers (mineralocorticoid receptor antagonism) and potassium
sparing diuretics.
AB - KEY POINTS AND PRACTICAL RECOMMENDATIONS: * Mineralocorticoid receptor (MR)
antagonists (aldosterone blockers) provide effective antihypertensive treatment,
especially in low-renin and salt-sensitive forms of hypertension, including
resistant hypertension. * Newer, more selective MR antagonists (eg, eplerenone)
have fewer of the progestational and antiandrogenic effects than spironolactone,
enhancing tolerability and potentially improving adherence to therapy. * MR
antagonists provide an additional benefit in the treatment of heart failure when
combined with angiotensin-converting enzyme inhibitors, digoxin, and loop
diuretics. * Other potassium-sparing diuretics (amiloride or triamterene) are
generally prescribed for essential hypertension as a fixed-dose combination with
hydrochlorothiazide. * The dose range for spironolactone with resistant
hypertension is between 25 mg/d and 50 mg/d, and eplerenone is an appropriate
alternative if spironolactone is not tolerated because of sexual side effects. *
In general, the combined use of spironolactone and adequate doses of a thiazide
diuretic or a thiazide-like agent such as chlorthalidone for the treatment of
resistant hypertension maximizes efficacy and reduces the risk of spironolactone
induced hyperkalemia.
PMID- 21896144
TI - beta-Adrenergic blockers.
AB - KEY POINTS AND PRACTICAL RECOMMENDATIONS: * beta-Blockers are appropriate
treatment for patients with hypertension and those who have concomitant ischemic
heart disease, heart failure, obstructive cardiomyopathy, or certain arrhythmias.
* beta-Blockers can be used in combination with other antihypertensive drugs to
achieve maximal blood pressure control. Labetalol can be used in hypertensive
emergencies and urgencies. * beta-Blockers may be useful in patients having
hyperkinetic circulation (palpitations, tachycardia, hypertension, and anxiety),
migraine headache, and essential tremor. * beta-Blockers are highly
heterogeneous with respect to various pharmacologic effects: degree of intrinsic
sympathomimetic activity, membrane-stabilizing activity, beta(1) selectivity,
alpha(1) -adrenergic-blocking effect, tissue solubility, routes of systemic
elimination, potencies and duration of action, and specific effects may be
important in the selection of a drug for clinical use. * beta-Blocker usage to
reduce perioperative ischemia and cardiovascular complications may not benefit as
many patients as was once hoped and may actually cause harm in some individuals.
Currently the best evidence supports beta-blocker use in two patient groups:
patients undergoing vascular surgery with known ischemic heart disease or
multiple risk factors for it and for patients already receiving beta-blockers for
known cardiovascular conditions.
PMID- 21896145
TI - Alpha 1 adrenoreceptor antagonists.
AB - KEY POINTS AND PRACTICAL RECOMMENDATIONS: * alpha Antagonists lower blood
pressure by selectively blocking post-synaptic alpha(1) -adrenoreceptors, which
antagonizes catecholamine-induced constriction of the arterial and venous
vascular beds. * alpha(1) -Adrenoreceptor antagonists are not indicated for
initial, first-line antihypertensive therapy; however, they can be added to most
other antihypertensive drug classes in--preferably diuretic-containing--drug
regimens. * When used over time, these agents cause expansion of the
extracellular fluid and plasma volumes that typically manifests as weight gain
and an attenuation of the blood pressure-lowering efficacy in persons who are
consuming usual amounts of dietary sodium. * Utilization of alpha(1)
adrenoreceptor antagonists with diuretics such as chlorthalidone or
hydrochlorothiazide is beneficial because these agents minimize the alpha
antagonist-induced expansion of the extracellular and plasma volumes while
providing significant incremental reductions in blood pressure. * alpha(1)
Adrenoreceptor antagonists are especially useful in men with benign prostatic
hypertrophy because they increase mean and peak urinary flow rates as well as
reduce lower urinary tract symptoms. * alpha(1) -Adrenoreceptor antagonists are
contraindicated in persons with heart failure because of their aforementioned
ability to expand extracellular and plasma volumes.
PMID- 21896146
TI - Central sympatholytic drugs.
AB - KEY POINTS: * Central sympatholytic drugs reduce blood pressure mainly by
stimulating central alpha(2) -adrenergic receptors in the brainstem centers,
thereby reducing sympathetic nerve activity and neuronal release of
norepinephrine to the heart and peripheral circulation. * This class of drugs,
however, is currently used mainly as fourth-line (or beyond) drug therapy for
hypertension because of side effects of drowsiness, fatigue, and dry mouth. *
Rebound hypertension is also another major concern in certain drugs with a short
half-life, particularly in patients who are nonadherent to the regimen.
Therefore, their use on a "PRN" basis for treatment of blood pressure surge in
the absence of symptoms or acute target complications should also be avoided.
PMID- 21896147
TI - Renin inhibitors.
AB - KEY POINTS AND PRACTICAL RECOMMENDATIONS: * Aliskiren, the sole oral renin
inhibitor approved by the US Food and Drug Administration, is indicated for the
treatment of hypertension, either as monotherapy or in combination, with
reductions in blood pressure similar to other agents. * Early evidence suggests
that aliskiren confers additional benefit in patients with diabetic nephropathy.
Data are not yet available to determine whether protection will extend to
cardiovascular disease. * No initial dosage adjustment is required in elderly
patients or for patients with mild to severe renal impairment; however, clinical
experience is limited in patients with significant renal impairment, and with
renal artery stenosis. * It appears rational to combine aliskiren with agents
that otherwise increase plasma renin activity, including thiazide diuretics,
angiotensin-converting enzyme inhibitors, and angiotensin receptor blockers. *
While there is a reactive rise in renin in response to aliskiren, probably larger
than that induced by angiotensin receptor blockers and angiotensin-converting
enzyme inhibitors, there is no evidence that this rise is harmful. * In placebo
controlled studies, the incidence of edema anywhere in the body was 0.4% with
aliskiren compared with 0.5% with placebo. It is unknown whether angioedema rates
are higher in blacks with aliskiren. * Aliskiren is associated with a slight
increase in cough, with rates of about one third to one half seen with
angiotensin-converting enzyme inhibitors. * Increases in serum potassium >5.5
meq/L were infrequent in patients with essential hypertension treated with
aliskiren alone (0.9% compared with 0.6% with placebo).
PMID- 21896148
TI - Angiotensin-converting enzyme inhibitors.
AB - KEY POINTS AND RECOMMENDATIONS: * In addition to hypertension, angiotensin
converting enzyme inhibitors are indicated for treatment of patients at high risk
for coronary artery disease, after myocardial infarction, with dilated
cardiomypathy, or with chronic kidney disease. * The most familiar angiotensin
converting enzyme subtype, angiotensin-converting enzyme-1 (kininase II), cleaves
the vasoconstrictor octapeptide angiotensin II from its inactive decapeptide
precursor, angiotensin I, while simultaneously inactivating the vasodilator
bradykinin. * Biochemical pathways within and around the renin-angiotensin
system are highly species-specific; there is little evidence that "angiotensin
converting enzyme bypass pathways" have major clinical implications in humans. *
Dietary sodium loading can diminish or abolish the antihypertensive effect of an
angiotensin-converting enzyme inhibitor, while salt restriction or concomitant
diuretic therapy enhances it. * Dose-response curves with angiotensin-converting
enzyme inhibitors are quite flat but their peak effects vary in different
individuals. * Increased serum creatinine (decreased glomerular filtration rate)
during acute or chronic angiotensin-converting enzyme inhibition identifies
individuals likely to experience long-term renal protective benefits. *
Angiotensin-converting enzyme inhibitors are contraindicated in pregnancy due to
fetal toxicity. * Use of angiotensin-converting enzymes can be limited by
idiosyncratic reactions (cough or angioedema), hyperkalemia (usually in cardiac
or renal failure or with combined renin-angiotensin blockade) or hypotension
(usually with severe volume-depletion or cardiac failure).
PMID- 21896150
TI - Angiotensin receptor blockers: pharmacology, efficacy, and safety.
AB - KEY POINTS AND PRACTICAL RECOMMENDATIONS: * The angiotensin receptor blockers
are highly effective antihypertensive agents that are also particularly well
tolerated. * There are no major differences in efficacy or other clinical
characteristics among older drugs in this class, although some of the newer
agents may more effectively reduce blood pressure than older agents. * Major
randomized clinical trials have demonstrated that angiotensin receptor blockers
provide significant outcomes benefits in conditions such as diabetic nephropathy,
chronic heart failure or heart failure following myocardial infarction,
hypertension with left ventricular hypertrophy and in patients whose histories of
previous events or complicated diabetes puts them at high cardiovascular risk. *
In treating hypertension, angiotensin receptor blockers can be used as first-line
therapy or added at later stages of treatment titration. * These drugs are very
effective in combination with thiazide diuretics or calcium channel blockers and
there are several single-pill, fixed-dose combinations of angiotensin receptor
blockers with hydrochlorothiazide, amlodipine, or aliskiren. These combinations
can be given as initial therapy (where appropriate) or later in the course of
treatment. Three-drug combinations (angiotensin receptor blocker plus amlodipine
plus hydrochlorothiazide and angiotensin receptor blocker plus aliskiren plus
hydrochlorothiazide) are also available.
PMID- 21896151
TI - Calcium channel blockers.
AB - KEY POINTS AND PRACTICAL RECOMMENDATIONS: * Calcium channel blockers, which
dilate arteries by reducing calcium flux into cells, effectively lower blood
pressure, especially in combination with other drugs, and some formulations of
agents of this class are approved for treating angina or cardiac dysrhythmias. *
Calcium channel blockers reduce blood pressure across all patient groups,
regardless of sex, race/ethnicity, age, and dietary sodium intake. *
Nondihydropyridine calcium channel blockers are more negatively chronotropic and
inotropic than the dihydropyridine subclass, which is important for patients with
cardiac dysrhythmias or who need beta-blockers. * Extensive experience in
comparative randomized trials indicates that an initial calcium antagonist can
prevent all major types of cardiovascular disease, except heart failure (for
which a diuretic is superior). Initial dihydropyridine calcium channel blockers
have not reduced the rate of progression of renal disease as well as inhibitors
of the renin-angiotensin system, although members of the nondihydropyridine
subclass can reduce albuminuria. * High doses of dihydropyridine calcium channel
blockers often cause edema, headache, flushing and tachycardia; high doses of
verapamil can cause constipation. Diltiazem and verapamil have important drug
interaction with digoxin and cyclosporine, among others.
PMID- 21896152
TI - Direct-acting vasodilators.
AB - KEY POINTS AND PRACTICAL RECOMMENDATIONS: * Hydralazine and minoxidil act by
dilating resistance arterioles, thus reducing peripheral resistance, with no
dilating effect on the venous side of the circulation. * There is a baroreflex
mediated venoconstriction, resulting in an increase in venous return to the
heart, along with a direct catecholamine-mediated positive inotropic and
chronotropic stimulation of the heart. * Hydralazine therapy is usually combined
with a sympathetic inhibitor to prevent expression of this reflex, as well as
with a diuretic agent to prevent sodium retention caused by reduction in renal
perfusion pressure. * Hydralazine is indicated in the long-term therapy of
essential hypertension, in the short-term therapy of pregnancy-induced
hypertension and eclampsia, and in the therapy of hypertensive crisis. * Adverse
effects include the anticipated tachycardia, fluid retention, and headache,
caused by the vasodilation, especially in the early days of therapy, but may
frequently be prevented by the concomitant use of a beta-blocker. * As with
other drugs that are N-acetylated, there is a low risk of lupus-like syndrome
with high doses and long-term use. * Because of the severity of adverse effects
with minoxidil, its usage is limited to persons with severe hypertension
unresponsive to other treatments. * Hirsutism, a common side effect of
minoxidil, is particularly bothersome in women and reverses in a few months after
discontinuation. * Sodium nitroprusside is used in the intensive care setting to
lower pressure in hypertensive crisis or to treat severe left ventricular
failure, particularly valuable when elevated pressure or severe left ventricular
failure threatens the patient's survival. * Although nitrates have not achieved
widespread use as antihypertensive agents, they are effective in producing
sustained blood pressure (BP) reductions when added to other antihypertensive
regimens.
PMID- 21896153
TI - PROGRESS: Prevention of Recurrent Stroke.
AB - The Perindopril Protection Against Recurrent Stroke Study (PROGRESS) was a
randomized placebo-controlled trial which clearly demonstrated that perindopril
based blood pressure (BP)-lowering treatment is one of the most effective and
generalizable strategies for secondary prevention of stroke. Beneficial effects
of BP lowering were observed on recurrent stroke, other cardiovascular events,
disability, dependency, and cognitive function across a variety of subgroups
defined by age, sex, geographical region, body mass index, diabetes, atrial
fibrillation, chronic kidney disease, and baseline BP levels. Once patients with
stroke have stabilized, all patients should receive BP-lowering therapy
irrespective of their BP levels. On the basis of recommendations from current
international guidelines, BP should be lowered to <140/90 mm Hg in all patients
with cerebrovascular disease and to <130/80 mm Hg if therapy is well tolerated.
PMID- 21896154
TI - Factors associated with within-herd transmission of serotype A foot-and-mouth
disease virus in cattle, during the 2001 outbreak in Argentina: a protective
effect of vaccination.
AB - Argentina suffered an extensive foot-and-mouth disease (FMD) epidemic between
July 2000 and January 2002, 3 months after obtaining the official FMD-free
without vaccination status conferred by the World Organization for Animal Health.
This is one of the largest FMD epidemics controlled by implementation of a
systematic mass vaccination campaign in an FMD-free country. In 2000, 124 herds
were reported as FMD positive, 2394 herds in 2001 and one in January 2002; the
total number of cattle herds in the country at that time was approximately 230
000. Estimates of FMD transmission are important to understand the dynamics of
disease spread and for estimating the value for the parameterization of disease
transmission models, with the ultimate goals of predicting its spread, assessing
and designing control strategies, conducting economic analyses and supporting the
decision-making process. In this study, the within-herd coefficient of
transmission, beta, was computed for herds affected in the 2001 FMD epidemic and
categorized as low or high based on the median value of beta. A logistic
regression model was fitted to identify factors significantly associated with
high values of beta. Results suggested that the odds of having a high within-herd
transmission were significantly associated with time from initial herd infection
to disease detection, date of report, vaccination, and time from initial herd
infection to herd vaccination. Results presented in this study demonstrate, in
quantifiable terms, the protective impact of vaccination in reducing FMD
transmission in infected herds. These results will be useful for the
parameterization of epidemiological models aimed at quantifying the impact of
vaccination and for the design and implementation of FMD emergency vaccination
strategies in face of an epidemic.
PMID- 21896155
TI - Levels of periodontal pathogens in neonatal gastric aspirates and possible
maternal sites of origin.
AB - Maternal periodontal infection has been recognized as a risk factor for preterm
and low birthweight infants. It is suspected that pathogens causing periodontal
disease may translocate to the amniotic cavity and so contribute to triggering an
adverse pregnancy outcome. This study aimed to determine levels and proportions
of periodontal bacteria in neonatal gastric aspirates obtained from complicated
pregnancies and the respective maternal oral and vaginal samples using a
quantitative polymerase chain reaction approach, and also to determine the origin
of the neonate's bacteria by sequence comparisons between the three sites.
Aggregatibacter actinomycetemcomitans and Tannerella forsythia were not observed
in the neonates or in the women's vaginas. Interestingly, Porphyromonas
gingivalis was identified in the neonates in two samples (2.98E+02 and 1.75E+02
cells ml(-1)) and in association with Fusobacterium nucleatum, which was observed
at high prevalence (10%) and at high levels reaching up to 2.32E+03 cells ml(-1).
Although F. nucleatum was also present in the vaginal samples, the results
demonstrated that the neonatal strains were more likely to originate from the
mother's oral cavity than to be vaginal strains.
PMID- 21896156
TI - Clonal structure of Streptococcus sanguinis strains isolated from endocarditis
cases and the oral cavity.
AB - A collection of Streptococcus sanguinis strains from patients with endocarditis
(n = 21) and from the oral cavity (n = 34) was subjected to a multi-locus
sequence typing analysis using seven housekeeping genes, carbamoyl-phosphate
synthetase (carB), Co/Zn/Cd efflux system component (czcD), d-alanyl-d-alanine
ligase (ddl), DNA polymerase III (dnaX), glucose-6-phosphate dehydrogenase (gdh),
DNA-directed RNA polymerase, beta subunit (rpoB) and superoxide dismutase (sodA).
The scheme was expanded by the inclusion of two the putative virulence genes,
bacitracin-resistance protein (bacA) and saliva-binding protein (ssaB), to
increase strain discrimination. Extensive intra-species recombination was
apparent in all genes but inter-species recombination was also apparent with
strains apparently harbouring gdh and ddl from unidentified sources and one
isolate harboured a sodA allele apparently derived from Streptococcus oralis. The
recombination/mutation ratio for the concatenated housekeeping gene sequences was
1.67 (95% confidence limits 1.25-2.72) and for the two virulence genes the r/m
ratio was 3.99 (95% confidence limits 1.61-8.72); recombination was the major
driver for genetic variation. All isolates were distinct and the endocarditis
strains did not form distinct sub-clusters when the data were analysed using
ClonalFrame. These data support the widely held opinion that infecting S.
sanguinis strains are opportunistic human pathogens.
PMID- 21896157
TI - Polymicrobial periodontal pathogen transcriptomes in calvarial bone and soft
tissue.
AB - Porphyromonas gingivalis, Treponema denticola, and Tannerella forsythia are
consistently associated with adult periodontitis. This study sought to document
the host transcriptome to a P. gingivalis, T. denticola, and T.forsythia
challenge as a polymicrobial infection using a murine calvarial model of acute
inflammation and bone resorption. Mice were infected with P. gingivalis, T.
denticola, and T. forsythia over the calvaria, after which the soft tissues and
calvarial bones were excised. A Murine GeneChip((r)) array analysis of transcript
profiles showed that 6997 genes were differentially expressed in calvarial bones
(P < 0.05) and 1544 genes were differentially transcribed in the inflamed tissues
after the polymicrobial infection. Of these genes, 4476 and 1035 genes in the
infected bone and tissues were differentially expressed by upregulation.
Biological pathways significantly impacted by the polymicrobial infection in
calvarial bone included leukocyte transendothelial migration (LTM), cell adhesion
molecules, adherens junction, major histocompatibility complex antigen,
extracellular matrix-receptor interaction, and antigen processing and
presentation resulting in inflammatory/cytokine/chemokine transcripts stimulation
in bone and soft tissue. Intense inflammation and increased activated osteoclasts
were observed in calvarias compared with sham-infected controls. Quantitative
real-time RT-PCR analysis confirmed that the mRNA level of selected genes
corresponded with the microarray expression. The polymicrobial infection
regulated several LTM and extracellular membrane pathway genes in a manner
distinct from mono-infection with P. gingivalis, T. denticola, or T. forsythia.
To our knowledge, this is the first definition of the polymicrobially induced
transcriptome in calvarial bone and soft tissue in response to periodontal
pathogens.
PMID- 21896158
TI - Analysis of Porphyromonas gingivalis PG27 by deletion and intragenic suppressor
mutation analyses.
AB - PG27 is required for secretion of virulence factor gingipains, and has recently
been proposed as LptO, which is involved in O-deacylation of lipopolysaccharide.
In the present study, a predicted 14 anti-parallel beta-strand structure of PG27
was ascertained. Deletion study showed that the region from Asp382 to the C
terminal His391 of PG27 is dispensable for the function of PG27. Analysis of C
terminal deletion mutants revealed that the region in strand S14 (Asn369-Gly385)
is important for activity. Of the gingipain-defective mutants, DeltaThr378-His391
and DeltaPhe377-His391 produced amounts of PG27 comparable to those produced by
wild-type cells, suggesting that Thr378-Phe381 contains essential residues for
the function of PG27. In contrast, DeltaPhe381-His391, DeltaAla380-His391,
DeltaLeu379-His391 and DeltaArg376-His391 produced no detectable PG27. The
defects of the DeltaAla380-His391 mutant were suppressed by changing either
Ala346 or Ala359 of PG27 to valine. Importantly, Ala346 and Ala359 are located
close to Leu379 in the structural model of PG27. A359V compensated for the
instability of PG27, but not the gingipain-defective phenotypes, of other
deletion mutants tested, suggesting that Ala380 and Phe381 of PG27 are important
for the stability of PG27. Lastly, we found that the C-terminal region of PG27
may be located in the periplasm. Taken together, these findings fit well with a
predicted beta-barrel structure model for PG27, and show that strand S14 is
important for its function.
PMID- 21896159
TI - Mononuclear cell therapy attenuates atherosclerosis in apoE KO mice.
AB - BACKGROUND: Recent studies have highlighted the potential of cell therapy for
atherosclerosis. The aim of this study was to evaluate the effects of mononuclear
cell (MNC) therapy on the development of atherosclerotic lesions in the
apolipoprotein E knockout (apoE KO) mouse. METHODS: We investigated vascular
lipid deposition, vascular remodeling, oxidative stress, and endothelial nitric
oxide synthase (eNOS) expression in apoE KO mice treated with spleen MNCs
isolated from lacZ transgenic mice (apoE KO-MNC) for 8 weeks compared to
untreated control mice (apoE KO). RESULTS: Histological analysis of aortas showed
a significant reduction in the lipid deposition area in apoE KO-MNC mice compared
to apoE KO mice (0.051 +/- 0.004 vs 0.117 +/- 0.016 mm2, respectively, p < 0.01).
In addition, vessel morphometry revealed that MNC therapy prevented the outward
(positive) remodeling in apoE KO mice that is normally observed (apoE KO-MNC:
0.98 +/- 0.07 vs apoE KO: 1.37 +/- 0.09), using wild-type mice (C57BL/6J) as a
reference. ApoE KO-MNC mice also have reduced production of superoxide anions and
increased eNOS expression compared to apoE KO mice. Finally, immunohistochemistry
analysis revealed a homing of endothelial progenitor cells (EPCs) in the aortas
of apoE KO-MNC mice. CONCLUSION: MNC therapy attenuates the progression of
atherosclerosis in the aortas of apoE KO mice. Our data provide evidence that the
mechanism by which this attenuation occurs includes the homing of EPCs, a
decrease in oxidative stress and an upregulation of eNOS expression.
PMID- 21896160
TI - Fatty acid profiles and their distribution patterns in microalgae: a
comprehensive analysis of more than 2000 strains from the SAG culture collection.
AB - BACKGROUND: Among the various biochemical markers, fatty acids or lipid profiles
represent a chemically relatively inert class of compounds that is easy to
isolate from biological material. Fatty acid (FA) profiles are considered as
chemotaxonomic markers to define groups of various taxonomic ranks in flowering
plants, trees and other embryophytes. RESULTS: The fatty acid profiles of 2076
microalgal strains from the culture collection of algae of Gottingen University
(SAG) were determined in the stationary phase. Overall 76 different fatty acids
and 10 other lipophilic substances were identified and quantified. The obtained
FA profiles were added into a database providing information about fatty acid
composition. Using this database we tested whether FA profiles are suitable as
chemotaxonomic markers. FA distribution patterns were found to reflect
phylogenetic relationships at the level of phyla and classes. In contrast, at
lower taxonomic levels, e.g. between closely related species and even among
multiple isolates of the same species, FA contents may be rather variable.
CONCLUSION: FA distribution patterns are suitable chemotaxonomic markers to
define taxa of higher rank in algae. However, due to their extensive variation at
the species level it is difficult to make predictions about the FA profile in a
novel isolate.
PMID- 21896162
TI - Semiconducting properties of layered cadmium sulphide-based hybrid
nanocomposites.
AB - A series of hybrid cadmium salt/cationic surfactant layered nanocomposites
containing different concentrations of cadmium sulphide was prepared by
exchanging chloride by sulphide ions in the layered precursor CdXx(OH)y(CnTA)z in
a solid phase/gas reaction, resulting in a series of layered species exhibiting
stoichiometries corresponding to CdSvXx(OH)y(CnTA)z, constituted by two
dimensional CdCl2/CdS ultra-thin sheets sandwiched between two self-assembled
surfactant layers. The electronic structure of CdS in the nanocomposite is
similar to that of bulk, but showing the expected features of two-dimensional
confinement of the semiconductor. The nanocomposite band gap is found to depend
in a non-linear manner on both the length of the hydrocarbon chain of the
surfactant and the concentration of the sulphide in the inorganic sheet. The
products show photocatalytic activity at least similar and usually better than
that of "bulk" CdS in a factor of two.
PMID- 21896161
TI - Higher whole-blood selenium is associated with improved immune responses in
footrot-affected sheep.
AB - We reported previously that sheep affected with footrot (FR) have lower whole
blood selenium (WB-Se) concentrations and that parenteral Se-supplementation in
conjunction with routine control practices accelerates recovery from FR. The
purpose of this follow-up study was to investigate the mechanisms by which Se
facilitates recovery from FR. Sheep affected with FR (n = 38) were injected
monthly for 15 months with either 5 mg Se (FR-Se) or saline (FR-Sal), whereas 19
healthy sheep received no treatment. Adaptive immune function was evaluated after
3 months of Se supplementation by immunizing all sheep with a novel protein,
keyhole limpet hemocyanin (KLH). The antibody titer and delayed-type
hypersensitivity (DTH) skin test to KLH were used to assess humoral immunity and
cell-mediated immunity, respectively. Innate immunity was evaluated after 3
months of Se supplementation by measuring intradermal responses to histamine 30
min after injection compared to KLH and saline, and after 15 months of Se
supplementation by isolating neutrophils and measuring their bacterial killing
ability and relative abundance of mRNA for genes associated with neutrophil
migration. Compared to healthy sheep, immune responses to a novel protein were
suppressed in FR-affected sheep with smaller decreases in FR-affected sheep that
received Se or had WB-Se concentrations above 250 ng/mL at the time of the immune
assays. Neutrophil function was suppressed in FR-affected sheep, but was not
changed by Se supplementation or WB-Se status. Sheep FR is associated with
depressed immune responses to a novel protein, which may be partly restored by
improving WB-Se status (> 250 ng/mL).
PMID- 21896163
TI - How do women at increased, but unexplained, familial risk of breast cancer
perceive and manage their risk? A qualitative interview study.
AB - BACKGROUND: The perception of breast cancer risk held by women who have not had
breast cancer, and who are at increased, but unexplained, familial risk of breast
cancer is poorly described. This study aims to describe risk perception and how
it is related to screening behaviour for these women. METHODS: Participants were
recruited from a population-based sample (the Australian Breast Cancer Family
Study - ABCFS). The ABCFS includes women diagnosed with breast cancer and their
relatives. For this study, women without breast cancer with at least one first-
or second-degree relative diagnosed with breast cancer before age 50 were
eligible unless a BRCA1 or BRCA2 mutation had been identified in their family.
Data collection consisted of an audio recorded, semi-structured interview on the
topic of breast cancer risk and screening decision-making. Data was analysed
thematically. RESULTS: A total of 24 interviews were conducted, and saturation of
the main themes was achieved. Women were classified into one of five groups:
don't worry about cancer risk, but do screening; concerned about cancer risk, so
do something; concerned about cancer risk, so why don't I do anything?; cancer
inevitable; cancer unlikely. CONCLUSIONS: The language and framework women use to
describe their risk of breast cancer must be the starting point in attempts to
enhance women's understanding of risk and their prevention behaviour.
PMID- 21896164
TI - Rapid analysis of formic acid, acetic acid, and furfural in pretreated wheat
straw hydrolysates and ethanol in a bioethanol fermentation using atmospheric
pressure chemical ionisation mass spectrometry.
AB - Atmospheric pressure chemical ionisation mass spectrometry (APCI-MS) offers
advantages as a rapid analytical technique for the quantification of three
biomass degradation products (acetic acid, formic acid and furfural) within
pretreated wheat straw hydrolysates and the analysis of ethanol during
fermentation. The data we obtained using APCI-MS correlated significantly with
high-performance liquid chromatography analysis whilst offering the analyst
minimal sample preparation and faster sample throughput.
PMID- 21896165
TI - HCV entry receptors as potential targets for siRNA-based inhibition of HCV.
AB - BACKGROUND: Hepatitis C virus (HCV) is a major health concern with almost 3% of
the world's population (350 million individuals) and 10% of the Pakistani
population chronically infected with this viral pathogen. The current therapy of
interferon-alpha and ribavirin against HCV has limited efficiency, so alternative
options are desperately needed. RNA interference (RNAi), which results in a
sequence-specific degradation of HCV RNA has potential as a powerful alternative
molecular therapeutic approach. Concerning viral entry, the HCV structural gene
E2 is mainly involved in virus attachment to the host cell surface receptors
i.e., CD81 tetraspanin, scavenger receptor class B type 1 (SR-B1), low density
lipoprotein receptor (LDLR) and claudin1 (CLDN1). RESULTS: In this report, we
studied the relationship of the HCV receptors CD81, LDL, CLDN1 and SR-B1to HCV
infection. The potential of siRNAs to inhibit HCV-3a replication in serum
infected Huh-7 cells was demonstrated by treatment with siRNAs against HCV
receptors, which resulted in a significant decrease in HCV viral copy number.
CONCLUSIONS: Our data clearly demonstrate that the RNAi-mediated silencing of HCV
receptors is among the first of its type for the development of an effective
siRNA-based therapeutic option against HCV-3a. These findings will shed further
light on the possible role of receptors in inhibition of HCV-3a viral titre
through siRNA mediated silencing.
PMID- 21896166
TI - Mucin granule-associated proteins in human bronchial epithelial cells: the airway
goblet cell "granulome".
AB - BACKGROUND: Excess mucus in the airways leads to obstruction in diseases such as
chronic bronchitis, asthma, and cystic fibrosis. Mucins, the highly glycosolated
protein components of mucus, are stored in membrane-bound granules housed in the
cytoplasm of airway epithelial "goblet" cells until they are secreted into the
airway lumen via an exocytotic process. Precise mechanism(s) of mucin secretion,
including the specific proteins involved in the process, have yet to be
elucidated. Previously, we have shown that the Myristoylated Alanine-Rich C
Kinase Substrate (MARCKS) protein regulates mucin secretion by orchestrating
translocation of mucin granules from the cytosol to the plasma membrane, where
the granules dock, fuse and release their contents into the airway lumen.
Associated with MARCKS in this process are chaperone (Heat Shock Protein 70
[HSP70], Cysteine string protein [CSP]) and cytoskeletal (actin, myosin)
proteins. However, additional granule-associated proteins that may be involved in
secretion have not yet been elucidated. METHODS: Here, we isolated mucin granules
and granule membranes from primary cultures of well differentiated human
bronchial epithelial cells utilizing a novel technique of immuno-isolation, based
on the presence of the calcium activated chloride channel hCLCA1 (the human
ortholog of murine Gob-5) on the granule membranes, and verified via Western
blotting and co-immunoprecipitation that MARCKS, HSP70, CSP and hCLCA1 were
present on the granule membranes and associated with each other. We then
subjected the isolated granules/membranes to liquid chromatography mass
spectrometry (LC-MS/MS) to identify other granule associated proteins. RESULTS: A
number of additional cytoskeletal (e.g. Myosin Vc) and regulatory proteins (e.g.
Protein phosphatase 4) associated with the granules and could play a role in
secretion were discovered. This is the first description of the airway goblet
cell "granulome."
PMID- 21896167
TI - XMRV: usage of receptors and potential co-receptors.
AB - BACKGROUND: XMRV is a gammaretrovirus first identified in prostate tissues of
Prostate Cancer (PC) patients and later in the blood cells of patients with
Chronic Fatigue Syndrome (CFS). Although XMRV is thought to use XPR1 for cell
entry, it infects A549 cells that do not express XPR1, suggesting usage of other
receptors or co-receptors. METHODS: To study the usage of different receptors and
co- receptors that could play a role in XMRV infection of lymphoid cells and
GHOST (GFP- Human osteosarcoma) cells expressing CD4 along with different
chemokine receptors including CCR1, CCR2, etc., were infected with XMRV. Culture
supernatants and cells were tested for XMRV replication using real time
quantitative PCR. RESULTS: Infection and replication of XMRV was seen in a
variety of GHOST cells, LNCaP, DU145, A549 and Caski cell lines. The levels of
XMRV replication varied in different cell lines showing differential replication
in different cell lines. However, replication in A549 which lacks XPR1 expression
was relatively higher than DU145 but lower than, LNCaP. XMRV replication varied
in GHOST cell lines expressing CD4 and each of the co- receptors CCR1-CCR8 and
bob. There was significant replication of XMRV in CCR3 and Bonzo although it is
much lower when compared to DU145, A549 and LNCaP. CONCLUSION: XMRV replication
was observed in GHOST cells that express CD4 and each of the chemokine receptors
ranging from CCR1- CCR8 and BOB suggesting that infectivity in hematopoietic
cells could be mediated by use of these receptors.
PMID- 21896168
TI - Prohibitin is overexpressed in Huh-7-HCV and Huh-7.5-HCV cells harboring in vitro
transcribed full-length hepatitis C virus RNA.
AB - BACKGROUND: Currently, up-regulated proteins and apoptosis in hepatitis C is a
hot topic in exploring the pathogenic mechanism of Heptitis C Virus(HCV). Some
recent studies shows that prohibitin is overexpressed in cells expressing HCV
core proteins, and up-regulated prohibitin is also found in human hepatoma cell
line HCC-M, lung cancer, prostate cancer, and other cancers. Prohibitin is an
important member of the membrane protein superfamily, and it plays a role of
molecular chaperones in mitochondrial protein stability. Meanwhile, it has a
permissive action on tumor growth or acts as an oncosuppressor. Based on our
previously established the in vitro HCV cell-culture system (HCVcc), here we
aimed to investigate the different expression profiles of prohibitin in Huh-7-HCV
and Huh-7.5-HCV cells METHODS: The total cellular RNA of Huh-7, Huh-7.5, Huh-7
HCV and Huh-7.5-HCV cells were extracted, and then the first-strand cDNA was
reversely transcribed. The expression of prohibitin at the mRNA level was
assessed by real-time PCR with GAPDH as the control. Furthermore, the expression
of prohibitin at the protein level was evaluated by western blot with GAPDH as an
internal control. RESULTS: Our results of real-time PCR showed that the mRNA
expression level of prohibitin in Huh-7-HCV cells was 2.09 times higher than that
in Huh-7 cells, while, the mRNA level of prohibitin in Huh-7.5-HCV cells was 2.25
times higher than that in Huh-7.5 cells. The results of western blot showed that
the protein expression level of prohibitin in Huh-7-HCV cells was 2.38 times
higher than that in Huh-7 cells, while the protein expression of prohibitin in
Huh-7.5-HCV cells was 2.29 times higher than that in Huh-7.5 cells. CONCLUSIONS:
The expression of prohibitin was relatively high in Huh-7-HCV and Huh-7.5-HCV
cells harboring in vitro transcribed full-length HCV RNA.
PMID- 21896169
TI - Progressive severe lung injury by zinc oxide nanoparticles; the role of Zn2+
dissolution inside lysosomes.
AB - BACKGROUND: Large production volumes of zinc oxide nanoparticles (ZnONP) might be
anticipated to pose risks, of accidental inhalation in occupational and even in
consumer settings. Herein, we further investigated the pathological changes
induced by ZnONP and their possible mechanism of action. METHODS: Two doses of
ZnONP (50 and 150 cm2/rat) were intratracheally instilled into the lungs of rats
with assessments made at 24 h, 1 wk, and 4 wks after instillation to evaluate
dose- and time-course responses. Assessments included bronchoalveolar lavage
(BAL) fluid analysis, histological analysis, transmission electron microscopy,
and IgE and IgA measurement in the serum and BAL fluid. To evaluate the
mechanism, alternative ZnONP, ZnONP-free bronchoalveolar lavage exudate, and
dissolved Zn2+ (92.5 MUg/rat) were also instilled to rats. Acridine orange
staining was utilized in macrophages in culture to evaluate the lysosomal
membrane destabilization by NP. RESULTS: ZnONP induced eosinophilia,
proliferation of airway epithelial cells, goblet cell hyperplasia, and pulmonary
fibrosis. Bronchocentric interstitial pulmonary fibrosis at the chronic phase was
associated with increased myofibroblast accumulation and transforming growth
factor-beta positivity. Serum IgE levels were up-regulated by ZnONP along with
the eosinophilia whilst serum IgA levels were down-regulated by ZnONP. ZnONP are
rapidly dissolved under acidic conditions (pH 4.5) whilst they remained intact
around neutrality (pH 7.4). The instillation of dissolved Zn2+ into rat lungs
showed similar pathologies (eg., eosinophilia, bronchocentric interstitial
fibrosis) as were elicited by ZnONP. Lysosomal stability was decreased and cell
death resulted following treatment of macrophages with ZnONP in vitro.
CONCLUSIONS: We hypothesise that rapid, pH-dependent dissolution of ZnONP inside
of phagosomes is the main cause of ZnONP-induced diverse progressive severe lung
injuries.
PMID- 21896170
TI - Talk, trust and time: a longitudinal study evaluating knowledge translation and
exchange processes for research on violence against women.
AB - BACKGROUND: Violence against women (VAW) is a major public health problem.
Translation of VAW research to policy and practice is an area that remains
understudied, but provides the opportunity to examine knowledge translation and
exchange (KTE) processes in a complex, multi-stakeholder context. In a series of
studies including two randomized trials, the McMaster University VAW Research
Program studied one key research gap: evidence about the effectiveness of
screening women for exposure to intimate partner violence. This project developed
and evaluated KTE strategies to share research findings with policymakers, health
and community service providers, and women's advocates. METHODS: A longitudinal
cross-sectional design, applying concurrent mixed data collection methods
(surveys, interviews, and focus groups), was used to evaluate the utility of
specific KTE strategies, including a series of workshops and a day-long Family
Violence Knowledge Exchange Forum, on research sharing, uptake, and use. RESULTS:
Participants valued the opportunity to meet with researchers, provide feedback on
key messages, and make personal connections with other stakeholders. A number of
factors specific to the knowledge itself, stakeholders' contexts, and the nature
of the knowledge gap being addressed influenced the uptake, sharing, and use of
the research. The types of knowledge use changed across time, and were
specifically related to both the types of decisions being made, and to stage of
decision making; most reported use was conceptual or symbolic, with few examples
of instrumental use. Participants did report actively sharing the research
findings with their own networks. Further examination of these second-order
knowledge-sharing processes is required, including development of appropriate
methods and measures for its assessment. Some participants reported that they
would not use the research evidence in their decision making when it contradicted
professional experiences, while others used it to support apparently
contradictory positions. The online wiki-based 'community of interest' requested
by participants was not used. CONCLUSIONS: Mobilizing knowledge in the area of
VAW practice and policy is complex and resource-intensive, and must acknowledge
and respect the values of identified knowledge users, while balancing the
objectivity of the research and researchers. This paper provides important
lessons learned about these processes, including attending to the potential
unintended consequences of knowledge sharing.
PMID- 21896171
TI - Assessment of peri- and postoperative complications and Karnofsky-performance
status in head and neck cancer patients after radiation or chemoradiation that
underwent surgery with regional or free-flap reconstruction for salvage,
palliation, or to improve function.
AB - BACKGROUND: Surgery after (chemo)radiation (RCTX/RTX) is felt to be plagued with
a high incidence of wound healing complications reported to be as high as 70%.
The additional use of vascularized flaps may help to decrease this high rate of
complications. Therefore, we examined within a retrospective single-institutional
study the peri--and postoperative complications in patients who underwent surgery
for salvage, palliation or functional rehabilitation after (chemo)radiation with
regional and free flaps. As a second study end point the Karnofsky performance
status (KPS) was determined preoperatively and 3 months postoperatively to assess
the impact of such extensive procedures on the overall performance status of this
heavily pretreated patient population. FINDINGS: 21 patients were treated between
2005 and 2010 in a single institution (17 male, 4 female) for salvage (10/21),
palliation (4/21), or functional rehabilitation (7/21). Overall 23 flaps were
performed of which 8 were free flaps. Major recipient site complications were
observed in only 4 pts. (19%) (1 postoperative haemorrhage, 1 partial flap loss,
2 fistulas) and major donor site complications in 1 pt (wound dehiscence). Also 2
minor donor site complications were observed. The overall complication rate was
33%. There was no free flap loss. Assessment of pre- and postoperative KPS
revealed improvement in 13 out of 21 patients (62%). A decline of KPS was noted
in only one patient. CONCLUSIONS: We conclude that within this (chemo)radiated
patient population surgical interventions for salvage, palliation or improve
function can be safely performed once vascularised grafts are used.
PMID- 21896172
TI - Paresthesia and forearm pain after phlebotomy due to medial antebrachial
cutaneous nerve injury.
AB - BACKGROUND: Although phlebotomy is a common procedure, there is limited
information concerning to documented complications of venipuncture. CASE
PRESENTATION: A 45 year old left- handed woman was refered for elecrodiagnostic
study with dysesthesia and pain in left medial forearm. She noted these symptoms
three weeks after phelebotomy. Electrodiagnostic study showed severe involvement
of left side Medial Antebrachial Cutaneous nerve (MAC nerve). CONCLUSION:
Phelebotomy is a cause of MAC nerve injury. Electrodiagnostic testing can be
helpful in evaluating cases of sensory disturbance after phlebotomy.
PMID- 21896173
TI - High prevalence of syphilis among demobilized child soldiers in Eastern Congo: a
cross-sectional study.
AB - BACKGROUND: Syphilis, a known major public health issue for soldiers during
periods of conflict, is exacerbated in the Democratic Republic of Congo due to
widespread sexual violence. However, there has been no previous study to
determine the extent of this problem. Therefore, we determined the prevalence of
syphilis among young demobilized soldiers. METHODS: Screening of syphilis using
the rapid plasma reagin test and the Treponema pallidum hemagglutination assay
was conducted in three transit sites of soldier reintegration in 2005. The Fisher
Exact probability test was used to compare results. RESULTS: The prevalence of
syphilis was found to be 3.4%, with almost equal distribution in respect to sex,
location. CONCLUSION: Syphilis continues to be highly prevalent in demobilized
child soldiers in Eastern Congo. Syphilis screening tests are recommended.
PMID- 21896174
TI - Meniscoplasty for stable osteochondritis dissecans of the lateral femoral condyle
combined with a discoid lateral meniscus: a case report.
AB - INTRODUCTION: Osteochondritis dissecans of the lateral femoral condyle is
relatively rare, and it is reported to often be combined with a discoid lateral
meniscus. Given the potential for healing, conservative management is indicated
for stable osteochondritis dissecans in patients who are skeletally immature.
However, patients with osteochondritis dissecans of the lateral femoral condyle
combined with a discoid lateral meniscus often have persistent symptoms despite
conservative management. CASE PRESENTATION: We present the case of a seven-year
old Korean girl who had osteochondritis dissecans of the lateral femoral condyle
combined with a discoid lateral meniscus, which healed after meniscoplasty for
the symptomatic lateral discoid meniscus without surgical intervention for the
osteochondritis dissecans. In addition, healing of the osteochondritis dissecans
lesion was confirmed by an MRI scan five months after the operation. CONCLUSIONS:
Meniscoplasty can be recommended for symptomatic stable juvenile osteochondritis
dissecans of the lateral femoral condyle combined with a discoid lateral meniscus
when conservative treatment fails.
PMID- 21896175
TI - Severe bronchopulmonary dysplasia improved by noninvasive positive pressure
ventilation: a case report.
AB - INTRODUCTION: This is the first report to describe the feasibility and
effectiveness of noninvasive positive pressure ventilation in the secondary
treatment of bronchopulmonary dysplasia. CASE PRESENTATION: A former male preterm
of Caucasian ethnicity delivered at 29 weeks gestation developed severe
bronchopulmonary dysplasia. At the age of six months he was in permanent
tachypnea and dyspnea and in need of 100% oxygen with a flow of 2.0 L/minute via
a nasal cannula. Intermittent nocturnal noninvasive positive pressure ventilation
was then administered for seven hours daily. The ventilator was set at a positive
end-expiratory pressure of 6 cmH2O, with pressure support of 4 cmH2O, trigger at
1.4 mL/second, and a maximum inspiratory time of 0.7 seconds. Over the course of
seven weeks, the patient's maximum daytime fraction of inspired oxygen via nasal
cannula decreased from 1.0 to 0.75, his respiratory rate from 64 breaths/minute
to 50 breaths/minute and carbon dioxide from 58 mmHg to 44 mmHg. CONCLUSION:
Noninvasive positive pressure ventilation may be a novel therapeutic option for
established severe bronchopulmonary dysplasia. In the case presented, noninvasive
positive pressure ventilation achieved sustained improvement in ventilation and
thus prepared our patient for safe home oxygen therapy.
PMID- 21896176
TI - The presence of a lipoma in the Eustachian tube: a case report.
AB - INTRODUCTION: The incidence of lipoma in the Eustachian tube is very rare, and to
the best of our knowledge, has not been reported in the literature. Tumors that
form in the cartilaginous portion of the Eustachian tube can be successfully
removed by an endoscopic approach. CASE PRESENTATION: We report an incidentally
detected lipoma of the Eustachian tube in a 34-year-old Asian woman with a six
year history of persistent otitis media in her right ear. Our patient underwent
surgery five years ago for the possibility of a choanal polyp, but her ear
symptoms continued to be problematic following the surgery. Our patient was
examined at our hospital, and computed tomography revealed a well-defined,
hypodense, non-enhancing lesion involving the right Eustachian tube, measuring
1.6 * 2.4 cm. The mass was excised using an endoscopic approach, and was found to
originate from the cartilaginous portion of the Eustachian tube. The tumor was
sent for histopathologic evaluation. The postoperative course went smoothly, and
our patient recovered during follow-up over the next five months. CONCLUSION:
Lipoma of the Eustachian tube is very rare compared with other tumors. Improved
radiologic modalities aid the diagnosis of this benign tumor. Endoscopic removal
of the tumor is possible and has helped in early recovery.
PMID- 21896177
TI - Adenoid cystic carcinoma intermingled with ductal carcinoma of the breast: a case
report and review of the literature.
AB - INTRODUCTION: Adenoid cystic cancer of the breast is a rare condition, and even
rarer are the cases where it is histologically mixed with other variants of
cancer within a single lesion. In this report, one of the few cases of mixed
adenoid cystic breast cancer intermingled with the infiltrating ductal variant is
presented. A subsequent review of the relevant literature presents the existing
experience in treating mixed breast cancers with adenoid cystic components with
regard to diagnosis, treatment, and prognosis. CASE PRESENTATION: We describe a
case of mixed adenoid cystic cancer of the breast with infiltrating ductal
carcinoma in a 67-year-old Caucasian woman who underwent mastectomy with sentinel
node biopsy. CONCLUSION: Surgery remains the cornerstone of treatment of these
patients, and radiotherapy is administered when breast-conserving treatment is
undertaken or a large tumor with affected lymph nodes is present. Hormonal
treatment does not have a role, as estrogen receptors are always absent from both
tumor components. Chemotherapy is nearly always administered on the basis of
estrogen receptor and progesterone negativity and the more aggressive potential
of the non-adenoid cystic component. The de-differentiation of an indolent type
of cancer to a more aggressive one may affect the prognosis.
PMID- 21896178
TI - Abiotrophia defectiva knee prosthesis infection: A case report.
AB - BACKGROUND: Abiotrophia species have rarely been implicated in osteoarticular
infections. We report one case of an A. defectiva knee prosthesis infection. CASE
PRESENTATION: A 71-year-old man of Italian origin presented with pain and
swelling of the knee four years after the implantation of a total knee
replacement prosthesis. While standard culturing of the synovial fluid resulted
in no isolation of microorganisms, the direct inoculation of the synovial fluid
into a rich culture medium resulted in the identification of A. defectiva by
polymerase chain reaction sequencing. Repeated attempts of culturing
microorganisms from blood were negative, and echocardiograms and colonoscopies
were unremarkable. High-dose amoxicillin for nine months and a two-stage
replacement of the knee prosthesis led to full patient recovery by the time of
the 12-month follow-up examination. CONCLUSIONS: Because Abiotrophia spp. are
fastidious microorganisms, it is likely that cases of Abiotrophia orthopedic
infection are misdiagnosed as culture-negative infections. Direct inoculation of
synovial fluids into rich broth medium and further polymerase chain reaction
based detection of culture-negative synovial fluids are key tests for accurate
documentation and detection of these infections.
PMID- 21896179
TI - Obstructive jaundice secondary to pancreatic head adenocarcinoma in a young
teenage boy: a case report.
AB - INTRODUCTION: Pancreatic adenocarcinoma is extremely rare in childhood. We report
a case of metastatic pancreatic adenocarcinoma in a 13-year-old boy, revealed by
jaundice. CASE PRESENTATION: A 13-year-old Moroccan boy was admitted with
obstructive jaundice to the children's Hospital of Rabat, Department of Pediatric
Oncology. Laboratory study results showed a high level of total and conjugated
bilirubin. Computerized tomography of the abdomen showed a dilatation of the
intra-hepatic and extra-hepatic bile ducts with a tissular heterogeneous tumor of
the head of the pancreas and five hepatic lesions. Biopsy of a liver lesion was
performed, and a histopathological examination of the sample confirmed the
diagnosis of metastatic ductal adenocarcinoma of the pancreas. Our patient
underwent a palliative biliary derivation. After that, chemotherapy was
administered (5-fluorouracil and epirubicin), however no significant response to
treatment was noted and our patient died six months after diagnosis. CONCLUSION:
Malignant pancreatic tumors, especially ductal carcinomas, are exceedingly rare
in the pediatric age group and their clinical features and treatment usually go
unappreciated by most pediatric oncologists and surgeons.
PMID- 21896180
TI - Lumbar disc herniation in three patients with cystic fibrosis: a case series.
AB - INTRODUCTION: To date, lumbar disc herniation has not been reported in the
context of cystic fibrosis even though back pain and musculoskeletal problems are
very common in patients with cystic fibrosis. CASE PRESENTATION: We report on
three patients with cystic fibrosis who experienced lumbar disc herniation in the
course of their disease at ages 19 to 21 years (a 22-year-old Caucasian man, a 23
year-old Caucasian man, and a 21-year-old Caucasian woman). Our third patient
eventually died because of her deteriorated pulmonary situation, which was
influenced by the lumbar disc herniation as it was not possible for her to
perform pulmonary drainage techniques properly because of the pain. CONCLUSIONS:
Lumbar disc herniation can lead to a vicious cycle for patients with cystic
fibrosis as it may promote pulmonary infections. This report highlights the need
to investigate patients correctly.
PMID- 21896181
TI - Validation aspects of the health of the nation outcome scales.
AB - BACKGROUND: The purpose of the current study was the psychometric evaluation of
the Health of the Nation Outcome Scales (HoNOS), an instrument developed to meet
the necessity of a clinically acceptable outcome scale for routine use in mental
illness services. METHODS: The study participants included 2,162 outpatients and
residential inpatients (rated on the HoNOS on three occasions during the year
2000) with a range of mental illnesses in different diagnostic groups from ten
Mental Health Departments, located in the area of Milan (Italy). Principal
Component Analysis, Confirmatory Factor Analysis, Discriminant Analysis and
Partial Credit Rasch Model were used to assess two sources of validity: the
internal structure and the relationships with other variables. RESULTS: The
results of the 12-item HoNOS demonstrate a significant departure from uni
dimensionality, confirmed by the Rasch analysis (which identified three
misfitting items). However, HoNOS scores demonstrate stability and precision of
item difficulties over time. Discriminant analysis showed that HoNOS scores have
an acceptable level of discriminatory power in predicting the severity of
patients' conditions (as represented by setting). CONCLUSIONS: It was concluded
that the Italian version of the HoNOS does not measure a single, underlying
construct of mental health status. The internal structure validity analysis
recommends a note of caution to use a summary index of the HoNOS scores, given
the presence of multidimensionality and misfit. Nonetheless, the finding that the
instrument is more multidimensional than unidimensional does not preclude the use
of the HoNOS as a clinically valid tool for routine outcome assessment. In fact,
item scores have demonstrated sufficient reliability (over diagnostic groups and
care settings) and high precision in time, indicating that HoNOS items can be
utilized as valid measurement instruments in longitudinal analyses.
PMID- 21896182
TI - Transdifferentiation from cornea to lens in Xenopus laevis depends on BMP
signalling and involves upregulation of Wnt signalling.
AB - BACKGROUND: Surgical removal of the lens from larval Xenopus laevis results in a
rapid transdifferention of central corneal cells to form a new lens. The trigger
for this process is understood to be an induction event arising from the
unprecedented exposure of the cornea to the vitreous humour that occurs following
lens removal. The molecular identity of this trigger is unknown. RESULTS: Here,
we have used a functional transgenic approach to show that BMP signalling is
required for lens regeneration and a microarray approach to identify genes that
are upregulated specifically during this process. Analysis of the array data
strongly implicates Wnt signalling and the Pitx family of transcription factors
in the process of cornea to lens transdifferentiation. Our analysis also captured
several genes associated with congenital cataract in humans. Pluripotency genes,
in contrast, were not upregulated, supporting the idea that corneal cells
transdifferentiate without returning to a stem cell state. Several genes from the
array were expressed in the forming lens during embryogenesis. One of these,
Nipsnap1, is a known direct target of BMP signalling. CONCLUSIONS: Our results
strongly implicate the developmental Wnt and BMP signalling pathways in the
process of cornea to lens transdifferentiation (CLT) in Xenopus, and suggest
direct transdifferentiation between these two anterior eye tissues.
PMID- 21896183
TI - Mitochondrial genomes and Doubly Uniparental Inheritance: new insights from
Musculista senhousia sex-linked mitochondrial DNAs (Bivalvia Mytilidae).
AB - BACKGROUND: Doubly Uniparental Inheritance (DUI) is a fascinating exception to
matrilinear inheritance of mitochondrial DNA (mtDNA). Species with DUI are
characterized by two distinct mtDNAs that are inherited either through females (F
mtDNA) or through males (M-mtDNA). DUI sex-linked mitochondrial genomes share
several unusual features, such as additional protein coding genes and unusual
gene duplications/structures, which have been related to the functionality of
DUI. Recently, new evidence for DUI was found in the mytilid bivalve Musculista
senhousia. This paper describes the complete sex-linked mitochondrial genomes of
this species. RESULTS: Our analysis highlights that both M and F mtDNAs share
roughly the same gene content and order, but with some remarkable differences.
The Musculista sex-linked mtDNAs have differently organized putative control
regions (CR), which include repeats and palindromic motifs, thought to provide
sites for DNA-binding proteins involved in the transcriptional machinery.
Moreover, in male mtDNA, two cox2 genes were found, one (M-cox2b) 123bp longer.
CONCLUSIONS: The complete mtDNA genome characterization of DUI bivalves is the
first step to unravel the complex genetic signals allowing Doubly Uniparental
Inheritance, and the evolutionary implications of such an unusual transmission
route in mitochondrial genome evolution in Bivalvia. The observed redundancy of
the palindromic motifs in Musculista M-mtDNA may have a role on the process by
which sperm mtDNA becomes dominant or exclusive of the male germline of DUI
species. Moreover, the duplicated M-COX2b gene may have a different, still
unknown, function related to DUI, in accordance to what has been already proposed
for other DUI species in which a similar cox2 extension has been hypothesized to
be a tag for male mitochondria.
PMID- 21896184
TI - The somatostatinergic system in the mammalian cochlea.
AB - BACKGROUND: Little is known about expression and function of the
somatostatinergic system in the mammalian cochlea. We have previously shown that
somatostatin administration may have a protective effect on gentamicin-induced
hair cell loss. In this study, we have analyzed the cochlear expression of
somatostatin receptor 1 (SST1) and somatostatin receptor 2 (SST2) at both the
mRNA and the protein level in wild-type mice, as well as in SST1 and SST2 knock
out (KO) mice and in cultivated neurosensory cells. RESULTS: We demonstrate that
the somatostatin receptors SST1 and SST2 are specifically expressed in outer and
inner hair cells (HCs) of the organ of Corti (OC), as well as in defined
supporting cells. The expression of SST1 and SST2 receptors in cultivated P5
mouse OC explants was similar to their expression in inner and outer hair cells.
Somatostatin itself was not expressed in the mammalian cochlea, suggesting that
somatostatin reaches its receptors either through the blood-labyrinthine barrier
from the systemic circulation or via the endolymphatic duct from the
endolymphatic sac. We used mice with a deletion of either SST1 or SST2 to learn
more about the regulation of SST1 and SST2 receptor expression. We demonstrate
that in SST1 KO mice, SST2 was expressed in outer HCs and Deiters' cells, but not
in pillar cells or inner HCs, as compared with wild-type mice. In contrast, in
SST2 KO mice, the expression pattern of the SST1 receptor was not altered
relative to wild-type mice. CONCLUSIONS: These findings reveal that somatostatin
receptors demonstrate specific expression in HCs and supporting cells of the
mouse cochlea, and that absence of SST1 alters the expression of SST2. This
specific expression pattern suggests that somatostatin receptors may have
important functional roles in the inner ear.
PMID- 21896185
TI - The development of the adult intestinal stem cells: Insights from studies on
thyroid hormone-dependent amphibian metamorphosis.
AB - Adult organ-specific stem cells are essential for organ homeostasis and repair
in adult vertebrates. The intestine is one of the best-studied organs in this
regard. The intestinal epithelium undergoes constant self-renewal throughout
adult life across vertebrates through the proliferation and subsequent
differentiation of the adult stem cells. This self-renewal system is established
late during development, around birth, in mammals when endogenous thyroid hormone
(T3) levels are high. Amphibian metamorphosis resembles mammalian postembryonic
development around birth and is totally dependent upon the presence of high
levels of T3. During this process, the tadpole intestine, predominantly a
monolayer of larval epithelial cells, undergoes drastic transformation. The
larval epithelial cells undergo apoptosis and concurrently, adult epithelial
stem/progenitor cells develop de novo, rapidly proliferate, and then
differentiate to establish a trough-crest axis of the epithelial fold, resembling
the crypt-villus axis in the adult mammalian intestine. We and others have
studied the T3-dependent remodeling of the intestine in Xenopus laevis. Here we
will highlight some of the recent findings on the origin of the adult intestinal
stem cells. We will discuss observations suggesting that liganded T3 receptor
(TR) regulates cell autonomous formation of adult intestinal progenitor cells and
that T3 action in the connective tissue is important for the establishment of the
stem cell niche. We will further review evidence suggesting similar T3-dependent
formation of adult intestinal stem cells in other vertebrates.
PMID- 21896186
TI - Localization of DIR1 at the tissue, cellular and subcellular levels during
Systemic Acquired Resistance in Arabidopsis using DIR1:GUS and DIR1:EGFP
reporters.
AB - BACKGROUND: Systemic Acquired Resistance (SAR) is an induced resistance response
to pathogens, characterized by the translocation of a long-distance signal from
induced leaves to distant tissues to prime them for increased resistance to
future infection. DEFECTIVE in INDUCED RESISTANCE 1 (DIR1) has been hypothesized
to chaperone a small signaling molecule to distant tissues during SAR in
Arabidopsis. RESULTS: DIR1 promoter:DIR1-GUS/dir1-1 lines were constructed to
examine DIR1 expression. DIR1 is expressed in seedlings, flowers and ubiquitously
in untreated or mock-inoculated mature leaf cells, including phloem sieve
elements and companion cells. Inoculation of leaves with SAR-inducing avirulent
or virulent Pseudomonas syringae pv tomato (Pst) resulted in Type III Secretion
System-dependent suppression of DIR1 expression in leaf cells. Transient
expression of fluorescent fusion proteins in tobacco and intercellular washing
fluid experiments indicated that DIR1's ER signal sequence targets it for
secretion to the cell wall. However, DIR1 expressed without a signal sequence
rescued the dir1-1 SAR defect, suggesting that a cytosolic pool of DIR1 is
important for the SAR response. CONCLUSIONS: Although expression of DIR1
decreases during SAR induction, the protein localizes to all living cell types of
the vasculature, including companion cells and sieve elements, and therefore DIR1
is well situated to participate in long-distance signaling during SAR.
PMID- 21896188
TI - Early-onset ventilator-associated pneumonia incidence in intensive care units: a
surveillance-based study.
AB - BACKGROUND: The incidence of ventilator-associated pneumonia (VAP) within the
first 48 hours of intensive care unit (ICU) stay has been poorly investigated.
The objective was to estimate early-onset VAP occurrence in ICUs within 48 hours
after admission. METHODS: We analyzed data from prospective surveillance between
01/01/2001 and 31/12/2009 in 11 ICUs of Lyon hospitals (France). The inclusion
criteria were: first ICU admission, not hospitalized before admission, invasive
mechanical ventilation during first ICU day, free of antibiotics at admission,
and ICU stay >= 48 hours. VAP was defined according to a national protocol. Its
incidence was the number of events per 1,000 invasive mechanical ventilation
days. The Poisson regression model was fitted from day 2 (D2) to D8 to incident
VAP to estimate the expected VAP incidence from D0 to D1 of ICU stay. RESULTS:
Totally, 367 (10.8%) of 3,387 patients in 45,760 patient-days developed VAP
within the first 9 days. The predicted cumulative VAP incidence at D0 and D1 was
5.3 (2.6-9.8) and 8.3 (6.1-11.1), respectively. The predicted cumulative VAP
incidence was 23.0 (20.8-25.3) at D8. The proportion of missed VAP within 48
hours from admission was 11% (9%-17%). CONCLUSIONS: Our study indicates
underestimation of early-onset VAP incidence in ICUs, if only VAP occurring >= 48
hours are considered to be hospital-acquired. Clinicians should be encouraged to
develop a strategy for early detection after ICU admission.
PMID- 21896187
TI - The reliability of assigning individuals to cognitive states using the Mini
Mental-State Examination: a population-based prospective cohort study.
AB - BACKGROUND: Previous investigations of test re-test reliability of the Mini
Mental State Examination (MMSE) have used correlations and statistics such as
Cronbach's alpha to assess consistency. In practice, the MMSE is usually used to
group individuals into cognitive states. The reliability of this grouping (state
based approach) has not been fully explored. METHODS: MMSE data were collected on
a subset of 2,275 older participants (>= 65 years) from the population-based
Medical Research Council Cognitive Function and Ageing Study. Two measurements
taken approximately two months apart were used to investigate three state-based
categorisations. Descriptive statistics were used to determine how many people
remained in the same cognitive group or went up or down groups. Weighted logistic
regression was used to identify predictive characteristics of those who moved
group. RESULTS: The proportion of people who remained in the same MMSE group at
screen and follow-up assessment ranged from 58% to 78%. The proportion of
individuals who went up one or more groups was roughly equal to the proportion
that went down one or more groups; most of the change occurred when measurements
were close to the cut-points. There was no consistently significant predictor for
changing cognitive group. CONCLUSION: A state-based approach to analysing the
reliability of the MMSE provided similar results to correlation analyses. State
based models of cognitive change or individual trajectory models using raw scores
need multiple waves to help overcome natural variation in MMSE scores and to help
identify true cognitive change.
PMID- 21896189
TI - The relationship of ethnicity to the prevalence and management of hypertension
and associated chronic kidney disease.
AB - BACKGROUND: The effect of ethnicity on the prevalence and management of
hypertension and associated chronic kidney (CKD) disease in the UK is unknown.
METHODS: We performed a cross sectional study of 49,203 adults with hypertension
to establish the prevalence and management of hypertension and associated CKD by
ethnicity. Routinely collected data from general practice hypertension registers
in 148 practices in London between 1/1/07 and 31/3/08 were analysed. RESULTS: The
crude prevalence of hypertension was 9.5%, and by ethnicity was 8.2% for White,
11.3% for South Asian and 11.1% for Black groups. The prevalence of CKD stages 3
5 among those with hypertension was 22%. Stage 3 CKD was less prevalent in South
Asian groups (OR 0.77, 95% CI 0.67 - 0.88) compared to Whites (reference
population) with Black groups having similar rates to Whites. The prevalence of
severe CKD (stages 4-5) was higher in the South Asian group (OR 1.53, 95% CI 1.17
- 2.0) compared to Whites, but did not differ between Black and White groups. In
the whole hypertension cohort, achievement of target blood pressure (< 140/90
mmHg) was better in South Asian (OR 1.43, 95% CI 1.28 - 1.60) and worse in Black
groups (OR 0.79, 95% CI 0.74 - 0.84) compared to White patients. Hypertensive
medication was prescribed unequally among ethnic groups for any degree of blood
pressure control. CONCLUSIONS: Significant variations exist in the prevalence of
hypertension and associated CKD and its management between the major ethnic
groups. Among those with CKD less than 50% were treated to a target BP of <=
130/80 mmHg. Rates of ACE-I/ARB prescribing for those with CKD were less than
optimal, with the lowest rates (58.5%) among Black groups.
PMID- 21896190
TI - The costs in provision of haemodialysis in a developing country: a multi-centered
study.
AB - BACKGROUND: Chronic Kidney Disease is a major public health problem worldwide
with enormous cost burdens on health care systems in developing countries. We
aimed to provide a detailed analysis of the processes and costs of haemodialysis
in Sri Lanka and provide a framework for modeling similar financial audits.
METHODS: This prospective study was conducted at haemodialysis units of three
public and two private hospitals in Sri Lanka for two months in June and July
2010. Cost of drugs and consumables for the three public hospitals were obtained
from the price list issued by the Medical Supplies Division of the Department of
Health Services, while for the two private hospitals they were obtained from
financial departments of the respective hospitals. Staff wages were obtained from
the hospital chief accountant/chief financial officers. The cost of electricity
and water per month was calculated directly with the assistance of expert
engineers. An apportion was done from the total hospital costs of administration,
cleaning services, security, waste disposal and, laundry and sterilization for
each unit. RESULTS: The total number of dialysis sessions (hours) at the five
hospitals for June and July were 3341 (12959) and 3386 (13301) respectively. Drug
and consumables costs accounted for 70.4-84.9% of the total costs, followed by
the wages of the nursing staff at each unit (7.8-19.7%). The mean cost of a
dialysis session in Sri Lanka was LKR 6,377 (US$ 56). The annual cost of
haemodialysis for a patient with chronic renal failure undergoing 2-3 dialysis
session of four hours duration per week was LKR 663,208-994,812 (US$ 5,869
8,804). At one hospital where facilities are available for the re-use of
dialyzers (although not done during study period) the cost of consumables would
have come down from LKR 5,940,705 to LKR 3,368,785 (43% reduction) if the method
was adopted, reducing costs of haemodialysis per hour from LKR 1,327 at present
to LKR 892 (33% reduction). CONCLUSIONS: This multi-centered study demonstrated
that the costs of haemodialysis in a developing country remained significantly
lower compared to developed countries. However, it still places a significant
burden on the health care sector, whilst possibility of further cost reduction
exists.
PMID- 21896191
TI - An examination of cancer-related fatigue through proposed diagnostic criteria in
a sample of cancer patients in Taiwan.
AB - BACKGROUND: Fatigue among cancer patients has often been reported in the
literature; however, great variations have been documented, ranging from 15% to
90%, probably due to the lack of a widely accepted definition and established
diagnostic criteria for cancer-related fatigue. The objective of this study was
to evaluate the proposed International Statistical Classification of Diseases and
Related Health Problems (10th revision) (ICD-10) criteria in a sample of cancer
patients from a medical center and a regional teaching hospital in northern
Taiwan. More accurate prevalence estimates of CRF may result in improved
diagnoses and management of one of the most common symptoms associated with
cancer and its treatment. METHODS: Since self-reporting from patients is the most
effective and efficient method to measure fatigue, the ICD-10 criteria for
fatigue were used. The ICD-10 criteria questionnaire was translated into Chinese
and was approved by experts. Patients were recruited from outpatient palliative
and oncology clinics and from palliative and oncology inpatient units. RESULTS:
Of the 265 cancer patients that were interviewed between 21 October 2008 and 28
October 2009, 228 (86%) reported having at least 2 weeks of fatigue in the past
month, and further evaluation with the ICD-10 criteria showed that 132 (49.8%)
had cancer-related fatigue. Internal consistency was very good, which was
indicated by a Cronbach alpha of 0.843. CONCLUSION: The prevalence of diagnosable
CRF in the patients in this sample, of whom most were under palliative treatment,
was 49.8%, which was probably somewhat lower than in some of the previous reports
that have used less-strict criteria. In addition, among the various criteria of
the proposed diagnostic criteria, the most frequently reported symptoms in our
sample populations were regarding sleep disturbance and physical factors.
Although they will require further replication in other samples, these formal
diagnostic criteria can serve as a step toward a common language and a better
understanding of the severity range of CRF.
PMID- 21896192
TI - Inhibition of radiation induced migration of human head and neck squamous cell
carcinoma cells by blocking of EGF receptor pathways.
AB - BACKGROUND: Recently it has been shown that radiation induces migration of glioma
cells and facilitates a further spread of tumor cells locally and systemically.
The aim of this study was to evaluate whether radiotherapy induces migration in
head and neck squamous cell carcinoma (HNSCC). A further aim was to investigate
the effects of blocking the epidermal growth factor receptor (EGFR) and its
downstream pathways (Raf/MEK/ERK, PI3K/Akt) on tumor cell migration in vitro.
METHODS: Migration of tumor cells was assessed via a wound healing assay and
proliferation by a MTT colorimeritric assay using 3 HNSCC cell lines (BHY, CAL
27, HN). The cells were treated with increasing doses of irradiation (2 Gy, 5 Gy,
8 Gy) in the presence or absence of EGF, EGFR-antagonist (AG1478) or inhibitors
of the downstream pathways PI3K (LY294002), mTOR (rapamycin) and MEK1 (PD98059).
Biochemical activation of EGFR and the downstream markers Akt and ERK were
examined by Western blot analysis. RESULTS: In absence of stimulation or
inhibition, increasing doses of irradiation induced a dose-dependent enhancement
of migrating cells (p < 0.05 for the 3 HNSCC cell lines) and a decrease of cell
proliferation (p < 0.05 for the 3 HNSCC cell lines). The inhibition of EGFR or
the downstream pathways reduced cell migration significantly (almost all p < 0.05
for the 3 HNSCC cell lines). Stimulation of HNSCC cells with EGF caused a
significant increase in migration (p < 0.05 for the 3 HNSCC cell lines). After
irradiation alone a pronounced activation of EGFR was observed by Western blot
analysis. CONCLUSION: Our results demonstrate that the EGFR is involved in
radiation induced migration of HNSCC cells. Therefore EGFR or the downstream
pathways might be a target for the treatment of HNSCC to improve the efficacy of
radiotherapy.
PMID- 21896193
TI - Risk factors for respiratory work disability in a cohort of pulp mill workers
exposed to irritant gases.
AB - BACKGROUND: The association between chronic respiratory diseases and work
disability has been demonstrated a number of times over the past 20 years, but
still little is known about work disability in occupational cohorts of workers
exposed to respiratory irritants. This study investigated job or task changes due
to respiratory problems as an indicator of work disability in pulp mill workers
occupationally exposed to irritants. METHODS: Data about respiratory symptoms and
disease diagnoses, socio-demographic variables, occupational exposures, gassing
episodes, and reported work changes due to respiratory problems were collected
using a questionnaire answered by 3226 pulp mill workers. Information about work
history and departments was obtained from personnel files. Incidence and hazard
ratios for respiratory work disability were calculated with 95% confidence
intervals (CI). RESULTS: The incidence of respiratory work disability among these
pulp mill workers was 1.6/1000 person-years. The hazard ratios for respiratory
work disability were increased for workers reporting gassings (HR 5.3, 95% CI 2.7
10.5) and for those reporting physician-diagnosed asthma, chronic bronchitis, and
chronic rhinitis, when analyzed in the same model. CONCLUSIONS: This cohort study
of pulp mill workers found that irritant peak exposure during gassing episodes
was a strong predictor of changing work due to respiratory problems, even after
adjustment for asthma, chronic bronchitis, and chronic rhinitis.
PMID- 21896194
TI - Perspectives on child diarrhoea management and health service use among ethnic
minority caregivers in Vietnam.
AB - BACKGROUND: In Vietnam, primary government health services are now accessible for
the whole population including ethnic minority groups (EMGs) living in rural and
mountainous areas. However, little is known about EMGs' own perspectives on
illness treatment and use of health services. This study investigates treatment
seeking strategies for child diarrhoea among ethnic minority caregivers in
Northern Vietnam in order to suggest improvements to health services for EMGs and
other vulnerable groups. METHODS: The study obtained qualitative data from eight
months of field work among four EMGs in lowland and highland villages in the
Northern Lao Cai province. Triangulation of methods included in-depth interviews
with 43 caregivers of pre-school children (six years and below) who had a case of
diarrhoea during the past month, three focus group discussions (FGDs) with men,
and two weeks of observations at two Communal Health Stations (CHGs). Data was
content-analyzed by ordering data into empirically and theoretically inspired
themes and sub-categories assisted by the software NVivo8. RESULTS: This study
identified several obstacles for EMG caregivers seeking health services,
including: gender roles, long travelling distances for highland villagers,
concerns about the indirect costs of treatment and a reluctance to use government
health facilities due to feelings of being treated disrespectfully by health
staff. However, ethnic minority caregivers all recognized the danger signs of
child diarrhoea and actively sought simultaneous treatment in different health
care systems and home-based care. Treatments were selected by matching the
perceived cause and severity of the disease with the 'compatibility' of different
treatments to the child. CONCLUSIONS: In order to improve EMGs' use of government
health services it is necessary to improve the communication skills of health
staff and to acknowledge both EMGs' explanatory disease models and the
significant socio-economic constraints they experience. Broader health promotion
programs should address the significant gender roles preventing highland mothers
from seeking health services and include family elders and fathers in future
health promotion programs. Encouraging existing child health care practices,
including continued breastfeeding during illness and the use of home-made
rehydration solutions, also present important opportunities for future child
health promotion.
PMID- 21896195
TI - Program for expectant and new mothers: a population-based study of participation.
AB - BACKGROUND: The Manitoba Healthy Baby Program is aimed at promoting pre- and
perinatal health and includes two components: 1) prenatal income supplement; 2)
community support programs. The goal of this research was to determine the uptake
of these components by target groups. METHODS: Data on participation in each of
the two program components were linked to data on all hospital births in Manitoba
between 2004/05 through 2007/08. Descriptive analyses of participation by
maternal characteristics were produced. Logistic regression analyses were
conducted to identify factors associated with participation in the two programs.
Separate regressions were run for two groups of women giving birth during the
study period: 1) total population; 2) those receiving provincial income
assistance during the prenatal period. RESULTS: Almost 30% of women giving birth
in Manitoba received the Healthy Baby prenatal income supplement, whereas only
12.6% participated in any community support programs. Over one quarter (26.4%) of
pregnant women on income assistance did not apply for and receive the prenatal
income supplement, despite all being eligible for it. Furthermore, 77.8% of women
on income assistance did not participate in community support programs. Factors
associated with both receipt of the prenatal benefit and participation in
community support programs included lower SES, receipt of income assistance,
obtaining adequate prenatal care, having completed high school and having
depressive symptoms. Having more previous births was associated with higher odds
of receiving the prenatal benefit, but lower odds of attending community support
programs. Being married was associated with lower odds of receiving the prenatal
benefit but higher odds of participating in community support programs.
CONCLUSIONS: Although uptake of the Healthy Baby program in Manitoba is greater
for women in groups at risk for poorer perinatal outcomes, a substantial number
of women eligible for this program are not receiving it; efforts to reach these
women should be enhanced.
PMID- 21896196
TI - The clustering of health behaviours in Ireland and their relationship with mental
health, self-rated health and quality of life.
AB - BACKGROUND: Health behaviours do not occur in isolation. Rather they cluster
together. It is important to examine patterns of health behaviours to inform a
more holistic approach to health in both health promotion and illness prevention
strategies. Examination of patterns is also important because of the increased
risk of mortality, morbidity and synergistic effects of health behaviours. This
study examines the clustering of health behaviours in a nationally representative
sample of Irish adults and explores the association of these clusters with mental
health, self-rated health and quality of life. METHODS: TwoStep Cluster analysis
using SPSS was carried out on the SLAN 2007 data (national Survey of Lifestyle,
Attitudes and Nutrition, n = 10,364; response rate =62%; food frequency n =
9,223; cluster analysis n = 7,350). Patterns of smoking, drinking alcohol,
physical activity and diet were considered. Associations with positive and
negative mental health, quality of life and self-rated health were assessed.
RESULTS: Six health behaviour clusters were identified: Former Smokers, 21.3% (n
= 1,564), Temperate, 14.6% (n = 1,075), Physically Inactive, 17.8% (n = 1,310),
Healthy Lifestyle, 9.3% (n = 681), Multiple Risk Factor, 17% (n = 1248), and
Mixed Lifestyle, 20% (n = 1,472). Cluster profiles varied with men aged 18-29
years, in the lower social classes most likely to adopt unhealthy behaviour
patterns. In contrast, women from the higher social classes and aged 65 years and
over were most likely to be in the Healthy Lifestyle cluster. Having healthier
patterns of behaviour was associated with positive lower levels of psychological
distress and higher levels of energy vitality. CONCLUSION: The current study
identifies discernible patterns of lifestyle behaviours in the Irish population
which are similar to those of our European counterparts. Healthier clusters
(Former Smokers, Temperate and Healthy Lifestyle) reported higher levels of
energy vitality, lower levels of psychological distress, better self-rated health
and better quality of life. In contrast, those in the Multiple Risk Factor
cluster had the lowest levels of energy and vitality and the highest levels of
psychological distress. Identification of these discernible patterns because of
their relationship with mortality, morbidity and longevity is important for
identifying national and international health behaviour patterns.
PMID- 21896197
TI - A unique protein profile of peripheral neutrophils from COPD patients does not
reflect cytokine-induced protein profiles of neutrophils in vitro.
AB - BACKGROUND: Inflammation, both local and systemic, is a hallmark of chronic
obstructive pulmonary disease (COPD). Inflammatory mediators such as TNFalpha and
GM-CSF are secreted by lung epithelium, alveolar macrophages and other
inflammatory cells and are thought to be important contributors in the
pathogenesis of COPD. Indeed, neutrophils are activated by these cytokines and
these cells are one of the major inflammatory cell types recruited to the
pulmonary compartment of COPD patients. Furthermore, these inflammatory mediators
are found in the peripheral blood of COPD patients and, therefore, we
hypothesized that TNFalpha/GM-CSF-induced protein profiles can be found in
peripheral neutrophils of COPD patients. METHODS: Using fluorescence 2
dimensional difference gel electrophoresis we investigated differentially
regulated proteins in peripheral neutrophils from COPD patients and healthy age
matched control subjects. Furthermore, protein profiles from COPD patients were
compared with those of neutrophils of healthy age-matched controls that were
stimulated with TNFalpha and/or GM-CSF in vitro. Protein gels were compared using
DeCyder 7.0 software. RESULTS: We identified 7 significantly regulated protein
spots between peripheral neutrophils from COPD patients and age-matched healthy
control subjects. Stimulation of peripheral neutrophils with TNFalpha, GM-CSF or
TNFalpha + GM-CSF in vitro resulted in 13, 20 and 22 regulated protein spots,
respectively. However, these cytokine-induced protein differences did not
correspond with the protein differences found in neutrophils from COPD patients.
CONCLUSION: These results show that neutrophils from COPD patients have a unique
protein profile compared to neutrophils from healthy age-matched controls.
Furthermore, the neutrophil profiles of COPD patients do not reflect putative
dominant signals induced by TNFalpha, GM-CSF or their combination. Our results
indicate that systemic neutrophil responses in COPD patients are caused by a
unique but subtle interplay between multiple inflammatory signals.
PMID- 21896198
TI - Acute inhalation of hypertonic saline does not improve mucociliary clearance in
all children with cystic fibrosis.
AB - BACKGROUND: Little is known of how mucociliary clearance (MCC) in children with
cystic fibrosis (CF) and normal pulmonary function compares with healthy adults,
or how an acute inhalation of 7% hypertonic saline (HS) aerosol affects MCC in
these same children. METHODS: We compared MCC in 12 children with CF and normal
pulmonary function after an acute inhalation of 0.12% saline (placebo), or HS,
admixed with the radioisotope 99 mtechnetium sulfur colloid in a double-blind,
randomized, cross-over study. Mucociliary clearance on the placebo day in the
children was also compared to MCC in 10 healthy, non-CF adults. Mucociliary
clearance was quantified over a 90 min period, using gamma scintigraphy, and is
reported as MCC at 60 min (MCC60) and 90 min (MCC90). RESULTS: Median
[interquartile range] MCC60 and MCC90 in the children on the placebo visit were
15.4 [12.4-24.5]% and 19.3 [17.3-27.8%]%, respectively, which were similar to the
adults with 17.8 [6.4-28.7]% and 29.6 [16.1-43.5]%, respectively. There was no
significant improvement in MCC60 (2.2 [-6.2-11.8]%) or MCC90 (2.3 [-1.2-10.5]%)
with HS, compared to placebo. In addition, 5/12 and 4/12 of the children showed a
decrease in MCC60 and MCC90, respectively, after inhalation of HS. A post hoc
subgroup analysis of the change in MCC90 after HS showed a significantly greater
improvement in MCC in children with lower placebo MCC90 compared to those with
higher placebo MCC90 (p = 0.045). CONCLUSIONS: These data suggest that percent
MCC varies significantly between children with CF lung disease and normal
pulmonary functions, with some children demonstrating MCC values within the
normal range and others showing MCC values that are below normal values. In
addition, although MCC did not improve in all children after inhalation of HS,
improvement did occur in children with relatively low MCC values after placebo.
This finding suggests that acute inhalation of hypertonic saline may benefit a
subset of children with low MCC values.
PMID- 21896199
TI - Methyl-binding domain protein-based DNA isolation from human blood serum combines
DNA analyses and serum-autoantibody testing.
AB - BACKGROUND: Circulating cell free DNA in serum as well as serum-autoantibodies
and the serum proteome have great potential to contribute to early cancer
diagnostics via non invasive blood tests. However, most DNA preparation protocols
destroy the protein fraction and therefore do not allow subsequent protein
analyses. In this study a novel approach based on methyl binding domain protein
(MBD) is described to overcome the technical difficulties of combining DNA and
protein analysis out of one single serum sample. METHODS: Serum or plasma samples
from 98 control individuals and 54 breast cancer patients were evaluated upon
silica membrane- or MBD affinity-based DNA isolation via qPCR targeting potential
DNA methylation markers as well as by protein-microarrays for tumor-autoantibody
testing. RESULTS: In control individuals, an average DNA level of 22.8 +/- 25.7
ng/ml was detected applying the silica membrane based protocol and 8.5 +/- 7.5
ng/ml using the MBD-approach, both values strongly dependent on the serum sample
preparation methods used. In contrast to malignant and benign tumor serum
samples, cell free DNA concentrations were significantly elevated in sera of
metastasizing breast cancer patients. Technical evaluation revealed that serum
upon MBD-based DNA isolation is suitable for protein-array analyses when data are
consistent to untreated serum samples. CONCLUSION: MBD affinity purification
allows DNA isolations under native conditions retaining the protein function,
thus for example enabling combined analyses of DNA methylation and autoantigene
profiles from the same serum sample and thereby improving minimal invasive
diagnostics.
PMID- 21896200
TI - Trends of increase in western medical services in traditional medicine hospitals
in China.
AB - BACKGROUND: Compare changes in types of hospital service revenues between
traditional Chinese medicine (TCM) hospitals and Western-medicine based general
hospitals. METHODS: 97 TCM hospitals and 103 general hospitals were surveyed in
years of 2000 and 2004. Six types of medical service revenue between the two
types of hospitals were compared overtime. The national statistics from 1999 to
2008 were also used as complementary evidence. RESULTS: For TCM hospitals, the
percentage of service revenue from Western medicine increased from 44.3% to 47.4%
while the percentage of service revenue from TCM declined from 26.4% to 18.8%
from 1999 to 2004. Percentages of revenue from laboratory tests and surgical
procedures for both types of hospitals increased and the discrepancy between the
two types of hospitals was narrowed from 1999 to 2004. For TCM hospitals,
revenues from laboratory tests increased from 3.64% to 5.06% and revenues from
surgical procedures increased from 3.44% to 7.02%. General hospitals' TCM drug
revenue in outpatient care declined insignificantly from 5.26% to 3.87%, while
the decline for the TCM hospitals was significant from 19.73% to 13.77%. The
national statistics from 1999 to 2008 showed similar trends that the percentage
of revenue from Western medicine for TCM hospitals increased from 59.6% in 1999
to 62.2% in 2003 and 66.1% in 2008 while the percentage of revenue from TCM for
TCM hospitals decreased from 18.0% in 1999, 15.4% in 2003, and 13.7% in 2008.
CONCLUSION: Western medicine has become a vital revenue source for TCM hospitals
in the current Chinese health care environment where government subsidies to
health care facilities have significantly declined. Policies need to encourage
TCM hospitals to identify their own special and effective services, improve
public perception, increase demand, strengthen financial sources, and ultimately
make contributions to preserving one of the national treasures.
PMID- 21896201
TI - The development and application of a new tool to assess the adequacy of the
content and timing of antenatal care.
AB - BACKGROUND: Current measures of antenatal care use are limited to initiation of
care and number of visits. This study aimed to describe the development and
application of a tool to assess the adequacy of the content and timing of
antenatal care. METHODS: The Content and Timing of care in Pregnancy (CTP) tool
was developed based on clinical relevance for ongoing antenatal care and
recommendations in national and international guidelines. The tool reflects
minimal care recommended in every pregnancy, regardless of parity or risk status.
CTP measures timing of initiation of care, content of care (number of blood
pressure readings, blood tests and ultrasound scans) and whether the
interventions were received at an appropriate time. Antenatal care trajectories
for 333 pregnant women were then described using a standard tool (the APNCU
index), that measures the quantity of care only, and the new CTP tool. Both tools
categorise care into 4 categories, from 'Inadequate' (both tools) to 'Adequate
plus' (APNCU) or 'Appropriate' (CTP). Participants recorded the timing and
content of their antenatal care prospectively using diaries. Analysis included an
examination of similarities and differences in categorisation of care episodes
between the tools. RESULTS: According to the CTP tool, the care trajectory of
10,2% of the women was classified as inadequate, 8,4% as intermediate, 36% as
sufficient and 45,3% as appropriate. The assessment of quality of care differed
significantly between the two tools. Seventeen care trajectories classified as
'Adequate' or 'Adequate plus' by the APNCU were deemed 'Inadequate' by the CTP.
This suggests that, despite a high number of visits, these women did not receive
the minimal recommended content and timing of care. CONCLUSIONS: The CTP tool
provides a more detailed assessment of the adequacy of antenatal care than the
current standard index. However, guidelines for the content of antenatal care
vary, and the tool does not at the moment grade over-use of interventions as
'Inappropriate'. Further work needs to be done to refine the content items prior
to larger scale testing of the impact of the new measure.
PMID- 21896203
TI - Mast cell activation and clinical outcome in pediatric cholelithiasis and biliary
dyskinesia.
AB - BACKGROUND: The current study was undertaken to determine the degree of
activation of gallbladder mucosal mast cells, whether mast cell (MC) density or
activation differ between patients with and without a positive clinical response
to cholecystectomy, and whether either density or activation correlate with
gallbladder emptying. RESULTS: Fifteen biliary dyskinesia (BD) and 13 symptomatic
cholelithiasis (CL) patients undergoing cholecystectomy were prospectively
enrolled. Gallbladder wall MC density (by immunohistochemistry) and activation
(by electron microscopy) were determined. Clinical response was evaluated 30 days
post-cholecystectomy on a 5-point Likert-type scale. A complete or nearly
complete clinical response was seen in 100% of CL and in 87% of BD patients. The
overall degranulation indices were 49.4 +/- 18.7% for CL patients and 44.2 +/-
16.8% for BD patients. Neither MC density nor activation correlated with the
gallbladder ejection fraction. A complete clinical response was associated with
lower epithelial MC density. CONCLUSION: Cholecystectomy is efficacious in
relieving pain in both CL and BD patients. BD and CL are associated not only with
increased MC density but a moderate to high degree of MC activation. A possible
relationship between MC density and outcome for BD warrants further
investigation.
PMID- 21896204
TI - Genetic screening of Fabry patients with EcoTILLING and HRM technology.
AB - BACKGROUND: Anderson-Fabry disease (FD) is caused by a deficit of the alpha
galactosidase A enzyme which leads to the accumulation of complex sphingolipids,
especially globotriaosylceramide (Gb3), in all the cells of the body, causing the
onset of a multi-systemic disease with poor prognosis in adulthood. In this
article, we describe two alternative methods for screening the GLA gene which
codes for the alpha-galactosidase A enzyme in subjects with probable FD in order
to test analysis strategies which include or rely on initial pre-screening.
FINDINGS: We analyzed 740 samples using EcoTILLING, comparing two mismatch
specificendonucleases, CEL I and ENDO-1, while conducting a parallel screening of
the same samples using HRM (High Resolution Melting). Afterwards, all samples
were subjected to direct sequencing. Overall, we identified 12 different genetic
variations: -10C>T, -12G>A, -30G>A, IVS2-76_80del5, D165H, C172Y, IVS4+16A>G,
IVS4 +68 A>G, c.718_719delAA, D313Y, IVS6-22C>T, G395A. This was consistent with
the high genetic heterogeneity found in FD patients and carriers. All of the
mutations were detected by HRM, whereas 17% of the mutations were not found by
EcoTILLING. The results obtained by EcoTILLING comparing the CEL I and ENDO-1
endonucleases were perfectly overlapping. CONCLUSION: On the basis of its
simplicity, flexibility, repeatability, and sensitivity, we believe thatHRM
analysis of the GLA gene is a reliable presequencing screening tool. This method
can be applied to any genomic feature to identify known and unknown genetic
alterations, and it is ideal for conducting screening and population studies.
PMID- 21896202
TI - Emerging therapies for severe asthma.
AB - Many patients with asthma have poorly controlled symptoms, and particularly for
those with severe disease, there is a clear need for improved treatments. Two
recent therapies licensed for use in asthma are omalizumab, a humanized
monoclonal antibody that binds circulating IgE antibody, and bronchial
thermoplasty, which involves the delivery of radio frequency energy to the
airways to reduce airway smooth muscle mass. In addition, there are new therapies
under development for asthma that have good potential to reach the clinic in the
next five years. These include biological agents targeting pro-inflammatory
cytokines such as interleukin-5 and interleukin-13, inhaled ultra long-acting
beta2-agonists and once daily inhaled corticosteroids. In addition, drugs that
block components of the arachidonic acid pathway that targets neutrophilic asthma
and CRTH2 receptor antagonists that inhibit the proinflammatory actions of
prostaglandin D2 may become available. We review the recent progress made in
developing viable therapies for severe asthma and briefly discuss the idea that
development of novel therapies for asthma is likely to increasingly involve the
assessment of genotypic and/or phenotypic factors.
PMID- 21896205
TI - Identification of Phosphoglycerate Kinase 1 (PGK1) as a reference gene for
quantitative gene expression measurements in human blood RNA.
AB - BACKGROUND: Blood is a convenient sample and increasingly used for quantitative
gene expression measurements with a variety of diseases including chronic fatigue
syndrome (CFS). Quantitative gene expression measurements require normalization
of target genes to reference genes that are stable and independent from variables
being tested in the experiment. Because there are no genes that are useful for
all situations, reference gene selection is an essential step to any quantitative
reverse transcription-PCR protocol. Many publications have described appropriate
genes for a wide variety of tissues and experimental conditions, however,
reference genes that may be suitable for the analysis of CFS, or human blood RNA
derived from whole blood as well as isolated peripheral blood mononuclear cells
(PBMCs), have not been described. FINDINGS: Literature review and analyses of our
unpublished microarray data were used to narrow down the pool of candidate
reference genes to six. We assayed whole blood RNA from Tempus tubes and cell
preparation tube (CPT)-collected PBMC RNA from 46 subjects, and used the geNorm
and NormFinder algorithms to select the most stable reference genes.
Phosphoglycerate kinase 1 (PGK1) was one of the optimal normalization genes for
both whole blood and PBMC RNA, however, additional genes differed for the two
sample types; Ribosomal protein large, P0 (RPLP0) for PBMC RNA and Peptidylprolyl
isomerase B (PPIB) for whole blood RNA. We also show that the use of a single
reference gene is sufficient for normalization when the most stable candidates
are used. CONCLUSIONS: We have identified PGK1 as a stable reference gene for use
with whole blood RNA and RNA derived from PBMC. When stable genes are selected it
is possible to use a single gene for normalization rather than two or three.
Optimal normalization will improve the ability of results from PBMC RNA to be
compared with those from whole blood RNA and potentially allows comparison of
gene expression results from blood RNA collected and processed by different
methods with the intention of biomarker discovery. Results of this study should
facilitate large-scale molecular epidemiologic studies using blood RNA as the
target of quantitative gene expression measurements.
PMID- 21896208
TI - A reaction-diffusion model of the receptor-toxin-antibody interaction.
AB - BACKGROUND: It was recently shown that the treatment effect of an antibody can be
described by a consolidated parameter which includes the reaction rates of the
receptor-toxin-antibody kinetics and the relative concentration of reacting
species. As a result, any given value of this parameter determines an associated
range of antibody kinetic properties and its relative concentration in order to
achieve a desirable therapeutic effect. In the current study we generalize the
existing kinetic model by explicitly taking into account the diffusion fluxes of
the species. RESULTS: A refined model of receptor-toxin-antibody (RTA)
interaction is studied numerically. The protective properties of an antibody
against a given toxin are evaluated for a spherical cell placed into a toxin
antibody solution. The selection of parameters for numerical simulation
approximately corresponds to the practically relevant values reported in the
literature with the significant ranges in variation to allow demonstration of
different regimes of intracellular transport. CONCLUSIONS: The proposed
refinement of the RTA model may become important for the consistent evaluation of
protective potential of an antibody and for the estimation of the time period
during which the application of this antibody becomes the most effective. It can
be a useful tool for in vitro selection of potential protective antibodies for
progression to in vivo evaluation.
PMID- 21896206
TI - Identification of H-2d restricted T cell epitope of foot-and-mouth disease virus
structural protein VP1.
AB - BACKGROUND: Foot-and-mouth disease (FMD) is a highly contagious and devastating
disease affecting livestock that causes significant financial losses. Therefore,
safer and more effective vaccines are required against Foot-and-mouth disease
virus(FMDV). The purpose of this study is to screen and identify an H-2d
restricted T cell epitope from the virus structural protein VP1, which is present
with FMD. We therefore provide a method and basis for studying a specific FMDV T
cell epitope. RESULTS: A codon-optimized expression method was adopted for
effective expression of VP1 protein in colon bacillus. We used foot-and-mouth
disease standard positive serum was used for Western blot detection of its
immunogenicity. The VP1 protein was used for immunizing BALB/c mice, and spleen
lymphocytes were isolated. Then, a common in vitro training stimulus was
conducted for potential H-2Dd, H-2Kd and H-2Ld restricted T cell epitope on VP1
proteins that were predicted and synthesized by using a bioinformatics method.
The H-2Kd restricted T cell epitope pK1 (AYHKGPFTRL) and the H-2Dd restricted T
cell epitope pD7 (GFIMDRFVKI) were identified using lymphocyte proliferation
assays and IFN-gamma ELISPOT experiments. CONCLUSIONS: The results of this study
lay foundation for studying the FMDV immune process, vaccine development, among
other things. These results also showed that, to identify viral T cell epitopes,
the combined application of bioinformatics and molecular biology methods is
effective.
PMID- 21896207
TI - A molecular and antigenic survey of H5N1 highly pathogenic avian influenza virus
isolates from smallholder duck farms in Central Java, Indonesia during 2007-2008.
AB - BACKGROUND: Indonesia is one of the countries most severely affected by H5N1
highly pathogenic avian influenza (HPAI) virus in terms of poultry and human
health. However, there is little information on the diversity of H5N1 viruses
circulating in backyard farms, where chickens and ducks often intermingle. In
this study, H5N1 virus infection occurring in 96 smallholder duck farms in
central Java, Indonesia from 2007-2008 was investigated and the molecular and
antigenic characteristics of H5N1 viruses isolated from these farms were
analysed. RESULTS: All 84 characterised viruses belonged to H5N1 clade 2.1 with
three virus sublineages being identified: clade 2.1.1 (1), clade 2.1.3 (80), and
IDN/6/05-like viruses (3) that did not belong to any of the present clades. All
three clades were found in ducks, while only clade 2.1.3 was isolated from
chickens. There were no significant amino acid mutations of the hemagglutinin
(HA) and neuraminidase (NA) sites of the viruses, including the receptor binding,
glycosylation, antigenic and catalytic sites and NA inhibitor targets. All the
viruses had polybasic amino acids at the HA cleavage site. No evidence of major
antigenic variants was detected. Based on the HA gene, identical virus variants
could be found on different farms across the study sites and multiple genetic
variants could be isolated from HPAI outbreaks simultaneously or at different
time points from single farms. HPAI virus was isolated from both ducks and
chickens; however, the proportion of surviving duck cases was considerably higher
than in chickens. CONCLUSIONS: The 2.1.3 clade was the most common lineage found
in this study. All the viruses had sequence characteristic of HPAI, but
negligible variations in other recognized amino acids at the HA and NA proteins
which determine virus phenotypes. Multiple genetic variants appeared to be
circulating simultaneously within poultry communities. The high proportion of
live duck cases compared to chickens over the study period suggests that ducks
are more likely to survive infection and they may better suit the role of long
term maintenance host for H5N1. As some viruses were isolated from dead birds,
there was no clear correlation between genetic variations and pathogenicity of
these viruses.
PMID- 21896209
TI - Heterogeneity of variance components for preweaning growth in Romane sheep due to
the number of lambs reared.
AB - BACKGROUND: The pre-weaning growth rate of lambs, an important component of meat
market production, is affected by maternal and direct genetic effects. The French
genetic evaluation model takes into account the number of lambs suckled by
applying a multiplicative factor (1 for a lamb reared as a single, 0.7 for twin
reared lambs) to the maternal genetic effect, in addition to including the
birth*rearing type combination as a fixed effect, which acts on the mean.
However, little evidence has been provided to justify the use of this
multiplicative model. The two main objectives of the present study were to
determine, by comparing models of analysis, 1) whether pre-weaning growth is the
same trait in single- and twin-reared lambs and 2) whether the multiplicative
coefficient represents a good approach for taking this possible difference into
account. METHODS: Data on the pre-weaning growth rate, defined as the average
daily gain from birth to 45 days of age on 29,612 Romane lambs born between 1987
and 2009 at the experimental farm of La Sapiniere (INRA-France) were used to
compare eight models that account for the number of lambs per dam reared in
various ways. Models were compared using the Akaike information criteria.
RESULTS: The model that best fitted the data assumed that 1) direct (maternal)
effects correspond to the same trait regardless of the number of lambs reared, 2)
the permanent environmental effects and variances associated with the dam depend
on the number of lambs reared and 3) the residual variance depends on the number
of lambs reared. Even though this model fitted the data better than a model that
included a multiplicative coefficient, little difference was found between EBV
from the different models (the correlation between EBV varied from 0.979 to
0.999). CONCLUSIONS: Based on experimental data, the current genetic evaluation
model can be improved to better take into account the number of lambs reared.
Thus, it would be of interest to evaluate this model on field data and update the
genetic evaluation model based on the results obtained.
PMID- 21896211
TI - [Health inequalities: the time for action!].
PMID- 21896210
TI - A cell factory of Bacillus subtilis engineered for the simple bioconversion of
myo-inositol to scyllo-inositol, a potential therapeutic agent for Alzheimer's
disease.
AB - BACKGROUND: A stereoisomer of inositol, scyllo-inositol, is known as a promising
therapeutic agent for Alzheimer's disease, since it prevents the accumulation of
beta-amyloid deposits, a hallmark of the disease. However, this compound is
relatively rare in nature, whereas another stereoisomer of inositol, myo
inositol, is abundantly available. RESULTS: Bacillus subtilis possesses a unique
inositol metabolism involving both stereoisomers. We manipulated the inositol
metabolism in B. subtilis to permit the possible bioconversion from myo-inositol
to scyllo-inositol. Within 48 h of cultivation, the engineered strain was able to
convert almost half of 10 g/L myo-inositol to scyllo-inositol that accumulated in
the culture medium. CONCLUSIONS: The engineered B. subtilis serves as a prototype
of cell factory enabling a novel and inexpensive supply of scyllo-inositol.
PMID- 21896212
TI - [Health priorities, regions, areas and citizens: the case of Franche-Comte].
AB - How can users' associations promote direct citizen involvement in the debate over
health priorities throughout a region and within local health areas? A survey was
conducted by user representatives with the support of a group of researchers and
academics, based on a questionnaire outlining 42 key priorities and a 43rd
priority inviting an open response. The questionnaire was published between April
12 and April 18 2010 in 14 local and regional newspapers throughout Franche
Comte. In total, 962 responses were collected, though only 928 responses were
included in the analysis as having originated from the Franche-Comte region. In
decreasing order of importance, the five major priorities identified by
respondents are: a decent home, a healthy diet, sufficient income, drinking
water, and improved cancer prevention and control. Views from the different
health areas within the region were found to reflect the views of the region as a
whole, while emphasizing access to education. Health determinants were the most
common priority emphasized by respondents, though a number of area-specific
characteristics were also found. Public surveys may help regional health agencies
and authorities to define or validate local and regional health priorities in
addition to national priorities, with a view (in particular) to reducing health
inequalities throughout the region and within the different health areas.
PMID- 21896213
TI - [Home and leisure injuries among fifth-grade schoolchildren in 2004-2005].
AB - A survey was conducted among a representative national sample of fifth-grade
schoolchildren between September 2004 and June 2005. 97% of all injuries reported
by 6,836 schoolchildren interviewed in Metropolitan France were home and leisure
injuries (HLI). Quarterly incidence was established at 9.2 HLIs or traffic
accidents per 100 children (confidence interval [8.3; 10.1]). One third of HLIs
were found to occur at school, 20% at home, and 54% during a sport or physical
activity. HLIs involved treatment in an emergency department in 60% of cases, a
limitation of activities in 76% of cases, and exemption from physical education
and sports in 57% of cases. The occurrence of severe HLIs was 1.4 times higher in
children aged 11 and over ([1.03; 1.8] and in children who take part in sport on
a regular basis ([1.1; 1.8]). The risk of a severe HLI was 1.8 times higher in
children from families of four children or more ([1.1; 3.0]). No association was
found between the occurrence of HLIs and gender, visual acuity and parents'
occupational status. Based on data relating to fifth-grade schoolchildren, the
results of this study require further research on specific age groups. Prevention
efforts will need to reduce morbidity and mortality as a result of HLIs (over 250
child deaths per year).
PMID- 21896215
TI - [Doing more to earn more: cesarean sections based on three cases of exemption
from payment in Senegal].
AB - In 2007, the number of caesarean sections performed in Senegal increased by 124%
compared to the number of caesarean sections recorded before the introduction of
a C-section funding initiative in 2006. The rate of increase varies in different
regions and hospitals, with some hospitals performing caesarean sections in over
50% of deliveries. The purpose of this paper is to analyze the main reasons for
high hospital caesarean section rates (i.e. above the national average) based on
three cases of exemption from payment. The study is based on a qualitative method
involving semi-structured interviews with healthcare and administrative staff and
pregnant women in three healthcare institutions and interviews with central
health authorities. Research indicates that high caesarean section rates are not
directly linked to the C-section subsidy policy introduced in 2006. The
perception of caesarean rates is more closely linked to the perception of
population rates among healthcare professionals than to the perception of
institutional (hospital) rates. Since population rates are very low, healthcare
professionals tend to perform more caesarean sections. Among management staff,
the financial returns of high caesarean section rates (in the three levels of
exemption) represent a significant motivation for encouraging healthcare
professionals to perform more C-sections. Despite these high rates, a normative
discourse was found to be held by healthcare professionals, who tend to consider
that their practices are aimed exclusively at saving the mother or the child.
However, in the long term, high caesarean section rates may result in an increase
of maternal deaths and go against MDG 5, aimed at reducing maternal mortality by
3/4 by 2015.
PMID- 21896214
TI - [Pesticide poisoning in Moroccan children: epidemiological and prognostic aspects
(1990-2008)].
AB - OBJECTIVE: The purpose of this paper is to describe the epidemiological profile
of acute pesticide poisoning in children (APP) treated by the
Moroccan Poison Control Center (CAPM) and to analyze death cases in order to
determine factors predictive of severity. METHOD: the study is based on a
retrospective study of all cases of APP collected by the CAPM over a period of
eighteen years (January 1990 to December 2008). Univariate analysis was performed
to identify risk factors. RESULTS: 2,672 cases of childhood poisoning by
pesticide were collected. The mean age was 5.6 +/- 4.57 years. The sex ratio was
1.12. The cause of poisoning was accidental in 87.1% of cases, followed by
attempted suicide (12.1%). Organophosphates were the most frequent poison
(50.7%), followed by alpha-chloralose (26.5%). The case fatality rate was 3.3%.
Mortality was attributed to organophosphates in 30 cases, followed by inorganic
derivatives (7 cases) and carbamates (6 cases). A univariate analysis comparing
survivors and groups who died showed that rural origin (p = 0.04), voluntary
circumstances (p = 0.001), and the type of chemical class of pesticide (p <
0.001) significantly influence fatal poisoning. CONCLUSION: Acute pesticide
poisoning among children is a reality in Morocco. Preventive measures may be
needed.
PMID- 21896216
TI - [Community immersion in Lausanne: a different approach to teaching public
health?].
AB - Teaching community medicine represents a significant challenge for medical
schools, which tend to struggle to promote interest in the issue among students.
In 2009, the Lausanne medical school introduced a "community immersion" module
specifically designed to address the issue. The new module requires students
working in small groups under the supervision of a tutor to investigate a health
question of their choice. The investigation involves conducting interviews with
stakeholders (health professionals, patients, politicians, etc.), carrying out a
survey, and presenting the results of the investigation in a "congress". An
external evaluation showed that the objectives of the initiative had been largely
achieved, with an increase of interest in community medicine for over 50% of
students (based on a total cohort of 150 students) and a high level of
satisfaction for over 90% of students and tutors. This paper presents the results
of the initiative and its use for promoting community-oriented medicine.
PMID- 21896217
TI - [Pregnancy and poly-drug use: changes in patient population and care, 1999-2008].
AB - Changes in drug use in the general French population over the last decade have
also been observed among pregnant women. There have also been significant changes
in the treatment of substance users. Data relating to maternal drug use and the
treatment of drug-using mothers were collected based on a retrospective cohort of
170 newborns with mothers using at least two different substances. The decrease
in the proportion of heroin-users, the rise of opiate maintenance treatments and
cannabis, and the development of new forms of treatment are linked to shorter
maternity stays for newborns and shorter treatments for neonatal abstinence
syndrome. The percentage of preterm births and of children placed in foster care
is also on the decrease. Changes in drug use among mothers and new forms of
treatment given to mother-infant dyads may account for the decrease in treatment
for NAS, the shorter length of hospital stays, and the decreasing number of
children placed in foster care.
PMID- 21896218
TI - [Global consensus on social accountability of medical schools].
AB - A collaborative research project on the social accountability of medical schools
based on the Delphi method was conducted by an international reference group
composed of 130 representatives of the main associations of medical schools and
medical education organizations throughout the world, in addition to experts
invited to take part in a personal capacity. The project ended with a conference
held in South Africa overseen by an independent adjudicator who was not an expert
in the field. The event resulted in the unanimous adoption of a document entitled
?Global consensus on social accountability of medical schools'. The document
emphases the importance of improving the capacity of medical schools to respond
to the needs and challenges of health care for citizens and society in general,
in line with the core values of quality, equity, relevance and effectiveness. The
implications for reconsidering quality practices and for reviewing accreditation
and evaluation criteria are presented.
PMID- 21896219
TI - [Job retention and health issues: an example of personalized assistance in
northern France].
AB - "Sante Emploi Info Service" (Health and Employment Information Service) is a
regional information service aimed at providing support and assistance to people
who are struggling to perform their job effectively or to return to work as a
result of a health problem. Through personalized assistance and follow-up, the
service aims to help individuals to avoid professional exclusion, to provide
accessible information, and to encourage networking between healthcare
professionals and services specializing in job retention and vocational
rehabilitation. In total, 1,392 calls have been received since the service opened
in 2006, with most calls dealing with employment, income and legal matters and a
range of psychological counseling issues. More than half of the proposed
solutions have proved successful. The regional service (a unique initiative in
France) is supported by Lille Regional Hospital and helps individuals suffering
from health problems to overcome obstacles to job retention and vocational
rehabilitation. The service also provides specifically-tailored information about
legal procedures relating to professional integration and vocational
rehabilitation.
PMID- 21896221
TI - [Long life to health democracy!].
PMID- 21896222
TI - [Issues of consultation for the first birth control: young women facing health
professionals].
AB - Contraceptives are commonly used in France. Through the words of young women,
this article analyzes the representations they have of health professionals when
they first request birth control. This article point out the importance of early
contact with the medical profession at the beginning of contraception.
PMID- 21896223
TI - [Prevalence and risk factors of attention disorders of professional drivers in
Morocco].
AB - We aimed to evaluate the prevalence and risk factors of professional drivers'
vigilance disorders in Morocco. This transversal epidemiological multicentric
study concerned 5,566 professional drivers of taxis (2,134), buses (1,158) and
trucks (2,274). We used an anonymous individual questionnaire of 4 sections:
socioprofessional and sanitary characteristics of drivers, working conditions,
sleeping habits, symptoms of sleep problems and favouring factors. Drivers were a
population at risk: high body mass index (62.2%), toxic habits (smoking: 50.6%;
alcohol: 12.9%; cannabis use: 11.7%), pathological antecedents (27%) and
consumption of psychotropic drugs (4%). Their working conditions were difficult
and sleeping problems were frequent. The mean daily hours of work was 10.6 +/-
1.6, and mean sleep duration 6.5 +/- 1.3 hr. Insomnia was 40.2%, abnormally high
Epworth scores 36.3% and sleepiness when driving 53.4%. Information and education
on the dangers of sleepiness while driving is necessary, as is respect for
regulations on work duration. The extension of the occupational health system to
this type of activity would help improve road security and protect the health of
professional drivers and road users.
PMID- 21896225
TI - [Estimated costs of the expanded program of immunization in the health district
of Grand Bassam, Cote d'Ivoire].
AB - The vaccines of the Expanded Immunization Program are administered free of charge
to beneficiaries. However, these vaccines are purchased by countries and partners
of immunization. These costs need to be estimated to be better understood. We
conducted a descriptive cross-sectional study of the costs of the Expanded
Immunization Program in the health district of Grand-Bassam from January 1 to
December 31, 2006, with questions aiming to understand the costs from the point
of view of the state and partners. We aimed to determine costs by level of
expenditure, calculate the cost per child who received 3 doses of vaccine against
Diphtheria-Tetanus-Pertussis-Hepatitis B and cost per strategy. Vaccines and
injection supplies accounted for 49% of recurrent costs. Vehicles and motorcycles
for transport accounted for 73% of non-recurrent costs. The recurrent cost per
child who received 3 doses of the vaccine was 10 797 FCFA (16 euros). The
recurrent cost per dose administered was 1,041 FCFA (1,58 euros) for the fixed
strategy, 4,232 FCFA (6,45 euros) for the outreach strategy and 4,058 FCFA (6,18
euros) for the mobile strategy. Because of the scarcity of financial resources,
the Cote d'Ivoire government must strengthen efficient public-health measures,
including vaccination.
PMID- 21896224
TI - [Explarotory study about professional characteristics of suicide attempters].
AB - We aimed to describe the professional characteristics of suicide attempters. One
investigator asked 87 consecutive patients, aged 18 to 25 years, who had been
hospitalized in a university hospital in Angers after having attempted suicide
anytime during a 6.5-month period (November 2008-April 2009) to complete a
questionnaire about their working conditions. The working conditions of both
sexes were approximatively the same. Many patients described situations where
work organization was known to produce given mental disorders. Patients
hospitalized for suicide attempts are in professions that leaves them more
stressed than the general population of workers (Sumer, Samotrace etc.). This
evidence could favour a link between attempted suicide and mental factors of
strain at work. The results of this study should be interpreted with caution
because of the phenomena of circuitous data and small sample size.
PMID- 21896226
TI - [Hemophilia patient education: teaching and assessment of 10 programs].
AB - A group of health professionals and patients conducted a pedagogical assessment
of Therapeutic Patient Education (TPE) in haemophilia in France, focused on 2
main subjects: "the objectives and aims of TPE programs" and "the health
professionals' ability to lead and contribute to TPE programs" (3). The use of a
research laboratory and a participatory methodology allowed for identifying the
assessment's challenges and contributed to its smooth running. TPE is starting to
be integrated with medical care for patients, and a multidisciplinary approach
better meets patients' educational needs. Two prerequisites for improving the
pedagogical quality of these programs are self-reported patient needs and
coordination of the TPE activities. The parties involved in this study are now
better prepared to meet the ARS (4) TPE program specifications in haemophilia,
and, importantly, are better prepared to undergo the assessment that takes place
every 4 years.
PMID- 21896227
TI - [Therapeutic patient education: the need for a comprehensive, coordinated and
sutainable approach].
AB - Therapeutic patient education has gradually developed for 20 years in France but
not in a structured way. Today, therapeutic patient education has found legal
consecration with the law of July 21,2009 (HPST), completed by a statute in
August 2010. The development of chronic diseases therefore is monitored by a more
global planning of the care, particularly in towns, with local coordination to
meet the needs of the population and long-lasting financing.
PMID- 21896228
TI - [Opinion of the National Federation of Education and Health Promotion on report
"New approaches to public health prevention"].
AB - In 2009, the Center for Strategic Analysis initiated a study, entitled
Neurosciences and Public Policies, to assess the use of neurosciences in
prevention policy. Subsequently, a report highlighted the inefficiency of the
"traditional" prevention programs and the potential contribution of neurosciences
to defining a new prevention approach. For the French National Federation for
Health Education and Promotion, health promotion cannot be limited to a "counter
manipulation" of consumers confronted with marketing strategies from the food and
tobacco industries. Promoting health helps people increase control over the
determinants of their health, by means of educational empowering strategies.
PMID- 21896230
TI - Unmet needs in patients with epilepsy, following audit, educational intervention
and the introduction of the New General Practice Contract.
AB - BACKGROUND: Historically, epilepsy care has been documented as poor. The New
Contract introduced epilepsy as a quality indicator from April 2004. AIM: To
measure the unmet clinical needs in patients with epilepsy, following an audit,
with educational intervention and the introduction of the New Contract. DESIGN:
Prospective audit. SETTING: Thirteen general practices (population 68 240).
METHODS: The case notes of 388 patients receiving treatment for epilepsy were
reviewed in the two years before, and four years after, the introduction of the
New Contract in April 2004. An intervention took place, which consisted of (a) a
letter to each practice summarising the main findings; (b) the provision of a
comprehensive template; (c) an individualised categorisation for each patient;
(d) a single educational session led by a Neurologist with an interest in
epilepsy in March 2004; and (e) the introduction of the New Contract in April
2004. RESULTS: The audit was completed in 267 cases. There was a significant
increase in the annual review rate and documentation of seizure frequency. This
happened after the New Contract was introduced, and has been sustained. The
number of patients under shared care fell significantly from 22% to 16%. Thirty
of the 62 (48%) patients with poor control were not receiving shared care. There
were 13 referrals and 32 practice interventions related to the audit, with 39
positive outcomes. There was one death in a poorly controlled patient who was not
under shared care, nor had been seen regularly by their general practitioner.
CONCLUSION: The unmet needs of some patients were highlighted by the audit
resulting in appropriate management of these patients. However, despite the
significantly improved review rates in primary care, and the availability of
specialist services locally, we remain concerned about the proportion of patients
with refractory epilepsy who do not seem to be receiving coordinated shared care.
PMID- 21896231
TI - Persistent non-specific low back pain and patients' experience of general
practice: a qualitative study.
AB - AIM: This paper aims to present a conceptual analysis of patients' experience of
general practice in relation to their persistent non-specific low back pain
(PLBP). BACKGROUND: PLBP accounts for a considerable amount of the daily workload
of the general practitioner (GP). GPs need to maintain a good relationship with
their patient while following guidelines for best practice. The biomedical model
can contribute to the tensions experienced by a person with PLBP and a shift in
the prevailing model may facilitate the resolution of these tensions. Qualitative
research can help clinicians to understand this process and thus facilitate the
best possible outcome. METHOD: We conducted a series of three in-depth interviews
over a period of one year with 20 patients with PLBP who had been invited to
attend a pain management programme. We used the methods of constructivist
grounded theory to analyse the data. FINDINGS: Several themes emerged that
provide a deeper understanding of the context in which patient and GP negotiate
their relationship. Patients describe how they have been fobbed off by a GP who
is just a general practitioner and not an expert. This allowed patients to
continue to use the biomedical model; I have something real but the GP lacks
knowledge. To think that 'nothing can be done', would involve accepting the
limits of medical knowledge. We also found that over time, as diagnosis and cure
is not achieved, patient began to question the concept of the medical expert.
This tension opens up the possibility for a shift away from the biomedical
towards a biopsychosocial explanatory model.
PMID- 21896232
TI - Are the NICE guidelines for Obsessive Compulsive Disorder being used in primary
care?
AB - This study aims to: 1) assess the proportion of General Practioners (GPs) who are
aware of or who have read the National Institute for Health and Clinical
Excellence (NICE; 2005a) guidelines for Obsessive Compulsive Disorder (OCD), 2)
compare this with the proportion of other mental health disorders found by
previous research and 3) establish the prevalence of OCD in primary care.
Questionnaires were sent to all GPs (n = 795) and practice managers (n = 157) in
Berkshire and Buckinghamshire, South East England. These contained 19 questions
and took 5 min to complete. After the first set of responses, larger practices
were visited and telephoned to encourage further responses. The response rates
were 10.1% from GPs and 19.1% from practice managers. In all, 48.7% of the GPs
were aware of the NICE guidelines for OCD and 30.3% reported that they had read
them--higher than for Post-Traumatic Stress Disorder, but lower than for
depression. Of registered patients, 0.2% were diagnosed with OCD, lower than the
1.1% found in epidemiological studies.
PMID- 21896233
TI - Impacts of fast food and the food retail environment on overweight and obesity in
China: a multilevel latent class cluster approach.
AB - OBJECTIVE: To simultaneously identify consumer segments based on individual-level
consumption and community-level food retail environment data and to investigate
whether the segments are associated with BMI and dietary knowledge in China.
DESIGN: A multilevel latent class cluster model was applied to identify consumer
segments based not only on their individual preferences for fast food, salty
snack foods, and soft drinks and sugared fruit drinks, but also on the food
retail environment at the community level. SETTING: The data came from the China
Health and Nutrition Survey (CHNS) conducted in 2006 and two questionnaires for
adults and communities were used. SUBJECTS: A total sample of 9788 adults living
in 218 communities participated in the CHNS. RESULTS: We successfully identified
four consumer segments. These four segments were embedded in two types of food
retail environment: the saturated food retail environment and the deprived food
retail environment. A three-factor solution was found for consumers' dietary
knowledge. The four consumer segments were highly associated with consumers'
dietary knowledge and a number of sociodemographic variables. CONCLUSIONS: The
widespread discussion about the relationships between fast-food consumption and
overweight/obesity is irrelevant for Chinese segments that do not have access to
fast food. Factors that are most associated with segments with a higher BMI are
consumers' (incorrect) dietary knowledge, the food retail environment and
sociodemographics. The results provide valuable insight for policy interventions
on reducing overweight/obesity in China. This study also indicates that despite
the breathtaking changes in modern China, the impact of 'obesogenic' environments
should not be assessed too strictly from a 'Western' perspective.
PMID- 21896234
TI - Feasibility and effectiveness of supplementation with locally available foods in
prevention of child malnutrition in Kenya.
AB - OBJECTIVE: To establish the operational feasibility and effectiveness of using
locally available foods to prevent malnutrition and improve child growth in
Kenyan children. DESIGN: Quasi-experimental design with an intervention group of
children in all villages in one region and a non-intervention group of children
in all villages in an adjacent region. The intervention was the distribution of a
monthly food ration for the index child, a separate family ration, and group
education on appropriate complementary feeding and hygiene. SETTING: Rural
villages in the arid lands of eastern Kenya with a high prevalence of child
malnutrition. SUBJECTS: All children in the target villages aged 6-20 months with
weight-for-length Z-score (WHZ) greater than -2 at baseline. RESULTS: Children in
the intervention and non-intervention groups had similar baseline anthropometric
measures. The caregivers in the intervention group confirmed that the intended
amounts of food supplements were received and child nutrient intake improved.
During the 7-month intervention period there were significant group differences
in pre-post Z-score changes between the intervention and non-intervention groups
for weight-for-age (0.82, P < 0.001) and weight-for-height (1.19, P < 0.001), but
not for height-for-age (-0.20, P = 0.09), after adjusting for multiple
covariates. Compared with the non-intervention group, the intervention group had
a lower prevalence of wasting (0% v. 8.9%, P = 0.0002) and underweight (6.3% v.
23.0%, P < 0.0001). Infectious morbidity was similar in both groups. CONCLUSIONS:
The findings suggest that the distribution of locally available foods is
operationally feasible and improves child weight gain and decreases acute
malnutrition in Kenyan children.
PMID- 21896235
TI - Low gene expression of bone morphogenetic protein 7 in brainstem astrocytes in
major depression.
AB - The noradrenergic locus coeruleus (LC) is the principal source of brain
norepinephrine, a neurotransmitter thought to play a major role in the pathology
of major depressive disorder (MDD) and in the therapeutic action of many
antidepressant drugs. The goal of this study was to identify potential mediators
of brain noradrenergic dysfunction in MDD. Bone morphogenetic protein 7 (BMP7), a
member of the transforming growth factor-beta superfamily, is a critical mediator
of noradrenergic neuron differentiation during development and has neurotrophic
and neuroprotective effects on mature catecholaminergic neurons. Real-time PCR of
reversed transcribed RNA isolated from homogenates of LC tissue from 12 matched
pairs of MDD subjects and psychiatrically normal control subjects revealed low
levels of BMP7 gene expression in MDD. No differences in gene expression levels
of other members of the BMP family were observed in the LC, and BMP7 gene
expression was normal in the prefrontal cortex and amygdala in MDD subjects.
Laser capture microdissection of noradrenergic neurons, astrocytes, and
oligodendrocytes from the LC revealed that BMP7 gene expression was highest in LC
astrocytes relative to the other cell types, and that the MDD-associated
reduction in BMP7 gene expression was limited to astrocytes. Rats exposed to
chronic social defeat exhibited a similar reduction in BMP7 gene expression in
the LC. BMP7 has unique developmental and trophic actions on catecholamine
neurons and these findings suggest that reduced astrocyte support for pontine LC
neurons may contribute to pathology of brain noradrenergic neurons in MDD.
PMID- 21896236
TI - Meta-analyses of cognitive and motor function in youth aged 16 years and younger
who subsequently develop schizophrenia.
AB - BACKGROUND: Previous reviews have reported cognitive and motor deficits in
childhood and adolescence among individuals who later develop schizophrenia.
However, these reviews focused exclusively on studies of individuals with
affected relatives or on population/birth cohorts, incorporated studies with
estimated measures of pre-morbid intelligence, or included investigations that
examined symptomatic at-risk participants or participants 18 years or older.
Thus, it remains unclear whether cognitive and motor deficits constitute robust
antecedents of schizophrenia. Meta-analyses were conducted on published studies
that examined cognitive or motor function in youth aged 16 years or younger who
later developed schizophrenia or a schizophrenia spectrum disorder (SSD) and
those who did not. METHOD: Twenty-three studies fulfilled the following inclusion
criteria: (1) written in English; (2) prospective investigations of birth or
genetic high-risk cohorts, or follow-back investigations of population samples;
(3) objective measures of cognitive or motor performance at age 16 or younger;
(4) results provided for individuals who did and who did not develop
schizophrenia/SSD later in life; and (5) sufficient data to calculate effect
sizes. Four domains of function were examined: IQ; Motor Function; General
Academic Achievement; and Mathematics Achievement. RESULTS: Meta-analyses showed
that, by age 16, individuals who subsequently developed schizophrenia/SSD
displayed significant deficits in IQ (d=0.51) and motor function (d=0.56), but
not in general academic achievement (d=0.25) or mathematics achievement (d=0.21).
Subsidiary analysis indicated that the IQ deficit was present by age 13.
CONCLUSIONS: These results demonstrate that deficits in IQ and motor performance
precede the prodrome and the onset of illness.
PMID- 21896237
TI - The contribution of work and non-work stressors to common mental disorders in the
2007 Adult Psychiatric Morbidity Survey.
AB - BACKGROUND: Evidence for an effect of work stressors on common mental disorders
(CMD) has increased over the past decade. However, studies have not considered
whether the effects of work stressors on CMD remain after taking co-occurring non
work stressors into account. METHOD: Data were from the 2007 Adult Psychiatric
Morbidity Survey, a national population survey of participants 6 years living in
private households in England. This paper analyses data from employed working age
participants (N=3383: 1804 males; 1579 females). ICD-10 diagnoses for depressive
episode, generalized anxiety disorder, obsessive compulsive disorder,
agoraphobia, social phobia, panic or mixed anxiety and depression in the past
week were derived using a structured diagnostic interview. Questionnaires
assessed self-reported work stressors and non-work stressors. RESULTS: The
effects of work stressors on CMD were not explained by co-existing non-work
stressors. We found independent effects of work and non-work stressors on CMD.
Job stress, whether conceptualized as job strain or effort-reward imbalance,
together with lower levels of social support at work, recent stressful life
events, domestic violence, caring responsibilities, lower levels of non-work
social support, debt and poor housing quality were all independently associated
with CMD. Social support at home and debt did not influence the effect of work
stressors on CMD. CONCLUSIONS: Non-work stressors do not appear to make people
more susceptible to work stressors; both contribute to CMD. Tackling workplace
stress is likely to benefit employee psychological health even if the employee's
home life is stressful but interventions incorporating non-work stressors may
also be effective.
PMID- 21896238
TI - Dissociation mediates the relationship between childhood trauma and hallucination
proneness.
AB - BACKGROUND: It has been proposed that the relationship between childhood trauma
and hallucinations can be explained by dissociative processes. The present study
examined whether the effect of childhood trauma on hallucination-proneness is
mediated by dissociative tendencies. In addition, the influence of dissociative
symptoms on a cognitive process believed to underlie hallucinatory experiences
(i.e. reality discrimination; the capacity to discriminate between internal and
external cognitive events) was also investigated. METHOD: Patients with
schizophrenia spectrum disorders (n=45) and healthy controls (with no history of
hallucinations; n=20) completed questionnaire measures of hallucination
proneness, dissociative tendencies and childhood trauma, as well as performing an
auditory signal detection task. RESULTS: Compared to both healthy and non
hallucinating clinical controls, hallucinating patients reported both
significantly higher dissociative tendencies and childhood sexual abuse.
Dissociation positively mediated the effect of childhood trauma on hallucination
proneness. This mediational role was particularly robust for sexual abuse over
other types of trauma. Signal detection abnormalities were evident in
hallucinating patients and patients with a history of hallucinations, but were
not associated with pathological dissociative symptoms. CONCLUSIONS: These
results are consistent with dissociative accounts of the trauma-hallucinations
link. Dissociation, however, does not affect reality discrimination. Future
research should examine whether other cognitive processes associated with both
dissociative states and hallucinations (e.g. deficits in cognitive inhibition)
may explain the relationship between dissociation and hallucinatory experiences.
PMID- 21896239
TI - Increased risk of mortality associated with social isolation in older men: only
when feeling lonely? Results from the Amsterdam Study of the Elderly (AMSTEL).
AB - BACKGROUND: Loneliness has a significant influence on both physical and mental
health. Few studies have investigated the possible associations of loneliness
with mortality risk, impact on men and women and whether this impact concerns the
situation of being alone (social isolation), experiencing loneliness (feeling
lonely) or both. The current study investigated whether social isolation and
feelings of loneliness in older men and women were associated with increased
mortality risk, controlling for depression and other potentially confounding
factors. METHOD: In our prospective cohort study of 4004 older persons aged 65-84
years with a 10-year follow-up of mortality data a Cox proportional hazard
regression analysis was used to test whether social isolation factors and
feelings of loneliness predicted an increased risk of mortality, controlling for
psychiatric disorders and medical conditions, cognitive functioning, functional
status and sociodemographic factors. RESULTS: At 10 years follow-up,
significantly more men than women with feelings of loneliness at baseline had
died. After adjustment for explanatory variables including social isolation, the
mortality hazard ratio for feelings of loneliness was 1.30 [95% confidence
interval (CI) 1.04-1.63] in men and 1.04 (95% CI 0.90-1.24) in women. No higher
risk of mortality was found for social isolation. CONCLUSIONS: Feelings of
loneliness rather than social isolation factors were found to be a major risk
factor for increasing mortality in older men. Developing a better understanding
of the nature of this association may help us to improve quality of life and
longevity, especially in older men.
PMID- 21896240
TI - Development and use of EST-SSR markers for assessing genetic diversity in the
brown planthopper (Nilaparvata lugens Stal).
AB - To assess genetic diversity in populations of the brown planthopper (Nilaparvata
lugens Stal) (Homoptera: Delphacidae), we have developed and applied
microsatellite, or simple sequence repeat (SSR), markers from expressed sequence
tags (ESTs). We found that the brown planthopper clusters of ESTs were rich in
SSRs with unique frequencies and distributions of SSR motifs. Three hundred and
fifty-one EST-SSR markers were developed and yielded clear bands from samples of
four brown planthopper populations. High cross-species transferability of these
markers was detected in the closely related planthopper N. muiri. The newly
developed EST-SSR markers provided sufficient resolution to distinguish within
and among biotypes. Analyses based on SSR data revealed host resistance-based
genetic differentiation among different brown planthopper populations; the
genetic diversity of populations feeding on susceptible rice varieties was lower
than that of populations feeding on resistant rice varieties. This is the first
large-scale development of brown planthopper SSR markers, which will be useful
for future molecular genetics and genomics studies of this serious agricultural
pest.
PMID- 21896241
TI - Visual acuity in fish consumers of the Brazilian Amazon: risks and benefits from
local diet.
AB - OBJECTIVE: To examine the associations between near and distant visual acuity and
biomarkers of Hg, Pb, n-3 fatty acids and Se from the local diet of fish-eating
communities of the Tapajos River in the Brazilian Amazon. DESIGN: Visuo-ocular
health and biomarkers of Hg (hair, whole blood, plasma), Pb (whole blood), Se
(whole blood and plasma) and n-3 fatty acids (plasma total phospholipids) were
assessed in a cross-sectional study. SETTING: Lower Tapajos River Basin (State of
Para, Brazil), May to July 2006. SUBJECTS: Two hundred and forty-three adults
(>=15 years) without diagnosed age-related cataracts or ocular pathologies.
RESULTS: Near visual acuity was negatively associated with hair Hg and positively
associated with %DHA, with a highly significant Log Hg * age interaction term.
Stratifying for age showed that while young people presented good acuity, for
those aged >=40 years, clinical presbyopia was associated with hair Hg >= 15
MUg/g (OR = 3.93, 95% CI 1.25, 14.18) and %DHA (OR = 0.37, 95% CI 0.11, 1.11). A
similar age-related pattern was observed for distant visual acuity in relation to
blood Pb, but the evidence was weaker. CONCLUSIONS: These findings suggest that
Hg and Pb may affect visual acuity in older persons, while DHA appears to be
protective for near visual acuity loss. In this population, with little access to
eye care, diet may have an important influence on visuo-ocular ageing.
PMID- 21896242
TI - The role of glutathione S-transferase M1 and T1 gene polymorphisms and fruit and
vegetable consumption in antioxidant parameters in healthy subjects.
AB - The correlation of glutathione S-transferase (GST) M1/T1 genetic polymorphisms
with oxidative stress-related chronic diseases was proved recently. The aim of
the present study was to investigate the association of GSTM1/T1 genetic
polymorphisms with antioxidant biomarkers and consumption of fruits and
vegetables (F&V) in healthy subjects. In this study, for conducting a 3 d dietary
survey, 190 healthy adults were recruited. After DNA extraction, a multiple PCR
method was used for GSTM1/T1 genotyping. A spectrophotometer method was applied
for the determination of plasma total antioxidant capacity (T-AOC), vitamin C
level and erythrocyte GST enzyme activity. A general linear model was used to
compare the mean values of antioxidant parameters for different GSTM1/T1
genotypes and consumption of F&V. Polymorphisms of GSTM1/T1 had no effects on
plasma T-AOC and vitamin C levels. Deletion of the GSTM1 gene decreased the
erythrocyte GST activity. There was correlation between plasma T-AOC and
consumption of F&V in the GSTM1- or GSTT1+ subjects. A similar pattern was
evident for erythrocyte GST activity in the GSTM1- subjects. No association was
found among consumption of F&V and GSTM1/T1 genotypes and plasma vitamin C level.
Different consumption of F&V had no impact on plasma T-AOC and vitamin C levels
in the GSTM1-/GSTT1+ or GSTM1-/GSTT1- subjects. The erythrocyte GST activity was
more sensitive to consumption of F&V in the individuals with the GSTM1-/GSTT1+
genotype. Association was found among GSTM1/T1 genotypes, antioxidant parameters
and consumption of F&V. Large-scale and multiple ethnic studies are needed to
further evaluate the relationship.
PMID- 21896243
TI - Plasma appearance and disappearance of an oral dose of 25-hydroxyvitamin D2 in
healthy adults.
AB - 25-Hydroxyvitamin D (25(OH)D) half-life is a potential biomarker for
investigating vitamin D metabolism and requirements. We performed a pilot study
to assess the approach and practical feasibility of measuring 25(OH)D half-life
after an oral dose. A total of twelve healthy Gambian men aged 18-23 years were
divided into two groups to investigate the rate and timing of (1) absorption and
(2) plasma disappearance after an 80 nmol oral dose of 25(OH)D2. Fasting blood
samples were collected at baseline and, in the first group, every 2 h post-dose
for 12 h, at 24 h, 48 h and on day 15. In the second group, fasting blood samples
were collected on days 3, 4, 5, 6, 9, 12, 15, 18 and 21. Urine was collected for
2 h after the first morning void at baseline and on day 15. 25(OH)D2 plasma
concentration was measured by ultra-performance liquid chromatography-tandem
MS/MS and corrected for baseline. Biomarkers of vitamin D, Ca and P metabolism
were measured at baseline and on day 15. The peak plasma concentration of
25(OH)D2 was 9.6 (sd 0.9) nmol/l at 4.4 (sd 1.8) h. The terminal slope of
25(OH)D2 disappearance was identified to commence from day 6. The terminal half
life of plasma 25(OH)D2 was 13.4 (sd 2.7) d. There were no significant
differences in plasma 25(OH)D3, total 1,25(OH)2D, parathyroid hormone, P, Ca and
ionised Ca and urinary Ca and P between baseline and day 15 and between the two
groups. The present study provides data on the plasma response to oral 25(OH)D2
that will underpin and contribute to the further development of studies to
investigate 25(OH)D half-life.
PMID- 21896244
TI - Toward evidence-based, client-centred nutrition education guidelines: dietitian
and consumer survey results.
AB - PURPOSE: Dietitian and consumer perspectives on nutrition education needs and
preferences were explored, as these relate to health status METHODS: Phases 1 and
2 of a three-phase, mixed-methods study are reported. Phase 1 was a national
online survey of dietitians, which was designed to inform the development of a
consumer survey (Phase 2). Consumers responded to an online survey about their
demographics, medical conditions, and nutrition education needs (what they wanted
to learn) and preferences (how they wanted to learn). Phase 3 involved
teleconferenced discussion groups with dietitians across Canada to develop
guidelines for nutrition education. RESULTS: Dietitian respondents (n=441)
perceived that consumer health status was important in predicting needs and
preferences for nutrition education; emotional support was considered most
important for consumers with life-altering medical conditions. Consumers (n=680)
expressed interest in an array of nutrition education approaches; cooking tips,
recipes, and supplement advice were the most popular. Respondents with and
without medical conditions had similar nutrition education needs and preferences.
CONCLUSIONS: Because of the complexity of nutrition education and consumers'
preference for a spectrum of approaches and delivery methods, evidence-based
nutrition education guidelines are important to inform dietetics training for the
provision of client-centred nutrition education.
PMID- 21896245
TI - Environmentally friendly health care food services: a survey of beliefs,
behaviours, and attitudes.
AB - PURPOSE: There is increasing global interest in sustainability and the
environment. A hospital/health care food service facility consumes large amounts
of resources; therefore, efficiencies in operation can address sustainability.
Beliefs, attitudes, and behaviours about environmentally friendly practices in
hospital/health care food services were explored in this study. METHODS:
Questionnaires addressed environmentally friendly initiatives in building and
equipment, waste management, food, and non-food procurement issues. The 68
participants included hospital food service managers, clinical dietitians,
dietary aides, food technicians, and senior management. Data analysis included
correlation analysis and descriptive statistics. RESULTS: Average scores for
beliefs were high in building and equipment (90%), waste management (94%), and
non-food procurement (87%), and lower in food-related initiatives (61%) such as
buying locally, buying organic foods, buying sustainable fish products, and
reducing animal proteins. Average positive scores for behaviours were positively
correlated with beliefs (waste management, p=0.001; food, p=0.000; non-food
procurement, p=0.002). Average positive scores for attitude in terms of
implementing the initiatives in health care were 74% for building and equipment,
81% for waste management, 70% for non-food procurement, and 36% for food.
CONCLUSIONS: The difference in food-related beliefs, behaviours, and attitudes
suggests the need for education on environmental impacts of food choices.
Research is recommended to determine facilitators and barriers to the
implementation of green strategies in health care. As food experts, dietitians
can lead changes in education, practice, and policy development.
PMID- 21896246
TI - Food management behaviours in food-insecure, lone mother-led families.
AB - PURPOSE: Little is known about how food is managed in households where food
resources are scarce. In this study, the household food management behaviours
utilized by food-insecure, lone mother-led families from Atlantic Canada were
characterized, and relationships among these behaviours and diet quality were
examined. METHODS: Thematic analysis of 24 in-depth interviews from a larger
study of mother-led, low-income families was integrated with sociodemographic
characteristics, food-insecurity status, and four weekly 24-hour dietary recalls
for all household members to yield a family behaviour score (FBS) as a summative
measure of food management behaviours, and a healthy plate score (HPS) as a
measure of diet quality. RESULTS: Five distinct food management behaviours were
identified: authoritative, healthism, sharing, structured, and planning
behaviours. An increase in the FBS was associated with a proportional increase in
the HPS. Authoritative, healthism, and planning food management behaviours were
the strongest predictors of the HPS for all household members (p<0.05). The
structured management behaviour was related to the degree of food insecurity.
CONCLUSIONS: The FBS and HPS tools hold promise as a way to identify food
insecure families at risk of low diet quality. The next phase of this research
will validate the use of these tools in the practice setting.
PMID- 21896247
TI - Dietitian preceptor knowledge, skills, attitudes, and training: key informant
perceptions.
AB - PURPOSE: Through consultation with Canadian dietitian informants, we aimed to
identify the desired knowledge, skills, and attitudes (KSA) for preceptors,
training opportunities, and the barriers that prevent preceptor training.
METHODS: In this qualitative study, an open-ended survey was sent electronically
to 100 key informants across Canada. Informants had experience as preceptors or
with dietitian preceptors. Informants were asked to reflect upon the desired KSA,
training needs, and barriers to training for dietitian preceptors. Categories of
responses under each of these headings were developed on the basis of informants'
responses. RESULTS: Forty-nine key informants completed the survey, for a 49%
response rate. Of the respondents, 41% (20/49) were in clinical practice and 35%
(17/49) worked in community/public health areas. The knowledge and skills domains
consisted of themes related to teaching and learning, including assessing,
planning, and evaluating. Attitudes expressed included considering learners as
colleagues and the training of learners as a professional responsibility.
Perceived barriers to training preceptors included workload demands and a lack of
recognition from peers and employers for this work. Dietitian preceptor training
opportunities ranged from no training to formal programs. CONCLUSIONS: These
findings are integral to the basic understanding of the desired KSA and training
needs of Canadian dietitian preceptors.
PMID- 21896248
TI - Clustering of specific health-related behaviours among Toronto adolescents.
AB - PURPOSE: The clustering of specific health-related behaviours was examined among
adolescents. METHODS: In 2005, cluster analysis was conducted to identify
homogeneous groups of Toronto, Ontario, 14- to 17-year-old adolescents (n=445)
with similar behaviour patterns according to self-reported measures of moderate
to vigorous physical activity (metabolic equivalent [MET] hours a week of MVPA),
sedentary behaviours (viewing television or videos, using a computer/the
internet, doing homework, and talking with friends), fruit and vegetable
consumption, and alcohol consumption. RESULTS: Three clusters of adolescents were
identified: "active, high screen-time users," "active, low screen-time users,"
and "less active, least frequent drinkers." CONCLUSIONS: Identifying clusters of
adolescents with similar health-related behaviour patterns suggests that
researchers and practitioners should develop and implement interventions tailored
to specific clusters.
PMID- 21896249
TI - Dietary patterns in an ethnoculturally diverse population of young Canadian
adults.
AB - PURPOSE: Dietary patterns of food consumption were investigated among young urban
Toronto adults, including men and women from different ethnocultural groups.
METHODS: We performed a cross-sectional analysis among 1153 adults aged 20 to 29
years, from the Toronto Nutrigenomics and Health Study. Principal components
analysis of food intake scores was used to identify food consumption patterns.
Logistic regression, analysis of variance, and t-tests were used to test for
differences in dietary patterns between ethnocultural groups and between men and
women. Partial correlations were used to investigate the relationship between
patterns and nutrient intake. RESULTS: Three predominant patterns were identified
and termed "prudent," "Western," and "Eastern" patterns. Caucasians had
significantly higher prudent pattern scores than did Asians and South Asians,
while Asians had significantly higher Eastern pattern scores than did other
ethnocultural groups (p<0.01). Women had higher prudent pattern scores (odds
ratio [OR]=4.31, 95% confidence interval [CI]=3.11-5.96) and lower Western
pattern scores (OR=0.62, 95% CI=0.45-0.84) than did men. Dietary pattern scores
were correlated with nutrient and energy intakes. CONCLUSIONS: We observed
distinct dietary patterns in this population of young adults. These dietary
patterns varied significantly between ethnocultural groups and between men and
women. The patterns were associated with nutrient intake levels; this association
may have important public health implications.
PMID- 21896250
TI - Complexity of food preparation and food security status in low-income young
women.
AB - PURPOSE: This study was conducted to explore whether preparing more complex meals
was associated with higher food security status. METHODS: This mixed-methods,
community-based study involved the use of semistructured interviews to examine
the cooking practices of a group of young, low-income women in Montreal. Fifty
participants aged 18 to 35 were recruited at 10 locations in five low-income
neighbourhoods. Food security status was the main outcome measure and the main
exposure variable, "complex food preparation," combined the preparation of three
specific food types (soups, sauces, and baked goods) using basic ingredients.
RESULTS: Low-income women preparing a variety of meals using basic ingredients at
least three times a week were more than twice as likely to be food secure as were
women preparing more complex meals less frequently. CONCLUSIONS: Women who
prepared more complex meals more frequently had higher food security. Whether
this means that preparing more complex foods results in greater food security
remains unclear, as this was an exploratory study.
PMID- 21896251
TI - Alberta Nutrition Guidelines for Children and Youth: awareness and use in
schools.
AB - PURPOSE: In June 2008, the Alberta government released the Alberta Nutrition
Guidelines for Children and Youth. We evaluated the awareness of and intent to
use the guidelines in Alberta schools, and sought to determine whether
organizational characteristics were a factor in adoption of the guidelines.
METHODS: Randomly selected schools from across Alberta completed a 19-question
telephone survey, which included open- and closed-ended questions about the
schools' characteristics, the priority given to healthy eating, awareness of the
guidelines, and the schools' intent to use the guidelines. Of the 554 schools
contacted, 357 (64%) completed the survey. RESULTS: Overall, 76.1% of schools
were aware of the guidelines and 65% were in the process of adopting them. Fifty
percent of schools identified healthy eating as a high priority and 65.9%
reported making changes to improve the nutritional quality of foods offered in
the past year. Schools that were larger, public, and urban, and had a school
champion and healthy eating as a high priority were more likely to be adopting
the guidelines. CONCLUSIONS: Most schools were aware of the nutrition guidelines
and many had begun the adoption process. Identifying a school champion may be an
important first step for schools in terms of adopting health promotion
initiatives.
PMID- 21896252
TI - Nutritional analysis of a long-term care menu before and after an increase in the
raw food cost allowance.
AB - PURPOSE: Regular, nontherapeutic menus were compared before and after an increase
in the Ontario long-term care (LTC) raw food cost allowance (RFCA). The purpose
was to determine whether any significant nutritional differences existed between
the old and new menus and whether they met target values for adequacy, according
to the Dietary Reference Intake (DRI) nutrient recommendations or other target
values relevant to Ministry of Health and Long-Term Care standards. METHODS: A
southeastern Ontario LTC facility fall/winter 2006/2007 menu and fall/winter
2007/2008 menu were used for nutrient analysis with ESHA Food Processor SQL
10.1.0. Each menu was compared with target values based on Canada's Food Guide
(CFG) for 1992 and 2007, and with DRI nutrient recommendations. RESULTS: The
2007/2008 menu provided significantly more servings of vegetables and fruit,
meeting the 2007 CFG recommendations, and significantly greater amounts of some
nutrients (e.g., vitamin C, protein, magnesium, potassium, fibre, and total
water). It also came closer to meeting DRI target recommendations. CONCLUSIONS:
While some improvements have been made to the menu in this specific facility,
further improvements, possibly through supplementation, must be made to ensure
nutritional adequacy for all residents.
PMID- 21896253
TI - The times they are a-changin'.
AB - Change is the one constant in a constantly changing world, including the world of
dietetic practice. Over a 40-year career, I have witnessed and participated in
many such changes. Key lessons from my early career with Manitoba Agriculture and
Manitoba Health include an understanding of the power of teamwork, of the
importance of communication skills, of the need for shared knowledge and
expertise, and of ways to connect nutrition messages with food and eating. Later,
my work as director of education in a family medicine residency program taught me
the value of building a portfolio of knowledge and skills and of working with
families. Similarly, my work with the Organization for Cooperation in Overseas
Development led me to appreciate the need for cultural sensitivity in our work.
Opportunities with Dietitians of Canada have shown me that future directions must
include continued interdisciplinary development of policy and position papers.
Other important challenges include determining issues relevant to various areas
of dietetic practice, working to achieve Vision 2020 goals, and inspiring and
nurturing new leadership among younger Dietitians of Canada members.
PMID- 21896254
TI - Editorial Issue 3 2011: four planning challenges from diverse research studies.
PMID- 21896255
TI - Invisible populations: parallels between the health of people with intellectual
disability and people of a refugee background.
AB - When considering the delivery of primary health care in the community, some
populations remain virtually invisible. While people with intellectual disability
might seem to share few characteristics with refugees and humanitarian entrants,
there are a number of difficulties that both groups share when accessing and
receiving primary health care. Commonalities include communication barriers,
difficulties accessing past medical records and the complexity of health needs
that confront the practitioner providing health care. These issues and additional
systemic barriers that prevent the delivery of optimal health care to both groups
are explored. Integrated multidisciplinary care is often required for the
delivery of best practice care; however, such care can be difficult for each
group to access. In May 2010, the specific Medicare Health Assessment Item
numbers for both of these groups were incorporated into a group of more generic
Item numbers. This has resulted in a lost opportunity to enhance the evidence
surrounding health care delivery to these vulnerable populations. This paper
recognises the importance of health policy in leading affirmative action to
ensure these populations become visible in the implementation of the National
Primary Health Care Strategy.
PMID- 21896256
TI - Working together as a catalyst for change: the development of a peer mentoring
model for the prevention of chronic disease in Australian Indigenous communities.
AB - This paper outlines the development of a model for an Indigenous peer mentoring
program. The aim of this program is to improve the health of Indigenous people
living in the western suburbs of Melbourne, Australia. Although the benefits of
peer mentoring are well documented, particularly in relation to disease
prevention, little has been written about the specific benefits for Indigenous
people. While developing this model, it became apparent that to be successful,
peer mentoring programs for Indigenous people need to be flexible, informal and
draw on the knowledge and skills of the local community in partnership with local
services.
PMID- 21896257
TI - Mental health and barriers to the achievement of the 'right to health'.
AB - This paper explores issues relating to access to physical and mental health care
for people with mental health problems in light of Australia's endorsement in
2008 of the Convention of the Rights of Persons with Disabilities, which
established the right to health and to health care. Interviews were conducted
with 10 key stakeholders with legal, policy, clinical and advocacy roles within
South Australia and at a national and international level. Participants
identified several barriers to the achievement of the right to health for people
with mental illness, with discussion highlighting the legal definition of rights,
governance of health and mental health, and structural barriers to receipt of
care as the primary barriers. The data are explored in relation to social models
of disability.
PMID- 21896258
TI - The work, education and career pathways of nurses in Australian general practice.
AB - There is little understanding about the educational levels and career pathways of
the primary care nursing workforce in Australia. This article reports on survey
research conducted to examine the qualifications and educational preparation of
primary care nurses in general practice, their current enrolments in education
programs, and their perspectives about post-registration education. Fifty-eight
practice nurses from across Australia completed the survey. Over 94% reported
that they had access to educational opportunities but identified a range of
barriers to undertaking further education. Although 41% of nurses said they were
practising at a specialty advanced level, this correlated with the number of
years they had worked in general practice rather than to any other factor,
including level of education. Respondents felt a strong sense of being regarded
as less important than nurses working in the acute care sector. Almost 85% of
respondents reported that they did not have a career pathway in their
organisation. They also felt that while the public had confidence in them, there
was some way to go regarding role recognition.
PMID- 21896259
TI - Risk factors for glaucoma: what do they really mean?
AB - Glaucoma is an insidious eye disease, potentially putting 4% of older Australians
at risk of blindness, unless detected sufficiently early for initiation of
effective treatment. This paper reports on the strengths of evidence and glaucoma
risk factors that can be identified by primary health care providers from a
patient's history. A comprehensive search of peer-reviewed databases identified
relevant secondary evidence published between 2002 and 2007. Risk factors that
could be determined from a patient's history were identified. A novel glaucoma
risk factor reference guide was constructed according to evidence strength and
level of concern regarding risk of developing glaucoma. The evidence is strong
and consistent regarding the risk of developing glaucoma, and elevated
intraocular pressure, advancing age, non-Caucasian ethnicity and family history
of glaucoma. There is moderate evidence of association with glaucoma, and
migraine, eye injury, myopia and long-term use of corticosteroids. There is
conflicting evidence for living in a rural location, high blood pressure,
diabetes and smoking. Early detection of people at risk of developing glaucoma
can be initiated using our risk factor guide coupled with a comprehensive patient
history. Timely future assessment and subsequent management strategies for at
risk individuals can then be effectively and efficiently actioned.
PMID- 21896260
TI - Why do we not use trained interpreters for all patients with limited English
proficiency? Is there a place for using family members?
AB - Australia and New Zealand both have large populations of people with limited
English proficiency (LEP). Australia's free telephone interpreter service, which
is also used by New Zealand through Language Line (LL) but at a cost to the
practices, is underused in both countries. Interpreter guidelines warn against
the use of family members, yet the lack of uptake of interpreter services must
mean that they are still often used. This paper reviews the literature on medical
interpreter use and reports the results of a week-long audit of interpreted
consultations in an urban New Zealand primary health centre with a high
proportion of refugee and migrant patients. The centre's (annualised) tally of
professionally interpreted consultations was three times more than that of LL
consultations by all other NZ practices put together. Despite this relatively
high usage, 49% of all interpreted consultations used untrained interpreters
(mostly family), with more used in 'on-the-day' (OTD) clinics. Clinicians rated
such interpreters as working well 88% of the time in the OTD consultations, and
36% of the time in booked consultations. An in-house interpreter (28% of
consultations) was rated as working well 100% of the time. Telephone interpreters
(21% of consultations) received mixed ratings. The use of trained interpreters is
woefully inadequate and needs to be vigorously promoted. In primary care settings
where on-going relationships, continuity and trust are important - the ideal
option (often not possible) is an in-house trained interpreter. The complexity of
interpreted consultations needs to be appreciated in making good judgements when
choosing the best option to optimise communication and in assessing when there
may be a place for family interpreting. This paper examines the elements of
making such a judgement.
PMID- 21896261
TI - Satisfaction with referral relationships between general practice and allied
health professionals in Australian primary health care.
AB - Chronic diseases require a multidisciplinary approach to provide patients with
optimal care in general practice. This often involves general practitioners (GPs)
referring their patients to allied health professionals (AHPs). The Team-link
study explored the impact of an intervention to enhance working relationships
between GPs and AHPs in general practice regarding the management of two chronic
diseases: diabetes and ischaemic heart disease (IHD) or hypertension. The Measure
of Multidisciplinary Linkages (MoML) questionnaire was developed to assess
professional interactions and satisfaction with various aspects of the
multidisciplinary relationship. Questionnaires were completed at baseline and 6
months by GPs (n=29) participating in the Team-link project and by AHPs (n=39)
who had a current working relationship with these GPs. The Chronic Care Team
Profile (CCTP) and Clinical Linkages Questionnaire (CLQ) were also completed by
GPs. There were significant changes from baseline to 6 months after the
intervention measures for individual items and overall MoML scores for GPs,
especially items assessing 'contact', 'shared care' and 'satisfaction with
communication'. The comparable item in the CLQ, 'Shared Care', also showed
significant improvement. However, there were no statistically significant
correlations between the change in overall 'Referral Satisfaction' scores in the
GP MoML and the CLQ. The CCTP also improved and was a weak negative correlation
between the GP MoML and two of the subscores of this instrument. There were no
changes in AHP measure. This study demonstrates that the instrument is sensitive
to differences between providers and conditions and is sensitive to change over
time following an intervention. There were few associations with the other
measures suggesting that the MoML might assess other aspects of teamwork
involving practitioners who are not collocated or in the same organisation.
PMID- 21896262
TI - Primary health care service delivery networks for the prevention and management
of type 2 diabetes: using social network methods to describe interorganisational
collaboration in a rural setting.
AB - Adults with type 2 diabetes or with behavioural risk factors require
comprehensive and well coordinated responses from a range of health care
providers who often work in different organisational settings. This study
examines three types of collaborative links between organisations involved in a
rural setting. Social network methods were employed using survey data on three
types of links, and data was collected from a purposive sample of 17
organisations representing the major provider types. The analysis included a mix
of unconfirmed and confirmed links, and network measures. General practices were
the most influential provider group in initiating referrals, and they referred to
the broadest range of organisations in the network. Team care arrangements formed
a small part of the general practice referral network. They were used more for
access to private sector allied health care providers and less for sharing care
with public sector health services. Involvement in joint programs/activities was
limited to public and non-government sector services, with no participation from
the private sector. The patterns of interactions suggest that informal referral
networks provide access to services and coordination of care for individual
patients with diabetes. Two population subgroups would benefit from more
proactive approaches to ensure equitable access to services and coordination of
care across organisational boundaries: people with more complex health care needs
and people at risk of developing diabetes.
PMID- 21896263
TI - How cardiac patients describe the role of their doctors in smoking cessation: a
qualitative study.
AB - This article reports a qualitative study investigating patients' experiences of
ongoing smoking or smoking cessation after hospitalisation for an acute coronary
syndrome (myocardial infarction or unstable angina) and describes how study
participants spoke about the role of their doctors in smoking cessation. We
invited individuals who had been admitted to an Australian public hospital in
2005 with a discharge diagnosis of an acute cardiac syndrome and who were smokers
at the time of their hospitalisation to participate. Participants underwent a
semi-structured interview and ongoing smokers also completed a 'stages of change'
questionnaire. In total, 35 participants were interviewed, including 14 who were
no longer smoking at least 12 months after their admission and 21 who were.
Findings gave insight into the ways that cardiac patients perceive smoking
cessation advice from their doctors, the perceived stigma of smoking and how lay
understandings about smoking and smoking cessation emphasise the role of choice
and individual responsibility. Our findings also indicate considerable scope for
GPs and other doctors to offer better smoking cessation support to patients with
established cardiovascular disease, particularly after a period of
hospitalisation when the majority are highly motivated to stop smoking.
PMID- 21896264
TI - Feasibility, acceptability and impact of a telephone support service initiated in
primary medical care to help Arabic smokers quit.
AB - Evidence-based tobacco control in ethnic minorities is compromised by the near
absence of rigorous testing of interventions in either prevention or cessation.
This randomised controlled trial was designed to evaluate the feasibility,
acceptability and impact of a culturally specific cessation intervention
delivered in the context of primary medical care in the most culturally diverse
region of New South Wales. Adult Arabic smokers were recruited from practices of
29 general practitioners (GPs) in south-west Sydney and randomly allocated to
usual care (n=194) or referred to six sessions of smoking cessation telephone
support delivered by bilingual psychologists (n=213). Although 62.2% of
participants indicated that telephone support would benefit Arabic smokers, there
were no significant differences at 6 or 12 months between intervention and
control groups in point prevalence abstinence rates (11.7% vs 12.9%, P=0.83; 8.4%
vs 11.3%, P=0.68, respectively) or the mean shift in stage-of-change towards
intention to quit. As participants and GPs found telephone support acceptable, we
also discuss redesign and the unfulfilled obligation to expand the evidence base
in tobacco control from which the ethnic majority already benefits.
PMID- 21896265
TI - General practitioner attitudes to prescribing hepatitis C antiviral therapy in a
community setting.
AB - There is a growing debate about the prescription of hepatitis C virus (HCV)
antiviral therapies within a community setting in Australia. This study aimed to
identify interest and confidence among general practitioners (GPs) in prescribing
HCV antiviral therapy in a community setting. Data from 580 GPs who responded to
a cross-sectional population-based survey were analysed to measure: self-reported
interest and confidence in initiating HCV antiviral therapy; and/or prescribing
maintenance antiviral therapy; and self-perceived education needs about HCV
antiviral therapy. Forty-two percent of respondents indicated they would be
interested in prescribing HCV antiviral therapy. Most were not confident to
initiate therapy (80%). Higher proportions indicated that they would be more
confident in prescribing maintenance therapy (35%) rather than initiating (7%)
therapy (z=10.5, P<0.001). Confidence in prescribing was related to a higher
caseload of patients with HCV (P=0.001) and being a HIV community-based
prescriber (P=0.002). Fifty-three percent of respondents expressed an interest in
education about HCV antiviral therapy. The initial step to recruit potential
primary care prescribers of HCV antiviral therapies should be to develop an
integrated education program. Recruitment to this program might be most efficient
from GPs with a high caseload of patients with HCV.
PMID- 21896266
TI - A snapshot of general practitioner attitudes, levels of confidence and self
reported paediatric asthma management practice.
AB - The prevalence of asthma in Australia is high. Previous findings have suggested
that asthma management, particularly in primary care, remains suboptimal and
recent government initiatives to improve asthma management and encourage the use
of written asthma action plans (WAAPs) in general practice have been implemented.
We aimed to assess the attitudes, confidence and self-reported paediatric asthma
management practices of a convenience sample of Australian general practitioners
(GPs). A baseline questionnaire was administered to GPs as part of a randomised
controlled trial. General practitioners (GPs) were recruited from two areas of
greater metropolitan Sydney, NSW between 2006 and 2008. Invitations were sent to
an estimated 1200 potentially eligible GPs. Of 150 (12.5%) GPs that enrolled, 122
(10.2%) completed the baseline questionnaire. Though 89% were aware of the
Australian National Asthma Guidelines, less than 40% were familiar with guideline
recommendations. While 85.2% had positive attitudes towards WAAPs, only 45.1%
reported providing them frequently. For children with frequent symptoms, 90%
agreed they should prescribe daily, inhaled corticosteroids (ICS), and 83%
reported currently prescribing ICS to such patients. These findings indicate gaps
between GP attitudes and behaviours and highlights opportunities for
interventions to improve paediatric asthma management.
PMID- 21896267
TI - Efficacy of parasitological methods for the diagnosis of Strongyloides
stercoralis and hookworm in faecal specimens.
AB - To compare the efficacy of stool examination for the detection of Strongyloides
stercoralis and hookworm, a total of 634 stool samples from the routine
laboratory service of the Pharmacia Faculty, Federal University of Bahia, Brazil,
were examined by agar plate culture (APC), Baermann-Moraes and spontaneous
sedimentation. The sensitivity of agar plate culture, calculated by combining
results of all 3 methods, was 95% for S. stercoralis and 77.6% for hookwoorm.
Moreover, APC had superior accuracy than Baermann-Moraes and spontaneous
sedimentation for S. stercoralis and hookworm diagnosis, respectively. The S.
stercoralis and hookworm positive samples from the laboratory routine, obtained
after the previous analysis, along with those initially selected, were used to
evaluate the concordance between microscopic examination and both the type of
furrows left by larvae and the time for culture positivity using the APC method.
Of 115 stool samples positive for S. stercoralis and 92 positive for hookworm,
110 (95.7%) and 89 (96.7%), respectively, had concordant results for furrows and
morphological characteristics. The cumulative percentage of positivity increased
to 94% by the third day of observation; at this time, only 19.6% of hookworm
positive samples had positive culture plates. Analyses of 74 S. stercoralis
positive stool samples stored at 4 degrees C for 24, 48 and 72h showed the
presence of larvae in 48.6%, 28.4% and 23% of samples, respectively when re
examined by the APC. As a definitive diagnosis of strongyloidiasis depends on the
microscopic demonstration of parasites, increasing the sensitivity of the
detection requires the use of different parasitological methods, including APC.
PMID- 21896269
TI - Comparative study of entero-parasitic infections among HIV sero-positive and sero
negative patients in Lagos, Nigeria.
AB - BACKGROUND: Intestinal parasites are endemic in many parts of the world where HIV
infection is also widespread. Previous studies had shown that the spectrum of
opportunistic and common endemic parasitic infections with HIV vary in different
regions and usually reflect the infections prevalent in these regions. This
present study was aimed at comparing the prevalence and types of intestinal
parasitic infections in HIV sero-positive and sero-negative patients in Lagos.
MATERIALS AND METHODS: Venous blood and stool samples of 1080 patients, recruited
from three health care institutions were screened for HIV infection and
intestinal parasites using HIV-1, HIV-2 rapid tests, direct wet mount with
saline/iodine and formol-ether technique, respectively. RESULTS: Results showed
that 6% (65/1080) of patients were sero-positive for HIV infection. In addition,
23.3% (252/1080) patients were infected with intestinal parasites and 33.8%
(22/65) of patients with HIV had intestinal parasites co-infections. The
prevalence of Entamoeba histolytica/Entamoeba dispar, Entamoeba coli, Iodamoeba
butschilii, Giardia intestinalis, and Hookworm were statistically significantly
higher among HIV sero-positive patients as compared to the HIV sero-negative
patients. In addition, HIV sero-positive patients had higher odds of mixed
intestinal parasites than the HIV sero-negative patients (9.1% versus 3.9%;
adjusted OR 2.05, 95% CI, 1.14-3.72, P=0.021). CONCLUSION: In this study
population, HIV sero-positive patients were more likely to have intestinal
parasitic infections. The study underscores the public health significance of
intestinal parasitic infections in HIV infected individuals.
PMID- 21896268
TI - Research challenges and gaps in malaria knowledge in Papua New Guinea.
AB - Taking into consideration the relative number of people living in Papua New
Guinea the burden of malaria in this country is among the highest in Asia and the
Pacific region. This article summarizes the research questions and challenges
being undertaken by the Southwest Pacific International Center of Excellence for
Malaria Research in the context of the epidemiology, transmission and
pathogenesis of Plasmodium falciparum and P. vivax at the present time and the
recent past. It is hoped that the research accomplished and local infrastructure
strengthened by this effort will help inform regional and national policy with
regard to the control and ultimately elimination of malaria in this region of the
world.
PMID- 21896270
TI - Effects of hydrostatic pressure on the quaternary structure and enzymatic
activity of a large peptidase complex from Pyrococcus horikoshii.
AB - While molecular adaptation to high temperature has been extensively studied, the
effect of hydrostatic pressure on protein structure and enzymatic activity is
still poorly understood. We have studied the influence of pressure on both the
quaternary structure and enzymatic activity of the dodecameric TET3 peptidase
from Pyrococcus horikoshii. Small angle X-ray scattering (SAXS) revealed a high
robustness of the oligomer under high pressure of up to 300 MPa at 25 degrees C
as well as at 90 degrees C. The enzymatic activity of TET3 was enhanced by
pressure up to 180 MPa. From the pressure behavior of the different rate
constants we have determined the volume changes associated with substrate binding
and catalysis. Based on these results we propose that a change in the rate
limiting step occurs around 180 MPa.
PMID- 21896271
TI - Production of channel catfish with sperm cryopreserved by rapid non-equilibrium
cooling.
AB - This report describes the feasibility of using vitrification for fish sperm.
Vitrification can be used to preserve samples in the field and offers an
alternative to conventional cryopreservation, although it has not been
systematically studied for sperm of aquatic species. The overall goal of the
project was to develop streamlined protocols that could be integrated into a
standardized approach for vitrification of aquatic species germplasm. The
objectives of the present study in channel catfish (Ictalurus punctatus) were to:
(1) evaluate the acute toxicity of 5%, 10%, 20% and 30% methanol, N,N-dimethyl
acetamide, dimethyl sulfoxide, 1,2-propanediol, and methyl glycol; (2) evaluate a
range of devices commonly used for cryopreservation and vitrification of
mammalian sperm; (3) compare vitrification with and without cryoprotectants; (4)
evaluate the post-thaw membrane integrity of sperm vitrified in different
cryoprotectant solutions, and (5) evaluate the ability of vitrified sperm to
fertilize eggs. Cryoprotectant concentrations of higher than 20% were found to be
toxic to sperm. Methanol and methyl glycol were the least toxic at a
concentration of 20% with an exposure time of less than 5 min. We evaluated a
method reported for human sperm, using small volumes in loops (15 MUl) or cut
standard straws (20 MUl) with and without cryoprotectants plunged into liquid
nitrogen. Cryoprotectant-free vitrification using loops did not yield
fertilization (assessed by neurulation), and the fertilization rates observed in
two trials using the cut standard straws were low (~2%). In general,
fertilization values for vitrification experiments were low and the use of low
concentrations of cryoprotectants yielded lower fertilization (<10%) than the use
of vitrification solutions containing high cryoprotectant concentrations (as high
as 25%). The highest neurulation obtained was from a mixture of three
cryoprotectants (20% methanol+10% methyl glycol+10% propanediol) with a single
step addition. This was reflected in the flow cytometry data from which the
highest membrane integrity using loops was for 20% methanol+10% methyl glycol+10%
propanediol (~50%). We report the first successful sperm vitrification in fish
and production of offspring from vitrified sperm in channel catfish. Although the
fertilization values were low, at present this technique could nevertheless be
used to reconstitute lines (especially in small aquarium fishes), but it would
require improvement and scaling up before being useful as a production method for
large-bodied fishes such as catfish.
PMID- 21896272
TI - Depletion of optineurin in RGC-5 cells derived from retinal neurons causes
apoptosis and reduces the secretion of neurotrophins.
AB - Optineurin is a Golgi complex-associated ubiquitous protein with high expression
levels in retinal ganglion cells (RGCs). Mutations in optineurin have been
observed in rare hereditary cases of primary open-angle glaucoma and in
amyotrophic lateral sclerosis. We explored the possibility that optineurin
deficiency will compromise neuronal exocytosis leading to a diminished secretion
of neurotrophic factors that are critically required for neuronal survival. To
this end, we used RNA interference to induce depletion of optineurin in RGC-5
cells derived from retinal neurons. SiRNA specific for optineurin was transiently
transfected. Moreover, a stable cell line with constitutive optineurin deficiency
(RGC-5 pSilencer OPTN) was generated. In addition, we investigated the
subcellular localization of optineurin in primary RGCs in retinal cell cultures
isolated from eyes of mature mice. In RGC-5 cells, optineurin localized to the
periphery of the Golgi complex and was observed in vesicular structures
throughout the cytoplasm and close to the plasma membrane. A comparable Golgi
associated localization of optineurin was observed in cultured primary RGCs that
were identified by TUJ1 labeling. Optineurin deficiency caused a marked increase
in the number of RGC-5 cells with fragmented Golgi complex. RGC-5 pSilencer OPTN
with stable optineurin deficiency showed a pronounced increase in the number of
cells undergoing apoptotic cell death. Furthermore, the amounts of secreted
neurotrophin-3 (NT-3) and ciliary neurotrophic factor were significantly lower in
culture medium of RGC-5 pSilencer OPTN cells when compared to controls. Adding
exogenous NT-3 to the culture medium to achieve amounts seen in control cultures
completely prevented the increase in apoptotic cell death. We propose that lack
of neurotrophic support due to impaired secretion of neurotrophic proteins is a
critical factor that causes or contributes to RGC or motor neuron death in
patients with mutated optineurin.
PMID- 21896273
TI - Signal-peptide-peptidase-like 2a (SPPL2a) is targeted to lysosomes/late endosomes
by a tyrosine motif in its C-terminal tail.
AB - Signal-peptide-peptidase-like 2A (SPPL2a), an aspartyl intramembrane protease,
has been implicated in the proteolysis of TNF-alpha, Fas Ligand and Bri2. Here,
we show that endogenous SPPL2a - in agreement with overexpression studies - is
localised in membranes of lysosomes/late endosomes. Furthermore, we have analysed
the molecular determinants for lysosomal sorting of SPPL2a by creating chimaeric
constructs between SPPL2a and its plasma membrane localised homologue SPPL2b.
Lysosomal transport of SPPL2a critically depends on its cytosolic carboxyterminal
tail. A canonical tyrosine-based sorting motif of the YXXo type at position 498
is sufficient to direct SPPL2a to lysosomal/late endosomal compartments. This
motif accounts for the differential localisation of the homologous proteases
SPPL2a and SPPL2b and thereby influences the access to substrates and biological
function of SPPL2a.
PMID- 21896274
TI - Effects of green tea catechins on gramicidin channel function and inferred
changes in bilayer properties.
AB - Green tea's health benefits have been attributed to its major polyphenols, the
catechins: (-)-epigallocatechin gallate (EGCG), (-)-epicatechin gallate (ECG), (
)-epigallocatechin (EGC), and epicatechin (EC). Catechins (especially EGCG)
modulate a wide range of biologically important molecules, including many
membrane proteins. Yet, little is known about their mechanism(s) of action. We
tested the catechins' bilayer-modifying potency using gramicidin A (gA) channels
as molecular force probes. All the catechins alter gA channel function and modify
bilayer properties, with a 500-fold range in potency (EGCG>ECG?EGC>EC).
Additionally, the gallate group causes current block, as evident by brief
downward current transitions (flickers).
PMID- 21896275
TI - The tumor suppressor p33ING1b upregulates p16INK4a expression and induces
cellular senescence.
AB - ING1 protein is a tumor suppressor which plays significant roles in multiple
cellular activities. p47(ING1a) and p33(ING1b) are major splice isoforms of ING1
and their roles in senescence need further investigations. Here we studied the
functions of ING1 isoforms in cellular senescence and gene regulation, with focus
on p16(INK4a). We observe that p33(ING1b) protein is the major ING1 isoform
expressed in 2BS human diploid fibroblasts. Overexpression of p33(ING1b) induces
cellular senescence and upregulates p16(INK4a) expression in 2BS fibroblasts.
p33(ING1b) upregulates p16(INK4a) transcription. p33(ING1b) and p300 bind to the
p16(INK4a) promoter. p300/CBP-specific inhibitor curcumin can reverse the
induction of p16(INK4a) by p33(ING1b). These results help to better understand
the function of ING1.
PMID- 21896276
TI - Management of protein intake in the fruit fly Anastrepha fraterculus.
AB - This work tested if carbohydrates and proteins ingestion is regulated in the
South American fruit fly, Anastrepha fraterculus, to optimize survival and
reproduction. Adult food treatments were established by providing sugar and
hydrolyzed yeast in various combinations either alone or mixed at a standard 3:1
ratio (sugar:hydrolyzed yeast). Individual food consumption was assessed and
related to survival patterns. The effects of adult feeding on fecundity and
fertility patterns were investigated in groups of flies. Sugar consumption was
the lowest in the treatment where it was provided with hydrolyzed yeast at a
fixed 3:1 ratio. Consumption of hydrolyzed yeast did not differ between this
treatment and the one in which this solution was complemented with one solution
of sugar. It seems that a mixture of sugar and hydrolyzed yeast at a fixed ratio
of 3:1, respectively, restricts extra ingestion of sugar; most probably because
of negative response of the fly to overconsumption of protein. Survival was
affected by the treatments, being lower in those cases where protein was at the
fixed ratio. Group experiments revealed that protein restriction expanded
longevity and decreased egg production. In contrast, egg production was enhanced
when flies were kept continuously with a mixture of yeast and sugar plus an extra
source of sugar, and this was not in detriment of survival. Our results suggest
that fixed sugar-protein ratios in which protein is in excess affects fitness
components such as longevity and reproduction. These findings are discussed from
a theoretical and applied perspective in the context of pest control by means of
the sterile insect technique.
PMID- 21896277
TI - Large interclone differences in melezitose secretion in the facultatively ant
tended black bean aphid Aphis fabae.
AB - Many aphids are known to engage in a trophic mutualism with ants, whereby the
aphids secrete sugary-rich honeydew which is collected by the ants for food, and
the ants, in exchange, protect the aphids against natural enemies. Previous
results, however, suggest that the production of some of the honeydew sugars,
such as the ant-attractant trisaccharide melezitose, may induce an indirect cost
to the aphids. This led us to believe that large differences in the nature of the
secreted honeydew might exist, due to some clones capitalizing more or less on
their mutualistic interaction with ants, or due to some "cheater" clones
foregoing the production of particular sugars, instead taking advantage of the
ant-attracting effect of other non sugar-deficient clones, co-occurring on the
same plant. Here we present data on clonal variation in the composition of
honeydew of the black bean aphid Aphis fabae which confirm this prediction. In
particular, our results show that there was large interclone variation in the
amount of glucose, melezitose and total sugar produced. The variation in the
production of melezitose, however, showed particularly large differences, with
54% (7 out of 13) of the clones screened being virtually deficient for the
production of this sugar, irrespective of whether the aphid colonies were ant
tended or not. The consequences of this finding in the context of the evolution
and maintenance of the ant-aphid mutualism, as well as the adaptive benefits of
oligosaccharide synthesis in aphids and other insects are discussed.
PMID- 21896278
TI - Metabotropic glutamate receptor 5 antagonist 2-methyl-6-(phenylethynyl)pyridine
(MPEP) microinfusions into the nucleus accumbens shell or ventral tegmental area
attenuate the reinforcing effects of nicotine in rats.
AB - Systemic administration of the mGlu5 receptor antagonist 2-methyl-6
(phenylethynyl)-pyridine (MPEP) was previously shown to selectively attenuate
nicotine self-administration without affecting food-maintained responding in
rats. Glutamatergic neurotransmission in the ventral tegmental area (VTA) and
nucleus accumbens (NAcc) shell plays an important role in the reinforcing effects
of nicotine. To determine the brain sites that may mediate the systemic effects
of MPEP on nicotine self-administration, the present study investigated the
effects of MPEP microinfusions into the VTA or the NAcc shell on nicotine and
food self-administration in separate groups of rats. Administration of low MPEP
doses (0, 0.5, 1, and 2 MUg/0.5 MUl/side) microinfused into the NAcc shell had no
effect on nicotine self-administration, whereas higher MPEP doses (0, 10, 20, and
40 MUg/0.5 MUl/side) microinfused into the NAcc shell dose-dependently attenuated
nicotine self-administration without affecting food-maintained responding.
Microinfusions of MPEP into the VTA (0, 10, 20, and 40 MUg/0.5 MUl/side)
significantly decreased both nicotine and food self-administration at 20 MUg/0.5
MUl/side but did not affect responding for either reinforcer at 40MUg/0.5
MUl/side. This lack of effect of 40 MUg/0.5 MUl/side MPEP on either nicotine or
food self-administration when administered into the VTA may be attributable
either to actions of MPEP at presynaptic mGlu5 receptors or at targets other than
mGlu5 receptors. Importantly, anatomical control injections 2mm above the NAcc
shell or the VTA using the most effective MPEP dose in the two regions did not
result in attenuation of nicotine self-administration. In conclusion, MPEP
microinfusions in the VTA or NAcc shell attenuates the reinforcing effects of
nicotine possibly via blockade of mGlu5 receptors located in these regions.
PMID- 21896279
TI - The role of lateral occipital face and object areas in the face inversion effect.
AB - Stimulus inversion impairs face discrimination to a greater extent than
discrimination of other non-face object categories. This finding has led to
suggestions that upright faces are represented by mechanisms specialized for
upright faces whereas inverted face representation depends on more general object
recognition mechanisms. In the present study we tested the causal role of face
selective and object-selective cortical areas for upright and inverted face
discrimination by transiently disrupting neural processing using transcranial
magnetic stimulation (TMS). Participants matched upright and inverted faces while
TMS was delivered over each participant's functionally localized right occipital
face area (rOFA) or right lateral occipital area (rLO). TMS delivered over rOFA
disrupted the discrimination of upright and inverted faces while TMS delivered
over rLO impaired inverted face discrimination only. These results provide causal
evidence that upright faces are represented by face-specific mechanisms whereas
inverted faces are represented by both face-specific and object-specific
mechanisms. The similar sensitivity of the OFA to upright and inverted faces is
consistent with the hypothesis that the OFA processes facial features at an early
stage of face processing.
PMID- 21896280
TI - The protein synthesis inhibitor anisomycin reduces sex behavior during a critical
period after testosterone treatment in male Syrian hamsters.
AB - Testosterone (T) is critical for maintaining male sexual behavior (MSB) in
rodents, in part by altering protein synthesis in a well-defined neural circuit.
The specific timing of protein synthesis essential for expression of MSB has
never been investigated. We administered the protein synthesis inhibitor
anisomycin (Ani) to castrated male Syrian hamsters treated sc with 100 MUg T in
an aqueous vehicle once weekly; this T regimen maintains MSB while elevating
circulating T concentrations for only a few hours after each injection. Hamsters
were injected s.c. with the vehicle or 12.5 mg Ani at one of several times
relative to T administration; MSB was assessed once per week, 6 days after the
previous T injection, for 5 weeks. Anisomycin administered 6-12 h after T
injection significantly reduced the expression of sexual behavior, whereas Ani
treatment between 3 h before and 3 h after T injection did not impair MSB. This
experiment is the first to assess the specific timing of protein synthesis
relative to a T pulse that is required for the expression of MSB. The demarcation
of a critical interval for T-induced protein synthesis necessary for maintenance
of MSB should facilitate specification of the genomic, proteomic, and biochemical
cascades that subserve actions of T on male copulation.
PMID- 21896281
TI - Insights into the local pathogenesis induced by fish toxins: role of natterins
and nattectin in the disruption of cell-cell and cell-extracellular matrix
interactions and modulation of cell migration.
AB - Combined proteomic and transcriptomic approaches to study the composition of the
venom of Thalassophryne nattereri venomous fish revealed the primary structures
of the major toxins as a family of proteases natterins, never described on venoms
and a C-type lectin nattectin. To gain new insights into the mechanisms of venom
pathogenesis and to further elucidate the role of its major toxins, the natterins
and nattectin, we undertook in vitro investigations using these isolated toxins.
Here we demonstrated the specific ability of the nattectin to bind types I and V
collagen and natterins to bind and cleave type I collagen as well as type IV
collagen, disrupting cell attachment and HeLa cells survival. Natterins have
cytotoxic effect on both adherent cells or at in suspension, showing direct
induction of necrosis that is followed by cell detachment. Nattectin improves
integrin-mediated HeLa cell adhesion and resistance to apoptosis by its binding
to RGD-dependent integrins, especially the beta1 subunit. Based on our studies we
now report that extracellular matrix (ECM) components as well as the integrin
beta1 subunit are targets for the natterins and nattectin. The ECM degradation or
remodeling activities exerted by these toxins affect cell-cell and cell-ECM
adhesion and survival and impair inflammatory cell migration into inflamed
tissues.
PMID- 21896282
TI - In vitro antioxidant activities of sulfated polysaccharide fractions extracted
from Corallina officinalis.
AB - Sulfated polysaccharides (F1, F2) from seaweed Corallina officinalis were
isolated through anion-exchange column chromatography. Their chemical
characteristics were determined by GC, HPLC, FT-IR and UV spectra. F1 and F2
contained only two monosaccharides, namely galactose and xylose. The antioxidant
activities of F1, F2 and the de-sulfated polysaccharides (DF-1, DF-2) in vitro
were investigated, including hydroxyl radicals scavenging effect, superoxide
radical scavenging capacity, DPPH radical activity and reducing power. As
expected, antioxidant assay showed that the two sulfated polysaccharide fractions
(F1, F2) possessed considerable antioxidant properties and had more excellent
abilities than de-sulfated polysaccharides (DF-1, DF-2).
PMID- 21896283
TI - Structural and functional diversity of the lectin repertoire in teleost fish:
relevance to innate and adaptive immunity.
AB - Protein-carbohydrate interactions mediated by lectins have been recognized as key
components of innate immunity in vertebrates and invertebrates, not only for
recognition of potential pathogens, but also for participating in downstream
effector functions, such as their agglutination, immobilization, and complement
mediated opsonization and killing. More recently, lectins have been identified as
critical regulators of mammalian adaptive immune responses. Fish are endowed with
virtually all components of the mammalian adaptive immunity, and are equipped
with a complex lectin repertoire. In this review, we discuss evidence suggesting
that: (a) lectin repertoires in teleost fish are highly diversified, and include
not only representatives of the lectin families described in mammals, but also
members of lectin families described for the first time in fish species; (b) the
tissue-specific expression and localization of the diverse lectin repertoires and
their molecular partners is consistent with their distinct biological roles in
innate and adaptive immunity; (c) although some lectins may bind endogenous
ligands, others bind sugars on the surface of potential pathogens; (d) in
addition to pathogen recognition and opsonization, some lectins display
additional effector roles, such as complement activation and regulation of immune
functions; (e) some lectins that recognize exogenous ligands mediate processes
unrelated to immunity: they may act as anti-freeze proteins or prevent
polyspermia during fertilization.
PMID- 21896285
TI - Evaluation of MUECoG electrode arrays in the minipig: experimental procedure and
neurosurgical approach.
AB - Emerging research on brain-machine interfaces (BMIs) requires the development of
animal models for testing implantable BMI electrodes. New models are necessary in
order to characterize and test newly constructed electrodes in an acute
environment, and their properties and performance need to be evaluated in long
term, chronic implantations. Owing to their availability, small size and
neuroanatomical similarity to the human brain, minipigs are frequently used for
neurological studies. Despite this fact, there are still no standardized
experimental and neurosurgical procedures available for recording of cortical
potentials using implantable BMI electrodes in minipigs, and, until now, it was
unclear whether these animals could also be used for long-term subdural electrode
implantations. We have therefore evaluated the potential use of minipigs for
acute and chronic implantation of micro-electrocorticogram (MUECoG) electrodes we
newly developed for BMI applications and we present a standardized neurosurgical
approach to the minipig's cerebral cortex. A neurophysiological setup is
described which is suitable to perform recordings of somatosensory evoked
potentials (SEPs) with high spatial resolution - down to approx. 1-mm inter
electrode distance. Perioperative management, anesthesia and anatomical landmarks
for electrode placement are discussed and common surgical pitfalls are described.
While, due to their specific cranial anatomy, minipigs appear not optimally
suited for chronic subdural implantations, the findings of the present study
indicate that MUECoG recording from the minipig cortex is a valuable new approach
for acute in vivo characterization of subdural BMI electrode function.
PMID- 21896284
TI - Tonic and phasic release of glutamate and acetylcholine neurotransmission in sub
regions of the rat prefrontal cortex using enzyme-based microelectrode arrays.
AB - The medial prefrontal cortex (mPFC) is an area of the brain critical for higher
cognitive processes and implicated in disorders of the CNS such as drug
addiction, depression and schizophrenia. Glutamate and acetylcholine are
neurotransmitters that are essential for cortical functioning, yet little is
known about the dynamic function of these neurotransmitters in subregions of the
mPFC. In these studies we used a novel microelectrode array technology to measure
resting levels (tonic release) of glutamate and acetylcholine as well as KCl
evoked release (stimulated phasic release) in the mPFC of the anesthetized rat to
further our understanding of both tonic and phasic neurotransmission in the
cingulate cortex, prelimbic cortex, and infralimbic cortex of the mPFC. Studies
revealed homogeneity of tonic and phasic signaling among brain subregions for
each neurotransmitter. However, resting levels of glutamate were significantly
higher as compared to acetylcholine levels in all subregions. Additionally, KCl
evoked acetylcholine release in the cingulate cortex (7.1 MUM) was significantly
greater than KCl-evoked glutamate release in any of the three subregions (Cg1,
2.9 MUM; PrL, 2.0 MUM; IL, 1.8 MUM). Interestingly, the time for signal decay
following KCl-evoked acetylcholine release was significantly longer by an average
of 240% as compared to KCL-evoked glutamate release for all three brain
subregions. Finally, we observed a negative relationship between acetylcholine
resting levels and KCl-evoked release in the Cg1. These data suggest a homogenous
distribution of both glutamatergic and acetylcholinergic innervation in the mPFC,
with alterations in tonic and phasic release regulation accounting for
differences between these neurotransmitters.
PMID- 21896286
TI - Protective effect of pristane on experimental autoimmune uveitis.
AB - This study evaluates the effects of pristane and phytol, two mineral oils with
pro-oxidative effects, on the course of experimental autoimmune uveitis. C57BL6
mice were immunized with IRBP1-20 peptide emulsified in CFA and treated five days
prior to immunization with phytol or with pristane or with PBS as control.
Administration of pristane reduces the incidence and severity of IRBP-induced
uveitis as demonstrated by the decrease in vasculitis and inflammatory foci in
fundus and by a reduction in histological damages and leukocyte infiltration
compared to untreated or phytol-treated mice. The protective effect observed is
associated with a decreased activation of peripheral CD4+ and CD8+ T lymphocytes
and a decrease in the intensity of the Th1 and Th17 autoimmune response to IRBP
in pristane-treated mice compared to control mice, as evidenced by the decreased
production of IFNgamma and IL17 by IRBP-specific lymphocytes from lymph nodes
draining the site of immunization and by the increased production of anti-IRBP
IgG1 over IgG2a. In addition, HUVEC and ARPE-19 cells incubated with the sera of
mice treated with pristane presented a reduced production of H(2)O(2). The
benefit of lowering the systemic oxidative stress by pristane in the course of
EAU was confirmed by injecting the antioxidant NAC in IRBP-immunized mice. As
pristane, NAC decreased clinical and histological inflammation of the retina and
preserved the integrity of the hemato-retinal barrier. Finally, the protective
effect of pristane on the development of EAU suggests that some mineral oils may
represent a new therapeutic strategy in human uveitis.
PMID- 21896287
TI - Zoonotic Brazilian Vaccinia virus: from field to therapy.
AB - Vaccinia virus (VACV), the prototype species of the Orthopoxvirus (OPV) genus,
causes an occupational zoonotic disease in Brazil that is primarily associated
with the handling of infected dairy cattle. Cattle and human outbreaks have been
described in southeastern Brazil since 1999 and have now occurred in almost half
of the territory. Phylogenetic studies have shown high levels of polymorphisms
among isolated VACVs, which indicate the existence of at least two genetically
divergent clades; this has also been proven in virulence assays in a mouse model
system. In humans, VACV infection is characterized by skin lesions, primarily on
the hands, accompanied by systemic symptoms such as fever, myalgia, headache and
lymphadenopathy. In this review, we will discuss the virological,
epidemiological, ecological and clinical aspects of VACV infection, its diagnosis
and compounds that potentially could be used for the treatment of severe cases.
PMID- 21896288
TI - HIV-1 reverse transcriptase connection subdomain mutations involved in resistance
to approved non-nucleoside inhibitors.
AB - The human immunodeficiency virus type 1 (HIV-1) reverse transcriptase (RT) is a
major target of antiretroviral intervention. Non-nucleoside RT inhibitors
(NNRTIs) bind to a hydrophobic pocket located away from the DNA polymerase
catalytic site of the RT. Approved NNRTIs are nevirapine, delavirdine, efavirenz,
etravirine and rilpivirine. This review describes how these inhibitors affect RT
function, the structural basis of NNRTI binding, and the role of specific amino
acid substitutions at the NNRTI binding pocket in the acquisition of high-level
drug resistance. However, two or more amino acid substitutions are required to
achieve >20-fold decreased susceptibility to recently developed NNRTIs such as
etravirine or rilpivirine, in phenotypic assays. While genotypic analysis of HIV
1 isolates in infected patients is usually restricted to residues 1-250 of the
RT, recent reports indicate that several residues in the connection subdomain of
the RT (comprising residues 319-426) could also modulate NNRTI resistance.
Examples are Y318F or W, N348I, A376S and T369I or V. Tyr-318 participates in
NNRTI binding, but other amino acid substitutions in the connection subdomain may
affect resistance through an indirect mechanism. Studies on the effects of N348I
and A376S on NNRTI resistance indicate that these changes could affect inhibitor
binding by altering the interaction between RT subunits or between the RT and the
template-primer. Moreover, those mutations could also modulate RNase H activity
not only during DNA strand elongation, but also at the initiation of plus strand
DNA synthesis as demonstrated for the N348I mutation.
PMID- 21896289
TI - Voluntary wheel running enhances contextual but not trace fear conditioning.
AB - Exercise improves performance on a number of hippocampus involved cognitive tasks
including contextual fear conditioning, but whether exercise enhances contextual
fear when the retention interval is longer than 1 day is not known. Also unknown
is whether exercise improves trace conditioning, a task that requires the
hippocampus to bridge the time interval between stimuli. Hence, 4-month-old male
C57BL/6J mice were housed with or without running wheels. To assess whether
hippocampal neurogenesis was associated with behavioral outcomes, during the
initial 10 days, mice received Bromodeoxyuridine to label dividing cells. After
30 days, one group of mice was trained in a contextual fear conditioning task.
Freezing to context was assessed 1, 7, or 21 days post-training. A separate group
was trained on a trace procedure, in which a tone and footshock were separated by
a 15, 30, or 45s interval. Freezing to the tone was measured 24h later in a novel
environment, and freezing to the training context was measured 48h later. Running
enhanced freezing to context when the retention interval was 1, but not 7 or 21
days. Running had no effect on trace conditioning even though runners displayed
enhanced freezing to the training context 48h later. Wheel running increased
survival of new neurons in the hippocampus. Collectively, findings indicate that
wheel running enhances cognitive performance on some tasks but not others and
that enhanced neurogenesis is not always associated with improved performance on
hippocampus tasks, one example of which is trace conditioning.
PMID- 21896290
TI - Distinct behavioral consequences of stress models of depression in the elevated T
maze.
AB - Animals exposed to inescapable stress develop behavioral consequences that are
similar to symptoms of depression. Therefore, most of the animal models of
depression are based on animal exposure to such stressors. The stress-induced
behavioral consequences induced by pre-exposure to shock in the learned
helplessness model of depression have been proposed to be a consequence of
excessive activation of fear/anxiety related structures which would lead to
inhibitory avoidance and impaired escape performance. However, this hypothesis
has not yet been investigated in a test that is able to generate these different
defense strategies in a same rat, such as the elevated T-maze (ETM). Therefore,
the objective of the present study was to test the effects of footshock pre
exposure (inescapable-IS or escapable-ES) on both inhibitory avoidance and escape
responses of rats submitted to the ETM 24 h later. Moreover, since it is not
known whether these effects would be a common feature to other inescapable
stressors used as animal models of depression, we have also investigated the
behavior of rats previously exposed to forced swimming or restraint. All stressed
groups displayed anxiogenic-like behavior when compared to control groups (non
stressed), evidenced by facilitated acquisition of inhibitory avoidance in the
ETM. However, only rats exposed to IS showed impaired escape performance. These
results support the hypothesis that the facilitated inhibitory avoidance is a
common behavioral consequence of distinct stressful stimuli. However, the
impaired escape response is likely to be particularly involved in the mediation
of the helpless behavior observed in rats pre-exposed to IS. The neurobiological
mechanisms involved in these responses are discussed in the manuscript.
PMID- 21896291
TI - Neurotensin decreases high affinity [3H]-ouabain binding to cerebral cortex
membranes.
AB - Previous work from this laboratory showed the ability of neurotensin to inhibit
synaptosomal membrane Na(+), K(+)-ATPase activity, the effect being blocked by SR
48692, a non-peptidic antagonist for high affinity neurotensin receptor (NTS1)
[Lopez Ordieres and Rodriguez de Lores Arnaiz 2000; 2001]. To further study
neurotensin interaction with Na(+), K(+)-ATPase, peptide effect on high affinity
[(3)H]-ouabain binding was studied in cerebral cortex membranes. It was observed
that neurotensin modified binding in a dose-dependent manner, leading to 80%
decrease with 1 * 10(-4)M concentration. On the other hand, the single addition
of 1 * 10(-6)M, 1 * 10(-5)M and 1 * 10(-4)M SR 48692 (Sanofi-Aventis, U.S., Inc.)
decreased [(3)H]-ouabain binding (in %) to 87 +/- 16; 74 +/- 16 and 34 +/- 17,
respectively. Simultaneous addition of neurotensin and SR 48692 led to additive
or synergic effects. Partial NTS2 agonist levocabastine inhibited [(3)H]-ouabain
binding likewise. Saturation assays followed by Scatchard analyses showed that
neurotensin increased K(d) value whereas failed to modify B(max) value,
indicating a competitive type interaction of the peptide at Na(+), K(+)-ATPase
ouabain site. At variance, SR 48692 decreased B(max) value whereas it did not
modify K(d) value. [(3)H]-ouabain binding was also studied in cerebral cortex
membranes obtained from rats injected i. p. 30 min earlier with 100 MUg and 250
MUg/kg SR 48692. It was observed that the 250 MUg/kg SR 48692 dose led to 19%
decrease in basal [(3)H]-ouabain binding. After SR 48692 treatments, addition of
1 * 10(-6)M led to additive or synergic effect. Results suggested that [(3)H]
ouabain binding inhibition by neurotensin hardly involves NTS1 receptor.
PMID- 21896292
TI - Purification of modified mycobacterial A60 antigen by affinity chromatography and
its use for rapid diagnostic tuberculosis infection.
AB - Tuberculosis has been declared a global emergency. The mainstay for its control
is the rapid and accurate identification of infected individual. Antibodies to
A60, one of the macromolecular antigen complexes of mycobacteria were commonly
used in the rapid detection of Mycobacterium tuberculosis. The aim of this study
was to prepare specific antibodies against A60 for detection of tuberculosis
infection. Specific polyclonal antibodies against A60, (A60-Ab) were prepared in
rabbits using 2 boosted injections of the antigen (A60). The antibodies were
purified and treated with normal oral flora to remove any non-specific and cross
reactive antibodies. These antibodies were conjugated to CNBr-activated Sepharose
4B and used to isolate subunits of A60 with more specificity for M. tuberculosis.
A new affinity column was designed to prepare modified (purified) A60 antigen.
Purified A60 antigen (PA60-Ag) was used to develop antibody production by
Immunoaffinity chromatography. 113 patients with a confirmed diagnosis of
pulmonary TB at Pasteur Institute were selected for the study. The specificity of
the results was analyzed with TB-rapid test by using PA60-antibodies. TB-rapid
test revealed that normal oral flora-absorbed antibodies could lead to more
specific results than that of the non-absorbed antibodies. The developed,
modified A60 antibodies, (PA60-Ab)-rapid test showed higher sensitivity,
specificity, Positive Predictive Value (PPV), Negative Predictive Value (NPV) and
overall efficiency (93.0%, 86.0%, 90.0%, 91.0%, and 90.0% respectively) for the
detection of the Mycobacterium antigen. Moreover, PA60-Ag showed only two protein
bands of molecular weight 45 and 66kDa in SDS-PAGE while untreated A60 showed
multiple bands. Thus, our study helped in the purification of a novel and well
characterized A60 antigen and good diagnostic potential for detecting
tuberculosis infection.
PMID- 21896293
TI - Changes in the topology of gene expression networks by human immunodeficiency
virus type 1 (HIV-1) integration in macrophages.
AB - One key step of human immunodeficiency virus type 1 (HIV-1) infection is the
integration of its viral cDNA. This process is mediated through complex networks
of host-virus interactions that alter several normal cell functions of the host.
To study the complexity of disturbances in cell gene expression networks by HIV-1
integration, we constructed a network of human macrophage genes located close to
chromatin regions rich in proviruses. To perform the network analysis, we
selected 28 genes previously identified as the target of cDNA integration and
their transcriptional profiles were obtained from GEO Profiles (NCBI). A total of
2770 interactions among the 28 genes located around the HIV-1 proviruses in human
macrophages formed a highly dense main network connected to five sub-networks.
The overall network was significantly enriched by genes associated with signal
transduction, cellular communication and regulatory processes. To simulate the
effects of HIV-1 integration in infected macrophages, five genes with the most
number of interaction in the normal network were turned off by putting in zero
the correspondent expression values. The HIV-1 infected network showed changes in
its topology and alteration in the macrophage functions reflected in a re
programming of biosynthetic and general metabolic process. Understanding the
complex virus-host interactions that occur during HIV-1 integration, may provided
valuable genomic information to develop new antiviral treatments focusing on the
management of some specific gene expression networks associated with viral
integration. This is the first gene network which describes the human macrophages
genes interactions related with HIV-1 integration.
PMID- 21896294
TI - Eating green. Consumers' willingness to adopt ecological food consumption
behaviors.
AB - Food consumption is associated with various environmental impacts, and consumers'
food choices therefore represent important environmental decisions. In a large
scale survey, we examined consumers' beliefs about ecological food consumption
and their willingness to adopt such behaviors. Additionally, we investigated in
more detail how different motives and food-related attitudes influenced
consumers' willingness to reduce meat consumption and to buy seasonal fruits and
vegetables. We found consumers believed avoiding excessive packaging had the
strongest impact on the environment, whereas they rated purchasing organic food
and reducing meat consumption as least environmentally beneficial. Similarly,
respondents appeared to be most unwilling to reduce meat consumption and purchase
organic food. Taste and environmental motives influenced consumers' willingness
to eat seasonal fruits and vegetables, whereas preparedness to reduce meat
consumption was influenced by health and ethical motives. Women and respondents
who preferred natural foods were more willing to adopt ecological food
consumption patterns.
PMID- 21896295
TI - Food avoidance in children. The influence of maternal feeding practices and
behaviours.
AB - The aim of this study was to examine the contribution of a broad range of
maternal feeding practices in predicting parental reports of food avoidance
eating behaviours in young children, after controlling for child temperament, and
maternal dietary restraint which have previously been associated with feeding
problems. One hundred and four mothers of children aged between 3 and 6 years
completed self report measures of their child's eating behaviour and temperament,
maternal dietary restraint and child feeding practices. Maternal reports of food
avoidance eating behaviours were associated with an emotional child temperament,
high levels of maternal feeding control, using food for behaviour regulation and
low encouragement of a balanced and varied food intake. Maternal feeding
practices, predominantly pressure to eat, significantly predicted food avoidance
eating behaviours after controlling for child emotionality and maternal dietary
restraint. The significant contribution of maternal feeding practices, which are
potentially modifiable behaviours, suggests that the feeding interactions of
parents and their children should be targeted for intervention and the prevention
of feeding difficulties during early childhood. Future research should continue
to explore how a broader range of feeding practices, particular those that may be
more adaptive, might influence child eating behaviour.
PMID- 21896296
TI - Implicit wanting and explicit liking are markers for trait binge eating. A
susceptible phenotype for overeating.
AB - The present study used a measure of trait binge eating (Binge Eating Scale; BES)
to examine its association with behavioural markers of appetite and food reward.
Non-obese female participants consumed a preload before freely selecting and
consuming from a test meal. Subjective hunger and hedonic measures of explicit
liking and implicit wanting for food were obtained. Food selection and intake of
the test meal were measured. Findings were compared according to individual
differences in trait binge eating. BES scores correlated with BMI, food intake
and selection of high fat sweet foods in the test meal. Comparison of BES scores
revealed that higher scores were associated with weaker suppression of hunger
after the preload, greater explicit liking for food generally, and increased
implicit wanting for high fat sweet food. Trait binge eating is functional at low
levels and implicit wanting measured simultaneously with explicit liking may be
useful markers for reward-driven overconsumption in this susceptible phenotype.
PMID- 21896297
TI - Parent- and child-reported parenting. Associations with child weight-related
outcomes.
AB - The present study aimed to investigate associations of both parent-reported and
child-perceived parenting styles and parent-reported parenting practices with
child weight and weight-related behaviours. Participants were 175 children (56%
female) aged between 7 and 11, and their primary caregivers (91% female),
recruited through South Australian primary schools. Children completed measures
of parenting style, attitude toward fruit, vegetables, and non-core food, and
attraction to physical activity. Parents completed measures of parenting style
and domain-specific parenting practices (feeding and activity-related practices)
and reported on child dietary intake, physical activity, and sedentary behaviour.
Objective height and weight measurements were taken from children, from which
body mass index (BMI) was calculated. Child-reported parenting style and parent
reported parenting practices were uniquely associated with child weight-related
outcomes, but styles and practices did not interact in their association with
child outcomes. Child-reported parenting style was associated with child food and
activity attitudes, whereas parent-reported parenting style was not associated
with child outcomes. The findings of the present study generally support the
recommendation of a parenting style high in demandingness and responsiveness for
supporting healthy child weight-related behaviours, along with appropriate domain
specific practices. The child's perspective should be incorporated into research
involving child outcomes wherever possible.
PMID- 21896298
TI - Parent use of food to soothe infant/toddler distress and child weight status. An
exploratory study.
AB - The aim of the present study was to explore the parent feeding practice of using
food to soothe infant/toddler distress and its relationship to child weight
status. Seventy eight families with infants and toddlers (43 males) ranging in
age from 3 to 34 months (M=14 mos, SD=9 mos) completed a survey which included
questions on their use of food to soothe, questionnaires on parent feeding
practices, parenting self-efficacy, child temperament and child's weight and
length at the time of their last well-baby visit. Results revealed the use of
food to soothe to be a valid construct. In addition, mothers who used food to
soothe rated themselves lower in parenting self-efficacy and their children
higher in temperamental negativity. Analyses examining weight status as the
outcome variable revealed that mothers who reported the use of food to soothe had
heavier children, however, this relationship was stronger for children rated as
high in temperamental negativity.
PMID- 21896299
TI - Mucosal immunity and poliovirus vaccines: impact on wild poliovirus infection and
transmission.
AB - Since the resolution of the World Assembly in 1988 to eradicate polio globally,
substantial progress toward this target has been achieved, but the final goal
remains elusive. India and other tropical developing countries present a unique
challenge because of the much lower oral poliovirus vaccine (OPV) immunogenicity
compared to industrialized countries, both in terms of humoral and mucosal
immunity. To overcome this challenge, further research is needed to elucidate the
causes for the suboptimal OPV immunogenicity, better defining the optimal vaccine
schedules and delivery strategies, developing and evaluating adjuvants to boost
OPV immunogenicity, and improving the methods for directly measuring mucosal
immunity.
PMID- 21896300
TI - Elevated plasma superoxide dismutase in first-episode and drug naive patients
with schizophrenia: inverse association with positive symptoms.
AB - Excessive free radical production or oxidative stress may be involved in the
pathophysiology of schizophrenia as evidenced by increased superoxide dismutase
(SOD) activities, a critical enzyme in the detoxification of superoxide radicals.
This study compared plasma SOD activities in 78 never-medicated first-episode and
100 medicated chronic schizophrenia patients to 100 healthy control subjects and
correlated these SOD activities with the Positive and Negative Syndrome Scale
(PANSS) among the schizophrenic patients. We found that both first-episode and
chronic patients had significantly increased plasma SOD activities compared to
controls, and that chronic schizophrenic patients on antipsychotic medication had
significantly higher SOD activities than first episode schizophrenic patients.
Plasma SOD activities were also negatively correlated with positive symptoms of
schizophrenia, but only in first-episode patients. Thus, oxidative stress appears
to be greater in first episode schizophrenic patients with fewer positive
symptoms and may become greater as schizophrenia becomes more chronic, although
we cannot exclude the possibility that chronic antipsychotic treatment may
increase SOD activities and presumed oxidative stress in schizophrenia.
PMID- 21896301
TI - What are the optimal pharmacokinetic properties of antipsychotic medications?
AB - Sensitization of the dopaminergic system has been proposed as a pathogenic
mechanism of psychosis. It has been reported in sensitized animals that the
proportion of high-affinity dopamine 2 (D2) receptors is increased, without
changes in the total amount of D2 receptors. This increase induces an exaggerated
postsynaptic transmission of the dopamine signal, which is attenuated by
antipsychotic D2 antagonists. In this report, I simulated D2 receptor binding of
dopamine and antipsychotics under pathologic state, and investigated
pharmacological conditions that would return the increased dopamine binding back
to normal levels in both resting and burst dopamine concentrations. I found that
D2 antagonists with small Koff values at a concentration 2.5 times the Ki closely
mimicked normal dopamine binding. Under these conditions, the apparent receptor
occupancy of the drug was calculated as 70%.
PMID- 21896302
TI - Protective effects of apricot kernel oil on myocardium against ischemia
reperfusion injury in rats.
AB - The present study was undertaken to evaluate the potential cardioprotective
effects of apricot kernel oil (AO) on the myocardial ischemia-reperfusion (IR) of
rat model in vivo. The rats were divided into five groups: sham-operated, IR, low
dose AO-treated IR (LD-AO+IR), medium dose AO-treated IR (MD-AO+IR) and high dose
AO-treated IR (HD-AO+IR). All rats were provided with food and water ad libitum.
The LD-AO+IR, MD-AO+IR and HD-AO+IR groups were given a daily dose of 2, 6 and 10
ml kg(-1)BW(-1) of AO, respectively, for 14 days prior to the IR operation.
Tetrazolium chloride staining revealed that infarct size and the ratio of infarct
weight to the total heart weight were decreased significantly in the three AO
treated groups compared to the IR group. The serum creatine kinase and aspartate
aminotransferase activities also demonstrated similar beneficial effects.
Myocardial catalase, superoxide dismutase, glutathione peroxidase, and
constitutive nitric oxide synthase activities, as well as NO concentrations, were
all increased, whereas malondialdehyde content and inducible nitric oxide
synthase were decreased in AO-treated rats. These findings suggest that apricot
kernel oil has potent cardioprotective effects, and could be developed as a
nutriment for the treatment and prevention of myocardial infarcts.
PMID- 21896303
TI - Effects of periodic fluoride treatment on fluoride ion release from fresh
orthodontic adhesives.
AB - OBJECTIVE: Periodic fluoride treatment may contribute to the ability of fresh
orthodontic adhesives to provide long-term F(-) release. The effects of periodic
fluoride treatment on the amount of F(-) release from fresh orthodontic adhesives
was investigated. METHODS: F(-) release was measured from a nonfluoride-releasing
composite, a fluoride-releasing composite, a polyacid-modified composite
(compomer), and two resin-modified glass-ionomer cements (RMGICs) at 1, 2, and 5
days after one of the following treatments: 225 ppm F(-) solution, 900 ppm F(-)
solution, acidulated phosphate fluoride gel (APF), fluoridated dentifrice, and
deionised water (control). F(-) release was measured in a 5-day cycle, which was
repeated 9 consecutive times. The amount of F(-) release for each group was
analysed using the repeated measures analysis of variance. Statistical
significance was set at a level of alpha=0.05. RESULTS: Periodic fluoride
treatment temporarily increased F(-) release in fresh fluoride-releasing
orthodontic adhesives, but not in fresh nonfluoride-releasing composite. The
order of effective fluoride-release was RMGICs>compomer>fluoride-releasing
composite>nonfluoride-releasing composite. The application of APF or 900 ppm F(-)
solution was the most effective way to maintain F(-) release from fresh
orthodontic adhesives. However, the amount of F(-) release gradually decreased
with increasing specimen age. CONCLUSION: Given the difficulty of routine use of
APF at home, the results of this study show that a combination of RMGICs and high
dose fluoride mouth rinse is the most effective protocol to maintain F(-) release
from fresh orthodontic adhesives. CLINICAL SIGNIFICANCE: Most studies have
investigated fluoride-uptake abilities using aged materials in which fluoride had
been lost for at least 1 month. This study has found that periodic fluoride
treatment altered the conventional F(-) release pattern of fresh fluoride
releasing materials and type of fluoride-containing medium plays a more critical
role in fluoride recharging of the materials than fluoride concentration. This
study will help clinicians to find the most effective fluoride treatment protocol
of fresh materials.
PMID- 21896304
TI - Inhibition of Cathepsin S by Fsn0503 enhances the efficacy of chemotherapy in
colorectal carcinomas.
AB - Cathepsin S is a lysosomal cysteine protease implicated in tumourigenesis with
key roles in invasion and angiogenesis. We have previously shown that the
specific inhibition of Cathepsin S using a monoclonal antibody (Fsn0503) blocks
colorectal carcinoma tumour growth and angiogenesis in vivo. We investigated
whether Cathepsin S expression levels were affected by chemotherapy in human
cancer cell lines by RT-PCR. Using colorectal xenograft models, we examined the
therapeutic benefit of Cathepsin S inhibition using Fsn0503 in combination with a
metronomic dosing regimen of CPT-11. We analysed the effects of the combination
therapy on tumour progression and on tumour vascularisation by
immunohistochemical staining of tumours. Cathepsin S expression levels are
upregulated in HCT116, LoVo, Colo205 cell lines and HUVECs after exposure to CPT
11 in vitro. The administration of Fsn0503 in combination with CPT-11
significantly attenuated tumour growth in comparison to CPT-11 alone in
colorectal HCT116 xenograft models. Furthermore, analysis of tumour
vascularisation revealed that this was also significantly disrupted by the
combination treatment. These results show that the combination of Cathepsin S
inhibition with CPT-11 enhances the therapeutic effect of the chemotherapy. This
rationale may have clinical application in the treatment of colorectal cancer
upon further evaluation.
PMID- 21896305
TI - Turning pyridoxal-5'-phosphate-dependent enzymes into thermostable binding
proteins: D-Serine dehydratase from baker's yeast as a case study.
AB - D-serine dehydratase from Saccharomyces cerevisae is a recently discovered
dimeric enzyme catalyzing the beta-elimination of D-serine to pyruvate and
ammonia. The reaction is highly enantioselective and depends on cofactor
pyridoxal-5'-phosphate (PLP) and Zn(2+). In our work, the aldimine linkage
tethering PLP to recombinant, tagged D-serine dehydratase (Dsd) has been reduced
by treatment with NaBH(4) so as to yield an inactive form of the holoenzyme
(DsdR), which was further treated with a protease in order to remove the amino
terminal purification tag. Fourier Transform infrared (FT-IR) spectroscopic
analysis revealed that both the reduced form (DsdR) and the reduced/detagged form
(DsdRD) maintain the overall secondary structure of Dsd, but featured a
significant increased thermal stability. The observed T(m) values for DsdR and
for DsdRD shifted to 71.5 degrees C and 73.3 degrees C, respectively, resulting
in nearly 11 degrees C and 13 degrees C higher than the one measured for Dsd.
Furthermore, the analysis of the FT-IR spectra acquired in the presence of D
serine and L-serine indicates that, though catalytically inert, DsdRD retains the
ability to enantioselectively bind its natural substrate. Sequence analysis of D
serine dehydratase and other PLP-dependent enzymes also highlighted critical
residues involved in PLP binding. In virtue of its intrinsic properties, DsdRD
represents an ideal candidate for the design of novel platforms based on stable,
non-consuming binding proteins aimed at measuring d-serine levels in biological
fluids.
PMID- 21896306
TI - Imaging biomarkers in Parkinson's disease.
AB - Parkinson's disease (PD) is characterized by a progressive loss of nigrostriatal
dopaminergic neurons associated with intracellular Lewy inclusion bodies. The
result is poverty of movement, increased muscle rigidity, and tremor at rest and
on posture. Midbrain/nigral structural abnormalities can be demonstrated in vivo
with both transcranial sonography (TCS) and diffusion tensor magnetic resonance
imaging (DTI) while positron emission tomography (PET) and single photon emission
computed tomography (SPECT) ligands exist to demonstrate dopamine terminal
dysfunction. These radiotracers are markers of dopamine storage capacity,
vesicular monoamine and dopamine transporter availability. While loss of putamen
dopaminergic function leads to motor disability, Lewy bodies not only target
dopamine neurons but have also been observed in serotoninergic, noradrenergic,
and cholinergic neurons. As a consequence, non-dopaminergic neurotransmission is
also impaired resulting in non-motor symptoms including sleep disturbance,
fatigue, depression, dementia, and autonomic dysfunction. PET and SPECT ligands
exist to interrogate the function of monoaminergic and cholinergic neurons.
Cortical and limbic Lewy body disease is seen in more advanced PD and this can be
detected with FDG PET as abnormal covariance between levels of resting brain
metabolism in these regions. Additionally, widespread microglial activation can
be detected in PD with PET. This review discusses the role of structural and
functional imaging for understanding parkinsonian syndromes and aiding in their
diagnosis and management.
PMID- 21896307
TI - RNA interference-directed silencing of VPAC1 receptor inhibits VIP effects on
both EGFR and HER2 transactivation and VEGF secretion in human breast cancer
cells.
AB - We used small-interference RNA (siRNA) to explore the mechanisms of some
vasoactive intestinal peptide (VIP) actions on human breast cancer cells.
Transfection of estrogen-dependent (T47D) and estrogen-independent (MDA-MB-468)
breast cancer cells with VPAC(1)-receptor siRNA completely abolished VIP
stimulatory effect on secretion of the main angiogenic factor, vascular
endothelial growth factor (VEGF), and transactivation of epidermal growth factor
receptor (EGFR or HER1) and HER2, two members of HER family of tyrosine-kinase
receptors. The silencing procedure suggested the involvement of EGFR and HER2
transactivation in VIP-stimulated VEGF secretion. It was further supported by
blocking tyrosine kinase activity by the selective HER inhibitors AG-1478 (EGFR)
and AG-825 (HER2). Results give value to the specific signaling of VIP through
VPAC(1) receptor in human breast cancer cells and support the potential use of
VPAC(1)-receptor antagonists in combined targeted therapies for breast cancer.
Molecular therapies involving RNA interference of VPAC(1)-receptor expression
could also be considered.
PMID- 21896308
TI - In vivo 5-HT(6) receptor occupancy by antipsychotic drugs in the rat brain.
AB - The 5-HT(6) receptor subtype is predominantly expressed in the central nervous
system, and preclinical evidence suggests that it plays a critical role in the
regulation of molecular pathways underlying cognitive function. Patients with
schizophrenia show cognitive impairment as a fundamental symptom, and it is
proposed that the procognitive properties of some antipsychotics such as
olanzapine and clozapine would be, in part, due to the central blockade of 5
HT(6) receptors. In this study, we characterized the brain 5-HT(6) receptor
occupancy of olanzapine, clozapine and chlorpromazine in relation to their
pharmacokinetic profiles using in vivo [(3)H]GSK215083 binding assay in rat
brain. Oral administration of olanzapine (3mg/kg), clozapine (30mg/kg) and
chlorpromazine (30mg/kg) produced significant 5-HT(6) receptor occupancy in the
brain, inhibiting radioligand binding by 88, 97 and 81%, respectively. The blood
concentrations required to achieve significant occupancy were clinically
achievable (9.6, 26.9 and 98.6nM for olanzapine, clozapine and chlorpromazine,
respectively). This data provides preclinical evidence to support the hypothesis
that brain 5-HT(6) antagonism contributes to the procognitive properties of
antipsychotic drugs such as olanzapine and clozapine.
PMID- 21896309
TI - Protective role of the ubiquitin binding protein Tollip against the toxicity of
polyglutamine-expansion proteins.
AB - Huntington disease (HD) is caused by the expansion of polyglutamine (polyQ)
repeats in the amino-terminal of hungtintin (htt). PolyQ-expanded htt forms
intracellular ubiquitinated aggregates in neurons and causes neuronal cell death.
Here, utilizing a HD cellular model, we report that Tollip, an ubiquitin binding
protein that participates in intracellular transport via endosomes, co-localizes
with and stimulates aggregation of polyQ-expanded amino-terminal htt.
Furthermore, we demonstrate that Tollip protects cells against the toxicity of
polyQ-expanded htt. We propose that association of Tollip with polyubiquitin
accelerates aggregation of toxic htt species into inclusions and thus provides a
cell protective role by sequestration.
PMID- 21896310
TI - Prevention of extracellular ADP-induced ATP accumulation of the cultured rat
spinal astrocytes via P2Y(1)-mediated inhibition of AMPK.
AB - P2Y(1) is probably an important subtype of purinergic receptors (P2Rs) in
modulation of the astrocyte activation in spinal cord. The aim of this study was
to observe the effect of P2Y(1) receptor on the abnormal energy metabolism of the
cultured rat spinal astrocyte induced by extracellular adenosine diphosphate
(ADP). The results showed that adenosine triphosphate (ATP) and mitochondrial
membrane potential (MMP) in the astrocytes were up-regulated in the presence of
ADP, which could be enhanced by MRS2179, a specific antagonist for P2Y(1)
receptor. A higher level of expression of the AMP-activated protein kinase (AMPK)
was found in the presence of MRS2179 and ADP together than that ADP alone.
Blocking of AMPK with Compound C could effectively inhibit the enhancing effect
of MRS2179 on ADP-induced astrocyte proliferation and ATP accumulation. Our
results suggested that the P2Y(1) receptor mediated inhibition of AMPK may help
to prevent the astrocytes from over activation induced by extracellular ADP.
PMID- 21896311
TI - Cordycepin decreases activity of hippocampal CA1 pyramidal neuron through
membrane hyperpolarization.
AB - Cordycepin (3'-deoxyadenosine) is the main functional component of Cordycepins
militaris, a renowned traditional Chinese medicine, which has been shown to
possess anti-tumor, anti-inflammatory, anti-diabetic and neuro-protective
effects. However, the effect of cordycepin on the central nervous system (CNS)
remains unclear. In this study, the effects of cordycepin on neuronal activity
were investigated on the CA1 pyramidal neurons in rat hippocampal brain slices
using a whole-cell patch clamp technique. Our results revealed that cordycepin
significantly decreased the frequency of both the spontaneous and evoked action
potential (AP) firing. While AP spike width, the amplitude of fast after
hyperpolarization (fAHP), and membrane input resistance were not altered by
cordycepin, the neuronal membrane potential was hyperpolarized by cordycepin.
Collectively, these results demonstrate that cordycepin reduces neuronal activity
by inducing membrane hyperpolarization, indicating that cordycepin may be a
potential therapeutic strategy for ischemic and other excitotoxic disorders.
PMID- 21896312
TI - Aquaporins AQP1 and AQP4 in the cerebrospinal fluid of bacterial meningitis
patients.
AB - Aquaporins facilitate water transport through cell membranes. Due to the
localization of AQP1 and AQP4 in the brain, they might contribute to cerebral
edema. Our study aimed to determine whether AQP1 and AQP4 can be measured in
cerebrospinal fluid (CSF), and whether there is a difference in AQP1 and AQP4
concentration between patients with bacterial meningitis (BM) and healthy
controls. AQP1 and AQP4 concentrations in CSF from 35 patients with BM and 27
controls were analyzed using a commercial ELISA. The mean concentration of AQP1
in CSF was significantly elevated in patients with BM (BM: 3.8+/-3.4ng/ml,
controls: 0.8+/-0.5ng/ml; p<0.001). AQP4 had a tendency to be increased, however
the difference was not significant (BM: 1.8+/-3.1ng/ml, controls: 0.1+/-0.2ng/ml;
p=0.092). AQP1 and AQP4 in CSF of BM patients were inversely correlated (r=-0.47,
p=0.004). We could not find any other correlation between concentration of AQP1
or AQP4 in CSF and CSF leukocytes, lactate, protein, albumin CSF/serum ratio,
age, a prediction score, an outcome score or the Glasgow Coma Scale at admission
in patients with BM. Control patients displayed a correlation between AQP1 and
the albumin CSF/serum ratio (r=0.390, p=0.040). This is the first study that
detected AQP1 and AQP4 in CSF. Whether the significant elevation of AQP1 is due
to a higher expression and subsequent shedding into CSF or a BM-induced cell
damage needs to be determined.
PMID- 21896313
TI - Functional polymorphism of the GTP cyclohydrolase 1 gene affects the personality
trait of novelty seeking in healthy subjects.
AB - GTP cyclohydrolase 1 (GCH1) is the initial and rate-limiting enzyme in the
biosynthesis of tetrahydrobiopterin, which is an essential cofactor for
biosynthetic enzymes of dopamine, serotonin, and nitric oxide. In the present
study, the association of functional polymorphism of the GCH1 gene (C+243T,
rs841) with personality traits was examined in 902 healthy Japanese subjects.
Personality traits were assessed by the Temperament and Character Inventory
(TCI), and the GCH1 genotype was detected by a PCR-RFLP method. There were no
significant main effects of the GCH1 genotype on the seven TCI dimension scores,
but significant interaction effects between the GCH1 genotype and gender were
found on the scores of novelty seeking. Post-hoc analysis revealed that males
with the C/C genotype had higher scores of novelty seeking than those with the
C/T genotype or those with the T/T genotype, while in females the scores of
novelty seeking were not different among the genotype groups. The present study
thus suggests that the C+243T polymorphism of the GCH1 gene affects the
personality trait of novelty seeking in males.
PMID- 21896314
TI - Novel demonstration of conformationally modified tau in sporadic inclusion-body
myositis muscle fibers.
AB - s-IBM is the most common muscle disease of older persons. Its muscle fiber
molecular phenotype has close similarities to Alzheimer disease (AD) brain,
including intra-muscle-fiber accumulations of (a) Abeta42 and its oligomers, and
(b) large, squiggly or linear, clusters of paired-helical filaments (PHFs) that
are immunoreactive with various antibodies directed against several epitopes of
phosphorylated tau (p-tau), and thereby strongly resembling neurofibrillary
tangles of AD brain. In AD brain, conformational changes of tau, including its
modifications detectable with specific antibodies TG3 (recognizing phosphorylated
Thr231), and Alz50 and MC1 (both recognizing amino acids 5-15 and 312-322) are
considered early and important modifications leading to tau's abnormal folding
and assembly into PHFs. We have now identified conformationally modified tau in
14 s-IBM muscle biopsies by (a) light-and electron-microscopic
immunohistochemistry, (b) immunoblots, and (c) dot-immunoblots, using TG3, Alz50
and MC1 antibodies. Our double-immunolabeling on the light- and electron
microscopic levels, which combined an antibody against p62 that recognizes s-IBM
clusters of PHFs, revealed that TG3 immunodecorated, abundantly and exclusively,
all p62 immunopositive clusters, while Alz50 labeling was less abundant, and MC1
was mainly diffusely immunoreactive. Interestingly, in the very atrophic
degenerating fibers, TG3 co-localized with PHF-1 antibody that recognizes tau
phosphorylated at Ser396/404, which is considered a later change in the formation
of PHFs; however, most of TG3-positive inclusions in non-atrophic fibers were
immunonegative with PHF-1. None of the 12 normal- and disease-control muscle
biopsies contained conformational or PHF-1 immunoreactive tau. This first
demonstration of conformational tau in s-IBM, because of its abundance in non
atrophic muscle fibers, suggests that it might play an early role in s-IBM PHFs
formation and thus be pathogenically important.
PMID- 21896315
TI - Effect of salicylate on potassium currents in inner hair cells isolated from
guinea-pig cochlea.
AB - Although salicylate is one of the most widely used nonsteroidal anti-inflammatory
drugs, it causes moderate hearing loss and tinnitus at high-dose levels. In the
present study, salicylate effects on the K currents in inner hair cells were
examined. Salicylate reversibly reduced the outward K currents (I(K,f)), but did
not affect the inward current (I(K,n)). Salicylate blocked the outward K currents
in a concentration-dependent manner according to Hill equation with a half
blocking concentration of 1.66mM, and the Hill coefficient of 1.86.
PMID- 21896316
TI - Protective effects of resveratrol through the up-regulation of SIRT1 expression
in the mutant hSOD1-G93A-bearing motor neuron-like cell culture model of
amyotrophic lateral sclerosis.
AB - Resveratrol has recently been widely reported to be an age-delaying and
neuroprotective compound, and it appears to produce these benefits by activating
silent mating type information regulation 2 homolog 1 (SIRT1). However, the role
that SIRT1 activation plays in the pathogenesis of amyotrophic lateral sclerosis
(ALS) remains unclear. In the present study, SIRT1 expression was found to be
much lower in the mutant hSOD1G93A-bearing VSC4.1 cells compared to hSOD1wt cells
when both were cultured in low-serum medium, indicating the involvement of SIRT1
activation defects in the pathogenesis of ALS under energetic stress. Further
investigation revealed that a 24-h treatment with 0.5-20MUM resveratrol had a
dose-dependent protective effect on this ALS cell model, and the effects of
resveratrol on increasing cell viability, preventing cell apoptosis and elevating
cellular ATP levels through promoting mitochondria biogenesis were blocked by
SIRT1 inhibition. This further demonstrated a role for SIRT1 activation in the
protection of neuronal cells from degeneration. These findings suggest that
resveratrol can protect the ALS cell model from mutant SOD1-mediated toxicity
through up-regulating the expression of SIRT1, which represents a potential
therapeutic target for preventing the motor neuron degeneration in ALS patients.
PMID- 21896317
TI - CRIP1a switches cannabinoid receptor agonist/antagonist-mediated protection from
glutamate excitotoxicity.
AB - A shared pathology among many neurological and neurodegenerative disorders is
neuronal loss. Cannabinoids have been shown to be neuroprotective in multiple
systems. However, both agonists and antagonists of the CB(1) cannabinoid receptor
are neuroprotective, but the mechanisms responsible for these actions remain
unclear. Recently a CB(1) receptor interacting protein, CRIP1a, was identified
and found to alter CB(1) activity. Here we show that in an assay of glutamate
neurotoxicity in primary neuronal cortical cultures CRIP1a disrupts agonist
induced neuroprotection and confers antagonist-induced neuroprotection.
PMID- 21896318
TI - Developmental localization of NMDA receptors, Src and MAP kinases in mouse brain.
AB - Activation of NMDA receptors (NMDAR) is associated with divergent downstream
signaling leading to neuronal survival or death that may be regulated in part by
whether the receptor is located synaptically or extrasynaptically. Distinct
activation of the MAP kinases ERK and p38 by synaptic and extrasynaptic NMDAR is
one of the mechanisms underlying these differences. We have recently shown that
the Src family kinases (SFKs) play an important role in neonatal hypoxic-ischemic
brain injury by regulating NMDAR phosphorylation. In this study, we characterized
the distribution of NMDAR, SFKs and MAP kinases in synaptic and extrasynaptic
membrane locations in the postnatal day 7 and adult mouse cortex. We found that
the NMDAR, SFKs and phospho-NR2B were predominantly at synapses, whereas striatal
enriched protein tyrosine phosphatase (STEP) and its substrates ERK and p38 were
much more concentrated extrasynaptically. NR1/NR2B was the main subunit at
extrasynaptic membrane with concomitant NR2B phosphorylation at tyrosine (Y) 1336
in the immature brain. STEP expression increased, while p38 decreased with
development in the extrasynaptic membrane. These results suggest that SFKs and
STEP are poised to differentially regulate NMDAR-mediated signaling pathways due
to their distinct subcellular localization, and thus may contribute to the age
specific differences seen in vulnerability, pathology and consequences of hypoxic
ischemic brain injury.
PMID- 21896319
TI - Psychosocial environment and health: methodological variability of the salivary
cortisol measurements.
AB - Salivary cortisol offers a novel approach to understand the relationship between
psychosocial environment and health. This study examines the intra-individual
relationships among indicators of the cortisol circadian rhythm and investigates
the influence of determinants affecting the day-to-day variability of the
cortisol measures. Over three weekdays, 87 healthy subjects (63 females and 24
males) collected saliva samples at seven time points to assess the cortisol
awakening response (CAR), and to evaluate the post morning cortisol profile. The
generalized estimating equations method was used to explore the relations between
repeated cortisol measures and potential determinants (sociodemographic, health,
and sampling factors) influencing salivary cortisol levels. Younger age, being
smoker, and sampling on a working day were associated with higher at awakening
and total cortisol excretion in the morning period. Higher overall cortisol
excretion and cortisol increase in the first hour of the day were found for
adherents to sampling procedure. Higher educational level was found associated
with greater total cortisol excretion in the morning and post morning period,
while a flatter diurnal slope was found in smokers. Results are consistent with
the knowledge that the circadian cortisol rhythm is differentially determined by
situational factors and that results obtained in the early morning hour are of
crucial importance corroborating the evidence that the CAR is a highly state
dependent phenomenon. These data indicate that many confounding factors need to
be controlled when using salivary cortisol as biomarker of the mind-health
interrelationship.
PMID- 21896320
TI - Alcohol enhanced permeation in model membranes. Part II. Thermodynamic analysis
of membrane partitioning.
AB - The role of solvents in drug transport has not been properly addressed in the
literature, despite its well known influence on drug permeation. Previously we
have conducted thermodynamic and kinetic analyses to probe the molecular
mechanisms of alcohol enhanced permeation. In the present study, the influence of
temperature on the partitioning of methyl paraben into silicone membranes is
investigated. In line with previous membrane transport studies of methyl paraben
in silicone membranes, butanol and heptanol are used as representative alcohols.
The results show higher amounts of methyl paraben extracted from the silicone
membrane following equilibration with butanol, at all experimental temperatures.
This was in line with alcohol uptake data. In fact, a linear correlation (r(2)
~0.97) was found between the amount of methyl paraben in the silicone membrane
and the corresponding alcohol uptake. Calculated "specific" vehicle-membrane
partition coefficients for both alcohols were approximately one, suggesting that
the effective concentrations of methyl paraben inside and outside the membrane
were the same. Thermodynamic analysis of the alcohol-membrane partition
coefficients as a function of temperature showed no apparent trend for butanol,
with an associated enthalpy change of approximately zero. Conversely, there was a
positive trend in the van't Hoff plot for methyl paraben in heptanol, indicative
of an exothermic process. Moreover, the partitioning trends of methyl paraben in
silicone membranes obtained from membrane transport and equilibrium experiments
were not the same. This reflects the fundamental differences between the
calculated vehicle-membrane partition coefficients in the two studies. Finally,
the findings from membrane transport and equilibrium experiments support a model
of alcohol enhanced permeation where high solvent sorption promotes high solute
concentrations in the overall volume of the membrane (i.e. K), thus leading to
modified solute transport (i.e. increased flux). The same model also accounts for
changes in membrane diffusivity (i.e. D) related to the properties of the imbibed
alcohol.
PMID- 21896321
TI - Thermo-responsive shell cross-linked PMMA-b-P(NIPAAm-co-NAS) micelles for drug
delivery.
AB - Thermo-responsive amphiphilic poly(methyl methacrylate)-b-poly(N
isopropylacrylamide-co-N-acryloxysuccinimide) (PMMA-b-P(NIPAAm-co-NAS)) block
copolymer was synthesized by successive RAFT polymerizations. The uncross-linked
micelles were facilely prepared by directly dissolving the block copolymer in an
aqueous medium, and the shell cross-linked (SCL) micelles were further fabricated
by the addition of ethylenediamine as a di-functional cross-linker into the
micellar solution. Optical absorption measurements showed that the LCST of
uncross-linked and cross-linked micelles was 31.0 degrees C and 40.8 degrees C,
respectively. Transmission electron microscopy (TEM) showed that both uncross
linked and cross-linked micelles exhibited well-defined spherical shape in
aqueous phase at room temperature, while the SCL micelles were able to retain the
spherical shape with relatively smaller dimension even at 40 degrees C due to the
cross-linked structure. In vitro drug release study demonstrated a slower and
more sustained drug release behavior from the SCL micelles at high temperature as
compared with the release profile of uncross-linked micelles, indicating the
great potential of SCL micelles developed herein as novel smart carriers for
controlled drug release.
PMID- 21896322
TI - Spasmolytic activity of Rosmarinus officinalis L. involves calcium channels in
the guinea pig ileum.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Rosmarinus officinalis L. is a plant used around
the world for its properties to cure pain in several conditions, such as
arthritic and abdominal pain or as an antispasmodic; however, there are no
scientific studies demonstrating its spasmolytic activity. Therefore, the aim of
the present study was to investigate the effect of an ethanol extract from
Rosmarinus officinalis aerial parts and the possible mechanism involved by using
rings from the isolated guinea pig ileum (IGPI). MATERIALS AND METHODS: The IGPI
rings were pre-contracted with potassium chloride (KCl; 60 mM), acetylcholine
(ACh; 1 * 10(-9) to 1 * 10(-5)M) or electrical field stimulation (EFS; 0.3 Hz of
frequency, 3.0 ms of duration and 14 V intensity) and tested in the presence of
the Rosmarinus officinalis ethanol extract (150, 300, 600 and 1 200 MUg/mL) or a
referenced smooth muscle relaxant (papaverine, 30 MUM). In addition, the possible
mechanism of action was analyzed in the presence of hexametonium (a ganglionic
blocker), indomethacine (an inhibitor of prostaglandins), l-NAME (a selective
inhibitor of the nitric oxide synthase) and nifedipine (a calcium channel
blocker). RESULTS: Rosmarinus officinalis ethanol extract exhibited a significant
and concentration-dependent spasmolytic activity on the contractions induced by
KCl (CI(50) = 661.06 +/- 155.91 MUg/mL); ACh (CI(50) = 464.05 +/- 16.85 MUg/mL)
and EFS (CI(50) = 513.72 +/- 34.13 MUg/mL). Spasmolytic response of Rosmarinus
officinalis (600 MUg/mL) was reverted in the presence of nifedipine 1 MUM, but
not in the presence of hexamethonium 0.5mM, indomethacine 1 MUM or L-NAME 100
MUM. CONCLUSION: The present results reinforce the use of Rosmarinus officinalis
as antispasmodic in folk medicine. Moreover, it is demonstrated the involvement
of calcium channels in this activity, but not the participation of nicotinic
receptors, prostaglandins or nitric oxide.
PMID- 21896323
TI - Antigenotoxic and antioxidant activities of palmarosa and citronella essential
oils.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Essential oils of palmarosa and citronella have
been extensively used in ancient Indian and South-east Asian traditional
medicines. AIM OF THE STUDY: These essential oils have been reported to exhibit
antimicrobial, anti parasitic effects against bacteria, yeasts, filamentous
fungi, and viruses. In the present study the oils were tested for their potential
antigenotoxic and antioxidant properties in human lymphocyte cells. MATERIALS AND
METHODS: The antigenotoxic effect on human lymphocyte cells (measurement of cell
viability, DNA damage) was studied using trypan blue dye exclusion test, plasmid
pBR322 DNA strand scission, and comet assay. Anti-oxidant activity was evaluated
by DPPH(+) free radical scavenging and lipid peroxidation assay. RESULTS: The
essential oils showed a good antigenotoxic activity against methyl
methanesulphonate (MMS) and hydrogen peroxide. In addition, a significant dose
dependent antioxidant activity was observed. CONCLUSION: Our data provide
evidence that support the usage of palmarosa and citronella essential oils in
traditional herbal preparations. They can constitute a natural source of a new
and safe antioxidant.
PMID- 21896324
TI - An integrative approach of linking traditional Chinese medicine pattern
classification and biomedicine diagnosis.
AB - Traditional Chinese medicine (TCM) is a medical system with over 3000 years of
continuous practice experience and refinement through treatment observations. The
TCM pattern classification (also defined as Syndrome or Zheng differentiation)
and treatment of ill health is the basis and the key concept of the TCM theory.
All diagnostic and therapeutic methods in TCM are based on the differentiation of
TCM pattern. TCM pattern can be considered as the TCM theoretical interpretation
of the symptom profiles. Pattern classification is often used as a guideline in
disease classification in TCM practice and has been recently incorporated with
biomedical diagnosis, resulting in the increasing research interest of TCM
pattern among various disciplines of integrative medicine. This paper describes
the historical evolution on the integration of the TCM pattern classification and
disease diagnosis in biomedicine, the methodology of pattern classification for
diseases, efficacy of TCM practice with integration of TCM pattern classification
and biomedical disease diagnosis, and the biological basis of TCM pattern. TCM
pattern classification, which may lead to new findings in biological sciences,
was also discussed.
PMID- 21896325
TI - Age-related changes in fatty acid profile and locomotor activity rhythms in
Nothobranchius korthausae.
AB - The life cycle of Nothobranchius korthausae, a Cyprinodontiformes fish, was
studied in our laboratory to characterise the ageing process. Some morphological
changes, such as spine curvature, skin colour, and fin and eye appearance are
described. Growth and survival curves reflected a fast life cycle with rapid
initial growth until 4weeks of age, after which the fish grew more slowly before
reaching their final size in week 40. Senescence onset was established at week 48
with a decrease in spawn size and viability and a general decline in the animal's
appearance (weight and colouration losses, caudal fin degradation, and
cataractogenesis). The fatty acid composition changed with age, with high
unsaturation in the adult stage as reflected by a high peroxidation index, a
condition that is associated with high susceptibility to oxidative damage if
elevated reactive oxygen species (ROS) production occurs. Senescent fish had an
increase in monounsaturated fatty acid proportions and a lower peroxidation index
(226.5+/-19.7 in adults versus 120.2+/-19.1 in senescent fish, P<0.05). The
circadian system, as reflected by locomotor activity rhythms, showed noticeable
changes with age. Twenty-four-week-old fish (adults) had a robust diurnal rhythm
that showed a decrease in total activity, an increase in rhythm fragmentation,
and a fall in amplitude and regularity with age. Changes were clearly reflected
in the Circadian Function Index variations (0.56, 0.47 and 0.25 at 24, 48 and
72weeks of age, respectively). In conclusion, N. korthausae appears to be a
species with appropriate characteristics for ageing studies because it manifests
clear signs of progressive ageing. Comparing species of Nothobranchius genus with
different lifespans may be useful for increasing our understanding of the ageing
process.
PMID- 21896327
TI - The role of reactive oxygen species in WP 631-induced death of human ovarian
cancer cells: a comparison with the effect of doxorubicin.
AB - In the present study, we investigated the anticancer activity of WP 631, a new
anthracycline analog, in weakly doxorubicin-resistant SKOV-3 ovarian cancer
cells. We studied the time-course of apoptotic and necrotic events: the
production of reactive oxygen species (ROS) and changes in the mitochondrial
membrane potential in human ovarian cancer cells exposed to WP 631 in the
presence and absence of an antioxidant, N-acetylcysteine (NAC). The effect of WP
631 was compared with the activity of doxorubicin (DOX), the best known first
generation anthracycline. Cytotoxic activity was determined by the MTT assay. The
morphological changes characteristic of apoptosis and necrosis in drug-treated
cells were analyzed by double staining with Hoechst 33258 and propidium iodide
(PI) using fluorescence microscopy. The production of reactive oxygen species and
changes in mitochondrial membrane potential were studied using specific
fluorescence probes: DCFH2-DA and JC-1, respectively. The experiments showed that
WP 631 was three times more cytotoxic than DOX in the tested cell line. It was
found that the new anthracycline analog induced mainly apoptosis and, marginally,
necrosis. Apoptotic cell death was associated with morphological changes and a
decrease in mitochondrial membrane potential. In comparison to DOX, the novel
bisanthracycline induced a significantly higher level of ROS and a greater drop
in the membrane potential. The results provide direct evidence that the novel
anthracycline WP 631 is considerably more cytotoxic to human SKOV-3 ovarian
cancer cells than doxorubicin. The drug can produce ROS, which are immediately
involved in the induction of apoptotic cell death.
PMID- 21896328
TI - MRI evaluation of the antitumor activity of paramagnetic liposomes loaded with
prednisolone phosphate.
AB - The design of long circulating liposomes co-loaded with the glucocorticoid
prednisolone phosphate (PLP) and the amphiphilic paramagnetic contrast agent Gd
DOTAMA(C(18))(2) allowed the MRI-guided in vivo visualization of the delivery and
biodistribution of PLP, as well as the monitoring of drug efficacy. The
performance of this theranostic probe was investigated in a mouse model bearing a
melanoma B16 syngeneic tumor. The release kinetics of the drug were evaluated in
vitro where it displayed a peculiar behavior characterized by a fast process
(completed in few hours) involving only a small portion (<5%) of the drug.
Interestingly, the incorporation of the amphiphilic imaging reporter in the
liposomal bilayer slightly increased the amount of the fast-release portion
(<10%), thus suggesting that it could be attributed to a drug fraction embedded
in the liposomal bilayer. In fact, the release of a hydrophilic imaging probe
encapsulated in the inner core of the same long circulating liposomes formulated
for carrying the drug, displayed different, single-step, kinetics. The in vivo
monitoring of the antitumor activity of the nanomedicine revealed that the
incorporation of the MRI probe into the liposome bilayer did not significantly
affect the drug efficacy. The in vivo experiments also indicated a relevant and
fast liposome uptake from macrophage-rich organs like spleen and liver, which
reduced the tumor accumulation of the liposomes. The accumulation of the
amphipatic MRI label caused the occurrence of a long-term residual T(1) contrast
still detectable 1week after injection.
PMID- 21896326
TI - Absence of phagocyte NADPH oxidase 2 leads to severe inflammatory response in
lungs of mice infected with Coccidioides.
AB - Production of reactive oxygen species (ROS) resulting from phagocytic NADPH
oxidase (NOX2) activity has been reported to contribute to host defense against
numerous microbial pathogens. In this study we explored the role of NOX2
production in experimental coccidioidomycosis, a human respiratory disease caused
by a soil-borne fungal pathogen. Activated and non-activated macrophages isolated
from either NOX2(-/-) knock-out or wild type (WT) mice showed comparable ROS
production and killing efficiency in vitro when infected with parasitic cells of
Coccidioides. Both mouse strains also revealed similar fungal burden in their
lungs and spleen at 7 and 11 days after intranasal challenge with Coccidioides
spores, although the NOX2(-/-) mice died earlier than the WT strain. Immunization
of the NOX2(-/-) and WT mice with a live, attenuated vaccine strain of
Coccidioides also resulted in comparable reduction of the fungal burden in both
lungs and spleen. These combined results initially suggested that NOX2 activity
and ROS production are not essential for protection against Coccidioides
infection. However, the reduced survival of non-vaccinated NOX2(-/-) mice
correlated with high, sustained numbers of lung-infiltrated neutrophils on days 7
and 11 postchallenge, an expansion of the regulatory T cell population in
infected lungs in the knock-out mice, and elevated concentrations of pro
inflammatory cytokines and chemokines in lung homogenates compared to infected WT
mice. Although NOX2-derived ROS appeared to be dispensable for both innate and
acquired immunity to pulmonary Coccidioides infection, evidence is presented that
NOX2 production plays a role in limiting pathogenic inflammation in this murine
model of coccidioidomycosis.
PMID- 21896329
TI - Inhibitory effect of siRNA complexes with polyamidoamine dendrimer/alpha
cyclodextrin conjugate (generation 3, G3) on endogenous gene expression.
AB - In the present study, we prepared the small interfering RNA (siRNA) complexes
with polyamidoamine (PAMAM) dendrimer (G3) conjugate with alpha-cyclodextrin
(alpha-CDE (G3)), and examined the physicochemical properties, serum resistance,
in vitro RNAi effects on endogenous gene expression, cytotoxicity, interferon
response, hemolytic activity, cellular association and intracellular
distribution. In addition, these results were compared to the siRNA complexes
with the commercial transfection reagents such as linear polyethyleneimine (PEI),
LipofectamineTM2000 (L2) and RNAiFectTM (RF). alpha-CDE (G3) interacted with
siRNA, and suppressed siRNA degradation by serum. The siRNA complex with alpha
CDE (G3) showed the potent RNAi effects against Lamin A/C and Fas expression with
negligible cytotoxicity and hemolytic activity, compared to those of the
transfection reagents in Colon-26-luc cells and NIH3T3-luc cells. Cell-death
patterns induced by siRNA polyplexes with alpha-CDE (G3) and PEI were different
from siRNA lipoplexes with L2 and RF. alpha-CDE (G3) delivered fluorescent
labeled siRNA to cytoplasm, not nucleus, after transfection in NIH3T3-luc cells.
Taken together, alpha-CDE (G3) could be potentially used as a siRNA carrier to
provide the RNAi effect on endogenous gene expression with negligible
cytotoxicity.
PMID- 21896330
TI - Fabrication of quercetin nanocrystals: comparison of different methods.
AB - The main aim of this study was to prepare quercetin nanocrystals using three
fabrication methods, viz. high-pressure homogenization, bead milling, and cavi
precipitation. The three fabrication methods were compared in terms of particle
size, saturation solubility, and dissolution of the products obtained. The
average particle size of the coarse quercetin was 50.1 MUm. The three methods
produced quercetin particles in the nanometre range (276-787 nm) and the smallest
nanocrystals of around 276.7 nm were fabricated by bead milling. The particle
size, polydispersity index, zeta potential, and saturation solubility values for
the products fabricated by both high-pressure homogenization and bead mill were
similar and thus both represented an efficient means to fabricate quercetin
nanosuspensions. According to X-ray diffraction analysis, all nanocrystals were
still in the crystalline state after being fabricated by the three methods. The
cavi-precipitated product exhibited larger particle size and did not show an
optimum stability as suggested by the zeta potential values. However, cavi
precipitated quercetin nanosuspension showed the higher saturation solubility due
to the presence of ethanol. The bead milled products with the lowest particle
size exhibited a saturation solubility of 25.59 +/- 1.11 MUg/ml, approximately
nine times higher than coarse quercetin. Overall, the dissolution rates of the
quercetin nanosuspensions fabricated by these three methods enhanced compared to
the coarse quercetin.
PMID- 21896331
TI - Formation of diethylstilbestrol-DNA adducts in human breast epithelial cells and
inhibition by resveratrol.
AB - Extensive evidence exists that the reaction of estrogen metabolites with DNA
produces depurinating adducts that, in turn, induce mutations and cellular
transformation. While it is clear that these estrogen metabolites result in a
neoplastic phenotype in vitro, further evidence supporting the link between
estrogen-DNA adduct formation and its role in neoplasia induction in vivo would
strengthen the evidence for a genotoxic mechanism. Diethylstilbestrol (DES), an
estrogen analogue known to increase the risk of breast cancer in women exposed in
utero, is hypothesized to induce neoplasia through a similar genotoxic mechanism.
Cultured MCF-10F human breast epithelial cells were treated with DES at varying
concentrations and for various times to determine whether the addition of DES to
MCF-10F cells resulted in the formation of depurinating adducts. This is the
first demonstration of the formation of DES-DNA adducts in human breast cells. A
dose-dependent increase in DES-DNA adducts was observed. Demonstrating that
treatment of MCF-10F cells with DES, a known human carcinogen, yields
depurinating adducts provides further support for the involvement of these
adducts in the induction of breast neoplasia. Previous studies have demonstrated
the ability of antioxidants such as resveratrol to prevent the formation of
estrogen-DNA adducts, thus preventing a key carcinogenic event. In this study,
when MCF-10F cells were treated with a combination of resveratrol and DES, a dose
dependent reduction in the level of DES-DNA adducts was also observed.
PMID- 21896332
TI - RGS4 exerts inhibitory activities on the signaling of dopamine D2 receptor and D3
receptor through the N-terminal region.
AB - Dopamine D(2) receptor and D(3) receptor (D(2)R and D(3)R) are the major targets
for current antipsychotic drugs, and their proper regulation has pathological and
pharmacological significance. This study was conducted to understand the
functional roles and molecular mechanisms of RGS proteins (RGS2, RGS4, and RGS9
2) on the signaling of D(2)R and D(3)R. RGS proteins were co-expressed with D(2)R
and D(3)R in HEK-293 cells. The protein interactions between RGS proteins and
D(2)R/D(3)R, and effects of RGS proteins on the internalization, signaling, and
desensitization of D(2)R/D(3)R were determined. In addition, the RGS4 proteins
were subdivided into N-terminal region, RGS domain, and the C-terminal region,
and the specific subdomain of RGS4 protein involved in the regulation of the
signaling of D(2)R/D(3)R was determined. All of RGS proteins we tested interacted
with D(2)R/D(3)R. RGS4 exerted potent inhibitory activities on the signaling of
D(2)R/D(3)R. RGS9-2 exerted selective but moderate inhibitory activity on D(3)R
and the internalization of D(2)R. RGS2 had no effect. The N-terminal domain of
RGS4 was involved in its interaction with D(2)R and D(3)R and was required for
the inhibitory activity of the RGS domain. The study for the first time showed
that RGS4 is the major RGS protein which interacts through the N-terminal region
and exerts potent inhibitory activities on the signaling of D(2)R and D(3)R.
PMID- 21896333
TI - Intracellular localisation and innate immune responses following Francisella
noatunensis infection of Atlantic cod (Gadus morhua) macrophages.
AB - The facultative intracellular bacterium Francisella noatunensis causes
francisellosis in Atlantic cod (Gadus morhua), but little is known about its
survival strategies or how these bacteria evade the host immune response. In this
study we show intracellular localisation of F. noatunensis in cod macrophages
using indirect immunofluorescence techniques and green fluorescent labelled
bacteria. Transmission electron microscopy revealed that F. noatunensis was
enclosed by a phagosomal membrane during the initial phase of infection. Bacteria
were at a later stage of the infection found in large electron-lucent zones,
apparently surrounded by a partially intact or disintegrated membrane. Immune
electron microscopy demonstrated the release of bacterial derived vesicles from
intracellular F. noatunensis, an event suspected of promoting phagosomal membrane
degradation and allowing escape of the bacteria to cytoplasm. Studies of
macrophages infected with F. noatunensis demonstrated a weak activation of the
inflammatory response genes as measured by increased expression of the
Interleukin (IL)-1beta and IL-8. In comparison, a stronger induction of gene
expression was found for the anti-inflammatory IL-10 indicating that the
bacterium exhibits a role in down-regulating the inflammatory response.
Expression of the p40 subunit of IL-12/IL-17 genes was highly induced during
infection suggesting that F. noatunensis promotes T cell polarisation. The host
macrophage responses studied here showed low ability to distinguish between live
and inactivated bacteria, although other types of responses could be of
importance for such discriminations. The immunoreactivity of F. noatunensis
lipopolysaccharide (LPS) was very modest, in contrast to the strong capacity of
Escherichia coli LPS to induce inflammatory responsive genes. These results
suggest that F. noatunensis virulence mechanisms cover many strategies for
intracellular survival in cod macrophages.
PMID- 21896334
TI - Female children with autism spectrum disorder: an insight from mass-univariate
and pattern classification analyses.
AB - Several studies on structural MRI in children with autism spectrum disorders
(ASD) have mainly focused on samples prevailingly consisting of males. Sex
differences in brain structure are observable since infancy and therefore caution
is required in transferring to females the results obtained for males. The
neuroanatomical phenotype of female children with ASD (ASDf) represents indeed a
neglected area of research. In this study, we investigated for the first time the
anatomic brain structures of a sample entirely composed of ASDf (n=38; 2-7 years
of age; mean=53 months; SD=18) with respect to 38 female age and non verbal IQ
matched controls, using both mass-univariate and pattern classification
approaches. The whole brain volumes of each group were compared using voxel-based
morphometry (VBM) with diffeomorphic anatomical registration through
exponentiated lie algebra (DARTEL) procedure, allowing us to build a study
specific template. Significantly more gray matter (GM) was found in the left
superior frontal gyrus (SFG) in ASDf subjects compared to controls. The GM
segments obtained in the VBM-DARTEL preprocessing are also classified with a
support vector machine (SVM), using the leave-pair-out cross-validation protocol.
Then, the recursive feature elimination (SVM-RFE) approach allows for the
identification of the most discriminating voxels in the GM segments and these
prove extremely consistent with the SFG region identified by the VBM analysis.
Furthermore, the SVM-RFE map obtained with the most discriminating set of voxels
corresponding to the maximum Area Under the Receiver Operating Characteristic
Curve (AUC(max)=0.80) highlighted a more complex circuitry of increased cortical
volume in ASDf, involving bilaterally the SFG and the right temporo-parietal
junction (TPJ). The SFG and TPJ abnormalities may be relevant to the
pathophysiology of ASDf, since these structures participate in some core atypical
features of autism.
PMID- 21896335
TI - Uncovering cryptic species diversity of a termite community in a West African
savanna.
AB - To uncover the termite species diversity of a natural African savanna ecosystem,
we combined morphological analyses and sequencing of three gene fragments
(cytochrome oxidase I, cytochrome oxidase II and 28SrDNA, total length about 2450
bp) to infer putative species from phylogenetic trees. We identified 18 putative
species clusters with high support values and which we retrieved consistently.
Samples from two genera (Ancistrotermes and Microcerotermes) were excluded from
the mitochondrial phylogenetic analyses as they might represent nuclear
mitochondrial sequences (NUMTs). In total, our data suggest a species richness of
at least 20 species, all but one belonging to the Termitidae (higher termites),
and among them the fungus-growing Macrotermitinae were most prevalent with at
least nine putative species. Within the fungus-growers the most species-rich
genus was Microtermes and its four putative species were all cryptic species.
Their abundance in the samples suggests that they play an important ecological
role which is completely unstudied also due to the lack of reliable
identification means. Our study shows that morphological traits are unreliable
means of species identification for several termite taxa. Yet reliable and
consistent identification is necessary for studying the functional role of
termites in ecosystem and global processes.
PMID- 21896336
TI - Vibrio cholerae O1 lineages driving cholera outbreaks during seventh cholera
pandemic in Ghana.
AB - In recent years, the frequency of cholera epidemics across Africa has increased
significantly with thousands of people dying each year. However, there still
exists a lack of information concerning the Vibrio cholerae O1 lineages driving
early and contemporary epidemics since the seventh cholera pandemic started in
the continent. This compromises the understanding of the forces determining the
epidemiology of cholera in Africa and its control. This study aimed to analyze a
collection of V. cholerae O1 strains from the beginning of the seventh cholera
pandemic in Ghana and to compare them with recent isolates to understand the
evolution of the cholera epidemic in Ghana. V. cholerae O1 strains were
characterized by means of Multilocus Sequence Analysis (MLSA), genes from the
virulence core genome (VCG), and genes related to the choleragenic phenotype. Our
results revealed two major clusters of Ghanaian V. cholerae O1 strains, El Tor
and Amazonia/Ghana. Concerning the virulence genes, all strains harbored the set
of VCG and most were positive for VSP-II genomic island. The ctxB gene of the
contemporary strains was characterized as Altered El Tor. The strains from 1970
to 1980 were susceptible to all antibiotics tested, except for the Amazonia/Ghana
cluster that was resistant to aminoglycosides and carried the class 2 integron
with the sat2-aadA1 arrangement. This study showed that distinct V. cholerae O1
were the determinants of cholera outbreaks in Ghana. Thus, in endemic regions,
such as Africa, cholera can be caused by various V. cholerae O1 genotypes.
PMID- 21896337
TI - Mycobacterium tuberculosis complex detection in human remains: tuberculosis
spread since the 17th century in Rio de Janeiro, Brazil.
AB - Paleogenetic analysis for tuberculosis (TB) was conducted on bone and sediment
samples dating from the 17th to 19th centuries from the archeological site of
Nossa Senhora do Carmo Church in Rio de Janeiro, Brazil. Forty samples were
analyzed, corresponding to 32 individuals from 28 burials, 22 of primary type and
6 of secondary type. The samples were collected following strict paleogenetic
investigation guidelines and submitted to ancient DNA (aDNA) extraction. In order
to detect TB infection, aDNA hybridizations with the molecular targets of
Mycobacterium tuberculosis complex (MTC) IS6110 and IS1081 were applied.
Additionally, the ancestry of individuals was assessed by human mitochondrial DNA
(mtDNA) analysis of hypervariable segment I (HVS-I) sequence polymorphisms. The
results of aDNA hybridizations demonstrated varying levels of MTC intensity in
17/32 individuals (53.1%), using the IS6110 target. The IS1081 MTC target showed
lower sensitivity, confirming TB positivity in 10/32 (31.2%) individuals. The
mtDNA analysis allowed the recovery of HVS-I sequences in 23/32 individuals
(71.8%). The majority of these individuals (21/23, 91.3%) were of European
ancestry, especially in primary burials. Haplogroups U, J, V, T, K, N, H and R,
were identified with haplogroup U being the most frequent at 6/23 (26.1%).
African and Amerindian mtDNA haplogroups were observed in two individuals in
secondary burials. In spite of the ecclesiastic and aristocratic bias of the
population of the study, human ancestry analysis revealed the prominent
contribution of Europeans in the introduction or spread of TB in the New World.
PMID- 21896338
TI - Multiple amino acid substitutions involved in enhanced pathogenicity of LPAI H9N2
in mice.
AB - Human infection of avian influenza H9N2 virus highlighted the need to better
understand the mechanism of interspecies transmission. In this study, we
generated mouse-adapted influenza virus (ma01) through serial lung-to-lung
passages of a wild-type H9N2 (A/chicken/Hubei/01/1999). Ma01 caused highly lethal
infection in mice with severe lung pathology and extended tissue tropism. Nine
amino acid substitutions of ma01 were observed in five viral genes (those for
PB2, PA, NA, M1, and NS1). Of these mutations, substitutes of PB2(627), PA(349),
PA(605), NA(88), and NA(356) were absent in influenza H9N2. Furthermore, the
targets of wild-type virus responding to mouse microRNA mmu-mir-1940 and mmu-mir
1904 were eliminated in ma01. The mutation PB2(627) of ma01 confirmed as a key
virulence determinant of influenza H5N1 was responsible for the altered
recognition of mmu-mir-1904. In addition, induction of IL-1beta, IL-6, TNF-alpha,
and IFN-beta was found in significantly higher levels in ma01 infected mouse
peripheral blood than parental strain. These results demonstrate that multiple
amino acid substitutions and avoidance of microRNA recognitions may be essential
for lethal infection and high speed of virus growth can outcompete the antiviral
response of infected host.
PMID- 21896339
TI - Prevention of FGF-2-induced angiogenesis by scopoletin, a coumarin compound
isolated from Erycibe obtusifolia Benth, and its mechanism of action.
AB - Previous work in our laboratory has shown that scopoletin, one of the main
bioactive constituents of Erycibe obtusifolia Benth stems, exerts anti-arthritic
activity in vivo partly by preventing synovial angiogenesis. The present study
was performed to further investigate the anti-angiogenic potential of scopoletin,
focusing on the mechanisms of action in vitro. In the aortic ring sprouting
assay, scopoletin (10, 30 and 100 MUM) significantly inhibited the growth of
endothelial sprouts in a concentration-dependent manner. As to human umbilical
vein endothelial cells (HUVECs), scopoletin could inhibit their proliferation,
migration and tubule formation induced by FGF-2, especially the proliferation. It
also remarkably decreased the expression of VEGF at mRNA and protein levels, and
the phosphorylations of IKKalpha and IkappaB but not Akt, as well as the
degradation of IkappaB caused by FGF-2 in HUVECs. These findings suggest that
scopoletin is substantially able to attenuate FGF-2-induced angiogenesis, and it
might act by directly preventing the stimulation action of FGF-2 and by
indirectly decreasing the production of VEGF. Scopoletin down-regulated the VEGF
expression through NF-kappaB rather than PI-3K/Akt signaling pathway.
PMID- 21896341
TI - Cholera vaccines: not live.
PMID- 21896342
TI - Vaccination in paediatric patients with auto-immune rheumatic diseases: a
systemic literature review for the European League against Rheumatism evidence
based recommendations.
AB - OBJECTIVES: To analyze available evidence on vaccinations in paediatric patients
with rheumatic and autoinflammatory diseases. This evidence formed the basis of
the recently constructed European League against Rheumatism (EULAR)
recommendations for vaccination of these patients. METHODS: A systematic
literature review in the MEDLINE and EMBASE databases was conducted using various
terms for vaccinations, paediatric rheumatic and autoinflammatory diseases and
immunosuppressive drugs. Only papers on paediatric patients (<18 years of age)
were selected. A panel of 13 experts in the field graded methodological quality
and extracted data using predefined criteria. RESULTS: 27 papers were available.
No studies were found on autoinflammatory diseases. 14 studies considered live
attenuated vaccines. Evidence so far supports the safety and immunogenicity of
non-live composite vaccines, although studies were underpowered to accurately
assess safety. Live-attenuated vaccines did not cause disease flares or severe
adverse events, not even in patients on methotrexate and low dose
glucocorticosteroids. Seven patients on anti-TNFalpha therapy were described
receiving the live-attenuated measles, mumps, rubella (n=5) or varicella (n=2)
booster without severe adverse events. CONCLUSIONS: Data on safety and efficacy
of vaccinations in paediatric patients with rheumatic diseases is reassuring, but
too limited to draw definite conclusions. More research is needed on the safety
and efficacy of especially live-attenuated vaccines in patients with rheumatic
and autoinflammatory diseases using high dose immunosuppressive drugs.
PMID- 21896343
TI - On the trail to therapeutic antibodies.
PMID- 21896344
TI - HIF-1alpha induction suppresses excessive lipid accumulation in alcoholic fatty
liver in mice.
AB - BACKGROUND & AIMS: Chronic alcohol intake stimulates hepatic oxygen consumption
and subsequently causes liver hypoxia, leading to activation of hypoxia inducible
factor-1 (HIF-1). Although HIF-1 plays a crucial role in the metabolic switch
from aerobic to anaerobic metabolism in response to hypoxia, its roles in the
regulation of lipid metabolism in alcoholic fatty liver remain unknown. METHODS:
Wild-type and hepatocyte-specific HIF-1alpha-null mice were subjected to a 6%
ethanol-containing liquid diet for 4 weeks, and functional effects of loss of the
HIF-1alpha gene on lipid metabolism were examined in the liver. RESULTS:
Hepatocyte-specific HIF-1alpha-null mice developed severe hypertriglyceridemia
with enhanced accumulation of lipids in the liver of mice exposed to a 6% ethanol
containing liquid diet for 4 weeks. Sterol regulatory element-binding protein 1c
(SREBP-1c) and its downstream target acetyl-CoA carboxylase were greatly
activated as the hepatic steatosis progressed, and these alterations were
inversely correlated with the expression of the HIF-1-regulated gene DEC1.
Overexpression of DEC1 in the mutant liver abrogated the detrimental effects of
loss of HIF-1alpha gene on ethanol-induced fatty liver with reduced SREBP-1c
expression. Conversely, co-administration of the HIF hydroxylase inhibitor
dimethyloxalylglycine for the last 2 weeks improved markedly the ethanol-induced
fatty liver in mice. CONCLUSIONS: The current results provide direct evidence for
protective roles of HIF-1 induction in the development of ethanol-induced fatty
liver via activation of the HIF-1-regulated transcriptional repressor DEC1.
PMID- 21896345
TI - Reduced-intensity allogeneic stem cell transplantation in children and young
adults with ultrahigh-risk pediatric sarcomas.
AB - Some subsets of pediatric sarcoma patients have very poor survival rates. We
sought to determine the feasibility and efficacy of allogeneic hematopoietic stem
cell transplantation (alloHSCT) in pediatric sarcoma populations with <25%
predicted overall survival (OS). Patients with ultrahigh-risk Ewing's sarcoma
family of tumors (ESFT), alveolar rhabdomyosarcoma, or desmoplastic small round
cell tumors received EPOCH-fludarabine induction, a
cyclophosphamide/fludarabine/melphalan preparative regimen, and HLA matched
related peripheral blood stem cells. Thirty patients enrolled; 7 did not undergo
alloHSCT because of progressive disease with diminishing performance status
during induction. All 23 alloHSCT recipients experienced rapid full-donor
engraftment, with no peritransplantation mortality. Five of 23 alloHSCT
recipients (22%) remain alive (OS of 30% by Kaplan-Meier analysis at 3 years),
including 3 of 7 (42%) transplanted without overt disease (median survival 14.5
versus 29.0 months from alloHSCT for patients transplanted with versus without
overt disease, respectively). Among the 28 patients who progressed on the study,
the median survival from date of progression was 1.9 months for the 7 who did not
receive a transplant compared with 11.4 months for the 21 transplanted (P =
.0003). We found prolonged survival after posttransplantation progression with
several patients exhibiting indolent tumor growth. We also saw several patients
with enhanced antitumor effects from posttransplantation chemotherapy (objective
response to pretransplantation EPOCH-F was 24% versus 67% to posttransplantation
EOCH); however, this was associated with increased toxicity. This largest
reported series of alloHSCT in sarcomas demonstrates that alloHSCT is safe in
this population, and that patients undergoing alloHSCT without overt disease show
higher survival rates than reported using standard therapies. Enhanced chemo- and
radiosensitivity of tumors and normal tissues was observed posttransplantation.
PMID- 21896347
TI - Childhood pneumococcal disease in Latin America: preventable but still deadly.
PMID- 21896346
TI - Polymorphisms in genes that regulate cyclosporine metabolism affect cyclosporine
blood levels and clinical outcomes in patients who receive allogeneic
hematopoietic stem cell transplantation.
AB - In patients who received allogeneic hematopoietic stem cell transplantation
(HSCT), we investigated the correlations between single nucleotide polymorphisms
(SNPs) in genes that regulate cyclosporine metabolism and clinical outcomes. All
patients received sibling-matched HSCT. DNA samples of patients and donors were
analyzed for 4 SNPs: MDR1 +1236C>T (rs1128503), +2677G>T>A (rs2032582), +3435C>T
(rs1045642), and CYP3A5 +6986G>A (rs776746). A total of 156 patients (median age
40 years) were analyzed. Nineteen patients received HSCT for nonmalignant
disease. The CYP3A5 +6986AA genotype was associated with a high cyclosporine
blood level after transplantation. However, this genotype was not related to any
particular clinical outcome. In contrast, the MDR1 +1236C>T SNP was correlated
with specific clinical outcomes. When neither the donor nor the recipient had the
CC genotype of MDR1 +1236, patients had lower creatinine levels (P < .001) and
less transplantation-related mortality (TRM) (P = .012). These patients also
showed longer overall survival (OS) in both univariate (P = .003) and
multivariate (P = .003) analyses. Although the CYP3A5 +6986AA genotype was
correlated with a high blood cyclosporine concentration, lack of the MDR1 +1236CC
genotype in both the donor and recipient was correlated with less TRM and a
longer OS in patients who received allogeneic HSCT.
PMID- 21896348
TI - Prevention of pneumococcal disease through vaccination.
AB - The Millennium Development Goals (MDGs), adopted by world leaders in the year
2000 with an aim to accomplish them by 2015, provide concrete benchmarks for
tackling extreme poverty in its many dimensions. One aim is to reduce by two
thirds the mortality rate among children <5 years of age. The deaths of nearly 3
million children under 5 each year worldwide can be attributed to diarrhea and
pneumonia. Pneumonia, one form of pneumococcal disease, causes almost 1 in 5
deaths of children under 5 worldwide-more than 1.6 million children each year.
Pneumococcal disease is preventable by vaccination; because antibiotic resistance
is a growing problem worldwide, there is a great need to promote effective
pneumococcal vaccines. Vaccines differ from other types of drugs, because they
are administered to healthy individuals. Therefore, a good safety profile is
required, there is a large governmental regulatory role, and low efficacy is
unacceptable. Other important considerations are as follows: vaccines are often
used in infants, are typically given in multiple doses, the manufacturing is a
larger part of cost, requires high regulatory and quality control burden and
minimization of costs. From a biological standpoint, the induction of vaccine
mediated protection is a complex procedure. Long-term protection typically
requires the persistence of anti-microbial antibodies and/or the generation of
immune memory cells capable of rapid and effective reactivation after microbial
re-exposure. Appreciation of the predominant role of B cells in the efficacy of
current vaccines should not minimize the importance of generating a T cell
response, as this is essential for the induction of high affinity antibodies and
immune memory. Pneumococcal capsular polysaccharides typically elicit B cell
responses in a T-independent manner. Because of this, capsular polysaccharides
are poorly immunogenic in children below 2 years of age and will generate an IgM
isotype-based primary response with only short-lived protection. The conjugation
of capsular polysaccharides to a protein carrier provides an antigenic complex in
a form that can be presented to the immune system and thus recruit antigen
specific CD4+ cells (T-dependent antibody). Pneumococcal conjugate vaccines
(PCVs), comprising pneumococcal polysaccharides conjugated to a protein carrier,
not only induce antibodies but also prime the immune system for protective memory
response. These vaccines provide protection in children below 2 years of age,
generate long-term protection (highly specific IgG antibodies), generate herd
immunity (indirect protection of nonimmunized individuals) and have demonstrated
effectiveness in regions that have incorporated them into the national
immunization schedules. Global implementation of PCVs has contributed to
substantial progress toward reducing childhood mortality, but increased vaccine
uptake in developing regions such as Latin America and the Caribbean is necessary
to continue toward accomplishing the goals outline in the MDGs.
PMID- 21896349
TI - Pneumococcal disease manifestation in children before and after vaccination:
what's new?
AB - Pneumococcal infections remain a relevant cause of morbidity and mortality in
children, especially in countries where vaccination has not been introduced. In
contrast to the common belief by many pediatricians, the most important
pneumococcal infections are of the respiratory tract and not invasive diseases.
The recent pandemic of the H1N1 virus prompted studies to better understand the
interaction between the influenza virus, Streptococcus pneumoniae, and pneumonia
outcomes. Radiological findings of bacteremic pneumonia have been well
investigated and besides the typical alveolar consolidation, a broad spectrum of
atypical patterns has been reported. Molecular techniques, such as real-time
polymerase chain reaction (PCR), can improve the detection of S. pneumoniae in
sterile fluids, mainly in regions where previous antibiotic therapy is a common
practice. In the post vaccination era, new manifestations of pneumococcal
invasive disease, such as hemolytic uremic syndrome, have increased in
association with parapneumonic empyema. Moreover, serotypes not included in PCV7,
particularly serotypes 1, 3, 5, 7F, and 19A, have been among the most common
isolates in pneumococcal disease. In Latin America, pneumococcal primary
peritonitis has been described as an important clinical syndrome in a growing
proportion of patients, mainly in girls. The development of newer and more
specific diagnostic markers to distinguish bacterial and viral pneumonia are
urgently sought, and will be especially pertinent after the introduction of
pneumococcal conjugate vaccines with expanded serotypes. Such markers would
minimize inappropriate diagnosis of false positive cases and treatment with
antibacterial agents, while increasing positive predictive values for diagnosis
of bacterial pneumonia. The extension of serotype coverage with the new conjugate
vaccines is promising for pneumococcal infections and coverage against antibiotic
resistant strains.
PMID- 21896350
TI - Prevenar experience.
AB - Streptococcus pneumoniae is one of the leading bacterial pathogens causing
invasive disease and non-invasive infections at both extremes of life: in
children younger than 5 years and in elderly persons of 65 years or more.
Pneumococcal infections result in substantial morbidity and mortality among
children under 5 years of age; it is estimated that 1,600,000 deaths occur per
year in that age range alone, mostly in developing countries, thus representing a
serious public health problem around the globe. Infections caused by S.
pneumoniae are considered by the World Health Organization (WHO) as the number
one vaccine-preventable cause of death in children younger than 5 years of age.
In 2000, the first heptavalent conjugated pneumococcal vaccine (PCV7) was
licensed in the United States, differing from the already available non
conjugated polysaccharide pneumococcal vaccine in its ability to induce a
protective immune response in children under 2 years of age. Initial efficacy
studies in the United States with PCV7 revealed a 97.4% efficacy against invasive
pneumococcal disease (IPD) caused by vaccine serotypes (4, 9V, 14, 19F, 23F, 18C
and 6B). PCV7 was introduced into the National Immunization Program (NIP) of
various countries starting in year 2000 and, after 11 years of use, the data
confirm that PCV7 introduction resulted in a major reduction of S. pneumoniae
IPD, non-bacteremic pneumonia, otitis media medical visits, the need for tympanic
tubes, the number of cases of otorrhea and of various antimicrobial resistant
strains in children <5 years of age. Additionally, reductions in S. pneumoniae
infections have been observed in unvaccinated children above 5 years of age and
adults including individuals older than 65 years of age (herd effect).
Effectiveness has been observed in countries using a 4-dose regimen (3 infant
doses followed by a booster during the second year of life) but also in countries
with modified reduced doses (2 infant doses and a booster during the second year
of life or after 3 infant doses with no booster).
PMID- 21896351
TI - The pharmacoeconomics of pneumococcal conjugate vaccines in Latin America.
AB - Streptococcus pneumoniae continues to be the most important causative agent of
invasive bacterial infections in children and is the most common cause of vaccine
preventable deaths in children less than 5 years of age. Due to some conditions
in the Latin America region, economic assessments of pneumococcal conjugate
vaccines (PCVs) have unique characteristics. First, distribution of S. pneumoniae
serotypes, and thus coverage by vaccines that incorporate certain serotypes,
varies within the region and compared with other parts of the world. Second, the
mortality rate of pneumococcal infections in developing countries is
significantly higher than in the US and Europe. Third, the economies of the Latin
American region are very different from those of developed countries. For these
reasons, the Pan American Health Organization (PAHO) is promoting the need for
economic valuation studies of the impact of pneumococcal vaccines Latin America.
Given the importance of pneumonia in the burden of pneumococcal disease in Latin
America, the number of pneumonia cases prevented by the vaccine has a large
impact on the economic valuation of PCVs, due to a strong correlation with
numbers of deaths averted, quality-adjusted life-years (QALYs) gained or
disability-adjusted life-years (DALYs) avoided. In terms of cost, analysis of
impact on acute otitis media (short-term) and sequelae (long-term) show a
significant and important expenditure avoided by vaccination. Cost-effectiveness
is significantly modified by vaccine cost, mortality due to pneumonia, vaccine
efficacy/effectiveness and herd immunity. Finally the validity of certain
assumptions based on the uncertainty of the data should be considered in economic
assessments of new PCVs. These include assumptions related to the impact on
otitis media, estimates of efficacy/effectiveness based on measured antibody
levels and the extrapolation to PCV10 and PCV13 of previous experience with PCV7.
PMID- 21896352
TI - The future of pneumococcal disease prevention.
AB - Pneumococcal disease (PD) is the leading cause of vaccine preventable deaths in
children <5 years of age worldwide, with most of the deaths occurring in the
developing world. Prevention of PD in children has been achieved by vaccination
with pneumococcal conjugate vaccine (PCV), the basis for which is induction of a
protective antibody response against the bacterial polysaccharide capsule.
Conjugation of the polysaccharide capsule to a protein carrier enables the
generation of an immunologic response to the vaccine in young children, leading
to protection against infection. The heptavalent PCV, which contains 7 of the 93
known pneumococcal serotypes (4, 6B, 9V, 14, 18C, 19F, 23F) was the first PCV
available, licensed in the US in 2000 and subsequently in many countries
worldwide, including Latin American and Caribbean countries. Since its
introduction, PCV7 has been documented effective for reducing invasive PD
mortality and burden, as well as that of pneumonia and otitis media.
Additionally, PD caused by the vaccine serotypes has decreased in the unimmunized
population due to herd immunity induced by PCV7. Despite this success,
significant disease burden still exists globally due to serotypes not included in
PCV7. Currently there are 2 new PCVs that have been approved for use in children,
a 10-valent vaccine (includes PCV7 serotypes plus serotypes 1, 5 and 7F) and a 13
valent vaccine (includes PCV7 serotypes plus serotypes 1, 3, 5, 6A, 7F and 19A).
The selection of new serotypes to be included was based on importance of these
serotypes as causes of PD. An additional 15-valent vaccine (includes PCV 7
serotypes plus serotypes 1, 3, 5, 6A, 7F, 19A, 22F and 33F) is undergoing
clinical trial testing. In view of the 93 serotypes that are currently known, it
seems clear that vaccines with greater coverage, likely based on proteins common
to all serotypes, will be needed in the future. Technical and regulatory
challenges to the development and approval of newer PCVs include a need for
licensing criteria of common protein vaccines, establishment of correlates of
protection for disease manifestations other than invasive disease, comparative
efficacy data, and clinical trial testing of concomitant immunization of higher
valency PCVs with other vaccines.
PMID- 21896353
TI - Global health, global health education, and infectious disease: the new
millennium, Part II.
PMID- 21896354
TI - Editorial: Transforming global health, global health education, infectious
disease, and chronic conditions in the 21st century.
AB - Chronic and infectious diseases, including health care-associated infections and
tropical diseases, represent a large portion of the global health burden.
Solutions need to be found while addressing other health priorities identified by
the Millennium Development Goals. A number of organizations and initiatives have
been created to meet this need. Developing countries in Latin America and several
African countries are taking a larger role in the development of robust health
systems, capacity building, and education. Integrated, efficient, and equitable
health systems that incorporate primary, secondary, and tertiary care models with
a research focus are critically needed to fill this void.
PMID- 21896355
TI - The University of California Global Health Institute opportunities and
challenges.
AB - The creation of the University of California Global Health Institute represents a
paradigm shift in structure and function. Its 3 centers of expertise (Migration
and Health, One Health, and Women's Health and Empowerment) not only involve all
10 of the University of California campuses but also bring together a wide range
of disciplines from both the health and nonhealth sciences. They have created
truly interdisciplinary and transdisciplinary programs that are addressing
complex global health challenges of the twenty-first century, training future
global health leaders, and forging international academic partnerships.
PMID- 21896356
TI - Global health: the Fogarty International Center, National Institutes of Health:
vision and mission, programs, and accomplishments.
AB - The Fogarty International Center (FIC) of the US National Institutes of Health
has supported long-term training and research for more than 3600 future leaders
in science and public health from low-income and middle-income countries; tens of
thousands more persons have received short-term training. More than 23 extramural
training and research programs plus an intramural program are now operating.
Newer FIC training programs are addressing chronic, noncommunicable diseases and
strengthening the quality of medical schools and health care provider training.
Most FIC trainees return to their countries of origin, where they mentor and
train thousands of individuals in their home countries.
PMID- 21896357
TI - The Asia Pacific Academic Consortium for Global Public Health and medicine:
stabilizing south-south academic collaboration.
AB - Developmental strategies over the last 4 decades have generally tended to
transfer knowledge and technology along north-south axes as trickle-down theories
in development, especially in health knowledge transfers, prevailed. Limited
efforts in development assistance for health (DAH) were made to promote south
south cooperation for basic health needs. Globalization with increased
educational networks and development health assistance has enhanced the potential
for more effective south-south partnerships for health. The stages of development
in a consortium and key catalysts in the metamorphosis to a south-south
partnership are identified: leadership, resources, expertise, visibility
participation, and dynamism of a critical mass of young professionals.
PMID- 21896358
TI - Global health in the UK government and university sector.
AB - In this article, the authors review recent global health activities in the United
Kingdom by key organisations in several defined areas:- UK government
(international aid and global health strategy); UK research funding agencies
(overseas research units); non-governmental organisations; UK universities and
hospitals and academic/clinical international partnerships;professional
societies; UK undergraduate and postgraduate training opportunities in global
health; and opportunities for international medical graduates.
PMID- 21896359
TI - Competencies for global heath graduate education.
AB - Competency specification and competency-based education (CBE) are increasingly
being viewed as essential for optimizing educational outcomes for the next
generation of global health workers. An overview is provided of this movement in
graduate health professions education in the United States, the Association of
Schools of Public Health (ASPH) contributions to advancing and researching
related CBE processes and best practices, and the evolving ASPH competency model
for graduate global health education.
PMID- 21896360
TI - Globalization and infectious diseases.
AB - This article discusses the nature of the health challenges created by
globalization and proposes new forms of international cooperation to confront
them. The discussion of global health challenges includes both the transfer of
health risks, with an emphasis on infectious diseases, and the international
dissemination of health opportunities, including the transfer of knowledge and
technology. The authors argue that the health-related challenges and
opportunities of an increasingly interdependent world demand new forms of
international cooperation. The authors suggest the promotion of 3 elements that,
in their essence, contain the idea of collaboration: exchange, evidence, and
empathy.
PMID- 21896361
TI - Global health diplomacy and peace.
AB - Diplomacy and health are in a period of rapid transition, so this article
elaborates on the complex multilevel, multiactor negotiation processes that shape
and manage the global policy environment for health. It explores the dynamic
relationship between health and foreign policy and provides examples from the
national, regional, and global levels. Reflecting on the deliberations in
different international bodies, it discusses key questions and opportunities that
could contribute to moving forward both health and peace agendas. The concluding
remarks draw attention to the importance of bridging the capacity gap.
PMID- 21896363
TI - Global health: chronic diseases and other emergent issues in global health.
AB - Infectious diseases have had a decisive and rapid impact on shaping and changing
health policy. Noncommunicable diseases, while not garnering as much interest or
importance over the past 20 years, have been affecting public health around the
world in a steady and critical way, becoming the leading cause of death in
developed and developing countries. This article discusses emergent issues in
global health related to noncommunicable diseases and conditions, with focus on
defining the unique epidemiologic features and relevant programmatic, health
systems, and policy responses concerning noncommunicable chronic diseases, mental
health, accidents and injuries, urbanization, climate change, and disaster
preparedness.
PMID- 21896362
TI - Poverty, global health, and infectious disease: lessons from Haiti and Rwanda.
AB - Poverty and infectious diseases interact in complex ways. Casting destitution as
intractable, or epidemics that afflict the poor as accidental, erroneously
exonerates us from responsibility for caring for those most in need. Adequately
addressing communicable diseases requires a biosocial appreciation of the
structural forces that shape disease patterns. Most health interventions in
resource-poor settings could garner support based on cost/benefit ratios with
appropriately lengthy time horizons to capture the return on health investments
and an adequate accounting of externalities; however, such a calculus masks the
suffering of inaction and risks eroding the most powerful incentive to act:
redressing inequality.
PMID- 21896364
TI - Global health: neglected diseases and access to medicines.
AB - The World Health Organization has developed a comprehensive plan to deal with
neglected tropical diseases (NTDs). Compared with a decade ago, more resources
are being spent to address the problem of neglected diseases, and considerable
progress has been made. However, NTDs remain neglected, deepening the global
inequities in health. The current efforts do not implement a multiprong strategy
and are effective in the short term, but do not generate long-term, sustainable
solutions. This article discusses the current successes in providing access to
medicine for treatment of a multitude of neglected diseases, and the
opportunities to achieve global equality in health.
PMID- 21896365
TI - Global health: injuries and violence.
AB - Injury and violence rank among the leading causes of death worldwide, with more
than 5 million deaths annually, representing a significant portion of the global
burden of disease. This article examines how injury and violence relate to global
health using recent global burden of disease data and selected key studies and
databases, and further explores risk factors and intervention initiatives that
address unintentional and intentional injuries. The article serves as a call to
action to enhance understanding of the growing burden of injury and violence,
especially in low-income and middle-income countries, where more than 90% of
injuries occur.
PMID- 21896366
TI - Basic science research and education: a priority for training and capacity
building in developing countries.
AB - This article provides evidence that basic science research and education should
be key priorities for global health training, capacity building, and practice.
Currently, there are tremendous gaps between strong science education and
research in developed countries (the North) as compared to developing countries
(the South). In addition, science research and education appear as low priorities
in many developing countries. The need to stress basic science research beyond
the typical investment of infectious disease basic service and research
laboratories in developing areas is significant in terms of the benefits, not
only to education, but also for economic strengthening and development of human
resources. There are some indications that appreciation of basic science research
education and training is increasing, but this still needs to be applied more
rigorously and strengthened systematically in developing countries.
PMID- 21896367
TI - Global laboratory systems development: needs and approaches.
AB - Functional laboratory systems are a key component of country health care systems.
Laboratory strengthening in resource-limited countries has been supported by
disease-specific vertical programs that have focused on laboratory methods,
procedures, and supplies for a country program, and providing training in
performing tests. A health systems focus requires attention to regulations,
management of national systems, national approaches to quality assurance, and
education of laboratory scientists. An immediate focus of resources on the
components required for a functioning system and continued technical support will
enable countries to assume responsibility for their country-specific needs and
improve their capability to address international responsibilities.
PMID- 21896368
TI - Drugs and diagnostic innovations to improve global health.
AB - Infectious diseases remain the major cause of morbidity and mortality in the
developing world. Affordable effective drugs and diagnostics are critical for
patient management and disease control but the development of new drugs and
diagnostics is too slow to keep up with the emergence and spread of infectious
diseases around the world. Innovative collaborative research and development
involving disease endemic countries and developed countries are urgently needed
to accelerate progress along the path from discovery to product adoption. These
emerging approaches and the need for increased investment in human and financial
resources to support them are discussed.
PMID- 21896369
TI - The size and burden of mental disorders and other disorders of the brain in
Europe 2010.
AB - AIMS: To provide 12-month prevalence and disability burden estimates of a broad
range of mental and neurological disorders in the European Union (EU) and to
compare these findings to previous estimates. Referring to our previous 2005
review, improved up-to-date data for the enlarged EU on a broader range of
disorders than previously covered are needed for basic, clinical and public
health research and policy decisions and to inform about the estimated number of
persons affected in the EU. METHOD: Stepwise multi-method approach, consisting of
systematic literature reviews, reanalyses of existing data sets, national surveys
and expert consultations. Studies and data from all member states of the European
Union (EU-27) plus Switzerland, Iceland and Norway were included. Supplementary
information about neurological disorders is provided, although methodological
constraints prohibited the derivation of overall prevalence estimates for mental
and neurological disorders. Disease burden was measured by disability adjusted
life years (DALY). RESULTS: Prevalence: It is estimated that each year 38.2% of
the EU population suffers from a mental disorder. Adjusted for age and
comorbidity, this corresponds to 164.8million persons affected. Compared to 2005
(27.4%) this higher estimate is entirely due to the inclusion of 14 new disorders
also covering childhood/adolescence as well as the elderly. The estimated higher
number of persons affected (2011: 165m vs. 2005: 82m) is due to coverage of
childhood and old age populations, new disorders and of new EU membership states.
The most frequent disorders are anxiety disorders (14.0%), insomnia (7.0%), major
depression (6.9%), somatoform (6.3%), alcohol and drug dependence (>4%), ADHD
(5%) in the young, and dementia (1-30%, depending on age). Except for substance
use disorders and mental retardation, there were no substantial cultural or
country variations. Although many sources, including national health insurance
programs, reveal increases in sick leave, early retirement and treatment rates
due to mental disorders, rates in the community have not increased with a few
exceptions (i.e. dementia). There were also no consistent indications of
improvements with regard to low treatment rates, delayed treatment provision and
grossly inadequate treatment. Disability: Disorders of the brain and mental
disorders in particular, contribute 26.6% of the total all cause burden, thus a
greater proportion as compared to other regions of the world. The rank order of
the most disabling diseases differs markedly by gender and age group; overall,
the four most disabling single conditions were: depression, dementias, alcohol
use disorders and stroke. CONCLUSION: In every year over a third of the total EU
population suffers from mental disorders. The true size of "disorders of the
brain" including neurological disorders is even considerably larger. Disorders of
the brain are the largest contributor to the all cause morbidity burden as
measured by DALY in the EU. No indications for increasing overall rates of mental
disorders were found nor of improved care and treatment since 2005; less than one
third of all cases receive any treatment, suggesting a considerable level of
unmet needs. We conclude that the true size and burden of disorders of the brain
in the EU was significantly underestimated in the past. Concerted priority action
is needed at all levels, including substantially increased funding for basic,
clinical and public health research in order to identify better strategies for
improved prevention and treatment for disorders of the brain as the core health
challenge of the 21st century.
PMID- 21896370
TI - Pediatric-to-adult nephrology: the handoff.
PMID- 21896371
TI - Gamut of CKD across the age divide.
PMID- 21896372
TI - Genetics and CKD.
AB - The diagnosis of hereditary monogenic kidney diseases is frequently delayed, in
part because of physicians' unfamiliarity with the relatively rare conditions or
because of the late onset of symptoms in some patients. Molecular biology methods
have clarified the underlying mutations in several types of CKD, and in the
process have revealed previously unknown genes and pathogenetic pathways.
Mutations affecting the integrity of the glomerular filtration barrier cause
proteinuria or nephrotic syndrome; different types of Alport syndrome are caused
by mutations in glomerular basement membrane type IV collagen; dysfunction of the
primary cilium of tubule cells may lead to a variety of inherited progressive
tubulointerstitial diseases; atypical hemolytic-uremic syndrome is frequently
caused by inherited complement deficiencies; and progressive kidney injury
develops in many inherited systemic or metabolic disorders. Some genetic diseases
may not manifest until late childhood or adulthood. Accurate diagnosis is
important for appropriate treatment, prognosis, genetic counseling, and possible
renal transplantation.
PMID- 21896373
TI - Growth in chronic kidney disease.
AB - Poor growth is a common sequela of CKD in childhood. It not only affects the
psychosocial development of a child but also has significant effects even in the
adult life. The multifactorial etiology and severe consequences of growth failure
in CKD warrant evaluation of all the modifiable and nonmodifiable causes.
Treatment strategies must be directed toward the specific factors for each child
with CKD. Among the various metabolic, nutritional, and hormonal disturbances
complicating CKD, disordered growth hormone (GH) and insulin-like growth factor-1
axis are important contributors toward poor growth in children with CKD. CKD is
recognized as a state of GH resistance rather than GH deficiency, with multiple
mechanisms contributing to this GH resistance. Recombinant GH (rGH) therapy can
be used in this population to accelerate growth velocity. Although its use has
been shown to be effective and safe in children with CKD, there continues to be
some uncertainty and reluctance among practitioners and families regarding its
usage, thereby resulting in a surprisingly low use in children with CKD. This
review focuses on the pathogenesis of growth failure, its effect, and management
strategies in children with CKD.
PMID- 21896374
TI - Focal segmental glomerulosclerosis and chronic kidney disease in pediatric
patients.
AB - Focal segmental glomerulosclerosis (FSGS) is one of the most common forms of
acquired glomerular disease leading to end-stage kidney disease. Its incidence is
rising around the world. There is no proven therapy for those patients who do not
respond to corticosteroids and it can recur in 20% to 25% of patients who receive
a kidney transplant. The disease can be primary, or it can be secondary to
various conditions including vesicoureteral reflux, obesity, medications, and
infections. Recent advances have demonstrated the important role of genetic
mutations in podocyte proteins as a cause of FSGS. There is an urgent need for
randomized clinical trials to develop safe and effective therapy for FSGS that
occurs in the native or transplanted kidney.
PMID- 21896375
TI - The spectrum of polycystic kidney disease in children.
AB - Autosomal dominant polycystic kidney disease (ADPKD) and autosomal recessive
polycystic kidney disease (ARPKD) are important inherited kidney diseases with
distinct clinical features and genetics. Although these diseases have classically
been considered "adult" (ADPKD) or "infantile/pediatric" (ARPKD), it is now clear
that both diseases can present in children and adults. ADPKD and ARPKD also share
important pathophysiologic features, including cilia dysfunction. ADPKD is a
systemic disease involving cysts in the kidneys and abdominal organs as well as
abnormalities in the heart and vasculature. Although it typically presents in
adults, ADPKD has been diagnosed in fetuses, infants, children, and adolescents.
The majority of children diagnosed with ADPKD are asymptomatic. Those with
symptoms typically present with hypertension or gross hematuria. Routine
screening for renal cysts in asymptomatic children who have a parent with ADPKD
is generally not recommended. ARPKD is a disorder confined to the kidneys
(polycystic kidneys) and liver (a developmental biliary lesion called congenital
hepatic fibrosis). Although most children with ARPKD present in infancy with
large, echogenic kidneys, a subset present later in childhood and even adulthood,
primarily with complications related to the liver disease. As more patients with
ARPKD survive to adulthood, these liver complications are likely to become more
prevalent.
PMID- 21896377
TI - Hypertension and CKD.
AB - Hypertension is found in more than 50% of pediatric patients with CKD. However,
its prevalence varies according to the cause of CKD. It is relatively infrequent
in children with structural disorders. Acquired renal disorders are associated
with an increased prevalence of hypertension, similar to that of adults. Recent
studies using ambulatory blood pressure monitoring indicate that children with
CKD also have a high prevalence of masked hypertension. Similar to adults, long
standing and uncontrolled hypertension in children is associated with the
progression of CKD and development of end-organ damage including early
cardiomyopathy and premature atherosclerosis. Aggressive treatment of
hypertension should be an essential part of pediatric CKD care, not just to
prevent the development of symptomatic cardiovascular disease but also to delay
progression of CKD. Recent findings from the European Effect of Strict Blood
Pressure Control and ACE Inhibition on Progression of Chronic Renal Failure in
Pediatric Patients (ESCAPE) trial have shown that the aggressive treatment of
blood pressure, to below the 50th percentile, has even greater benefit in
children with CKD, unlike results seen in adult studies.
PMID- 21896376
TI - Vesicoureteral reflux and reflux nephropathy.
AB - Primary vesicoureteral reflux (VUR) is the commonest congenital urological
abnormality in children, which has been associated with an increased risk of
urinary tract infection (UTI) and renal scarring, also called reflux nephropathy
(RN). In children, RN is diagnosed mostly after UTI (acquired RN) or during
follow-up for antenatally diagnosed hydronephrosis with no prior UTI (congenital
RN). The acquired RN is more common in female children, whereas the congenital RN
is more common in male children. This observation in children might help explain
the differences in the clinical presentation of RN in adults, with males
presenting mostly with hypertension, proteinuria, and progressive renal failure
as compared with females who present mostly with recurrent UTI and have a better
outcome. Known risk factors for RN include the severity of VUR, recurrent UTI,
and bladder-bowel dysfunction; younger age and delay in treatment of UTI are
believed to be other risk factors. Management of VUR is controversial and
includes antimicrobial prophylaxis, surgical intervention, or surveillance only.
No evidence-based guidelines exist for appropriate follow-up of patients with RN.
PMID- 21896378
TI - CKD and bladder problems in children.
AB - Approximately 35% of children with CKD who require renal replacement therapy have
a significant urological abnormality, including posterior urethral valves, a
neuropathic bladder, prune belly syndrome, Hinman syndrome, or severe
vesicoureteral reflux. In such children, abnormal bladder function can have a
significant deleterious effect on the renal function. In children with bladder
outlet obstruction, bladder compliance and capacity often are abnormal, and a
sustained intravesical pressure of >40 cm H(2)O impedes drainage from the upper
urinary tract. Consequently, in these conditions, regular evaluation with renal
sonography, urodynamics, urine culture, and serum chemistry needs to be
performed. Pediatric urological care needs to be coordinated with pediatric
nephrologists. Many boys with posterior urethral valves have severe polyuria,
resulting in chronic bladder overdistension, which is termed as valve bladder. In
addition to behavioral modification during the day, such patients may benefit
from overnight continuous bladder drainage, which has been shown to reduce
hydronephrosis and stabilize or improve renal function in most cases. In children
with a neuropathic bladder, detrusor-sphincter-dyssynergia is the most likely
cause for upper tract deterioration due to secondary vesicoureteral reflux,
hydronephrosis, and recurrent urinary tract infection (UTI). Pharmacologic
bladder management and frequent intermittent catheterization are necessary. In
some cases, augmentation cystoplasty is recommended; however, this procedure has
many long-term risks, including UTI, metabolic acidosis, bladder calculi,
spontaneous perforation, and malignancy. Nearly half of children with prune belly
syndrome require renal replacement therapy. Hinman syndrome is a rare condition
with severe detrusor-sphincter discoordination that results in urinary
incontinence, encopresis, poor bladder emptying, and UTI, often resulting in
renal impairment. Children undergoing evaluation for renal transplantation need a
thorough evaluation of the lower urinary tract, mostly including a voiding
cystourethrogram and urodynamic studies.
PMID- 21896379
TI - Nephrolithiasis in children.
AB - Similar to adults, stone disease in the pediatric patient may present clinically
as flank/abdominal pain or hematuria. Unlike in adults, pediatric stone disease
is less frequent and is often associated with an underlying metabolic disorder.
Because of the 50% likelihood of finding an underlying metabolic cause for stone
formation in younger children, a metabolic workup is recommended for all children
with stone disease, including first-time stone formers. Stone analysis, when
available, can be very helpful in determining an underlying cause. If needed, all
modalities of minimally invasive surgical treatment are possible for children
with stones. Surgical approaches may be needed to achieve the goal of nephron
preservation. Aggressive fluid intake is the mainstay of prevention for all forms
of stone disease, but specific therapy targeted to the most likely underlying
metabolic abnormality is often used. Newer data are now linking stone disease to
CKD, thereby emphasizing the need for a better understanding and potentially more
aggressive treatment approach. With increasing frequency of stone disease in the
pediatric patient and increasing survival of these patients into adulthood, the
adult caregiver must become familiar with different causes and treatment
approaches to stone disease in young adult patients in whom disease onset began
in childhood.
PMID- 21896380
TI - Advances in pediatric renal replacement therapy.
AB - Advances in the understanding and clinical application of hemodialysis,
peritoneal dialysis, and continuous renal replacement therapy have resulted in
strategies designed to further improve their safety and efficacy. These advances
have been particularly important to children, in whom a variety of clinical and
technical issues must be taken into consideration for optimum dialysis across a
broad spectrum of patient size and need. This manuscript reviews recent data
pertaining to the use of renal replacement therapy, with an emphasis on those
aspects of dialysis management that are especially pertinent to pediatric ESRD
and acute kidney injury care.
PMID- 21896381
TI - Health care transition for adolescents with CKD-the journey from pediatric to
adult care.
AB - The design of Health Care Transition (HCT) services for adolescents and emerging
adults with CKD or end-stage kidney disease (ESKD) needs to take into account
patient cognition/developmental stage, family factors, and health resources
within the hospital setting and community. Patient and family education is
fundamental and teaching and learning tools must be literacy-accessible.
Adolescents and emerging adults with CKD/ESDK have complex medical and dietary
regimes, and therapeutic adherence is important for optimizing their health,
quality of life, and longevity. Health providers need to identify ways of
engaging them to become successful disease self-mangers. Interdisciplinary
collaboration between the pediatric- and adult-focused health care teams and the
services of a dedicated transition coordinator are paramount to ensure clear
communication between the patient and the health professionals involved. Valid
measurement tools to monitor and assess the HCT process and health outcomes need
to be developed. The aims of planned HCT for adolescents and/or emerging adults
with CKD/ESKD are anchored by the goals of optimizing health outcomes, health
related quality of life, and continuous quality improvement. The care of young
people with CKD/ESKD can be both challenging and rewarding; we offer strategies
for planned HCT services geared to these vulnerable patients.
PMID- 21896382
TI - Building an index of activity of inhabitants from their activity on the
residential electrical power line.
AB - In the framework of context awareness within the home, our team is currently
assessing the unobtrusive detection of inhabitants' activity through the
monitoring of their use and consumption of electricity. The objective is to
develop a system for the remote monitoring of large populations of elderly people
living independently at home. To be readily deployable on the field, such a
system must be minimally intrusive both for the home environment and for the
field professionals (paramedics and social workers) visiting the patients at
home. We carried out two successive field experiments to evaluate and to improve
our system designed to deliver a single index of daily activity. The first
experiment involved 13 elderly persons over a nine-month period (84,240 h data
recorded) and the second one 12 elderly over six months (51,840 h). We evaluated
both the relevance of the index and the acceptability of the system as a whole.
We discovered that electrical activity is a kind of unique "signature" of each
person's activity. Moreover, this profile provides unexpected information on the
health status of the subject. We confirmed that the system was unobtrusive and
well accepted both by the subjects and by the professionals involved. Our unique
index of activity, and its trend over time, can provide timely information to the
professionals on the patient.
PMID- 21896384
TI - Construction of a computational anatomical model of the peripheral cardiac
conduction system.
AB - A methodology is presented here for automatic construction of a ventricular model
of the cardiac conduction system (CCS), which is currently a missing block in
many multiscale cardiac electromechanic models. It includes the His bundle, left
bundle branches, and the peripheral CCS. The algorithm is fundamentally an
enhancement of a rule-based method known as the Lindenmayer systems (L-systems).
The generative procedure has been divided into three consecutive independent
stages, which subsequently build the CCS from proximal to distal sections. Each
stage is governed by a set of user parameters together with anatomical and
physiological constrains to direct the generation process and adhere to the
structural observations derived from histology studies. Several parameters are
defined using statistical distributions to introduce stochastic variability in
the models. The CCS built with this approach can generate electrical activation
sequences with physiological characteristics.
PMID- 21896383
TI - Effect of insertion speed on tissue response and insertion mechanics of a
chronically implanted silicon-based neural probe.
AB - In this study, the effect of insertion speed on long-term tissue response and
insertion mechanics was investigated. A dummy silicon parylene-coated probe was
used in this context and implanted in the rat brain at 10 MUm/s (n = 6) or 100
MUm/s (n = 6) to a depth of 9 mm. The insertion mechanics were assessed by the
dimpling distance, and the force at the point of penetration, at the end of the
insertion phase, and after a 3-min rest period in the brain. After 6 weeks, the
tissue response was evaluated by estimating the amount of gliosis, inflammation,
and neuronal cell loss with immunohistochemistry. No difference in dimpling,
penetration force, or the force after a 3-min rest period in the brain was
observed. However, the force at the end of the insertion phase was significantly
higher when inserting the probes at 100 MUm/s compared to 10 MUm/s. Furthermore,
an expected tissue response was seen with an increase of glial and microglial
reactivity around the probe. This reaction was similar along the entire length of
the probe. However, evidence for a neuronal kill zone was observed only in the
most superficial part of the implant. In this region, the lesion size was also
greatest. Comparison of the tissue response between insertion speeds showed no
differences.
PMID- 21896385
TI - Enhanced patterns of oriented edge magnitudes for face recognition and image
matching.
AB - A good feature descriptor is desired to be discriminative, robust, and
computationally inexpensive in both terms of time and storage requirement. In the
domain of face recognition, these properties allow the system to quickly deliver
high recognition results to the end user. Motivated by the recent feature
descriptor called Patterns of Oriented Edge Magnitudes (POEM), which balances the
three concerns, this paper aims at enhancing its performance with respect to all
these criteria. To this end, we first optimize the parameters of POEM and then
apply the whitened principal-component-analysis dimensionality reduction
technique to get a more compact, robust, and discriminative descriptor. For face
recognition, the efficiency of our algorithm is proved by strong results obtained
on both constrained (Face Recognition Technology, FERET) and unconstrained
(Labeled Faces in the Wild, LFW) data sets in addition with the low complexity.
Impressively, our algorithm is about 30 times faster than those based on Gabor
filters. Furthermore, by proposing an additional technique that makes our
descriptor robust to rotation, we validate its efficiency for the task of image
matching.
PMID- 21896386
TI - A surface-based 3-D dendritic spine detection approach from confocal microscopy
images.
AB - Determining the relationship between the dendritic spine morphology and its
functional properties is a fundamental challenge in neurobiology research. In
particular, how to accurately and automatically analyse meaningful structural
information from a large microscopy image data set is far away from being
resolved. As pointed out in existing literature, one remaining challenge in spine
detection and segmentation is how to automatically separate touching spines. In
this paper, based on various global and local geometric features of the dendrite
structure, we propose a novel approach to detect and segment neuronal spines, in
particular, a breaking-down and stitching-up algorithm to accurately separate
touching spines. Extensive performance comparisons show that our approach is more
accurate and robust than two state-of-the-art spine detection and segmentation
algorithms.
PMID- 21896387
TI - Text-line extraction in handwritten Chinese documents based on an energy
minimization framework.
AB - Text-line extraction in unconstrained handwritten documents remains a challenging
problem due to nonuniform character scale, spatially varying text orientation,
and the interference between text lines. In order to address these problems, we
propose a new cost function that considers the interactions between text lines
and the curvilinearity of each text line. Precisely, we achieve this goal by
introducing normalized measures for them, which are based on an estimated line
spacing. We also present an optimization method that exploits the properties of
our cost function. Experimental results on a database consisting of 853
handwritten Chinese document images have shown that our method achieves a
detection rate of 99.52% and an error rate of 0.32%, which outperforms
conventional methods.
PMID- 21896388
TI - Spatially adaptive block-based super-resolution.
AB - Super-resolution technology provides an effective way to increase image
resolution by incorporating additional information from successive input images
or training samples. Various super-resolution algorithms have been proposed based
on different assumptions, and their relative performances can differ in regions
of different characteristics within a single image. Based on this observation, an
adaptive algorithm is proposed in this paper to integrate a higher level image
classification task and a lower level super-resolution process, in which we
incorporate reconstruction-based super-resolution algorithms, single-image
enhancement, and image/video classification into a single comprehensive
framework. The target high-resolution image plane is divided into adaptive-sized
blocks, and different suitable super-resolution algorithms are automatically
selected for the blocks. Then, a deblocking process is applied to reduce block
edge artifacts. A new benchmark is also utilized to measure the performance of
super-resolution algorithms. Experimental results with real-life videos indicate
encouraging improvements with our method.
PMID- 21896389
TI - A generalized logarithmic image processing model based on the gigavision sensor
model.
AB - The logarithmic image processing (LIP) model is a mathematical theory providing
generalized linear operations for image processing. The gigavision sensor (GVS)
is a new imaging device that can be described by a statistical model. In this
paper, by studying these two seemingly unrelated models, we develop a generalized
LIP (GLIP) model. With the LIP model being its special case, the GLIP model not
only provides new insights into the LIP model but also defines new image
representations and operations for solving general image processing problems that
are not necessarily related to the GVS. A new parametric LIP model is also
developed. To illustrate the application of the new scalar multiplication
operation, we propose an energy-preserving algorithm for tone mapping, which is a
necessary step in image dehazing. By comparing with results using two state-of
the-art algorithms, we show that the new scalar multiplication operation is an
effective tool for tone mapping.
PMID- 21896390
TI - Low-complexity video coding based on two-dimensional singular value
decomposition.
AB - In this paper, we propose a low-complexity video coding scheme based upon 2-D
singular value decomposition (2-D SVD), which exploits basic temporal correlation
in visual signals without resorting to motion estimation (ME). By exploring the
energy compaction property of 2-D SVD coefficient matrices, high coding
efficiency is achieved. The proposed scheme is for the better compromise of
computational complexity and temporal redundancy reduction, i.e., compared with
the existing video coding methods. In addition, the problems caused by frame
decoding dependence in hybrid video coding, such as unavailability of random
access, are avoided. The comparison of the proposed 2-D SVD coding scheme with
the existing relevant non-ME-based low-complexity codecs shows its advantages and
potential in applications.
PMID- 21896391
TI - An investigation of dehazing effects on image and video coding.
AB - This paper makes an investigation of the dehazing effects on image and video
coding for surveillance systems. The goal is to achieve good dehazed images and
videos at the receiver while sustaining low bitrates (using compression) in the
transmission pipeline. At first, this paper proposes a novel method for single
image dehazing, which is used for the investigation. It operates at a faster
speed than current methods and can avoid halo effects by using the median
operation. We then consider the dehazing effects in compression by investigating
the coding artifacts and motion estimation in cases of applying any dehazing
method before or after compression. We conclude that better dehazing performance
with fewer artifacts and better coding efficiency is achieved when the dehazing
is applied before compression. Simulations for Joint Photographers Expert Group
images in addition to subjective and objective tests with H.264 compressed
sequences validate our conclusion.
PMID- 21896392
TI - Robust through-the-wall radar image classification using a target-model alignment
procedure.
AB - A through-the-wall radar image (TWRI) bears little resemblance to the equivalent
optical image, making it difficult to interpret. To maximize the intelligence
that may be obtained, it is desirable to automate the classification of targets
in the image to support human operators. This paper presents a technique for
classifying stationary targets based on the high-range resolution profile (HRRP)
extracted from 3-D TWRIs. The dependence of the image on the target location is
discussed using a system point spread function (PSF) approach. It is shown that
the position dependence will cause a classifier to fail, unless the image to be
classified is aligned to a classifier-training location. A target image alignment
technique based on deconvolution of the image with the system PSF is proposed.
Comparison of the aligned target images with measured images shows the alignment
process introducing normalized mean squared error (NMSE) <= 9%. The HRRP
extracted from aligned target images are classified using a naive Bayesian
classifier supported by principal component analysis. The classifier is tested
using a real TWRI of canonical targets behind a concrete wall and shown to obtain
correct classification rates >= 97%.
PMID- 21896393
TI - Hybrid ant colony-genetic algorithm (GAAPI) for global continuous optimization.
AB - Many real-life optimization problems often face an increased rank of
nonsmoothness (many local minima) which could prevent a search algorithm from
moving toward the global solution. Evolution-based algorithms try to deal with
this issue. The algorithm proposed in this paper is called GAAPI and is a
hybridization between two optimization techniques: a special class of ant colony
optimization for continuous domains entitled API and a genetic algorithm (GA).
The algorithm adopts the downhill behavior of API (a key characteristic of
optimization algorithms) and the good spreading in the solution space of the GA.
A probabilistic approach and an empirical comparison study are presented to prove
the convergence of the proposed method in solving different classes of complex
global continuous optimization problems. Numerical results are reported and
compared to the existing results in the literature to validate the feasibility
and the effectiveness of the proposed method. The proposed algorithm is shown to
be effective and efficient for most of the test functions.
PMID- 21896394
TI - Geometric decision tree.
AB - In this paper, we present a new algorithm for learning oblique decision trees.
Most of the current decision tree algorithms rely on impurity measures to assess
the goodness of hyperplanes at each node while learning a decision tree in top
down fashion. These impurity measures do not properly capture the geometric
structures in the data. Motivated by this, our algorithm uses a strategy for
assessing the hyperplanes in such a way that the geometric structure in the data
is taken into account. At each node of the decision tree, we find the clustering
hyperplanes for both the classes and use their angle bisectors as the split rule
at that node. We show through empirical studies that this idea leads to small
decision trees and better performance. We also present some analysis to show that
the angle bisectors of clustering hyperplanes that we use as the split rules at
each node are solutions of an interesting optimization problem and hence argue
that this is a principled method of learning a decision tree.
PMID- 21896395
TI - Abstracts of the 23rd Annual Conference of the International Society of
Environmental Epidemiology (ISEE). September 13 - 16, 2011, Barcelona, Spain.
AB - The International Society for Environmental Epidemiology (ISEE) is an
international organization with almost 1000 members from more than 60 countries.
The annual international conference brings together many members and non-members
and provides an excellent forum for the discussion of problems and benefits
related to the environment and human health. Specific themes for the 23rd Annual
Conference include: Sustainable transport and health: Impact of transport on
health and approaches to reduce health impacts, Impact of climate change: from
water scarcity to Saharan dust episodes, Early exposure - later life: in utero
and early life exposures and effects in later life, New methods and technologies.
PMID- 21896396
TI - Air pollution and acute respiratory response in a panel of asthmatic children
along the U.S.-Mexico border.
AB - BACKGROUND: Concerns regarding the health impact of urban air pollution on
asthmatic children are pronounced along the U.S.-Mexico border because of rapid
population growth near busy border highways and roads. OBJECTIVES: We conducted
the first binational study of the impacts of air pollution on asthmatic children
in Ciudad Juarez, Mexico, and El Paso, Texas, USA, and compared different
exposure metrics to assess acute respiratory response. METHODS: We recruited 58
asthmatic children from two schools in Ciudad Juarez and two schools in El Paso.
A marker of airway inflammation [exhaled nitric oxide (eNO)], respiratory symptom
surveys, and pollutant measurements (indoor and outdoor 48-hr size-fractionated
particulate matter, 48-hr black carbon, and 96-hr nitrogen dioxide) were
collected at each school for 16 weeks. We examined associations between the
pollutants and respiratory response using generalized linear mixed models.
RESULTS: We observed small but consistent associations between eNO and numerous
pollutant metrics, with estimated increases in eNO ranging from 1% to 3% per
interquartile range increase in pollutant concentrations. Effect estimates from
models using school-based concentrations were generally stronger than
corresponding estimates based on concentrations from ambient air monitors. Both
traffic-related and non-traffic-related particles were typically more robust
predictors of eNO than was nitrogen dioxide, for which associations were highly
sensitive to model specification. Associations differed significantly across the
four school-based cohorts, consistent with heterogeneity in pollutant
concentrations and cohort characteristics. Models examining respiratory symptoms
were consistent with the null. CONCLUSIONS: The results indicate adverse effects
of air pollution on the subclinical respiratory health of asthmatic children in
this region and provide preliminary support for the use of air pollution monitors
close to schools to track exposure and potential health risk in this population.
PMID- 21896397
TI - Case report: supraventricular arrhythmia after exposure to concentrated ambient
air pollution particles.
AB - CONTEXT: Exposure to air pollution can result in the onset of arrhythmias. CASE
PRESENTATION: We present a case of a 58-year-old woman who volunteered to
participate in a controlled exposure to concentrated ambient particles. Twenty
minutes into the exposure, telemetry revealed new onset of atrial fibrillation.
The exposure was discontinued, and she reverted to normal sinus rhythm
approximately 2 hr later. No abnormality was evident on the volunteer's
laboratory examination or echocardiography that could explain an increased risk
for supraventricular arrhythmia. DISCUSSION: Epidemiologic evidence strongly
supports a relationship between exposure to air pollutants and cardiovascular
disease, but population-level data are not directly relevant to the clinical
presentation of individual cases. To our knowledge, this is the only case report
of an individual suffering an episode of atrial fibrillation after exposure to an
air pollutant. The resolution of the arrhythmia with termination of the particle
exposure further supports a causal relationship between the two. RELEVANCE TO
CLINICAL PRACTICE: Exposure to air pollution, including particulate matter, may
cause supraventricular arrhythmias.
PMID- 21896398
TI - [Eating habits of children and adolescents with diabetes in Libreville (Gabon)].
AB - AIM: the nutritional intake required for normal growth and development is similar
among children with diabetes and healthy children. Nonetheless, for children with
diabetes, food intake must also be correlated with their insulin treatment plan
and level of physical activity. The objective of this work was to identify the
eating habits of the children and adolescents followed in the National Diabetes
Center in Libreville. PATIENTS AND METHODS: this prospective survey was conducted
from November 1, 2008, through January 30, 2009, at the National Diabetes Center
of the Libreville Hospital Center. RESULTS: during the study period, 21 children
and adolescents were treated at our center. The sex ratio was 1:1, and the mean
age was 14.7 years. The mean body mass index (BMI) was 18.5 kg/m(2). Mean age at
diagnosis was 9.6 years. All the children received insulin; two had two
injections daily and the other 19 (90.4%), three a day. Three children never ate
breakfast; 17 "often" drank some milk, and 18 ate some bread. Twenty children
"often" ate a starch and chicken at lunch, five others "often" had vegetables
then. Healthy planned snacks were not eaten by 57% of the subjects, although 24%
reported "nibbling" between meals "sometimes". In addition to water, 67% of the
patients drank diet Coke. CONCLUSION: few children complied with the diet
strictly, because their families could not afford to buy all the recommended
food. Effective access to appropriate local food is essential. All patients
should discuss their preferred foods with the doctor or dietician, so that their
insulin treatment can be adapted appropriately to the food.
PMID- 21896400
TI - Dermal fibrosis related to combination chemotherapy with carboplatin and
gemcitabine.
PMID- 21896399
TI - [Psychosocial impact of cancer on Moroccan adolescent and young adult: experience
of National Institute of Oncology of Rabat].
AB - Cancer is an uncommon disease; its imaginary concept is very particularly on
adolescent and young adults. It disturbs their lives on the whole. The purpose of
this study is to describe the specific psychosocial effects of cancer on
adolescent and young adults in Moroccan population in order to help physicians
educate and counsel future young patients and their families. During the period
from January to July 2009, patients aged between 15 and 30 years with
histologically confirmed cancer, were prospectively interviewed by a
questionnaire covering socio-epidemiological characteristics, repercussions of
disease on physical, psychic, sexual and religious practices. The aim of this
study is to determine the impact of cancer, particularly on this young North
African population, which is underrepresented in the psychosocial cancer
literature.
PMID- 21896401
TI - Acute generalized exanthematous pustulosis-like drug eruption induced by
pemetrexed.
PMID- 21896402
TI - [Somatostatin in breast cancer].
AB - In man, somatostatin is a hormone mostly produced by hypothalamus. It plays
different parts in hormonal regulation through many specific receptors in human
body. It has also two interesting actions such as an anti-secretory activity,
mostly on the gastrointestinal system and an antiproliferative action on tumor
cells. Many synthetic somatostatin analogues, more stable than the natural one,
have been developed and are already used in digestive surgery to treat
postoperative digestive fistula. Also, the development of specific polyclonal
antibodies allowed the identification of five specific somatostatin receptors and
their localization in different cell species. The presence of the five receptors
in breast cancer cells has than been demonstrated. The purpose of this literature
review is to clarify the potential antitumor effect of somatastatin analogues in
breast cancer; its use as a preventive agent on lymphorrhea after breast surgery
and its employment in imaging for early breast cancer detection.
PMID- 21896403
TI - [Virulence factors in Pseudomonas aeruginosa: mechanisms and modes of
regulation].
AB - Pseudomonas aeruginosa is a bacterium responsible for severe nosocomial
infections, life-threatening infections in immunocompromised persons, and chronic
infections in cystic fibrosis patients. The bacterium's virulence depends on a
large number of cell-associated and extracellular factors. The virulence factors
play an important pathological role in the colonization, the survival of the
bacteria and the invasion of tissues. There are two types of virulence factors:
(1) factors involved in the acute infection: these factors are either on the
surface of P. aeruginosa, either secreted. The pili allow adherence to the
epithelium. The exoenzyme S and other adhesins reinforce the adherence to
epithelial cells. The exotoxin A is responsible of tissue necrosis. Phospholipase
C is a thermolabile haemolysin. The pathogenic role of exoenzyme S is
attributable to the disruption of normal cytoskeletal organization, the
destruction of immunoglobulin G and A, leads to depolymerization of actin
filaments and contributes to the resistance to macrophages. P. aeruginosa
produces at least four proteases causing bleeding and tissue necrosis; (2)
factors involved in the chronic infection: siderophores (pyoverdin and
pyochelin), allow the bacteria to multiply in the absence of ferrous ions. The
strains isolated from patients with cystic fibrosis have a pseudocapsule of
alginate that protects the bacterium from phagocytosis, dehydration and
antibiotics. Moreover, it improves adherence to epithelial cells forming a
biofilm. Two different types of regulation systems control the expression of the
majority of these virulence factors: the two-component transcriptional regulatory
system and the quorum sensing system. These two mechanisms are necessary to the
survival and the proliferation of this microorganism in the host.
PMID- 21896404
TI - [Inherited tubular renal acidosis].
AB - Renal tubular acidosis (RTA) is a tubulopathy characterized by metabolic acidosis
with normal anion gap secondary to abnormalities of renal acidification. RTA can
be classified into four main subtypes: distal RTA, proximal RTA, combined
proximal and distal RTA, and hyperkalemic RTA. Distal RTA (type 1) is caused by
the defect of H(+) secretion in the distal tubules and is characterized by the
inability to acidify the urine below pH 5.5 during systemic acidemia. Proximal
RTA (type 2) is caused by an impairment of bicarbonate reabsorption in the
proximal tubules and characterized by a decreased renal bicarbonate threshold.
Combined proximal and distal RTA (type 3) secondary to a reduction in tubular
reclamation of bicarbonate and an inability to acidify the urine in the face of
severe acidemia. Hyperkalemic RTA (type 4) may occur as a result of aldosterone
deficiency or tubular insensitivity to aldosterone. Clinicians should be alert to
the presence of RTA in patients with an unexplained normal anion gap acidosis,
hypokalemia, recurrent nephrolithiasis and nephrocalcinosis. The mainstay of
treatment of RTA remains alkali replacement.
PMID- 21896405
TI - [Antiphospholipid syndrome diagnosis: an update].
AB - The antiphospholipid syndrome (APS) is characterized by arterial and/or venous
thrombosis and pregnancy morbidity in association with the persistent presence of
autoantibodies called antiphospholipid antibodies (APAs). APAs are a
heterogeneous group of circulating autoantibodies that can be detected either by
phospholipid-dependent coagulation test for lupus anticoagulant (LA) or ELISA
test for anticardiolipin and anti-beta2GPI antibodies. In 2006, the revised
criteria for the diagnosis of APS introduce the anti-beta2GPI antibodies as a new
biological criterion and highlight the necessity to increase the interval between
two positive APA test from 6 to 12 weeks. However, despite these updated
criteria, the diagnosis of APS remains challenging and we proposed here to make
an overview of the latest evolution in the diagnosis of this syndrome.
PMID- 21896406
TI - HLA-B*27 allele associated to Behcet's disease and to anterior uveitis in
Moroccan patients.
AB - Human leukocyte antigen HLA-B51 is the most strongly associated gene with Behcet
disease (BD) in different ethnic populations. We analyze the influence of HLA-B
alleles in BD predisposition in Moroccan population and its association with
clinical manifestations. The HLA-B phenotype frequencies were analyzed by
serologic HLA class I typing and by polymerase chain reaction sequence-specific
oligonucleotide (PCR-SSO) reverse dot blot hybridization in 120 unrelated
Moroccan patients: all of whom fulfilled the international study group criteria
for Behcet's disease, and in 112 ethnically matched healthy controls. Besides HLA
B*51 allele (20%), a significant increased frequency of the HLA-B*27 allele was
found in Moroccans patients with Behcet's disease when compared to controls
(13.3% of patients versus 2.7% of controls, chi square = 8.75, OR = 5.59, 95% IC
[1.58-19.75] and particularly in the patients who presented an anterior uveitis
(25% vs. 5.5%, p < 0.005).
PMID- 21896407
TI - [Morquio A disease: clinical and molecular study of Tunisian patients].
AB - Type IVA mucopolysaccharidosis or Morquio A disease is a lysosomal storage
disease, autosomal recessive, caused by deficiency of the N-acetylgalactosamine-6
sulfate sulfatase or GALNS. The severe phenotype is characterized by a severe
skeletal dysplasia without any mental retardation. The aim of this study was to
propose a strategy of molecular and prenatal diagnosis of this pathology. A
molecular study was carried out on 7 patients MPS IVA issued from 5 unrelated
families recruited from different Tunisian regions. All the patients were
offspring of consanguineous marriages. The clinical and biologic study confirmed
the diagnosis of MPS IVA within the 7 studied patients. Three GALNS mutations
were identified by molecular analysis: IVS1+1G>A, G66R and A85T. The unions
between Tunisian relatives are important and increase the Morquio A incidence in
Tunisia. The identification of GALNS mutations in the Tunisian population permits
better understanding of the Morquio A phenotype, a reliable genetic counselling
and a molecular prenatal diagnosis to Tunisian at-risk relatives.
PMID- 21896408
TI - [Evaluation of the performances of the UF-1000i((r)) automated urine analyzer].
AB - The purpose of this study was the evaluation of the UF 1000i((r)) automated urine
analyzer (bioMerieux((c))).The coefficients of variation (CV) for the
repeatability of the red blood cell (RBC), white blood cell (WBC) and bacteria
counts were overall concordant with those announced by the supplier. However, for
low concentrations, the CV for the repeatability for concentrations of 10(3)
RBC/mL, 10(3) WBC/mL and 5*10(3) UA/mL were respectively of 26, 18 and 36% and
thus higher than the CV (10%) reported for each of the three parameters by the
supplier. Reproducibility results agreed with those given by the supplier (10%).
The linearity range was different from that reported by the supplier and was
shifted by a factor 10 for WBC and bacteria high concentrations. Cross
contamination between samples was prevented by using the washing program
recommended by the supplier which however led to a lower analysis frequency (80
samples per hour). Detection limits were of 5,7*10(1) RBC/mL, 5,7*10(1) WBC/mL
and 1,6*10(4) UA/mL respectively for the RBC, WBC and bacteria. Quantification
limits found in this study were of 1,3*10(3) RBC/mL, 1,7*10(3) WBC/mL, 5,1*10(3)
UA/mL. An overestimation of the RBC count was observed within the range of
5*10(3) to 2*10(4) RBC/mL. Beyond this concentration range, the concordance is
good. The correlation is poor within this range and good for higher
concentrations. For WBC, concordance and correlation were satisfactory over the
whole range tested.
PMID- 21896409
TI - [Assessment of AFP in amniotic fluid: comparison of three automated techniques].
AB - Ultrasound scanning is useful to detect neural tube defect (NTD) but scarcely
distinguished between closed NTD and open NTD, which had very different
prognosis. An amniotic fluid punction is thus mandatory to search for an increase
in alpha foeto protein (AFP) levels and for the presence of acetylcholinesterase
which identified open NTD. However, AFP levels fluctuate both with the
gestational age and the assay used. Our aim was to establish normative values for
AFP in amniotic fluid in the second half of pregnancy using three different
immunoassays and to improve their clinical relevance. Amniotic fluid punctions
were performed on 527 patients from 9 week of gestation (WG) to 37 WG either for
maternal age, Trisomy 21 screening, increase in nucal translucency (control
group, n = 527) or for suspicion of neural tube defect or abdominal defect (n =
5). AFP was measured using the immunoassay developed for serum AFP on the Access
2 system, the Immulite 2000 and the Advia Centaur. Results were expressed in
ng/ml, multiple of the median (MoM) and percentiles. AFP decrease by 1.5 fold
between 9 and 19 WG. When NTD was suspected, an increase in anmniotic AFP was
observed (from 2.5 MoM to 9.3 MoM) confirming an open NTD. In conclusion, the
assay developed on those 3 automates is suitable for the measurement of AFP in
amniotic fluid.
PMID- 21896410
TI - Real time PCR for fast detection of the angiotensinogen polymorphisms.
AB - OBJECTIVE: to develop a rapid and reliable real-time PCR to detect polymorphisms
of angiotensinogen (AGT), to compare the two methods of MS-PCR (Mutagenically
Separated PCR) and real-time PCR to determine three polymorphisms of the
angiotensinogen gene M235T, the A (-6) G and A (-20) C. METHODS: the method of
real-time PCR was developed on the PLC Roche LightCycler1 with SYBR Green I. We
used two sense primers and a primer nonsense. Detection of polymorphisms of
angiotensinogen gene was performed by comparing the melting curves. RESULTS: the
DNA samples were analyzed by two methods: real-time PCR and MS-PCR. In our study,
no differences were found between the two techniques. DISCUSSION: The real-time
PCR is a rapid and reliable method for detecting gene polymorphisms on the AGT
M235T, the A (-6) G and A (-20) C. CONCLUSION: this method of real-time PCR is a
reliable genetic test, which is fast and cheap and can be used in practice to
study particular polymorphisms of AGT gene associated with cardiovascular
disease.
PMID- 21896411
TI - [Automatic platelets numbering with citrate as anticoagulant: is the result
valid?].
AB - Platelets count is usually realised on EDTA anticoagulant. This one is sometimes
able to generate platelets agregats. That is the reason why the first thing to do
encountering thrombopenia is to check for agregats on blood thin smear. In case
of positive result, a control can be asked using another anticoagulant. The most
used is sodium citrate. A correction has to be applied to the automat result
because blood is diluted in anticoagulant. But no one says those haematological
automats are exact on citrate as they are on EDTA. That's what we wanted to
check.
PMID- 21896412
TI - [An asymptomatic chronic hypokalaemia].
AB - We report the case of an asymptomatic patient presenting a severe chronic renal
hypokalaemia. Once being sure of no diuretics use, two hypothesis can be
mentioned for a normotensive patient presenting an hypokalaemia associated with a
metabolic alcalosis: Bartter syndrome or Gitelman syndrome. The highlighting of
low magnesaemia and hypocalciuria strongly concentrates the diagnosis on Gitelman
syndrome. First, this has been strengthened by the results of renal function
tests and later it has confirmed by molecular diagnosis with the identification
of a known homozygous mutation on SLC12A3 gene. In the patient family, the same
chromosomal abnormality has been found in the young sister. For these two
patients the treatment ordered is an antikaliuretic diuretic, magnesium and
potassium supplements. This case shows the difficulty to diagnose Gitelman
syndrome: it is frequently mistaken for Bartter syndrome. The main differences
between these two syndromes are magnesaemia and calciuria. Furthemore , patients
with Gitelman syndrome are often asymptomatic, this explains why prevalence of
this illness is probably underestimated.
PMID- 21896414
TI - [Screening of alloantibodies: stability of false positive results as a quality
indicator].
AB - Screening of alloantibodies is required before each transfusion. As part of our
blood bank quality assurance, we have developed a quality indicator to monitor
these false positive antibody results. We have studied 25.162 samples: sera were
first screened by automated column agglutination technology (CAT). Positive
results were found in 1.365 of the 25.162 samples. False positive results, ie
positive screening test followed by a negative identification, were found in 271
(20%) cases. In the 116 patients remaining (43%) no factor could be evidenced.
Interestingly, the percentage of patients with false positive antibody screening
was stable month after month. In our experience, this percentage is very stable,
it may be used as an indicator of quality laboratory and its unusual variation
allows to suspect alterations of the reagents (hemolysis, loss of specificity,
sensitivity).
PMID- 21896413
TI - [Diagnostic strategy of metachromatic leukodystrophy in Tunisia].
AB - We recruited a 44-year-old woman who had a dementia with behavioral and
personality troubles. A biochemical analysis which includes a qualitative study
of urinary sulfatides by thin layer chromatography followed by the determination
of the enzymatic activity of arylsulfatase A (ARSA) was performed. The Molecular
analysis concerned the research of the most frequent mutations (459 +1 G> A,
p.P426L, p.I179S). The profile that has revealed the presence of 3-O
sulfogalactosylceramide fraction was in favor of metachromatic leukodystrophy.
The activity of arylsulfatase A was collapsed in the index case which confirmed
the phenotype of the adult form of the diagnosed MLD. The molecular study showed
the presence of the mutation p.I179S in the homozygous state in the index case.
PMID- 21896415
TI - [Acute lymphoblastic leukemia with T + PH1 monocytosis: a case report].
AB - Acute lymphoblastic leukemia Type T PH1 positive (with t (9.22)) are exceptional.
These effects can occur immediately or in the evolution of chronic myeloid
leukemia known. We report the case of a patient aged 31 years with acute
lymphoblastic leukemia T PH1 + cyologiques with cytological atypia. The overall
appearance of blood and marrow: the signs of dysplasia the presence of a
monocytic contingent, with blood monocytes evoked a myeloid acute leukemia AL.
But the immunophenotype was unequivocally in favor of T- acute lymphoblastic
leukemia with one aspect of lymphoid blasts in morphology and myeloperoxidase
negative. The karyotype showed the presence of Philadelphia chromosome in all
mitoses with additional abnormalities (chromosomes 2, 11.16...).
PMID- 21896417
TI - [Aregenerative anemia and erythrocytes hemighosts: a case report].
AB - An 11 year old African boy without previous history was hospitalised for fever
and a severe anaemia (haemoglobin = 55 g/L) with low reticulocyte count. Blood
smear showed more than 35% of ghost red blood cells which allows the diagnosis of
G6PD deficiency (< 1% of normal level). Anaemia was demonstrated as haemolytic
and was associated with a drepanocytosis trait. Aspect of red blood cells on
blood smear remains important for the diagnosis of congenital or acquired red
blood cell diseases, even abnormalities are often of low specificity.
PMID- 21896416
TI - [Identification and characterization of a monoclonal IgM reacting with
disialylated gangliosides recognizing the CANOMAD syndrome].
AB - We reported the laboratory phenotype of a monoclonal IgM-lambda against
disialylated gangliosides, in a 81-year-old man admitted to a neurological
department because of the progressive development of distal paresthesias, gait
unsteadiness, difficulty to walk and having falls. Serological studies revealed
an IgM monoclonal protein with lambda light chain component of MGUS type. IgM
level was 4 g/L. The positive laboratory studies showed high titers of IgM
antibodies in excess of 1/10(5) against specific disialylated gangliosides
including GD1b, GD3, GT1b and GQ1b. There was no serum IgM binding to MAG and
SGPG/SGLPG. Clonality by in-house immunodot of ganglioside antibodies was
demonstrated using kappa and lambda light chain specific antibodies. Light chain
subtype of the anti-ganglioside antibody activity and monoclonal IgM was lambda
subtype. The reactivity at high titers was against gangliosides containing the
disialosyl epitope. The clinical and laboratory features have been described
under the acronym CANOMAD: Chronic Ataxic Neuropathy with Ophthalmoplegia, M
proteins, cold Agglutinins and Disialosyl antibodies. Administration of IVIg
produced a significant neurological improvement during six years. Then the
neuropathy became refractory in the IVIg and worsened in severity, a cure by
Rituximab(r) was established. The patient died from a pneumopathy only two months
later. Monoclonal IgM binding to disialylated gangliosides have high level of
specificity for diagnosis of the CANOMAD syndrome.
PMID- 21896418
TI - [Acute appendicitis due to both Klebsiella pneumoniae and serotype 35B
Streptococcus pneumoniae, an emergent serotype].
AB - Streptococcus pneumoniae has been rarely considered as an infectious agent in
appendicitis. We report a case of a 47-year-old woman with acute appendicitis
caused both by serotype 35B S. pneumoniae and Klebsiella pneumoniae. The pathway
of the appendix colonisation remains unclear. It could be explain by direct
infection via mucosal translocation or by hematogenous spread. Pneumococcal
appendicitis could progress to perforation more frequently. The use of
intraoperative samples for management of appendicitis is controversial. But,
culture with appropriate media is the only mean to isolate bacteria not very
often encountered in appendicitis and to identify species of epidemiologic
interest as serotype 35B S. pneumoniae, a non vaccinal serotype resistant to
penicillin which is considered as a potential emergent pathogen. In the case of
S. pneumoniae appendicitis, it could be recommended to take complementary
directed samples to understand its pathophysiology.
PMID- 21896419
TI - [Proposed recommendations for the practical use of internal quality controls
(IQC) in a medical biology laboratory].
AB - We propose a set of recommendations and practices to optimize the use of quality
control of medical biology examinations. The fundamentals are reviewed:
definition of a series of analysis, IQC at one or more level, Westgard alert
rules and rejection, practical remedial actions to take for the technician,
corrective and preventive actions to be implemented by the biologist. We have
also formalized three flowcharts to guide the technician in their daily practice
to ensure analytical quality of investigations carried out. These decision trees
are the result of the experience submitted by an accredited and professional
laboratory attentive to the ongoing improvement of IQC. This article can provide
useful assistance to biologists for accreditation but also aims to foster
collaboration reliable medical biology laboratory at the appropriate management
of patients.
PMID- 21896420
TI - Superimposed segmental manifestation of cherry angiomas.
AB - Cherry angioma is a common, acquired, vascular proliferation, probably of a
polygenic mode of inheritance. Segmental manifestation of multiple cherry
angiomas associated with the development of non-segmental lesions has not yet
been reported. We describe a 62-year-old Caucasian woman with early formation of
segmental cherry angiomas after pregnancy, which are superimposed on non
segmental lesions of later onset after menopause. In this pattern, segmental
cherry angiomas could be taken as a further example of superimposed segmental
manifestation of a polygenic skin disorder. Molecular research would be needed to
confirm this hypothesis.
PMID- 21896421
TI - Quality of life in melanoma patients during adjuvant treatment with pegylated
interferon-alpha2b: patients' and doctors' views.
AB - Treatment of malignant melanoma with IFN-alpha has been associated with
significant side-effects. The aim of this retrospective monocentric non
randomized study was first to evaluate the impact on quality of life (QOL) in 30
melanoma patients treated with once weekly 2 MUg/kg PEG-IFN-alpha2b for 18
months, and second to examine whether there is a difference in patients' and
physicians' perception of QOL. Data on QOL were collected by means of the EORTC
QLQ-C30 questionnaire completed by the patient before consultation at baseline
and every three months during treatment. A second questionnaire was filled out
independently by the physician, based on the consultation and patient file. All
data were routinely collected in an outpatient care unit. At baseline, patients
had more favorable mean values on almost all dimensions of the EORTC QLQ-C30 than
follow-up assessments. In comparison to published low-dose IFN-alpha2a data, once
weekly 2 MUg/kg PEG-IFN-alpha2b was associated with stronger impairment in most
QOL single dimensions but with almost no differences regarding the global health
status. QOL documented by physicians was significantly higher than QOL from the
patients' questionnaires in all QOL dimensions (p<0.05). PEG-IFN-alpha2b has
measurable effects on QOL. Measuring QOL based only on physicians' patient files
without explicitly determining patients' assessments leads to a profound
underestimation of impairment of QOL.
PMID- 21896422
TI - Squamous cell carcinoma of the scalp associated with human papillomavirus type
16.
PMID- 21896423
TI - Recurrence of childhood absence epilepsy as pyknolepsy in adolescence.
AB - A developmentally normal adolescent boy with a history of childhood absence
epilepsy presented with recurrence of pyknolepsy after a seven-year period of
remission. The characteristics of his EEG showed the same 3-Hz generalised spike
wave discharge as in his previous EEG in childhood. To our knowledge, this is the
first case report describing recurrence of childhood absence epilepsy as
pyknolepsy in an adolescent. [Published with video sequences].
PMID- 21896424
TI - Atypical presentation in Rasmussen encephalitis: delayed late-onset periodic
epileptic spasms.
AB - A five-and-a-half-year-old girl started experiencing progressive left hemiparesis
at age two and a half years. At age five years and four months she started
presenting clusters of asymmetric periodic epileptic spasms with no
hypsarrhythmia. The ictal EEG showed periodic, constant and stereotyped
complexes. Serial brain imaging revealed progressive atrophy of the right
hemisphere with increased T2 signal on MRI. She underwent a right hemispherotomy,
and histological examination showed signs of inflammation and features of focal
cortical dysplasia (FCD). She has been seizure-free for 16 months. This case is
unique in the following aspects: the presence of typical Rasmussen encephalitis
features of progressive unilateral brain involvement without seizures, a delay of
almost three years prior to seizure onset; an atypical seizure type presentation
with periodic epileptic spasms and the presence of FCD associated with
inflammatory changes. [Published with video sequences].
PMID- 21896426
TI - Lamotrigine is favourable for startle-induced seizures.
AB - Falling due to startle-induced seizures (SISs) often leads to injury. The
triggers of SIS are mostly unexpected auditory stimuli, which are too common to
avoid in daily life. As SISs are often refractory to conventional medications,
effective therapeutic options have to be established. We report a small series of
six patients treated with lamotrigine (LTG) as add-on therapy. Seizure control
was improved greatly in three of the six patients, resulting in less restricted
daily life, but no effect was observed in two and a skin rash developed in one.
Patient 1 was a 19-year-old man. His seizure comprised of a sudden tonic
extension of the extremities induced by auditory or visual stimulus. He fell down
due to SISs, five to ten times a day, with frequent injuries. After adding LTG to
treatment with valproate (VPA) and clobazam (CLB), SISs were reduced to once a
month. Patient 2 was a 51-year-old woman. Sudden tonic extension of all limbs
induced by unexpected sounds frequently threw her down onto the floor. Addition
of LTG to treatment with CLB, zonisamide and phenytoin reduced her SISs from
several to less than once a day. Patient 3 was a seven-year-old girl with post
encephalitic epilepsy. After adjunctive treatment of LTG to VPA, the severity of
SISs became milder thus avoiding injury, although seizure frequency did not
decrease. LTG is potentially effective for the treatment of SISs and may prevent
falling. The addition of LTG treatment dramatically improved the lives of the
patients presented here and should be considered as an option for startle-induced
seizures.
PMID- 21896425
TI - Non-convulsive status epilepticus of frontal origin as the first manifestation of
Hashimoto's encephalopathy.
AB - Hashimoto's encephalopathy is an often misdiagnosed, life threatening, condition
which improves promptly with steroid therapy. Since clinical manifestations are
heterogeneous and non-specific, the diagnosis is often difficult. Several case
reports of Hashimoto's encephalopathy presenting with partial or generalised
seizures are described, but only a few have focused on status epilepticus as the
first clinical manifestation. We report two patients presenting with repetitive
and prolonged seizures characterised by progressive reduction in contact and
reactivity associated with frontal/diffuse polyspike-and-wave activities. This
condition, which can be interpreted as a form of non-convulsive status
epilepticus (NCSE) of frontal origin, was refractory to antiepileptic drugs but
responded promptly to high doses of intravenous steroid treatment. In cases of
unexplained encephalopathy with EEG documentation of NCSE, the early recognition
and treatment of Hashimoto's encephalopathy may lead to a favourable prognosis.
[Published with video sequences].
PMID- 21896427
TI - [Falls in the elderly: a current issue].
PMID- 21896428
TI - [Practical aspects of vaccination in elderly subjects].
AB - The elderly subjects are at high risk of infection due to decreased immune
responses and institutionalization. Studies show the effectiveness of influenza
vaccination every year in subjects over 65 years, and pneumococcal vaccination
every 5 years in patients with risk factors. Vaccinations against diphtheria low
dose, tetanus, and polio should be renewed every 10 years. Pertussis should be
catched-up in every adult not vaccinated since more than 10 years. Admission in
institution, a pre-travel consultation and hospitalization for an infectious
disease must be an opportunity to offer vaccination, especially among the frail
elderly subjects with comorbidities.
PMID- 21896429
TI - [Vitamin D in the elderly: 5 points to remember].
AB - Vitamin D is a secosteroid hormone. Vitamin D receptors are present in the
majority of body tissues. The manifestations of hypovitaminosis D - linked to
dysfunction of target tissues - are various, including osteoporosis, cancer,
tuberculosis, hypertension, multiple sclerosis, depression, dementia, sarcopenia,
propensity to fall... The serum 25-hydroxyvitamin D threshold value to avoid
these adverse health events is around 30 ng/mL. Only 15% of the elderly reach
this target concentration. For the remaining 85% with no supplements, the
severity of hypovitaminosis D appears to be a biomarker of chronic diseases and
of frailty. Conversely, the supplementation for correction of hypovitaminosis D
positively impacts bone and non-bone morbidities - such as risks of falls and
fractures - and reduces the mortality rate. A daily intake of at least 800-1,000
IU supplemental vitamin D(3) per day is the key.
PMID- 21896430
TI - [How to manage gait and balance disorders among older adults aged 65 years and
older with mild to moderate dementia in clinical practice?].
AB - Gait and balance disorders are frequent in demented older adults. Their
management (i.e., diagnosis, assessment and treatment) is challenging in daily
practice because of numerous evaluation tests available, difficulties to select
the most adapted intervention, and the lack of knowledge of physicians and health
professionals concerning adapted centers to refer their patients to those
patients. Thus, a working group of experts was organized by the Gerontopole of
Pays de Loire, France, in December 2010 with the aim to provide clinical
guidelines for the management of older adults aged 65 years and older with mild
to moderate dementia with gait and balance disorders. These guidelines provide
answers to the following questions: 1) Is there gait and/or balance disorders? 2)
Which specific tests used? and 3) How to treat patients?
PMID- 21896431
TI - [Beyond to pattern of risk factors in elderly subjects].
AB - Most falls in the elderly result from an interaction between several risk factors
in. Interventions targeting risk factors for a fall have been effective in the
prevention of falls. The aim of this prospective study was to identify pattern of
risk factors in hospitalized elderly subjects for fall in a geriatric acute care
unit. METHODS: over a 5-year period, 471 patients hospitalized in the geriatric
unit of Angers Hospital following a fall were randomly assigned to 471 patients
without any fall history. The prevalence of risk factors for a fall, and the
existence of predisposing and precipitating factors were compared between the two
groups. RESULTS: risk factors for fall, namely gait unsteadiness, hip disorders,
peripheral neuropathy, convulsions and syncope, were significantly more prevalent
in the group of fallers compared to the controls. Two faller patterns were
proposed: (1) patients with depression and receiving benzodiazepine and
neuroleptic, and (2) patients with poor vision and osteoarticular disorders. Two
further factors, namely parkinsonism and foot disorders, seemed to be sufficient
to explain a fall. CONCLUSION: this study for the first time has identified risk
factors patterns of fall in elderly patients hospitalized in a geriatric acute
care unit, which can be used to implement diagnosis and treatment strategies.
PMID- 21896432
TI - [The overestimation of performance: a specific bias of aging?].
AB - The decline of postural abilities with age leads to increased falls. In this
study, we suggest that a disturbance in the perception of postural affordances,
characterized by an overestimation of performance, could be a major risk of falls
specifically in the elderly. In addition, we test the possible link between the
overestimation of capabilities and postural balance as we know degraded in the
elderly. A group of 10 young subjects (M = 24.2 years +/- 2.04) and a group of 10
elderly subjects (M = 75.9 years +/- 5.7) must evaluate, without action, the
maximum height of an obstacle that they can stride over. Then their postural
performances are measured. The results show that, contrary to young people who
correctly evaluate their performance (difference of 1.75 cm between estimated
performance and real performance), older participants overestimate their maximum
height of crossing over (12.5 cm difference between performance and estimated
real performance). Moreover, the overestimation of performance is associated with
poor postural stability. The bias of overestimation may stem from a lack of
updating of motor programs caused by a functional brain change with age and/or
lack of exercise who reduces updating by trial and error.
PMID- 21896433
TI - [Geriatric day hospital: what evidence? A systematic review].
AB - A systematic review of the international literature concerning the organisation
of the Geriatric Day Hospital (GDH) was performed. From 1987 till now, few papers
were found describing the activity and the effectiveness of the GDH. All the
studies comparing specific geriatric approaches to regular medicine demonstrate
the efficiency of geriatric care, particularly the geriatric assessment. So, with
a degree of evidence 1a, a better outcome is found for patients undergoing a
geriatric assessment and intervention, compared to patients having no geriatric
assessment at all. However, there is no evidence of benefit for the geriatric day
hospital compared to patients treated in a geriatric ward or other location of
geriatric care. Moreover, there is no clear consensus on the settings and
activities of a geriatric day hospital. Terms as day unit, day hospital, day
care, are used interchangeably and are not always covering the same activity. The
same remark can be made on the exact composition of the geriatric
multidisciplinary team and its role. However nurses and paramedical workers are
always mentioned as all performing geriatric assessment. The diagnostic
activities on the GDH are seldom described and studied. More information is
available on rehabilitation activity, often developed in specific patient
populations such as stroke patients, dementia patients, cardiac patients or
patients with other chronic diseases. In this selected patient populations
positive effects on outcome are shown in the GDH (level of evidence 1a). Another
problem is the heterogeneity of the population. For scientific reason the GDH
should focus on organising care for specific medical problems. Diseases as
dementia, stroke, cardiac insufficiency, could be good models to investigate the
efficiency of geriatric assessment and interventions within the setting of a GDH.
PMID- 21896434
TI - [The fourteenth autonomous meeting of the Belgian Society of Gerontology and
Geriatrics].
PMID- 21896435
TI - [Category-specific deficits in semantic dementia: links between perception and
semantic knowledge].
AB - The nature of knowledge and its relationship with the perceptual processes are
among the most central issues in the study of human cognition. Should knowledge
be abstract, then semantic memory and perception should be relatively
independent. On the contrary, if knowledge is sensory-dependent, then memory and
perception should be very close. The first view is supported by the multiple
system approach of memory, whereas the second view is supported by the single
store memory theories. One way to study these links is through the category
specific impairment and the sensory-functional theory (SFT). Category-specific
impairment is generally observed for living items compared to artefacts. The SFT
explains this deficit by defining living items as essentially based on
perception. In the abstract view of knowledge, a living deficit should be related
to a deficit in processing sensory knowledge. On the opposite, the sensory
dependent view states that this deficit results from perception impairment. This
article focuses on the relations between knowledge and perception in semantic
dementia (SD). SD is characterized by a progressive loss of semantic knowledge,
making it particularly interesting to study. This article first focuses on the
SFT, to explain the category-specific impairment. The issue of perceptual
processing in SD is then reviewed from the lowest level (senses) to the highest
level of perception (multimodal integration). The data demonstrated normal
perception for these patients. However, visual integration appeared to be
impaired for existing knowledge. This result is discussed in relation with a
possible involvement of the anterior temporal lobes. These regions are known to
be the most vulnerable in SD. Recently these regions have also been shown to be
involved in the multimodal integration. Taken together, these data suggest that
perception and knowledge could be linked and partially explained by the SFT.
Finally, the data support the sensory-dependent approaches of memory.
PMID- 21896436
TI - [Cognitive aging in schizophrenia].
AB - The development of studies of aging patients with schizophrenia results from
their increasing life expectancy in accordance with that of the general
population, but remains far below that one. Studies devoted to cognitive deficits
in these patients globally show various complex cognitive deficits, which usually
remain stable in their evolution. However, some patients develop a severe
cognitive decline after 65 years, following a long institutionalization. Complex
cognitive functions particularly deserve to be systematically explored in
patients presenting with cognitive complaints and/or communication difficulties.
As an example, we present the case report of a patient showing a theory of mind
deficit.
PMID- 21896437
TI - [Old patients suffering from long-standing schizophrenia: clinical aspects].
AB - Although some patients suffering from schizophrenia experience an age-related
amelioration, a great number of people who are entering old age suffer from long
standing schizophrenia. These patients show specific psychiatric and somatic
problems that must be taken into account. Firstly, some display high levels of
all schizophrenic symptoms, while others experience changes in the symptom
profile with aging, i.e. a reduction in positive symptoms and an increase in
negative ones. Secondly, the occurrence of significant depressive symptoms among
elderly patients with schizophrenia is well recognized. Thirdly, in recent years,
studies have begun to shed more light on the trajectories of cognitive impairment
of these patients in old age. Lastly, aged persons with schizophrenia often have
side effects due to long-term antipsychotic medications and medical co-morbidity,
more untreated somatic disorders (diabetes, cardiovascular diseases) and higher
mortality rates. These may be the result of both lifestyle factors and lack of
adequate medical care. Levels of adaptive functioning and quality of life are
closely associated with clinical and social factors. Thus, we must consider all
these different aspects in order to effectively manage the therapeutic and
service needs of these patients.
PMID- 21896438
TI - [Speech and regulation of behavior: the works of LS Vygosty and AR Luria].
AB - The role of speech in the regulation of behavior was described in child
psychology by LS Vygotsky and AR Luria in the Soviet Union during the twenties,
and extended to neuropsychology by Luria after the World War II. According to
Vygotsky, man built up " psychological tools " on the model of material tools to
extend his natural capacities. Psychological tools, such as language, are
symbolic systems from social origin, which control activity and behavior, and
convert natural cognitive processes into higher cortical functions. Therefore
child's development is embedded into particular social relationships. First
communicational speech then inner speech plays a major role in the regulation of
behavior in man: at first it goes with action, then precedes it, and finally
replaces it. A willful action is thus an action largely controlled by inner
speech, especially in novel and complex tasks, but the properties of inner speech
differ from those of communicational speech. Assessment of the role of speech on
the regulation of action and behavior should be part of the neuropsychological
examination of frontal lobe functions. It also could be useful to assess the
ability of patients to participate in cognitive rehabilitation, particularly in
Alzheimer's disease.
PMID- 21896439
TI - [Difficulties, coping strategies and satisfactions in family caregivers of people
with Alzheimer's disease].
AB - Supporting family carers is likely to remain at the forefront of community care
policy in dementia care for the foreseeable future. However, despite extensive
research in the area, there is little evidence for the effectiveness of current
interventions. Focus being placed almost exclusively on the caregivers' burden,
at the expense of skills they develop and of satisfactions they experience,
partly explains such an impasse. The aim of this study was to use Nolan's
approach and methodology for a holistic assessment of a sample of French
carers'needs (N = 74). Our study constitutes a first step toward a translation
and validation of the Carers Assessment of Difficulties Index, Carers Assessment
of Managing Index, and the Carers Assessment of Satisfactions Index (CADI-CAMI
CASI). It describes how carers manage the difficulties of the caring situation
and what satisfactions they may find in their role. Our results should encourage
larger studies aimed at validating Nolan's methodology, as well as defining and
promoting professional efficient interventions as they are based on a partnership
that allows caregivers to define themselves "what works" and what is gratifying.
PMID- 21896440
TI - [Ewing sarcoma in a 12-year-old Gabonese boy].
AB - Ewing sarcoma is a primary malignant bone tumor rarely observed in black
populations. We report a case of Ewing sarcoma in a 12-year-old boy, manifested
by pain in the lower limbs and pelvis, limping while walking, with functional
disability and visual disorders developing over a 2-year period, from 2005
through 2007. The child was transferred for care to South Africa where a biopsy
bone was taken and analyzed. The histological slices showed clusters of small
round cells, sometimes with indistinct or eosinophilic cytoplasm, oval vesicular
nuclei, and fine chromatin. These images were compatible with neoplastic
proliferation of small round cells of the Ewing tumor type. We use this case to
review the literature and discuss the circumstances of onset and methods of
diagnosis.
PMID- 21896441
TI - [Surgical approaches to hysterectomy].
AB - OBJECTIVES: this study aims to assess the frequency, complications and advantages
of each of the three principal approaches to hysterectomies: abdominal, vaginal,
and laparoscopic. PATIENTS AND METHOD: this prospective study analyses a
consecutive series of 78 hysterectomies for benign disease (myomas and
precancerous lesions of the cervix) in the gynaecological surgery department of
Libreville Hospital Centre from March 1, 2006, to November, 2010. We excluded
cases of genital prolapsus, invasive uterine cancer, and hysterectomy during
pregnancy or delivery. Data were collected from the surgical registers and
reports and from patients' files. RESULTS: the frequency of abdominal
hysterectomy was about 39% (31 cases), and that of vaginal hysterectomy 61% (31
cases); laparoscopic assistance was involved in 20.58% (14 cases). The women's
mean age was 46 years (range: 45 to 60 years). Mean parity was 5.5 (range: 0 to
9). Overall, 30% of the patients had previously undergone pelvic surgery by the
abdominal route. Two wounds, one of the bladder and the other of the uterus,
comprised the surgical morbidity. No deaths occurred. CONCLUSION: the surgeon's
experience with the vaginal route, sometimes with laparoscopic assistance,
resulted in limited use of the abdominal route.
PMID- 21896442
TI - [Fatty liver and hepatitis C virus infection].
AB - Hepatitis C virus infection is a common liver disease worldwide, leading to the
development of steatosis, cirrhosis and hepatocellular carcinoma. In patients
with chronic hepatitis C virus infection the prevalence of steatosis has been
estimated to be about 55%. Development of steatosis is due to both viral and
metabolic factors. Hepatitis C virus proteins moderate a number of intracellular
pathways, however, further studies are required to understand these mechanisms.
Obesity and insulin resistance may allow the virus to resist antiviral treatment.
This review discusses the relationship among steatosis, insulin resistance and
the response to antiviral therapy.
PMID- 21896443
TI - [Cardiorenal syndromes].
AB - Cardiac and kidney diseases are very common, and increasingly coexist.
Classification for cardiorenal syndrome and for its specific subtypes has been
developed and published recently by a consensus group of the Acute Dialysis
Quality Initiative. Cardiorenal syndromes have been classified according to
whether the impairment of each organ is primary, secondary or whether heart and
kidney dysfunction occurs simultaneously as a systemic disease. The different
syndromes were classified into five subtypes. Type-1: acute cardiorenal syndrome:
an abrupt worsening of cardiac function leading to acute kidney injury and/or
dysfunction. Type-2: chronic cardiorenal syndrome: chronic abnormalities in
cardiac function causing kidney injury and/or dysfunction. Type-3: acute
renocardiac syndrome: abrupt worsening of kidney function leading to heart injury
and/or dysfunction. Type-4: chronic renocardiac syndrome: chronic kidney diseases
leading to heart injury, disease and/or dysfunction. Type-5: secondary
cardiorenal syndrome: acute or chronic systemic diseases leading to simultaneous
injury and/or dysfunction of heart and kidney. The identification of patients and
the pathophysiological mechanisms underlying each syndrome subtype will help
cardiologists, nephrologists and physicians working on intensive care units to
characterize groups of their patients with cardiac and renal impairment and to
provide a more accurate treatment for them.
PMID- 21896444
TI - [Interpretation of highly sensitive troponin assays: acute or chronic myocardial
damage?].
AB - Troponin is the first choice in the diagnosis of acute myocardial infarction.
Correct interpretation is challenging, because high sensitive troponin tests used
today detect even the smallest cardiac damage. METHODS: High sensitive troponin T
(Roche) and troponin I (Mitsubishi Pathfast) and creatine-kinase activity were
measured in 20 patients, each having two samples with the time lapse 3-9 hours.
RESULTS: In the group without acute myocardial infarction (n = 10) no significant
increase in creatine-kinase and creatine-kinase-MB levels were seen, and the mild
raise of troponins was due to other cardiovascular problems (atrial fibrillation,
paroxysmal supraventricular tachycardia). With acute myocardial infarction (n =
10) a dramatic increase of troponin levels was found in the second samples, and
also an increase of creatine-kinase and creatine-kinase-MB activity. According to
Fischer-probe a twofold or higher increase of troponin implies 19-times higher
risk of acute myocardial infarction in the case of troponin T and 8-times odds
ratio at troponin I. CONCLUSIONS: The patient's accompanying diseases should
always be considered. If the troponin level is elevated, the measurement should
be repeated within 3-6 hours. When troponin shows at least a twofold increase and
the patient has chest pain or positive ECG, AMI is likely, and the patient needs
special medical care. Although the first troponin level might be elevated if
accompanying diseases cause chronic cardiac damage, it can be differentiated by a
second troponin measurement.
PMID- 21896445
TI - [Attitudes of freshman medical students towards education in communication
skills].
AB - In their institute authors teach medical communication skills in three languages
(Hungarian, English and German) for medical students in the first year of their
studies. In order to improve teaching methods, authors wanted to explore the
attitudes of students towards the communication skills learning. For this purpose
authors applied the Communication Skills Attitudes Scale created by Rees et al.,
which is an internationally accepted and well adaptable instrument. AIMS: In this
survey authors wanted to validate the Hungarian and German version of the
Communication Skills Attitudes Scale. In addition, their aim was to analyze
possible differences between the attitudes of each of the three medical teaching
programs. METHODS: Questionnaires were filled anonymously at the beginning of the
practices. Principal component analysis with varimax rotation was performed to
evaluate the attitudes using the SPSS 10.5 version for analysis. RESULTS: Authors
created a model consisting of 7 factors. Factors were the following: 1: respect
and interpersonal skills; 2: learning; 3: importance of communication within
medical profession; 4: excuse; 5: counter; 6: exam; 7: overconfidence. It was
found that students had mainly positive attitudes. Except the learning factor,
all other factors showed significant differences between the three medical
teaching programs. CONCLUSIONS: although students had mainly positive attitudes
toward learning communication skills, there were negative attitudes which can be
partly modified by improving the teaching methods. However, results may create a
proper base for further research to help improving communication skills teaching
methods of the authors.
PMID- 21896446
TI - [History of arteficial deformation of the human body. V. Selfmutilation, ritual
mutilation].
PMID- 21896449
TI - Methods to decrease pharmaceutical drugs in the water system.
PMID- 21896450
TI - Earthquake, tsunami, and pharmaceutical care in eastern Japan.
PMID- 21896451
TI - Polypharmacy: In search of an appropriate term.
PMID- 21896452
TI - Is 60 seconds enough? Can talking pill bottles be used in the community pharmacy
setting?
PMID- 21896453
TI - Drug-drug interaction software quality assurance: Lessons learned.
PMID- 21896454
TI - Mitigating the impact of medication shortages on public health.
PMID- 21896455
TI - Do remote community telepharmacies have higher medication error rates than
traditional community pharmacies? Evidence from the North Dakota Telepharmacy
Project.
AB - OBJECTIVE: To evaluate the differences in medication dispensing errors between
remote telepharmacy sites (pharmacist not physically present) and standard
community pharmacy sites (pharmacist physically present and no telepharmacy
technology; comparison group). DESIGN: Pilot, cross-sectional, comparison study.
SETTING: North Dakota from January 2005 to September 2008. PARTICIPANTS: Pharmacy
staff at 14 remote telepharmacy sites and 8 comparison community pharmacies.
INTERVENTION: The Pharmacy Quality Commitment (PQC) reporting system was
incorporated into the North Dakota Telepharmacy Project. A session was conducted
to train pharmacists and technicians on use of the PQC system. A quality-related
event (QRE) was defined as either a near miss (i.e., mistake caught before
reaching patient; pharmacy discovery), or an error (i.e., mistake discovered
after patient received medication; patient discovery). MAIN OUTCOME MEASURE: QREs
for prescriptions. RESULTS: During a 45-month period, the remote telepharmacy
group reported 47,078 prescriptions and 631 QREs compared with 123,346
prescriptions and 1,002 QREs in the standard pharmacy group. Results for near
misses (pharmacy discovery) and errors (patient discovery) for the remote and
comparison sites were 553 and 887 and 78 and 125, respectively. Percentage of
"where the mistake was caught" (i.e., pharmacist check) for the remote and
comparison sites were 58% and 69%, respectively. CONCLUSION: This study reported
a lower overall rate (1.0%) and a slight difference in medication dispensing
error rates between remote telepharmacy sites (1.3%) and comparison sites (0.8%).
Both rates are comparable with nationally reported levels (1.7% error rate for 50
pharmacies).
PMID- 21896456
TI - Influence of pharmacy practice on community pharmacists' integration of
medication and lab value information from electronic health records.
AB - OBJECTIVES: To describe how an electronic health record (EHR) was integrated into
community pharmacists' patterns of patient care and to explore factors that are
related to the use of medication and laboratory value information from the EHR.
DESIGN: Descriptive, exploratory, nonexperimental study. SETTING: Edmonton,
Canada, between November 2008 and March 2009. PARTICIPANTS: 16 pharmacists, 3
pharmacy technicians, and 2 pharmacy interns from primary care networks, long
term care settings, community independent and chain pharmacies, and grocery store
pharmacies. INTERVENTION: Qualitative interviews. MAIN OUTCOME MEASURE:
Pharmacists' self-reported use of EHR. RESULTS: Pharmacists in a patient-centered
care practice (involving medication therapy management activities) were more
likely to adopt the EHR for medication history and laboratory values, whereas
pharmacists whose practice was focused on medication dispensing primarily used
the EHR for patient demographic and dispensing records. Six general factors
influenced the use of EHR: patients, pharmacists, pharmacy, other health
professionals (i.e., physicians), EHR, and environment. Access to the medical
record versus EHR and timeliness were barriers specific to pharmacists in a
patient-centered practice. Factors that affected EHR use for pharmacists with
primarily a dispensing practice were role understanding, dispensing versus lab
records, valid reasons for using EHR, and fear of legal and disciplinary issues.
CONCLUSION: Many community pharmacists embraced the EHR as a part of practice
change, particularly those in patient-centered care practices. Practice type
(patient-centered care or dispensing) greatly influenced pharmacists' use of EHR,
specifically laboratory values. Because these qualitative findings are
exploratory in nature, they may not be generalized beyond the participating
pharmacies.
PMID- 21896457
TI - Retrospective evaluation of an inpatient psychiatric pharmacist consultation
service.
AB - OBJECTIVE: To describe and evaluate clinical outcomes of pharmacist consult
service recommendations in an inpatient psychiatric setting. DESIGN:
Retrospective study. SETTING: Austin, TX, between September 1, 2005, and May 31,
2006. PATIENTS: 105 patients receiving a pharmacy consult while admitted to the
Austin State Hospital. INTERVENTION: Completion of consultation pursuant to
provider referral. MAIN OUTCOME MEASURES: Clinical Global Impression (CGI)
Severity (CGI-S) and CGI-Improvement (CGI-I) scores were retrospectively
determined by blinded psychiatric pharmacy specialists upon review of physician
progress notes. RESULTS: A total of 105 pharmacy consultations and associated
physician progress notes were reviewed. Overall, 73% of the primary consultation
recommendations were implemented. The most common reasons for referral to the
psychopharmacology service were nonresponse to treatment and aggression. Patients
with high implementation of consultation recommendations displayed more favorable
endpoint CGI-S scores and displayed a greater CGI-I response rate compared with
patients with low implementation of consult recommendations. CONCLUSION:
Implementing clinical pharmacists' consult recommendations was associated with
significantly greater improvement in overall severity of illness and global
improvement. This study supports the positive role that pharmacists have in
optimizing patient care and clinical outcomes.
PMID- 21896458
TI - Treatment disparities for major depressive disorder: Implications for
pharmacists.
AB - OBJECTIVES: To determine whether differences exist in overall antidepressant use
and specific antidepressant drug class (selective serotonin reuptake inhibitor
[SSRI]/ serotonin norepinephrine reuptake inhibitor [SNRI] versus other) use
among patients of different race/ethnicity groups (blacks and Hispanics compared
with whites) with a diagnosis of Diagnostic and Statistical Manual of Mental
Disorders, Fourth Edition (DSM-IV) 12-month major depressive disorder (MDD) and
to discuss ways in which pharmacists can decrease the gap in treatment
disparities. DESIGN: Cross-sectional study. SETTING: United States from February
2001 through April 2003. PARTICIPANTS: Respondents of the National Comorbidity
Survey-Replication with DSM-IV 12-month MDD. INTERVENTION: Not applicable. MAIN
OUTCOME MEASURES: Previous 12-month overall antidepressant use and previous 12
month specific antidepressant drug class (SSRI/SNRI versus other) use. RESULTS:
For respondents who screened positive for 12-month MDD (n = 362.3), only 34%
reported antidepressant use in the previous 12-month period. Blacks (17.5%) and
Hispanics (21.8%) reported significantly (P < 0.0001) lower overall use of
antidepressants in the unadjusted analysis compared with whites (37.6%). Although
not statistically significant, odds ratios (ORs) indicated that blacks and
Hispanics were 61% and 47% less likely to report use of antidepressants (OR =
0.39 [95% CI 0.20-0.77], P = 0.10, and 0.53 [0.31-0.91], P = 0.61, respectively).
CONCLUSION: Among respondents with a diagnosis of MDD, race/ethnicity plays an
important role in the use of antidepressants. The results of this study indicate
a need for pharmacists to proactively interact and manage their patients'
antidepressant therapy.
PMID- 21896459
TI - Exploring the impact of an automated prescription-filling device on community
pharmacy technician workflow.
AB - OBJECTIVE: To explore community pharmacy technician workflow change after
implementation of an automated robotic prescription-filling device. METHODS: At
an independent community pharmacy in rural Mayville, WI, pharmacy technicians
were observed before and 3 months after installation of an automated robotic
prescription-filling device. The main outcome measures were sequences and timing
of technician workflow steps, workflow interruptions, automation surprises, and
workarounds. RESULTS: Of the 77 and 80 observations made before and 3 months
after robot installation, respectively, 17 different workflow sequences were
observed before installation and 38 after installation. Average prescription
filling time was reduced by 40 seconds per prescription with use of the robot.
Workflow interruptions per observation increased from 1.49 to 1.79 (P = 0.11),
and workarounds increased from 10% to 36% after robot use. CONCLUSION: Although
automated prescription-filling devices can increase efficiency, workflow
interruptions and workarounds may negate that efficiency. Assessing changes in
workflow and sequencing of tasks that may result from the use of automation can
help uncover opportunities for workflow policy and procedure redesign.
PMID- 21896460
TI - Pharmacists' actions when patients use complementary and alternative medicine
with medications: A look at Texas-Mexico border cities.
AB - OBJECTIVES: To determine how often pharmacists inquire about patients'
complementary and alternative medicine (CAM) use, actions taken in response to
patients' CAM use, and demographic or professional characteristics that predict
differences in pharmacists' actions. METHODS: A survey was mailed to 400 randomly
selected community pharmacists who resided in Texas-Mexico border cities.
RESULTS: Most (63.8%) pharmacists had encountered patients who were using CAM.
They documented CAM use in 9.8% of cases and monitored for drug-related problems
in 39.4%. Among users, pharmacists sometimes to usually (3.4 +/- 1.4 [mean +/-
SD]) took actions such as referring patients to their physicians. Pharmacists
were not particularly comfortable (3.2 +/- 1.0) with responding to CAM inquiries
but believed patients needed adequate CAM knowledge. Pharmacists rarely to
sometimes (2.6 +/- 1.2) asked patients about their CAM use. Inquiry about CAM use
was greater when information could be documented in profiles (F = 4.29, P = 0.02)
and when pharmacists had additional training in CAM (t = -2.59, P = 0.01). Also,
in pharmacies that stocked herbal or homeopathic products, pharmacists were more
likely to recommend other CAM therapies appropriate for patients' conditions (t =
-3.27, P < 0.01). CONCLUSION: Pharmacists were not very proactive in inquiring
about CAM use, and their actions (e.g., referral to physician) were somewhat
passive. More routine inquiry and documentation are needed. Pharmacists should
routinely ask about and document CAM use by patients in order to optimize drug
therapy outcomes.
PMID- 21896461
TI - The Hispanic Diabetes Management Program: Impact of community pharmacists on
clinical outcomes.
AB - OBJECTIVE: To assess the impact of community pharmacists on clinical outcomes in
Hispanic patients with type 2 diabetes. METHODS: 126 patients were enrolled in
this longitudinal pre/post cohort study that took place in nine community and
four workplace pharmacies in San Antonio, TX. Pharmacists provided education,
point-of-care testing for glycemic and metabolic parameters, clinical assessment,
goal setting, and drug therapy management with physicians. Study outcomes were
changes in glycosylated hemoglobin (A1C) and accompanying metabolic parameters
(blood pressure, lipid parameters, and body mass index) during a 1-year time
frame. RESULTS: In the overall cohort, A1C was not reduced significantly from
baseline to 12 months (7.8% vs. 7.6%, P = 0.516). However, statistically
significant reductions occurred for fasting plasma glucose, triglycerides, and
diastolic blood pressure. None of the other parameters was affected
significantly. In the subgroup of patients not at target values at baseline,
significant reductions occurred for A1C (9.2% vs. 8.6%, P = 0.001), systolic
blood pressure (147 vs. 143 mm Hg, P = 0.031), diastolic blood pressure (91 vs.
87 mm Hg, P < 0.001), triglycerides (259 vs. 219 mg/dL, P < 0.001), LDL
cholesterol (139 vs. 123 mg/dL, P < 0.001), and total cholesterol (237 vs. 222
mg/dL, P = 0.008). CONCLUSION: Interventions performed by community pharmacists
are effective in improving clinical outcomes in a Hispanic cohort with diabetes.
Pharmacists' efforts were most successful in patients not at target glycemic and
metabolic levels.
PMID- 21896462
TI - Role of student pharmacists in the identification and prevention of medication
related problems.
AB - OBJECTIVES: To measure the total rate of attending physician, medical resident,
and medical student acceptance of interventions recommended by third-year student
pharmacists supervised by a licensed pharmacist and to determine the type of
health care provider group that accepted the most interventions, type of
interventions provided, and number of patients counseled on medication use.
METHODS: This prospective unblinded pilot study followed single interventions
documented by nine third-year student pharmacists enrolled at the University of
Louisiana at Monroe College of Pharmacy. Success rates of suggested interventions
made to family medicine attending physicians, pharmacists, medical residents, and
medical students in three Louisiana outpatient clinics were documented. Student
pharmacists performed medication histories, identified potential medication
related problems, discussed interventions with health care providers, and
counseled patients on medication use. Outcomes assessed were intervention type
and number, intervention acceptance rate, and number of patients counseled.
RESULTS: Student pharmacists communicated with attending physicians, medical
residents, and/or medical students regarding 32 patients. A total of 48
interventions were documented. Of these interventions, 34 were potential
medication-related problems, 8 were pharmacotherapeutic recommendations for a new
problem, 4 were responses to a health care provider's drug information requests,
and 2 were referrals to a diabetes education class. The acceptance rate of
student pharmacists' recommendations was 86%. Attending physicians accepted 93.8%
of recommended interventions, medical residents 70%, and medical students 87.5%,
Student pharmacists counseled 29 patients on medication use. CONCLUSION: Student
pharmacists were able to recommend new drug regimens and discuss changes in
therapy with health care providers. Attending physicians, medical residents, and
medical students accepted the majority of students' recommendations. Third-year
student pharmacists have the potential to serve an important role in the
identification and prevention of medication-related problems by suggesting
interventions to health care providers.
PMID- 21896463
TI - Evaluation of the pharmaceutical case management program implemented in a private
sector health plan.
AB - OBJECTIVES: To characterize the drug-related problems (DRPs) identified by
pharmacists providing pharmaceutical case management (PCM), describe the effect
of PCM on medication appropriateness, and compare the findings from this
evaluation of private insurance beneficiaries with a previous evaluation of PCM
provided to Iowa Medicaid beneficiaries. METHODS: A pharmacy chart review was
used to calculate medication appropriateness index (MAI) scores for patients
before and after receiving PCM. Changes in MAI scores were calculated. DRPs
identified by pharmacists during PCM services were characterized using online
summaries submitted by pharmacists following each PCM encounter. RESULTS: A total
of 91 patients received 195 PCM services from 29 pharmacies (2.14
services/patient). On average, pharmacists providing PCM were able to identify
2.6 DRPs per patient. The most frequently identified problems were the need for
additional therapy, adverse drug reactions, and inappropriate adherence. Compared
with baseline, mean MAI scores did not improve significantly following PCM (1.53
vs. 1.24, P = 0.34). MAI scores for this group were significantly lower than in a
previous study of Medicaid beneficiaries receiving PCM. CONCLUSION: The lower
number of medications and lower MAI scores for the private beneficiaries compared
with Medicaid beneficiaries suggested that these two groups have different
characteristics that could translate into different needs from medication therapy
management services.
PMID- 21896464
TI - Joint Forces Pharmacy Seminar 2011 abstracts of contributed papers.
PMID- 21896465
TI - Journal of the American Pharmaceutical Association (1940-1960).
PMID- 21896466
TI - Maternal influenza vaccination: Protecting two lives with one vaccine.
PMID- 21896467
TI - Roflumilast, indacaterol maleate, and abiraterone acetate.
PMID- 21896468
TI - Standalone or managed care drug plans, postarthroplasty thromboprophylaxis, and
risperidone for PTSD.
PMID- 21896469
TI - Personalized medicine: genetic component to providing quality care.
AB - Personalized medicine--tailoring medical treatment not only to patients'
individual characteristics, but also to their genetic profiles--is a new trend
that is gaining attention in health care. It has the potential to manage diseases
with a minimum of side effects and adverse reactions while, at the same time, cut
unnecessary costs. Creating genetic profiles can determine if a patient has a
predisposition to specific diseases and what treatments are likely to be most
effective for a particular illness. Pharmacists can play a key role in
personalized medicine by working with prescribers to take medication management
to a new level of effectiveness and accuracy. Personalized medicine is in its
infancy, and while studies are beginning to show its impact, it isn't likely to
be used on a widespread basis until it becomes more affordable, available, and
integrated in health care payment. However, as the health care system continues
to evolve, providers and payers alike are expected to embrace personalized
medicine. And even though technological innovations are slow to come to long-term
care, personalized medicine is likely to become more widely used in this setting
as the advantages become evident.
PMID- 21896470
TI - Understanding frailty in the geriatric population.
AB - Clinicians who work with the frail elderly know what frailty looks like, but
until recently, they have had no science-based definition of this condition.
Frailty is classified as a medical syndrome, and Fried et al. were among the
first to standardize the definition of frailty as a distinct syndrome with
biologic underpinnings. Their definition describes a clinical phenotype of
decreased reserve and resistance to stressors, with clinical manifestations of a
mutually exacerbating cycle of negative energy balance, sarcopenia, diminished
strength, and exertion intolerance. Age is no longer considered a defining
characteristic, although frailty is still considered primarily a geriatric
problem. Approximately two-thirds of affected individuals enter frailty in a
slow, progressive way, while one-third become frail cataclysmically. Weakness is
a common early sign, and exhaustion and weight loss are often late
manifestations. Observing early behavioral changes before frailty develops could
provide insight into its development and suggest early interventions. Since
frailty is clearly associated with adverse outcomes, a healthy, active lifestyle
is the cornerstone of prevention, and many researchers suggest that resistance
training can reverse some muscle loss and improve functioning. When the health
care team proposes any change in care, including a new medication, it should be
prepared to describe how the intervention may affect cognition, memory, energy,
or function.
PMID- 21896471
TI - Measurement of unused medication in Medicare Part D residents in skilled nursing
facilities.
AB - OBJECTIVE: To determine the cost of unused medication dispensed to Medicare Part
D residents in nursing facilities in the United States and to describe the
distribution of unused medication based on dispensed prescription cost and unit
medication cost. DESIGN: A prospective study in which dispensed and returned
prescription data for Medicare Part D residents encompassing the first six months
of 2010 were provided by eight long-term care pharmacies servicing approximately
33,700 residents in 425 facilities. SETTING: Nursing facilities. PATIENTS:
Residents covered by Medicare Part D. MAIN OUTCOME MEASURES: Unused medication as
a percentage of dispensed prescriptions was calculated based on the number of
returned prescriptions and the cost of unused medication for all dosage forms and
for solid oral forms. RESULTS: For all dosage forms, 6.8% of dispensed
prescriptions were returned partially used, representing 3.5% of dispensed cost.
For solid oral dosage forms, 6.1% of all dispensed prescriptions were returned
partially used, representing 2.9% +/- 1% of total dispensed cost. For returned
oral solid prescriptions, 24% had a dispensed cost of $50 or more but accounted
for 84% of the cost of returned medications; 26% had a unit cost of $1 of more,
but accounted for 83% of the cost. The total amount of unconsumed solid oral
medication for Medicare Part D residents in skilled nursing facilities was
estimated at $125 million annually. CONCLUSION: The estimated cost of unconsumed
medications dispensed to Medicare Part D residents in nursing facilities is
approximately 3% of dispensed cost, amounting to approximately $125 million
across all U.S. nursing facilities.
PMID- 21896472
TI - Hypothyroidism and subclinical hypothyroidism in the older patient.
AB - OBJECTIVE: To review the etiology, precipitating factors, clinical findings,
screening recommendations, and treatment for primary hypothyroidism and
subclinical hypothyroidism in the older patient. DATA SOURCES: A PubMed search of
English language articles using a combination of words: elderly, thyroid,
hypothyroid,* subclinical hypothyroid,* etiology, screening, diagnosis, and
treatment to identify original studies, guidelines, and reviews on primary
hypothyroidism and subclinical hypothyroidism published between 1979 and present.
STUDY SELECTION AND DATA EXTRACTION: Overall, 51 clinical reviews, original
studies, references, and guidelines were obtained and evaluated on their clinical
relevance to the older patient population. DATA SYNTHESIS: The literature
included guidelines and considerations for the diagnosis, screening, and
management of subclinical and overt primary hypothyroidism in the older patient.
CONCLUSION: Females and individuals 60 years of age or older have a higher
prevalence of primary hypothyroidism and subclinical hypothyroidism. While
screening recommendations exist, the need or suggested age to initiate screening
varies among organizations. TSH and free T4 values are used for diagnosing and
monitoring. Levothyroxine remains the drug of choice for replacing endogenous
thyroid hormone. Despite evidence to suggest its need, the treatment of
subclinical hypothyroidism remains controversial.
PMID- 21896473
TI - Progressive tremor, truncal ataxia, and acute mental status changes after use of
bupropion.
AB - An 84-year-old male with stage III chronic kidney disease and a history of
multiple psychiatric and medical disorders presented to the emergency department
(ED) with new onset proximal leg weakness with tremor upon standing, truncal
ataxia, and myoclonic jerks of the upper extremity that had progressively
worsened over three weeks. Magnetic resonance imaging and head computed
tomography showed no acute change from baseline. After admission, the patient
reported visual hallucinations, vertigo, and slurred speech, and displayed
nocturnal agitation/delirium. These symptoms were managed with risperidone. Prior
to admission, the most recent medication change was the initiation of bupropion
100 mg extended-release twice daily. Bupropion was titrated to 150 mg twice daily
over the three weeks prior to the ED visit. Gradual tapering of the bupropion
dose was started after admission. Symptoms of agitation, delirium, speech, and
motor disturbances decreased 36 to 48 hours after bupropion dose was lowered to
75 mg daily, and risperidone was changed to quetiapine. The patient was
discharged to short-term rehabilitation with return of mental status to baseline.
Bupropion and quetiapine were discontinued at discharge from the rehabilitation
center. Case reports exist for acute psychotic and parkinsonian symptoms after
administration of bupropion and bupropion extended-release, but none exist for
the combination of focal neurologic deficits and psychotic symptoms found in this
patient's presentation. Limited pharmacokinetic data in the elderly and those
with renal impairment suggest that this patient population may have reduced
clearance of bupropion. Dose adjustment should be considered in such patients and
signs of toxicity closely monitored. Adverse reactions to bupropion should be
considered if a patient presents with acute neurologic or psychotic symptoms
after initiation or dose modification of bupropion.
PMID- 21896474
TI - Dealing with those difficult coworkers.
PMID- 21896476
TI - WITHDRAWN: Ceramide kinase regulates the production of TNF{alpha} via inhibition
of TNF{alpha}-converting enzyme.
AB - This manuscript was withdrawn by the author.
PMID- 21896475
TI - Modification of histones by sugar beta-N-acetylglucosamine (GlcNAc) occurs on
multiple residues, including histone H3 serine 10, and is cell cycle-regulated.
AB - The monosaccharide, beta-N-acetylglucosamine (GlcNAc), can be added to the
hydroxyl group of either serines or threonines to generate an O-linked beta-N
acetylglucosamine (O-GlcNAc) residue (Love, D. C., and Hanover, J. A. (2005) Sci.
STKE 2005 312, 1-14; Hart, G. W., Housley, M. P., and Slawson, C. (2007) Nature
446, 1017-1022). This post-translational protein modification, termed O
GlcNAcylation, is reversible, analogous to phosphorylation, and has been
implicated in many cellular processes. Here, we present evidence that in human
cells all four core histones of the nucleosome are substrates for this
glycosylation in the relative abundance H3, H4/H2B, and H2A. Increasing the
intracellular level of UDP-GlcNAc, the nucleotide sugar donor substrate for O
GlcNAcylation enhanced histone O-GlcNAcylation and partially suppressed
phosphorylation of histone H3 at serine 10 (H3S10ph). Expression of recombinant
H3.3 harboring an S10A mutation abrogated histone H3 O-GlcNAcylation relative to
its wild-type version, consistent with H3S10 being a site of histone O
GlcNAcylation (H3S10glc). Moreover, O-GlcNAcylated histones were lost from
H3S10ph immunoprecipitates, whereas immunoprecipitation of either H3K4me3 or
H3K9me3 (active or inactive histone marks, respectively) resulted in co
immunoprecipitation of O-GlcNAcylated histones. We also examined histone O
GlcNAcylation during cell cycle progression. Histone O-GlcNAcylation is high in
G(1) cells, declines throughout the S phase, increases again during late S/early
G(2), and persists through late G(2) and mitosis. Thus, O-GlcNAcylation is a
novel histone post-translational modification regulating chromatin conformation
during transcription and cell cycle progression.
PMID- 21896477
TI - Exosite interactions impact matrix metalloproteinase collagen specificities.
AB - Members of the matrix metalloproteinase (MMP) family selectively cleave collagens
in vivo. However, the substrate structural determinants that facilitate
interaction with specific MMPs are not well defined. We hypothesized that type I
III collagen sequences located N- or C-terminal to the physiological cleavage
site mediate substrate selectivity among MMP-1, MMP-2, MMP-8, MMP-13, and MMP
14/membrane-type 1 (MT1)-MMP. The enzyme kinetics for hydrolysis of three
fluorogenic triple-helical peptides (fTHPs) was evaluated herein. The first fTHP
contained consensus residues 769-783 from type I-III collagens, the second
inserted alpha1(II) collagen residues 763-768 N-terminal to the consensus
sequence, and the third inserted alpha1(II) collagen residues 784-792 C-terminal
to the consensus sequence. Our analyses showed that insertion of the C-terminal
residues significantly increased k(cat)/K(m) and k(cat) for MMP-1. MMP-13 showed
the opposite behavior with a decreased k(cat)/K(m) and k(cat) and a greatly
improved K(m) in response to the C-terminal residues. Insertion of the N-terminal
residues enhanced k(cat)/K(m) and k(cat) for MMP-8 and MT1-MMP. For MMP-2, the C
terminal residues enhanced K(m) and dramatically decreased k(cat), resulting in a
decrease in the overall activity. These changes in activities and kinetic
parameters represented the collagen preferences of MMP-8, MMP-13, and MT1-MMP
well. Thus, interactions with secondary binding sites (exosites) helped direct
the specificity of these enzymes. However, MMP-1 collagen preferences were not
recapitulated by the fTHP studies. The preference of MMP-1 for type III collagen
appears to be primarily based on the flexibility of the hydrolysis site of type
III collagen compared with types I and II. Further characterization of exosite
determinants that govern interactions of MMPs with collagenous substrates should
aid the development of pharmacotherapeutics that target individual MMPs.
PMID- 21896478
TI - The E3 ubiquitin ligase mind bomb-2 (MIB2) protein controls B-cell CLL/lymphoma
10 (BCL10)-dependent NF-kappaB activation.
AB - B-cell CLL/lymphoma 10 (BCL10) is crucial for the activation of NF-kappaB in
numerous immune receptor signaling pathways, including the T-cell receptor (TCR)
and B-cell receptor signaling pathways. However, the molecular mechanisms that
lead to signal transduction from BCL10 to downstream NF-kappaB effector kinases,
such as TAK1 and components of the IKK complex, are not entirely understood. Here
we used a proteomic approach and identified the E3 ligase MIB2 as a novel
component of the activated BCL10 complex. In vitro translation and pulldown
assays suggest direct interaction between BCL10 and MIB2. Overexpression
experiments show that MIB2 controls BCL10-mediated activation of NF-kappaB by
promoting autoubiquitination and ubiquitination of IKKgamma/NEMO, as well as
recruitment and activation of TAK1. Knockdown of MIB2 inhibited BCL10-dependent
NF-kappaB activation. Together, our results identify MIB2 as a novel component of
the activated BCL10 signaling complex and a missing link in the BCL10-dependent
NF-kappaB signaling pathway.
PMID- 21896479
TI - Cysteine cathepsins S and L modulate anti-angiogenic activities of human
endostatin.
AB - Human endostatin, a potent anti-angiogenic protein, is generated by release of
the C terminus of collagen XVIII. Here, we propose that cysteine cathepsins are
involved in both the liberation and activation of bioactive endostatin fragments,
thus regulating their anti-angiogenic properties. Cathepsins B, S, and L
efficiently cleaved in vitro FRET peptides that encompass the hinge region
corresponding to the N terminus of endostatin. However, in human umbilical vein
endothelial cell-based assays, silencing of cathepsins S and L, but not cathepsin
B, impaired the generation of the ~22-kDa endostatin species. Moreover,
cathepsins L and S released two peptides from endostatin with increased
angiostatic properties and both encompassing the NGR sequence, a vasculature
homing motif. The G10T peptide (residues 1455-1464: collagen XVIII numbering)
displayed compelling anti-proliferative (EC(50) = 0.23 nm) and proapoptotic
properties. G10T inhibited aminopeptidase N (APN/CD13) and reduced tube formation
of endothelial cells in a manner similar to bestatin. Combination of G10T with
bestatin resulted in no further increase in anti-angiogenic activity. Taken
together, these data suggest that endostatin-derived peptides may represent novel
molecular links between cathepsins and APN/CD13 in the regulation of
angiogenesis.
PMID- 21896480
TI - Asp- and Glu-specific novel dipeptidyl peptidase 11 of Porphyromonas gingivalis
ensures utilization of proteinaceous energy sources.
AB - Porphyromonas gingivalis and Porphyromonas endodontalis, asaccharolytic black
pigmented anaerobes, are predominant pathogens of human chronic and periapical
periodontitis, respectively. They incorporate di- and tripeptides from the
environment as carbon and energy sources. In the present study we cloned a novel
dipeptidyl peptidase (DPP) gene of P. endodontalis ATCC 35406, designated as
DPP11. The DPP11 gene encoded 717 amino acids with a molecular mass of 81,090 Da
and was present as a 75-kDa form with an N terminus of Asp(22). A homology search
revealed the presence of a P. gingivalis orthologue, PGN0607, that has been
categorized as an isoform of authentic DPP7. P. gingivalis DPP11 was exclusively
cell-associated as a truncated 60-kDa form, and the gene ablation retarded cell
growth. DPP11 specifically removed dipeptides from oligopeptides with the
penultimate N-terminal Asp and Glu and has a P2-position preference to
hydrophobic residues. Optimum pH was 7.0, and the k(cat)/K(m) value was higher
for Asp than Glu. Those activities were lost by substitution of Ser(652) in P.
endodontalis and Ser(655) in P. gingivalis DPP11 to Ala, and they were
consistently decreased with increasing NaCl concentration. Arg(670) is a unique
amino acid completely conserved in all DPP11 members distributed in the genera
Porphyromonas, Bacteroides, and Parabacteroides, whereas this residue is
converted to Gly in all authentic DPP7 members. Substitution analysis suggested
that Arg(670) interacts with an acidic residue of the substrate. Considered to
preferentially utilize acidic amino acids, DPP11 ensures efficient degradation of
oligopeptide substrates in these Gram-negative anaerobic rods.
PMID- 21896481
TI - The general definition of the p97/valosin-containing protein (VCP)-interacting
motif (VIM) delineates a new family of p97 cofactors.
AB - Cellular functions of the essential, ubiquitin-selective AAA ATPase p97/valosin
containing protein (VCP) are controlled by regulatory cofactors determining
substrate specificity and fate. Most cofactors bind p97 through a ubiquitin
regulatory X (UBX) or UBX-like domain or linear sequence motifs, including the
hitherto ill defined p97/VCP-interacting motif (VIM). Here, we present the new,
minimal consensus sequence RX(5)AAX(2)R as a general definition of the VIM that
unites a novel family of known and putative p97 cofactors, among them UBXD1 and
ZNF744/ANKZF1. We demonstrate that this minimal VIM consensus sequence is
necessary and sufficient for p97 binding. Using NMR chemical shift mapping, we
identified several residues of the p97 N-terminal domain (N domain) that are
critical for VIM binding. Importantly, we show that cellular stress resistance
conferred by the yeast VIM-containing cofactor Vms1 depends on the physical
interaction between its VIM and the critical N domain residues of the yeast p97
homolog, Cdc48. Thus, the VIM-N domain interaction characterized in this study is
required for the physiological function of Vms1 and most likely other members of
the newly defined VIM family of cofactors.
PMID- 21896482
TI - A unique role for heat shock protein 70 and its binding partner tissue
transglutaminase in cancer cell migration.
AB - Cell migration is essential for several important biological outcomes and is
involved in various developmental disorders and disease states including cancer
cell invasiveness and metastasis. A fundamental step in cell migration is the
development of a leading edge. By using HeLa carcinoma cells as an initial model
system, we uncovered a surprising role for the heat shock protein 70 (Hsp70) and
its ability to bind the protein cross-linking enzyme, tissue transglutaminase
(tTG), in cancer cell migration. Treatment of HeLa cells with EGF results in the
activation of a plasma membrane-associated pool of tTG and its redistribution to
the leading edges of these cells, which are essential events for EGF-stimulated
HeLa cell migration. However, we then found that the ability of tTG to be
localized to the leading edge is dependent on Hsp70. Similarly, the localization
of tTG to the leading edges of MDAMB231 breast carcinoma cells, where it also
plays an essential role in their migration, has a strict requirement for Hsp70.
Treatment of these different cell lines with inhibitors against the ATP
hydrolytic activity of Hsp70 prevented tTG from localizing to their leading edges
and thereby blocked EGF-stimulated HeLa cell migration, as well as the
constitutive migration normally exhibited by MDAMB231 cells. These findings
highlight a new and unconventional role for the chaperonin activity of Hsp70 in
the localization of a key regulatory protein (tTG) at the leading edges of cancer
cells and the important consequences that this holds for their ability to
migrate.
PMID- 21896483
TI - Local elongation of endothelial cell-anchored von Willebrand factor strings
precedes ADAMTS13 protein-mediated proteolysis.
AB - Platelet-decorated von Willebrand factor (VWF) strings anchored to the
endothelial surface are rapidly cleaved by ADAMTS13. Individual VWF string
characteristics such as number, location, and auxiliary features of the ADAMTS13
cleavage sites were explored here using imaging and computing software. By
following changes in VWF string length, we demonstrated that VWF strings are
cleaved multiple times, successively shortening string length in the function of
time and generating fragments ranging in size from 5 to over 100 MUm. These are
larger than generally observed in normal plasma, indicating that further
proteolysis takes place in circulation. Interestingly, in 89% of all cleavage
events, VWF strings elongate precisely at the cleavage site before ADAMTS13
proteolysis. These local elongations are a general characteristic of VWF strings,
independent of the presence of ADAMTS13. Furthermore, large elongations, ranging
in size from 1.4 to 40 MUm, occur at different sites in space and time. In
conclusion, ADAMTS13-mediated proteolysis of VWF strings under flow is preceded
by large elongations of the string at the cleavage site. These elongations may
lead to the simultaneous exposure of many exosites, thereby facilitating ADAMTS13
mediated cleavage.
PMID- 21896484
TI - The vitamin K-dependent carboxylase generates gamma-carboxylated glutamates by
using CO2 to facilitate glutamate deprotonation in a concerted mechanism that
drives catalysis.
AB - The gamma-glutamyl carboxylase converts Glu to carboxylated Glu (Gla) to activate
a large number of vitamin K-dependent proteins with diverse functions, and this
broad physiological impact makes it critical to understand the mechanism of
carboxylation. Gla formation is thought to occur in two independent steps (i.e.
Glu deprotonation to form a carbanion that then reacts with CO(2)), based on
previous studies showing unresponsiveness of Glu deprotonation to CO(2). However,
our recent studies on the kinetic properties of a variant enzyme (H160A) showing
impaired Glu deprotonation prompted a reevaluation of this model. Glu
deprotonation monitored by tritium release from the glutamyl gamma-carbon was
dependent upon CO(2), and a proportional increase in both tritium release and Gla
formation occurred over a range of CO(2) concentrations. This discrepancy with
the earlier studies using microsomes is probably due to the known accessibility
of microsomal carboxylase to water, which reprotonates the carbanion. In
contrast, tritium incorporation experiments with purified carboxylase showed very
little carbanion reprotonation and consequently revealed the dependence of Glu
deprotonation on CO(2). Cyanide stimulated Glu deprotonation and carbanion
reprotonation to the same extent in wild type enzyme but not in the H160A
variant. Glu deprotonation that depends upon CO(2) but that also occurs when
water or cyanide are present strongly suggests a concerted mechanism facilitated
by His-160 in which an electrophile accepts the negative charge on the developing
carbanion. This revised mechanism provides important insight into how the
carboxylase catalyzes the reaction by avoiding the formation of a high energy
discrete carbanion.
PMID- 21896485
TI - Activation of BlaR1 protein of methicillin-resistant Staphylococcus aureus, its
proteolytic processing, and recovery from induction of resistance.
AB - The fates of BlaI, the gene repressor protein for the bla operon, BlaR1, the beta
lactam sensor/signal transducer, and PC1 beta-lactamase in four strains of
Staphylococcus aureus upon exposure to four different beta-lactam antibiotics
were investigated as a function of time. The genes for the three proteins are
encoded by the bla operon, the functions of which afford inducible resistance to
beta-lactam antibiotics in S. aureus. BlaR1 protein is expressed at low copy
number. Acylation of the sensor domain of BlaR1 by beta-lactam antibiotics
initiates signal transduction to the cytoplasmic domain, a zinc protease, which
is activated and degrades BlaI. This proteolytic degradation derepresses
transcription of all three genes, resulting in inducible resistance. These
processes take place within minutes of exposure to the antibiotics. The BlaR1
protein was shown to undergo fragmentation in three S. aureus strains within the
time frame relevant for manifestation of resistance and was below the detection
threshold in the fourth. Two specific sites of fragmentation were identified, one
cytoplasmic and the other in the sensor domain. This is proposed as a means for
turnover, a process required for recovery from induction of resistance in S.
aureus in the absence of the antibiotic challenge. In S. aureus not exposed to
beta-lactam antibiotics (i.e. not acylated by antibiotic) the same fragmentation
of BlaR1 is still observed, including the shedding of the sensor domain, an
observation that leads to the conclusion that the sites of proteolysis might have
evolved to predispose the protein to degradation within a set period of time.
PMID- 21896486
TI - Novel gamma-secretase enzyme modulators directly target presenilin protein.
AB - gamma-Secretase is essential for the generation of the neurotoxic 42-amino acid
amyloid beta-peptide (Abeta(42)). The aggregation-prone hydrophobic peptide,
which is deposited in Alzheimer disease (AD) patient brain, is generated from a C
terminal fragment of the beta-amyloid precursor protein by an intramembrane
cleavage of gamma-secretase. Because Abeta(42) is widely believed to trigger AD
pathogenesis, gamma-secretase is a key AD drug target. Unlike inhibitors of the
enzyme, gamma-secretase modulators (GSMs) selectively lower Abeta(42) without
interfering with the physiological function of gamma-secretase. The molecular
target(s) of GSMs and hence the mechanism of GSM action are not established. Here
we demonstrate by using a biotinylated photocross-linkable derivative of highly
potent novel second generation GSMs that gamma-secretase is a direct target of
GSMs. The GSM photoprobe specifically bound to the N-terminal fragment of
presenilin, the catalytic subunit of gamma-secretase, but not to other gamma
secretase subunits. Binding was differentially competed by GSMs of diverse
structural classes, indicating the existence of overlapping/multiple GSM binding
sites or allosteric alteration of the photoprobe binding site. The beta-amyloid
precursor protein C-terminal fragment previously implicated as the GSM binding
site was not targeted by the compound. The identification of presenilin as the
molecular target of GSMs directly establishes allosteric modulation of enzyme
activity as a mechanism of GSM action and may contribute to the development of
therapeutically active GSMs for the treatment of AD.
PMID- 21896487
TI - The large extracellular loop of organic cation transporter 1 influences substrate
affinity and is pivotal for oligomerization.
AB - Polyspecific organic anion transporters (OATs) and organic cation transporters
(OCTs) of the SLC22 transporter family play a pivotal role in absorption,
distribution, and excretion of drugs. Polymorphisms in these transporters
influence therapeutic effects. On the basis of functional characterizations,
homology modeling, and mutagenesis, hypotheses for how OCTs bind and translocate
structurally different cations were raised, assuming functionally competent
monomers. However, homo-oligomerization has been described for OATs and OCTs. In
the present study, evidence is provided that the large extracellular loops (EL)
of rat Oct1 (rOct1) and rat Oat1 (rOat1) mediate homo- but not hetero
oligomerization. Replacement of the cysteine residues in the EL of rOct1 by
serine residues (rOct1(6DeltaC-l)) or breaking disulfide bonds with
dithiothreitol prevented oligomerization. rOct1 chimera containing the EL of
rOat1 (rOct1(rOat1-l)) showed oligomerization but reduced transporter amount in
the plasma membrane. For rOct1(6DeltaC-l) and rOct1(rOat1-l), similar K(m) values
for 1-methyl-4-phenylpyridinium(+) (MPP(+)) and tetraethylammonium(+) (TEA(+))
were obtained that were higher compared with rOct1 wild type. The increased K(m)
of rOct1(rOat1-l) indicates an allosteric effect of EL on the cation binding
region. The similar substrate affinity of the oligomerizing and non-oligomerizing
loop mutants suggests that oligomerization does not influence transport function.
Independent transport function of rOct1 monomers was also demonstrated by showing
that K(m) values for MPP(+) and TEA(+) were not changed after treatment with
dithiothreitol and that a tandem protein with two rOct1 monomers showed about 50%
activity with unchanged K(m) values for MPP(+) and TEA(+) when one monomer was
blocked. The data help to understand how OCTs work and how mutations in patients
may affect their functions.
PMID- 21896488
TI - Glioma-derived T cell immunoglobulin- and mucin domain-containing molecule-4
(TIM4) contributes to tumor tolerance.
AB - Tumor tolerance plays a critical role in tumor growth and escape from immune
surveillance. The mechanism of tumor tolerance development is not fully
understood. Regulatory T cells (Tregs) play a critical role in tumor tolerance.
TIM4 (T cell immunoglobulin- and mucin domain-containing molecule-4) is involved
in immune regulation. We investigated the role of TIM4 in the induction of Tregs
in tumors. Surgically removed glioma tissue and peripheral blood samples were
obtained from 25 glioma patients. Immune cells were isolated from the tissue and
blood samples. Confocal microscopy was employed to detect macrophages
phagocytosing apoptotic T cells. The generation of tumor-specific Tregs and the
immune suppression function of Tregs were observed in cell culture models. High
levels of TIM4 were detected in glioma-derived macrophages. Phosphatidylserine
(PS) was detected in glioma-derived T cells; naive T cells expressed low levels
of PS that could be up-regulated by hypoxia. Glioma-derived macrophages
phagocytosed PS-expressing T cells, gaining the tolerogenic properties, which
could induce tumor-specific Tregs; the latter could suppress tumor-specific
CD8(+) T cells. We conclude that macrophage-derived TIM4 plays an important role
in the induction of Tregs in gliomas, which may play an important role in tumor
tolerance.
PMID- 21896489
TI - Apolipoprotein A-I exerts bactericidal activity against Yersinia enterocolitica
serotype O:3.
AB - Apolipoprotein A-I (apoA-I), the main protein component of high density
lipoprotein (HDL), is well recognized for its antiatherogenic, antioxidant, and
antiinflammatory properties. Here, we report a novel role for apoA-I as a host
defense molecule that contributes to the complement-mediated killing of an
important gastrointestinal pathogen, Gram-negative bacterium Yersinia
enterocolitica. We specifically show that the C-terminal domain of apoA-I is the
effector site providing the bactericidal activity. Although the presence of the
lipopolysaccharide O-antigen on the bacterial surface is absolutely required for
apoA-I to kill the bacteria, apoA-I does not interact with the bacteria directly.
To the contrary, exposure of the bacteria by serum proteins triggers apoA-I
deposition on the bacterial surface. As our data show that both purified lipid
free and HDL-associated apoA-I displays anti-bacterial potential, apoA-I mimetic
peptides may be a promising therapeutic agent for the treatment of certain Gram
negative infections.
PMID- 21896490
TI - Ubiquitin-mediated regulation of CD86 protein expression by the ubiquitin ligase
membrane-associated RING-CH-1 (MARCH1).
AB - The activation of naive T cells requires antigen presentation by dendritic cells
(DCs), and the process of antigen presentation is regulated over the course of DC
maturation. One key aspect of this regulation is the cell surface up-regulation
upon DC maturation of peptide.MHC-II complexes and the costimulatory molecule
CD86. It is now clear that these critical induction events involve changes in
ubiquitin-dependent trafficking of MHC-II and CD86 by the E3 ligase membrane
associated RING-CH-1 (MARCH1). Although ubiquitin-dependent trafficking of MHC-II
has been well characterized, much less is known regarding the post
transcriptional regulation of CD86 expression. Here, we examined the physical and
functional interaction between CD86 and MARCH1. We observed that CD86 is rapidly
endocytosed in the presence of MARCH1 followed by lysosome-dependent degradation.
Furthermore, we found that the association between CD86 and MARCH1 was conferred
primarily by the transmembrane domains of the respective proteins. In contrast to
MHC-II, which has a single, conserved ubiquitin acceptor site in the cytosolic
domain, we found that multiple lysine residues in the cytosolic tail of CD86
could support ubiquitination consistent with the relative lack of sequence
conservation across species within the CD86 cytosolic domain. These findings
suggest that MARCH1 recruits multiple substrates via transmembrane domain
mediated interactions to permit substrate ubiquitination in the face of diverse
cytosolic domain sequences.
PMID- 21896491
TI - Genomic analysis reveals a novel nuclear factor-kappaB (NF-kappaB)-binding site
in Alu-repetitive elements.
AB - The transcription factor NF-kappaB is a critical regulator of immune responses.
To determine how NF-kappaB builds transcriptional control networks, we need to
obtain a topographic map of the factor bound to the genome and correlate it with
global gene expression. We used a ChIP cloning technique and identified novel NF
kappaB target genes in response to virus infection. We discovered that most of
the NF-kappaB-bound genomic sites deviate from the consensus and are located away
from conventional promoter regions. Remarkably, we identified a novel abundant NF
kappaB-binding site residing in specialized Alu-repetitive elements having the
potential for long range transcription regulation, thus suggesting that in
addition to its known role, NF-kappaB has a primate-specific function and a role
in human evolution. By combining these data with global gene expression profiling
of virus-infected cells, we found that most of the sites bound by NF-kappaB in
the human genome do not correlate with changes in gene expression of the nearby
genes and they do not appear to function in the context of synthetic promoters.
These results demonstrate that repetitive elements interspersed in the human
genome function as common target sites for transcription factors and may play an
important role in expanding the repertoire of binding sites to engage new genes
into regulatory networks.
PMID- 21896492
TI - The endosomal Na(+)/H(+) exchanger contributes to multivesicular body formation
by regulating the recruitment of ESCRT-0 Vps27p to the endosomal membrane.
AB - Multivesicular bodies (MVBs) are late endosomal compartments containing luminal
vesicles (MVB vesicles) that are formed by inward budding of the endosomal
membrane. In budding yeast, MVBs are an important cellular mechanism for the
transport of membrane proteins to the vacuolar lumen. This process requires a
class E subset of vacuolar protein sorting (VPS) genes. VPS44 (allelic to NHX1)
encodes an endosome-localized Na(+)/H(+) exchanger. The function of the VPS44
exchanger in the context of vacuolar protein transport is largely unknown. Using
a cell-free MVB formation assay system, we demonstrated that Nhx1p is required
for the efficient formation of MVB vesicles in the late endosome. The recruitment
of Vps27p, a class E Vps protein, to the endosomal membrane was dependent on
Nhx1p activity and was enhanced by an acidic pH at the endosomal surface. Taken
together, we propose that Nhx1p contributes to MVB formation by the recruitment
of Vps27p to the endosomal membrane, possibly through Nhx1p antiporter activity.
PMID- 21896493
TI - Changes in cortical morphology resulting from long-term amygdala damage.
AB - The amygdala's contribution to emotion, cognition and behavior depends on its
interactions with subcortical and cortical regions. Amygdala lesions result in
altered functional activity in connected regions, but it is not known whether
there might be long-term structural sequelae as well. We hypothesized that
developmental bilateral amygdala lesions would be associated with specific gray
matter morphometric abnormalities in the ventromedial prefrontal cortex (vmPFC),
anterior cingulate cortex (ACC) and the ventral visual stream. We conducted
regions of interest and vertex-based analyses of structural MRI data acquired in
two patients with long-standing focal bilateral amygdala lesions (S.M. and A.P.),
compared to gender- and age-matched healthy comparison subjects. Both patients
showed significant proportional increases in gray matter volume of the vmPFC.
Cortical thickness was increased in the vmPFC and ACC and decreased in the
ventral visual stream. There were no morphometric changes in dorsolateral
prefrontal cortex or dorsal visual stream cortices. These findings support the
hypothesis that cortical regions strongly connected with the amygdala undergo
morphometric changes with long-standing amygdala damage. This is the first
evidence in humans of the remote alteration of brain morphology in association
with amygdala lesions, and will help in interpreting the structural and
functional consequences of amygdala pathology in neuropsychiatric disorders.
PMID- 21896494
TI - An fMRI study of caring vs self-focus during induced compassion and pride.
AB - This study examined neural activation during the experience of compassion, an
emotion that orients people toward vulnerable others and prompts caregiving, and
pride, a self-focused emotion that signals individual strength and heightened
status. Functional magnetic resonance images (fMRI) were acquired as participants
viewed 55 s continuous sequences of slides to induce either compassion or pride,
presented in alternation with sequences of neutral slides. Emotion self-report
data were collected after each slide condition within the fMRI scanner.
Compassion induction was associated with activation in the midbrain
periaqueductal gray (PAG), a region that is activated during pain and the
perception of others' pain, and that has been implicated in parental nurturance
behaviors. Pride induction engaged the posterior medial cortex, a region that has
been associated with self-referent processing. Self-reports of compassion
experience were correlated with increased activation in a region near the PAG,
and in the right inferior frontal gyrus (IFG). Self-reports of pride experience,
in contrast, were correlated with reduced activation in the IFG and the anterior
insula. These results provide preliminary evidence towards understanding the
neural correlates of important interpersonal dimensions of compassion and pride.
Caring (compassion) and self-focus (pride) may represent core appraisals that
differentiate the response profiles of many emotions.
PMID- 21896495
TI - Impaired filtering of irrelevant information in dysphoria: an ERP study.
AB - Behavioural findings have led to proposals that difficulties in attention and
concentration in depression may have their roots in fundamental inhibitory
impairments for irrelevant information. These impairments may be associated with
reduced capacity to actively maintain relevant information to facilitate goal
directed behaviour. In light of mixed data from behavioural studies, the current
study using direct neural measurement, examines whether dysphoric individuals
show poor filtering of irrelevant information and reduced working memory (WM)
capacity for relevant information. Consistent with previous research, a sustained
event-related potential (ERP) asymmetry, termed contra-lateral delay activity
(CDA), was observed to be sensitive to WM capacity and the efficient filtering of
irrelevant information from visual WM. We found a strong positive correlation
between the efficiency of filtering irrelevant items and visual WM capacity.
Specifically, dysphoric participants were poor at filtering irrelevant
information, and showed reduced WM capacity relative to high capacity non
dysphoric participants. Results support the hypothesis that impaired inhibition
is a central feature of dysphoria and are discussed within the framework of
cognitive and neurophysiological models of depression.
PMID- 21896496
TI - How does the brain regulate negative bias to stigma?
AB - The current study uses functional magnetic resonance imaging (fMRI) to examine
whether regulating negative bias to stigmatized individuals has a unique neural
activity profile from general emotion regulation. Participants were presented
with images of stigmatized (e.g. homeless people) or non-stigmatized (e.g. a man
holding a gun) social targets while undergoing fMRI and were asked either to
maintain or regulate their emotional response. Their implicit bias toward these
stigmatized group members was also measured. Analyses were conducted in both, an
event-related fashion, considering the event to be the onset of regulation, and
in a blocked-design fashion, considering the sustained activity throughout the 8
s regulatory period. In the event-related (onset) analyses, participants showed
more activity throughout the prefrontal cortex when initiating a regulatory
response to stigmatized as compared with non-stigmatized images. This neural
activity was positively correlated with their implicit bias. Interestingly, in
the block (sustained) analyses, general emotion regulation elicited a more
widespread pattern of neural activity as compared with stigma regulation. This
activity was largely posterior, suggesting that general emotion regulation may
engage more visuo-spatial processing as compared with stigma regulation. These
findings suggest that regulating negative affect toward stigmatized targets may
occur relatively more quickly than regulating negative affect toward non
stigmatized targets.
PMID- 21896498
TI - Solute clearance in CRRT: prescribed dose versus actual delivered dose.
AB - BACKGROUND: Substantial efforts have been made toward defining the dose threshold
of continuous renal replacement therapy (CRRT) associated with improved survival
in critically ill patients with acute kidney injury. Published studies have used
prescribed effluent rates, expressed as total effluent volume (TEV) per weight
and unit time (mL/kg/h), as a surrogate for dose. The purpose of this study was
to compare differences in CRRT dose based on prescribed effluent rate, measured
TEV and direct measurement of urea and creatinine clearance. METHODS: We analyzed
data that had been prospectively collected on 200 patients enrolled in a
randomized trial comparing survival with a prescribed effluent rate of 20 mL/kg/h
(standard dose) to 35 mL/kg/h (high dose) using pre-dilution continuous
venovenous hemodiafiltration (CVVHDF). Filters were changed every 72 h. Blood
urea nitrogen (BUN), serum creatinine (SCr), effluent urea nitrogen (EUN) and
effluent creatinine (ECr) were collected daily. Actual delivered dose was
calculated as: (EUN/BUN)*TEV for urea and (ECr/SCr)*TEV for creatinine. Data were
available for 165 patients. RESULTS: In both groups, prescribed dose differed
significantly from the measured TEV dose (P < 0.001). In the standard dose group,
there was no difference between the measured TEV dose and actual delivered urea
and creatinine clearances. However, in the high-dose group, measured TEV dose
differed significantly from delivered urea clearance by 7.1% (P < 0.001) and
creatinine clearance by 13.9% (P < 0.001). CONCLUSIONS: Dose based on prescribed
effluent rate or measured TEV is a poor substitute for actual CVVHDF creatinine
and urea clearance.
PMID- 21896497
TI - The balance between feeling and knowing: affective and cognitive empathy are
reflected in the brain's intrinsic functional dynamics.
AB - Affective empathy (AE) is distinguished clinically and neurally from cognitive
empathy (CE). While AE is selectively disrupted in psychopathy, autism is
associated with deficits in CE. Despite such dissociations, AE and CE together
contribute to normal human empathic experience. A dimensional measure of
individual differences in AE 'relative to' CE captures this interaction and may
reveal brain-behavior relationships beyond those detectable with AE and CE
separately. Using resting-state fMRI and measures of empathy in healthy adults,
we show that relative empathic ability (REA) is reflected in the brain's
intrinsic functional dynamics. Dominance of AE was associated with stronger
functional connectivity among social-emotional regions (ventral anterior insula,
orbitofrontal cortex, amygdala, perigenual anterior cingulate). Dominance of CE
was related to stronger connectivity among areas implicated in interoception,
autonomic monitoring and social-cognitive processing (brainstem, superior
temporal sulcus, ventral anterior insula). These patterns were distinct from
those observed with AE and CE separately. Finally, REA and the strength of
several functional connections were associated with symptoms of psychopathology.
These findings suggest that REA provides a dimensional index of empathic function
and pathological tendencies in healthy adults, which are reflected in the
intrinsic functional dynamics of neural systems associated with social and
emotional cognition.
PMID- 21896499
TI - Association of hepatitis C virus infection and malnutrition-inflammation complex
syndrome in maintenance hemodialysis patients.
AB - BACKGROUND: Patients undergoing maintenance hemodialysis (MHD) have a
significantly higher prevalence of hepatitis C virus (HCV) infection and
malnutrition-inflammation complex syndrome (MICS). In the present study of
Taiwanese MHD patients, we determined the clinical characteristics and influence
of HCV infection on MICS by calculation of the malnutrition-inflammation score
(MIS). METHODS: This was a prospective longitudinal study performed at a single
hemodialysis (HD) center in Taiwan from September 2007 through March 2008. The
study enrolled 58 patients (38%) in the active HCV group and 95 patients (62%) in
the non-HCV group. The two or three weekly HD sessions of all patients were
followed for 7 months. The MIS was assessed using 10 components, 7 from the
conventional subjective global assessment of nutrition and 3 additional elements,
body mass index, serum albumin and total iron-binding capacity. RESULTS: HD
vintage and total MIS score were greater in patients with active HCV. The active
HCV group had significantly longer dialysis vintage and lower total cholesterol
but higher total MIS score than the non-HCV group. The MIS 5 score, a measure of
major comorbid conditions (including number of years on dialysis), was also
significantly higher in the active HCV group. CONCLUSION: MHD patients with
active HCV infections have more severe MICS-associated metabolic and
physiological disease than MHD patients without active HCV infection.
PMID- 21896500
TI - Albumin-induced apoptosis of glomerular parietal epithelial cells is modulated by
extracellular signal-regulated kinase 1/2.
AB - BACKGROUND: The biological role(s) of glomerular parietal epithelial cells (PECs)
is not fully understood in health or disease. Given its location, PECs are
constantly exposed to low levels of filtered albumin, which is increased in
nephrotic states. We tested the hypothesis that PECs internalize albumin and
increased uptake results in apoptosis. METHODS: Confocal microscopy of
immunofluorescent staining and immunohistochemistry were used to demonstrate
albumin internalization in PECs and to quantitate albumin uptake in normal mice
and rats as well as experimental models of membranous nephropathy, minimal change
disease/focal segmental glomerulosclerosis and protein overload nephropathy.
Fluorescence-activated cell sorting analysis was performed on immortalized
cultured PECs exposed to fluorescein isothiocyanate (FITC)-labeled albumin in the
presence of an endosomal inhibitor or vehicle. Apoptosis was measured by Hoechst
staining in cultured PECs exposed to bovine serum albumin. Levels of
phosphorylated extracellular signal-regulated kinase 1 and 2 (p-ERK1/2) were
restored by retroviral infection of mitogen-activated protein kinase (MEK) 1/2
and reduced by U0126 in PECs exposed to high albumin levels in culture and
apoptosis measured by Hoechst staining. RESULTS: PECs internalized albumin
normally, and this was markedly increased in all of the experimental disease
models (P<0.05 versus controls). Cultured immortalized PECs also internalize FITC
labeled albumin, which was reduced by endosomal inhibition. A consequence of
increased albumin internalization was PEC apoptosis in vitro and in vivo.
Candidate signaling pathways underlying these events were examined. Data showed
markedly reduced levels of phosphorylated extracellular signal-regulated kinase 1
and 2 (ERK1/2) in PECs exposed to high albumin levels in nephropathy and in
culture. A role for ERK1/2 in limiting albumin-induced apoptosis was shown by
restoring p-ERK1/2 by retroviral infection, which reduced apoptosis in cultured
PECs, while a forced decrease of p-ERK1/2 through inhibition of MEK 1/2
significantly increased albumin-induced PEC apoptosis. CONCLUSIONS: A normal role
of PECs is to take up filtered albumin. However, this is increased in proteinuric
glomerular diseases, leading to apoptosis through changes in ERK1/2.
PMID- 21896502
TI - Prevalence and predictors of anemia in a population-based study of octogenarians
and centenarians in Georgia.
AB - BACKGROUND: Anemia has been associated with increased physical and financial
costs and occurs more frequently in older individuals. Therefore, the primary
objectives of this study were to examine the prevalence and possible predictors
of anemia in the very old. METHODS: Hemoglobin was used to identify those with
anemia in a group of centenarians and near centenarians (98+, n = 185) and
octogenarians (n = 69), who were recruited as part of the population-based
multidisciplinary Georgia Centenarian Study. Blood markers, including ferritin,
vitamin B12, red blood cell folate, methylmalonic acid, creatinine, and C
reactive protein, demographic variables, and medication and/or supplement usage
were used to determine possible predictors of anemia. RESULTS: The prevalence of
anemia was 26.2% in octogenarians and 52.1% in centenarians. Low serum albumin
(<3.6 g/dL) and decreased estimated glomerular filtration rate (<45 mL/min/m(2))
were predictors of anemia in centenarians. CONCLUSIONS: Anemia is a major health
issue, particularly as people age. Because of the high prevalence of anemia in
older individuals, awareness of the predictors associated with anemia becomes
increasingly important so as to reduce the negative consequences associated with
it and allow for the identification of steps that can be taken to correct anemia,
including managing chronic disease.
PMID- 21896503
TI - Effect of aging on power output properties in rat skinned cardiac myocytes.
AB - Aging is generally associated with a decline in several indices of cardiac
function. The cellular mechanisms for this decline are not completely understood.
The ability of the myocardium to perform external work (power output) is a
critical aspect of ventricular function. The purpose of this study was to
determine the effect of aging on loaded shortening and power output properties.
We measured force-velocity properties in permeabilized (skinned) myocytes from
the hearts of 9-, 24-, and 33-month-old male Fisher 344 * Brown Norway F1 hybrid
rats (F344BN) during loaded contractions using a force-clamp technique. Power
output was calculated by multiplying force and shortening velocity values. We
found that peak power output normalized to maximal force was significantly
decreased by 18% and 31% in myocytes from 24- and 33-month-old group,
respectively, compared with 9-month group (p < .05). These results suggest that
aging is associated with a significant decrease in the ability of the myocardium
to do work.
PMID- 21896501
TI - Intracellular transport of plant viruses: finding the door out of the cell.
AB - Plant viruses are a class of plant pathogens that specialize in movement from
cell to cell. As part of their arsenal for infection of plants, every virus
encodes a movement protein (MP), a protein dedicated to enlarging the pore size
of plasmodesmata (PD) and actively transporting the viral nucleic acid into the
adjacent cell. As our knowledge of intercellular transport has increased, it has
become apparent that viruses must also use an active mechanism to target the
virus from their site of replication within the cell to the PD. Just as viruses
are too large to fit through an unmodified plasmodesma, they are also too large
to be freely diffused through the cytoplasm of the cell. Evidence has accumulated
now for the involvement of other categories of viral proteins in intracellular
movement in addition to the MP, including viral proteins originally associated
with replication or gene expression. In this review, we will discuss the
strategies that viruses use for intracellular movement from the replication site
to the PD, in particular focusing on the role of host membranes for intracellular
transport and the coordinated interactions between virus proteins within cells
that are necessary for successful virus spread.
PMID- 21896504
TI - Influenza-like illness in Norway: clinical course, attitudes towards vaccination
and preventive measures during the 2009 pandemic.
AB - OBJECTIVES: To document clinical characteristics of influenza-like illness,
reported use of health preventive measures and attitudes towards vaccination
among patients with influenza-like illness in general practice during the
influenza pandemic in 2009. METHODS: Cross-sectional survey in general practice.
Patients, who were identified as having influenza-like illness during the peak of
the influenza pandemic activity in Norway, were eligible for inclusion in the
study. A questionnaire was sent 2-4 weeks after the patients visit to the GP with
influenza-like illness diagnosis during October to December 2009, from general
practices in Norway. A sample of responders >18 years also had a blood test to
check for serological response to the pandemic H1N1 virus. RESULTS:
Questionnaires were sent to 1324 patients, and 357 (27%) were returned. Fever
(91% versus 49%, P < 0.01), cough (85% versus 73%, P = 0.016) and
gastrointestinal symptoms (58% versus 38%, P < 0.01) were more frequent in the
age group <18 years compared to older patients. Serological H1N1 responses were
analysed in 72 patients; 34 (47%) were positive (haemagglutination inhibition
assay titres >= 40). There were no statistically significant differences in
symptoms between seropositive and seronegative patients. Women reported better
adherence to personal protective measures, such as hand washing and cough
etiquette than men. Women were also more concerned about possible adverse effects
of the pandemic influenza vaccine than men. CONCLUSIONS: Discrimination between
influenza and other viral upper respiratory tract infections is difficult in
daily clinical practice, even during an influenza pandemic. A gender difference
was found in reported precautions to prevent influenza.
PMID- 21896505
TI - Complications of acute rhinosinusitis in The Netherlands.
AB - BACKGROUND: Despite the evidence demonstrating that antibiotics are of little
benefit in acute rhinosinusitis (ARS), GPs continue to prescribe them, possibly
in an attempt to prevent potentially dangerous complications. In this study, we
present epidemiological data about the incidence, course and severity of such
complications in the Netherlands. METHODS: This retrospective cohort study
included all patients hospitalized in The Netherlands in 2004 with a complication
of ARS. Records were made of the symptoms of ARS and the complication,
demographics, medical history, medical treatment preceding hospitalization,
diagnostic techniques, therapeutic management, course and outcome. RESULTS: Forty
seven patients with 48 complications (16 intracranial and 32 orbital) were
included. In the intracranial group (mean age 35.9 years), six patients had been
treated with oral antibiotics prior to hospitalization. While hospitalized, all
patients were treated with intravenous antibiotics and 15 underwent surgery.
Eight patients recovered fully after treatment, three patients had residual
symptoms and three patients died (missing data: 2). Of the 31 patients with
orbital complications (mean age 17.4 years), 14 received oral antibiotics before
admission. While hospitalized, all patients were treated with intravenous
antibiotics and 13 underwent surgery. Twenty-seven patients recovered fully and
two had residual symptoms (missing data: 2). CONCLUSIONS: Severe ARS
complications occur in an otherwise healthy population in an estimated 1:12,000
paediatric and 1:32,000 adult cases in the Netherlands. Our study suggests that
antibiotic treatment of ARS in general practice does not play a role in
preventing complications.
PMID- 21896506
TI - Help-seeking behaviour of Serbian women who experienced intimate partner
violence.
AB - OBJECTIVE: This study aimed to identify whom women in Serbia approach for help in
case of intimate partner violence (IPV), their reasons for seeking help and their
satisfaction with the received help. METHODS: A cross-sectional, population-based
household survey of a random sample of women aged 15-49 years was conducted in
Belgrade (WHO Multi-country Study on Women's Health and Domestic Violence against
Women). A standard questionnaire was administered by trained interviewers through
face-to-face interviews. RESULTS: The questionnaire was completed by 1456 women
and 1196 of them ever had an intimate partner. Almost one in four ever-partnered
women reported experiencing either physical and/or sexual violence, at least once
in their life. Among these abused women, ~ 22% had ever sought help from formal
institutions. Police and health services were most commonly approached (12% and
10% of abused women, respectively). Satisfaction with services was highest for
health services and legal advice and lowest for police and social services. Women
sought help especially when violence had a severe impact on them or when they saw
that their children suffered. Women who did not seek help stated that they
believed that the violence was bearable or had ended. Other reasons for not
seeking help were fear of undesirable consequences of seeking help and lack of
trust in institutions. CONCLUSIONS: From the abused women's perspective, health
care services are the institutions with the highest potential to help women in
cases of IPV. Developing a comprehensive health sector response is of critical
importance to ensure appropriate care and referral.
PMID- 21896507
TI - wapRNA: a web-based application for the processing of RNA sequences.
AB - SUMMARY: mRNA/miRNA-seq technology is becoming the leading technology to globally
profile gene expression and elucidate the transcriptional regulation mechanisms
in living cells. Although there are many tools available for analyzing RNA-seq
data, few of them are available as easy accessible online web tools for
processing both mRNA and miRNA data for the RNA-seq based user community. As
such, we have developed a comprehensive web application tool for processing mRNA
seq and miRNA-seq data. Our web tool wapRNA includes four different modules: mRNA
seq and miRNA-seq sequenced from SOLiD or Solexa platform and all the modules
were tested on previously published experimental data. We accept raw sequence
data with an optional reads filter, followed by mapping and gene annotation or
miRNA prediction. wapRNA also integrates downstream functional analyses such as
Gene Ontology, KEGG pathway, miRNA targets prediction and comparison of gene's or
miRNA's different expression in different samples. Moreover, we provide the
executable packages for installation on user's local server. AVAILABILITY: wapRNA
is freely available for use at http://waprna.big.ac.cn. The executable packages
and the instruction for installation can be downloaded from our web site.
CONTACT: husn@big.ac.cn; songshh@big.ac.cn. SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 21896508
TI - Correlated evolution of transcription factors and their binding sites.
AB - MOTIVATION: The interaction between transcription factor (TF) and transcription
factor binding site (TFBS) is essential for gene regulation. Mutation in either
the TF or the TFBS may weaken their interaction and thus result in abnormalities.
To maintain such vital interaction, a mutation in one of the interacting partners
might be compensated by a corresponding mutation in its binding partner during
the course of evolution. Confirming this co-evolutionary relationship will guide
us in designing protein sequences to target a specific DNA sequence or in
predicting TFBS for poorly studied proteins, or even correcting and rescuing
disease mutations in clinical applications. RESULTS: Based on six, publicly
available, experimentally validated TF-TFBS binding datasets for the basic Helix
Loop-Helix (bHLH) family, Homeo family, High-Mobility Group (HMG) family and
Transient Receptor Potential channels (TRP) family, we showed that the evolutions
of the TFs and their TFBSs are significantly correlated across eukaryotes. We
further developed a mutual information-based method to identify co-evolved
protein residues and DNA bases. This research sheds light on the dynamic
relationship between TF and TFBS during their evolution. The same principle and
strategy can be applied to co-evolutionary studies on protein-DNA interactions in
other protein families. AVAILABILITY: All the datasets, scripts and other related
files have been made freely available at: http://jjwanglab.org/co-evo. CONTACT:
junwen@uw.edu. SUPPLEMENTARY INFORMATION: Supplementary data are available at
Bioinformatics online.
PMID- 21896510
TI - Robust relative compression of genomes with random access.
AB - MOTIVATION: Storing, transferring and maintaining genomic databases becomes a
major challenge because of the rapid technology progress in DNA sequencing and
correspondingly growing pace at which the sequencing data are being produced.
Efficient compression, with support for extraction of arbitrary snippets of any
sequence, is the key to maintaining those huge amounts of data. RESULTS: We
present an LZ77-style compression scheme for relative compression of multiple
genomes of the same species. While the solution bears similarity to known
algorithms, it offers significantly higher compression ratios at compression
speed over an order of magnitude greater. In particular, 69 differentially
encoded human genomes are compressed over 400 times at fast compression, or even
1000 times at slower compression (the reference genome itself needs much more
space). Adding fast random access to text snippets decreases the ratio to ~300.
AVAILABILITY: GDC is available at http://sun.aei.polsl.pl/gdc. CONTACT:
sebastian.deorowicz@polsl.pl. SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 21896509
TI - SpliceTrap: a method to quantify alternative splicing under single cellular
conditions.
AB - MOTIVATION: Alternative splicing (AS) is a pre-mRNA maturation process leading to
the expression of multiple mRNA variants from the same primary transcript. More
than 90% of human genes are expressed via AS. Therefore, quantifying the
inclusion level of every exon is crucial for generating accurate transcriptomic
maps and studying the regulation of AS. RESULTS: Here we introduce SpliceTrap, a
method to quantify exon inclusion levels using paired-end RNA-seq data. Unlike
other tools, which focus on full-length transcript isoforms, SpliceTrap
approaches the expression-level estimation of each exon as an independent
Bayesian inference problem. In addition, SpliceTrap can identify major classes of
alternative splicing events under a single cellular condition, without requiring
a background set of reads to estimate relative splicing changes. We tested
SpliceTrap both by simulation and real data analysis, and compared it to state-of
the-art tools for transcript quantification. SpliceTrap demonstrated improved
accuracy, robustness and reliability in quantifying exon-inclusion ratios.
CONCLUSIONS: SpliceTrap is a useful tool to study alternative splicing
regulation, especially for accurate quantification of local exon-inclusion ratios
from RNA-seq data. AVAILABILITY AND IMPLEMENTATION: SpliceTrap can be implemented
online through the CSH Galaxy server http://cancan.cshl.edu/splicetrap and is
also available for download and installation at
http://rulai.cshl.edu/splicetrap/. CONTACT: michael.zhang@utdallas.edu.
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online.
PMID- 21896511
TI - A unified front against COPD: clinical practice guidelines from the American
College of Physicians, the American College of Chest Physicians, the American
Thoracic Society, and the European Respiratory Society.
PMID- 21896512
TI - "The cruelest lies are often told in silence".
PMID- 21896513
TI - Obstructive? Restrictive? Or a ventilatory impairment?
PMID- 21896514
TI - Is atherosclerotic heart disease in COPD a distinct phenotype?
PMID- 21896515
TI - Point: efficacy of bronchial thermoplasty for patients with severe asthma. Is
there sufficient evidence? Yes.
PMID- 21896516
TI - Counterpoint: efficacy of bronchial thermoplasty for patients with severe asthma.
Is there sufficient evidence? Not yet.
PMID- 21896518
TI - The Fontana paradoxical reflex?
AB - This commentary describes the "deflation cough" caused by deep lung deflations.
Deflation cough is a paradoxical reflex similar to that described by Henry Head
in 1889 for lung inflations that probably is mediated by the same sensors and
afferent fibers in the lungs and activated by gastroesophageal reflux. We discuss
how this reflex must be self-limiting, the general role of paradoxical reflexes
in the body, and the possible clinical significance of deflation cough.
PMID- 21896519
TI - The role of the clinical and translational science awards program in improving
the quality and efficiency of clinical research.
AB - Recognizing the need to increase the efficiency and quality of translating basic
discovery into treatment and prevention strategies for patients and the public,
the National Institutes of Health (NIH) announced the Clinical and Translational
Science Awards (CTSAs) in 2006. Academic health centers that competed
successfully for these awards agreed to work as a consortium and in cooperation
with the NIH to improve the translation process by training the next generation
of investigators to work in interdisciplinary teams, developing public-private
partnerships in the movement of basic discovery to preclinical and clinical
studies and trials, improving clinical research management, and engaging with
communities to ensure their involvement in shaping research questions and in
implementing research results. The CTSAs have addressed the crucial need to
improve the quality and efficiency of clinical research by (1) providing training
for clinical investigators and for bench researchers to facilitate their
participation in the clinical and translational research environment, (2)
developing more systematic approaches to clinical research management, and (3)
engaging communities as active participants in the design and conduct of clinical
research studies and trials and as leaders in implementing health advances that
are of high importance to them. We provide an overview of the CTSA activities
with attention to these three areas, which are essential to developing efficient
clinical research efforts and effective implementation of research results on a
national level.
PMID- 21896517
TI - Statins and brain dysfunction: a hypothesis to reduce the burden of cognitive
impairment in patients who are critically ill.
AB - Delirium is a frequent form of acute brain dysfunction in patients who are
critically ill and is associated with poor clinical outcomes, including a
critical illness brain injury that may last for months to years. Despite
widespread recognition of significant adverse outcomes, pharmacologic approaches
to prevent or treat delirium during critical illness remain unproven. We
hypothesize that commonly prescribed statin medications may prevent and treat
delirium by targeting molecular pathways of inflammation (peripheral and central)
and microglial activation that are central to the pathogenesis of delirium.
Systemic inflammation, a principal mechanism of injury, for example, in sepsis,
acute respiratory distress syndrome, and other critical illnesses, can cause
neuronal apoptosis, blood-brain barrier injury, brain ischemia, and microglial
activation. We hypothesize that the known pleiotropic effects of statins, which
attenuate such neuroinflammation, may redirect microglial activation and promote
an antiinflammatory phenotype, thereby offering the potential to reduce the
public health burden of delirium and its associated long-term cognitive injury.
PMID- 21896521
TI - The law and its interaction with medical ethics in end-of-life decision making.
AB - The previous two articles in this series explored the historical and theoretical
development of medical decision making from initial reliance on medical
beneficence to a more recent emphasis on patient autonomy. The law of withholding
and withdrawal of treatment has much in common with medical ethics. It is based
on concerns about patient autonomy expressed by courts, legislatures, and the
executive branch of the government. Legally, the patient's right of self
determination has been based on a variety of sources ranging from state and
federal constitutions to the common law of torts and from cases to statutes and
regulations. Understanding the various sources of the law, the distinctions among
those sources, and the interaction of the branches of government in this context
assists in understanding the law itself. In our federalist system of government,
significant legal variations can exist among the states, but although technically
valid, excessive concern about compliance with the precise contours of each
state's statute when surrogate decision makers are engaging in bedside
deliberations is unnecessary. Regardless of source or precise legal contours, the
overall goal, which neither the physician nor the patient's surrogate or proxy
decision makers should forget, is to honor what the patient would want to have
done. Physicians and attorneys will agree on that as a matter of both ethics and
the law.
PMID- 21896520
TI - Alternatively activated macrophages and airway disease.
AB - Macrophages are the most abundant immune cell population in normal lung tissue
and serve critical roles in innate and adaptive immune responses as well as the
development of inflammatory airway disease. Studies in a mouse model of chronic
obstructive lung disease and translational studies of humans with asthma and COPD
have shown that a special subset of macrophages is required for disease
progression. This subset is activated by an alternative pathway that depends on
production of IL-4 and IL-13, in contrast to the classic pathway driven by
interferon-gamma. Recent and unexpected results indicate that alternatively
activated macrophages (AAMs) can also become a major source of IL-13 production
and, thereby, drive the increased mucus production and airway hyperreactivity
that is characteristic of airway disease. Although the normal and abnormal
functions of AAMs are still being defined, it is already apparent that markers of
this immune cell subset can be useful to guide stratification and treatment of
patients with chronic airway diseases. Here, we review basic and clinical
research studies that highlight the importance of AAMs in the pathogenesis of
asthma, COPD, and other chronic airway diseases.
PMID- 21896522
TI - Aerosol therapy for obstructive lung diseases: device selection and practice
management issues.
AB - Inhaled aerosol therapies are the mainstay of treatment of obstructive lung
diseases. Aerosol devices deliver drugs rapidly and directly into the airways,
allowing high local drug concentrations while limiting systemic toxicity. While
numerous clinical trials, literature reviews, and expert panel guidelines inform
the choice of inhalational drugs, deciding which aerosol device (ie, metered-dose
inhaler, nebulizer, or dry powder inhaler) best suits a given patient and
clinical setting can seem arbitrary and confusing. Similar confusion regarding
Current Procedural Terminology (CPT) coding for administration of aerosol
therapies can lead to lost revenue from underbilling and wasted administrative
effort handling denied claims. This article reviews the aerosol devices currently
available, discusses their relative merits in various clinical settings, and
summarizes appropriate CPT coding for aerosol therapy.
PMID- 21896523
TI - Pulmonary histoplasmosis presenting with the reversed halo sign on high
resolution CT scan.
AB - We describe the case of a 23-year-old man with pulmonary histoplasmosis whose
high-resolution CT scan demonstrated the reversed halo sign. We also extensively
review the literature about this CT scan sign. The reversed halo sign has been
described in a number of diseases, both infectious and noninfectious. However, to
our knowledge, this is the first reported case of pulmonary histoplasmosis
presenting with this radiologic finding.
PMID- 21896524
TI - The many faces of Merlin: IgG4-associated pulmonary-renal disease.
AB - Pulmonary-renal syndrome is a common and serious disorder with a broad
differential diagnosis. We describe a case of a middle-aged man presenting with
interstitial pulmonary disease and severe renal impairment caused by a
hypocomplementemic immune-complex-mediated interstitial nephritis. Serum levels
of IgG4 were elevated, and renal biopsy specimens revealed the presence of
interstitial IgG4(+) plasma cells. There was a rapid improvement of both
pulmonary and renal abnormalities after the initiation of corticosteroids. To our
knowledge, this report is the first to show interstitial pulmonary disease in
association with interstitial kidney disease as the predominant and presenting
symptoms of IgG4-related disease.
PMID- 21896526
TI - A 30-year-old woman with intermittent cough and a mass-like opacity in the right
upper lobe.
PMID- 21896525
TI - Toxicology in the ICU: Part 1: general overview and approach to treatment.
AB - Poisonings, adverse drug effects, and envenomations continue to be commonly
encountered. Patients often present critically ill and warrant ICU admission.
Many other patients who are initially stable have the potential for rapid
deterioration and require continuous cardiopulmonary and neurologic monitoring.
Given the potential for rapid deterioration, and because patients need continuous
monitoring, ICU admission is frequently required. This article is the first of a
three-part series to be published in CHEST; it discusses general management,
laboratory tests, enhanced elimination, and emerging therapies. The second
article will address the management of specific overdoses; the last will cover
plants, mushrooms, envenomations, and heavy metals.
PMID- 21896527
TI - 74-year-old man from the pacific northwest with fever and a lung mass.
PMID- 21896528
TI - Persistent dyspnea in a 59-year-old woman receiving immunosuppressants.
PMID- 21896529
TI - Pulmonary graft dysfunction and elevated pulmonary pressures.
PMID- 21896530
TI - Minimally invasive closure of bronchopleural fistulas.
PMID- 21896531
TI - Flavocoxid and hypersensitivity pneumonitis.
PMID- 21896532
TI - Can pulmonary arterial hypertension be diagnosed by an elevated pulmonary
capillary wedge pressure outside of the guideline criteria?
PMID- 21896533
TI - Cytokine gene expression after lung cancer resection may be affected by the
choice of surgical access.
PMID- 21896534
TI - Evidence incorporating patients' values.
PMID- 21896535
TI - A survey of current bronchoscopy practices in Canada: a dearth of evidence or
evidence-based practice?
PMID- 21896536
TI - Clinical characteristics and major comorbidities in heart failure patients more
than 85 years of age compared with younger age groups.
AB - AIMS: Heart failure (HF) is increasingly prevalent among the growing number of
elderly people, but not well studied. We sought to evaluate disease pattern and
importance of prognostic factors among very elderly patients with HF. METHODS AND
RESULTS: Among 8507 patients screened for entry into two studies on HF, we
analysed the clinical characteristics, major comorbidities and prognostic factors
in 825 patients older than 85 years (very elderly) compared with younger age
groups. Adjusted hazard ratios [HR (95% confidence intervals)] of long-term
mortality were calculated using Cox models. The very elderly were more often
female (60 vs. 26%) and had a higher prevalence of preserved ejection fraction
(53 vs. 36%) compared with patients younger than 65 years (P< 0.001). The
prevalence of cardiovascular comorbidities increased with advancing age only
until the seventh decade and then declined, resulting in the lowest prevalence of
diabetes (12 vs. 16%, P< 0.001), hypertension (20 vs. 26%, P< 0.001), ischaemic
heart disease (42 vs. 53%, P< 0.001), and peripheral artery disease (4 vs. 6%, P=
0.017) among the very elderly compared with patients aged <85 years. Non
cardiovascular comorbidities generally increased linearly with age. Long-term
mortality was associated with atrial fibrillation [HR = 1.30 (1.06-1.60), P=
0.013] with greater prognostic importance in the very elderly, while ejection
fraction, diabetes [HR = 1.31 (1.01-1.61), P= 0.04], and renal insufficiency [HR
= 1.36 (1.13-0.63), P< 0.0001] had less prognostic importance than in younger
patients (P for interactions <0.003). CONCLUSION: The prevalence of
cardiovascular comorbidities is lower in very elderly HF patients and has
different prognostic importance.
PMID- 21896537
TI - Clinical trials update from the European Society of Cardiology Heart Failure
meeting 2011: TEHAF, WHICH, CARVIVA, and atrial fibrillation in GISSI-HF and
EMPHASIS-HF.
AB - This article provides information and a commentary on key trials relevant to the
pathophysiology, prevention, and treatment of heart failure (HF) presented at the
European Society of Cardiology Heart Failure meeting held in Gothenburg, Sweden
in May 2011. Unpublished reports should be considered as preliminary, since
analyses may change in the final publication. In the TEHAF study, use of the
Health Buddy((r)) monitoring system failed to reduce the number of HF admissions
compared with usual care but a subgroup of patients with more recently diagnosed
HF may have benefited. In the WHICH study, some reductions in the rate of
hospital stay were observed in patients who underwent a nurse-led home-based
intervention programme following a hospital admission for an acute HF
exacerbation, compared with patients who were followed in a specialized
outpatient clinic. Results from CARVIVA-HF suggest that ivabradine alone or in
combination with carvedilol is safe and effective for improving exercise capacity
and quality of life in HF patients on optimized angiotensin-converting enzyme
inhibitor therapy. In the GISSI-HF study there was no difference in atrial
fibrillation (AF) occurrence between the n-3 polyunsaturated fatty acids and
placebo groups. In EMPHASIS-HF the incidence of new onset AF or flutter was
reduced in patients with mild HF randomized to eplerenone compared with placebo.
PMID- 21896538
TI - Genetic basis of end-stage hypertrophic cardiomyopathy.
AB - AIMS: Hypertrophic cardiomyopathy (HCM) is characterized by a heterogeneous
presentation and clinical course. A minority of HCM patients develop end-stage
HCM and require cardiac transplantation. The genetic basis of end-stage HCM is
unknown but small series, isolated case reports and animal models have related
the most aggressive heart failure course with the presence of multiple mutations.
METHODS AND RESULTS: Twenty-six patients (age 40.4 +/- 14.5 years; 46% male)
transplanted for end-stage HCM underwent genetic screening of 10 HCM-related
genes (MYH7, MYBPC3, TNNT2, TNNI3, TPM1, TNNC1, MYL3, MYL2, ACTC, LDB3).
Additional genetic screening of LAMP2/PRKAG2 and mitochondrial DNA (mtDNA) was
performed in four and three cases, respectively. Findings were correlated with
clinical and histological features. Pathogenic mutations were identified in 15
patients (58%). Thirteen patients (50%) had mutations in sarcomeric genes (six in
MYH7, three in MYBPC3, two in MYL2, one in TNNI3, and one in MYL3) and two
patients had mutations in LAMP2. Only three patients (13%) had double mutations
and all in homozygosis. Except for a more frequent family history of HCM,
patients with mutations in sarcomeric genes did not show any clinical feature
that distinguished them from patients without mutations in these genes.
Evaluation of 44 relatives from 12 families identified 13 mutation carriers, 9 of
whom had an overt HCM phenotype. CONCLUSION: Heart transplanted HCM has a
heterogeneous genetic background where multiple mutations are uncommon. The
clinical course of HCM is not primarily dependent on the presence of multiple
sarcomeric mutations. Clinical and genetic evaluation of relatives does not
support differential clinical management in HCM based on genetics.
PMID- 21896539
TI - Capecitabine versus 5-fluorouracil/folinic acid as adjuvant therapy for stage III
colon cancer: final results from the X-ACT trial with analysis by age and
preliminary evidence of a pharmacodynamic marker of efficacy.
AB - BACKGROUND: This multicenter randomized trial compared oral capecitabine with
bolus i.v. 5-fluorouracil (5-FU)/folinic acid (FA) as adjuvant therapy for stage
III colon cancer. PATIENTS AND METHODS: Patients were assigned to 24 weeks of
capecitabine 1250 mg/m(2) twice daily on days 1-14 every 3 weeks or 5-FU/FA (Mayo
Clinic regimen). The primary end point was disease-free survival (DFS). RESULTS:
The intent-to-treat population received capecitabine (n = 1004) or 5-FU/FA (n =
983). With a median follow-up of 6.9 years, capecitabine was at least equivalent
to 5-FU/FA in terms of DFS [hazard ratio (HR) = 0.88; 95% confidence interval
(CI) 0.77-1.01] and overall survival (OS) (HR = 0.86; 95% CI 0.74-1.01); the 95%
CI upper limits were significantly less than the predefined noninferiority
margins of 1.20 (P < 0.0001) and 1.14 (P < 0.001), respectively. This pattern was
maintained in all subgroups, including patients aged >= 70 years. Preplanned
multivariate analyses showed that capecitabine had statistically significant
beneficial effects on DFS (P = 0.021) and OS (P = 0.020) versus 5-FU/FA. A post
hoc analysis suggested that the occurrence of hand-foot syndrome may be
associated with better outcomes in capecitabine recipients. CONCLUSION: Oral
capecitabine is an effective alternative to bolus 5-FU/FA as adjuvant treatment
of patients with stage III colon cancer with efficacy benefits maintained at 5
years and in older patients.
PMID- 21896541
TI - Cytotoxic drugs for patients with breast cancer in the era of targeted treatment:
back to the future?
AB - BACKGROUND: Despite current trend of targeted therapy development, cytotoxic
agents are a mainstay of treatment of patients with breast cancer. We reviewed
recent advances in cytotoxic therapy for patients with metastatic breast cancer
(MBC). MATERIALS AND METHODS: Medline searches were conducted for English
language studies using the term 'MBC' and 'cytotoxic drugs'. The data search was
restricted to the period 2000-2011. RESULTS: Several novel cytotoxic compounds,
all microtubule inhibitors, have been approved for clinical use in MBC: (i) nab
paclitaxel, reported to improve tumour response and decrease hypersensitivity
reactions in comparison with other taxanes; (ii) ixabepilone, shown to have
clinical benefit in taxane- and anthracycline-resistant disease and (iii)
eribulin, shown to improve overall survival in heavily pre-treated patients, when
compared with best available standard treatment. Agents, such as larotaxel,
vinflunine, trabectidin and formulations, including cationic liposomal paclitaxel
or paclitaxel poliglumex, are currently under evaluation in phase II/III trials.
CONCLUSION: Toxicity and chemotherapy resistance are still major limitations in
the treatment of patients with MBC. Further research into new cytotoxic compounds
is needed in order to maximise benefit, whilst minimising toxicity.
PMID- 21896540
TI - Cationic liposomal paclitaxel plus gemcitabine or gemcitabine alone in patients
with advanced pancreatic cancer: a randomized controlled phase II trial.
AB - BACKGROUND: Paclitaxel embedded in cationic liposomes (EndoTAGTM-1; ET) is an
innovative agent targeting tumor endothelial cells. This randomized controlled
phase II trial evaluated the safety and efficacy of ET in combination with
gemcitabine (GEM) in advanced pancreatic cancer (PDAC). PATIENTS AND METHODS:
Chemotherapy-naive patients with locally advanced or metastatic disease were
randomly assigned to receive weekly GEM 1000 mg/m(2) or GEM plus twice-weekly ET
11, 22 or 44 mg/m(2) for 7 weeks. After a safety run-in of 100 patients, a second
cohort continued treatment. End points included overall survival (OS),
progression-free survival (PFS), tumor response and safety. RESULTS: Two hundred
and twelve patients were randomly allocated to the study and 200 were treated
(80% metastatic, 20% locally advanced). Adverse events were manageable and
reversible. Transient thrombocytopenia and infusion reactions with chills and
pyrexia mostly grade 1 or 2 occurred in the ET groups. Disease control rate after
the first treatment cycle was 43% with GEM and 60%, 65% and 52% in the GEM + ET
cohorts. Median PFS reached 2.7 compared with 4.1, 4.6 and 4.4 months,
respectively. Median OS was 6.8 compared with 8.1, 8.7 and 9.3 months,
respectively. CONCLUSIONS: Treatment of advanced PDAC with GEM + ET was generally
well tolerated. GEM + ET showed beneficial survival and efficacy. A randomized
phase III trial should confirm this positive trend.
PMID- 21896542
TI - Imaging bone metastases in breast cancer: evidence on comparative test accuracy.
AB - BACKGROUND: Numerous imaging modalities may be used to detect bone metastases
(BM) in women with breast cancer. METHODS: Systematic evidence review, including
quality appraisal, of studies reporting on comparative imaging accuracy for
detection of BM from breast cancer. RESULTS: Eligible studies (N = 16) included
breast cancer subjects who had imaging evaluation for suspected BM or for
staging/restaging in suspected local or distant relapse. Median prevalence of BM
was 34.0% (range 10.0%-66.7%). There was substantial heterogeneity in the quality
of reference standards and in the prevalence of BM, which could account for some
of the differences in reported comparative accuracy. Most frequently, bone scan
(BS) was compared with newer imaging modalities in subjects selected to both
tests; therefore, results could be affected by selection bias. There was some
evidence that positron emission tomography (PET), and limited evidence that
PET/computed tomography (CT), CT, and magnetic resonance imaging (MRI), may
provide small increments in accuracy relative to BS as add-on tests; there was
little evidence regarding single photon emission computed tomography or whole
body MRI. CONCLUSIONS: There is some evidence of enhanced incremental accuracy
for some of the above-mentioned tests where used as add-on in subjects selected
to more than one imaging modality, with little evidence to support their
application as a replacement to BS in first-line imaging of BM. PET/CT appears to
have high accuracy and is recommended for further evaluation.
PMID- 21896545
TI - Expanding reproductive lifespan: a cost-effectiveness study on oocyte freezing.
AB - BACKGROUND: The average age of women bearing their first child has increased
strongly. This is an important reproductive health problem as fertility declines
with increasing female age. Unfortunately, IVF using fresh oocytes cannot
compensate for this age-related fertility decline. Oocyte freezing could be a
solution. METHODS: We used the Markov model to estimate the cost-effectiveness of
three strategies for 35-year-old women who want to postpone pregnancy till the
age of 40: Strategy 1: women undergo three cycles of ovarian hyperstimulation at
age 35 for oocyte freezing, then at age 40, use these frozen oocytes for IVF;
Strategy 2: women at age 40 attempt to conceive without treatment; and the
reference strategy: women at age 40 attempt to conceive and, if not pregnant
after 1 year, undergo IVF. Sensitivity analyses were carried out to investigate
assumptions of the model and to identify which model inputs had most impact on
the results. RESULTS: Oocyte freezing (Strategy 1) resulted in a live birth rate
of 84.5% at an average cost of ?10,419. Natural conception (Strategy 2) resulted
in a live birth rate of 52.3% at an average cost of ?310 per birth. IVF (the
reference strategy) resulted in a cumulative live birth rate of 64.6% at an
average cost of ?7798. The cost per additional live birth for the oocyte freezing
strategy was ?13,156 compared to the IVF strategy. If at least 61% of the women
return to collect their oocytes, and if there is a willingness to pay ?19,560
extra per additional live birth, the oocyte freezing strategy is the most cost
effective strategy. CONCLUSION: Oocyte freezing is more cost effective compared
to IVF, if at least 61% of the women return to collect their oocytes and if one
is willing to pay ?19,560 extra per additional live birth. Our Markov model shows
that, considering all the used assumptions, oocyte freezing provides more value
for money than IVF.
PMID- 21896543
TI - Cost comparison of axillary sentinel lymph node detection and axillary
lymphadenectomy in early breast cancer. A national study based on a prospective
multi-institutional series of 985 patients 'on behalf of the Group of Surgeons
from the French Unicancer Federation'.
AB - BACKGROUND: Our objective was to assess the global cost of the sentinel lymph
node detection [axillary sentinel lymph node detection (ASLND)] compared with
standard axillary lymphadenectomy [axillary lymph node dissection (ALND)] for
early breast cancer patients. PATIENTS AND METHODS: We conducted a prospective,
multi-institutional, observational, cost comparative analysis. Cost calculations
were realized with the micro-costing method from the diagnosis until 1 month
after the last surgery. RESULTS: Eight hundred and thirty nine patients were
included in the ASLND group and 146 in the ALND group. The cost generated for a
patient with an ASLND, with one preoperative scintigraphy, a combined method for
sentinel node detection, an intraoperative pathological analysis without
lymphadenectomy, was lower than the cost generated for a patient with
lymphadenectomy [? 2947 (sigma = 580) versus ? 3331 (sigma = 902); P = 0.0001].
CONCLUSION: ASLND, involving expensive techniques, was finally less expensive
than ALND. The length of hospital stay was the cost driver of these procedures.
The current observational study points the heterogeneous practices for this
validated and largely diffused technique. Several technical choices have an
impact on the cost of ASLND, as intraoperative analysis allowing to reduce
rehospitalization rate for secondary lymphadenectomy or preoperative
scintigraphy, suggesting possible savings on hospital resources.
PMID- 21896544
TI - Towards non-surgical therapy for uterine fibroids: catechol-O-methyl transferase
inhibitor shrinks uterine fibroid lesions in the Eker rat model.
AB - BACKGROUND: Uterine leiomyomas (fibroids) are the most common pelvic tumors in
women. We assessed the potential therapeutic utility of Ro 41-0960, a synthetic
catechol-O-methyl transferase inhibitor (COMTI), in the Eker rat. METHODS: We
randomized uterine fibroid-bearing Eker rats for treatment with Ro 41-0960 (150
mg/kg/12 h) versus vehicle for 2 and 4 weeks. The fibroids were measured by
caliper and subjected to histological evaluation. Urinary levels of 2-hydroxy
estrogen (E(2)), 16-hydroxy E2 and DPD (osteoporosis marker) and serum liver
enzymes were evaluated. Expressions of Cyclin D1, proliferating cell nuclear
antigen (PCNA), Poly [ADP-ribose] polymerase1 (PARP1), tumor suppressor gene
(P53) and transforming growth factor (TGFbeta3) were assessed in fibroids using
immunohistochemical analysis or RT-PCR. Apoptosis was confirmed using terminal
deoxynucleotidyltransferase-mediated dUTP nick-end labeling (TUNEL). RESULTS: Ro
41-0960-treated rats exhibited fibroid volumes of 86 +/- 7% and 105 +/- 12% of
initial burden, at 2 and 4 weeks post-treatment, respectively, significantly
lower than control group (240 +/- 15% and 300 +/- 18%; P< 0.01). Ro 41-0960
increased the urinary 2-hydroxy E2/16-hydroxy E(2) ratio, level of p53 mRNA and
TUNEL positivity (P< 0.05) and decreased PARP1, PCNA and cyclin D1 proteins and
TGFbeta3 mRNA (P< 0.05). Ro 41-0960 did not change normal tissue histology, liver
functions or urinary DPD level. CONCLUSIONS: Ro 41-0960 (COMTI) arrested
growth/shrunk uterine fibroids in Eker rats. This result may be related to
modulation of estrogen-dependent genes involved in apoptosis, proliferation and
extracellular matrix deposition via accumulation of 2-hydroxy estrogen. The
efficacy and safety of Ro 41-0960 in rats suggest its candidacy for treatment of
uterine fibroids.
PMID- 21896546
TI - Uterine allotransplantation in ewes using an aortocava patch.
AB - BACKGROUND: We investigated a novel allotransplantation model using an aortocava
patch in ewes. METHODS AND RESULTS: We carried out 10 uterine orthotopic
allotransplantations in ewes with end-to-side anastomosis of the aortocava donor
patch on the left external iliac vessel recipient. The immunosuppressive protocol
was a combination of cyclosporine (10 mg/kg/day) and mycophenolic acid (3 g/day).
An estimation of the immunosuppressive therapy exposure was performed by
measuring the area under the curve (AUC) of immunosuppressive plasma
concentrations. The graft was assessed by vaginoscopy, magnetic resonance imaging
(MRI) and second look laparotomy at 6, 8 and 10 weeks, respectively. The median
(range) times for cold and warm ischemia were 95 min (75-130) and 91 min (55
165), respectively. All the vascular anastomoses were patent at the end of the
surgery. The median AUC of cyclosporine and mycophenolic acid were 1.24 mg h/l
(0.34-3.85) and 18.40 mg h/l (3.76-42.35), respectively. Of the 10 ewes receiving
a transplant, 6 could be assessed. Cervical biopsies showed signs of necrosis in
all six ewes. The MRI results correlated with the macroscopic observations of the
'second look' laparotomy. The aortocava vascular pedicles were thrombosed, adding
to the peripheral neovascularization. Graft histology showed endometrial tissue
in two out of six ewes. CONCLUSIONS: Mobility of the transplant within the
pelvis, the length of the vascular pedicle and rejection can explain the high
rate of transplant necrosis. The particular digestive anatomy and physiology of
ruminants makes it difficult to administer an optimal immunosuppressive
treatment. MRI appears to be a good non-invasive examination for graft
estimation.
PMID- 21896547
TI - Accessing fertility treatment in New Zealand: a comparison of the clinical
priority access criteria with a prediction model for couples with unexplained
subfertility.
AB - BACKGROUND: In New Zealand, public funding for assisted reproductive technology
(ART) is restricted to subfertile women who are unlikely to conceive
spontaneously, based on clinical and social criteria known as the clinical
priority access criteria (CPAC) score. The objective of this study was to compare
this CPAC score with a prediction model for predicting spontaneous conception,
developed in the Netherlands (the Hunault model). METHODS: We performed a cohort
study and included couples with unexplained subfertility and assessed the measure
of agreement and the performance of the CPAC score and the Hunault prediction
score. RESULTS: Of 663 couples referred, 249 (38%) couples had unexplained
subfertility. Of 246 women with full follow-up data, there were 143 women (58%)
who had a live birth during the follow-up period, 65 (26%) after fertility
treatment and 78 (32%) after natural conception. There were 100 couples (41%) who
had a Hunault prediction score of <30%, which is the Dutch treatment threshold,
and 36 couples (15%) who had a CPAC score of >65, which is the New Zealand
threshold for publically funded treatment. There were 69 couples (28%) who meet
the threshold for treatment in the Netherlands but did not meet the New Zealand
threshold for public funding. The kappa coefficient as a measure of agreement of
the two scores and their treatment thresholds was 0.30, suggesting a fair
agreement. The area under the curve for the CPAC and Hunault scores were both
0.63, but the Hunault model performed better in calibration. CONCLUSIONS: The
CPAC score correlates fairly with the Hunault prediction score, although using
the Hunault prediction model 26% more couples would be recommended for ART. The
discriminative capacities of both scores were comparable, but the Hunault
prediction score performed better in calibration. Funding models in New Zealand
should consider treating those women with unexplained subfertility who are least
likely to conceive spontaneously.
PMID- 21896548
TI - Uterus autotransplantation in cynomolgus macaques: intraoperative evaluation of
uterine blood flow using indocyanine green.
AB - BACKGROUND: Uterus transplantation may be the only theoretical option for some
women, for example, those with congenital uterine infertility or who have
undergone hysterectomy. In this study, we evaluated the intra- and post-operative
blood flow conditions of vascular anastomosed regions and the blood-perfused area
of the transplanted uterus in a cynomolgus macaque model of uterus
autotransplantation. METHODS: Female cynomolgus monkeys (n = 6) underwent
surgery: the first two animals were used to study the pelvic vascular anatomy and
the remaining four animals were used for uterus autotransplantation. We used
indocyanine green (ICG) fluorescent angiography during surgery to assess blood
perfusion in the vascular anastomosed region and uterine area. After surgery, the
uterine size, presence or absence of the endometrium and blood flow rates in the
uterine artery and vein were evaluated using Doppler ultrasonography. RESULTS;
Uterine arterial and venous anastomoses succeeded in all four animals that
underwent autotransplantation. Intraoperative ICG fluorescence angiography showed
favorable blood flow in the vascular anastomosed regions and the entire uterus
received a sufficient blood supply from a single uterine artery. Favorable blood
flow in the uterine artery and vein immediately after surgery was shown by
Doppler ultrasonography. Ultimately, three out of four animals died within 3
months following surgery because of reduced feeding and loss of body strength.
CONCLUSIONS: ICG fluorescence angiography can be used for simple evaluation of
real-time blood flow conditions in the anastomosed uterine artery, vein and
uterine area and can facilitate the success rate of uterus transplantation.
PMID- 21896549
TI - The ENDOCARE questionnaire (ECQ): a valid and reliable instrument to measure the
patient-centeredness of endometriosis care in Europe.
AB - BACKGROUND: Endometriosis is prevalent and women need high-quality care, which
should be patient-centered. This study aimed to develop a valid and reliable
patient-centeredness questionnaire, based on a defined concept of patient
centered endometriosis care (PCEC). METHODS: A literature review, focus groups
(FGs) with patients and an expert panel defined PCEC with 10 dimensions. The
ENDOCARE questionnaire (ECQ) was developed. FGs resulted in 43 specific
statements covering the 10 dimensions of PCEC, for which the ECQ measured
'importance' and 'performance'. Medical and demographic questions and an open
question were added. The Dutch ECQ questionnaire was piloted and reciprocally
translated into English and Italian. Patients with endometriosis from Belgium,
The Netherlands, Italy and the UK were invited to complete the ECQ online. Item
analysis, inter-item analysis and confirmatory and exploratory factor analyses
(EFA) and reliability analysis were performed. The theory-driven dimensions were
adapted. RESULTS: The ECQ was completed by 541 patients. Based on item analysis,
five statements were deleted. Factor analysis was performed on 322 questionnaires
(only from respondents with a partner). Insights from the data-driven EFA
suggested adaptations of the theory-driven dimensions. The reliability statistics
of 9/10 adapted theory-driven dimensions were satisfactory and the root mean
square error of approximation was good. CONCLUSIONS: This study resulted in a
valid and reliable instrument to measure PCEC. For data presentation, the adapted
theory-driven dimensions of PCEC are preferred over the data-driven factors. The
ECQ may serve to benchmark patient-centeredness, conduct cross-cultural European
research and set targets for improvement.
PMID- 21896550
TI - Quantitative expression of phospholipase C zeta, as an index to assess
fertilization potential of a semen sample.
AB - BACKGROUND: Failed fertilization post-ICSI has been mainly attributed to the
sperm's inability to induce oocyte activation. Phospholipase C zeta (PLCzeta) is
considered to be one of the factors for the induction of oocyte activation. The
aim of this study was to quantitatively assess the expression of PLCzeta in
globozoospermic men or those with previously low or failed fertilization in
comparison with fertile men or those with high fertilization potential. In
addition, the relationship between expression of PLCzeta and that of other sperm
markers was evaluated. METHODS: Real-time PCR was carried out to evaluate
relative expression of PLCzeta mRNA. Chromatin maturity and acrosin activity were
assessed by CMA3 staining and a colorimetric method. RESULTS: The expression of
PLCzeta was significantly lower in globozoospermic men (P< 0.01, n= 8) or
individuals with previously low or failed fertilization (P< 0.01, n= 36) in
comparison to fertile men (n= 24). In addition, a significant difference was
observed between globozoospermic (P< 0.01) and individuals with previously low or
failed fertilization (P= 0.003) in comparison to high fertilization individuals
(n= 17). Expression of PLCzeta was not correlated with either chromatin maturity
or acrosin activity. However, a significant correlation was observed between the
percentage of fertilization and relative expression of PLCzeta (r= 0.4, P< 0.01).
CONCLUSION: In this study, for the first time, we have shown that assessment of
relative expression of PLCzeta may provide a useful marker for the ability of
sperm to induce oocyte activation after ICSI.
PMID- 21896551
TI - Tryptophan in alcoholism treatment II: inhibition of the rat liver mitochondrial
low Km aldehyde dehydrogenase activity, elevation of blood acetaldehyde
concentration and induction of aversion to alcohol by combined administration of
tryptophan and benserazide.
AB - AIMS: The aims were to provide proofs of mechanism and principle by establishing
the ability of the amino acid L-tryptophan (Trp) combined with the kynureninase
inhibitor benserazide (BSZ) to inhibit the liver mitochondrial low K(m) aldehyde
dehydrogenase (ALDH) activity after administration and in vivo and to induce
aversion to alcohol. METHODS: Trp, BSZ or both were administered to male Wistar
rats and ALDH activity was determined both in vitro in liver homogenates and in
vivo (by measuring acetaldehyde accumulation in blood after ethanol
administration). Alcohol consumption was studied in an aversion model in rats and
in alcohol-preferring C57 mice. RESULTS: Combined administration of Trp + BSZ,
but neither compound alone, produced a strong inhibition of ALDH activity and an
increase in blood acetaldehyde concentration after ethanol, and induced aversion
to alcohol in rats and decreased preference in mice. Another kynureninase
inhibitor, carbidopa, induced aversion to alcohol by itself, which was reversed
by Trp co-administration. CONCLUSIONS: The present results establish a prior art
for the use of a combination of Trp plus BSZ in the treatment of alcoholism by
aversion, which merits rapid clinical development.
PMID- 21896552
TI - Tryptophan in alcoholism treatment I: kynurenine metabolites inhibit the rat
liver mitochondrial low Km aldehyde dehydrogenase activity, elevate blood
acetaldehyde concentration and induce aversion to alcohol.
AB - AIMS: The aims were to provide proofs of mechanism and principle by establishing
the ability of kynurenine metabolites to inhibit the liver mitochondrial low K(m)
aldehyde dehydrogenase (ALDH) activity after administration and in vivo, and to
induce aversion to alcohol. METHODS: Kynurenic acid (KA), 3-hydroxykynurenine (3
HK) and 3-hydroxyanthranilic acid (3-HAA) were administered to normal male Wistar
rats and ALDH activity was determined both in vitro in liver homogenates and in
vivo (by measuring blood acetaldehyde following ethanol administration). Alcohol
consumption was studied in an aversion model in rats and in alcohol-preferring
C57 mice. RESULTS: ALDH activity was significantly inhibited by all three
metabolites by doses as small as 1 mg/kg body wt. Blood acetaldehyde accumulation
after ethanol administration was strongly elevated by KA and 3-HK and to a lesser
extent by 3-HAA. All three metabolites induced aversion to alcohol in rats and
decreased alcohol preference in mice. CONCLUSIONS: The above kynurenine
metabolites of tryptophan induce aversion to alcohol by inhibiting ALDH activity.
An intellectual property covering the use of 3-HK and 3-HAA and derivatives
thereof in the treatment of alcoholism by aversion awaits further development.
PMID- 21896553
TI - Estimation of annual effective dose due to natural and man-made radionuclides in
the metropolitan area of the Bay of Cadiz (SW of Spain).
AB - In order to investigate the radiological hazard of naturally occurring
radioactive material (NORM) and man-made (137)Cs radionuclide in the Bay of
Cadiz, 149 samples of sediments have been analysed. Activity concentration in all
the samples was determined using a HPGe detection system. Activity concentrations
values of (226)Ra, (232)Th, (40)K and (137)Cs in the samples were 12.6+/-2.6 (2.5
40.6), 18.5+/-4.0 (2.8-73.4), 451+/-45 (105-1342) and 3.2+/-1.3 (0.2-16.0) Bq kg(
1), respectively. Outdoor external dose rate due to natural and man-made
radionuclides was calculated to be 35.79+/-1.69 (4.71-119.16) nGy h(-1) and
annual effective dose was estimated to be 43.89+/-2.27 (5.78-146.14) uSv y(-1).
Results showed low levels of radioactivity due to NORM and man-made (137)Cs
radionuclide in marine sediments recovered from the Bay of Cadiz (Spain),
discarding any significant radiological risks related to human activities of the
area. Furthermore, the obtained data set could be used as background levels for
future research.
PMID- 21896554
TI - Phase II and pharmacogenomics study of enzastaurin plus temozolomide during and
following radiation therapy in patients with newly diagnosed glioblastoma
multiforme and gliosarcoma.
AB - This open-label, single-arm, phase II study combined enzastaurin with
temozolomide plus radiation therapy (RT) to treat glioblastoma multiforme (GBM)
and gliosarcoma. Adults with newly diagnosed disease and Karnofsky performance
status (KPS) >= 60 were enrolled. Treatment was started within 5 weeks after
surgical diagnosis. RT consisted of 60 Gy over 6 weeks. Temozolomide was given at
75 mg/m(2) daily during RT and then adjuvantly at 200 mg/m(2) daily for 5 days,
followed by a 23-day break. Enzastaurin was given once daily during RT and in the
adjuvant period at 250 mg/day. Cycles were 28 days. The primary end point was
overall survival (OS). Progression-free survival (PFS), toxicity, and
correlations between efficacy and molecular markers analyzed from tumor tissue
samples were also evaluated. A prospectively planned analysis compared OS and PFS
of the current trial with outcomes from 3 historical phase II trials that
combined novel agents with temozolomide plus RT in patients with GBM or
gliosarcoma. Sixty-six patients were enrolled. The treatment regimen was well
tolerated. OS (median, 74 weeks) and PFS (median, 36 weeks) results from the
current trial were comparable to those from a prior phase II study using
erlotinib and were significantly better than those from 2 other previous studies
that used thalidomide or cis-retinoic acid, all in combination with temozolomide
plus RT. A positive correlation between O-6-methylguanine-DNA methyltransferase
promoter methylation and OS was observed. Adjusting for age and KPS, no other
biomarker was associated with survival outcome. Correlation of relevant
biomarkers with OS may be useful in future trials.
PMID- 21896555
TI - Incidence rates of fragility hip fracture in middle-aged and elderly men and
women in southern Norway.
AB - BACKGROUND: Hip fracture contributes to increased morbidity and mortality in the
elderly population. As the average age of the population is increasing, the
burden of hip fracture on the health-care system is a growing challenge. The
highest incidence of hip fracture worldwide has been reported from Scandinavia in
fact from Oslo the capital of Norway. During the last decades, efforts have been
undertaken to reduce hip fracture risk. OBJECTIVE: To study the incidence of
fragility hip fracture in southern Norway. DESIGN: A validated retrospective
epidemiological study. SETTING: Population-based study. SUBJECTS: All patients
with fragility hip fractures aged 50 years or older in 2004 and 2005 in southern
Norway. METHODS: The hip fracture patients were identified from the four
hospitals (Kristiansand, Arendal, Flekkefjord and Mandal) located in the two most
southern counties in Norway, Vest-Agder and Aust-Agder County. Age-adjusted and
age-specific incidence rates for men and women were calculated. We also explored
for seasonal variations and differences between rural and urban areas. RESULTS: A
total of 951 (271 men, 680 women) individuals aged >=50 years with hip fracture
were identified. The age-adjusted incidence rate was 34.6 for men and 75.8 for
women per 10,000 person-years. Age specific incidence rates were significantly
higher in women than in men but only for age groups between 70 and 90 years.
CONCLUSION: Age-adjusted incidence of hip fracture in men and women in southern
Norway is the lowest reported from Norway and among the lowest in Scandinavia. No
differences were seen between rural and urban areas. The number of fragility hip
fractures was statistically significant higher in winter compared with the other
seasons.
PMID- 21896556
TI - The meaning of reporting forgetfulness: a cross-sectional study of adults in the
English 2007 Adult Psychiatric Morbidity Survey.
AB - OBJECTIVES: we measured subjective memory impairment (SMI) across the whole adult
age range in a representative, national survey. Age is the strongest risk factor
for dementia and SMI may be a precursor of objective cognitive impairment. We
therefore hypothesised that SMI prevalence would rise with age in a non-demented
population. METHOD: we analysed data from the English 2007 Adult Psychiatric
Morbidity Survey, representative of people in private households. Participants
were asked whether they had noticed problems with forgetting in the last month,
or forgotten anything important in the last week; and completed the modified
Telephone Interview for Cognitive Status. RESULTS: of those contacted, 7,461
(57%) participated. After excluding participants screening positive for dementia,
2,168 (31.7%) reported forgetfulness in the last month, while 449 (6.4%) had
forgotten something important in the last week. Reporting forgetfulness was not
associated with age. In a multivariate analysis including cognition and age, the
only significant associates of reporting forgetfulness were anxiety, depressive
and somatic symptoms. CONCLUSIONS: our hypothesis that subjective forgetfulness
prevalence would rise with age in a non-demented population was not supported.
Although subjective forgetfulness can be an early symptom of future or mild
dementia, it is common and non-specific and-at population level-is more likely to
be related to mood than to be an early symptom of dementia. Asking those
presenting with subjective forgetfulness additional questions about memory and
functional decline and objective forgetfulness is likely to help clinicians to
detect those at risk of dementia.
PMID- 21896557
TI - Wearing hip protectors does not reduce health-related quality of life in older
people.
PMID- 21896558
TI - Goal setting as a feature of homecare services for older people: does it make a
difference?
AB - INTRODUCTION: Health services delivered in an older person's home are often
implemented at a critical juncture in an individual's functional status. Although
homecare has potential to improve this situation, it often focuses on treating
disease and 'taking care' of the patient rather than promoting independence. The
aim of restorative homecare is to change the philosophy from one where delivery
of care may create dependency to provision of care which maximises independence,
self-esteem, self-image and quality of life, and reduces the care required. AIMS:
To assess impact of a designated goal facilitation tool on health-related quality
of life (HRQoL), social support and physical function among community-dwelling
older people referred for homecare. METHODS: A total of 205 participants [mean
age 79.1 years, 71.3% female (intervention group) and 76.9 years with 60.8%
female (control group)] were cluster randomised to an intervention or control
assessor. The intervention arm involved participants completing a goal
facilitation tool with assessors. This established rehabilitation aims. Control
participants received a standard needs assessment. Clients from both groups were
then referred to a homecare organisation for service delivery. RESULTS: There was
greater change over time in HRQoL [measured by Short Form 36 Survey (SF-36)] in
the intervention group (P = 0.0001). There was a marked variation across homecare
providers in types of services provided (P < 0.001). Identification of a goal did
not predict completion of a formalised review of participants' needs by the
homecare organisation. CONCLUSIONS: Use of a goal facilitation tool in assessment
of an older person's needs on referral for homecare leads to significant
improvements in HRQoL. This may be through a higher proportion of individualised
activities tailored to a successful identification of the person's goals. The
findings contribute to greater understanding of factors necessary to implement
improvements in homecare services for older people.
PMID- 21896559
TI - Long-term endocrine side effects of childhood Hodgkin's lymphoma treatment: a
review.
AB - BACKGROUND Since childhood cancer survival has increased, long-term effects of
treatment have gained interest. Childhood Hodgkin's lymphoma has been treated
successfully for decades now. We provide an overview of the literature on long
term endocrine side effects, such as gonadal dysfunction and growth retardation,
as a result of childhood Hodgkin's lymphoma treatment. METHODS A comprehensive
search of the Pubmed database was performed. RESULTS We identified 16 studies
(10 studies: 298 male survivors and 6 studies: 230 female survivors) about
gonadal dysfunction. In survivors treated with alkylating agents or pelvic
radiotherapy, severe gonadal damage is described. Recovery was rarely described.
Seven studies (481 survivors) about bone mineral density (BMD) and growth were
identified. The effects on BMD appear to be small. Data on growth are scarce, but
show that radiotherapy in a dose of >30 Gy including the spine, especially in pre
pubertal children, results in reduced height. We included 10 studies (4012
survivors) about thyroid complications. Hypothyroidism is the most common thyroid
disorder after radiotherapy. There is also a significant incidence in thyroid
carcinoma after low-dose radiation. In survivors treated with chemotherapy only,
hypothyroidism and thyroid cancer have not been reported. CONCLUSIONS The
severity of endocrine toxicity after childhood Hodgkin's lymphoma depends on the
type of treatment. Gonadal dysfunction seems to be the most severe endocrine long
term effect, especially after treatment with alkylating agents or pelvic
radiotherapy. The knowledge obtained in specific follow-up programmes for
paediatric cancer survivors will help to find the optimal balance between
curability and long-term side effects.
PMID- 21896562
TI - The early clinical x-ray in the United States: patient experiences and public
perceptions.
AB - The first x-ray machines were large, loud, sparking, smelly, and ostentatious
devices, prone to mishap and injury even when fully under the control of the
physicians who, in droves, invested money and prestige in them. Their bizarre and
sometimes overwhelming presentation in the clinic reinforced the contemporary
public understanding of x-rays as fantastically potent yet ambiguously helpful.
As one of the icons of the new scientific medicine, x-rays bore much of the
public's expectations for a technological panacea, a belief that was reinforced
by the spectacle of their generation and their undeniable effect on the body. A
quarter century later, refinement of the technology had made irradiation safer
and more effective, but also made the operation of the machines themselves almost
undetectable. This "domestication" of x-ray machines underscored their failure as
a modern-day heroic medicine, while reinforcing an emergent understanding of
radiation as a subtle, cumulative, and insidious threat.
PMID- 21896563
TI - Takayasu's arteritis involving the pulmonary arteries: evaluation by quantitative
dual-energy computed tomographic pulmonary angiography.
PMID- 21896561
TI - A randomized trial of the efficacy and safety of sequential intravenous/oral
moxifloxacin monotherapy versus intravenous piperacillin/tazobactam followed by
oral amoxicillin/clavulanate for complicated skin and skin structure infections.
AB - OBJECTIVES: The primary aim of the RELIEF study was to evaluate the efficacy and
safety of two sequential intravenous (iv)/oral regimens: moxifloxacin iv/oral
versus piperacillin/tazobactam (TZP) iv followed by oral amoxicillin/clavulanate
(AMC). PATIENTS AND METHODS: The study had a prospective, randomized, double
dummy, double-blind, multicentre design. Patients >=18 years were prospectively
stratified according to complicated skin and skin structure infection (cSSSI)
subtype/diagnosis (major abscess, diabetic foot infection, wound infection or
infected ischaemic ulcer), surgical intervention and severity of illness.
Diagnoses and disease severity were based on predetermined criteria, documented
by repeated photographs, and confirmed by an independent data review committee.
Patients were randomized to receive either 400 mg of moxifloxacin iv once daily
followed by 400 mg of moxifloxacin orally once daily or 4.0/0.5 g of TZP iv
thrice daily followed by 875/125 mg of AMC orally twice daily for 7-21 days. The
primary efficacy variable was clinical response at test of cure (TOC) for the per
protocol (PP) population. Clinical efficacy was assessed by the data review
committee based on repeated photographs and case descriptions. Clinical trials
registry number: NCT 00402727. RESULTS: A total of 813 patients were randomized.
Clinical success rates at TOC were similar for moxifloxacin and TZP-AMC in the PP
[320/361 (88.6%) versus 275/307 (89.6%), respectively; P = 0.758] and intent-to
treat (ITT) [350/426 (82.2%) versus 305/377 (80.9%), respectively; P = 0.632]
populations. Thus, moxifloxacin was non-inferior to TZP-AMC. Bacteriological
success rates were high in both treatment arms [moxifloxacin: 432/497 (86.9%)
versus TZP-AMC: 370/429 (86.2%), microbiologically valid (MBV) population].
Moxifloxacin was non-inferior to TZP-AMC at TOC in both the MBV and the ITT
populations. Both treatments were well tolerated. CONCLUSIONS: Once-daily iv/oral
moxifloxacin monotherapy was clinically and bacteriologically non-inferior to iv
TZP thrice daily followed by oral AMC twice daily in patients with cSSSIs.
PMID- 21896560
TI - Contemporary genetic technologies and female reproduction.
AB - BACKGROUND: The Fifth Evian Annual Reproduction (EVAR) Workshop Meeting discussed
knowledge regarding contemporary genetics in female reproduction. METHODS:
Specialist reproductive medicine clinicians and geneticists delivered
presentations based on published literature and current research. The content of
this report is based on the expert presentations and subsequent group discussions
that took place during this Workshop. RESULTS: Numerous ovarian genes with a role
in infertility have been identified. Future challenges for genetic screening of
patients, such as those with polycystic ovary syndrome, primary ovarian
insufficiency or endometriosis, include the identification of high-throughput
strategies and how to apply these findings to infertile patients. The
identification of high-quality embryos in IVF using objective technologies
remains a high priority in order to facilitate single-embryo transfer. Gene
expression profiling of cumulus cells surrounding the oocyte, and proteomic and
metabolomic approaches in embryo culture media may significantly improve non
invasive embryo quality assessment. CONCLUSIONS: The way forward in advancing the
knowledge of genes involved in reproduction was considered to be through genome
wide association studies involving large numbers of patients. Establishing
international collaboration is required to enable the application of such
technologies in sufficient numbers of patients.
PMID- 21896564
TI - Creating controversy where none exists: the important role of C-reactive protein
in the CARE, AFCAPS/TexCAPS, PROVE IT, REVERSAL, A to Z, JUPITER, HEART
PROTECTION, and ASCOT trials.
PMID- 21896565
TI - Comparative evaluation of three commercially available complement fixation test
antigens for the diagnosis of glanders.
AB - The sensitivity and specificity of three commercially available complement
fixation test (CFT) antigens from c.c.pro (c.c.pro), Central Veterinary Institute
of Wageningen UR (CIDC) and the United States Department of Agriculture (USDA)
were comparatively evaluated by testing 410 sera collected from glanders-endemic
and non-endemic areas (200 true-negative randomly collected sera and 210 sera
collected from experimentally immunised animals (12 rabbits, 19 horses),
clinically positive (135) and culture-positive (44) horses, donkeys and mules).
Immunoblotting (IB) was used as the gold standard test. Highest sensitivity was
shown for the CIDC antigen (100 per cent) followed by the c.c.pro antigen (99.39
per cent). However, the USDA antigen showed substantially less (p<0.05)
sensitivity (62.19 per cent). Highest specificity was found for the USDA antigen
(100 per cent) followed by the CIDC (97.5 per cent) and c.c.pro antigen (96.5 per
cent). Positive and negative predictive values (assumed glanders prevalence of
<0.1 per cent) for each antigen were calculated to be 95.88 and 99.48 (c.c.pro),
97.04 and 100 (CIDC), 100 and 76.33 per cent (USDA), respectively. Almost perfect
agreement (0.96) was found between CFT using either c.c.pro or CIDC and IB.
PMID- 21896566
TI - Comparison of xylazine-butorphanol and xylazine-morphine-ketamine infusions in
horses undergoing a standing surgery.
PMID- 21896567
TI - Canine breeds at high risk of developing inflammatory bowel disease in the south
eastern UK.
AB - Genetics are an important factor in the development of human inflammatory bowel
disease (IBD); however, there is very little information available regarding the
role of genetics in canine IBD. The purpose of this study was to gather
information about which canine breeds in the south-eastern UK are at a high risk
for developing IBD. Determination of such breeds may help further genetic
research in this complex disease. The computer medical records at the Queen
Mother Hospital for Animals, Royal Veterinary College dating from August 1, 2003
to December 31, 2009 were retrospectively searched for cases diagnosed with IBD.
Five hundred and forty-six dogs with IBD were identified, representing 86
different breeds. The comparison group consisted of all dogs from these same 86
breeds without IBD admitted to the hospital during the same period that amounted
to 27,463 dogs. The breeds at significantly higher risk of developing IBD
compared with mixed-breed dogs consisted of weimaraner (odds ratio [OR]=3.6797,
95 per cent confidence interval [CI]=2.0167 to 6.7141, P<0.0001), rottweiler
(OR=2.9697, 95 per cent CI=1.7569 to 5.0196, P<0.0001), German shepherd dog (GSD)
(OR=2.4101, 95 per cent CI=1.5826 to 3.36705, P<0.0001), border collie
(OR=1.9936, 95 per cent CI=1.1655 to 3.4101, P=0.0118) and boxer (OR=1.6961, 95
per cent CI=1.0441 to 2.755, P=0.0328). This study demonstrates for the first
time canine breeds in the south-eastern UK that are highly susceptible to
developing IBD. Identification of such breeds may allow for a more focused
investigation of genetic mutations associated with canine IBD.
PMID- 21896568
TI - Reliability of ultrasonography at the fifth intercostal space in the diagnosis of
reticular diaphragmatic hernia.
PMID- 21896569
TI - Purification and characterization of the acetyl-CoA synthetase from Mycobacterium
tuberculosis.
AB - Acetyl-CoA (AcCoA) synthetase (Acs) catalyzes the conversion of acetate into
AcCoA, which is involved in many catabolic and anabolic pathways. Although this
enzyme has been studied for many years in many organisms, the properties of
Mycobacterium tuberculosis Acs and the regulation of its activity remain unknown.
Here, the putative acs gene of M. tuberculosis H37Rv (Mt-Acs) was expressed as a
fusion protein with 6*His-tag on the C-terminus in Escherichia coli. The
recombinant Mt-Acs protein was successfully purified and then its enzymatic
characteristics were analyzed. The optimal pH and temperature, and the kinetic
parameters of Mt-Acs were determined. To investigate whether Mt-Acs is regulated
by lysine acetylation as reported for Salmonella enterica Acs, its mutant K617R
was also generated. Determination of the enzymatic activity suggests that Lys-617
is critical for its function. We further demonstrated that Mt-Acs underwent auto
acetylation with acetate but not with AcCoA as the acetyl donor, which resulted
in the decrease of its activity. CoA, the substrate for AcCoA formation,
inhibited the auto-acetylation. Furthermore, the silent information regulator
(Sir2) of M. tuberculosis (Mt-Sir2) could catalyze Mt-Acs deacetylation, which
resulted in activation of Acs. These results may provide more insights into the
physiological roles of Mt-Acs in M. tuberculosis central metabolism.
PMID- 21896570
TI - Sesamin induces melanogenesis by microphthalmia-associated transcription factor
and tyrosinase up-regulation via cAMP signaling pathway.
AB - In this study, we confirmed that sesamin, an active lignan isolated from sesame
seed and oil, is a novel skin-tanning compound. The melanin content and
tyrosinase activity were increased by sesamin in a dose-dependent manner in B16
melanoma cells. The mRNA and protein levels of tyrosinase were also enhanced
after the treatment with sesamin. Western blot analysis revealed that sesamin
induced and sustained up-regulation of microphthalmia-associated transcription
factor (MITF). Sesamin could activate cAMP response element (CRE) binding protein
(CREB), but it had no effect on the phosphorylation of p38 mitogen-activated
protein kinase (MAPK) or Akt. Moreover, sesamin activated protein kinase A (PKA)
via a cAMP-dependent pathway. Consistent with these results, sesamin-mediated
increase of melanin synthesis was reduced significantly by H-89, a PKA inhibitor,
but not by SB203580, a p38 MAPK inhibitor or by LY294002, a phosphatidylinositol
3-kinase (PI3K) inhibitor. Sesamin-mediated phosphorylation of CREB and induction
of MITF and tyrosinase expression were also inhibited by H-89. These findings
indicated that sesamin could stimulate melanogenesis in B16 cells via the up
regulation of MITF and tyrosinase, which was, in turn, due to the activation of
cAMP signaling.
PMID- 21896571
TI - Histone octamer trans-transfer: a signature mechanism of ATP-dependent chromatin
remodelling unravelled in wheat nuclear extract.
AB - BACKGROUND AND SCOPE: In eukaryotes, chromatin remodelling complexes are shown to
be responsible for nucleosome mobility, leading to increased accessibility of DNA
for DNA binding proteins. Although the existence of such complexes in plants has
been surmised mainly at the genetic level from bioinformatics studies and
analysis of mutants, the biochemical existence of such complexes has remained
unexplored. METHODS: Histone H1-depleted donor chromatin was prepared by
micrococcal nuclease digestion of wheat nuclei and fractionation by exclusion
chromatography. Nuclear extract was partially purified by cellulose phosphate ion
exchange chromatography. Histone octamer trans-transfer activity was analysed
using the synthetic nucleosome positioning sequence in the absence and presence
of ATP and its analogues. ATPase activity was measured as (32)Pi released using
liquid scintillation counting. KEY RESULTS: ATP-dependent histone octamer trans
transfer activity, partially purified from wheat nuclei using cellulose
phosphate, showed ATP-dependent octamer displacement in trans from the H1
depleted native donor chromatin of wheat to the labelled synthetic nucleosome
positioning sequence. It also showed nucleosome-dependent ATPase activity.
Substitution of ATP by ATP analogues, namely ATPgammaS, AMP-PNP and ADP abolished
the octamer trans-transfer, indicating the requirement of ATP hydrolysis for this
activity. CONCLUSIONS: ATP-dependent histone octamer transfer in trans is a
recognized activity of chromatin remodelling complexes required for chromatin
structure dynamics in non-plant species. Our results suggested that wheat nuclei
also possess a typical chromatin remodelling activity, similar to that in other
eukaryotes. This is the first report on chromatin remodelling activity in vitro
from plants.
PMID- 21896572
TI - Optimizing nitrogen economy under drought: increased leaf nitrogen is an
acclimation to water stress in willow (Salix spp.).
AB - BACKGROUND AND AIMS: The major objective was to identify plant traits
functionally important for optimization of shoot growth and nitrogen (N) economy
under drought. Although increased leaf N content (area basis) has been observed
in dry environments and theory predicts increased leaf N to be an acclimation to
drought, experimental evidence for the prediction is rare. METHODS: A pedigree of
200 full-sibling hybrid willows was pot-grown in a glasshouse in three replicate
blocks and exposed to two water regimes for 3 weeks. Drought conditions were
simulated as repeated periods of water shortage. The total leaf mass and area,
leaf area efficiency (shoot growth per unit leaf area, E(A)), area-based leaf N
content (N(A)), total leaf N pool (N(L)) and leaf N efficiency (shoot growth per
unit leaf N, E(N)) were assessed. KEY RESULTS: In the water-stress treatment,
shoot biomass growth was N limited in the genotypes with low N(L), but
increasingly limited by other factors in the genotypes with greatest N(L). The
N(A) was increased by drought, and drought-induced shift in N(A) varied between
genotypes (significant G * E). Judged from the E(A)-N(A) relationship, optimal
N(A) was 16 % higher in the water-stress compared with the well-watered
treatment. Biomass allocation to leaves and shoots varied between treatments, but
the treatment response of the leaf : shoot ratio was similar across all
genotypes. CONCLUSIONS: It is concluded that N-uptake efficiency and leaf N
efficiency are important traits to improve growth under drought. Increased leaf N
content (area basis) is an acclimation to optimize N economy under drought. The
leaf N content is an interesting trait for breeding of willow bioenergy crops in
a climate change future. In contrast, leaf biomass allocation is a less
interesting breeding target to improve yield under drought.
PMID- 21896573
TI - Effects of light quality on leaf morphogenesis of a heterophyllous amphibious
plant, Rotala hippuris.
AB - BACKGROUND AND AIMS: For heterophyllous amphibious plants that experience
fluctuating water levels, it is critical to control leaf development precisely in
response to environmental cues that can serve as a quantitative index of water
depth. Light quality can serve as such a cue because the ratio of red light
relative to far-red light (R/FR) increases and blue-light intensity decreases
with increasing water depth. Growth experiments were conducted to examine how
R/FR and blue-light intensity alter leaf morphology of a heterophyllous
amphibious plant, Rotala hippuris. METHODS: Using combinations of far red (730
nm), red (660 nm) and blue (470 nm) light-emitting diodes (LEDs), growth
experiments were used to quantitatively evaluate the effects of the R/FR ratio
and blue-light intensity on leaf morphology. KEY RESULTS: Under the natural light
regime in an outside growth garden, R. hippuris produced distinct leaves under
submerged and aerial conditions. R/FR and blue-light intensity were found to
markedly affect heterophyllous leaf formation. Higher and lower R/FR caused leaf
characters more typical of submerged and aerial leaves, respectively, in both
aerial and submerged conditions, in accordance with natural distribution of leaf
types and light under water. High blue light caused a shift of trait values
toward those of typical aerial leaves, and the response was most prominent under
conditions of R/FR that were expected near the water surface. CONCLUSIONS: R/FR
and blue-light intensity provides quantitative cues for R. hippuris to detect
water depth and determine the developmental fates of leaves, especially near the
water surface. The utilization of these quantitative cues is expected to be
important in habitats where plants experience water-level fluctuation.
PMID- 21896574
TI - Absent pulmonary valve syndrome: prenatal cardiac ultrasound diagnosis with
autopsy correlation.
AB - Absent pulmonary valve syndrome (APVS) is a rare conotruncal anomaly consisting
of a severely hypoplastic pulmonary valve with annular stenosis, aneurysmal
dilatation of main pulmonary artery with dilatation of one or both pulmonary
artery branches, and a ventricular septal defect. Here, we report a prenatal echo
diagnosis of APVS in a 27-year-old primi gravida at 20 weeks of gestation
confirmed on fetal autopsy. A 'bow tie'-like hypoechoic shadow in fetal cardiac
ultrasound observed by us in a modified four-chamber view was suggestive of
aneurysmal dilatation of branch pulmonary arteries. The consequences of
continuation of pregnancy including immediate neonatal complications and possible
medical and multistaged surgical interventions were well explained. Parents opted
for medical termination of pregnancy. Autopsy findings of the fetus were
consistent with the prenatal echo diagnosis of APVS. The presence of patent
ductus arteriosus seen in the autopsy may be the cause of severe heart failure
evidenced by the abnormally large congested liver, dilated right heart chambers,
and tricuspid valve annulus. We infer that the prenatal diagnosis of APVS may be
possible with a high degree of accuracy with characteristic fetal
echocradiographic findings such as 'bow tie'-like or 'ballooning'-like shadows
observed in this case. The presence of ductus confirms definite fetal loss and
the parents can be counselled accordingly. However, when the ductus is absent,
decision-making is difficult as the fetus is going to survive.
PMID- 21896575
TI - Why play an active role? A qualitative examination of lay citizens' main motives
for participation in health promotion.
AB - While active participation is regarded essential in health promotion worldwide,
its application proves to be challenging. Notably, participants' experiences are
infrequently studied, and it is largely unknown why lay citizens would want to
play an active role in promoting the health of the community they belong to.
Aiming to produce practical insights to further the application of the
participation principle, this qualitative study examined participants' driving
motives in a diverse array of health promotion undertakings. Six projects in The
Netherlands were used as case studies, including a community-project promoting
mental health, peer education against harmful substance use, a health support
group, health policy development, physical activity and healthy life style
courses. The study involved 24 participants, who played a variety of active
roles. Semi-structured interviews were conducted, transcribed verbatim and
subjected to content analysis. We found four main motives driving lay citizens in
their active participation in health promotion projects: 'purposeful action',
'personal development', 'exemplary status' and 'service and reciprocity'. The
motives reflected crucially distinct personal desires in the participation
process, namely to produce tangible results, to experience advancements for
oneself, to gain personal recognition as a role model and to have or maintain
valued relationships. The implications of the findings are discussed for
researchers and professionals in health promotion.
PMID- 21896576
TI - Tandem mass spectrometry findings at autopsy for detection of metabolic disease
in infant deaths: postmortem changes and confounding factors.
AB - AIM: Tandem mass spectrometry (MS/MS) is a recommended investigation for sudden
unexpected death in infancy (SUDI), but there are limited data regarding yield
and potential influencing factors. This study investigates postmortem
acylcarnitine profiles in a large cohort of infant deaths from a single centre,
including those with metabolic disease. METHODS: Acylcarnitine results obtained
by MS/MS from routine blood/bile spot samples during the standard autopsy
investigation were identified from infant deaths over a 14-year period. Results
were categorised as normal or abnormal according to the clinical report by a
specialist paediatric biochemist. Possible interdependent variables were
assessed, multiple linear regression models were constructed and residual
comparison was undertaken. RESULTS: 397 blood and 268 bile MS/MS results were
identified from infant cases, including 255 matched blood-bile pairs. There was
significant association between blood acylcarnitine findings and postmortem
interval (PMI), body mass index and liver weight. A probable cause of death was
identified in 40% of sudden death cases, including 18 (2%) with a definite or
highly likely cause of death as underlying metabolic disease; this represented 12
(12%) unexpected deaths in the first week of life and six (<1%) aged 7-365 days.
Fatty acid oxidation disorders identified included very long chain acyl-CoA
dehydrogenase deficiency, medium chain acyl-CoA dehydrogenase deficiency and
carnitine transporter defects. CONCLUSION: Postmortem blood and bile
acylcarnitine profiles are influenced by several variables, and PMI can influence
MS/MS acylcarnitine results. Metabolic disease may present as SUDI and may be
identified from postmortem samples.
PMID- 21896577
TI - The pathogenesis, diagnosis, investigation and management of osteoporosis.
AB - With an increasingly ageing population, osteoporosis and osteoporosis-related
fractures is fast becoming an important public health problem placing a
considerable economic burden on health service resources. This does not account
for the substantial pain, disability and indeed mortality incurred after a
fracture, particularly a hip fracture. Osteoporosis is a systemic skeletal
disorder which results from an imbalance in bone remodeling. This leads to a
reduction in bone strength and increased susceptibility to fracture. It affects
up to 1 in 2 women and 1 in 5 men. In the past 2 decades, there have been
significant advances in bone biology which have helped in the understanding of
the pathogenesis of osteoporosis and have led to improved therapies. In
developing strategies for fracture prevention, it is important to identify those
individuals with the highest fracture risk who will require pharmacological
intervention. Treatment is aimed at fracture prevention and includes modification
of general lifestyle factors which have been linked to fractures in
epidemiological studies and ensuring optimum calcium and vitamin D intake as
adjunct to active anti-fracture therapy. A number of drugs are now approved for
the treatment of osteoporosis. This review article will describe the pathogenesis
of osteoporosis and focus on the methods currently in use for the identification
of patients at high fracture risk and will highlight their usefulness and
limitations. The existing anti-fracture pharmacotherapies and those in
development will be reviewed. Assessment of their effectiveness including the use
of biochemical markers of bone turnover in this clinical context will be
reviewed.
PMID- 21896578
TI - Dual-colour HER2/chromosome 17 chromogenic in situ hybridisation enables accurate
assessment of HER2 genomic status in ovarian tumours.
AB - BACKGROUND: Ovarian cancer is a leading cause of gynaecological cancer-related
morbidity and mortality. There has been increasing interest in the potential
utility of anti-human epidermal growth factor receptor 2 (anti-HER2) agents in
the treatment of this disease, with the attendant need to identify suitable
predictive biomarkers of response to treatment. AIMS/METHODS: The authors studied
the prevalence of HER2 genomic amplification and overexpression in 85 ovarian
tumours in the local patient cohort of this study, as well as the concordance
rate between immunohistochemistry, fluorescent in situ hybridisation (FISH) and a
dual-colour HER2/chromosome 17 centromere chromogenic in situ hybridisation
(CISH) assay. RESULTS: The authors identified HER2 genomic amplification and
protein overexpression in 35.3% (6/17) and 29.4% (5/17), respectively, of primary
ovarian mucinous carcinomas. No other cancer subtypes displayed HER2
amplification or protein overexpression. The authors also found a perfect
concordance between FISH and dual-colour CISH analysis (kappa coefficient 1.0,
p<0.001). CONCLUSION: The results of this study support existing reports that
HER2 genomic amplification and protein overexpression are predominantly found in
primary ovarian mucinous carcinomas. Given the perfect concordance between the
FISH and dual-colour CISH assays and the advantages of CISH over FISH analysis,
future clinical trials investigating the use of anti-HER2 therapeutics in ovarian
carcinomas should incorporate dual-colour CISH as part of the HER2 status
assessment algorithm.
PMID- 21896579
TI - Assessment of proliferation markers in metastatic melanoma in sentinel lymph
nodes.
AB - AIM: Some views on sentinel nodes for melanoma seem to cast doubt on the
relevance of micrometastases in the sentinel nodes of patients with melanoma,
suggesting that small metastases or isolated tumour cells can be ignored. Tumour
dormancy has been proposed for their postulated lack of progression. The
implication of the argument seems to be that minute metastases are inactive and
therefore non-threatening, whereas larger ones are proliferative and therefore
have aggressive potential. METHODS: 54 sentinel lymph nodes were studied with
histologically identified micrometastatic melanoma using the protocol accepted by
the European Organisation for Research and Treatment of Cancer melanoma group.
These were studied with respect to metastasis size and by use of
immunohistochemical markers of proliferation (MIB-1) and dormancy (p16). RESULTS:
The authors have demonstrated no correlation between the size of metastases and
their proliferative activity. Very small metastases may not show proliferative
activity, but this may be a reflection of the small number of assessable cells
rather than a genuine reflection of the tumoural characteristics. Furthermore,
the minute size of some of these metastases resulted in no residual tumour being
present in adjacent sections. Where further sections did show more tumour, these
small metastases were invariably p16 negative, suggesting dormancy was not the
explanation for the lack of measurable proliferation. Occasionally, larger
metastases, clearly not clinically insignificant, showed no proliferative
activity presumably, considering their size, a transient phenomenon. CONCLUSION:
These findings suggest that variable phases in proliferation occur in metastases,
and no conclusion of clinical insignificance can be made on the basis of small
size.
PMID- 21896580
TI - Best practice in primary care pathology: review 14.
AB - This 14th best practice review is the second of a pair that examines tumour
marker requesting primary care situations. This review considers carbohydrate
antigen 125, alpha-fetoprotein and human chorionic gonadotropin. It is presented
in question-answer format, referenced for each question. The recommendations
represent a precis of guidance found using a standardised literature search of
national and international guidance notes, consensus statements, health policy
documents and evidence-based medicine reviews, supplemented by MEDLINE EMBASE
searches to identify relevant primary research documents. They will be updated
periodically to take into account new information.
PMID- 21896581
TI - Valve pathology: a dying trade.
PMID- 21896582
TI - Pseudoinvasion of benign squamous epithelium following cervical biopsy: a
pseudoneoplastic phenomenon mimicking invasive squamous carcinoma.
AB - AIMS: To describe an unusual and hitherto unreported pseudoneoplastic phenomenon
that is characterised by the entrapment of benign squamous epithelium following
cervical loop excision or punch biopsy and that may mimic invasive squamous
carcinoma. METHODS AND RESULTS: The authors report six cases in patients aged 25
45 years in whom benign squamous epithelium is incorporated within the cervical
stroma as a result of the healing process following prior loop excision (four
cases) or punch biopsy (two cases). The entrapped nests of squamous epithelium
typically have a hypereosinophilic appearance and are associated with a
granulation tissue-like and inflammatory stromal response and sometimes with
retraction artefact mimicking lymphovascular permeation. CONCLUSIONS: The overall
morphological appearances, especially in the context of a prior or current
diagnosis of cervical intraepithelial neoplasia, may result in misdiagnosis as
invasive squamous carcinoma. Pathologists should be aware of this
pseudoneoplastic artefact so that an erroneous diagnosis of malignancy is not
made.
PMID- 21896583
TI - Agencies prepare to deal with mental health problems in Libya after 42 years of
repression.
PMID- 21896584
TI - Trust and health department deny acting illegally over "do not resuscitate"
order.
PMID- 21896585
TI - London needs to reconfigure its HIV services, says Civitas report.
PMID- 21896586
TI - Browsing the internet. Does the internet limit or extend the human mind? Probably
both.
PMID- 21896587
TI - Differences in brain hemispheres deserves more thoughtful approach.
PMID- 21896589
TI - Tackling conflicts of interest. Subjective editorials and clinical reviews
require proof of objectivity.
PMID- 21896590
TI - Tackling conflicts of interest. Ban against industry ties introduces bias and
obscures whole view.
PMID- 21896591
TI - Tackling conflicts of interest. Two reasons to be cautious when considering bans
on industry ties.
PMID- 21896592
TI - Tackling conflicts of interest. Industry tie may be benchmark of quality.
PMID- 21896593
TI - Tackling conflicts of interest. Collaboration with industry facilitates useful
research that wouldn't happen otherwise.
PMID- 21896594
TI - Tackling conflicts of interest. BMJ tackles FDA's mote in eye while ignoring own
beam.
PMID- 21896595
TI - Tackling conflicts of interest. Conflicts of interest and drug information.
PMID- 21896596
TI - Curiousness of increasing obesity and longer life expectancy.
PMID- 21896597
TI - Cardiovascular prevention may increase healthcare and other costs.
PMID- 21896598
TI - Wrong argument?
PMID- 21896599
TI - How did clinical circumstances change?
PMID- 21896600
TI - US breast cancer mortality is consistent with European data.
PMID- 21896601
TI - Tackling conflicts of interest. American Family Physician has prohibited using
editorialists with industry ties for over 20 years.
PMID- 21896602
TI - FDA finds breast implants to be safe but calls for better follow-up.
PMID- 21896603
TI - Tackling conflicts of interest. What the New England Journal of Medicine did.
PMID- 21896605
TI - Life expectancy varies across WHO Europe region by 16 years.
PMID- 21896606
TI - Prosecutors have taken no action over cases of suspected assisted suicide since
new guidance was issued.
PMID- 21896608
TI - Ageing, menopause, and ischaemic heart disease mortality in England, Wales, and
the United States: modelling study of national mortality data.
AB - OBJECTIVES: To use changes in heart disease mortality rates with age to
investigate the plausibility of attributing women's lower heart disease mortality
than men to the protective effects of premenopausal sex hormones. DESIGN:
Modelling study of longitudinal mortality data with models assuming (i) a linear
association between mortality rates and age (absolute mortality) or (ii) a
logarithmic association (proportional mortality). We fitted models to age and sex
specific mortality rates in the census years 1950 to 2000 for three birth cohorts
(1916-25, 1926-35, and 1936-45). DATA SOURCES: UK Office for National Statistics
and the US National Center for Health Statistics. Main outcome measure(s) Fit of
models to data for England and Wales and for the US. RESULTS: For England-Wales
data, proportional increases in ischaemic heart disease mortality fitted the data
better than absolute increases (improvement in deviance statistics: women, 58
logarithmic units; men, 37). We identified a deceleration in male mortality after
age 45 years (decreasing from 30.3% to 5.2% per age-year, P = 0.042), although
the corresponding difference in women was non-significant (P = 0.43, overall
trend 7.9% per age-year, P<0.001). By contrast, female breast cancer mortality
decelerated significantly after age 45 years (decreasing from 19.3% to 2.6% per
age-year, P<0.001). We found similar results in US data. CONCLUSIONS:
Proportional age related changes in ischaemic heart disease mortality, suggesting
a loss of reparative reserve, fit longitudinal mortality data from England,
Wales, and the United States better than absolute age related changes in
mortality. Acceleration in male heart disease mortality at younger ages could
explain sex differences rather than any menopausal changes in women.
PMID- 21896609
TI - Sex differences in the risk of cardiovascular disease.
PMID- 21896610
TI - Evidence of comparative efficacy should have a formal role in European drug
approvals.
PMID- 21896612
TI - Adenoidectomy in children with recurrent upper respiratory infections.
PMID- 21896611
TI - Effectiveness of adenoidectomy in children with recurrent upper respiratory tract
infections: open randomised controlled trial.
AB - OBJECTIVE: To assess the effectiveness of adenoidectomy in children with
recurrent upper respiratory tract infections. DESIGN: Open randomised controlled
trial. SETTING: 11 general hospitals and two academic centres. PARTICIPANTS: 111
children aged 1-6 with recurrent upper respiratory tract infections selected for
adenoidectomy. INTERVENTION: A strategy of immediate adenoidectomy with or
without myringotomy or a strategy of initial watchful waiting. Main outcome
measure Primary outcome measure: number of upper respiratory tract infections per
person year calculated from data obtained during the total follow-up (maximum 24
months). SECONDARY OUTCOME MEASURES: days with upper respiratory tract infection
per person year, middle ear complaints with fever in episodes and days, days with
fever, prevalence of upper respiratory tract infections, and health related
quality of life. RESULTS: During the median follow-up of 24 months, there were
7.91 episodes of upper respiratory tract infections per person year in the
adenoidectomy group and 7.84 in the watchful waiting group (difference in
incidence rate 0.07, 95% confidence interval -0.70 to 0.85). No relevant
differences were found for days of upper respiratory tract infections and middle
ear complaints with fever in episodes and days, nor for health related quality of
life. The prevalence of upper respiratory tract infections decreased over time in
both groups. Children in the adenoidectomy group had significantly more days with
fever than the children in the watchful waiting group. Two children had
complications related to surgery. CONCLUSION: In children selected for
adenoidectomy for recurrent upper respiratory tract infections, a strategy of
immediate surgery confers no clinical benefits over a strategy of initial
watchful waiting. Trial registration Dutch Trial Register NTR968: ISRCTN03720485.
PMID- 21896613
TI - RNA tertiary structure prediction with ModeRNA.
AB - Noncoding RNAs perform important roles in the cell. As their function is tightly
connected with structure, and as experimental methods are time-consuming and
expensive, the field of RNA structure prediction is developing rapidly. Here, we
present a detailed study on using the ModeRNA software. The tool uses the
comparative modeling approach and can be applied when a structural template is
available and an alignment of reasonable quality can be performed. We guide the
reader through the entire process of modeling Escherichia coli tRNA(Thr) in a
conformation corresponding to the complex with an aminoacyl-tRNA synthetase
(aaRS). We describe the choice of a template structure, preparation of input
files, and explore three possible modeling strategies. In the end, we evaluate
the resulting models using six alternative benchmarks. The ModeRNA software can
be freely downloaded from http://iimcb.genesilico.pl/moderna/ under the
conditions of the General Public License. It runs under LINUX, Windows and Mac
OS. It is also available as a server at
http://iimcb.genesilico.pl/modernaserver/. The models and the script to reproduce
the study from this article are available at
http://www.genesilico.pl/moderna/examples/.
PMID- 21896614
TI - A rare case of chondrocalcinosis in the left sterno-clavicular joint.
PMID- 21896615
TI - Psychotropic drugs and accidents in Scania, Sweden.
AB - BACKGROUND: Injuries are second to cardiovascular diseases, the main cause of
hospital care in Sweden. The aim of the present study was to investigate the
associations between medication with psychotropic drugs and injuries from two
types of accidents, i.e. falling accidents and transportation accidents,
respectively, in the whole population aged>=18 years in the county of Scania,
Sweden. METHODS: Injuries from falling accidents and transportation accidents
during 2007 were identified from the Region Healthcare database. Exposure to
psychotropic medication expressed as defined daily doses (DDDs) during the 18
months before baseline, i.e. 1 January 2007, was identified from the Swedish
Medication Register. The results were stratified by sex and three age groups,
i.e. 18-34 years, 35-64 years and >=65. The logistic regression models were
adjusted for marital status, country of origin, income, previous disease and
previous accidents. RESULTS: Using psychotropic drugs was associated with
increased odds for a falling accident in all age groups, however, with a dose
response relationship only among the elderly. Furthermore, using psychotropic
drugs was associated with increased odds of transportation accidents in the ages
18-34 years and 35-64 years, respectively, but with a weaker association among
the elderly. A similar pattern of association was seen for specific groups of
psychotropic drugs: opioids, anti-depressants and
anxiolytics/hypnotics/sedatives. CONCLUSIONS: In this total population-based
study, there were nearly consistent associations between use of psychotropic
drugs and injuries from falling accidents and transportation accidents, even
after adjustment for previous accidents, previous disease and socio-demographic
variables.
PMID- 21896616
TI - European mental health policy should target everybody.
PMID- 21896617
TI - La enhances IRES-mediated translation of laminin B1 during malignant epithelial
to mesenchymal transition.
AB - The majority of transcripts that harbor an internal ribosome entry site (IRES)
are involved in cancer development via corresponding proteins. A crucial event in
tumor progression referred to as epithelial to mesenchymal transition (EMT)
allows carcinoma cells to acquire invasive properties. The translational
activation of the extracellular matrix component laminin B1 (LamB1) during EMT
has been recently reported suggesting an IRES-mediated mechanism. In this study,
the IRES activity of LamB1 was determined by independent bicistronic reporter
assays. Strong evidences exclude an impact of cryptic promoter or splice sites on
IRES-driven translation of LamB1. Furthermore, no other LamB1 mRNA species
arising from alternative transcription start sites or polyadenylation signals
were detected that account for its translational control. Mapping of the LamB1 5'
untranslated region (UTR) revealed the minimal LamB1 IRES motif between -293 and
1 upstream of the start codon. Notably, RNA affinity purification showed that the
La protein interacts with the LamB1 IRES. This interaction and its regulation
during EMT were confirmed by ribonucleoprotein immunoprecipitation. In addition,
La was able to positively modulate LamB1 IRES translation. In summary, these data
indicate that the LamB1 IRES is activated by binding to La which leads to
translational upregulation during hepatocellular EMT.
PMID- 21896618
TI - How environmental solution conditions determine the compaction velocity of single
DNA molecules.
AB - Understanding the mechanisms of DNA compaction is becoming increasingly important
for gene therapy and nanotechnology DNA applications. The kinetics of the
compaction velocity of single DNA molecules was studied using two non-protein
condensation systems, poly(ethylene glycol) (PEG) with Mg(2+) for the polymer
salt-induced condensation system and spermine for the polyamine condensation
system. The compaction velocities of single tandem lambda-DNA molecules were
measured at various PEG and spermine concentrations by video fluorescent
microscopy. Single DNA molecules were observed using a molecular stretching
technique in the microfluidic flow. The results show that the compaction velocity
of a single DNA molecule was proportional to the PEG or spermine concentration to
the power of a half. Theoretical considerations indicate that the compaction
velocity is related to differences in the free energy of a single DNA molecule
between the random coil and compacted states. In the compaction kinetics with
PEG, acceleration of the compaction velocity occurred above the overlap
concentration while considerable deceleration occurred during the coexistence
state of the random coil and the compacted conformation. This study demonstrates
the control factors of DNA compaction kinetics and contributes toward the
understanding of the compaction mechanisms of non-protein DNA interactions as
well as DNA-protein interactions in vivo.
PMID- 21896619
TI - Grapefruit juice and licorice increase cortisol availability in patients with
Addison's disease.
AB - OBJECTIVE: Failure to mirror the diurnal cortisol profile could contribute to the
impaired subjective health status in Addison's disease (AD). Some patients report
benefit from the use of various nutritional compounds. The objective of this
study was to investigate the impact of licorice and grapefruit juice (GFJ) on the
absorption and metabolism of cortisone acetate (CA). DESIGN: Patients (n=17) with
AD on stable CA replacement therapy were recruited from the outpatient clinic at
Haukeland University Hospital, Norway. They were assessed on their ordinary CA
medication and following two 3-day periods of co-administration of licorice or
GFJ. METHODS: Time series of glucocorticoids (GCs) in serum and saliva were
obtained, and GCs in 24 h urine samples were determined. The main outcome measure
was the area under the curve (AUC) for serum cortisol in the first 2.6 h after
orally administered CA. RESULTS: Compared with the ordinary treatment, the median
AUC for serum cortisol increased with licorice (53 783 vs 50 882, P<0.05) and GFJ
(60 661 vs 50 882, P<0.05). Median cortisol levels in serum were also elevated
2.6 h after tablet ingestion (licorice 223 vs 186 nmol/l, P<0.05; GFJ 337 vs 186
nmol/l, P<0.01). Licorice increased the median urinary cortisol/cortisone ratio
(0.43 vs 0.21, P<0.00001), whereas GFJ increased the (allo
tetrahydrocortisol+tetrahydrocortisol)/tetrahydrocortisone ratio (0.55 vs 0.43,
P<0.05). CONCLUSION: Licorice and in particular GFJ increased cortisol available
to tissues in the hours following oral CA administration. Both patients and
physicians should be aware of these interactions.
PMID- 21896621
TI - A child with a deletion in the monocarboxylate transporter 8 gene: 7-year follow
up and effects of thyroid hormone treatment.
AB - OBJECTIVE: The monocarboxylate transporter 8 (MCT8; SLC16A2) has a pivotal role
in neuronal triiodothyronine (T(3)) uptake. Mutations of this transporter
determine a distinct X-linked psychomotor retardation syndrome (Allan-Herndon
Dudley syndrome (AHDS)) that is attributed to disturbed thyroid hormone levels,
especially elevated T(3) levels. We describe the genetic analysis of the MCT8
gene in a patient suspected for AHDS and the clinical and endocrine effects of L
thyroxine (LT(4)) or liothyronine (LT(3)) treatment intending to overcome the
T(3) uptake resistance through alternative transporters. METHODS: The six exons
of the MCT8 gene were amplified individually by PCR. As multiple exons were
missing, the length of the X-chromosomal deletion was determined by a dense SNP
array, followed by PCR-based fine mapping to define the exact borders of the
deleted segment. The clinical and endocrine data of the patient during 6.5 years
of LT(4) treatment and two periods (3 months each) of low- and high-dose LT(3)
were evaluated. RESULTS: A partial deletion of the MCT8 gene (comprising five of
six exons) was detected, confirming the suspected AHDS. MCT8 dysfunction was
associated with partial resistance to T(3) at the hypothalamus and pituitary
level, with normal responsiveness at the peripheral organs (liver and
cardiovascular system). Thyroid hormone administration had no beneficial effect
on the neurological status of the patient. CONCLUSION: We identified a 70 kb
deletion encompassing exons 2-6 of the MCT8 gene in our AHDS patient. Both LT(4)
and LT(3) administration had no therapeutic effect. Alternatively, treatment of
AHDS patients with thyroid hormone analogs should be considered.
PMID- 21896620
TI - The genetics of phaeochromocytoma: using clinical features to guide genetic
testing.
AB - Phaeochromocytoma is a rare, usually benign, tumour predominantly managed by
endocrinologists. Over the last decade, major advances have been made in
understanding the molecular genetic basis of adrenal and extra-adrenal
phaeochromocytoma (also referred to as adrenal phaeochromocytoma (aPCA) and extra
adrenal functional paraganglioma (eFPGL)). In contrast to the previously held
belief that only 10% of cases had a genetic component, currently about one-third
of all aPCA/eFPGL cases are thought to be attributable to germline mutations in
at least nine genes (NF1, RET, SDHA, SDHB, SDHC, SDHD, TMEM127, MAX and VHL).
Recognition of inherited cases of aPCA/eFPGL is critical for optimal patient
management. Thus, the identification of a germline mutation can predict risks of
malignancy, recurrent disease, associated non-chromaffin tumours and risks to
other family members. Mutation carriers should be offered specific surveillance
programmes (according to the relevant gene). In this review, we will describe the
genetics of aPCA/eFPGL and strategies for genetic testing.
PMID- 21896622
TI - Impact of clinical, hormonal, radiological, and immunohistochemical studies on
the diagnosis of postmenopausal hyperandrogenism.
AB - OBJECTIVE: Evaluation of postmenopausal women with suspicion of androgen
secreting tumor. DESIGN AND PATIENTS: We retrospectively studied 22
postmenopausal women referred to our center for suspicion of androgen-secreting
tumor. All patients had clinical, biological, and morphological evaluation. In
absence of adrenal tumors, ovarian surgery was most often proposed and
immunohistochemistry (IHC) studies were performed. RESULTS: Ovarian tumors were
detected by ultrasound and/or magnetic resonance imaging in eight patients. Two
adrenal androgen-secreting tumors were diagnosed by an adrenal computed
tomography (CT) scan. The clinical presentation of the women with or without
tumors was similar. Nevertheless, women with tumor exhibited significantly higher
testosterone levels and lower basal FSH and LH levels than the other women (2.6+/
2.7 vs 0.9+/-0.9 ng/ml, P<0.05; 26.5+/-22.9 vs 66.5+/-26.0 IU/l, P<0.01; and
12.0+/-8.6 vs 24.1+/-8.9 IU/l, P<0.05 respectively). Based on a likelihood ratio
test, patients with a tumor had 8.4 and 10.8 times higher risk of having a
testosterone level >=1.4 ng/ml or an FSH level <=35 IU/l. Finally, IHC analysis
with an anti-P450c17alpha antibody allowed the identification of an elevated
number of ovarian androgen-producing cells in five patients in whom no tumor was
found. CONCLUSIONS: Androgen-secreting tumors are clinically difficult to
discriminate from other causes of postmenopausal hyperandrogenism. Testosterone
and FSH were the two discriminative markers in a multivariate analysis. Ovarian
and adrenal tumors were detected by imaging studies. However, ovarian non-tumoral
causes of hyperandrogenism may be difficult to detect with conventional
histology.
PMID- 21896623
TI - The GINA Asthma Challenge: reducing asthma hospitalisations.
PMID- 21896624
TI - Multi-Link Vision stent vs. first-generation drug-eluting stents: systematic
review and meta-analysis.
AB - BACKGROUND: Since its introduction, the cobalt chromium alloy MULTI-LINK VISION
stent (MLV) has been extensively investigated thus leading to the largest amount
of data so far available for a bare metal stent. Aim and METHODS: Systematic
review and meta-analysis (according to Cochrane collaboration guidelines) aiming
at summarizing the real world safety and efficacy of MLV stent. Endpoints of
interest were: major adverse events [(MAE) combination of overall death and non
fatal myocardial infarction, MI], and target vessel revascularization (TVR). Rate
of stent thrombosis was also assessed. RESULTS: Eleven studies finally retrieved
totalling 5539 patients [7 study registries, 4243 patients and 4 randomized
controlled trials (RCTs) comparing MLV vs. first generation of drug-eluting stent
(DES) (paclitaxel or sirolimus eluting), (RCTs) 1296 patients]. Across study
registries, at a mean follow-up of 11.1 months, MLV was associated with a 5.3%
risk of MAE, 3% of death, 2.3% of MI and a 9% of TVR. Risk of ST was 0.5%.
Compared to first generation of DES in RCTs, at a mean follow-up of 10.5 months,
MLV achieved similar results in terms of MAE, death and MI. On the other hand,
MLV was associated with a double risk of TVR [OR 2.01 (1.34-3.01), P < 0.001,
number needed to treat 18 (13-40)]. Overall, in stent late loss with MLV was 0.81
mm (+/-0.51), while the in segment late loss was 0.61 mm (+/-0.5). Risk of stent
thrombosis was equivalent. Of note, performance of MLV in terms of safety,
efficacy and risk of repeat revascularization was quite consistent across all the
published studies, despite inherent differences in study design, clinical
setting, complexity of the lesions and ethnicity. CONCLUSION: Compared to first
generation DES, MLV showed substantial equivalence with respect to hard clinical
endpoints. Data are consistent in study registries and RCTs meaning that the
overall performance of MLV is quite predictable and reproducible into the wide
spectrum of clinical settings.
PMID- 21896625
TI - Leaving the lab: career development for developmental biologists.
PMID- 21896626
TI - Modeling new conceptual interpretations of development.
AB - In April 2011, researchers from diverse background met at the Gulbenkian
Institute (Oeiras, Portugal) to discuss the emerging input of biophysics into the
field of developmental biology. The scope of the workshop was to bring together
scientists working in different model systems and to discuss some of the most
recent advances towards understanding how physical forces affect embryonic
development. Discussions and talks highlighted two main trends: that many aspects
of embryogenesis can be accurately quantified and translated into a limited
number of physical forces and biochemical parameters; and that simulations and
modeling provide new conceptual interpretations of classical developmental
questions.
PMID- 21896627
TI - The control of developmental phase transitions in plants.
AB - Plant development progresses through distinct phases: vegetative growth, followed
by a reproductive phase and eventually seed set and senescence. The transitions
between these phases are controlled by distinct genetic circuits that integrate
endogenous and environmental cues. In recent years, however, it has become
evident that the genetic networks that underlie these phase transitions share
some common factors. Here, we review recent advances in the field of plant phase
transitions, highlighting the role of two microRNAs - miR156 and miR172 - and
their respective targets during these transitions. In addition, we discuss the
evolutionary conservation of the functions of these miRNAs in regulating the
control of plant developmental phase transitions.
PMID- 21896628
TI - Secreted frizzled-related proteins are required for Wnt/beta-catenin signalling
activation in the vertebrate optic cup.
AB - Secreted frizzled-related proteins (Sfrps) are considered Wnt signalling
antagonists but recent studies have shown that specific family members enhance
Wnt diffusion and thus positively modulate Wnt signalling. Whether this is a
general and physiological property of all Sfrps remains unexplored. It is equally
unclear whether disruption of Sfrp expression interferes with developmental
events mediated by Wnt signalling activation. Here, we have addressed these
questions by investigating the functional consequences of Sfrp disruption in the
canonical Wnt signalling-dependent specification of the mouse optic cup
periphery. We show that compound genetic inactivation of Sfrp1 and Sfrp2 prevents
Wnt/beta-catenin signalling activation in this structure, which fails to be
specified and acquires neural retina characteristics. Consistent with a positive
role of Sfrps in signalling activation, Wnt spreading is impaired in the retina
of Sfrp1(-/-);Sfrp2(-/-) mice. Conversely, forced expression of Sfrp1 in the wing
imaginal disc of Drosophila, the only species in which the endogenous Wnt
distribution can be detected, flattens the Wg gradient, suppresses the expression
of high-Wg target genes but expands those typically activated by low Wg
concentrations. Collectively, these data demonstrate that, in vivo, the levels of
Wnt signalling activation strongly depend on the tissue distribution of Sfrps,
which should be viewed as multifunctional regulators of Wnt signalling.
PMID- 21896629
TI - Transmembrane protein 2 (Tmem2) is required to regionally restrict
atrioventricular canal boundary and endocardial cushion development.
AB - The atrioventricular canal (AVC) physically separates the atrial and ventricular
chambers of the heart and plays a crucial role in the development of the valves
and septa. Defects in AVC development result in aberrant heart morphogenesis and
are a significant cause of congenital heart malformations. We have used a forward
genetic screen in zebrafish to identify novel regulators of cardiac
morphogenesis. We isolated a mutant, named wickham (wkm), that was
indistinguishable from siblings at the linear heart tube stage but exhibited a
specific loss of cardiac looping at later developmental stages. Positional
cloning revealed that the wkm locus encodes transmembrane protein 2 (Tmem2), a
single-pass transmembrane protein of previously unknown function. Expression
analysis demonstrated myocardial and endocardial expression of tmem2 in zebrafish
and conserved expression in the endocardium of mouse embryos. Detailed phenotypic
analysis of the wkm mutant identified an expansion of expression of known
myocardial and endocardial AVC markers, including bmp4 and has2. By contrast, a
reduction in the expression of spp1, a marker of the maturing valvular primordia,
was observed, suggesting that an expansion of immature AVC is detrimental to
later valve maturation. Finally, we show that immature AVC expansion in wkm
mutants is rescued by depleting Bmp4, indicating that Tmem2 restricts bmp4
expression to delimit the AVC primordium during cardiac development.
PMID- 21896630
TI - The novel transmembrane protein Tmem2 is essential for coordination of myocardial
and endocardial morphogenesis.
AB - Coordination between adjacent tissues plays a crucial role during the
morphogenesis of developing organs. In the embryonic heart, two tissues - the
myocardium and the endocardium - are closely juxtaposed throughout their
development. Myocardial and endocardial cells originate in neighboring regions of
the lateral mesoderm, migrate medially in a synchronized fashion, collaborate to
create concentric layers of the heart tube, and communicate during formation of
the atrioventricular canal. Here, we identify a novel transmembrane protein,
Tmem2, that has important functions during both myocardial and endocardial
morphogenesis. We find that the zebrafish mutation frozen ventricle (frv) causes
ectopic atrioventricular canal characteristics in the ventricular myocardium and
endocardium, indicating a role of frv in the regional restriction of
atrioventricular canal differentiation. Furthermore, in maternal-zygotic frv
mutants, both myocardial and endocardial cells fail to move to the midline
normally, indicating that frv facilitates cardiac fusion. Positional cloning
reveals that the frv locus encodes Tmem2, a predicted type II single-pass
transmembrane protein. Homologs of Tmem2 are present in all examined vertebrate
genomes, but nothing is known about its molecular or cellular function in any
context. By employing transgenes to drive tissue-specific expression of tmem2, we
find that Tmem2 can function in the endocardium to repress atrioventricular
differentiation within the ventricle. Additionally, Tmem2 can function in the
myocardium to promote the medial movement of both myocardial and endocardial
cells. Together, our data reveal that Tmem2 is an essential mediator of
myocardium-endocardium coordination during cardiac morphogenesis.
PMID- 21896631
TI - HP1gamma links histone methylation marks to meiotic synapsis in mice.
AB - During meiosis, specific histone modifications at pericentric heterochromatin
(PCH), especially histone H3 tri- and dimethylation at lysine 9 (H3K9me3 and
H3K9me2, respectively), are required for proper chromosome interactions. However,
the molecular mechanism by which H3K9 methylation mediates the synapsis is not
yet understood. We have generated a Cbx3-deficient mouse line and performed
comparative analysis on Suv39h1/h2-, G9a- and Cbx3-deficient spermatocytes. This
study revealed that H3K9me2 at PCH depended on Suv39h1/h2-mediated H3K9me3 and
its recognition by the Cbx3 gene product HP1gamma. We further found that
centromere clustering and synapsis were commonly affected in G9a- and Cbx3
deficient spermatocytes. These genetic observations suggest that HP1gamma/G9a
dependent PCH-mediated centromere clustering is an axis for proper chromosome
interactions during meiotic prophase. We propose that the role of the
HP1gamma/G9a axis is to retain centromeric regions of unpaired homologous
chromosomes in close alignment and facilitate progression of their pairing in
early meiotic prophase. This study also reveals considerable plasticity in the
interplay between different histone modifications and suggests that such stepwise
and dynamic epigenetic modifications may play a pivotal role in meiosis.
PMID- 21896632
TI - Specific expression of a TRIM-containing factor in ectoderm cells affects the
skeletal morphogenetic program of the sea urchin embryo.
AB - In the indirect developing sea urchin embryo, the primary mesenchyme cells (PMCs)
acquire most of the positional and temporal information from the overlying
ectoderm for skeletal initiation and growth. In this study, we characterize the
function of the novel gene strim1, which encodes a tripartite motif-containing
(TRIM) protein, that adds to the list of genes constituting the epithelial
mesenchymal signaling network. We report that strim1 is expressed in ectoderm
regions adjacent to the bilateral clusters of PMCs and that its misexpression
leads to severe skeletal abnormalities. Reciprocally, knock down of strim1
function abrogates PMC positioning and blocks skeletogenesis. Blastomere
transplantation experiments establish that the defects in PMC patterning, number
and skeletal growth depend upon strim1 misexpression in ectoderm cells.
Furthermore, clonal expression of strim1 into knocked down embryos locally
restores skeletogenesis. We also provide evidence that the Otp and Pax2/5/8
regulators, as well as FGFA, but not VEGF, ligand act downstream to strim1 in
ectoderm cells, and that strim1 triggers the expression of the PMC marker sm30,
an ectoderm-signaling dependent gene. We conclude that the strim1 function
elicits specific gene expression both in ectoderm cells and PMCs to guide the
skeletal biomineralization during morphogenesis.
PMID- 21896633
TI - Multisite haplotype on cattle chromosome 3 is associated with quantitative trait
locus effects on lactation traits.
AB - The goal of this study was to identify candidate genes and DNA polymorphisms for
quantitative trait loci (QTL) affecting milk yield (MY), fat yield (FY), and
protein yield (PY) previously mapped to bovine chromosome 3 (BTA3). To accomplish
this, 373 half-siblings sired by three bulls previously shown to be segregating
for lactation trait QTL, and 263 additional sires in the U.S. Dairy Bull DNA
Repository (DBDR) were genotyped for 2,500 SNPs within a 16.3 Mbp QTL critical
region on BTA3. Targeted resequencing of ~1.8 Mbp within the QTL critical region
of one of the QTL heterozygous sires identified additional polymorphisms useful
for association studies. Twenty-three single nucleotide polymorphisms (SNPs)
within a fine-mapped region were associated with effects on breeding values for
MY, FY, or PY in DBDR sires, of which five SNPs were in strong linkage
disequilibrium in the population. This multisite haplotype included SNPs located
within exons or promoters of four tightly linked genes: RAP1A, ADORA3, OVGP1, and
C3H1orf88. An SNP within RAP1A showed strong evidence of a recent selective sweep
based on integrated haplotype score and was also associated with breeding value
for PY. Because of its known function in alveolar lumen formation in the mammary
gland, RAP1A is thus a strong candidate gene for QTL effects on lactation traits.
Our results provide a detailed assessment of a QTL region that will be a useful
guide for complex traits analysis in humans and other noninbred species.
PMID- 21896635
TI - Differences in the participation of TGFB superfamily signalling pathways
mediating porcine and murine cumulus cell expansion.
AB - It is widely held that mammalian cumulus cell (CC) expansion requires oocyte
paracrine signalling, however in three of the four species studied to date, CC
expansion occurs in the absence of the oocyte. This study was conducted to
examine the paracrine and SMAD/MAPK intracellular signalling mechanism mediating
porcine CC expansion, and to compare these to the mouse. Cumulus-oocyte complexes
(COCs) and oocyte-free complexes (OOXs) from pigs and eCG-primed mice were
treated in vitro with FSH and a broad range of TGFB superfamily antagonists.
Expansion of porcine COCs and OOXs was unaffected by neutralisation of growth
differentiation factor 9, TGFB, activin A, activin B and a broad spectrum bone
morphogenetic protein antagonist. A SMAD-responsive luciferase reporter assay
confirmed that porcine oocytes secreted factors that activate SMAD3 and SMAD1/5/8
in granulosa cells, but murine oocytes activated SMAD3 only. Treatment of COCs
with a SMAD2/3 phosphorylation inhibitor (SB431542) partially inhibited porcine
CC expansion and expression of TNFAIP6, but ablated murine CC expansion. SB431542
was equally effective at attenuating porcine CC expansion in the presence or
absence of the oocyte. By contrast, a SMAD1/5/8 phosphorylation inhibitor
(dorsomorphin) had no effect on porcine or murine CC function. Inhibition of
ERK1/2 and p38 MAPK signalling pathways prevented porcine COC expansion and
expression of most matrix genes examined. The activation of CC SMAD signalling by
oocytes, and the requirement of SMAD2/3 signalling for expansion, is notably
contrasted in pigs and mice. Nonetheless, porcine CC SMAD2/3 signalling is likely
to be needed for optimal matrix formation, possibly by facilitating essential
MAPK signals.
PMID- 21896636
TI - The Sda/GM2-glycan is a carbohydrate marker of porcine primordial germ cells and
of a subpopulation of spermatogonia in cattle, pigs, horses and llama.
AB - Spermatogonia are a potential source of adult pluripotent stem cells and can be
used for testis germ cell transplantation. Markers for the isolation of these
cells are of great importance for biomedical applications. Primordial germ cells
and prepubertal spermatogonia in many species can be identified by their binding
of Dolichos biflorus agglutinin (DBA). This lectin binds to two different types
of glycans, which are alpha-linked N-acetylgalactosamine (GalNac) and beta-linked
GalNac, if this is part of the Sda or GM2 glycotopes. We used the MAB CT1, which
is specific for the trisaccharides motif NeuAcalpha2-3(GalNAcbeta1-4)Galbeta1-,
which is common to both Sda and GM2 glycotopes, to further define the
glycosylation of DBA binding germ cells. In porcine embryos, CT1 bound to
migratory germ cells and gonocytes. CT1/DBA double staining showed that the
mesonephros was CT1 negative but contained DBA-positive cells. Gonocytes in the
female gonad became CT1 negative, while male gonocytes remained CT1 positive. In
immunohistological double staining of cattle, pig, horse and llama testis, DBA
and CT1 staining was generally colocalised in a subpopulation of spermatogonia.
These spermatogonia were mainly single, sometimes paired or formed chains of up
to four cells. Our data show that the Sda/GM2 glycotope is present in developing
germ cells and spermatogonia in several species. Owing to the narrower
specificity of the CT1 antibody, compared with DBA, the former is likely to be a
useful tool for labelling and isolation of these cells.
PMID- 21896634
TI - What makes hospitalized patients more vulnerable and increases their risk of
experiencing an adverse event?
AB - OBJECTIVE: To analyze the relationship between the appearance of adverse events
(AEs) and both patient comorbidities and the use of medical devices. DESIGN:
Retrospective medical records review study. SETTING: Twenty-four Spanish public
hospitals. PARTICIPANTS: Clinical records of 5624 discharged patients. MAIN
OUTCOME MEASURE: Incidence of AEs. RESULTS: Patients aged >65 have 2.4 times the
risk of experiencing an AE compared with those aged <65. The presence of certain
comorbidities and devices (neoplasia, chronic hepatic alteration, cardiac
insufficiency, coronary disease, high blood pressure, urethral catheterization,
catheterization of a vessel, tracheostomy or stay of >7 days) were associated
with developing an AE during hospitalization. There is a trend effect if we
consider the number of comorbidities and the number of devices used. Thus, the
risk of an AE in subjects who present no comorbidities was 3.2%, which rose to
9.9% in those with one intrinsic risk factor, 16.7% in those with two and 29.3%
in those with three or more. Similarly, subjects without extrinsic risk factor
experienced an AE in 4.4% of cases, which rose to 9.6% when there was one risk
factor, to 13.4% when there were two and to 33.0% when there were three or more
risk factors. The effect of some of these pathologies and that associated with
age disappeared on adjusting in line with other variables. CONCLUSIONS: The true
risk resides in the number of exposures to potentially iatrogenic actions, rather
than being intrinsic to age or the presence of certain comorbidities.
PMID- 21896637
TI - Exploring the hidden heritability of inflammatory bowel disease.
PMID- 21896638
TI - Ablation of sphingosine kinase-2 inhibits tumor cell proliferation and migration.
AB - Sphingosine kinases (SK) regulate the balance between proapoptotic ceramides and
mitogenic sphingosine-1-phosphate (S1P); however, the functions of the two
isoenzymes (SK1 and SK2) in tumor cells are not well defined. Therefore, RNA
interference was used to assess the individual roles of SK1 and SK2 in tumor cell
sphingolipid metabolism, proliferation, and migration/invasion. Treatment of
A498, Caki-1, or MDA-MB-231 cells with siRNAs specific for SK1 or SK2 effectively
suppressed the expression of the target mRNA and protein. Ablation of SK1 did not
affect mRNA or protein levels of SK2 and reduced intracellular levels of S1P
while elevating ceramide levels. In contrast, ablation of SK2 elevated mRNA,
protein, and activity levels of SK1 and increased cellular S1P levels.
Interestingly, cell proliferation and migration/invasion were suppressed more by
SK2-selective ablation than by SK1-selective ablation, showing that the increased
S1P does not rescue these phenotypes. Similarly, exogenous S1P did not rescue the
cells from the antiproliferative or antimigratory effects of the siRNAs.
Consistent with these results, differential effects of SK1- and SK2-selective
siRNAs on signaling proteins, including p53, p21, ERK1, ERK2, FAK, and VCAM1,
indicate that SK1 and SK2 have only partially overlapping functions in tumor
cells. Overall, these data indicate that loss of SK2 has stronger anticancer
effects than does suppression of SK1. Consequently, selective inhibitors of SK2
may provide optimal targeting of this pathway in cancer chemotherapy.
PMID- 21896640
TI - Circulating tumor cell isolation and diagnostics: toward routine clinical use.
AB - From February 7-11, 2011, the multidisciplinary Lorentz Workshop Circulating
Tumor Cell (CTC) Isolation and Diagnostics: Toward Routine Clinical Use was held
in Leiden (The Netherlands) to discuss progress and define challenges and
potential solutions for development of clinically useful circulating tumor cell
(CTC) diagnostics. CTCs, captured as "liquid biopsy" from blood, for counting and
characterization using pathology and molecular assays, are expected to replace
metastatic tissue biopsies to be used to predict drug response and resistance and
to monitor therapy response and cancer recurrence. CTCs are highly heterogeneous;
therefore, cancer type-specific isolation technologies, as well as complex
clinical interpretation software, are required.
PMID- 21896639
TI - Itraconazole inhibits angiogenesis and tumor growth in non-small cell lung
cancer.
AB - The antiangiogenic agent bevacizumab has been approved for the treatment of non
small cell lung cancer (NSCLC), although the survival benefit associated with
this agent is marginal, and toxicities and cost are substantial. A recent screen
for selective inhibitors of endothelial cell proliferation identified the oral
antifungal drug itraconazole as a novel agent with potential antiangiogenic
activity. In this article, we define and characterize the antiangiogenic and
anticancer activities of itraconazole in relevant preclinical models of
angiogenesis and lung cancer. Itraconazole consistently showed potent, specific,
and dose-dependent inhibition of endothelial cell proliferation, migration, and
tube formation in response to both VEGF- and basic fibroblast growth factor
mediated angiogenic stimulation. In vivo, using primary xenograft models of human
NSCLC, oral itraconazole showed single-agent growth-inhibitory activity
associated with induction of tumor hypoxia-inducible factor 1 alpha expression
and marked inhibition of tumor vascularity. Itraconazole significantly enhanced
the antitumor efficacy of the chemotherapeutic agent cisplatin in the same model
systems. Taken together, these data suggest that itraconazole has potent and
selective inhibitory activity against multiple key aspects of tumor-associated
angiogenesis in vitro and in vivo, and strongly support clinical translation of
its use. Based on these observations, we have initiated a randomized phase II
study comparing the efficacy of standard cytotoxic therapy with or without daily
oral itraconazole in patients with recurrent metastatic NSCLC.
PMID- 21896641
TI - Mast cell targeting hampers prostate adenocarcinoma development but promotes the
occurrence of highly malignant neuroendocrine cancers.
AB - Mast cells (MC) are c-Kit-expressing cells, best known for their primary
involvement in allergic reactions, but recently reappraised as important players
in either cancer promotion or inhibition. Here, we assessed the role of MCs in
prostate tumor development. In prostate tumors from both tumor-prone transgenic
adenocarcinoma of the mouse prostate (TRAMP) mice and human patients, MCs are
specifically enriched and degranulated in areas of well-differentiated (WD)
adenocarcinoma but not around poorly differentiated (PD) foci that coexist in the
same tumors. We derived novel TRAMP tumor cell lines, representative of WD and PD
variants, and through pharmacologic stabilization or genetic ablation of MCs in
recipients mice, we showed that MCs promote WD adenocarcinoma growth but are
dispensable for PD tumors. WD tumors rely on MCs for matrix metalloprotease 9
(MMP-9) provision, as reconstitution of MC-deficient mice with wild-type but not
MMP-9(-/-) MCs was sufficient to promote their growth. In contrast, PD tumors are
MMP-9 self-competent, consistently with epithelial-to-mesenchymal transition.
Such a dual source of MMP-9 was confirmed in human tumors, suggesting that MCs
could be a good target for early-stage prostate cancer. Interestingly, in testing
whether MC targeting could block or delay tumorigenesis in tumor-prone TRAMP
mice, we observed a high incidence of early and aggressive tumors, characterized
by a neuroendocrine (NE) signature and c-Kit expression. Taken together, these
data underscore the contribution of MCs in tumor progression and uncover a new,
opposite role of MCs in protecting against the occurrence of aggressive NE
variants in prostate cancer.
PMID- 21896642
TI - Systems biology: confronting the complexity of cancer.
AB - The AACR-NCI Conference "Systems Biology: Confronting the Complexity of Cancer"
took place from February 27 to March 2, 2011, in San Diego, CA. Several themes
resonated during the meeting, notably (i) the need for better methods to distill
insights from large-scale networks, (ii) the importance of integrating multiple
data types in constructing more realistic models, (iii) challenges in translating
insights about tumorigenic mechanisms into therapeutic interventions, and (iv)
the role of the tumor microenvironment, at the physical, cellular, and molecular
levels. The meeting highlighted concrete applications of systems biology to
cancer, and the value of collaboration between interdisciplinary researchers in
attacking formidable problems.
PMID- 21896643
TI - Very-long-chain fatty acids are required for cell plate formation during
cytokinesis in Arabidopsis thaliana.
AB - Acyl chain length is thought to be crucial for biophysical properties of the
membrane, in particular during cell division, when active vesicular fusion is
necessary. In higher plants, the process of cytokinesis is unique, because the
separation of the two daughter cells is carried out by de novo vesicular fusion
to generate a laterally expanding cell plate. In Arabidopsis thaliana, very-long
chain fatty acid (VLCFA) depletion caused by a mutation in the microsomal
elongase gene PASTICCINO2 (PAS2) or by application of the selective elongase
inhibitor flufenacet altered cytokinesis. Cell plate expansion was delayed and
the formation of the endomembrane tubular network altered. These defects were
associated with specific aggregation of the cell plate markers YFP-Rab-A2a and
KNOLLE during cytokinesis. Changes in levels of VLCFA also resulted in
modification of endocytosis and sensitivity to brefeldin A. Finally, the
cytokinesis impairment in pas2 cells was associated with reduced levels of very
long fatty acyl chains in phospholipids. Together, our findings demonstrate that
VLCFA-containing lipids are essential for endomembrane dynamics during
cytokinesis.
PMID- 21896644
TI - TRB3 interacts with SMAD3 promoting tumor cell migration and invasion.
AB - Tribbles homolog 3 (TRB3, also known as TRIB3, NIPK and SKIP3), a human homolog
of Drosophila Tribbles, has been found to interact with a variety of signaling
molecules to regulate diverse cellular functions. Here, we report that TRB3 is a
novel SMAD3-interacting protein. Expression of exogenous TRB3 enhanced the
transcriptional activity of SMAD3, whereas knocking down endogenous TRB3 reduced
the transcriptional activity of SMAD3. The kinase-like domain (KD) of TRB3 was
responsible for the interaction with SMAD3 and the regulation of SMAD3-mediated
transcriptional activity. In addition, TGF-beta1 stimulation or overexpression of
SMAD3 enhanced the TRB3 promoter activity and expression, suggesting that there
is a positive feedback loop between TRB3 and TGF-beta-SMAD3 signaling.
Mechanistically, TRB3 was found to trigger the degradation of SMAD ubiquitin
regulatory factor 2 (Smurf2), which resulted in a decrease in the degradation of
SMAD2 and phosphorylated SMAD3. Moreover, TRB3-SMAD3 interaction promoted the
nuclear localization of SMAD3 because of the interaction of TRB3 with the MH2
domain of SMAD3. These effects of TRB3 were responsible for potentiating the
SMAD3-mediated activity. Furthermore, knockdown of endogenous TRB3 expression
inhibited the migration and invasion of tumor cells in vitro, which were
associated with an increase in the expression of E-cadherin and a decrease in the
expression of Twist-1 and Snail, two master regulators of epithelial-to
mesenchymal transition, suggesting a crucial role for TRB3 in maintaining the
mesenchymal status of tumor cells. These results demonstrate that TRB3 acts as a
novel SMAD3-interacting protein to participate in the positive regulation of TGF
beta-SMAD-mediated cellular biological functions.
PMID- 21896646
TI - Influence of matrix metalloproteinase MMP-9 on dendritic spine morphology.
AB - An increasing body of data has shown that matrix metalloproteinase-9 (MMP-9), an
extracellularly acting, Zn(2+)-dependent endopeptidase, is important not only for
pathologies of the central nervous system but also for neuronal plasticity. Here,
we use three independent experimental models to show that enzymatic activity of
MMP-9 causes elongation and thinning of dendritic spines in the hippocampal
neurons. These models are: a recently developed transgenic rat overexpressing
autoactivating MMP-9, dissociated neuronal cultures, and organotypic neuronal
cultures treated with recombinant autoactivating MMP-9. This dendritic effect is
mediated by integrin beta1 signalling. MMP-9 treatment also produces a change in
the decay time of miniature synaptic currents; however, it does not change the
abundance and localization of synaptic markers in dendritic protrusions. Our
results, considered together with several recent studies, strongly imply that MMP
9 is functionally involved in synaptic remodelling.
PMID- 21896645
TI - Mutations associated with Charcot-Marie-Tooth disease cause SIMPLE protein
mislocalization and degradation by the proteasome and aggresome-autophagy
pathways.
AB - Mutations in SIMPLE cause an autosomal dominant, demyelinating form of peripheral
neuropathy termed Charcot-Marie-Tooth disease type 1C (CMT1C), but the pathogenic
mechanisms of these mutations remain unknown. Here, we report that SIMPLE is an
early endosomal membrane protein that is highly expressed in the peripheral
nerves and Schwann cells. Our analysis has identified a transmembrane domain
(TMD) embedded within the cysteine-rich (C-rich) region that anchors SIMPLE to
the membrane, and suggests that SIMPLE is a post-translationally inserted, C-tail
anchored membrane protein. We found that CMT1C-linked pathogenic mutations are
clustered within or around the TMD of SIMPLE and that these mutations cause
mislocalization of SIMPLE from the early endosome membrane to the cytosol. The
CMT1C-associated SIMPLE mutant proteins are unstable and prone to aggregation,
and they are selectively degraded by both the proteasome and aggresome-autophagy
pathways. Our findings suggest that SIMPLE mutations cause CMT1C peripheral
neuropathy by a combination of loss-of-function and toxic gain-of-function
mechanisms, and highlight the importance of both the proteasome and autophagy
pathways in the clearance of CMT1C-associated mutant SIMPLE proteins.
PMID- 21896647
TI - Changes in BiP availability reveal hypersensitivity to acute endoplasmic
reticulum stress in cells expressing mutant huntingtin.
AB - Huntington's disease (HD) is caused by expanded glutamine repeats within the
huntingtin (Htt) protein. Mutant Htt (mHtt) in the cytoplasm has been linked to
induction of the luminal endoplasmic reticulum (ER) stress pathway, the unfolded
protein response (UPR). How mHtt impacts the susceptibility of the ER lumen to
stress remains poorly understood. To investigate molecular differences in the ER
in cells expressing mHtt, we used live-cell imaging of a sensitive reporter of
the misfolded secretory protein burden, GFP fused to the ER chaperone BiP (also
known as GRP78), which decreases in mobility as it binds increasing amounts of
misfolded proteins. Striatal neurons expressing full-length mHtt showed no
differences in BiP-GFP mobility and no evidence of UPR activation compared with
wild-type cells at steady state. However, mHtt-expressing cells were acutely
sensitive to misfolded secretory proteins. Treatment with ER stressors,
tunicamycin or DTT, rapidly decreased BiP-GFP mobility in mHtt striatal cells and
accelerated UPR activation compared with wild-type cells. mHtt-expressing cells
exhibited decreased misfolded protein flux as a result of ER associated
degradation (ERAD) dysfunction. Furthermore, UPR-adapted mHtt cells succumbed to
misfolded protein stresses that could be tolerated by adapted wild-type cells.
Thus, mHtt expression impairs misfolded secretory protein turnover, decreases the
ER stress threshold, and increases cell vulnerability to insults.
PMID- 21896648
TI - Drosophila Swiprosin-1/EFHD2 accumulates at the prefusion complex stage during
Drosophila myoblast fusion.
AB - In the Drosophila embryo, transient cell adhesion during myoblast fusion is known
to lead to the formation of fusion-restricted myogenic-adhesive structures
(FuRMASs). Here, we report that within these FuRMASs, a Drosophila homologue of
human and mouse swiprosins (EF-hand-domain-containing proteins) is expressed,
which we named Drosophila Swiprosin-1 (Drosophila Swip-1). Drosophila Swip-1 is
highly conserved and is closely related to the calcium-binding proteins swiprosin
1 and swiprosin-2 that have a role in the immune system in humans and mice. Our
study shows that Drosophila Swip-1 is also expressed in corresponding cells of
the Drosophila immune system. During myoblast fusion, Drosophila Swip-1
accumulates transiently in the foci of fusion-competent myoblasts (FCMs). Both
the EF-hand and the coiled-coil domain of Drosophila Swip-1 are required to
localise the protein to these foci. The formation of Drosophila Swip-1 foci
requires successful cell adhesion between FCMs and founder cells (FCs) or growing
myotubes. Moreover, Drosophila Swip-1 foci were found to increase in number in
sing(22) mutants, which arrest myoblast fusion after prefusion complex formation.
By contrast, Drosophila Swip-1 foci are not significantly enriched in blow(2) and
kette(J4-48) mutants, which stop myogenesis beyond the prefusion complex stage
but before plasma membrane merging. Therefore, we hypothesise that Drosophila
Swip-1 participates in the breakdown of the prefusion complex during the
progression of myoblast fusion.
PMID- 21896649
TI - Boxing bladder cancer with COX-2-specific inhibition.
AB - Preventing recurrence of non-muscle-invasive bladder cancer (NMIBC) is important
for improving patient well-being and reducing the health economic burden of this
disease. To date, no oral agent has shown sufficient benefit to be adopted in
clinical practice, where current strategies rely on topical (intravesical)
administration of chemotherapy and immunotherapy. In this issue of the journal
(beginning on page 1580), Sabichi and colleagues report the first phase II
randomized controlled trial of the COX-2 inhibitor celecoxib in bladder cancer.
The trial set out to measure an overly ambitious effect size but nevertheless
showed encouraging signs of celecoxib activity. It lends support to COX-2
inhibition in NMIBC, which is being tested in several subsequent trials, and to
the need for conclusive evidence.
PMID- 21896652
TI - Wnt signaling meets internal dissent.
AB - In canonical Wnt signaling, beta-catenin translocates to the cell nucleus,
interacting with Tcf/Lef factors to activate transcription of Wnt target genes.
In this issue of Genes & Development, Vacik and colleagues (pp. 1783-1795) report
that a highly conserved sequence in intron 5 of Tcf7l2 conceals an internal
promoter region that, when activated by Vax2, drives transcription of truncated
Tcf7l2 mRNAs. The encoded Tcf7l2 protein binds to DNA, but not beta-catenin, and
therefore acts as a dominant-negative Wnt antagonist.
PMID- 21896650
TI - Phase III trial of selenium to prevent prostate cancer in men with high-grade
prostatic intraepithelial neoplasia: SWOG S9917.
AB - The threat of prostate cancer and the significant and often negative impact of
its treatment underscore the importance of prevention. High-grade prostatic
intraepithelial neoplasia (HGPIN) has been identified as a potential premalignant
lesion marking an increased risk of prostate cancer and substantial evidence
suggests that men with HGPIN are in need of prostate cancer prevention. In vitro,
in vivo, epidemiologic, and clinical trial evidence that selenium supplementation
protects against prostate cancer motivated the study we report here: a double
blind, randomized, placebo-controlled trial of selenium 200 (MUg/d) as
selenomethionine in men with HGPIN. The primary endpoint was progression of HGPIN
to prostate cancer over a 3-year period. This National Cancer Institute
Intergroup trial was coordinated by the Southwest Oncology Group (SWOG). Of 619
enrolled patients, 423 randomized men with HGPIN (212 selenium and 211 placebo)
were eligible (by central pathology review) and included in the primary analysis.
Three-year cancer rates were 36.6% (placebo) versus 35.6% (selenium; P = 0.73,
adjusted). The majority of patients who developed cancer on trial (70.8%,
selenium and 75.5%, placebo) had a Gleason score of 6 or less than 6; there were
no differences in Gleason scores between the two arms. Subset analyses included
the finding of a nonsignificantly reduced prostate cancer risk (relative risk =
0.82; 95% CI: 0.40-1.69) in selenium versus placebo patients in the lowest
quartile of baseline plasma selenium level (<106 ng/mL). Overall, and in all
other subsets defined by baseline blood selenium levels, selenium supplementation
had no effect on prostate cancer risk. The 36% prostate cancer rate in men with
HGPIN indicates the association of this lesion with an elevated prostate cancer
risk. Future study in this setting should focus on selenium-deficient populations
and selenium pharmacogenetics.
PMID- 21896651
TI - Viruses and microRNAs: RISCy interactions with serious consequences.
AB - Analyses of small RNA expression profiles have revealed that several DNA viruses
including particularly, herpesviruses-express high levels of multiple viral
microRNAs (miRNAs) in infected cells. Here, I review our current understanding of
how viral miRNAs influence viral replication and pathogenesis and discuss how
viruses reshape the pattern of cellular miRNA expression. Indeed, viruses are now
known to both activate and repress the expression of specific cellular miRNAs,
and disrupting this process can perturb the ability of viruses to replicate
normally. In addition, it is now clear that virally encoded miRNAs play a key
role in inhibiting antiviral innate immune responses and can also promote cell
transformation in culture. While our understanding of how viruses interact with
miRNAs remains somewhat rudimentary, it is nevertheless already clear that these
interactions can play a critical role in mediating viral pathogenesis and
therefore may represent novel and highly specific targets for therapeutic
intervention.
PMID- 21896653
TI - Shared and unique properties of ubiquitin and SUMO interaction networks in DNA
repair.
AB - In this issue of Genes & Development, Yang and colleagues (pp. 1847-1858)
identify new components of a small ubiquitin-like modifier (SUMO)-like
interaction network that orchestrates and fine-tunes the Fanconi anemia (FA)
pathway and replication-coupled repair. This new pathway emphasizes the intricate
interplay of ubiquitin (Ub) and SUMO networks in the DNA damage response.
PMID- 21896654
TI - Ending the message: poly(A) signals then and now.
AB - Polyadenylation [poly(A)] signals (PAS) are a defining feature of eukaryotic
protein-coding genes. The central sequence motif AAUAAA was identified in the mid
1970s and subsequently shown to require flanking, auxiliary elements for both 3'
end cleavage and polyadenylation of premessenger RNA (pre-mRNA) as well as to
promote downstream transcriptional termination. More recent genomic analysis has
established the generality of the PAS for eukaryotic mRNA. Evidence for the
mechanism of mRNA 3'-end formation is outlined, as is the way this RNA processing
reaction communicates with RNA polymerase II to terminate transcription. The
widespread phenomenon of alternative poly(A) site usage and how this interrelates
with pre-mRNA splicing is then reviewed. This shows that gene expression can be
drastically affected by how the message is ended. A central theme of this review
is that while genomic analysis provides generality for the importance of PAS
selection, detailed mechanistic understanding still requires the direct analysis
of specific genes by genetic and biochemical approaches.
PMID- 21896655
TI - Transcriptional regulation of xenobiotic detoxification in Drosophila.
AB - Living organisms, from bacteria to humans, display a coordinated transcriptional
response to xenobiotic exposure, inducing enzymes and transporters that
facilitate detoxification. Several transcription factors have been identified in
vertebrates that contribute to this regulatory response. In contrast, little is
known about this pathway in insects. Here we show that the Drosophila Nrf2 (NF-E2
related factor 2) ortholog CncC (cap 'n' collar isoform-C) is a central regulator
of xenobiotic detoxification responses. A binding site for CncC and its
heterodimer partner Maf (muscle aponeurosis fibromatosis) is sufficient and
necessary for robust transcriptional responses to three xenobiotic compounds:
phenobarbital (PB), chlorpromazine, and caffeine. Genetic manipulations that
alter the levels of CncC or its negative regulator, Keap1 (Kelch-like ECH
associated protein 1), lead to predictable changes in xenobiotic-inducible gene
expression. Transcriptional profiling studies reveal that more than half of the
genes regulated by PB are also controlled by CncC. Consistent with these effects
on detoxification gene expression, activation of the CncC/Keap1 pathway in
Drosophila is sufficient to confer resistance to the lethal effects of the
pesticide malathion. These studies establish a molecular mechanism for the
regulation of xenobiotic detoxification in Drosophila and have implications for
controlling insect populations and the spread of insect-borne human diseases.
PMID- 21896656
TI - Structural basis for the role of the Sir3 AAA+ domain in silencing: interaction
with Sir4 and unmethylated histone H3K79.
AB - The silent information regulator 2/3/4 (Sir2/3/4) complex is required for gene
silencing at the silent mating-type loci and at telomeres in Saccharomyces
cerevisiae. Sir3 is closely related to the origin recognition complex 1 subunit
and consists of an N-terminal bromo-adjacent homology (BAH) domain and a C
terminal AAA(+) ATPase-like domain. Here, through a combination of structure
biology and exhaustive mutagenesis, we identified unusual, silencing-specific
features of the AAA(+) domain of Sir3. Structural analysis of the putative
nucleotide-binding pocket in this domain reveals a shallow groove that would
preclude nucleotide binding. Mutation of this site has little effect on Sir3
function in vivo. In contrast, several surface regions are shown to be necessary
for the Sir3 silencing function. Interestingly, the Sir3 AAA(+) domain is shown
here to bind chromatin in vitro in a manner sensitive to histone H3K79
methylation. Moreover, an exposed loop on the surface of this Sir3 domain is
found to interact with Sir4. In summary, the unique folding of this conserved
Sir3 AAA(+) domain generates novel surface regions that mediate Sir3-Sir4 and
Sir3-nucleosome interactions, both being required for the proper assembly of
heterochromatin in living cells.
PMID- 21896657
TI - Regulation of the Fanconi anemia pathway by a SUMO-like delivery network.
AB - The USP1/UAF1 complex deubiquitinates the Fanconi anemia protein FANCD2, thereby
promoting homologous recombination and DNA cross-link repair. How USP1/UAF1 is
targeted to the FANCD2/FANCI heterodimer has remained unknown. Here we show that
UAF1 contains a tandem repeat of SUMO-like domains in its C terminus (SLD1 and
SLD2). SLD2 binds directly to a SUMO-like domain-interacting motif (SIM) on
FANCI. Deletion of the SLD2 sequence of UAF1 or mutation of the SIM on FANCI
disrupts UAF1/FANCI binding and inhibits FANCD2 deubiquitination and DNA repair.
The USP1/UAF1 complex also deubiquitinates PCNA-Ub, and deubiquitination requires
the PCNA-binding protein hELG1. The SLD2 sequence of UAF1 binds to a SIM on
hELG1, thus targeting the USP1/UAF1 complex to its PCNA-Ub substrate. We propose
that the regulated targeting of USP1/UAF1 to its DNA repair substrates, FANCD2-Ub
and PCNA-Ub, by SLD-SIM interactions coordinates homologous recombination and
translesion DNA synthesis.
PMID- 21896658
TI - Human SNM1A and XPF-ERCC1 collaborate to initiate DNA interstrand cross-link
repair.
AB - One of the major DNA interstrand cross-link (ICL) repair pathways in mammalian
cells is coupled to replication, but the mechanistic roles of the critical
factors involved remain largely elusive. Here, we show that purified human SNM1A
(hSNM1A), which exhibits a 5'-3' exonuclease activity, can load from a single DNA
nick and digest past an ICL on its substrate strand. hSNM1A-depleted cells are
ICL-sensitive and accumulate replication-associated DNA double-strand breaks
(DSBs), akin to ERCC1-depleted cells. These DSBs are Mus81-induced, indicating
that replication fork cleavage by Mus81 results from the failure of the hSNM1A-
and XPF-ERCC1-dependent ICL repair pathway. Our results reveal how collaboration
between hSNM1A and XPF-ERCC1 is necessary to initiate ICL repair in replicating
human cells.
PMID- 21896659
TI - The microenvironment patterns the pluripotent mouse epiblast through paracrine
Furin and Pace4 proteolytic activities.
AB - The fate of pluripotent cells in early mouse embryos is controlled by graded
Nodal signals that are activated by the endoproteases Furin and Pace4. Soluble
forms of Furin and Pace4 cleave proNodal in vitro and after secretion in
transfected cells, but direct evidence for paracrine activity in vivo is elusive.
Here, we show that Furin and Pace4 are released by the extraembryonic
microenvironment, and that they cleave a membrane-bound reporter substrate in
adjacent epiblast cells and activate Nodal to maintain pluripotency. Secreted
Pace4 and Furin also stimulated mesoderm formation, whereas endoderm was only
induced by Pace4, correlating with a difference in the spatiotemporal
distribution of these proteolytic activities. Our analysis of paracrine Furin and
Pace4 activities and their in vivo functions significantly advances our
understanding of how the epiblast is patterned by its microenvironment. Adding
cell-cell communication to the pleiotropic portfolio of these proteases provides
a new framework to study proprotein processing also in other relevant contexts.
PMID- 21896660
TI - Practical dosimetry methods for the determination of effective skin and breast
dose for a modern CT system, incorporating partial irradiation and prospective
cardiac gating.
AB - OBJECTIVE: For CT coronary angiography (CTCA), a generic chest conversion factor
returns a significant underestimate of effective dose. The aim of this manuscript
is to communicate new dosimetry methods to calculate weighted CT dose index
(CTDIw), effective dose, entrance surface dose (ESD) and organ dose to the breast
for prospectively gated CTCA. METHODS: CTDIw in 32 cm diameter Perspex phantom
was measured using an adapted technique, accounting for the segmented scan
characteristic. Gafchromic XRCT film (International Speciality Products, New
Jersey, NJ) was used to measure the distribution and magnitude of ESD. Breast
dose was measured using high sensitivity metal oxide semiconductor field-effect
transistors and compared to the computer based imaging performance assessment of
CT scanners (ImPACT) dosimetry calculations. RESULTS: For a typical cardiac scan
the mean ESD remained broadly constant (7-9 mGy) when averaged over the
circumference of the Perspex phantom. Typical absorbed dose to the breast with
prospectively gated protocols was within the range 2-15 mGy. The subsequent
lifetime attributable risk (LAR) of cancer incidence to the breast was found at
0.01-0.06 for a 20-year-old female. This compares favourably to 100 mGy (LAR
~0.43) for a retrospectively gated CTCA. CONCLUSIONS: Care must be taken when
considering radiation dosimetry associated with prospectively gated scanning for
CTCA and a method has been conveyed to account for this. Breast doses for
prospectively gated CTCA are an order of magnitude lower than retrospectively
gated scans. Optimisation of cardiac protocols is expected to show further dose
reduction.
PMID- 21896661
TI - A retrospective study of the value of indirect CT venography: a British
perspective.
AB - OBJECTIVE: The aim of this study was to establish the value of indirect CT
venography (CTV) in clinical practice within the UK. METHODS: 804 combined CT
pulmonary angiogram and CTV studies were retrospectively reviewed. CTV was
performed 180 s after the injection of contrast using an incremental technique
with a 5-mm collimation and a 5-cm interspace between images extending from the
iliac crests to the tibial plateaus. RESULTS: 12.9% of studies had isolated
pulmonary emboli (PE), 3.0% had both a PE and deep vein thrombosis (DVT) and 1.1%
had an isolated DVT. The proportion of positive cases diagnosed by CTV alone was
6.6%. CONCLUSION: In a UK-based practice, the incidence and the proportion of
isolated DVT diagnosed by CTV are lower than expected from published data. An
analysis of possible causes for this is made within the paper.
PMID- 21896662
TI - Correlation between carotid bifurcation calcium burden on non-enhanced CT and
percentage stenosis, as confirmed by digital subtraction angiography.
AB - OBJECTIVES: Previous evidence supports a direct relationship between the calcium
burden (volume) on post-contrast CT with the percent internal carotid artery
(ICA) stenosis at the carotid bifurcation. We sought to further investigate this
relationship by comparing non-enhanced CT (NECT) and digital subtraction
angiography (DSA). METHODS: 50 patients (aged 41-82 years) were retrospectively
identified who had undergone cervical NECT and DSA. A 64-multidetector array CT
(MDCT) scanner was utilised and the images reviewed using preset window
widths/levels (30/300) optimised to calcium, with the volumes measured via three
dimensional reconstructive software. Stenosis measurements were performed on DSA
and luminal diameter stenoses >40% were considered "significant". Volume
thresholds of 0.01, 0.03, 0.06, 0.09 and 0.12 cm(3) were utilised and Pearson'S
correlation coefficient (r) was calculated to correlate the calcium volume with
percent stenosis. RESULTS: Of 100 carotid bifurcations, 88 were available and of
these 7 were significantly stenotic. The NECT calcium volume moderately
correlated with percent stenosis on DSA r=0.53 (p<0.01). A moderate-strong
correlation was found between the square root of calcium volume on NECT with
percent stenosis on DSA (r=0.60, p<0.01). Via a receiver operating characteristic
curve, 0.06 cm(3) was determined to be the best threshold (sensitivity 100%,
specificity 90.1%, negative predictive value 100% and positive predictive value
46.7%) for detecting significant stenoses. CONCLUSION: This preliminary
investigation confirms a correlation between carotid bifurcation calcium volume
and percent ICA stenosis and is promising for the optimal threshold for stenosis
detection. Future studies could utilise calcium volumes to create a "score" that
could predict high grade stenosis.
PMID- 21896663
TI - MRI findings of mucoepidermoid carcinoma of the parotid gland: correlation with
pathological features.
AB - OBJECTIVE: The aim of this study was to correlate the MRI appearance and
pathological findings in each grade of the mucoepidermoid carcinoma (MEC) of the
parotid gland. METHODS: We reviewed surgically proven MECs of parotid glands in
20 patients. Pathologically, 5 tumours were high-grade, 3 were intermediate and
12 were low-grade. MR images were evaluated with emphasis on signal intensities
on T(2) weighted images, margin characteristics and lymph node metastasis,
correlating these with pathological features. RESULTS: Among the high-grade MECs,
four out of five tumours showed inhomogeneous low to intermediate signal
intensity on T(2) weighted images, reflecting high cellularity. All tumours had
an ill-defined margin, reflecting invasive tumour growth. Among the intermediate
grade MECs, all three tumours showed intermediate signal intensity on T(2)
weighted images and two tumours had an ill-defined margin. Among the low-grade
MECs, 11 of the 12 tumours had a hyperintense area on T(2) weighted images
because of the existence of abundant mucin secreting cells. Seven tumours had an
ill-defined margin, reflecting peritumoural inflammatory changes rather than
invasive tumour growth. Lymph node metastasis was seen in three high-grade MECs.
CONCLUSION: MECs of the parotid gland show variable MRI findings reflecting their
histological nature, which seems to have certain tendencies depending on the
tumour grade.
PMID- 21896664
TI - Diffusion-weighted imaging in the assessment of tumour grade in endometrial
cancer.
AB - OBJECTIVE: Endometrial cancer is the most common gynaecological malignancy in
developed countries. Histological grade and subtype are important prognostic
factors obtained by pipelle biopsy. However, pipelle biopsy "samples" tissue and
a high-grade component that requires more aggressive treatment may be missed. The
purpose of the study was to assess the use of diffusion-weighted MRI (DW-MRI) in
the assessment of tumour grade in endometrial lesions. METHOD: 42 endometrial
lesions including 23 endometrial cancers and 19 benign lesions were evaluated
with DW-MRI (1.5T with multiple b-values between 0 and 750 s mm(-2)). Visual
evaluation and the calculation of mean and minimum apparent diffusion coefficient
(ADC) value were performed and correlated with histology. RESULTS: The mean and
minimum ADC values for each histological grade were 1.02 +/- 0.29*10(-3) mm(2) s(
1) and 0.74 +/- 0.24*10(-3) mm(2) s(-1) (grade 1), 0.88 +/- 0.39*10(-3) mm(2) s(
1) and 0.64 +/- 0.36*10(-3) mm(2) s(-1) (grade 2), and 0.94 +/- 0.32*10(-3) mm(2)
s(-1) and 0.72 +/- 0.36*10(-3) mm(2) s(-1) (grade 3), respectively. There was no
statistically significant difference between tumour grades. However, the mean ADC
value for endometrial carcinoma was 0.97 +/- 0.31, which was significantly lower
(p<0.0001) than that of benign endometrial pathology (1.50 +/- 0.14). Applying a
cut-off mean ADC value of less than 1.28 * 10(-3) mm(2) s(-1)we obtained a
sensitivity, specificity, positive predictive value and negative predictive value
for malignancy of 87%, 100%, 100% and 85.7%, respectively. CONCLUSION: Tumour
mean and minimum ADC values are not useful in differentiating histological tumour
grade in endometrial carcinoma. However, mean ADC measurement can provide useful
information in differentiating benign from malignant endometrial lesions. This
information could be clinically relevant in those patients where pre-operative
endometrial sampling is not possible.
PMID- 21896665
TI - Evaluation of virtual unenhanced CT obtained from dual-energy CT urography for
detecting urinary stones.
AB - OBJECTIVE: The aim of our study was to determine if virtual unenhanced CT (VUCT)
is equivalent to unenhanced CT (UCT) for detecting urinary stones. METHODS: Our
institutional review board approved this retrospective study, which was compliant
with the Health Insurance Portability and Accountability Act. A total of 80
stones were detected in 32 patients among 146 consecutive patients undergoing
dual-energy CT urography. The number and size of stones were recorded on
nephrographic VUCT (NVUCT) and excretory VUCT (EVUCT) images, respectively. UCT
was a reference of standard for the number and size of stones. Image quality of
VUCT was qualitatively assessed using a five-point scale. Repeated-measures
analysis of variance with post-test was used for statistical analysis. RESULTS:
62 stones in 29 patients were detected on NVUCT and 59 stones in 27 patients were
detected on EVUCT. The size of stones detected on NVUCT or EVUCT was
significantly smaller compared with stones on UCT (p<0.05). The size of stones
detected on UCT, NVUCT and EVUCT ranged from 1.4 to 19.2 mm (mean, 4.6 mm), 0 to
19.2 mm (mean, 3.6 mm) and 0 to 18.7 mm (mean, 3.6 mm), respectively. 18 stones
were missed on NVUCT and 21 were missed on EVUCT. The sizes ranged from 1.4 to
3.2 mm (mean, 2.1 mm) and 1.4 to 3.2 mm (mean, 2.2 mm) on UCT, respectively. VUCT
was inferior to UCT regarding image quality (p<0.05). CONCLUSION: VUCT missed a
significant number of small stones probably owing to poor image quality compared
with UCT. Subsequently, VUCT cannot replace UCT for detecting urinary stones.
PMID- 21896666
TI - Diffusion tensor imaging of normal prostate at 3 T: effect of number of diffusion
encoding directions on quantitation and image quality.
AB - OBJECTIVE: The purpose of this study was to prospectively investigate differences
of diffusion tensor imaging (DTI) using a different number of diffusion-encoding
directions and to evaluate the feasibility of tractography in healthy prostate at
3 T. METHOD: 12 healthy volunteers underwent DTI with single-shot echo-planar
imaging at 3 T using a phased-array coil. Diffusion gradients of each DTI were
applied in 6 (Group 1), 15 (Group 2) and 32 (Group 3) non-collinear directions.
For each group, the mean apparent diffusion coefficient (ADC), fractional
anisotrophy (FA) and signal-to-noise ratio (SNR) were measured in the peripheral
zone (PZ) and central gland (CG). The quality of diffusion-weighted and
tractographic images were also evaluated. RESULTS: In all three groups, the mean
ADC value of the CG was statistically lower than that of the PZ (p<0.01) and the
mean FA value of the CG was statistically greater than that of the PZ (p<0.01).
For the mean FA value of the CG, no statistical difference was seen among the
three groups (p=0.052). However, the mean FA value of the PZ showed a statistical
difference among the three groups (p=0.035). No significant difference in SNR
values was seen among the three groups (p>0.05). Imaging quality of diffusion
weighted tractographic images was rated as satisfactory or better in all three
groups and was similar among the three groups. CONCLUSION: In conclusion,
prostate DTI at 3 T was feasible with different numbers of diffusion-encoding
directions. The number of diffusion-encoding directions did not have a
significant effect on imaging quality.
PMID- 21896667
TI - Development of a primary care physician task list to evaluate clinic visit
workflow.
AB - BACKGROUND: Interventions designed to improve the delivery of primary care,
including Patient-Centered Medical Homes and electronic health records, require
an understanding of clinical workflow to be successfully implemented. However,
there is a lack of tools to describe and study primary care physician workflow.
We developed a comprehensive list of primary care physician tasks that occur
during a face-to-face patient visit. METHODS: A validated list of tasks performed
by primary care physicians during patient clinic visits was developed from a
secondary data analysis of observation data from two studies evaluating primary
care workflow. Thirty primary care physicians participated from a convenience
sample of 17 internal medicine and family medicine clinics in Wisconsin and Iowa
across rural and urban settings and community and academic settings. RESULTS: The
final task list has 12 major tasks, 189 subtasks, and 191 total tasks. The major
tasks are: Enter Room, Gather Information from Patient, Review Patient
Information, Document Patient Information, Perform, Recommend / Discuss Treatment
Options, Look Up, Order, Communicate, Print / Give Patient (advice,
instructions), Appointment Wrap-up, and Leave Room. Additional subcodes note use
of paper or EHR and the presence of a caregiver or medical student. CONCLUSIONS:
The task list presented here is a tool that will help clinics study their
workflows so they can plan for changes that will take place because of EHR
implementation and/or transformation to a patient centered medical home.
PMID- 21896668
TI - PTH ablation ameliorates the anomalies of Fgf23-deficient mice by suppressing the
elevated vitamin D and calcium levels.
AB - Fibroblast growth factor 23 (FGF23) is a key regulator of mineral ion
homeostasis. Genetic ablation of Fgf23 in mice leads to severe biochemical
disorders including elevated serum 1,25-dihydroxyvitamin D [1,25(OH)2D],
hypercalcemia, hyperphosphatemia, and marked decreased PTH levels. Because PTH
stimulates 1,25(OH)2D production and increases serum calcium levels, we
hypothesized that ablation of PTH from the Fgf23 knockout (Fgf23-/-) mice could
suppress these affects, thus ameliorating the soft tissue and skeletal anomalies
in these animals. In this study, we generated a genetic mouse model with dual
ablation of the Fgf23/PTH genes. The data show that deletion of PTH does suppress
the markedly higher serum 1,25(OH)2D and calcium levels observed in Fgf23-/- mice
and results in much larger, heavier, and more active double-knockout mice with
improved soft tissue and skeletal phenotypes. On the contrary, when we infused
PTH (1-34) peptide into Fgf23-/- mice using osmotic minipumps, serum 1,25(OH)2D
and calcium levels were increased even further, leading to marked reduction in
trabecular bone. These results indicate that PTH is able to modulate the
anomalies of Fgf23-/- mice by controlling serum 1,25(OH)2D and calcium levels.
PMID- 21896669
TI - Diacerhein improves glucose tolerance and insulin sensitivity in mice on a high
fat diet.
AB - Obesity and type 2 diabetes are characterized by insulin resistance, and the
common basis of these events is a chronic and systemic inflammatory process
marked by the activation of the c-Jun N-terminal kinase (JNK) and inhibitor
kappaB kinase (IKKbeta)/nuclear factor-kappaB (NFkappaB) pathways, up-regulated
cytokine synthesis, and endoplasmic reticulum dysfunction. The aim of this study
was to evaluate the effects of diacerhein administration, an antiinflammatory
drug that reduces the levels of inflammatory cytokines, on insulin sensitivity
and signaling in diet-induced obese (DIO) mice. Swiss mice were fed with
conventional chow (control group) or a high-fat diet (DIO group). Later, DIO mice
were randomly subdivided into a new subgroup (DAR) that received 20 mg/kg
diacerhein for 10 d. Western blotting was used to quantify the expression and
phosphorylation of insulin receptor, insulin receptor substrate 1, and Akt and of
inflammatory mediators that modulate insulin signaling in a negative manner
(IKKbeta, JNK, and inducible nitric oxide synthase). We show here, for the first
time, that the administration of diacerhein in DIO mice improved endoplasmic
reticulum stress, reduced JNK and IKKbeta phosphorylation, and resulted in a
marked improvement in fasting glucose, a decrease in macrophage infiltration in
adipose tissue, and a reduced expression and activity of proinflammatory
mediators accompanied by an improvement in the insulin signaling mainly in the
liver and adipose tissue. Taken together, these results indicate that diacerhein
treatment improves insulin sensitivity in obesity, mediated by the reversal of
subclinical inflammation, and that this drug may be an alternative therapy for
insulin resistance.
PMID- 21896670
TI - The PACAP-regulated gene selenoprotein T is highly induced in nervous, endocrine,
and metabolic tissues during ontogenetic and regenerative processes.
AB - Selenoproteins contain the essential trace element selenium whose deficiency
leads to major disorders including cancer, male reproductive system failure, or
autoimmune thyroid disease. Up to now, 25 selenoprotein-encoding genes were
identified in mammals, but the spatiotemporal distribution, regulation, and
function of some of these selenium-containing proteins remain poorly documented.
Here, we found that selenoprotein T (SelT), a new thioredoxin-like protein, is
regulated by the trophic neuropeptide pituitary adenylate cyclase-activating
polypeptide (PACAP) in differentiating but not mature adrenomedullary cells. In
fact, our analysis revealed that, in rat, SelT is highly expressed in most
embryonic structures, and then its levels decreased progressively as these organs
develop, to vanish in most adult tissues. In the brain, SelT was abundantly
expressed in neural progenitors in various regions such as the cortex and
cerebellum but was undetectable in adult nervous cells except rostral migratory
stream astrocytes and Bergmann cells. In contrast, SelT expression was maintained
in several adult endocrine tissues such as pituitary, thyroid, or testis. In the
pituitary gland, SelT was found in secretory cells of the anterior lobe, whereas
in the testis, the selenoprotein was present only in spermatogenic and Leydig
cells. Finally, we found that SelT expression is strongly stimulated in liver
cells during the regenerative process that occurs after partial hepatectomy.
Taken together, these data show that SelT induction is associated with
ontogenesis, tissue maturation, and regenerative mechanisms, indicating that this
PACAP-regulated selenoprotein may play a crucial role in cell growth and activity
in nervous, endocrine, and metabolic tissues.
PMID- 21896671
TI - Prevention of the onset of ovarian hyperstimulation syndrome (OHSS) in the rat
after ovulation induction with a low molecular weight agonist of the LH receptor
compared with hCG and rec-LH.
AB - Ovarian hyperstimulation syndrome (OHSS) incidentally occurs in controlled
ovarian stimulation protocols and is associated with human chorionic gonadotropin
(hCG) administration. OHSS is caused by increased vascular permeability (VP) and
thought to be mediated by hypersecretion of vascular endothelial growth factor
(VEGF) by granulosa cells. Low molecular weight (LMW)-LH agonists have a similar
mode of action but a shorter half-life compared with hCG, which could potentially
lead to a clinical benefit in reducing the risk for OHSS in controlled ovarian
stimulation protocols. The objective of this study is to investigate the role of
an orally active LMW-LH agonist in OHSS induction compared with recombinant LH
(rec-LH) and hCG. Immature rats were hyperstimulated with pregnant mare serum
gonadotropin, and ovulation was induced by hCG, rec-LH or a LMW-LH agonist. The
degree of VP was determined by Evans Blue in the abdominal cavity. Ovaries were
weighed, and VEGF concentration in the ovary was determined. Pregnant mare serum
gonadotropin stimulation followed by single-dose hCG or rec-LH resulted in clear
enlargement of the ovaries and increased VP and VEGF levels. However, ovulation
induction with a single dose of the LMW-LH agonist did not result in increased VP
and VEGF levels, and even multiple dosing to mimic a longer exposure did not
induce OHSS symptoms. In conclusion, we demonstrated that the oral LMW-LH agonist
did not induce VP in rat, indicative for OHSS, possibly due to reduced VEGF
production. If this is translatable to human, this could potentially represent a
clinical benefit in reducing the risk for OHSS when using these compounds in
controlled ovarian stimulation protocols.
PMID- 21896672
TI - Colocalization of FM1-43, Bassoon, and GnRH-1: GnRH-1 release from cell bodies
and their neuroprocesses.
AB - Pulsatile release of GnRH-1 is critical for reproductive function. However, the
cellular mechanism of GnRH-1 neurosecretion is still elusive. In this study, we
examined the neurosecretory process of GnRH-1 neurons using time-lapse image
acquisition followed by immunocytochemistry with confocal microscopy. To monitor
exocytotic processes, cultured GnRH-1 neurons derived from monkey embryos were
labeled with the lipophilic dye, FM1-43, or its fixable form FM1-43Fx, in the
presence or absence of depolarization signals, and changes in vesicles labeled
with FM1-43 were analyzed. The results show FM1-43 was taken up into the cell and
labeled puncta in the soma and neuroprocesses in the absence of depolarization
signals, indicating that GnRH-1 neurons were spontaneously active. Depolarization
of GnRH-1 neurons with high K+ or veratridine challenge increased the intensity
and size of puncta in both soma and neuroprocesses, and the veratridine-induced
changes in puncta were blocked by tetrodotoxin, indicating that changes in the
puncta intensity and size reflect neurosecretory activity. Subsequent double
immunocytochemistry for GnRH-1 and the synaptic vesicle marker, vesicle
associated membrane protein, demonstrated that the FM1-43Fx-labeled puncta were
synaptic vesicles with the GnRH-1 peptide. Additional double immunocytochemistry
for GnRH-1 and the marker of the neurosecretory active zone, Bassoon, indicated
that the FM1-43Fx-labeled puncta were located at the sites of neurosecretory
active zones in GnRH-1 neurons. These results suggest that GnRH-1 neurons have
the capacity to release the peptide from the soma and dendrites. Collectively, we
hypothesize that soma-dendritic release of the peptide may be a mechanism of
synchronized activity among GnRH-1 neurons.
PMID- 21896673
TI - Genome-wide association study of HPV seropositivity.
AB - High-risk alpha mucosal types of human papillomavirus (HPV) cause anogenital and
oropharyngeal cancers, whereas beta cutaneous HPV types (e.g. HPV8) have been
implicated in non-melanoma skin cancer. Although antibodies against the capsid
protein L1 of HPV are considered as markers of cumulative exposure, not all
infected persons seroconvert. To identify common genetic variants that influence
HPV seroconversion, we performed a two-stage genome-wide association study.
Genome-wide genotyping of 316 015 single nucleotide polymorphisms was carried out
using the Illumina HumanHap300 BeadChip in 4811 subjects from a central European
case-control study of lung, head and neck and kidney cancer that had serology
data available on 13 HPV types. Only one association met genome-wide significance
criteria, namely that between HPV8 seropositivity and rs9357152 [odds ratio (OR)
= 1.37, 95% confidence interval (CI) = 1.24-1.50 for the minor allele G; P=1.2 *
10(-10)], a common genetic variant (minor allele frequency=0.33) located within
the major histocompatibility complex (MHC) II region at 6p21.32. This association
was subsequently replicated in an independent set of 2344 subjects from a Latin
American case-control study of head and neck cancer (OR=1.35, 95% CI=1.18-1.56,
P=2.2 * 10(-5)), yielding P=1.3 * 10(-14) in the combined analysis (P
heterogeneity=0.87). No heterogeneity was noted by cancer status (controls/lung
cancer cases/head and neck cancer cases/kidney cancer cases). This study provides
a proof of principle that genetic variation plays a role in antibody reactivity
to HPV infection.
PMID- 21896674
TI - A rare malposition of the central venous catheter.
PMID- 21896675
TI - Temporary transvenous pacing: endangered skill.
AB - BACKGROUND: Temporary cardiac pacing although is an essential requirement for
core medical training (CMT) in UK, there are no defined training measures and
guidelines available as to who should perform this. METHODS: We conducted an
anonymous survey of 300 non-cardiology medical registrars regarding their
individual ability, experience and training received in temporary pacing wire
(TPW) insertion. RESULTS: A total of 202 (67%) responses were received. 61% (123)
had not performed any TPW insertion before becoming a registrar. Only 18% (38)
felt confident in inserting a TPW unsupervised and only 14 (7%) had ever received
any formal training. The majority, 169 (84%), did not feel that their on-call
consultant general physician would be able to perform the procedure. CONCLUSION:
This survey shows that general medical registrars lack a major life-saving skill
that is required as part of CMT. Thus, there is now an urgent clinical governance
need to either formally train physicians or abandon the concept and practice of
general internal medicine-led temporary pacing, and devolve this to
cardiologists.
PMID- 21896676
TI - A physiotherapy service to an emergency extended care unit does not decrease
admission rates to hospital: a randomised trial.
AB - BACKGROUND: One of the reasons physiotherapy services are provided to emergency
departments (EDs) and emergency extended care units (EECUs) is to review
patients' mobility to ensure they are safe to be discharged home. AIM: To
investigate whether a physiotherapy service to an EECU altered the rate of
hospital admission, rate of re-presentation to the ED, visits to community
healthcare practitioners, return to usual work/home/leisure activities and
patient satisfaction. METHODS: A randomised trial with concealed allocation,
assessor blinding and intention-to-treat analysis was undertaken in an EECU. The
sample comprised 186 patients (mean age 70 years, 123 (66%) female patients, 130
(70%) trauma) who were referred for physiotherapy assessment/intervention.
Referral occurred at any stage of the patients' EECU admission. All participants
received medical/nursing care as required. The physiotherapy group also received
physiotherapy assessment/intervention. RESULTS: The physiotherapy group had a 4%
(95% CI -18% to 9%) lower rate of admission to hospital than the control group
and a 4% (95% CI -6% to 13%) higher rate of re-presentation to the ED, which were
statistically non-significant (p>=0.45). Differences between groups for use of
community healthcare resources, return to usual work/home/leisure activities and
satisfaction with their EECU care were small and not significant. CONCLUSION: A
physiotherapy service for EECU patients, as provided in this study, did not
reduce the rate of hospital admission, rate of re-presentation to the ED, use of
community healthcare resources, or improve the rate of return to usual
work/home/leisure activities or patient satisfaction. Trial registration number
ANZCTRN12609000106235.
PMID- 21896677
TI - Conversion of commensal Escherichia coli K-12 to an invasive form via expression
of a mutant histone-like protein.
AB - The HUalpha(E38K, V42L) mutant of the bacterial histone-like protein HU causes a
major change in the transcription profile of the commensal organism Escherichia
coli K-12 (Kar S, Edgar R, Adhya S, Proc. Natl. Acad. Sci. U. S. A. 102:16397
16402, 2005). Among the upregulated genes are several related to pathogenic
interactions with mammalian cells, as evidenced by the expression of curli
fibers, Ivy, and hemolysin E. When E. coli K-12/ HUalpha(E38K, V42L) was added to
Int-407 cells, there was host cell invasion, phagosomal disruption, and
intracellular replication. The invasive trait was also retained in a murine ileal
loop model and intestinal explant assays. In addition to invasion, the
internalized bacteria caused a novel subversion of host cell apoptosis through
modification and regulation of the BH3-only proteins Bim(EL) and Puma. Changes in
the transcription profile were attributed to positive supercoiling of DNA leading
to the altered availability of relevant promoters. Using the E. coli K
12/HUalpha(E38K, V42L) variant as a model, we propose that traditional commensal
E. coli can adopt an invasive lifestyle through reprogramming its cellular
transcription, without gross genetic changes. IMPORTANCE: Escherichia coli K-12
is well established as a benign laboratory strain and a human intestinal
commensal. Recent evidences, however, indicate that the typical noninvasive
nature of resident E. coli can be reversed under specific circumstances even in
the absence of any major genomic flux. We previously engineered an E. coli strain
with a mutant histone-like protein, HU, which exhibited significant changes in
nucleoid organization and global transcription. Here we showed that the changes
induced by the mutant HU have critical functional consequences: from a strict
extracellular existence, the mutant E. coli adopts an almost obligate
intracellular lifestyle. The internalized E. coli exhibits many of the
prototypical characteristics of traditional intracellular bacteria, like
phagosomal escape, intracellular replication, and subversion of host cell
apoptosis. We suggest that E. coli K-12 can switch between widely divergent
lifestyles in relation to mammalian host cells by reprogramming its cellular
transcription program and without gross changes in its genomic content.
PMID- 21896678
TI - Conserved mutations in the pneumococcal bacteriocin transporter gene, blpA,
result in a complex population consisting of producers and cheaters.
AB - All fully sequenced strains of Streptococcus pneumoniae possess a version of the
blp locus, which is responsible for bacteriocin production and immunity.
Activation of the blp locus is stimulated by accumulation of the peptide
pheromone, BlpC, following its secretion by the ABC transporter, BlpA. The blp
locus is characterized by significant diversity in blpC type and in the region of
the locus containing putative bacteriocin and immunity genes. In addition, the
blpA gene can represent a single large open reading frame or be divided into
several smaller fragments due to the presence of frameshift mutations. In this
study, we use a collection of strains with blp-dependent inhibition and immunity
to define the genetic changes that bring about phenotypic differences in
bacteriocin production or immunity. We demonstrate that alterations in blpA,
blpC, and bacteriocin/immunity content likely play an important role in
competitive interactions between pneumococcal strains. Importantly, strains with
a highly conserved frameshift mutation in blpA are unable to secrete bacteriocins
or BlpC, but retain the ability to respond to exogenous peptide pheromone
produced by cocolonizing strains, stimulating blp-mediated immunity. These
"cheater" strains can only coexist with bacteriocin-producing strains that
secrete their cognate BlpC and share the same immunity proteins. The variable
outcome of these interactions helps to explain the heterogeneity of the blp
pheromone, bacteriocin, and immunity protein content. IMPORTANCE: Streptococcus
pneumoniae resides in a polymicrobial environment and competes for limited
resources by the elaboration of small antimicrobial peptides called bacteriocins.
A conserved cluster of genes in the S. pneumoniae genome is involved in the
production of bacteriocins and their associated protective immunity proteins
through secretion of a signaling pheromone. In this study, we show that a
significant number of strains have lost the ability to secrete bacteriocins and
signaling pheromones due to a specific mutation in a dedicated transporter
protein. Because the regulatory and immunity portion of the locus is retained,
these "cheater" strains can survive in the face of invasion from a bacteriocin
producing strain without the cost of bacteriocin secretion. The outcome of such
interactions depends on each strain's repertoire of pheromone, immunity protein,
and bacteriocin genes, such that intrastrain competition drives the diversity in
bacteriocin, immunity protein, and pheromone content.
PMID- 21896679
TI - H5N1 influenza virus pathogenesis in genetically diverse mice is mediated at the
level of viral load.
AB - The genotype of the host is one of several factors involved in the pathogenesis
of an infectious disease and may be a key parameter in the epidemiology of highly
pathogenic H5N1 influenza virus infection in humans. Gene polymorphisms may
affect the viral replication rate or alter the host's immune response to the
virus. In humans, it is unclear which aspect dictates the severity of H5N1 virus
disease. To identify the mechanism underlying differential responses to H5N1
virus infection in a genetically diverse population, we assessed the host
responses and lung viral loads in 21 inbred mouse strains upon intranasal
inoculation with A/Hong Kong/213/03 (H5N1). Resistant mouse strains survived
large inocula while susceptible strains succumbed to infection with 1,000- to
10,000-fold-lower doses. Quantitative analysis of the viral load after
inoculation with an intermediate dose found significant associations with
lethality as early as 2 days postinoculation, earlier than any other disease
indicator. The increased viral titers in the highly susceptible strains mediated
a hyperinflamed environment, indicated by the distinct expression profiles and
increased production of inflammatory mediators on day 3. Supporting the
hypothesis that viral load rather than an inappropriate response to the virus was
the key severity-determining factor, we performed quantitative real-time PCR
measuring the cytokine/viral RNA ratio. No significant differences between
susceptible and resistant mouse strains were detected, confirming that it is the
host genetic component controlling viral load, and therefore replication
dynamics, that is primarily responsible for a host's susceptibility to a given
H5N1 virus. IMPORTANCE: Highly pathogenic H5N1 influenza virus has circulated in
Southeast Asia since 2003 but has been confirmed in relatively few individuals.
It has been postulated that host genetic polymorphisms increase the
susceptibility to infection and severe disease. The mechanisms and host proteins
affected during severe disease are unknown. Inbred mouse strains vary
considerably in their ability to resist H5N1 virus and were used to identify the
primary mechanism determining disease severity. After inoculation with H5N1,
resistant mouse strains had reduced amounts of virus in their lungs, which
subsequently resulted in lower production of proinflammatory mediators and less
pathology. We therefore conclude that the host genetic component controlling
disease severity is primarily influencing viral replication. This is an important
concept, as it emphasizes the need to limit virus replication through antiviral
therapies and it shows that the hyperinflammatory environment is simply a
reflection of more viral genetic material inducing a response.
PMID- 21896682
TI - The Roy L. Whistler International Award in Carbohydrate Chemistry 2012.
PMID- 21896680
TI - Antimicrobial actions of reactive oxygen species.
AB - Reactive oxygen species (ROS) are produced by host phagocytes and exert
antimicrobial actions against a broad range of pathogens. The observable
antimicrobial actions of ROS are highly dependent on experimental conditions.
This perspective reviews recent controversies regarding ROS in Salmonella
phagocyte interactions and attempts to reconcile conflicting observations from
different laboratories.
PMID- 21896683
TI - Policy brief.
PMID- 21896684
TI - Childhood health and labor market inequality over the life course.
AB - The authors use data from the Health and Retirement Study's Earnings Benefit
File, which links Health and Retirement Study to Social Security Administration
records, to estimate the impact of childhood health on earnings curves between
the ages of 25 and 50 years. They also investigate the extent to which diminished
educational attainment, earlier onset of chronic health conditions, and labor
force participation mediate this relationship. Those who experience poor
childhood health have substantially diminished labor market earnings over the
work career. For men, earnings differentials grow larger over the early to middle
career and then slow down and begin to converge as they near 50 years of age. For
women, earnings differentials emerge later in the career and show no evidence of
convergence. Part of the child health earnings differential is accounted for by
selection into diminished educational attainment, the earlier onset of chronic
disease in adulthood, and, particularly for men, labor force participation.
PMID- 21896685
TI - Maternal depression and childhood health inequalities.
AB - An increasing body of literature documents considerable inequalities in the
health of young children in the United States, though maternal depression is one
important, yet often overlooked, determinant of children's health. In this
article, the author uses data from the Fragile Families and Child Wellbeing Study
(N = 4,048) and finds that maternal depression, particularly recurrent or chronic
depression, puts children at risk of having unfavorable health when they are five
years old. This finding persists despite adjusting for a host of demographic
characteristics of the mothers and children (including children's prior health)
and is consistent across multiple health outcomes. Family instability, maternal
health, and socioeconomic status account for the association between maternal
depression and children's health. Given that poor childhood health may lead to
poor health and low socioeconomic status in adulthood, maternal depression may
contribute to the intergenerational transmission of inequality.
PMID- 21896686
TI - Dynamics of inequality: mother's education and infant mortality in China, 1970
2001.
AB - In this study, the authors analyze the dynamic relationship between Chinese
women's education, their utilization of newly available medical pregnancy care,
and their infants' mortality risk. China has undergone enormous social, economic,
and political changes over recent decades and is a novel context in which to
examine the potential influence of social change and technological innovation on
health disparities. The authors consider efficacy, or the ability to quickly
absorb and effectively utilize new medical innovations, and argue that the social
stratification of efficacy provides an important conceptual link between
education and the greater likelihood of benefitting from medical innovations.
Using the 2001 National Family Planning and Reproductive Health Survey data and
multilevel, multiprocess models, the authors show that Chinese infants born to
better educated mothers retained a survival advantage over the turbulent decades
between 1970 and 2000. This occurs largely because educated mothers more actively
sought prenatal care and professional delivery assistance use.
PMID- 21896687
TI - Is discrimination an equal opportunity risk?: racial experiences, socioeconomic
status, and health status among black and white adults.
AB - Using the 2004 Behavioral Risk Factor Surveillance System, we explore the
relationship between racial awareness, perceived discrimination, and self-rated
health among black (n = 5,902) and white (n = 28,451) adults. We find that
adjusting for group differences in racial awareness and discrimination, in
addition to socioeconomic status, explains the black-white gap in self-rated
health. However, logistic regression models also find evidence for differential
vulnerability among black and whites adults, based on socioeconomic status. While
both groups are equally harmed by emotional and/or physical reactions to race
based treatment, the negative consequences of discriminatory experiences for
black adults are exacerbated by their poorer socioeconomic standing. In contrast,
the association between racial awareness and self-rated health is more sensitive
to socioeconomic standing among whites. Poorer health is more likely to occur
among whites when they reflect at least daily on their own racial status-but only
when it happens in tandem with mid-range educational achievement, or among
homemakers.
PMID- 21896688
TI - Gender and physical health: a study of African American and Caribbean black
adults.
AB - Although gender disparities in health in the United States remain a primary
concern among health professionals, less is known about this phenomenon within
the black American population. Using the National Survey of American Life, the
author examines gender differences in self-rated health, chronic illness, and
functional limitations among African Americans (n = 3,330) and Caribbean blacks
(n = 1,562) and the extent to which the availability of resources explains these
differences. The results reveal a consistent disadvantage among African American
women across indicators of health. The gender-health relationship among Caribbean
blacks is somewhat weaker, but there is a health disadvantage for immigrant women
and U.S.-born Caribbean men when certain resources are taken into account. These
findings illustrate the importance of the intersections of race, ethnicity, and
nativity in our understanding of gender differences in health.
PMID- 21896689
TI - Persistent fever in an infant: incomplete Kawasaki disease.
PMID- 21896690
TI - Mesial temporal sclerosis in epilepsy.
PMID- 21896691
TI - Provincial squeeze on generic prices continues.
PMID- 21896692
TI - iPhone app an aid in diagnosing concussions.
PMID- 21896693
TI - A pack a day: just what the doctor ordered?
PMID- 21896694
TI - Value of e-prescribing questioned.
PMID- 21896695
TI - [Albiny Paquette].
PMID- 21896696
TI - WHO reforms long overdue, critics say.
PMID- 21896698
TI - Use of nonaspirin nonsteroidal anti-inflammatory drugs during pregnancy and the
risk of spontaneous abortion.
AB - BACKGROUND: The association between the use of nonaspirin nonsteroidal anti
inflammatory drugs (NSAIDs) during pregnancy and the risk of spontaneous abortion
remains unclear because of inconsistent research results and the lack of evidence
for an effect due to specific types or dosages of nonaspirin NSAIDs. We aimed to
quantify the association between having a spontaneous abortion and types and
dosages of nonaspirin NSAIDs in a cohort of pregnant women. METHODS: Using a
nested case-control design, we obtained data from the Quebec Pregnancy Registry
for 4705 women who had a spontaneous abortion. For each instance, we randomly
selected 10 controls from the remaining women in the registry who were matched by
index date (date of the spontaneous abortion) and gestational age. Use of
nonaspirin NSAIDs (identified by filled prescriptions) and nonuse were compared.
We also looked for associations between different types and dosages of nonaspirin
NSAIDs and having a spontaneous abortion. Analyses of associations and adjustment
for confounding were done using conditional logistic regression. RESULTS: We
identified 4705 cases of spontaneous abortion (352 exposed [7.5%]); 47 050
controls (1213 exposed [2.6%]). Adjusting for potential confounders, the use of
nonaspirin NSAIDs during pregnancy was significantly associated with the risk of
spontaneous abortion (odds ratio [OR] 2.43, 95% confidence interval [CI] 2.12
2.79). Specifically, use of diclofenac (OR 3.09, 95% CI 1.96-4.87), naproxen (OR
2.64, 95% CI 2.13-3.28), celecoxib (OR 2.21, 95% CI 1.42-3.45), ibuprofen (OR
2.19, 95% CI 1.61-2.96) and rofecoxib (OR 1.83, 95% CI 1.24-2.70) alone, and
combinations thereof (OR 2.64, 95% CI 1.59-4.39), were all associated with
increased risk of spontaneous abortion. No dose-response effect was seen.
INTERPRETATION: Gestational exposure to any type or dosage of nonaspirin NSAIDs
may increase the risk of spontaneous abortion. These drugs should be used with
caution during pregnancy.
PMID- 21896699
TI - Acute hepatitis associated with autochthonous hepatitis E virus infection--San
Antonio, Texas, 2009.
AB - Locally acquired hepatitis E infection is increasingly being observed in
industrialized countries. We report 2 cases of autochthonous acute hepatitis E in
the United States. Hepatitis E virus genotype 3a related to US-2 and swine
hepatitis E virus strains was isolated from one of the patients, indicating
potential food-borne or zoonotic transmission.
PMID- 21896700
TI - Initial recovery and rebound of type f intestinal colonization botulism after
administration of investigational heptavalent botulinum antitoxin.
AB - Investigational heptavalent botulinum antitoxin (HBAT) is now the primary
antitoxin for US noninfant botulism patients. HBAT consists of equine Fab/F(ab')2
IgG fragments, which are cleared from circulation faster than whole
immunoglobulins. Rebound botulism after antitoxin administration is not
previously documented but occurred in our patient 10 days after HBAT
administration.
PMID- 21896701
TI - Time to address stimulant abuse on our campuses.
PMID- 21896703
TI - Varenicline: quantifying the risk.
PMID- 21896704
TI - Varenicline: quantifying the risk.
PMID- 21896705
TI - Varenicline: quantifying the risk.
PMID- 21896706
TI - Varenicline: quantifying the risk.
PMID- 21896708
TI - Varenicline: quantifying the risk.
PMID- 21896709
TI - Varenicline: cardiovascular safety.
PMID- 21896711
TI - Delayed diagnosis of Kaposi's sarcoma in a HIV positive man with a high CD4 count
and suppressed viral load.
AB - A HIV positive man with a CD4 count of 777*10(6)/l and suppressed viral load on
antiretroviral medication had a delayed diagnosis of Kaposi's sarcoma (KS)
affecting his left leg. He was diabetic and on a controlled diet and had a
previous deep vein thrombosis affecting the same leg. Factors that have been
studied in HIV-related KS as well as classical KS, such as diabetes mellitus, not
smoking and previous deep vein thrombosis, may have increased our patient's risk
for the development of this disease. Clinicians should consider KS as a possible
diagnosis even in patients with well-controlled HIV.
PMID- 21896712
TI - Dyspnoea severity and pneumonia as predictors of in-hospital mortality and early
readmission in acute exacerbations of COPD.
AB - BACKGROUND: Rates of mortality and readmission are high in patients hospitalised
with acute exacerbations of chronic obstructive pulmonary disease (AECOPD). In
this population, the prognostic value of the Medical Research Council Dyspnoea
Scale (MRCD) is uncertain, and an extended MRCD (eMRCD) scale has been proposed
to improve its utility. Coexistent pneumonia is common and, although the CURB-65
prediction tool is used, its discriminatory value has not been reported. METHODS:
Clinical and demographic data were collected on consecutive patients hospitalised
with AECOPD. The relationship of stable-state dyspnoea severity to in-hospital
mortality and 28-day readmission was assessed. The discriminatory value of CURB
65, MRCD and eMRCD, in the prediction of in-hospital mortality, was assessed and
compared. RESULTS: 920 patients were recruited. 10.4% died in-hospital and 19.1%
of the 824 survivors were readmitted within 28 days of discharge. During their
stable state prior to admission, 34.2% of patients were too breathless to leave
the house. Mortality was significantly higher in pneumonic than in non-pneumonic
exacerbations (20.1% vs 5.8%, p<0.001). eMRCD was a significantly better
discriminator than either CURB-65 or the traditional MRCD scale for predicting in
hospital mortality, and was a stronger prognostic tool than CURB-65 in the
subgroup of patients with pneumonic AECOPD. CONCLUSIONS: The severity of dyspnoea
in the stable state predicts important clinical outcomes in patients hospitalised
with AECOPD. The eMRCD scale identifies a subgroup of patients at a particularly
high risk of in-hospital mortality and is a better predictor of mortality risk
than CURB-65 in exacerbations complicated by pneumonia.
PMID- 21896713
TI - Ca2+/calmodulin-dependent kinase (CaMK) signaling via CaMKI and AMP-activated
protein kinase contributes to the regulation of WIPI-1 at the onset of autophagy.
AB - Autophagy is initiated by multimembrane vesicle (autophagosome) formation upon
mammalian target of rapamycin inhibition and phosphatidylinositol 3-phosphate
[PtdIns(3)P] generation. Upstream of microtubule-associated protein 1 light chain
3 (LC3), WD-repeat proteins interacting with phosphoinositides (WIPI proteins)
specifically bind PtdIns(3)P at forming autophagosomal membranes and become
membrane-bound proteins of generated autophagosomes. Here, we applied automated
high-throughput WIPI-1 puncta analysis, paralleled with LC3 lipidation assays, to
investigate Ca(2+)-mediated autophagy modulation. We imposed cellular stress by
starvation or administration of etoposide (0.5-50 MUM), sorafenib (1-40 MUM),
staurosporine (20-500 nM), or thapsigargin (20-500 nM) (1, 2, or 3 h) and
measured the formation of WIPI-1 positive autophagosomal membranes. Automated
analysis of up to 5000 individual cells/treatment demonstrated that Ca(2+)
chelation by BAPTA-AM (10 and 30 MUM) counteracted starvation or pharmacological
compound-induced WIPI-1 puncta formation and LC3 lipidation. Application of
selective Ca(2+)/calmodulin-dependent kinase kinase (CaMKK) alpha/beta and
calmodulin-dependent kinase (CaMK) I/II/IV inhibitors 7-oxo-7H-benzimidazo[2,1
a]benz[de]isoquinoline-3-carboxylic acid acetate (STO-609; 10-30 MUg/ml) and 2-(N
[2-hydroxyethyl])-N-(4-methoxybenzenesulfonyl)amino-N-(4-chlorocinnamyl)-N
methylamine (KN-93; 1-10 MUM), respectively, significantly reduced starvation
induced autophagosomal membrane formation, suggesting that Ca(2+) mobilization
upon autophagy induction involves CaMKI/IV. By small interefering RNA (siRNA)
mediated down-regulation of CaMKI or CaMKIV, we demonstrate that CaMKI
contributes to stimulation of WIPI-1. In line, WIPI-1 positive autophagosomal
membranes were formed in AMP-activated protein kinase (AMPK) alpha(1)/alpha(2)
deficient mouse embryonic fibroblasts upon nutrient starvation, whereas basal
autophagy was prominently reduced. However, transient down-regulation of AMPK by
siRNA resulted in an increased basal level of both WIPI-1 puncta and LC3
lipidation, and nutrient-starvation induced autophagy was sensitive to STO-609/KN
93. Our data provide evidence that pharmacological compound-modulated and
starvation-induced autophagy involves Ca(2+)-dependent signaling, including CaMKI
independent of AMPKalpha(1)/alpha(2). Our data also suggest that
AMPKalpha(1)/alpha(2) might differentially contribute to the regulation of WIPI-1
at the onset of autophagy.
PMID- 21896714
TI - Direct measurements of DNA-mediated colloidal interactions and their quantitative
modeling.
AB - DNA bridging can be used to induce specific attractions between small particles,
providing a highly versatile approach to creating unique particle-based materials
having a variety of periodic structures. Surprisingly, given the fact that the
thermodynamics of DNA strands in solution are completely understood, existing
models for DNA-induced particle interactions are typically in error by more than
an order of magnitude in strength and a factor of two in their temperature
dependence. This discrepancy has stymied efforts to design the complex
temperature, sequence and time-dependent interactions needed for the most
interesting applications, such as materials having highly complex or
multicomponent microstructures or the ability to reconfigure or self-replicate.
Here we report high-spatial resolution measurements of DNA-induced interactions
between pairs of polystyrene microspheres at binding strengths comparable to
those used in self-assembly experiments, up to 6 k(B)T. We also describe a
conceptually straightforward and numerically tractable model that quantitatively
captures the separation dependence and temperature-dependent strength of these
DNA-induced interactions, without empirical corrections. This model was equally
successful when describing the more complex and practically relevant case of
grafted DNA brushes with self-interactions that compete with interparticle bridge
formation. Together, our findings motivate a nanomaterial design approach where
unique functional structures can be found computationally and then reliably
realized in experiment.
PMID- 21896715
TI - Sensing cooperativity in ATP hydrolysis for single multisubunit enzymes in
solution.
AB - In order to operate in a coordinated fashion, multisubunit enzymes use
cooperative interactions intrinsic to their enzymatic cycle, but this process
remains poorly understood. Accordingly, ATP number distributions in various
hydrolyzed states have been obtained for single copies of the mammalian double
ring multisubunit chaperonin TRiC/CCT in free solution using the emission from
chaperonin-bound fluorescent nucleotides and closed-loop feedback trapping
provided by an Anti-Brownian ELectrokinetic trap. Observations of the 16-subunit
complexes as ADP molecules are dissociating shows a peak in the bound ADP number
distribution at 8 ADP, whose height falls over time with little shift in the
position of the peak, indicating a highly cooperative ADP release process which
would be difficult to observe by ensemble-averaged methods. When AlFx is added to
produce ATP hydrolysis transition state mimics (ADP.AlFx) locked to the complex,
the peak at 8 nucleotides dominates for all but the lowest incubation
concentrations. Although ensemble averages of the single-molecule data show
agreement with standard cooperativity models, surprisingly, the observed number
distributions depart from standard models, illustrating the value of these single
molecule observations in constraining the mechanism of cooperativity. While a
complete alternative microscopic model cannot be defined at present, the addition
of subunit-occupancy-dependent cooperativity in hydrolysis yields distributions
consistent with the data.
PMID- 21896716
TI - A unifying approach for food webs, phylogeny, social networks, and statistics.
AB - A food web consists of nodes, each consisting of one or more species. The role of
each node as predator or prey determines the trophic relations that weave the
web. Much effort in trophic food web research is given to understand the
connectivity structure, or the nature and degree of dependence among nodes.
Social network analysis (SNA) techniques--quantitative methods commonly used in
the social sciences to understand network relational structure--have been used
for this purpose, although postanalysis effort or biological theory is still
required to determine what natural factors contribute to the feeding behavior.
Thus, a conventional SNA alone provides limited insight into trophic structure.
Here we show that by using novel statistical modeling methodologies to express
network links as the random response of within- and internode characteristics
(predictors), we gain a much deeper understanding of food web structure and its
contributing factors through a unified statistical SNA. We do so for eight
empirical food webs: Phylogeny is shown to have nontrivial influence on trophic
relations in many webs, and for each web trophic clustering based on feeding
activity and on feeding preference can differ substantially. These and other
conclusions about network features are purely empirical, based entirely on
observed network attributes while accounting for biological information built
directly into the model. Thus, statistical SNA techniques, through statistical
inference for feeding activity and preference, provide an alternative perspective
of trophic clustering to yield comprehensive insight into food web structure.
PMID- 21896717
TI - Structural basis for the broad specificity to host-cell ligands by the pathogenic
fungus Candida albicans.
AB - Candida albicans is the most prevalent fungal pathogen in humans and a major
source of life-threatening nosocomial infections. The Als (agglutinin-like
sequence) glycoproteins are an important virulence factor for this fungus and
have been associated with binding of host-cell surface proteins and small
peptides of random sequence, the formation of biofilms and amyloid fibers. High
resolution structures of N-terminal Als adhesins (NT-Als; up to 314 amino acids)
show that ligand recognition relies on a motif capable of binding flexible C
termini of peptides in extended conformation. Central to this mechanism is an
invariant lysine that recognizes the C-terminal carboxylate of ligands at the end
of a deep-binding cavity. In addition to several protein-peptide interactions, a
network of water molecules runs parallel to one side of the ligand and
contributes to the recognition of diverse peptide sequences. These data establish
NT-Als adhesins as a separate family of peptide-binding proteins and an
unexpected adhesion system for primary, widespread protein-protein interactions
at the Candida/host-cell interface.
PMID- 21896718
TI - General hydrophobic interaction potential for surfactant/lipid bilayers from
direct force measurements between light-modulated bilayers.
AB - We establish and quantify correlations among the molecular structures,
interaction forces, and physical processes associated with light-responsive self
assembled surfactant monolayers or bilayers at interfaces. Using the surface
forces apparatus (SFA), the interaction forces between adsorbed monolayers and
bilayers of an azobenzene-functionalized surfactant can be drastically and
controllably altered by light-induced conversion of trans and cis molecular
conformations. These reversible conformation changes affect significantly the
shape of the molecules, especially in the hydrophobic region, which induces
dramatic transformations of molecular packing in self-assembled structures,
causing corresponding modulation of electrostatic double layer, steric hydration,
and hydrophobic interactions. For bilayers, the isomerization from trans to cis
exposes more hydrophobic groups, making the cis bilayers more hydrophobic, which
lowers the activation energy barrier for (hemi)fusion. A quantitative and general
model is derived for the interaction potential of charged bilayers that includes
the electrostatic double-layer force of the Derjaguin-Landau-Verwey-Overbeek
theory, attractive hydrophobic interactions, and repulsive steric-hydration
forces. The model quantitatively accounts for the elastic strains, deformations,
long-range forces, energy maxima, adhesion minima, as well as the instability
(when it exists) as two bilayers breakthrough and (hemi)fuse. These results have
several important implications, including quantitative and qualitative
understanding of the hydrophobic interaction, which is furthermore shown to be a
nonadditive interaction.
PMID- 21896720
TI - 3D lithography by rapid curing of the liquid instabilities at nanoscale.
AB - In liquids realm, surface tension and capillarity are the key forces driving the
formation of the shapes pervading the nature. The steady dew drops appearing on
plant leaves and spider webs result from the minimization of the overall surface
energy [Zheng Y, et al. (2010) Nature 463:640-643]. Thanks to the surface
tension, the interfaces of such spontaneous structures exhibit extremely good
spherical shape and consequently worthy optical quality. Also nanofluidic
instabilities generate a variety of fascinating liquid silhouettes, but they are
however intrinsically short-lived. Here we show that such unsteady liquid
structures, shaped in polymeric liquids by an electrohydrodynamic pressure, can
be rapidly cured by appropriate thermal treatments. The fabrication of many solid
microstructures exploitable in photonics is demonstrated, thus leading to a new
concept in 3D lithography. The applicability of specific structures as optical
tweezers and as novel remotely excitable quantum dots-embedded microresonators is
presented.
PMID- 21896719
TI - Hydrogen peroxide differentially modulates cardiac myocyte nitric oxide
synthesis.
AB - Nitric oxide (NO) and hydrogen peroxide (H(2)O(2)) are synthesized within cardiac
myocytes and play key roles in modulating cardiovascular signaling. Cardiac
myocytes contain both the endothelial (eNOS) and neuronal (nNOS) NO synthases,
but the differential roles of these NOS isoforms and the interplay of reactive
oxygen species and reactive nitrogen species in cardiac signaling pathways are
poorly understood. Using a recently developed NO chemical sensor [Cu(2)(FL2E)] to
study adult cardiac myocytes from wild-type, eNOS(null), and nNOS(null) mice, we
discovered that physiological concentrations of H(2)O(2) activate eNOS but not
nNOS. H(2)O(2)-stimulated eNOS activation depends on phosphorylation of both the
AMP-activated protein kinase and kinase Akt, and leads to the robust
phosphorylation of eNOS. Cardiac myocytes isolated from mice infected with
lentivirus expressing the recently developed H(2)O(2) biosensor HyPer2 show
marked H(2)O(2) synthesis when stimulated by angiotensin II, but not following
beta-adrenergic receptor activation. We discovered that the angiotensin-II
promoted increase in cardiac myocyte contractility is dependent on H(2)O(2),
whereas beta-adrenergic contractile responses occur independently of H(2)O(2)
signaling. These studies establish differential roles for H(2)O(2) in control of
cardiac contractility and receptor-dependent NOS activation in the heart, and
they identify new points for modulation of NO signaling responses by oxidant
stress.
PMID- 21896721
TI - Function of leukemogenic mixed lineage leukemia 1 (MLL) fusion proteins through
distinct partner protein complexes.
AB - A number of acute leukemias arise from fusion of the mixed lineage leukemia 1
protein (MLL) N terminus to a variety of fusion partners that have been reported
to reside in one or more poorly defined complexes linked to transcription
elongation through interactions with the histone H3-K79 methyltransferase DOT1
and positive transcription elongation factor b (P-TEFb). Here we first identify
natural complexes (purified through fusion partners AF9, AF4, and ELL) with
overlapping components, different elongation activities, and different cofactor
associations that suggest dynamic interactions. Then, through reconstitution of
defined, functionally active minimal complexes, we identify stable subcomplexes
that, through newly defined protein-protein interactions, form distinct higher
order complexes. These definitive analyses show, for example, that (i) through
direct interactions with AF9 and cyclinT1, family members AF4 and AFF4
independently mediate association of P-TEFb with AF9, (ii) P-TEFb, through direct
interactions, provides the link for association of ELL and ELL-associated factors
1 and 2 (EAF1 and EAF2) with AF4, and (iii) in the absence of other factors, DOT1
forms a stable complex with AF9 and does not interact with AF9*AF4*P-TEFb
complexes. Finally, we show the importance of defined higher order complex
formation in MLL-AF9-mediated transcriptional up-regulation and cell
immortalization potential in vivo. Thus, our study provides direct mechanistic
insight into the role of fusion partners in MLL fusion-mediated leukemogenesis.
PMID- 21896722
TI - Misfolded human tRNA isodecoder binds and neutralizes a 3' UTR-embedded Alu
element.
AB - Several classes of small noncoding RNAs are key players in cellular metabolism
including mRNA decoding, RNA processing, and mRNA stability. Here we show that a
tRNA(Asp) isodecoder, corresponding to a human tRNA-derived sequence, binds to an
embedded Alu RNA element contained in the 3' UTR of the human aspartyl-tRNA
synthetase mRNA. This interaction between two well-known classes of RNA
molecules, tRNA and Alu RNA, is driven by an unexpected structural motif and
induces a global rearrangement of the 3' UTR. Besides, this 3' UTR contains two
functional polyadenylation signals. We propose a model where the tRNA/Alu
interaction would modulate the accessibility of the two alternative
polyadenylation sites and regulate the stability of the mRNA. This unique
regulation mechanism would link gene expression to RNA polymerase III
transcription and may have implications in a primate-specific signal pathway.
PMID- 21896723
TI - Kinetically inhibited order in a diamond-lattice antiferromagnet.
AB - Frustrated magnetic systems exhibit highly degenerate ground states and strong
fluctuations, often leading to new physics. An intriguing example of current
interest is the antiferromagnet on a diamond lattice, realized physically in A
site spinel materials. This is a prototypical system in three dimensions where
frustration arises from competing interactions rather than purely geometric
constraints, and theory suggests the possibility of unusual magnetic order at low
temperature. Here, we present a comprehensive single-crystal neutron scattering
study of CoAl(2)O(4), a highly frustrated A-site spinel. We observe strong
diffuse scattering that peaks at wavevectors associated with Neel ordering. Below
the temperature T(*) = 6.5 K, there is a dramatic change in the elastic
scattering lineshape accompanied by the emergence of well-defined spin-wave
excitations. T(*) had previously been associated with the onset of glassy
behavior. Our new results suggest instead that T(*) signifies a first-order phase
transition, but with true long-range order inhibited by the kinetic freezing of
domain walls. This scenario might be expected to occur widely in frustrated
systems containing first-order phase transitions and is a natural explanation for
existing reports of anomalous glassy behavior in other materials.
PMID- 21896724
TI - In vivo protein-interaction mapping of a mitochondrial translocator protein Tom22
at work.
AB - Mitochondrial protein import requires cooperation of the machineries called
translocators in the outer and inner mitochondrial membranes. Here we analyze the
interactions of Tom22, a multifunctional subunit of the outer membrane
translocator TOM40 complex, with other translocator subunits such as Tom20,
Tom40, and Tim50 and with substrate precursor proteins at a spatial resolution of
the amino acid residue by in vivo and in organello site-specific photocross
linking. Changes in cross-linking patterns caused by excess substrate precursor
proteins or presequence peptides indicate how the cytosolic receptor domain of
Tom22 accepts substrate proteins and how the intermembrane space domain of Tom22
transfers them to Tim50 of the inner-membrane translocator.
PMID- 21896725
TI - In situ engineering of the lymph node microenvironment via intranodal injection
of adjuvant-releasing polymer particles.
AB - Recent studies have demonstrated a simple, potentially universal strategy to
enhance vaccine potency, via intralymph node (i.LN) injection. To date,
intranodal immunization studies have focused on the delivery of unadjuvanted
vaccines (e.g., naked DNA, peptide, or protein). We hypothesized that combining
i.LN vaccination with controlled release biomaterials permitting sustained dosing
of molecular adjuvants to the local tissue microenvironment would further enhance
this promising vaccination strategy. To test this idea, we encapsulated the Toll
like receptor-3 ligand poly(inosinic:cytidylic acid) (polyIC) in biodegradable
poly(lactide-co-glycolide) microparticles (MPs) designed to remain extracellular
and release polyIC in the LN over several days. Intranodal injection of MPs
increased persistence of polyIC in LNs compared to the same dose of soluble
polyIC or polyIC formulated in nanoparticles, leading to increased accumulation
of Toll-like receptor agonist in LN-resident antigen presenting cells and more
enduring dendritic cell activation. Intralymph node injection of ovalbumin mixed
with polyIC-releasing MPs enhanced the humoral response and expanded ovalbumin
specific T cells to frequencies as high as 18% among all CD8(+) cells following a
single injection (8.2-fold greater than the same vaccine given i.m.), a response
that could not be matched by antigen mixed with polyIC-loaded nanoparticles or a
10-fold greater dose of soluble polyIC. Thus, i.LN immunization with slow release
formulated adjuvants may be a broadly applicable strategy to enhance therapeutic
or prophylactic vaccines.
PMID- 21896726
TI - Transcription factor TFIIF is not required for initiation by RNA polymerase II,
but it is essential to stabilize transcription factor TFIIB in early elongation
complexes.
AB - Transcription factors TFIIB and TFIIF are both required for RNA polymerase II
preinitiation complex (PIC) assembly, but their roles at and downstream of
initiation are not clear. We now show that TFIIF phosphorylated by casein kinase
2 remains competent to support PIC assembly but is not stably retained in the
PIC. PICs completely lacking TFIIF are not defective in initiation or subsequent
promoter clearance, demonstrating that TFIIF is not required for initiation or
clearance. Lack of TFIIF in the PIC reduces transcription levels at some
promoters, coincident with reduced retention of TFIIB. TFIIB is normally
associated with the early elongation complex and is only destabilized at +12 to
+13. However, if TFIIF is not retained in the PIC, TFIIB can be lost immediately
after initiation. TFIIF therefore has an important role in stabilizing TFIIB
within the PIC and after transcription initiates.
PMID- 21896727
TI - Opening the periplasmic cavity in lactose permease is the limiting step for sugar
binding.
AB - The lactose permease (LacY) catalyzes galactoside/H(+) symport via an alternating
access mechanism in which sugar- and H(+)-binding sites in the middle of the
molecule are alternatively exposed to either side of the membrane by opening and
closing of inward- and outward-facing cavities. The crystal structures of wild
type LacY, as well as accessibility data for the protein in the membrane, provide
strong support for a conformation with a tightly closed periplasmic side and an
open cytoplasmic side (an inward-facing conformation). In this study, rates of
substrate binding were measured by stopped-flow with purified LacY either in
detergent or in reconstituted proteoliposomes. Binding rates are compared with
rates of sugar-induced opening of the periplasmic pathway obtained by using a
recently developed method based on unquenching of Trp fluorescence. A linear
dependence of galactoside-binding rates on sugar concentration is observed in
detergent, whereas reconstituted LacY binds substrate at a slower rate that is
independent of sugar concentration. Rates of opening of the periplasmic cavity
with LacY in detergent are independent of substrate concentration and are
essentially the same for different galactosidic sugars. The findings demonstrate
clearly that reconstituted LacY is oriented physiologically with a closed
periplasmic side that limits access of sugar to the binding site. Moreover,
opening of the periplasmic cavity is the limiting factor for sugar binding with
reconstituted LacY and may be the limiting step in the overall transport
reaction.
PMID- 21896728
TI - Genetic evidence for a protective role of the peritrophic matrix against
intestinal bacterial infection in Drosophila melanogaster.
AB - The peritrophic matrix (PM) forms a layer composed of chitin and glycoproteins
that lines the insect intestinal lumen. This physical barrier plays a role
analogous to that of mucous secretions of the vertebrate digestive tract and is
thought to protect the midgut epithelium from abrasive food particles and
microbes. Almost nothing is known about PM functions in Drosophila, and its
function as an immune barrier has never been addressed by a genetic approach.
Here we show that the Drosocrystallin (Dcy) protein, a putative component of the
eye lens of Drosophila, contributes to adult PM formation. A loss-of-function
mutation in the dcy gene results in a reduction of PM width and an increase of
its permeability. Upon bacterial ingestion a higher level of expression of
antibacterial peptides was observed in dcy mutants, pointing to an influence of
this matrix on bacteria sensing by the Imd immune pathway. Moreover, dcy
deficient flies show an increased susceptibility to oral infections with the
entomopathogenic bacteria Pseudomonas entomophila and Serratia marcescens. Dcy
mutant flies also succumb faster than wild type upon ingestion of a P.
entomophila toxic extract. We show that this lethality is due in part to an
increased deleterious action of Monalysin, a pore-forming toxin produced by P.
entomophila. Collectively, our analysis of the dcy immune phenotype indicates
that the PM plays an important role in Drosophila host defense against enteric
pathogens, preventing the damaging action of pore-forming toxins on intestinal
cells.
PMID- 21896730
TI - Oxygen-coupled redox regulation of the skeletal muscle ryanodine receptor-Ca2+
release channel by NADPH oxidase 4.
AB - Physiological sensing of O(2) tension (partial O(2) pressure, pO(2)) plays an
important role in some mammalian cellular systems, but striated muscle generally
is not considered to be among them. Here we describe a molecular mechanism in
skeletal muscle that acutely couples changes in pO(2) to altered calcium release
through the ryanodine receptor-Ca(2+)-release channel (RyR1). Reactive oxygen
species are generated in proportion to pO(2) by NADPH oxidase 4 (Nox4) in the
sarcoplasmic reticulum, and the consequent oxidation of a small set of RyR1
cysteine thiols results in increased RyR1 activity and Ca(2+) release in isolated
sarcoplasmic reticulum and in cultured myofibers and enhanced contractility of
intact muscle. Thus, Nox4 is an O(2) sensor in skeletal muscle, and O(2)-coupled
hydrogen peroxide production by Nox4 governs the redox state of regulatory RyR1
thiols and thereby governs muscle performance. These findings reveal a molecular
mechanism for O(2)-based signaling by an NADPH oxidase and demonstrate a
physiological role for oxidative modification of RyR1.
PMID- 21896731
TI - Amino acid substitution in NPC1 that abolishes cholesterol binding reproduces
phenotype of complete NPC1 deficiency in mice.
AB - Substitution mutations in adjacent amino acids of the N-terminal domain of NPC1,
a lysosomal membrane protein, abolish its cholesterol binding activity and impair
its ability to export cholesterol from lysosomes of cultured cells lacking npc1
[Kwon HJ, et al. (2009) Cell 137:1213-1224]. Here, we show that the same two
mutations (proline-202 and phenylalanine-203, both changed to alanine) reproduce
the phenotype of complete NPC1 deficiency when knocked into the mouse npc1 gene
by homologous recombination. Homozygous npc1(pf/pf) mice exhibited
neurodegeneration beginning at day 49 and died at a median age of 84 d, as
previously reported for mice that lack npc1. Liver and other organs of the
npc1(pf/pf) mice accumulated excess cholesterol in lysosomes. In liver, mRNAs
encoding several lysosomal proteins were elevated, including NPC1 and NPC2 and
several digestive enzymes (acid lipase, beta-glucuronidase, and cathepsins B and
D). Weekly treatment with hydroxypropyl-beta-cyclodextrin (HPCD) beginning at 7
wk reduced hepatic cholesterol accumulation and diminished the lysosomal mRNAs.
We conclude that the cholesterol binding site in the N-terminal domain of NPC1 is
essential for cholesterol export from lysosomes in living animals as it is in
cultured cells. The HPCD-mediated reduction of excess lysosomal enzymes may
contribute to the ability of this drug to delay the progression of NPC disease in
mice.
PMID- 21896733
TI - Fossil evidence of avian crops from the Early Cretaceous of China.
AB - The crop is characteristic of seed-eating birds today, yet little is known about
its early history despite remarkable discoveries of many Mesozoic seed-eating
birds in the past decade. Here we report the discovery of some early fossil
evidence for the presence of a crop in birds. Two Early Cretaceous birds, the
basal ornithurine Hongshanornis and a basal avian Sapeornis, demonstrate that an
essentially modern avian digestive system formed early in avian evolution. The
discovery of a crop in two phylogenetically remote lineages of Early Cretaceous
birds and its absence in most intervening forms indicates that it was
independently acquired as a specialized seed-eating adaptation. Finally, the
reduction or loss of teeth in the forms showing seed-filled crops suggests that
granivory was possibly one of the factors that resulted in the reduction of teeth
in early birds.
PMID- 21896732
TI - Interplay between spontaneous and induced brain activity during human non-rapid
eye movement sleep.
AB - Humans are less responsive to the surrounding environment during sleep. However,
the extent to which the human brain responds to external stimuli during sleep is
uncertain. We used simultaneous EEG and functional MRI to characterize brain
responses to tones during wakefulness and non-rapid eye movement (NREM) sleep.
Sounds during wakefulness elicited responses in the thalamus and primary auditory
cortex. These responses persisted in NREM sleep, except throughout spindles,
during which they became less consistent. When sounds induced a K complex,
activity in the auditory cortex was enhanced and responses in distant frontal
areas were elicited, similar to the stereotypical pattern associated with slow
oscillations. These data show that sound processing during NREM sleep is
constrained by fundamental brain oscillatory modes (slow oscillations and
spindles), which result in a complex interplay between spontaneous and induced
brain activity. The distortion of sensory information at the thalamic level,
especially during spindles, functionally isolates the cortex from the environment
and might provide unique conditions favorable for off-line memory processing.
PMID- 21896734
TI - Neurofibromatosis-1 regulates mTOR-mediated astrocyte growth and glioma formation
in a TSC/Rheb-independent manner.
AB - Converging evidence from the analysis of human brain tumors and genetically
engineered mice has revealed that the mammalian target of rapamycin (mTOR)
pathway is a central regulator of glial and glioma cell growth. In this regard,
mutational inactivation of neurofibromatosis-1 (NF1), tuberous sclerosis complex
(TSC), and PTEN genes is associated with glioma formation, such that
pharmacologic inhibition of mTOR signaling results in attenuated tumor growth.
This shared dependence on mTOR suggests that PTEN and NF1 (neurofibromin) glial
growth regulation requires TSC/Rheb (Ras homolog enriched in brain) control of
mTOR function. In this report, we use a combination of genetic silencing in vitro
and conditional mouse transgenesis approaches in vivo to demonstrate that
neurofibromin regulates astrocyte cell growth and glioma formation in a TSC/Rheb
independent fashion. First, we show that Nf1 or Pten inactivation, but not Tsc1
loss or Rheb overexpression, increases astrocyte cell growth in vitro. Second,
Nf1-deficient increased mTOR signaling and astrocyte hyperproliferation is
unaffected by Rheb shRNA silencing. Third, conditional Tsc1 inactivation or Rheb
overexpression in glial progenitors of Nf1(+/-) mice does not lead to glioma
formation. Collectively, these findings establish TSC/Rheb-independent mechanisms
for mTOR-dependent glial cell growth control and gliomagenesis relevant to the
design of therapies for individuals with glioma.
PMID- 21896735
TI - Genetic evidence for archaic admixture in Africa.
AB - A long-debated question concerns the fate of archaic forms of the genus Homo: did
they go extinct without interbreeding with anatomically modern humans, or are
their genes present in contemporary populations? This question is typically
focused on the genetic contribution of archaic forms outside of Africa. Here we
use DNA sequence data gathered from 61 noncoding autosomal regions in a sample of
three sub-Saharan African populations (Mandenka, Biaka, and San) to test models
of African archaic admixture. We use two complementary approximate-likelihood
approaches and a model of human evolution that involves recent population
structure, with and without gene flow from an archaic population. Extensive
simulation results reject the null model of no admixture and allow us to infer
that contemporary African populations contain a small proportion of genetic
material (~ 2%) that introgressed ~ 35 kya from an archaic population that split
from the ancestors of anatomically modern humans ~ 700 kya. Three candidate
regions showing deep haplotype divergence, unusual patterns of linkage
disequilibrium, and small basal clade size are identified and the distributions
of introgressive haplotypes surveyed in a sample of populations from across sub
Saharan Africa. One candidate locus with an unusual segment of DNA that extends
for >31 kb on chromosome 4 seems to have introgressed into modern Africans from a
now-extinct taxon that may have lived in central Africa. Taken together our
results suggest that polymorphisms present in extant populations introgressed via
relatively recent interbreeding with hominin forms that diverged from the
ancestors of modern humans in the Lower-Middle Pleistocene.
PMID- 21896736
TI - Developmental basis of sexually dimorphic digit ratios.
AB - Males and females generally have different finger proportions. In males, digit 2
is shorter than digit 4, but in females digit 2 is the same length or longer than
digit 4. The second- to fourth-digit (2D:4D) ratio correlates with numerous
sexually dimorphic behavioral and physiological conditions. Although
correlational studies suggest that digit ratios reflect prenatal exposure to
androgen, the developmental mechanism underlying sexually dimorphic digit
development remains unknown. Here we report that the 2D:4D ratio in mice is
controlled by the balance of androgen to estrogen signaling during a narrow
window of digit development. Androgen receptor (AR) and estrogen receptor alpha
(ER-alpha) activity is higher in digit 4 than in digit 2. Inactivation of AR
decreases growth of digit 4, which causes a higher 2D:4D ratio, whereas
inactivation of ER-alpha increases growth of digit 4, which leads to a lower
2D:4D ratio. We also show that addition of androgen has the same effect as
inactivation of ER and that addition of estrogen mimics the reduction of AR.
Androgen and estrogen differentially regulate the network of genes that controls
chondrocyte proliferation, leading to differential growth of digit 4 in males and
females. These studies identify previously undescribed molecular dimorphisms
between male and female limb buds and provide experimental evidence that the
digit ratio is a lifelong signature of prenatal hormonal exposure. Our results
also suggest that the 2D:4D ratio can serve as an indicator of disrupted
endocrine signaling during early development, which may aid in the identification
of fetal origins of adult diseases.
PMID- 21896737
TI - Neural-specific elongation of 3' UTRs during Drosophila development.
AB - The 3' termini of eukaryotic mRNAs influence transcript stability, translation
efficiency, and subcellular localization. Here we report that a subset of
developmental regulatory genes, enriched in critical RNA-processing factors,
exhibits synchronous lengthening of their 3' UTRs during embryogenesis. The
resulting UTRs are up to 20-fold longer than those found on typical Drosophila
mRNAs. The large mRNAs emerge shortly after the onset of zygotic transcription,
with several of these genes acquiring additional, phased UTR extensions later in
embryogenesis. We show that these extended 3' UTR sequences are selectively
expressed in neural tissues and contain putative recognition motifs for the
translational repressor, Pumilio, which also exhibits the 3' lengthening
phenomenon documented in this study. These findings suggest a previously unknown
mode of posttranscriptional regulation that may contribute to the complexity of
neurogenesis or neural function.
PMID- 21896738
TI - Modulatory profiling identifies mechanisms of small molecule-induced cell death.
AB - Cell death is a complex process that plays a vital role in development,
homeostasis, and disease. Our understanding of and ability to control cell death
is impeded by an incomplete characterization of the full range of cell death
processes that occur in mammalian systems, especially in response to exogenous
perturbations. We present here a general approach to address this problem, which
we call modulatory profiling. Modulatory profiles are composed of the changes in
potency and efficacy of lethal compounds produced by a second cell death
modulating agent in human cell lines. We show that compounds with the same
characterized mechanism of action have similar modulatory profiles. Furthermore,
clustering of modulatory profiles revealed relationships not evident when
clustering lethal compounds based on gene expression profiles alone. Finally,
modulatory profiling of compounds correctly predicted three previously
uncharacterized compounds to be microtubule-destabilizing agents, classified
numerous compounds that act nonspecifically, and identified compounds that cause
cell death through a mechanism that is morphologically and biochemically distinct
from previously established ones.
PMID- 21896740
TI - Interdomain movements in metabotropic glutamate receptor activation.
AB - Many cell surface receptors are multimeric proteins, composed of several
structural domains, some involved in ligand recognition, whereas others are
responsible for signal transduction. In most cases, the mechanism of how ligand
interaction in the extracellular domains leads to the activation of effector
domains remains largely unknown. Here we examined how the extracellular ligand
binding to the venus flytrap (VFT) domains of the dimeric metabotropic glutamate
receptors activate the seven transmembrane (7TM) domains responsible for G
protein activation. These two domains are interconnected by a cysteine-rich
domain (CRD). We show that any of the four disulfide bridges of the CRD are
required for the allosteric coupling between the VFT and the 7TM domains. More
importantly, we show that a specific association of the two CRDs corresponds to
the active state of the receptor. Indeed, a specific crosslinking of the CRDs
with intersubunit disulfide bridges leads to fully constitutively active
receptors, no longer activated by agonists nor by allosteric modulators. These
data demonstrate that intersubunit movement at the level of the CRDs represents a
key step in metabotropic glutamate receptor activation.
PMID- 21896739
TI - Second-generation high-throughput forward genetic screen in mice to isolate
subtle behavioral mutants.
AB - Forward genetic screens have been highly successful in revealing roles of genes
and pathways in complex biological events. Traditionally these screens have
focused on isolating mutants with the greatest phenotypic deviance, with the
hopes of discovering genes that are central to the biological event being
investigated. Behavioral screens in mice typically use simple activity-based
assays as endophenotypes for more complex emotional states of the animal. They
generally set the selection threshold for a putative mutant at 3 SDs (z score of
3) from the average behavior of normal animals to minimize false-positive
results. Behavioral screens using a high threshold for detection have generally
had limited success, with high false-positive rates and subtle phenotypic
differences that have made mapping and cloning difficult. In addition, targeted
reverse genetic approaches have shown that when genes central to behaviors such
as open field behavior, psychostimulant response, and learning and memory tasks
are mutated, they produce subtle phenotypes that differ from wild-type animals by
1 to 2 SDs (z scores of 1 to 2). We have conducted a second-generation (G2)
dominant N-ethyl-N-nitrosourea (ENU) screen especially designed to detect subtle
behavioral mutants for open field activity and psychostimulant response
behaviors. We successfully detect mutant lines with only 1 to 2 SD shifts in mean
response compared with wild-type control animals and present a robust statistical
and methodological framework for conducting such forward genetic screens. Using
this methodology we have screened 229 ENU mutant lines and have identified 15
heritable mutant lines. We conclude that for screens in mice that use activity
based endophenotypic measurements for complex behavioral states, this G2
screening approach yields better results.
PMID- 21896741
TI - Finding the ciliary beating pattern with optimal efficiency.
AB - We introduce a measure for energetic efficiency of biological cilia acting
individually or collectively and numerically determine the optimal beating
patterns according to this criterion. Maximizing the efficiency of a single
cilium leads to curly, often symmetric, and somewhat counterintuitive patterns.
However, when looking at a densely ciliated surface, the optimal patterns become
remarkably similar to what is observed in microorganisms like Paramecium. The
optimal beating pattern then consists of a fast effective stroke and a slow
sweeping recovery stroke. Metachronal coordination is essential for efficient
pumping and the highest efficiency is achieved with antiplectic waves. Efficiency
also increases with an increasing density of cilia up to the point where crowding
becomes a problem. We finally relate the pumping efficiency of cilia to the
swimming efficiency of a spherical microorganism and show that the experimentally
estimated efficiency of Paramecium is surprisingly close to the theoretically
possible optimum.
PMID- 21896742
TI - Recovery of stereopsis through perceptual learning in human adults with abnormal
binocular vision.
AB - Stereopsis, the perception of depth based on the disparity of the images
projected to the retinas of the two eyes, is an important process in our three
dimensional world; however, 3-5% of the population is stereoblind or has
seriously impaired stereovision. Here we provide evidence for the recovery of
stereopsis through perceptual learning, the repetitive practice of a demanding
visual task, in human adults long deprived of normal binocular vision. We used a
training paradigm that combines monocular cues that were correlated perfectly
with the disparity cues. Following perceptual learning (thousands of trials) with
stereoscopic gratings, five adults who initially were stereoblind or
stereoanomalous showed substantial recovery of stereopsis, both on psychophysical
tests with stimuli that contained no monocular cues and on clinical testing. They
reported that depth "popped out" in daily life, and enjoyed 3D movies for the
first time. After training, stereo tests with dynamic random-dot stereograms and
band-pass noise revealed the properties of the recovered stereopsis: It has
reduced resolution and precision, although it is based on perceiving depth by
detecting binocular disparity. We conclude that some human adults deprived of
normal binocular vision can recover stereopsis at least partially.
PMID- 21896744
TI - Drosophila P elements preferentially transpose to replication origins.
AB - The P transposable element recently invaded wild Drosophila melanogaster strains
worldwide. A single introduced copy can multiply and spread throughout the fly
genome in just a few generations, even though its cut-and-paste transposition
mechanism does not inherently increase copy number. P element insertions
preferentially target the promoters of a subset of genes, but why these sites are
hotspots remains unknown. We show that P elements selectively target sites that
in tissue-culture cells bind origin recognition complex proteins and function as
replication origins. The association of origin recognition complex-binding sites
with selected promoters and their absence near clustered differentiation genes
may dictate P element site specificity. Inserting at unfired replication origins
during S phase may allow P elements to be both repaired and reduplicated, thereby
increasing element copy number. The advantage transposons gain by moving from
replicated to unreplicated genomic regions may contribute to the association of
heterochromatin with late-replicating genomic regions.
PMID- 21896743
TI - Crosstalk between the urokinase-type plasminogen activator receptor and EGF
receptor variant III supports survival and growth of glioblastoma cells.
AB - A truncated and constitutively active form of the EGF receptor, variant III
(EGFRvIII), is a major determinant of tumor growth and progression in
glioblastoma multiforme (GBM). Extensive bidirectional crosstalk occurs in the
cell-signaling pathways downstream of the EGFR and the urokinase-type plasminogen
activator receptor (uPAR); however, crosstalk between EGFRvIII and uPAR has not
been examined. Here, we show that uPAR does not regulate ERK activation in
EGFRvIII-expressing GBM cells; however, in GBM cells isolated from four separate
xenografts in which EGFRvIII expression was down-regulated in vivo, uPAR assumed
a major role in sustaining ERK activation. Phosphorylation of Tyr-845 in the
EGFR, which is mediated by Src family kinases, depended on uPAR in EGFRvIII
expressing GBM cells. Activation of the mitogenic and prosurvival transcription
factor, STAT5b, downstream of EGFRvIII, also required uPAR. The EGFR-selective
tyrosine kinase inhibitors, erlotinib and gefitinib, blocked not only EGFRvIII
signaling to ERK but also uPAR-dependent STAT5b activation. uPAR gene silencing
in EGFRvIII-expressing GBM cells and in cells from tumors that escaped dependency
on EGFRvIII decreased cell survival and proliferation. Xenografts of EGFRvIII
expressing cancer cell lines and a human GBM, which was propagated as a
xenograft, were robustly immunopositive for uPAR and phospho-Tyr-845 by
immunohistochemistry. A human GBM in which the EGFR gene was amplified without
truncation was immunonegative for both uPAR and phospho-Tyr-845. These studies
identify distinct cell-signaling activities for uPAR in GBM cells that express
EGFRvIII and in cells released from dormancy when EGFRvIII is neutralized. uPAR
and its crosstalk pathways with EGFRvIII emerge as logical targets for
therapeutics development in GBM.
PMID- 21896745
TI - Heparan sulfate binding by natural eastern equine encephalitis viruses promotes
neurovirulence.
AB - The Alphavirus genus of the family Togaviridae contains mosquito-vectored viruses
that primarily cause either arthritogenic disease or acute encephalitis. North
American eastern equine encephalitis virus (NA-EEEV) is uniquely neurovirulent
among encephalitic alphaviruses, causing mortality in a majority of symptomatic
cases and neurological sequelae in many survivors. Unlike many alphaviruses, NA
EEEV infection of mice yields limited signs of febrile illness typically
associated with lymphoid tissue replication. Rather, signs of brain infection,
including seizures, are prominent. Use of heparan sulfate (HS) as an attachment
receptor increases the neurovirulence of cell culture-adapted strains of Sindbis
virus, an arthritogenic alphavirus. However, this receptor is not known to be
used by naturally circulating alphaviruses. We demonstrate that wild-type NA-EEEV
strain FL91-4679 uses HS as an attachment receptor and that the amino acid
sequence of its E2 attachment protein is identical to those of natural isolates
sequenced by RT-PCR amplification of field samples. This finding unequivocally
confirms the use of HS receptors by naturally circulating NA-EEEV strains.
Inactivation of the major HS binding domain in NA-EEEV E2 demonstrated that the
HS binding increased brain replication and neurologic disease but reduced
lymphoid tissue replication, febrile illness signs, and cytokine/chemokine
induction in mice. We propose that HS binding by natural NA-EEEV strains alters
tropism in vivo to antagonize/evade immune responses, and the extreme
neurovirulence of wild-type NA-EEEV may be a consequence. Therefore,
reinvestigation of HS binding by this and other arboviruses is warranted.
PMID- 21896746
TI - Cultivation of an obligate acidophilic ammonia oxidizer from a nitrifying acid
soil.
AB - Nitrification is a fundamental component of the global nitrogen cycle and leads
to significant fertilizer loss and atmospheric and groundwater pollution.
Nitrification rates in acidic soils (pH < 5.5), which comprise 30% of the world's
soils, equal or exceed those of neutral soils. Paradoxically, autotrophic ammonia
oxidizing bacteria and archaea, which perform the first stage in nitrification,
demonstrate little or no growth in suspended liquid culture below pH 6.5, at
which ammonia availability is reduced by ionization. Here we report the discovery
and cultivation of a chemolithotrophic, obligately acidophilic thaumarchaeal
ammonia oxidizer, "Candidatus Nitrosotalea devanaterra," from an acidic
agricultural soil. Phylogenetic analysis places the organism within a previously
uncultivated thaumarchaeal lineage that has been observed in acidic soils. Growth
of the organism is optimal in the pH range 4 to 5 and is restricted to the pH
range 4 to 5.5, unlike all previously cultivated ammonia oxidizers. Growth of
this organism and associated ammonia oxidation and autotrophy also occur during
nitrification in soil at pH 4.5. The discovery of Nitrosotalea devanaterra
provides a previously unsuspected explanation for high rates of nitrification in
acidic soils, and confirms the vital role that thaumarchaea play in terrestrial
nitrogen cycling. Growth at extremely low ammonia concentration (0.18 nM) also
challenges accepted views on ammonia uptake and metabolism and indicates novel
mechanisms for ammonia oxidation at low pH.
PMID- 21896747
TI - A special pair of phytohormones controls excitability, slow closure, and external
stomach formation in the Venus flytrap.
AB - Venus flytrap's leaves can catch an insect in a fraction of a second. Since the
time of Charles Darwin, scientists have struggled to understand the sensory
biology and biomechanics of this plant, Dionaea muscipula. Here we show that
insect-capture of Dionaea traps is modulated by the phytohormone abscisic acid
(ABA) and jasmonates. Water-stressed Dionaea, as well as those exposed to the
drought-stress hormone ABA, are less sensitive to mechanical stimulation. In
contrast, application of 12-oxo-phytodienoic acid (OPDA), a precursor of the
phytohormone jasmonic acid (JA), the methyl ester of JA (Me-JA), and coronatine
(COR), the molecular mimic of the isoleucine conjugate of JA (JA-Ile), triggers
secretion of digestive enzymes without any preceding mechanical stimulus. Such
secretion is accompanied by slow trap closure. Under physiological conditions,
insect-capture is associated with Ca(2+) signaling and a rise in OPDA,
Apparently, jasmonates bypass hapto-electric processes associated with trap
closure. However, ABA does not affect OPDA-dependent gland activity. Therefore,
signals for trap movement and secretion seem to involve separate pathways.
Jasmonates are systemically active because application to a single trap induces
secretion and slow closure not only in the given trap but also in all others.
Furthermore, formerly touch-insensitive trap sectors are converted into
mechanosensitive ones. These findings demonstrate that prey-catching Dionaea
combines plant-specific signaling pathways, involving OPDA and ABA with a rapidly
acting trigger, which uses ion channels, action potentials, and Ca(2+) signals.
PMID- 21896748
TI - Alternative splicing of a single transcription factor drives selfish reproductive
behavior in honeybee workers (Apis mellifera).
AB - In eusocial insects the production of daughters is generally restricted to mated
queens, and unmated workers are functionally sterile. The evolution of this
worker sterility has been plausibly explained by kin selection theory [Hamilton W
(1964) J Theor Biol 7:1-52], and many traits have evolved to prevent conflict
over reproduction among the females in an insect colony. In honeybees (Apis
mellifera), worker reproduction is regulated by the queen, brood pheromones, and
worker policing. However, workers of the Cape honeybee, Apis mellifera capensis,
can evade this control and establish themselves as social parasites by activating
their ovaries, parthenogenetically producing diploid female offspring (thelytoky)
and producing queen-like amounts of queen pheromones. All these traits have been
shown to be strongly influenced by a single locus on chromosome 13 [Lattorff HMG,
et al. (2007) Biol Lett 3:292-295]. We screened this region for candidate genes
and found that alternative splicing of a gene homologous to the gemini
transcription factor of Drosophila controls worker sterility. Knocking out the
critical exon in a series of RNAi experiments resulted in rapid worker ovary
activation-one of the traits characteristic of the social parasites. This genetic
switch may be controlled by a short intronic splice enhancer motif of nine
nucleotides attached to the alternative splice site. The lack of this motif in
parasitic Cape honeybee clones suggests that the removal of nine nucleotides from
the altruistic worker genome may be sufficient to turn a honeybee from an
altruistic worker into a parasite.
PMID- 21896749
TI - Circadian transcriptional regulation by the posttranslational oscillator without
de novo clock gene expression in Synechococcus.
AB - Circadian rhythms are a fundamental property of most organisms, from
cyanobacteria to humans. In the unicellular obligately photoautotrophic
cyanobacterium Synechococcus elongatus PCC 7942, essentially all promoter
activities are controlled by the KaiABC-based clock under continuous light
conditions. When Synechococcus cells are transferred from the light to continuous
dark (DD) conditions, the expression of most genes, including the clock genes
kaiA and kaiBC, is rapidly down-regulated, whereas the KaiC phosphorylation cycle
persists. Therefore, we speculated that the posttranslational oscillator might
not drive the transcriptional circadian output without de novo expression of the
kai genes. Here we show that the cyanobacterial clock regulates the
transcriptional output even in the dark. The expression of a subset of genes in
the genomes of cells grown in the dark was dramatically affected by kaiABC
nullification, and the magnitude of dark induction was dependent on the time at
which the cells were transferred from the light to the dark. Moreover, under DD
conditions, the expression of some dark-induced gene transcripts exhibited
temperature-compensated damped oscillations, which were nullified in kaiABC-null
strains and were affected by a kaiC period mutation. These results indicate that
the Kai protein-based posttranslational oscillator can drive the circadian
transcriptional output even without the de novo expression of the clock genes.
PMID- 21896750
TI - Extracellular reduction of uranium via Geobacter conductive pili as a protective
cellular mechanism.
AB - The in situ stimulation of Fe(III) oxide reduction by Geobacter bacteria leads to
the concomitant precipitation of hexavalent uranium [U(VI)] from groundwater.
Despite its promise for the bioremediation of uranium contaminants, the
biological mechanism behind this reaction remains elusive. Because Fe(III) oxide
reduction requires the expression of Geobacter's conductive pili, we evaluated
their contribution to uranium reduction in Geobacter sulfurreducens grown under
pili-inducing or noninducing conditions. A pilin-deficient mutant and a
genetically complemented strain with reduced outer membrane c-cytochrome content
were used as controls. Pili expression significantly enhanced the rate and extent
of uranium immobilization per cell and prevented periplasmic mineralization. As a
result, pili expression also preserved the vital respiratory activities of the
cell envelope and the cell's viability. Uranium preferentially precipitated along
the pili and, to a lesser extent, on outer membrane redox-active foci. In
contrast, the pilus-defective strains had different degrees of periplasmic
mineralization matching well with their outer membrane c-cytochrome content. X
ray absorption spectroscopy analyses demonstrated the extracellular reduction of
U(VI) by the pili to mononuclear tetravalent uranium U(IV) complexed by carbon
containing ligands, consistent with a biological reduction. In contrast, the
U(IV) in the pilin-deficient mutant cells also required an additional phosphorous
ligand, in agreement with the predominantly periplasmic mineralization of uranium
observed in this strain. These findings demonstrate a previously unrecognized
role for Geobacter conductive pili in the extracellular reduction of uranium, and
highlight its essential function as a catalytic and protective cellular mechanism
that is of interest for the bioremediation of uranium-contaminated groundwater.
PMID- 21896751
TI - Inhibition of influenza virus replication via small molecules that induce the
formation of higher-order nucleoprotein oligomers.
AB - Influenza nucleoprotein (NP) plays multiple roles in the virus life cycle,
including an essential function in viral replication as an integral component of
the ribonucleoprotein complex, associating with viral RNA and polymerase within
the viral core. The multifunctional nature of NP makes it an attractive target
for antiviral intervention, and inhibitors targeting this protein have recently
been reported. In a parallel effort, we discovered a structurally similar series
of influenza replication inhibitors and show that they interfere with NP
dependent processes via formation of higher-order NP oligomers. Support for this
unique mechanism is provided by site-directed mutagenesis studies, biophysical
characterization of the oligomeric ligand:NP complex, and an X-ray cocrystal
structure of an NP dimer of trimers (or hexamer) comprising three NP_A:NP_B
dimeric subunits. Each NP_A:NP_B dimeric subunit contains two ligands that bridge
two composite, protein-spanning binding sites in an antiparallel orientation to
form a stable quaternary complex. Optimization of the initial screening hit
produced an analog that protects mice from influenza-induced weight loss and
mortality by reducing viral titers to undetectable levels throughout the course
of treatment.
PMID- 21896752
TI - Oxytocin receptor gene (OXTR) is related to psychological resources.
AB - Psychological resources--optimism, mastery, and self-esteem--buffer the
deleterious effects of stress and are predictors of neurophysiological and
psychological health-related outcomes. These resources have been shown to be
highly heritable, yet the genetic basis for this heritability remains unknown.
Here, we report a link between the oxytocin receptor (OXTR) SNP rs53576 and
psychological resources, such that carriers of the "A" allele have lower levels
of optimism, mastery, and self-esteem, relative to G/G homozygotes. OXTR was also
associated with depressive symptomatology. Mediation analysis indicates that the
effects of OXTR on depressive symptoms may be largely mediated by the influence
of OXTR on psychological resources.
PMID- 21896753
TI - MicroRNA-149*, a p53-responsive microRNA, functions as an oncogenic regulator in
human melanoma.
AB - The tumor suppressor p53 is activated in response to cellular stress to prevent
malignant transformation by activation of the DNA repair machinery to preserve
the cell, or by induction of apoptosis to eliminate the cell should the damage
prove irrevocable. The gene encoding p53 frequently undergoes inactivating
mutations in many human cancers, but WT p53 is often expressed at high levels in
melanoma, which, as judged from the malignant nature of the disease, fails to act
as an effective tumor suppressor. Here we show that p53 directly up-regulates
microRNA-149* (miR-149*) that in turn targets glycogen synthase kinase-3alpha,
resulting in increased expression of Mcl-1 and resistance to apoptosis in
melanoma cells. Although deficiency in miR-149* undermined survival of melanoma
cells and inhibited melanoma growth in a mouse xenograft model, elevated
expression of miR-149* was found in fresh human metastatic melanoma isolates,
which was associated with decreased glycogen synthase kinase-3alpha and increased
Mcl-1. These results reveal a p53-dependent, miR-149*-mediated pathway that
contributes to survival of melanoma cells, provides a rational explanation for
the ineffectiveness of p53 to suppress melanoma, and identifies the expression of
miR-149* as a mechanism involved in the increased expression of Mcl-1 in melanoma
cells.
PMID- 21896754
TI - Pattern of trauma determines the threshold for epileptic activity in a model of
cortical deafferentation.
AB - Epileptic activity often occurs in the cortex after a latent period after head
trauma; this delay has been attributed to the destabilizing influence of
homeostatic synaptic scaling and changes in intrinsic properties. However, the
impact of the spatial organization of cortical trauma on epileptogenesis is
poorly understood. We addressed this question by analyzing the dynamics of a
large-scale biophysically realistic cortical network model subjected to different
patterns of trauma. Our results suggest that the spatial pattern of trauma can
greatly affect the propensity for developing posttraumatic epileptic activity.
For the same fraction of lesioned neurons, spatially compact trauma resulted in
stronger posttraumatic elevation of paroxysmal activity than spatially diffuse
trauma. In the case of very severe trauma, diffuse distribution of a small number
of surviving intact neurons alleviated posttraumatic epileptogenesis. We suggest
that clinical evaluation of the severity of brain trauma should take into account
the spatial pattern of the injured cortex.
PMID- 21896755
TI - Arbovirus high fidelity variant loses fitness in mosquitoes and mice.
AB - The error rate of RNA-dependent RNA polymerases (RdRp) affects the mutation
frequency in a population of viral RNAs. Using chikungunya virus (CHIKV), we
describe a unique arbovirus fidelity variant with a single C483Y amino acid
change in the nsP4 RdRp that increases replication fidelity and generates
populations with reduced genetic diversity. In mosquitoes, high fidelity CHIKV
presents lower infection and dissemination titers than wild type. In newborn
mice, high fidelity CHIKV produces truncated viremias and lower organ titers.
These results indicate that increased replication fidelity and reduced genetic
diversity negatively impact arbovirus fitness in invertebrate and vertebrate
hosts.
PMID- 21896756
TI - Targeted killing of a mammalian cell based upon its specialized metabolic state.
AB - Mouse ES cells use a mitochondrial threonine dehydrogenase (TDH) enzyme to
catabolize threonine into glycine and acetyl-CoA. Measurements of mRNA abundance
have given evidence that ES cells express upwards of 1,000-fold higher levels of
TDH mRNA than any of seven other mouse tissues tested. When cell culture medium
is deprived of threonine, ES cells rapidly discontinue DNA synthesis, arrest cell
division, and eventually die. Such studies led to the conclusion that mouse ES
cells exist in a threonine-dependent metabolic state. Proceeding with the
assumption that the active TDH enzyme should be essential for the growth and
viability of mouse ES cells, we performed a drug screen in search of specific
inhibitors of the purified TDH enzyme. Such efforts led to the discovery of a
class of quinazolinecarboxamide (Qc) compounds that inhibit the ability of the
TDH enzyme to catabolize threonine into glycine and acetyl-CoA. Administration of
Qc inhibitors of TDH to mouse ES cells impeded cell growth and resulted in the
induction of autophagy. By contrast, the same chemicals failed to affect the
growth of HeLa cells at concentrations 300-fold higher than that required to kill
mouse ES cells. It was likewise observed that the Qc class of TDH inhibitors
failed to affect the growth or viability of ES cell-derived embryoid body cells
known to have extinguished TDH expression. These studies demonstrate how it is
possible to kill a specific mammalian cell type on the basis of its specialized
metabolic state.
PMID- 21896758
TI - Sneak peak at galactocerebrosidase, Krabbe disease's lysosomal hydrolase.
PMID- 21896757
TI - Prostaglandin E2 release from astrocytes triggers gonadotropin-releasing hormone
(GnRH) neuron firing via EP2 receptor activation.
AB - Astrocytes in the hypothalamus release prostaglandin E(2) (PGE(2)) in response to
cell-cell signaling initiated by neurons and glial cells. Upon release, PGE(2)
stimulates the secretion of gonadotropin-releasing hormone (GnRH), the
neuropeptide that controls reproduction, from hypothalamic neuroendocrine
neurons. Whether this effect on GnRH secretion is accompanied by changes in the
firing behavior of these neurons is unknown. Using patch-clamp recording we
demonstrate that PGE(2) exerts a dose-dependent postsynaptic excitatory effect on
GnRH neurons. These effects are mimicked by an EP2 receptor agonist and
attenuated by protein kinase A (PKA) inhibitors. The acute blockade of
prostaglandin synthesis by indomethacin (INDO) or the selective inhibition of
astrocyte metabolism by fluoroacetate (FA) suppresses the spontaneous firing
activity of GnRH neurons in brain slices. Similarly, GnRH neuronal activity is
reduced in mice with impaired astrocytic PGE(2) release due to defective erbB
signaling in astrocytes. These results indicate that astrocyte-to-neuron
communication in the hypothalamus is essential for the activity of GnRH neurons
and suggest that PGE(2) acts as a gliotransmitter within the GnRH neurosecretory
system.
PMID- 21896759
TI - Restraint of angiogenesis by zinc finger transcription factor CTCF-dependent
chromatin insulation.
AB - Angiogenesis is meticulously controlled by a fine balance between positive and
negative regulatory activities. Vascular endothelial growth factor (VEGF) is a
predominant angiogenic factor and its dosage is precisely regulated during normal
vascular formation. In cancer, VEGF is commonly overproduced, resulting in
abnormal neovascularization. VEGF is induced in response to various stimuli
including hypoxia; however, very little is known about the mechanisms that
confine its induction to ensure proper angiogenesis. Chromatin insulation is a
key transcription mechanism that prevents promiscuous gene activation by
interfering with the action of enhancers. Here we show that the chromatin
insulator-binding factor CTCF binds to the proximal promoter of VEGF. Consistent
with the enhancer-blocking mode of chromatin insulators, CTCF has little effect
on basal expression of VEGF but specifically affects its activation by enhancers.
CTCF knockdown cells are sensitized for induction of VEGF and exhibit elevated
proangiogenic potential. Cancer-derived CTCF missense mutants are mostly
defective in blocking enhancers at the VEGF locus. Moreover, during mouse retinal
development, depletion of CTCF causes excess angiogenesis. Therefore, CTCF
mediated chromatin insulation acts as a crucial safeguard against hyperactivation
of angiogenesis.
PMID- 21896760
TI - Medial prefrontal cortex and striatum mediate the influence of social comparison
on the decision process.
AB - We compared private and social decision making to investigate the neural
underpinnings of the effect of social comparison on risky choices. We measured
brain activity using functional MRI while participants chose between two
lotteries: in the private condition, they observed the outcome of the unchosen
lottery, and in the social condition, the outcome of the lottery chosen by
another person. The striatum, a reward-related brain structure, showed higher
activity when participants won more than their counterpart (social gains)
compared with winning in isolation and lower activity when they won less than
their counterpart (social loss) compared with private loss. The medial prefrontal
cortex, implicated in social reasoning, was more activated by social gains than
all other events. Sensitivity to social gains influenced both brain activity and
behavior during subsequent choices. Specifically, striatal activity associated
with social gains predicted medial prefrontal cortex activity during social
choices, and experienced social gains induced more risky and competitive behavior
in later trials. These results show that interplay between reward and social
reasoning networks mediates the influence of social comparison on the decision
process.
PMID- 21896761
TI - Reactibodies generated by kinetic selection couple chemical reactivity with
favorable protein dynamics.
AB - Igs offer a versatile template for combinatorial and rational design approaches
to the de novo creation of catalytically active proteins. We have used a covalent
capture selection strategy to identify biocatalysts from within a human
semisynthetic antibody variable fragment library that uses a nucleophilic
mechanism. Specific phosphonylation at a single tyrosine within the variable
light-chain framework was confirmed in a recombinant IgG construct. High
resolution crystallographic structures of unmodified and phosphonylated Fabs
display a 15-A-deep two-chamber cavity at the interface of variable light (V(L))
and variable heavy (V(H)) fragments having a nucleophilic tyrosine at the base of
the site. The depth and structure of the pocket are atypical of antibodies in
general but can be compared qualitatively with the catalytic site of
cholinesterases. A structurally disordered heavy chain complementary determining
region 3 loop, constituting a wall of the cleft, is stabilized after covalent
modification by hydrogen bonding to the phosphonate tropinol moiety. These
features and presteady state kinetics analysis indicate that an induced fit
mechanism operates in this reaction. Mutations of residues located in this
stabilized loop do not interfere with direct contacts to the organophosphate
ligand but can interrogate second shell interactions, because the H3 loop has a
conformation adjusted for binding. Kinetic and thermodynamic parameters along
with computational docking support the active site model, including plasticity
and simple catalytic components. Although relatively uncomplicated, this
catalytic machinery displays both stereo- and chemical selectivity. The
organophosphate pesticide paraoxon is hydrolyzed by covalent catalysis with rate
limiting dephosphorylation. This reactibody is, therefore, a kinetically selected
protein template that has enzyme-like catalytic attributes.
PMID- 21896762
TI - Surface architecture of endospores of the Bacillus cereus/anthracis/thuringiensis
family at the subnanometer scale.
AB - Bacteria of the Bacillus cereus family form highly resistant spores, which in the
case of the pathogen B. anthracis act as the agents of infection. The outermost
layer, the exosporium, enveloping spores of the B. cereus family as well as a
number of Clostridia, plays roles in spore adhesion, dissemination, targeting,
and germination control. We have analyzed two naturally crystalline layers
associated with the exosporium, one representing the "basal" layer to which the
outermost spore layer ("hairy nap") is attached, and the other likely
representing a subsurface ("parasporal") layer. We have used electron
cryomicroscopy at a resolution of 0.8-0.6 nm and circular dichroism spectroscopic
measurements to reveal a highly alpha-helical structure for both layers. The
helices are assembled into 2D arrays of "cups" or "crowns." High-resolution
atomic force microscopy of the outermost layer showed that the open ends of these
cups face the external environment and the highly immunogenic collagen-like
fibrils of the hairy nap (BclA) are attached to this surface. Based on our
findings, we present a molecular model for the spore surface and propose how this
surface can act as a semipermeable barrier and a matrix for binding of molecules
involved in defense, germination control, and other interactions of the spore
with the environment.
PMID- 21896763
TI - MicroRNAs and phylogenomics resolve the relationships of Tardigrada and suggest
that velvet worms are the sister group of Arthropoda.
AB - Morphological data traditionally group Tardigrada (water bears), Onychophora
(velvet worms), and Arthropoda (e.g., spiders, insects, and their allies) into a
monophyletic group of invertebrates with walking appendages known as the
Panarthropoda. However, molecular data generally do not support the inclusion of
tardigrades within the Panarthropoda, but instead place them closer to Nematoda
(roundworms). Here we present results from the analyses of two independent
genomic datasets, expressed sequence tags (ESTs) and microRNAs (miRNAs), which
congruently resolve the phylogenetic relationships of Tardigrada. Our EST
analyses, based on 49,023 amino acid sites from 255 proteins, significantly
support a monophyletic Panarthropoda including Tardigrada and suggest a sister
group relationship between Arthropoda and Onychophora. Using careful experimental
manipulations--comparisons of model fit, signal dissection, and taxonomic pruning
-we show that support for a Tardigrada + Nematoda group derives from the
phylogenetic artifact of long-branch attraction. Our small RNA libraries fully
support our EST results; no miRNAs were found to link Tardigrada and Nematoda,
whereas all panarthropods were found to share one unique miRNA (miR-276). In
addition, Onychophora and Arthropoda were found to share a second miRNA (miR
305). Our study confirms the monophyly of the legged ecdysozoans, shows that past
support for a Tardigrada + Nematoda group was due to long-branch attraction, and
suggests that the velvet worms are the sister group to the arthropods.
PMID- 21896764
TI - A 5-methylcytosine DNA glycosylase/lyase demethylates the retrotransposon Tos17
and promotes its transposition in rice.
AB - DNA 5-methylcytosine (5-meC) is an important epigenetic mark for transcriptional
gene silencing in many eukaryotes. In Arabidopsis, 5-meC DNA glycosylase/lyases
actively remove 5-meC to counteract transcriptional gene silencing in a locus
specific manner, and have been suggested to maintain the expression of
transposons. However, it is unclear whether plant DNA demethylases can promote
the transposition of transposons. Here we report the functional characterization
of the DNA glycosylase/lyase DNG701 in rice. DNG701 encodes a large (1,812 amino
acid residues) DNA glycosylase domain protein. Recombinant DNG701 protein showed
5-meC DNA glycosylase and lyase activities in vitro. Knockout or knockdown of
DNG701 in rice plants led to DNA hypermethylation and reduced expression of the
retrotransposon Tos17. Tos17 showed less transposition in calli derived from
dng701 knockout mutant seeds compared with that in wild-type calli.
Overexpression of DNG701 in both rice calli and transgenic plants substantially
reduced DNA methylation levels of Tos17 and enhanced its expression. The
overexpression also led to more frequent transposition of Tos17 in calli. Our
results demonstrate that rice DNG701 is a 5-meC DNA glycosylase/lyase responsible
for the demethylation of Tos17 and this DNA demethylase plays a critical role in
promoting Tos17 transposition in rice calli.
PMID- 21896765
TI - Neural language networks at birth.
AB - The ability to learn language is a human trait. In adults and children, brain
imaging studies have shown that auditory language activates a bilateral
frontotemporal network with a left hemispheric dominance. It is an open question
whether these activations represent the complete neural basis for language
present at birth. Here we demonstrate that in 2-d-old infants, the language
related neural substrate is fully active in both hemispheres with a preponderance
in the right auditory cortex. Functional and structural connectivities within
this neural network, however, are immature, with strong connectivities only
between the two hemispheres, contrasting with the adult pattern of prevalent
intrahemispheric connectivities. Thus, although the brain responds to spoken
language already at birth, thereby providing a strong biological basis to acquire
language, progressive maturation of intrahemispheric functional connectivity is
yet to be established with language exposure as the brain develops.
PMID- 21896766
TI - Dopamine neurons learn to encode the long-term value of multiple future rewards.
AB - Midbrain dopamine neurons signal reward value, their prediction error, and the
salience of events. If they play a critical role in achieving specific distant
goals, long-term future rewards should also be encoded as suggested in
reinforcement learning theories. Here, we address this experimentally untested
issue. We recorded 185 dopamine neurons in three monkeys that performed a
multistep choice task in which they explored a reward target among alternatives
and then exploited that knowledge to receive one or two additional rewards by
choosing the same target in a set of subsequent trials. An analysis of
anticipatory licking for reward water indicated that the monkeys did not
anticipate an immediately expected reward in individual trials; rather, they
anticipated the sum of immediate and multiple future rewards. In accordance with
this behavioral observation, the dopamine responses to the start cues and
reinforcer beeps reflected the expected values of the multiple future rewards and
their errors, respectively. More specifically, when monkeys learned the multistep
choice task over the course of several weeks, the responses of dopamine neurons
encoded the sum of the immediate and expected multiple future rewards. The
dopamine responses were quantitatively predicted by theoretical descriptions of
the value function with time discounting in reinforcement learning. These
findings demonstrate that dopamine neurons learn to encode the long-term value of
multiple future rewards with distant rewards discounted.
PMID- 21896767
TI - Expression of the Sendai (murine parainfluenza) virus C protein alleviates
restriction of measles virus growth in mouse cells.
AB - Measles virus (MV), a human pathogen, uses the signaling lymphocyte activation
molecule (SLAM) or CD46 as an entry receptor. Although several transgenic mice
expressing these receptors have been generated as small animal models for
measles, these mice usually have to be made defective in IFN-alpha/beta signaling
to facilitate MV replication. Similarly, when functional receptors are expressed
by transfection, mouse cells do not allow MV growth as efficiently as primate
cells. In this study, we demonstrate that MV efficiently grows in SLAM-expressing
mouse cells in which the Sendai virus (SeV) C protein is transiently expressed.
We developed a SLAM-expressing mouse cell line whose genome also encodes the SeV
C protein downstream of the sequence flanked with loxP sequences. When this cell
line was infected with the recombinant MV expressing the Cre recombinase, the SeV
C protein was readily expressed. Importantly, the Cre recombinase-encoding MV
grew in this cell line much more efficiently than it did in the parental cell.
The minigenome assay demonstrated that the SeV C protein does not modulate MV RNA
synthesis. Analyses using the mutant proteins with the defined functional defects
revealed that the IFN-antagonist function, but not the budding-accelerating
function, of the SeV C protein was critical for supporting efficient MV growth in
mouse cells. Our results indicate that insufficient IFN antagonism can be an
important determinant of the host range of viruses, and the system described here
may be useful to overcome the species barrier of other human viruses.
PMID- 21896768
TI - Expression of the metabotropic glutamate receptor 5 (mGluR5) induces melanoma in
transgenic mice.
AB - Glutamate is the major excitatory neurotransmitter in the mammalian CNS and
mediates fast synaptic transmission upon activation of glutamate-gated ion
channels. In addition, glutamate modulates a variety of other synaptic responses
and intracellular signaling by activating metabotropic glutamate receptors
(mGluRs), which are G protein-coupled receptors. The mGluRs are also expressed in
nonneuronal tissues and are implicated in a variety of normal biological
functions as well as diseases. To study mGluR-activated calcium signaling in
neurons, we generated mGluR5 transgenic animals using a Thy1 promoter to drive
expression in the forebrain, and one founder unexpectedly developed melanoma. To
directly investigate the role of mGluR5 in melanoma formation, we generated
mGluR5 transgenic lines under a melanocyte-specific promoter, tyrosinase-related
protein 1. A majority of the founders showed a severe phenotype with early onset.
Hyperpigmentation of the pinnae and tail could be detected as early as 3-5 d
after birth for most of the mGluR5 transgene-positive mice. There was 100%
penetrance in the progeny from the tyrosinase-related protein 1-mGluR5 lines
generated from founders that developed melanoma. Expression of mGluR5 was
detected in melanoma samples by RT-PCR, immunoblotting, and immunohistochemistry.
We evaluated the expression of several cancer-related proteins in tumor samples
and observed a dramatic increase in the phosphorylation of ERK, implicating ERK
as a downstream effector of mGluR5 signaling in tumors. Our findings show that
mGluR5-mediated glutamatergic signaling can trigger melanoma in vivo. The
aggressive growth and severe phenotype make these mouse lines unique and a
potentially powerful tool for therapeutic studies.
PMID- 21896769
TI - AMP-activated protein kinase (AMPK) beta1beta2 muscle null mice reveal an
essential role for AMPK in maintaining mitochondrial content and glucose uptake
during exercise.
AB - AMP-activated protein kinase (AMPK) beta1 or beta2 subunits are required for
assembling of AMPK heterotrimers and are important for regulating enzyme activity
and cellular localization. In skeletal muscle, alpha2beta2gamma3-containing
heterotrimers predominate. However, compensatory up-regulation and redundancy of
AMPK subunits in whole-body AMPK alpha2, beta2, and gamma3 null mice has made it
difficult to determine the physiological importance of AMPK in regulating muscle
metabolism, because these models have normal mitochondrial content, contraction
stimulated glucose uptake, and insulin sensitivity. In the current study, we
generated mice lacking both AMPK beta1 and beta2 isoforms in skeletal muscle
(beta1beta2M-KO). beta1beta2M-KO mice are physically inactive and have a
drastically impaired capacity for treadmill running that is associated with
reductions in skeletal muscle mitochondrial content but not a fiber-type switch.
Interestingly, young beta1beta2M-KO mice fed a control chow diet are not obese or
insulin resistant but do have impaired contraction-stimulated glucose uptake.
These data demonstrate an obligatory role for skeletal muscle AMPK in maintaining
mitochondrial capacity and contraction-stimulated glucose uptake, findings that
were not apparent in mice with single mutations or deletions in muscle alpha,
beta, or gamma subunits.
PMID- 21896770
TI - Carcinogenic bacterial pathogen Helicobacter pylori triggers DNA double-strand
breaks and a DNA damage response in its host cells.
AB - The bacterial pathogen Helicobacter pylori chronically infects the human gastric
mucosa and is the leading risk factor for the development of gastric cancer. The
molecular mechanisms of H. pylori-associated gastric carcinogenesis remain ill
defined. In this study, we examined the possibility that H. pylori directly
compromises the genomic integrity of its host cells. We provide evidence that the
infection introduces DNA double-strand breaks (DSBs) in primary and transformed
murine and human epithelial and mesenchymal cells. The induction of DSBs depends
on the direct contact of live bacteria with mammalian cells. The infection
associated DNA damage is evident upon separation of nuclear DNA by pulse field
gel electrophoresis and by high-magnification microscopy of metaphase
chromosomes. Bacterial adhesion (e.g., via blood group antigen-binding adhesin)
is required to induce DSBs; in contrast, the H. pylori virulence factors
vacuolating cytotoxin A, gamma-glutamyl transpeptidase, and the cytotoxin
associated gene (Cag) pathogenicity island are dispensable for DSB induction. The
DNA discontinuities trigger a damage-signaling and repair response involving the
sequential ataxia telangiectasia mutated (ATM)-dependent recruitment of repair
factors--p53-binding protein (53BP1) and mediator of DNA damage checkpoint
protein 1 (MDC1)--and histone H2A variant X (H2AX) phosphorylation. Although most
breaks are repaired efficiently upon termination of the infection, we observe
that prolonged active infection leads to saturation of cellular repair
capabilities. In summary, we conclude that DNA damage followed by potentially
imprecise repair is consistent with the carcinogenic properties of H. pylori and
with its mutagenic properties in vitro and in vivo and may contribute to the
genetic instability and frequent chromosomal aberrations that are a hallmark of
gastric cancer.
PMID- 21896771
TI - Homeostatic plasticity drives tinnitus perception in an animal model.
AB - Hearing loss often results in tinnitus and auditory cortical map changes, leading
to the prevailing view that the phantom perception is associated with cortical
reorganization. However, we show here that tinnitus is mediated by a cortical
area lacking map reorganization. High-frequency hearing loss results in two
distinct cortical regions: a sensory-deprived region characterized by a decrease
in inhibitory synaptic transmission and a normal hearing region showing increases
in inhibitory and excitatory transmission and map reorganization. Hearing
lesioned animals displayed tinnitus with a pitch in the hearing loss range.
Furthermore, drugs that enhance inhibition, but not those that reduce excitation,
reversibly eliminated the tinnitus behavior. These results suggest that sensory
deprivation-induced homeostatic down-regulation of inhibitory synapses may
contribute to tinnitus perception. Enhancing sensory input through map
reorganization may plausibly alleviate phantom sensation.
PMID- 21896773
TI - Discovery of GAMA, a Plasmodium falciparum merozoite micronemal protein, as a
novel blood-stage vaccine candidate antigen.
AB - One of the solutions for reducing the global mortality and morbidity due to
malaria is multivalent vaccines comprising antigens of several life cycle stages
of the malarial parasite. Hence, there is a need for supplementing the current
set of malaria vaccine candidate antigens. Here, we aimed to characterize
glycosylphosphatidylinositol (GPI)-anchored micronemal antigen (GAMA) encoded by
the PF08_0008 gene in Plasmodium falciparum. Antibodies were raised against
recombinant GAMA synthesized by using a wheat germ cell-free system.
Immunoelectron microscopy demonstrated for the first time that GAMA is a
microneme protein of the merozoite. Erythrocyte binding assays revealed that GAMA
possesses an erythrocyte binding epitope in the C-terminal region and it binds a
nonsialylated protein receptor on human erythrocytes. Growth inhibition assays
revealed that anti-GAMA antibodies can inhibit P. falciparum invasion in a dose
dependent manner and GAMA plays a role in the sialic acid (SA)-independent
invasion pathway. Anti-GAMA antibodies in combination with anti-erythrocyte
binding antigen 175 exhibited a significantly higher level of invasion
inhibition, supporting the rationale that targeting of both SA-dependent and SA
independent ligands/pathways is better than targeting either of them alone. Human
sera collected from areas of malaria endemicity in Mali and Thailand recognized
GAMA. Since GAMA in P. falciparum is refractory to gene knockout attempts, it is
essential to parasite invasion. Overall, our study indicates that GAMA is a novel
blood-stage vaccine candidate antigen.
PMID- 21896772
TI - PATRIC: the comprehensive bacterial bioinformatics resource with a focus on human
pathogenic species.
AB - Funded by the National Institute of Allergy and Infectious Diseases, the
Pathosystems Resource Integration Center (PATRIC) is a genomics-centric
relational database and bioinformatics resource designed to assist scientists in
infectious-disease research. Specifically, PATRIC provides scientists with (i) a
comprehensive bacterial genomics database, (ii) a plethora of associated data
relevant to genomic analysis, and (iii) an extensive suite of computational tools
and platforms for bioinformatics analysis. While the primary aim of PATRIC is to
advance the knowledge underlying the biology of human pathogens, all publicly
available genome-scale data for bacteria are compiled and continually updated,
thereby enabling comparative analyses to reveal the basis for differences between
infectious free-living and commensal species. Herein we summarize the major
features available at PATRIC, dividing the resources into two major categories:
(i) organisms, genomes, and comparative genomics and (ii) recurrent integration
of community-derived associated data. Additionally, we present two experimental
designs typical of bacterial genomics research and report on the execution of
both projects using only PATRIC data and tools. These applications encompass a
broad range of the data and analysis tools available, illustrating practical uses
of PATRIC for the biologist. Finally, a summary of PATRIC's outreach activities,
collaborative endeavors, and future research directions is provided.
PMID- 21896774
TI - Role for the SRC family kinase Fyn in sphingolipid acquisition by chlamydiae.
AB - The bacterial obligate intracellular pathogen Chlamydia trachomatis replicates
within a membrane-bound vacuole termed the inclusion. From within this protective
environment, chlamydiae usurp numerous functions of the host cell to promote
chlamydial survival and replication. Here we utilized a small interfering RNA
(siRNA)-based screening protocol designed to identify host proteins involved in
the trafficking of sphingomyelin to the chlamydial inclusion. Twenty-six host
proteins whose deficiency significantly decreased sphingomyelin trafficking to
the inclusion and 16 proteins whose deficiency significantly increased
sphingomyelin trafficking to the inclusion were identified. The reduced
sphingomyelin trafficking caused by downregulation of the Src family tyrosine
kinase Fyn was confirmed in more-detailed analyses. Fyn silencing did not alter
sphingomyelin synthesis or trafficking in the absence of chlamydial infection but
reduced the amount of sphingomyelin trafficked to the inclusion in infected
cells, as determined by two independent quantitative assays. Additionally,
inhibition of Src family kinases resulted in increased cellular retention of
sphingomyelin and significantly decreased incorporation into elementary bodies of
both C. trachomatis and Chlamydophila caviae.
PMID- 21896775
TI - Comparative transcriptional study of the putative mannose donor biosynthesis
genes in virulent Mycobacterium tuberculosis and attenuated Mycobacterium bovis
BCG strains.
AB - Mycobacterium tuberculosis contains mannosylated cell wall components which are
important in macrophage recognition and response. The building block for the
mannosyl constituents of these components is GDP-mannose, which is synthesized
through a series of enzymes involved in the mannose donor biosynthesis pathway.
Nothing is known about the expression levels of the genes encoding these enzymes
during the course of infection. To generate transcriptional profiles for the
mannose donor biosynthesis genes from virulent M. tuberculosis and attenuated
Mycobacterium bovis BCG, bacteria were grown in broth culture and within human
macrophages. Our results with broth-grown bacteria show that there are
differences in expression of the selected genes between M. tuberculosis and BCG,
with increased expression of manC in M. tuberculosis and manA in BCG during
stationary-phase growth. Results for M. tuberculosis extracted from within
macrophages show that whiB2 is highly expressed and manB and manC are moderately
expressed during infection. Rv3256c, Rv3258c, and ppm1 have high expression
levels early and decreased expression as the infection progresses. Results with
BCG show that, as in M. tuberculosis, whiB2 is highly expressed throughout
infection, whereas there is either low expression or little change in expression
of the remaining genes studied. Overall, our results show that there is
differential regulation of expression of several genes in the mannose donor
biosynthesis pathway of M. tuberculosis and BCG grown in broth and within
macrophages, raising the possibility that the level of mannose donors may vary
during the course of infection and thereby impact the biosynthesis of mannose
containing cell wall molecules.
PMID- 21896776
TI - Role of interleukin-23 (IL-23) receptor signaling for IL-17 responses in human
Lyme disease.
AB - Interleukin-23 (IL-23) is known to play a crucial role in the development and
maintenance of T helper 17 cells. It has been previously demonstrated that IL-17
is involved in experimental Lyme arthritis, caused by Borrelia burgdorferi
bacteria. However, the precise role of the IL-23 receptor (IL-23R) for the B.
burgdorferi-induced IL-17 responses or human Lyme disease has not yet been
elucidated. IL-23R single nucleotide polymorphism (SNP) rs11209026 was genotyped
using the TaqMan assay. Functional studies were performed using peripheral blood
mononuclear cells, and cytokines were measured using enzyme-linked immunosorbent
assay (ELISA). Dose-dependent production of IL-23 and IL-17 by B. burgdorferi
could be observed. Interestingly, when IL-23 bioactivity was inhibited by a
specific antibody against IL-23p19, IL-17 production was significantly
downregulated. In contrast, production of gamma interferon (IFN-gamma) was not
affected after the blockade of IL-23 activity. Moreover, individuals bearing a
single nucleotide polymorphism in the IL-23R gene (Arg381Gln) produced
significantly less IL-17 after B. burgdorferi stimulation compared with that of
the individuals bearing the wild type. Despite lower IL-17 production, the IL-23R
gene polymorphism did not influence the development of chronic Lyme disease in a
cohort of patients with Lyme disease. This study demonstrates that IL-23R
signaling is needed for B. burgdorferi-induced IL-17 production in vitro and that
an IL-23R gene SNP leads to impaired IL-17 production. However, the IL-23R gene
polymorphism is not crucial for the pathogenesis of chronic Lyme.
PMID- 21896777
TI - Outer membrane protein A of bovine and ovine isolates of Mannheimia haemolytica
is surface exposed and contains host species-specific epitopes.
AB - Mannheimia haemolytica is the etiological agent of pneumonic pasteurellosis of
cattle and sheep; two different OmpA subclasses, OmpA1 and OmpA2, are associated
with bovine and ovine isolates, respectively. These proteins differ at the distal
ends of four external loops, are involved in adherence, and are likely to play
important roles in host adaptation. M. haemolytica is surrounded by a
polysaccharide capsule, and the degree of OmpA surface exposure is unknown. To
investigate surface exposure and immune specificity of OmpA among bovine and
ovine M. haemolytica isolates, recombinant proteins representing the
transmembrane domain of OmpA from a bovine serotype A1 isolate (rOmpA1) and an
ovine serotype A2 isolate (rOmpA2) were overexpressed, purified, and used to
generate anti-rOmpA1 and anti-rOmpA2 antibodies, respectively. Immunogold
electron microscopy and immunofluorescence techniques demonstrated that OmpA1 and
OmpA2 are surface exposed, and are not masked by the polysaccharide capsule, in a
selection of M. haemolytica isolates of various serotypes and grown under
different growth conditions. To explore epitope specificity, anti-rOmpA1 and anti
rOmpA2 antibodies were cross-absorbed with the heterologous isolate to remove
cross-reacting antibodies. These cross-absorbed antibodies were highly specific
and recognized only the OmpA protein of the homologous isolate in Western blot
assays. A wider examination of the binding specificities of these antibodies for
M. haemolytica isolates representing different OmpA subclasses revealed that
cross-absorbed anti-rOmpA1 antibodies recognized OmpA1-type proteins but not
OmpA2-type proteins; conversely, cross-absorbed anti-rOmpA2 antibodies recognized
OmpA2-type proteins but not OmpA1-type proteins. Our results demonstrate that
OmpA1 and OmpA2 are surface exposed and could potentially bind to different
receptors in cattle and sheep.
PMID- 21896778
TI - Induction of the alternative NF-kappaB pathway by lymphotoxin alphabeta
(LTalphabeta) relies on internalization of LTbeta receptor.
AB - Several tumor necrosis factor receptor (TNFR) family members activate both the
classical and the alternative NF-kappaB pathways. However, how a single receptor
engages these two distinct pathways is still poorly understood. Using lymphotoxin
beta receptor (LTbetaR) as a prototype, we showed that activation of the
alternative, but not the classical, NF-kappaB pathway relied on internalization
of the receptor. Further molecular analyses revealed a specific cytosolic region
of LTbetaR essential for its internalization, TRAF3 recruitment, and p100
processing. Interestingly, we found that dynamin-dependent, but clathrin
independent, internalization of LTbetaR appeared to be required for the
activation of the alternative, but not the classical, NF-kappaB pathway. In vivo,
ligand-induced internalization of LTbetaR in mesenteric lymph node stromal cells
correlated with induction of alternative NF-kappaB target genes. Thus, our data
shed light on LTbetaR cellular trafficking as a process required for specific
biological functions of NF-kappaB.
PMID- 21896779
TI - Feedback control of p53 translation by REDD1 and mTORC1 limits the p53-dependent
DNA damage response.
AB - Exquisite control of the level and activity of p53 are required in order to
preserve cellular homeostasis following DNA damage. How this regulation is
integrated with other key metabolic pathways in vivo is poorly understood. Here,
we describe an endogenous feedback circuit for regulation of p53 through its
transcriptional target gene, Redd1, a stress-induced inhibitor of TOR complex 1
(TORC1) activity. Cells and tissues of Redd1(-/-) mice exhibit enhanced
sensitivity to ionizing radiation and chemotherapy treatment, which we
demonstrate is attributable to abnormally increased p53 protein level and
activity in the absence of Redd1. We find that deregulation of p53 in this
setting is not due to failed DNA repair or to increased p53 stabilization but,
instead, to increased p53 translation. We show that Redd1 loss leads to elevated
mammalian TORC1 (mTORC1) activity, which explains the increased p53 translation
and protein levels. Together, these findings suggest that REDD1-mediated
suppression of mTORC1 activity exerts feedback control on p53, thereby limiting
the apoptotic response and contributing to cellular survival following DNA
damage. This work therefore defines a role for REDD1 in the control of p53 in
vivo, with potential therapeutic implications for cancer and for the variety of
genetic diseases involving TOR pathway signaling components.
PMID- 21896780
TI - Map2k4 functions as a tumor suppressor in lung adenocarcinoma and inhibits tumor
cell invasion by decreasing peroxisome proliferator-activated receptor gamma2
expression.
AB - MAP2K4 encodes a dual-specificity kinase (mitogen-activated protein kinase kinase
4, or MKK4) that is mutated in a variety of human malignancies, but the
biochemical properties of the mutant kinases and their roles in tumorigenesis
have not been fully elucidated. Here we showed that 8 out of 11 cancer-associated
MAP2K4 mutations reduce MKK4 protein stability or impair its kinase activity. On
the basis of findings from bioinformatic studies on human cancer cell lines with
homozygous MAP2K4 loss, we posited that MKK4 functions as a tumor suppressor in
lung adenocarcinomas that develop in mice owing to expression of mutant Kras and
Tp53. Conditional Map2k4 inactivation in the bronchial epithelium of mice had no
discernible effect alone but increased the multiplicity and accelerated the
growth of incipient lung neoplasias induced by oncogenic Kras. MKK4 suppressed
the invasion and metastasis of Kras-Tp53-mutant lung adenocarcinoma cells. MKK4
deficiency increased peroxisomal proliferator-activated receptor gamma2
(PPARgamma2) expression through noncanonical MKK4 substrates, and PPARgamma2
enhanced tumor cell invasion. We conclude that Map2k4 functions as a tumor
suppressor in lung adenocarcinoma and inhibits tumor cell invasion by decreasing
PPARgamma2 levels.
PMID- 21896781
TI - Evolution of nucleosome occupancy: conservation of global properties and
divergence of gene-specific patterns.
AB - To examine the role of nucleosome occupancy in the evolution of gene expression,
we measured the genome-wide nucleosome profiles of four yeast species, three
belonging to the Saccharomyces sensu stricto lineage and the more distantly
related Candida glabrata. Nucleosomes and associated promoter elements at C.
glabrata genes are typically shifted upstream by ~20 bp, compared to their
orthologs from sensu stricto species. Nonetheless, all species display the same
global organization features first described for Saccharomyces cerevisiae: a
stereotypical nucleosome organization along genes and a division of promoters
into those that contain or lack a pronounced nucleosome-depleted region (NDR),
with the latter displaying a more dynamic pattern of gene expression. Despite
this global similarity, however, nucleosome occupancy at specific genes diverged
extensively between sensu stricto and C. glabrata orthologs (~50 million years).
Orthologs with dynamic expression patterns tend to maintain their lack of NDR,
but apart from that, sensu stricto and C. glabrata orthologs are nearly as
similar in nucleosome occupancy patterns as nonorthologous genes. This extensive
divergence in nucleosome occupancy contrasts with a conserved pattern of gene
expression. Thus, while some evolutionary changes in nucleosome occupancy
contribute to gene expression divergence, nucleosome occupancy often diverges
extensively with apparently little impact on gene expression.
PMID- 21896782
TI - Essential roles of ECAT15-2/Dppa2 in functional lung development.
AB - Many transcription factors and DNA binding proteins play essential roles in the
development of organs in which they are highly and/or specifically expressed.
Embryonic stem cell (ESC)-associated transcript 15-1 (ECAT15-1) and ECAT15-2,
also known as developmental pluripotency-associated 4 (Dppa4) and Dppa2,
respectively, are enriched in mouse ESCs and preimplantation embryos, and their
genes encode homologous proteins with a common DNA binding domain known as the
SAP motif. Previously, ECAT15-1 was shown to be important in lung development,
while it is dispensable in early development. In this study, we generated ECAT15
2 single and ECAT15-1 ECAT15-2 double knockout (double KO) mice and found that
almost all mutants, like ECAT15-1 mutants, died around birth with respiratory
defects. Paradoxically, the expression of neither ECAT15-1 nor ECAT15-2 was
detected in lung organogenesis. Several genes, such as Nkx2-5, Gata4, and Pitx2,
were downregulated in the ECAT15-2-null lung. On the other hand, genomic DNA of
these genes showed inactive chromatin statuses in ECAT15-2-null ESCs, but not in
wild-type ESCs. The chromatin immunoprecipitation (ChIP) assay revealed that
ECAT15-2 binds to the regulatory region of Nkx2-5 in ESCs. These data suggest
that ECAT15-2 has important roles in lung development, where it is no longer
expressed, by leaving epigenetic marks from earlier developmental stages.
PMID- 21896784
TI - Motor chip: a comparative genomic hybridization microarray for copy-number
mutations in 245 neuromuscular disorders.
AB - BACKGROUND: Array-based comparative genomic hybridization (aCGH) is a reference
high-throughput technology for detecting large pathogenic or polymorphic copy
number variations in the human genome; however, a number of quantitative
monogenic mutations, such as smaller heterozygous deletions or duplications, are
usually missed in most disease genes when proper multiplex ligation-dependent
probe assays are not performed. METHODS: We developed the Motor Chip, a
customized CGH array with exonic coverage of 245 genes involved in neuromuscular
disorders (NMDs), as well as 180 candidate disease genes. We analyzed DNA samples
from 26 patients with known deletions or duplications in NMDs, 11 patients with
partial molecular diagnoses, and 19 patients with a clinical diagnosis alone.
RESULTS: The Motor Chip efficiently confirmed and refined the copy-number
mutations in all of the characterized patients, even when only a single exon was
involved. In noncharacterized or partially characterized patients, we found
deletions in the SETX (senataxin), SGCG [sarcoglycan, gamma (35kDa dystrophin
associated glycoprotein)], and LAMA2 (laminin, alpha 2) genes, as well as
duplications involving LAMA2 and the DYSF [dysferlin, limb girdle muscular
dystrophy 2B (autosomal recessive)] locus. CONCLUSIONS: The combination of exon
specific gene coverage and optimized platform and probe selection makes the Motor
Chip a complementary tool for molecular diagnosis and gene investigation in
neuromuscular diseases.
PMID- 21896783
TI - IRE1-dependent activation of AMPK in response to nitric oxide.
AB - While there can be detrimental consequences of nitric oxide production at
pathological concentrations, eukaryotic cells have evolved protective mechanisms
to defend themselves against this damage. The unfolded-protein response (UPR),
activated by misfolded proteins and oxidative stress, is one adaptive mechanism
that is employed to protect cells from stress. Nitric oxide is a potent activator
of AMP-activated protein kinase (AMPK), and AMPK participates in the cellular
defense against nitric oxide-mediated damage in pancreatic beta-cells. In this
study, the mechanism of AMPK activation by nitric oxide was explored. The known
AMPK kinases LKB1, CaMKK, and TAK1 are not required for the activation of AMPK by
nitric oxide. Instead, this activation is dependent on the endoplasmic reticulum
(ER) stress-activated protein IRE1. Nitric oxide-induced AMPK phosphorylation and
subsequent signaling to AMPK substrates, including Raptor, acetyl coenzyme A
carboxylase, and PGC-1alpha, is attenuated in IRE1alpha-deficient cells. The
endoribonuclease activity of IRE1 appears to be required for AMPK activation in
response to nitric oxide. In addition to nitric oxide, stimulation of IRE1
endoribonuclease activity with the flavonol quercetin leads to IRE1-dependent
AMPK activation. These findings indicate that the RNase activity of IRE1
participates in AMPK activation and subsequent signaling through multiple AMPK
dependent pathways in response to nitrosative stress.
PMID- 21896785
TI - A review of patient safety measures based on routinely collected hospital data.
AB - The literature on patient safety measures derived from routinely collected
hospital data was reviewed to inform indicator development. MEDLINE and Embase
databases and Web sites were searched. Of 1738 citations, 124 studies describing
the application, evaluation, or validation of hospital-based medical error or
complication of care measures were reviewed. Studies were frequently conducted in
the United States (n = 88) between 2005 and 2009 (n = 77) using Agency for
Healthcare Research and Quality patient safety indicators (PSIs; n = 79). The
most frequently cited indicators included "postoperative hemorrhage or hematoma"
and "accidental puncture and laceration." Indicator refinement is supported by
international coding algorithm translations but is hampered by data issues,
including coding inconsistencies. The validity of PSIs and similar adverse event
screens beyond internal measurement and the effects of organizational factors on
patient harm remain uncertain. Development of PSIs in ambulatory care settings,
including general practice and psychiatric care, needs consideration.
PMID- 21896786
TI - Quality improvement "201": context-relevant quality improvement leadership
training for the busy clinician-educator.
AB - Development of quality improvement (QI) skills and leadership for busy clinician
educators in academic medical centers is increasingly necessary, although it is
challenging given limited resources. In response, the authors developed the
Quality Scholars program for primary care teaching faculty. They conducted a
needs assessment, evaluated existing internal and national resources, and
developed a 9-month, 20-session project-based curriculum that combines didactic
and hands-on techniques with facilitated project discussion. They also conducted
pre-post tests of knowledge and attitudes, and evaluations of each session,
scholars' projects, and program sustainability and costs. In all, 10 scholars
from all 3 generalist disciplines comprised the first class. A wide spectrum of
previous experiences enhanced collaboration. QI knowledge increased slightly, and
reported self-readiness to lead QI projects increased markedly. Protected time
for project work and group discussion of QI topics was seen as essential. All 10
scholars completed projects and presented results. Institutional leadership
agreed to sustain the program using institutional funds.
PMID- 21896787
TI - The Mayo Clinic Value Creation System.
AB - The authors present Mayo Clinic's Value Creation System, a coherent systems
engineering approach to delivering a single high-value practice. There are 4
tightly linked, interdependent phases of the system: alignment, discovery,
managed diffusion, and measurement. The methodology is described and examples of
the results to date are presented. The Value Creation System has been
demonstrated to improve the quality of patient care while reducing costs and
increasing productivity.
PMID- 21896788
TI - Can response to induction chemotherapy be a predictive marker for ultimate
outcome in hypopharyngeal cancer?
AB - OBJECTIVE: Induction chemotherapy (ICT) may reduce rates of distant metastases
and enhance organ preservation and survival rates in patients with hypopharyngeal
cancer. The authors compared survival data in patients who underwent
chemoradiotherapy or surgery after ICT and investigated whether response to ICT
is a predictive marker for outcome in patients with hypopharyngeal cancer. STUDY
DESIGN: Historical cohort study. SETTING: Tertiary-care hospital. SUBJECTS AND
METHODS: The authors enrolled 97 patients (89 men, 8 women; mean age 61.2 years;
range, 29-80 years) with previously untreated hypopharyngeal cancer who underwent
ICT between January 1997 and December 2006 at Asan Medical Center. Disease-free
survival (DFS), overall survival (OS), and laryngectomy-free survival (LFS) were
analyzed. RESULTS: At a mean follow-up of 38.0 months, the 3-year DFS and OS for
all patients were 48.3% and 49.2%, respectively. The 3-year LFS rate of patients
who underwent nonsurgical therapy (n = 85) after ICT was 48.0%. Only response to
ICT was associated with DFS (P = .047), OS (P = .003), and LFS (P = .009) in
multivariate analysis. When the authors compared survival data in patients who
underwent surgical and nonsurgical treatments after ICT, they found that there
was no statistical difference in terms of the 3-year DFS in partial response
(42.9% vs 50.5%, P = .77) and nonresponse groups (50.0% vs 0%, P = .43) between
the 2 treatment types. CONCLUSION: ICT permits assessment of tumor responsiveness
and alters subsequent therapy accordingly. Response to ICT may be useful in the
prediction of ultimate outcomes and organ conservation in patients with
hypopharyngeal cancer.
PMID- 21896789
TI - Biosynthesis and identification of an N-oxide/N-glucuronide metabolite and first
synthesis of an N-O-glucuronide metabolite of Lu AA21004.
AB - This article describes the biosynthesis and identification of a new class of
metabolites, a piperazine N-oxide/N-glucuronide metabolite 4-[2-(2,4-dimethyl
phenylsulfanyl)-phenyl]-1-beta-D-glucuronic acid-piperazine 1-oxide (4). The
metabolite was found in urine and plasma from humans and animals dosed with 1-[2
(2,4-dimethyl-phenylsulfanyl)-phenyl]-piperazine hydrobromide (Lu AA21004, 1), as
a novel multimodal antidepressant under development for treatment of depression.
Human liver microsomes in combination with uridine 5'-diphosphoglucuronic acid
were used as an in vitro system to generate enough material of 4 to perform one-
and two-dimensional (1)H and (13)C NMR experiments for structure elucidation.
Based on rotating frame Overhauser enhancement spectroscopy NMR experiments, the
distance correlation between a piperazine proton and the anomeric proton of the
glucuronic acid moiety is of a magnitude similar to that of the H-3' and H-5'
protons and can only be explained by proximity in space and the postulated
structure (4). The structural analog, the N-O-glucuronic acid conjugate 6-{4-[2
(2,4-dimethyl-phenylsulfanyl)-phenyl]-piperazin-1-yloxy}-1-beta-D-glucuronic acid
(3) was also observed in biological samples from humans and animals and the first
organic synthesis and structural identification of this metabolite is also
reported. Treatment of the glucuronide metabolites 3 and 4 with beta
glucuronidase gave mainly the expected hydrolysis product, the hydroxyl amine 4
[2-(2,4-dimethyl-phenylsulfanyl)-phenyl]-piperazin-1-ol (2).
PMID- 21896790
TI - Twenty-five years of hidden profiles in group decision making: a meta-analysis.
AB - This meta-analysis summarized findings from 65 studies using the hidden profile
paradigm (101 independent effects, 3,189 groups). Results showed (a) groups
mentioned two standard deviations more pieces of common information than unique
information; (b) hidden profile groups were eight times less likely to find the
solution than were groups having full information; (c) two measures of
information pooling, including the percentage of unique information mentioned out
of total available information (the information coverage measure) and the
percentage of unique information out of total discussion (the discussion focus
measure), were positively related to decision quality, but the effect of
information coverage was stronger than that of discussion focus; and
communication medium did not affect (d) unique information pooling or (e) group
decision quality. Group size, total information load, the proportion of unique
information, task demonstrability, and hidden profile strength were found to
moderate these effects. Results are discussed in terms of how they offer
conceptual advancement for future hidden profile research.
PMID- 21896791
TI - The role of glucose in self-control: another look at the evidence and an
alternative conceptualization.
AB - The strength model suggests that self-control relies on a limited resource. One
candidate for this resource is glucose. Counter to the proposals of the glucose
hypothesis, this study argues that the resource issue is one of allocation, not
of limited supply. It addresses the argument from three perspectives: the
evolution of mental processes at the species level, the adaptation of these same
processes at the individual level, and the physiology of glucose transport. It is
argued here that the brain has both sufficient resources and resource delivery
mechanisms with which to support self-control but that these resources are
allocated in accordance with personal priorities. As an alternative to the
limited resource model, the current study proposes a resource-allocation model of
self-control and presents several testable hypotheses.
PMID- 21896792
TI - Adult ascaris worm passing from the mouth.
PMID- 21896793
TI - A truly emerging intestinal parasitosis.
PMID- 21896794
TI - South-to-North, cross-disciplinary training in global health practice: ten years
of lessons learned from an infectious disease field course in Jamaica.
AB - Global commerce, travel, and emerging and resurging infectious diseases have
increased awareness of global health threats and opportunities for collaborative
and service learning. We review course materials, knowledge archives, data
management archives, and student evaluations for the first 10 years of an
intensive summer field course in infectious disease epidemiology and surveillance
offered in Jamaica. We have trained 300 students from 28 countries through
collaboration between the University of the West Indies and U.S. partner
universities. Participants were primarily graduate students in public health, but
also included health professionals with terminal degrees, and public health
nurses and inspectors. Strong institutional synergies, committed faculty, an
emphasis on scientific and cultural competencies, and use of team-based field
research projects culminate in a unique training environment that provides
participants with career-developing experiences. We share lessons learned over
the past decade, and conclude that South-to-North leadership is critical in
shaping transdisciplinary, cross-cultural, global health practice.
PMID- 21896795
TI - Impact of global health residency training on medical knowledge of immigrant
health.
AB - Lack of global health knowledge places immigrants at risk of iatrogenic
morbidity. Although global health education programs have grown in popularity,
measurable impact is lacking. We previously surveyed 363 physicians in training
across 15 programs in four countries in 2004 regarding basic parasite knowledge
and recognition of Strongyloides risk through a theoretical case scenario. In
2005, the University of Minnesota implemented a formal global health training
program (GHP). In 2009, the identical survey was repeated. Strongyloidiasis
recognition increased from 11.1% (19/171) in 2004 to 39.4% (50/127) in 2009 (P <
0.001). Trainees participating in formal didactic and interactive curriculum had
superior recognition (77% versus 29%; P < 0.001). In a multivariate model of GHP
training activities, participation in an American Society of Tropical Medicine
and Hygiene-accredited global health certificate course increased recognition
(odds ratio = 9.5, 95% confidence interval = 2.5-36, P = 0.001), whereas
participation in international electives alone did not (P = 0.9). A formal GHP
curriculum was associated with improved knowledge regarding common parasitic
infections and the risk of iatrogenic morbidity and mortality due to
strongyloidiasis.
PMID- 21896796
TI - Review and assessment of the American Society of Tropical Medicine and Hygiene
travel awards program, 1991-2010.
AB - During 1991-2010, 456 persons from 62 countries were provided financial support
to attend the annual meeting of the American Society of Tropical Medicine and
Hygiene. Winners came from 17 African, 16 Asia-Pacific, 14 Latin American and
Caribbean, and 13 European and Middle Eastern countries, and from Canada and the
United States. Virtually equal numbers of awards were offered to women and men.
Winners were selected from U.S. academic centers (30%), foreign universities
(26%), international centers, institutes or research units (30%), and
approximately 5% from U.S. government agencies. Almost all winners (73 of 76,
96%) had scientific publications subsequent to receiving the travel award. Less
than 10% of award winners continued their membership in the Society after their
one-year complementary membership. Winners indicated that the travel awards
program facilitated international exchange and fostered collaborations between
Society members and international scientists.
PMID- 21896797
TI - Maternal anemia in Benin: prevalence, risk factors, and association with low
birth weight.
AB - We studied the prevalence of anemia during pregnancy and its relationship with
low birth weight (LBW; birth weight < 2,500 g) in Benin. We analyzed 1,508
observations from a randomized controlled trial conducted from 2005 to 2008
showing equivalence on the risk of LBW between two drugs for Intermittent
Preventive Treatment of malaria during pregnancy (IPTp). Despite IPTp, helminth
prophylaxis, and iron and folic acid supplementations, the proportions of women
with severe anemia (hemoglobin [Hb] concentration < 80 g/L) and anemia (Hb < 110
g/L) were high throughout pregnancy: 3.9% and 64.7% during the second and 3.7%
and 64.1% during the third trimester, but 2.5% and 39.6% at the onset of labor,
respectively. Compared with women without anemia (Hb >= 110 g/L) during the third
trimester, women with severe anemia (Hb < 80 g/L) were at higher risk of LBW
after adjustment for potential confounding factors (prevalence ratio [PR] = 2.8;
95% confidence interval [1.4-5.6]).
PMID- 21896798
TI - Competency of reptiles and amphibians for eastern equine encephalitis virus.
AB - Eastern equine encephalitis virus (EEEV) is endemic throughout most of the
eastern United States. Although it is transmitted year round in Florida,
transmission elsewhere is seasonal. The mechanism that enables EEEV to overwinter
in seasonal foci remains obscure. In previous field studies, early season EEEV
activity was detected in mosquito species that feed primarily upon ectothermic
hosts, suggesting that reptiles and amphibians might represent overwintering
reservoir hosts for EEEV. To determine if this might be possible, two commonly
fed upon amphibian and reptile species were evaluated as hosts for the North
American subtype I strain of EEEV. Neither amphibian species was a competent
host. However, circulating viremias were detected in both reptile species
examined. Hibernating infected garter snakes remained viremic after exiting
hibernation. These data suggest that snakes may represent an overwintering host
for North American EEEV.
PMID- 21896799
TI - West Nile virus vector competency of Culex quinquefasciatus mosquitoes in the
Galapagos Islands.
AB - The mosquito-transmitted pathogen West Nile virus (WNV) is not yet present in the
Galapagos Archipelago of Ecuador. However, concern exists for fragile endemic
island fauna after population decreases in several North American bird species
and pathology in certain reptiles. We examined WNV vector competency of a
Galapagos strain of mosquito (Culex quinquefasciatus Say). Field specimens were
tested for their capacity to transmit the WN02-1956 strain of WNV after
incubation at 27 degrees C or 30 degrees C. Rates of infection, dissemination,
and transmission all increased with days post-exposure to WNV, and the highest
rates were observed at 28 days. Infection rates peaked at 59% and transmission
rates peaked at 44% (of mosquitoes tested). Vector efficiency increased after day
14. Rates of infection but not of transmission were significantly influence by
temperature. No vertical transmission was detectable. We demonstrate that
Galapagos Cx. quinquefasciatus are competent WNV vectors, and therefore should be
considered an animal and public health risk for the islands and controlled
wherever possible.
PMID- 21896800
TI - Reexamination of Culex pipiens hybridization zone in the Eastern United States by
ribosomal DNA-based single nucleotide polymorphism markers.
AB - Mosquitoes in the Culex pipiens complex are important vectors of several disease
causing pathogens, including West Nile virus. In North America, the complex
consists of Cx. pipiens pipiens form pipiens, Cx. pipiens pipiens form molestus,
Cx. pipiens quinquefasciatus, and their hybrids that exhibit substantial
diversity in physiology, behavior, and geographic range. Hybridization among
these mosquitoes is of concern because of potential implications for disease
transmission. Currently, several morphological and molecular markers exist for
differentiating members of the Cx. pipiens complex; however, these markers have
specific limitations. We report here two highly reliable ribosomal DNA-based
single nucleotide polymorphism (SNP) markers, CxpG2T and CxpA2d, for detecting
Cx. pipiens complex mosquitoes containing Cx. p. quinquefasciatus alleles. Both
CxpG2T and CxpA2d contain one allele that is present in all members of the Cx.
pipiens complex, and the other allele is specific to Cx. p. quinquefasciatus.
Testing of field populations from the eastern United States showed that these two
SNP markers are capable of identifying a south to north gradient of Cx. p.
quinquefasciatus and hybrids. The northern limit of detection of Cx. p.
quinquefasciatus alleles in this study was in Fort Totten, NY (40.79 degrees N),
whereas the southern boundary was determined between Atlanta, GA (33.81 degrees
N) and Gainesville, FL (29.64 degrees N). CxpG2T and CxpA2d were more accurate
than the ACE-2 marker, and they may conceivably provide comparable resolution
with microsatellite markers for detecting Cx. p. quinquefasciatus alleles.
PMID- 21896801
TI - Circulation of diverse genotypes of Tahyna virus in Xinjiang, People's Republic
of China.
AB - Tahyna virus (TAHV) is widely distributed in Europe and Asia. A previous study
reported a high level of conservation of the TAHV genome in isolates from Europe.
During 2006 and 2007, three Tahyna virus isolates from mosquitoes were obtained
from various locations in Xinjiang, People's Republic of China. We analyzed the
complete coding sequence of full-length small, medium, and large segments of
these isolates. Molecular and phylogenetic analyses of the three complete TAHV
genomes showed that sequence identity between isolates from China and Europe was
more divergent, and an unexpected level of medium segment diversity was found
among isolates from China compared with high levels of sequence conservation for
the small and large segments. This study indicated that effects of genotypic
diversity on the ecology, transmission, and pathogenicity of TAHV in China should
be studied.
PMID- 21896802
TI - Vector competence of Australian mosquitoes for yellow fever virus.
AB - The vector competence of Australian mosquitoes for yellow fever virus (YFV) was
evaluated. Infection and transmission rates in Cairns and Townsville populations
of Aedes aegypti and a Brisbane strain of Ae. notoscriptus were not significantly
different from a well-characterized YFV-susceptible strain of Ae. aegypti. After
exposure to 107.2 tissue culture infectious dose (TCID50)/mL of an African strain
of YFV, > 70% of Ae. aegypti and Ae. notoscriptus became infected, and > 50%
transmitted the virus. When exposed to 106.7) TCID50/mL of a South American
strain of YFV, the highest infection (64%) and transmission (56%) rates were
observed in Ae. notoscriptus. The infection and transmission rates in the Cairns
Ae. aegypti were both 24%, and they were 36% and 28%, respectively, for the
Townsville population. Because competent vectors are present, the limited number
of travelers from endemic areas and strict vaccination requirements will
influence whether YFV transmission occurs in Australia.
PMID- 21896803
TI - Demographic and clinical characteristics of patients with anaphylactic shock
after surgery for cystic echinococcosis.
AB - We reviewed the records of 446 patients who were treated surgically for cystic
echinococcosis (CE) to identify risk factors for anaphylactic shock. Of 446
patients, 10 had final diagnoses of anaphylactic shock induced by CE; none died.
The incidence of anaphylactic shock was significantly higher in younger age
groups (P < 0.001) and in patients with pulmonary cysts. Anaphylactic shock
induced by CE appears to differ from type I immediate hypersensitivity shock,
which suggests that in CE, shock may be caused by a combination of immediate
hypersensitivity and endotoxic shock. This possibility suggests that additional
precautions should be taken during surgery. These precautions include reducing
intracystic pressure, which would prevent possible leaked liquid from reaching
other organs by surrounding the cyst with sterile gauze and decrease the chance
of spreading the echinococcus; preventing antigen from contacting other tissues
where it might trigger anaphylaxis; and resecting the cyst completely when
feasible.
PMID- 21896804
TI - A case of pulmonary and hepatic cystic Echinococcosis of CE1 stage in a healthy
Japanese female that was suspected to have been acquired during her stay in the
United Kingdom.
AB - We herein report a case of a young Japanese female who was confirmed to have
cystic echinococcosis (CE) 1 stage based on the World Health Organization
Informal Working Group on Echinococcosis pathological classification of CE, and
she was also suspected to be infected with eggs of the G1 Echinococcus granulosus
sensu stricto during her stay in the United Kingdom and therefore, suffered from
synchronous pulmonary and hepatic CE. Oral albendazole was administered
initially, but rupture of a lung hydatid cyst was observed. To avoid additional
rupture, we performed two surgeries. CE is very rare in Japan; all CE cases in
Japan during the past two decades have been confirmed to be imported, and almost
all cases are hepatic CE. This case is the first case report of a Japanese
patient who had concomitant giant lung and liver CE with early-stage CE1 and was
successfully treated by surgery and pharmacotherapy with a serological follow-up.
PMID- 21896805
TI - Short report: A calcified Taenia solium granuloma associated with recurrent
perilesional edema causing refractory seizures: histopathological features.
AB - We describe the first detailed histological description of an excised calcified
Taenia solium granuloma from a patient who developed recurrent seizures
associated with perilesional edema surrounding a calcified cysticercus (PEC). The
capsule, around a degenerated cysticercus, contained marked mononuclear
infiltrates that extended to adjacent brain, which showed marked astrocytosis,
microgliosis, and inflammatory perivascular infiltrates. The presence of large
numbers of mononuclear cells supports an inflammatory cause of PEC.
Immunosuppression or anti-inflammatory measures may be able to treat and prevent
PEC and recurrent seizures.
PMID- 21896806
TI - Serum antibody responses to polymorphic Cryptosporidium mucin antigen in
Bangladeshi children with cryptosporidiosis.
AB - Cryptosporidium is a significant cause of diarrheal disease in children in
developing countries. The sporozoite antigen Muc4 is important for infection of
host cells, and could be a candidate vaccine antigen. However, this antigen is
polymorphic between Cryptosporidium hominis and C. parvum. We investigated
antibody responses to C. hominis Muc4 and C. parvum Muc4 antigen in children in
Bangladesh infected with C. hominis. Antibody responses were compared between
children with cryptosporidial diarrhea (cases) and uninfected children with
diarrhea (controls). There was a significant IgM response to Muc4 from both
species in cases compared with controls, which increased over time, and was
higher in children with persistent diarrhea. Despite sequence polymorphisms,
antibody responses to C. hominis Muc4 and C. parvum Muc4 were significantly
correlated. These results suggest that the human antibody response to Muc4 is
cross-reactive between species, but in young children does not mature to an IgG
response within the period observed in this study.
PMID- 21896807
TI - Leptospirosis outbreak in Sri Lanka in 2008: lessons for assessing the global
burden of disease.
AB - Global leptospirosis disease burden estimates are hampered by the lack of
scientifically sound data from countries with probable high endemicity and
limited diagnostic capacities. We describe the seroepidemiologic and clinical
characteristics of the leptospirosis outbreak in 2008 in Sri Lanka.
Definitive/presumptive case definitions proposed by the World Health Organization
Leptospirosis Epidemiology Reference Group were used for case confirmation. Of
the 404 possible cases, 155 were confirmed to have leptospirosis. Highest titers
of patient seum samples reacted with serovars Pyrogenes (28.7%), Hardjo (18.8%),
Javanica (11.5%), and Hebdomadis (11.5%). Sequencing of the 16S ribosomal DNA
gene identified six infections: five with Leptospira interrogans and one with L.
weilli. In this patient population, acute renal failure was the main complication
(14.8%), followed by myocarditis (7.1%) and heart failure (3.9%). The case
fatality rate was 1.3%. This report strengthens the urgent need for increasing
laboratory diagnostic capabilities to determine the causes of epidemic and
endemic infectious diseases in Sri Lanka, a finding relevant to other tropical
regions.
PMID- 21896808
TI - Different patterns in a cohort of patients with severe leptospirosis (Weil
syndrome): effects of an educational program in an endemic area.
AB - The aim of this study is to investigate the changes in clinical pattern and
therapeutic measures in leptospirosis-associated acute kidney injury; a
retrospective study with 318 patients in Brazil. Patients were divided according
to the time of admission: 1985-1996 (group I) and 1997-2010 (group II). Patients
were younger in group I (36 +/- 13 versus 41 +/- 16 years, P = 0.005) and the
numbers of oliguria increased (21% versus 41% in group II, P = 0.014). Higher
frequency of lung manifestations was observed in group II (P < 0.0001). Although
increased severity, there was a significant reduction in mortality (20% in group
I versus 12% in group II, P = 0.03). Mortality was associated with advanced age,
low diastolic blood pressure, oliguria, arrhythmia, and peritoneal dialysis,
besides a trend to better mortality with penicillin administration. Leptospirosis
is occurring in an older population, with a higher number of oliguria and lung
manifestations. However, mortality is decreasing and can be the result of changes
in treatment.
PMID- 21896809
TI - Evaluation of Pichia pastoris-expressed recombinant rhoptry protein 2 of
Toxoplasma gondii for its application in diagnosis of toxoplasmosis.
AB - Rhoptry protein 2 (ROP2) of Toxoplasma gondii is a rhoptry-secreted protein that
plays a critical role in parasitophorous vacuole membrane formation during
invasion. In previous studies, ROP2 has been shown to be efficient in triggering
humoral and cell-mediated responses. High immunogenicity of ROP2 makes it a
potential candidate for diagnosis and vaccination against toxoplasmosis. In this
study, the ROP2 gene was cloned into pPICZalpha A expression vector and
extracellularly expressed in the yeast Pichia pastoris, which has numerous
advantages over other expression systems for eukaryotic proteins expression. The
effectiveness of the secreted recombinant ROP2 as a diagnosis agent was assessed
by Western Blot with 200 human serum samples. Recombinant ROP2 reacted with
toxoplasmosis-positive human serum samples and yielded an overall sensitivity of
90% and specificity of 95%. However, recombinant ROP2 is a better marker for
detection of IgG (91.7%) rather than IgM (80%).
PMID- 21896810
TI - Entomological indices, feeding sources, and molecular identification of Triatoma
phyllosoma (Hemiptera: Reduviidae) one of the main vectors of Chagas disease in
the Istmo de Tehuantepec, Oaxaca, Mexico.
AB - The purpose of this study was to conduct an entomological analysis, determination
of feeding sources, and molecular identification of triatomines in five
communities of the Istmo de Tehuantepec, Oaxaca. The only found species in two of
five searched communities (San Mateo del Mar and Tehuantepec City) was Triatoma
phyllosoma. Colonization indices were high in both communities. In San Mateo del
Mar, the insects were found indoors and in Tehuantepec City in peridomestic
areas. The Trypanosoma cruzi infection indices were 2.1% in San Mateo del Mar and
39.4% in Tehuantepec City. This difference could be related to the high numbers
of triatomine feeding on hens in the former community. In contrast, in
Tehuantepec, dogs were the principal triatomine feeding sources. All nymphs and
adults that were genetically analyzed belonged to the species T. phyllosoma. Low
levels of genetic variation were found between vectors from both communities.
PMID- 21896811
TI - Social ecological analysis of an outbreak of pufferfish egg poisoning in a
coastal area of Bangladesh.
AB - Recurrent outbreaks of marine pufferfish poisoning in Bangladesh highlight the
need to understand the context in which the outbreaks occurred. In a recent
outbreak investigation, a multidisciplinary team conducted a mixed-method study
to identify the demography and clinical manifestation of the victims and to
explore different uses of pufferfish, and local buying, selling, and processing
practices. The outbreak primarily affected a low income household where an
elderly woman collected and cooked pufferfish egg curry. Nine persons consumed
the curry, and symptoms developed in 6 (67%) of these persons. Symptoms included
vomiting, diarrhea, paresis, and tingling sensation; 2 (22%) persons died. The
unstable income of the affected family, food crisis, and the public disposal of
unsafe pufferfish byproducts all contributed to the outbreak. A multi-level
intervention should be developed and disseminated with the participation of
target communities to discourage unsafe discarding of pufferfish scraps and to
improve the community knowledge about the risk of consuming pufferfish.
PMID- 21896812
TI - Exploratory study on pathogenesis of far-eastern spotted fever.
AB - Far-eastern spotted fever is an emerging disease caused by Rickettsia
heilongjiangensis, a tick-borne obligate intracellular bacterium. In this study,
R. heilongjiangensis was used to infect BALB/c mice by inoculation of retro
orbital venous plexus to imitate a blood infection caused by tick biting. We
found that R. heilongjiangensis rapidly entered the circulation for systemic
dissemination and the pathogen existed in liver, spleen, lungs, and brain of the
mice at least 9 days post-infection (p.i.). Severe pathological lesions were
observed in liver, lungs, and brain at Day 6 p.i. In addition, the elevated
levels of inflammatory cytokines, including interferon-gamma, tumor necrosis
factor, and CC chemokine, were detected in the infected organs at Day 3 p.i. Our
results reveal that R. heilongjiangensis may cause an infection in BALB/c mice
and the pathological lesions in the infected mice are associated with host
inflammatory response induced by R. heilongjiangensis.
PMID- 21896813
TI - Short report: Identification of virulence-associated plasmids in Rhodococcus equi
in humans with and without acquired immunodeficiency syndrome in Brazil.
AB - Virulence of Rhodococcus equi strains from 20 humans in Brazil was investigated
by using a polymerase chain reaction to characterize isolates as virulent (VapA),
intermediately virulent (VapB), and avirulent. Nine isolates were obtained from
human immunodeficiency virus (HIV)-positive patients, six from HIV-negative
patients, and five from patients of unknown status. Five isolates were VapB
positive, four were VapA positive, and eleven were avirulent. Among the nine
isolates from HIV-positive patients, five contained VapB plasmids and two
contained VapA plasmids. Five VapB-positive isolates had the type 8 virulence
plasmid. Eleven of the patients had a history of contact with livestock and/or a
farm environment, and none had contact with pigs.
PMID- 21896814
TI - Short report: Gender differences in tuberculosis notification in Pakistan.
AB - Worldwide, the male to female ratio of new smear-positive tuberculosis (TB) cases
is approximately two to one. However, in Pakistan, this is not the case. Rates of
notified TB cases are 20-30% higher in young females compared with males, and
female rates remain high regardless of increasing age. This is in stark contrast
to neighboring India, which is characterized by an excess of male TB cases. It is
currently unknown why rates of notified TB are so high in females in Pakistan,
but it is clear that this epidemiology is a public health issue of importance
that impacts transmission dynamics and disease control initiatives.
PMID- 21896815
TI - Latrine promotion for trachoma: assessment of mortality from a cluster-randomized
trial in Ethiopia.
AB - Trachoma control strategies, including latrine construction and antibiotic
distribution, are directed at reducing ocular chlamydia, but may have additional
benefits. In a cluster-randomized clinical trial, 24 subkebeles (administrative
geographic units) in Ethiopia were offered a single mass azithromycin treatment,
and half were randomized to receive an intensive latrine promotion. At a follow
up census 26 months after the baseline treatment, 320 persons had died. The
mortality rate of children 1-5 years of age was 3.87 (95% confidence interval
[CI] = 2.19-6.82) per 1,000 person-years in the latrine promotion arm, and 2.72
(95% CI = 1.37-5.42) per 1,000 person-years in the control arm. In a multi-level
mixed effects logistic regression model controlling for age, there was no
difference in mortality in persons randomized into the latrine or control arms
(odds ratio = 1.18, 95% CI = 0.89-1.58). Latrine promotion provided no additional
effect on mortality in the context of an azithromycin distribution program
(clinicaltrials.gov, #NCT00322972).
PMID- 21896816
TI - American tegumentary leishmaniasis and HIV-AIDS association in a tertiary care
center in the Brazilian Amazon.
AB - American tegumentary leishmaniasis (ATL) and human immunodeficiency virus (HIV)
are both common infectious diseases in the Brazilian Amazon with overlapping
expansion areas, which leads to the occurrence of Leishmania/HIV coinfection.
Most ATL/HIV-acquired immunodeficiency syndrome (AIDS) association cases have
been reported from areas where Leishmania (Viannia) braziliensis is the main
pathogen; this finding is in contrast with the Amazon region, where L. (V.)
guyanensis is the most implicated agent, implying distinct clinical and
therapeutic aspects. We describe 15 cases of ATL/HIV coinfection treated in a
tertiary care center in the Brazilian Amazon between 1999 and 2008. Thirteen
patients presented with diverse clinical manifestations of cutaneous
leishmaniasis, and four of them had disseminated forms; two patients presented
with mucosal leishmaniasis (ML). Seven patients required more than one course of
treatment. The particularities of ATL/HIV-AIDS association in L. (V.) guyanensis
endemic areas require efforts for an increased understanding of its burden and
subsequent improvements in case management.
PMID- 21896817
TI - Economic consequences of post-kala-azar dermal leishmaniasis in a rural
Bangladeshi community.
AB - Post-kala-azar dermal leishmaniasis (PKDL) is a complication of visceral
leishmaniasis. Bangladesh national treatment guidelines during the study period
called for 120 intramuscular injections of sodium antimony gluconate (SAG). We
assessed care-seeking behavior, diagnosis and treatment costs, and coping
strategies among 134 PKDL patients; 56 (42%) patients had been treated with SAG,
and 78 (58%) remained untreated. The median direct cost per patient treated was
US$367 (interquartile range [IQR] = 90-284), more than two times the estimated
per capita annual income for the study population. The most common coping
strategy was to take a loan; the median amount borrowed was US$98 (IQR = 71-150),
with a median interest of US$32 (IQR = 16-95). Households lost a median of 123
work-days per patient treated. The current regimen for PKDL imposes a significant
financial burden, reinforcing the link between poverty and visceral
leishmaniasis. More practical shorter-course regimens for PKDL are urgently
needed to achieve national and regional visceral leishmaniasis elimination goals.
PMID- 21896818
TI - Adipose tissue-derived mesenchymal stem cells as a new host cell in latent
leishmaniasis.
AB - Some protozoan infections such as Toxoplasma, Cryptosporidium, and Plasmodium can
be transmitted through stem cell transplantations. To our knowledge, so far,
there is no study about transmission of Leishmania parasites in stem cell
transplantation and interactions between parasites and stem cells in vitro.
Therefore, the aim of this study was to investigate the interaction between
different species of Leishmania parasites and adipose tissue-derived mesenchymal
stem cells (ADMSCs). ADMSCs have been isolated, cultured, characterized, and
infected with different species of Leishmania parasites (L. donovani, L. major,
L. tropica, and L. infantum). Infectivity was examined by Giemsa staining,
microculture, and polymerase chain reaction methods. As a result, infectivity of
ADMSCs by Leishmania parasites has been determined for the first time in this
study. According to our findings, it is very important that donors are screened
for Leishmania parasites before stem cell transplantations in regions where
leishmaniasis is endemic.
PMID- 21896819
TI - Short report: Genetic diversity of Thottapalayam virus, a Hantavirus harbored by
the Asian house shrew (Suncus murinus) in Nepal.
AB - Despite the recent discovery of genetically divergent hantaviruses in shrews of
multiple species in widely separated geographic regions, data are unavailable
about the genetic diversity and phylogeography of Thottapalayam virus (TPMV), a
hantavirus originally isolated from an Asian house shrew (Suncus murinus)
captured in southern India more than four decades ago. To bridge this knowledge
gap, the S, M, and L segments of hantavirus RNA were amplified by reverse
transcription polymerase chain reaction from archival lung tissues of Asian house
shrews captured in Nepal from January to September 1996. Pair-wise alignment and
comparison revealed approximately 80% nucleotide and > 94% amino acid sequence
similarity to prototype TPMV. Phylogenetic analyses, generated by maximum
likelihood and Bayesian methods, showed geographic-specific clustering of TPMV,
similar to that observed for rodent- and soricid-borne hantaviruses. These
findings confirm that the Asian house shrew is the natural reservoir of TPMV and
suggest a long-standing virus-host relationship.
PMID- 21896820
TI - Paracoccidioidomycosis epidemiological features of a 1,000-cases series from a
hyperendemic area on the southeast of Brazil.
AB - Paracoccidioidomycosis has been known for over 100 years, and until now, there
were only few estimates of the disease's incidence. We aim to analyze 1,000 cases
treated between 1960 and 1999 at Ribeirao Preto city, Sao Paulo, Brazil, where
the disease's incidence range detected was 1.6 to 3.7 cases per 100,000 habitants
per year (mean = 2.7 cases/year). We observed a male to female ratio of 6:1 and
an age distribution from 3 to 85 years. The acute/subacute form of the disease
accounted for 25.4% of cases. Most of the patients (93.5%) had lived or worked in
rural areas before the disease development. Smoking and alcoholism were reported
by 64.7% and 37.2% of patients, respectively. Comorbidities identified included
tuberculosis (8.3%), Chagas' disease (8.6%), and human immunodeficiency
virus/acquired immunodeficiency syndrome (4.2%). The present study revealed an
area in Brazil where paracoccidioidomycosis is hyperendemic (has the highest
reported incidence of this disease); this endemic area is probably caused by
geological and climatic conditions as well as intensive agriculture.
PMID- 21896821
TI - Isolation of usutu virus in Germany.
AB - Usutu virus (USUV) is a mosquito-borne flavivirus that emerged 2001 in Austria
and caused deaths in wild birds. In Germany, 70,378 female mosquitoes were
captured in 2009 and 2010 and assayed for USUV. Virus was isolated in cell
culture from one pool of Culex pipiens pipiens mosquitoes trapped exclusively in
August 2010 in Weinheim, Germany. Subsequent phylogenetic analysis demonstrated a
close relationship between the isolated USUV strain from Germany and a USUV
strain from Austria, which was detected in a dead blackbird in 2004.
PMID- 21896822
TI - Malaria in the Republic of Djibouti, 1998-2009.
AB - Historically, native populations in the Republic of Djibouti have experienced
only low and unstable malaria transmission and intermittent epidemics. In recent
years, efforts at malaria control have been aggressively pursued. This study was
performed to inform revised malaria prevention recommendations for military
service members and international travelers to the country. Laboratory-confirmed
cases of malaria documented at large medical facilities and within military and
civilian health care systems in the Republic of Djibouti from 1998 to 2009 were
reviewed. In recent years, fewer than 5% of febrile cases among the three largest
passive surveillance systems were laboratory-confirmed as malaria, and incidence
of confirmed malaria was well below 1/1,000 persons/year. As efforts in the
Republic of Djibouti progress toward elimination, and in conjunction with
continued efforts at surveillance, emphasizing mosquito-avoidance measures and
standby emergency treatment will become reasonable recommendations for malaria
prevention.
PMID- 21896823
TI - Meteorological factors-based spatio-temporal mapping and predicting malaria in
central China.
AB - Despite significant reductions in the overall burden of malaria in the 20th
century, this disease still represents a significant public health problem in
China, especially in central areas. Understanding the spatio-temporal
distribution of malaria is essential in the planning and implementing of
effective control measures. In this study, normalized meteorological factors were
incorporated in spatio-temporal models. Seven models were established in WinBUGS
software by using Bayesian hierarchical models and Markov Chain Monte Carlo
methods. M1, M2, and M3 modeled separate meteorological factors, and M3, which
modeled rainfall performed better than M1 and M2, which modeled average
temperature and relative humidity, respectively. M7 was the best fitting models
on the basis of based on deviance information criterion and predicting errors.
The results showed that the way rainfall influencing malaria incidence was
different from other factors, which could be interpreted as rainfall having a
greater influence than other factors.
PMID- 21896824
TI - Polymorphisms of molecular markers of antimalarial drug resistance and
relationship with artesunate-mefloquine combination therapy in patients with
uncomplicated Plasmodium falciparum malaria in Thailand.
AB - The aim of this study was to investigate the association between genetic
polymorphisms of Plasmodium falciparum chloroquine resistance transporter
(pfcrt), P. falciparum multidrug resistance 1 (pfmdr1), and P. falciparum ATPase
(pfatp6) and clinical outcome after a three-day mefloquine-artesunate combination
therapy in 134 patients with uncomplicated Plasmodium falciparum malaria in an
area with multidrug resistance along the Thailand-Myanmar border. Analysis of
gene mutation and amplification were performed by nested real-time polymerase
chain reaction and SYBR Green I real-time polymerase chain reaction,
respectively. The mutation for pfcrt (codons 76, 220, 271, 326, 356, and 371) was
found in all isolates (100%), whereas no mutation of pfmdr1 (codon 86) and pfatp6
(codons 37, 693, 769, 898) was found. The Pfmdr1 copy number was significantly
higher in isolates with recrudescence (median number = 2.44) compared with a
sensitive response (median number = 1.44). The gene copy number was also found to
be significantly higher in paired isolates collected before treatment and at the
time of recrudescence. All isolates carried one pfatp6 gene copy.
PMID- 21896825
TI - Efficacy and effectiveness of mefloquine and artesunate combination therapy for
uncomplicated Plasmodium falciparum malaria in the Peruvian Amazon.
AB - We evaluated the efficacy and effectiveness of mefloquine (MQ) plus artesunate
(AS) to treat patients with uncomplicated malaria in the Peruvian Amazon Basin in
April 2005-March 2006. Patients >= 1 year of age with fever (axillary temperature
>= 37.5 degrees C) or history of fever and Plasmodium falciparum monoinfection
were included. Patients received antimalarial treatment with MQ (12.5 mg/kg/day
for two days) and AS (4.0 mg/kg/day for three days) either by directly observed
therapy or without directly observed therapy. After a 28-day follow-up, treatment
efficacy and effectiveness were assessed on the basis of clinical and
parasitologic outcomes. Ninety-six patients were enrolled in each study group;
nine patients were lost to follow-up. All patients, except for one in the
observed group, demonstrated adequate clinical and parasitologic response; none
had detectable parasitemia on day 3. The efficacy of MQ + AS efficacy was 98.9%
(95% confidence interval = 94.1-100.0%) and the effectiveness was 100.0% (95%
confidence interval = 95.9-100.0%). Our study shows that MQ + AS is highly
efficacious in the Peruvian Amazon.
PMID- 21896826
TI - The appropriate indicator should be used to assess treatment failure in STH
infections.
PMID- 21896828
TI - Model to predict mortality in critically ill adults with acute kidney injury.
AB - BACKGROUND AND OBJECTIVES: Acute kidney injury (AKI) requiring dialysis is
associated with high mortality. Most prognostic tools used to describe case
complexity and to project patient outcome lack predictive accuracy when applied
in patients with AKI. In this study, we developed an AKI-specific predictive
model for 60-day mortality and compared the model to the performance of two
generic (Sequential Organ Failure Assessment [SOFA] and Acute Physiology and
Chronic Health Evaluation II [APACHE II]) scores, and a disease specific
(Cleveland Clinic [CCF]) score. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS:
Data from 1122 subjects enrolled in the Veterans Affairs/National Institutes of
Health Acute Renal Failure Trial Network study; a multicenter randomized trial of
intensive versus less intensive renal support in critically ill patients with AKI
conducted between November 2003 and July 2007 at 27 VA- and university-affiliated
centers. RESULTS: The 60-day mortality was 53%. Twenty-one independent predictors
of 60-day mortality were identified. The logistic regression model exhibited good
discrimination, with an area under the receiver operating characteristic (ROC)
curve of 0.85 (0.83 to 0.88), and a derived integer risk score yielded a value of
0.80 (0.77 to 0.83). Existing scoring systems, including APACHE II, SOFA, and
CCF, when applied to our cohort, showed relatively poor discrimination, reflected
by areas under the ROC curve of 0.68 (0.64 to 0.71), 0.69 (0.66 to 0.73), and
0.65 (0.62 to 0.69), respectively. CONCLUSIONS: Our new risk model outperformed
existing generic and disease-specific scoring systems in predicting 60-day
mortality in critically ill patients with AKI. The current model requires
external validation before it can be applied to other patient populations.
PMID- 21896831
TI - New CJASN feature: public policy series.
PMID- 21896830
TI - Primary hyperoxaluria type III gene HOGA1 (formerly DHDPSL) as a possible risk
factor for idiopathic calcium oxalate urolithiasis.
AB - BACKGROUND AND OBJECTIVES: Primary hyperoxaluria types I and II (PHI and PHII)
are rare monogenic causes of hyperoxaluria and calcium oxalate urolithiasis.
Recently, we described type III, due to mutations in HOGA1 (formerly DHDPSL),
hypothesized to cause a gain of mitochondrial 4-hydroxy-2-oxoglutarate aldolase
activity, resulting in excess oxalate. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: To further explore the pathophysiology of HOGA1, we screened
additional non-PHI-PHII patients and performed reverse transcription PCR
analysis. Postulating that HOGA1 may influence urine oxalate, we also screened
100 idiopathic calcium oxalate stone formers. RESULTS: Of 28 unrelated
hyperoxaluric patients with marked hyperoxaluria not due to PHI, PHII, or any
identifiable secondary cause, we identified 10 (36%) with two HOGA1 mutations
(four novel, including a nonsense variant). Reverse transcription PCR of the stop
codon and two common mutations showed stable expression. From the new and our
previously described PHIII cohort, 25 patients were identified for study. Urine
oxalate was lower and urine calcium and uric acid were higher when compared with
PHI and PHII. After 7.2 years median follow-up, mean eGFR was 116 ml/min per 1.73
m(2). HOGA1 heterozygosity was found in two patients with mild hyperoxaluria and
in three of 100 idiopathic calcium oxalate stone formers. No HOGA1 variants were
detected in 166 controls. CONCLUSIONS: These findings, in the context of
autosomal recessive inheritance for PHIII, support a loss-of-function mechanism
for HOGA1, with potential for a dominant-negative effect. Detection of HOGA1
variants in idiopathic calcium oxalate urolithiasis also suggests HOGA1 may be a
predisposing factor for this condition.
PMID- 21896832
TI - Better off living--the ethics of the new UNOS proposal for allocating kidneys for
transplantation.
PMID- 21896833
TI - Ethical principles and processes guiding dialysis decision-making.
AB - When the US Congress created the End-Stage Renal Disease (ESRD) Program in 1972,
it gave physicians the responsibility of determining which patients were
"appropriate" for dialysis. Congress provided no guidance on who should be
selected or how. Only five years later, Dr. Belding Scribner, the father of
chronic dialysis, noted that there was a need for a "deselection committee"
because virtually all criteria for dialysis patient selection had been slackened,
if not abandoned. In 1991, the Institute of Medicine Committee to Study the
Medicare ESRD Program recommended the development of a clinical practice
guideline because they noted there were "an increasing number of [dialysis]
patients with limited survival possibilities and relatively poor quality of
life." In 2000, the Renal Physicians Association and the American Society of
Nephrology heeded the Institute of Medicine committee's recommendation and
published Shared Decision-Making in the Appropriate Initiation of and Withdrawal
from Dialysis. In 2010, prompted by a substantial body of new research evidence,
the Renal Physicians Association published a second edition of this clinical
practice guideline. This article describes the application of the ethical
principles of respect for patient autonomy, beneficence, nonmaleficence, justice,
and professional integrity, and the ethical process of shared decision-making in
making decisions about starting, withholding, continuing, and stopping dialysis
with patients and families. It urges examination of medical indications and
identifies appropriate limits to shared decision-making when the burdens of
dialysis can be predicted to substantially outweigh the benefits.
PMID- 21896834
TI - The 2010 nephrology quiz and questionnaire: part 1.
AB - Presentation of the Nephrology Quiz and Questionnaire (NQQ) has become an annual
"tradition" at the meetings of the American Society of Nephrology. It is a very
popular session judged by consistently large attendance. Members of the audience
test their knowledge and judgment on a series of case-oriented questions prepared
and discussed by experts. They can also compare their answers in real time, using
audience response devices, to those of program directors of nephrology training
programs in the United States, acquired through an Internet-based questionnaire.
As in the past, the topics covered were transplantation, fluid and electrolyte
disorders, end-stage renal disease and dialysis, and glomerular disorders. Two
challenging cases representing each of these categories along with single best
answer questions were prepared by a panel of experts (Drs. Hricik, Palmer,
Bargman, and Fervenza, respectively). The "correct" and "incorrect" answers then
were briefly discussed, after the audience responses and the results of the
questionnaire were displayed. The 2010 version of the NQQ was exceptionally
challenging, and the audience, for the first time, gained a better overall
correct answer score than the program directors, but the margin was small. In
this issue we present the transplantation and fluid and electrolyte cases; the
remaining end-stage renal disease and dialysis, and glomerular disorder cases
will be presented next month. These articles try to recapitulate the session and
reproduce its educational value for a larger audience--the readers of the
Clinical Journal of the American Society of Nephrology. Have fun.
PMID- 21896835
TI - Delayed urethral obstruction after uterine torsion in a pregnant dog.
AB - A 4 yr old pregnant female shih tzu was presented with abdominal discomfort and
bloody vulvar discharge. The nongravid uterine horn was reflected caudally over
the trigone, obstructing urine outflow. A cesarian section and ovariohysterectomy
were performed. Postoperatively, the hematuria and pollakiuria resolved.
Seventeen days later, the pelvic urethra was completely obstructed by a soft
tissue mass that was identified by rectal palpation, blocked catheterization
attempts, contrast radiography, ultrasonography, and surgery. Management included
temporary cystostomy tube and definitive prepubic urethrostomy. Histologic
diagnosis was severe, multifocal, necrosuppurative urethritis with fibroplasia,
fibrosis, and cellulitis, apparently secondary to ischemia. Delayed urethral
obstruction is a potential complication of canine uterine torsion.
PMID- 21896836
TI - Multifocal oligodendroglioma in three dogs.
AB - This report describes the clinical, histopathologic, and imaging findings of
multifocal oligodendrogliomas from three canine patients. Clinical history varied
but included seizure activity and behavior changes. Neurologic examination
abnormalities included ataxia, proprioceptive deficits, cranial nerve deficits,
and changes in mentation. MRI in one patient revealed multifocal brain lesions;
however, the MRI was normal in another one of the patients. Histopathologic
evaluation identified multifocal neoplastic infiltrates in all three patients
involving the cerebral cortex, brainstem, and spinal cord, with leptomeningeal
extension in two of the three patients. All three patients were euthanized due to
progression of their neurologic condition and/or complications due to aspiration
pneumonia. Oligodendrogliomas should be considered a differential diagnosis for
patients with multifocal brain disease.
PMID- 21896829
TI - Cardiovascular disease among hispanics and non-hispanics in the chronic renal
insufficiency cohort (CRIC) study.
AB - BACKGROUND AND OBJECTIVES: Hispanics are the largest minority group in the United
States. The leading cause of death in patients with chronic kidney disease (CKD)
is cardiovascular disease (CVD), yet little is known about its prevalence among
Hispanics with CKD. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: We conducted
cross-sectional analyses of prevalent self-reported clinical and subclinical
measures of CVD among 497 Hispanics, 1638 non-Hispanic Caucasians, and 1650 non
Hispanic African Americans, aged 21 to 74 years, with mild-to-moderate CKD at
enrollment in the Chronic Renal Insufficiency Cohort (CRIC) and Hispanic CRIC
(HCRIC) studies. Measures of subclinical CVD included left ventricular
hypertrophy (LVH), coronary artery calcification (CAC), and ankle-brachial index.
RESULTS: Self-reported coronary heart disease (CHD) was lower in Hispanics
compared with non-Hispanic Caucasians (18% versus 23%, P = 0.02). Compared with
non-Hispanic Caucasians, Hispanics had a lower prevalence of CAC >100 (41% versus
34%, P = 0.03) and CAC >400 (26% versus 19%, P = 0.02). However, after adjusting
for sociodemographic factors, these differences were no longer significant. In
adjusted analyses, Hispanics had a higher odds of LVH compared with non-Hispanic
Caucasians (odds ratio 1.97, 95% confidence interval, 1.22 to 3.17, P = 0.005),
and a higher odds of CAC >400 compared with non-Hispanic African Americans (odds
ratio, 2.49, 95% confidence interval, 1.11 to 5.58, P = 0.03). Hispanic ethnicity
was not independently associated with any other CVD measures. CONCLUSIONS:
Prevalent LVH was more common among Hispanics than non-Hispanic Caucasians, and
elevated CAC score was more common among Hispanics than non-Hispanic African
Americans. Understanding reasons for these racial/ethnic differences and their
association with long-term clinical outcomes is needed.
PMID- 21896837
TI - Development of new canine and feline preventive healthcare guidelines designed to
improve pet health.
AB - The American Veterinary Medical Association (AVMA) and American Animal Hospital
Association (AAHA) have jointly introduced the first Canine and Feline Preventive
Healthcare Guidelines. These consensus statements provide veterinarians with a
new resource for improving patient care by emphasizing the value and scope of
regular pet examinations. The two guidelines provide complete recommendations for
comprehensive preventive healthcare programs, published as accessible, single
page documents. The guidelines are based on the subjective-objective-assessment
plan (SOAP) methodology of case management, a proven approach traditionally used
with sick or injured patients. This logical and disciplined process is equally
applicable to healthy patients and is designed to consistently deliver optimal
patient care. The guidelines recommend visits for health examinations on at least
an annual basis, recognizing that for many pets, more frequent visits may be
appropriate, depending on the individual needs of the patient. The guidelines
also provide detailed diagnostic, therapeutic, prevention, and follow up plans,
to be accompanied by appropriate documentation. The inclusive content and concise
format of the guidelines are designed to maximize their practical value and make
them easy to implement.
PMID- 21896838
TI - Changes in vision- and health-related quality of life in patients with diabetic
macular edema treated with pegaptanib sodium or sham.
AB - PURPOSE: To compare vision function and self-reported quality of life (QoL) in
patients with diabetic macular edema (DME) treated with intravitreous pegaptanib
0.3 mg or sham injection. METHODS: This randomized (1:1), controlled, multicenter
trial included subjects with DME (center point thickness on OCT, >= 250 MUm) and
visual acuity (VA) <= 65 letters and >= 35 letters. In year 1, pegaptanib or sham
was administered every 6 weeks with focal/grid photocoagulation at investigator
discretion after week 18. Subjects received injections as often as every 6 weeks
per pre-specified criteria in year 2. Primary efficacy endpoint: proportion
gaining >=10 letters of VA from baseline to week 54. Change in QoL from baseline
to weeks 54 and 102 was assessed with the 25-item National Eye Institute-Visual
Function Questionnaire (NEI-VFQ 25) and the EQ-5D. RESULTS: One hundred thirty
three pegaptanib- and 127 sham-treated subjects were in the year 1 intent-to
treat population. From baseline to week 54, >= 10 letter gains seen in 49 (36.8%)
pegaptanib- and 25 (19.7%) sham-treated subjects (odds ratio [95% CI]: 2.38 [1.32
4.30]; P = 0.0047). At 2 years, the VA trend favored pegaptanib. The NEI-VFQ 25
domains of Near Vision, Distance Vision, and Social Functioning (week 54) and
Distance Vision, Social Functioning, Mental Health, and Composite Score (week
102) demonstrated clinically meaningful (>5-point between-group difference) and
statistically significant (P < 0.05) benefits favoring pegaptanib. No significant
difference in the mean change in generic EQ-5D-weighted utility scores was seen.
CONCLUSIONS: The VA improvement from pegaptanib treatment versus sham is
reflected by improved vision-related QoL as reported by the DME patient
(ClinicalTrials.gov number, NCT00605280).
PMID- 21896840
TI - Light-induced trigeminal sensitization without central visual pathways: another
mechanism for photophobia.
AB - PURPOSE: The authors investigated whether trigeminal sensitization occurs in
response to bright light with the retina disconnected from the rest of the
central nervous system by optic nerve section. METHODS: In urethane-anesthetized
rats, trigeminal reflex blinks were evoked with air puff stimuli directed at the
cornea in darkness and at three different light intensities. After normative data
were collected, the optic nerve was lesioned and the rats were retested. In an
alert rat, reflex blinks were evoked by stimulation of the supraorbital branch of
the trigeminal nerve in the dark and in the light. RESULTS: A 9.1 * 10(3)
MUW/cm(2) and a 15.1 * 10(3) MUW/cm(2) light significantly enhanced the magnitude
of reflex blinks relative to blinks evoked by the same trigeminal stimulus when
the rats were in the dark. In addition, rats exhibited a significant increase in
spontaneous blinking in the light relative to the blink rate in darkness. After
lesioning of the optic nerve, the 15.1 * 10(3) MUW/cm(2) light still
significantly increased the magnitude of trigeminal reflex blinks. CONCLUSIONS:
Bright lights increase trigeminal reflex blink amplitude and the rate of
spontaneous blinking in rodents. Light can modify trigeminal activity without
involving the central visual system.
PMID- 21896839
TI - Bicarbonate, NBCe1, NHE, and carbonic anhydrase activity enhance lactate-H+
transport in bovine corneal endothelium.
AB - PURPOSE: To identify and localize the monocarboxylate transporters (MCTs)
expressed in bovine corneal endothelial cells (BCEC) and to test the hypothesis
that buffering contributed by HCO(3)(-), sodium bicarbonate cotransporter
(NBCe1), sodium hydrogen exchanger (NHE), and carbonic anhydrase (CA) activity
facilitates lactate flux. METHODS: MCT1-4 expression was screened by RT-PCR,
Western blot analysis, and immunofluorescence. Endogenous lactate efflux and/or
pH(i) were measured in BCEC in HCO(3)(-)-free or HCO(3)(-)-rich Ringer, with and
without niflumic acid (MCT inhibitor), acetazolamide (ACTZ, a CA inhibitor), 5-(N
Ethyl-N-isopropyl)amiloride (EIPA) (Na(+)/H(+) exchange blocker), disodium 4,4'
diisothiocyanatostilbene-2,2'-disulfonate (DIDS; anion transport inhibitor), or
with NBCe1-specific small interfering (si) RNA-treated cells. RESULTS: MCT1, 2,
and 4 are expressed in BCEC. MCT1 was localized to the lateral membrane, MCT2 was
lateral and apical, while MCT4 was apical. pH(i) measurements showed significant
lactate-induced cell acidification (LIA) in response to 20-second pulses of
lactate. Incubation with niflumic acid significantly reduced the rate of pHi
change (dpH(i)/dt) and lactate-induced cell acidification. EIPA inhibited
alkalinization after lactate removal. Lactate-dependent proton flux was
significantly greater in the presence of HCO(3)(-) but was reduced by ACTZ.
Efflux of endogenously produced lactate was significantly faster in the presence
of HCO(3)(-), was greater on the apical surface, was reduced on the apical side
by ACTZ, as well as on the apical and basolateral side by NBCe1-specific siRNA,
DIDS, or EIPA. CONCLUSIONS: MCT1, 2, and 4 are expressed in BCEC on both the
apical and basolateral membrane (BL) surfaces consistent with niflumic acid
sensitive lactate-H(+) transport. Lactate dependent proton flux can activate
Na(+)/H(+) exchange and be facilitated by maximizing intracellular buffering
capacity through the presence of HCO(3)(-), HCO(3)(-) transport, NHE and CA
activity.
PMID- 21896841
TI - Fate of corneal epithelial cells separated from limbus in vivo.
AB - PURPOSE: To characterize corneal epithelial cells separated from limbus in vivo
by transplantation of a stainless steel ring with or without creating a defect
inside the ring. METHODS: A stainless steel ring (diameter, 8 mm; width, 300 MUm;
depth, 250 MUm) was transplanted into rabbit corneal stroma using 10-0 nylon
interrupted suture after cutting to a 250 MUm depth by corneal vacuum trephine
(diameter, 8.0 mm). Epithelial cells were removed inside the ring, and re
epithelization was evaluated after 1 week. Hematoxylin staining and
immunostaining against p63, Ki67, and cytokeratin 3 were performed for phenotypic
analysis of corneal epithelia. A corneal epithelial defect was centrally created
inside the ring (4, 5, and 6 mm diameter) after transplantation. When re
epithelization was achieved, a central epithelial defect was continuously created
until cells were exhausted within the ring. The number of created defects was
also analyzed to assess the potential of re-epithelialization. RESULTS: Ring
transplanted corneal stroma showed few signs of inflammation, and when epithelium
was totally removed from inside the ring, complete epithelial defects were
persistent for >= 1 month. Corneal sensation was significantly decreased in
corneas with the ring (P < 0.05). Immunostaining demonstrated similar expression
patterns for p63, Ki67, and cytokeratin3 as the controls. When rings were
transplanted into the intact cornea, inside epithelia prevented epithelial
defects in vivo for <= 6 months. CONCLUSIONS: Transient-amplifying cells might
maintain homeostasis for >1 month when separated from their limbus in vivo. This
model will be useful for future stem cell research or wound healing models.
PMID- 21896842
TI - Effects of shear stress on the gene expressions of endothelial nitric oxide
synthase, endothelin-1, and thrombomodulin in human retinal microvascular
endothelial cells.
AB - PURPOSE: Physiological shear stress is higher in the retinal microcirculatory
network than in other organs. The effects of laminar shear stress on gene
expression in human retinal microvascular endothelial cells (HRMECs) was
investigated. METHODS: Cultured HRMECs on glass plates were exposed to a laminar
shear stress of 0, 1.5, 6, 15, 30, 60, or 100 dyne/cm(2) for 24 hours and to 60
dyne/cm(2) for 0, 1, 3, 6, 12, 24, or 48 hours. The mRNA expressions of
endothelial nitric oxide synthase (eNOS), endothelin-1 (ET-1), and thrombomodulin
(TM) in the HRMECs were evaluated using real-time reverse transcription
polymerase chain reaction. RESULTS: The HRMECs elongated and aligned parallel
with the flow direction based on the shear stress and exposure times. The
expression of eNOS mRNA gradually increased and became saturated at 60
dyne/cm(2); ET-1 mRNA expression increased at 1.5 dyne/cm(2) but decreased below
that of the static control at shear stresses of 30 dyne/cm(2) or more. TM mRNA
expression in response to shear stress increased linearly from 0 to 100
dyne/cm(2). A shear stress of 60 dyne/cm(2) for 6 hours or more promoted eNOS and
TM mRNA expression but suppressed ET-1 mRNA expression in HRMECs. CONCLUSIONS:
Long-term exposure to a physiological shear stress in the retinal arterioles up
regulated eNOS and TM mRNA expressions and downregulated ET-1 mRNA expression in
HRMECs. These results suggest that shear stress may be associated with the
vasoregulatory and antithrombotic properties of retinal vessels under
physiological conditions present during retinal circulation.
PMID- 21896843
TI - Evaluation of an algorithm for detecting visual field defects due to chiasmal and
postchiasmal lesions: the neurological hemifield test.
AB - PURPOSE: To develop an automated neurologic hemifield test (NHT) to detect visual
field loss caused by chiasmal or postchiasmal lesions. METHODS: Visual field
locations from 24-2 pattern automated visual fields were grouped into two
symmetric regions with 16 points on either side of the vertical meridian. A
scoring system similar to the Glaucoma Hemifield Test (GHT) was used to calculate
point scores using the pattern deviation values from the right and left regions.
The cross-vertical difference in the sum of these values was the NHT score. The
NHT was evaluated using visual fields from subjects with known neurologic
disease, subjects with glaucoma, and glaucoma suspects (92 pairs of eyes each).
The NHT score was calculated for each eye. Four masked reviewers scored all pairs
of visual fields with regard to the likelihood of neurologic and glaucomatous
optic neuropathy. Both NHT score and expert field ratings were compared with
clinical diagnosis by receiver operating characteristic (ROC) analysis. RESULTS:
The NHT effectively discriminated neurologic fields from those of glaucoma
patients and glaucoma suspects (area under the ROC curve [AUC] = 0.90; 95%
confidence interval [CI], 0.86-0.94). The NHT score correlated well with
clinician grading (Pearson correlation estimates, 0.74-0.78). Even when field
defects were subtle, the NHT had some ability to discriminate neurologic from
nonneurologic fields (AUC 0.68; 95% CI, 0.56-0.79). CONCLUSIONS: The NHT
distinguished neurologic field defects from those of glaucoma and glaucoma
suspects, rivaling the performance of subspecialist clinicians. Its
implementation may help identify unsuspected neurologic disease.
PMID- 21896844
TI - Aberrant kinetics of bone marrow-derived endothelial progenitor cells in the
murine oxygen-induced retinopathy model.
AB - PURPOSE: Retinopathy of prematurity (ROP) causes serious blindness because of the
vasculopathy that results from the abnormal oxygen dynamics. However, the
systemic kinetics of bone marrow-derived endothelial progenitor cells (BM-derived
EPCs) during the "postnatal vasculogenesis " of ROP has yet to be elucidated.
Thus, the authors investigated the kinetics of BM-derived EPCs using a murine
oxygen-induced retinopathy (OIR) model. METHODS: OIR was induced in C57BL/6J mice
by continual aeration with 75% oxygen from postnatal day (P) 7 to P12 that
afterward returned to normal room air. RESULTS: The frequency of circulating EPCs
(Sca-1(+)/c-Kit(+) cells in blood) in an OIR model estimated by FACS decreased
immediately after the hyperoxic phase (P12) and then increased at the hypoxic
phase (P17) compared with control. Further, EPC colony-forming assay of BM-Lin(
)/Sca-1(+) (BM-LS) cells exhibited a conversion from the predominant primitive
EPC colony production at P12 to the definitive EPC colony at P17. In the OIR
retinas of BM-transplanted mice with BM-LS cells of EGFP transgenic mice, there
was less incorporation of GFP(+) cells into vascular structures at P12, whereas
there was a drastic recruitment into the "tufts " and for the intact vasculature
at P17. Moreover, the definitive EPC colony cells intravitreally injected into
OIR significantly abrogated pathologic versus primitive vascular growth.
CONCLUSIONS: Taken together, these findings propose that the deviation of
functional bioactivities of BM-derived EPCs contributing to intact vascular
development under the abnormal oxygen dynamics may provide important mechanistic
insight into pathologic vascular development in ROP.
PMID- 21896845
TI - In vivo serial imaging of regenerating corneal nerves after surgical transection
in transgenic thy1-YFP mice.
AB - PURPOSE: To determine the effect of lamellar transection surgery on the nerve
fiber density (NFD) and pattern of nerve regeneration in the cornea of thy1-YFP
transgenic mice. METHODS: Wide-field stereo fluorescence microscopy was used to
obtain serial images of nerves in live thy1-YFP mice, which express a fluorescent
protein in their axons. NFD (mm/mm(2)) was calculated from maximum intensity
projection images as the total length of fibers within the area of the contour in
which nerves were traced. Whole-mount confocal microscopy was performed to
analyze the arrangement of nerves and the types of regenerating fibers. RESULTS:
NFD in normal corneas was 35.3 +/- 1.8 mm/mm(2). Stereo fluorescence microscopy
revealed the presence of a subbasal hairpin nerve layer and an intrastromal nerve
trunk layer. After surgery, regenerative sprouting was observed from transected
distal ends of intrastromal nerve trunks. NFD also increased, with this increase
being maximal between 4 and 6 weeks after surgery. NFD approximated baseline
values at 6 weeks and did not change any further at 8 weeks. Regenerated nerves
did not readopt the normal corneal nerve arrangement. A dense interlacing network
of regenerated nerves was present in the corneal bed. Branches from this network
traversed the flap to innervate the epithelium. Immunofluorescence staining
revealed that regenerating fronds contained peptidergic nociceptive fibers
(positive for calcitonin gene-related peptide and substance P) and myelinated non
nociceptive fibers (positive for neurofilament 200). CONCLUSIONS: Although
corneal NFD recovers to normal levels by 8 weeks after nerve transection, the
arrangement of regenerated nerves is abnormal.
PMID- 21896846
TI - Cytoskeletal dependence of adenosine triphosphate release by human trabecular
meshwork cells.
AB - PURPOSE: To test whether adenosine triphosphate (ATP) release links cytoskeletal
remodeling with release of matrix metalloproteinases (MMPs), regulators of
outflow facility and intraocular pressure. METHODS: ATP release was measured by
luciferin-luciferase. Ecto-ATPases from transformed human trabecular meshwork
(TM) cells (TM5) and explant-derived TM cells were identified by RT-PCR. Actin
was visualized by phalloidin staining. Cell viability was assayed by lactate
dehydrogenase and thiazolyl blue tetrazolium bromide methods and propidium iodide
exclusion, gene expression by real-time PCR, and MMP release by zymography. Cell
volume was monitored by electronic cell sorting. RESULTS: Hypotonicity (50%) and
mechanical stretch increased ATP release with similar pharmacologic profiles. TM
cells expressed ecto-ATPases E-NPP1-3, E-NTPD2, E-NTPD8, and CD73. Prolonged
dexamethasone (DEX) exposure (>= 2 weeks), but not brief exposure (3 days),
increased cross-linked actin networks and reduced swelling-triggered ATP release.
Cytochalasin D (CCD) exerted opposite effects. Neither DEX nor CCD altered the
cell viability, gene expression, or pharmacologic profile of ATP-release
pathways. DEX accelerated, and CCD slowed, the regulatory volume decrease after
hypotonic exposure. Activating A(1) adenosine receptors (A(1)ARs) increased total
MMP-2 and MMP-9 release. DEX reduced total A(1)AR-triggered MMP release, and CCD
increased the active form of MMP-2 release. The A(1)AR agonist CHA and the A(1)AR
antagonist DPCPX partially reversed the effects of DEX and CCD, respectively.
CONCLUSIONS: Cytoskeletal restructuring modulated swelling-activated ATP release,
in part by changing the duration of cell swelling after hypotonic challenge.
Modifying ATP release is expected to modulate MMP secretion by altering ecto
enzymatic delivery of adenosine to A(1)ARs, linking cytoskeletal remodeling and
MMP-mediated modulation of outflow facility.
PMID- 21896848
TI - Correct calculation circle location of optical coherence tomography in measuring
retinal nerve fiber layer thickness in eyes with myopic tilted discs.
AB - PURPOSE. Retinal nerve fiber layer (RNFL) thickness measured by optical coherence
tomography (OCT) can be unreliable in the presence of a myopic tilted disc. The
purpose of this study was to investigate the influence of the calculation circle
location of spectral domain (SD)-OCT when measuring RNFL thickness in healthy
subjects with myopic tilted disc. METHODS. Sixty-nine eyes with myopic tilted
disc and no other ocular abnormalities were analyzed. In all eyes, RNFL thickness
was measured twice: first, along a calculation circle determined by a built-in
algorithm (referred to as circle 1) and then along a manually positioned
calculation circle based on the contours of the neural canal opening (NCO)
(referred to as circle 2). The mean number of clock hours below normal at the 5%
level and the proportion of eyes with abnormally thin clock hours at the 5% level
were compared between the two methods: RESULTS. The mean number of clock hours
below normal at the 5% level was significantly lower in circle 2 (0.84 +/- 1.31)
than in circle 1 (1.42 +/- 1.43; P < 0.05). The proportion of eyes with
abnormally thin clock hours at the 5% level was significantly lower (P < 0.001)
in circle 2 (42%) than in circle 1 (69.9%). CONCLUSIONS. In subjects with myopic
tilted disc, RNFL thickness measurements along the calculation circle based on
the contours of the NCO seem to be more comparable to the normative database of
the SD-OCT than does the automatically determined scan position.
PMID- 21896847
TI - Identification of a novel locus for autosomal dominant primary open angle
glaucoma on 4q35.1-q35.2.
AB - PURPOSE: Primary open angle glaucoma is the most prevalent type of glaucoma and
the leading cause of irreversible blindness worldwide. The genetic basis is
poorly understood. Of 14 loci associated with this disease, only two genes have
been identified, accounting for approximately 4% of cases. The authors
investigated the genetic cause of primary open angle glaucoma in a large four
generation family with an apparent autosomal dominant mode of inheritance.
METHODS: Twenty-three family members underwent comprehensive phenotyping by a
single ophthalmologist, and the MYOC gene was sequenced in all affected family
members for whom DNA was available. Parametric genomewide linkage analysis was
performed on 10 affected family members and one unaffected family member. Within
the critical region, mutation analysis of candidate genes LRP2BP, CYP4V2, and
UFSP2 was carried out by direct sequencing. RESULTS: No mutations were identified
in MYOC. Genomewide linkage analysis generated one significant LOD score of 3.1
(maximum affected-only LOD score of 2.8) centered on chromosome 4 at 4q35.1
q35.2, a critical region that does not contain any of the previously reported
primary open angle glaucoma loci. A 1.866-Mb (7.2 cM) region was identified
containing 17 known or hypothetical genes. No mutations were identified in the
candidate genes LRPB2BP, CYP4V2, and UFSP2. CONCLUSIONS: This study identifies a
new primary open angle glaucoma locus, GLC1Q, in a region on chromosome 4 not
previously associated with glaucoma.
PMID- 21896849
TI - Uveal melanoma cell lines contain stem-like cells that self-renew, produce
differentiated progeny, and survive chemotherapy.
AB - PURPOSE: Uveal melanoma (UM) cells in high-metastatic risk tumors have an
undifferentiated molecular signature indicative of a more primitive cellular
phenotype. Given mounting evidence for the existence of cancer stem cells (CSC),
the authors investigated whether UM cell lines retain a population of self
renewing tumorigenic cells. METHODS: Single-cell cloning and spheroid culture
studies were used to study the presence of a CSC-like population in two cell
lines derived from the primary tumor (Mel270) and metastatic liver lesion
(Omm2.5) of the same patient. RESULTS: Mel270 and Omm2.5 cells exhibited distinct
clonal morphologies in adherent culture akin to holoclones, meroclones, and
paraclones. Holoclones were large colonies of tightly packed small cells, which
could be serially passaged (> 10 generations) to produce colonies of all three
types; paraclones were small colonies of flattened cells that could be passaged
for only one or two generations to produce further paraclones. Mel270 and Omm2.5
cells surviving cisplatin treatment produced significantly more holoclones than
untreated cells (P < 0.05), suggesting enrichment for this CSC-like
subpopulation. Mel270 and Omm2.5 cells also formed melanomaspheres (MS) when
grown at clonal density in nonadherent culture. MS possessed self-renewal
capacity to generate further MS and when replated to adherent culture yielded
colonies of all three types. Mel270 and Omm2.5 holoclones and MS also
demonstrated antigenic heterogeneity expressing markers associated with both a
primitive migratory neural crest phenotype, and a more differentiated phenotype.
CONCLUSIONS: These data suggest the presence in UM cell lines, of a CSC-like
subpopulation with enhanced self-renewal and proliferative capabilities that
could more appropriately model therapeutic efficacy.
PMID- 21896850
TI - The ringlike structure of macular pigment in age-related maculopathy: results
from the Muenster Aging and Retina Study (MARS).
AB - PURPOSE: The role of macular pigment (MP) in age-related maculopathy (ARM) is
still not clearly understood. Recent studies have reported on variations in the
spatial distribution of MP optical density (MPOD) including a secondary peak
("ring") in the slope of the MPOD profile. The authors investigated in a cross
sectional manner the presence of ringlike structures, their determinants, and
their relationship with ARM. METHODS: In all, 369 participants of the Muenster
Aging and Retina Study were examined using dual-wavelength analysis of
autofluorescence images. ARM was graded using digital fundus photographs
according to the International Classification System. RESULTS: A ringlike
structure was observed in 73 (19.8%) study participants. The MP maximum of the
ring was located on average at 0.85 degrees and the minimum at 0.48 degrees
from the center of the fovea. Their concordance between pairs of eyes was highly
significant. MPOD measured at eccentricities of 0 degrees , 0.25 degrees , and
0.5 degrees from the fovea was significantly lower in eyes with ringlike
structure, whereas it was significantly higher at 1.0 degrees and 2.0 degrees
than that in eyes without the ring. Ringlike structures were significantly more
common in females and never smokers and were found significantly less often in
eyes with ARM than in healthy eyes, even after adjustment for influential factors
(adjusted odds ratio, 0.347; 95% confidence interval, 0.196-0.617). CONCLUSIONS:
Ringlike structures in the MP spatial profile are fairly common, show a high
degree of bilaterality, and appeared inversely related with ARM.
PMID- 21896852
TI - Early-stage retinal melatonin synthesis impairment in streptozotocin-induced
diabetic wistar rats.
AB - PURPOSE: Retinal melatonin synthesis occurs in the photoreceptor layer in a
circadian manner, controlling several physiologic rhythmic phenomena, besides
being the most powerful natural free radical scavenger. The purpose of the
present work was to evaluate the diurnal profile of retinal melatonin content and
the regulation of its synthesis in the retina of streptozotocin-induced diabetic
rats. METHODS: Diabetes was induced in male Wistar rats (12 hour-12 hour
light/dark cycle) with streptozotocin. Control, diabetic, and insulin-treated
diabetic animals were killed every 3 hours throughout the light-dark cycle.
Retinal melatonin content was measured by high-performance liquid chromatography,
arylalkylamine N-acetyltransferase (AANAT) activity was analyzed by radiometric
assay, Bmal1 gene expression was determined by qPCR, and cyclic adenosine
monophosphate (cAMP) content was assessed by ELISA. RESULTS: Control animals
showed a clear retinal melatonin and AANAT activity daily rhythm, with high
levels in the dark. Diabetic rats had both parameters reduced, and the impairment
was prevented by immediate insulin treatment. In addition, the Bmal1 expression
profile was lost in the diabetic group, and the retinal cAMP level was reduced 6
hours after lights on and 3 hours after lights off. CONCLUSIONS: The present work
shows a melatonin synthesis reduction in diabetic rats retinas associated with a
reduction in AANAT activity that was prevented by insulin treatment. The Bmal1
flattened gene expression and the cAMP reduction seem to be responsible for the
AANAT activity decrease in diabetic animals. The melatonin synthesis reduction
observed in the pineal gland of diabetic rats is also observed in a local
melatonin tissue synthesizer, the retina.
PMID- 21896851
TI - Shape analysis of the peripapillary RPE layer in papilledema and ischemic optic
neuropathy.
AB - PURPOSE: Geometric morphometrics (GM) was used to analyze the shape of the
peripapillary retinal pigment epithelium-Bruch's membrane (RPE/BM) layer imaged
on the SD-OCT 5-line raster in normal subjects and in patients with papilledema
and ischemic optic neuropathy. METHODS: Three groups of subjects were compared:
30 normals, 20 with anterior ischemic optic neuropathy (AION), and 25 with
papilledema and intracranial hypertension. Twenty equidistant semilandmarks were
digitized on OCT images of the RPE/BM layer spanning 2500 MUm on each side of the
neural canal opening (NCO). The data were analyzed using standard GM techniques,
including a generalized least-squares Procrustes superimposition, principal
component analysis, thin-plate spline (to visualize deformations), and
permutation statistical analysis to evaluate differences in shape variables.
RESULTS: The RPE/BM layer in normals and AION have a characteristic V shape
pointing away from the vitreous; the RPE/BM layer in papilledema has an inverted
U shape, skewed nasally inward toward the vitreous. The differences were
statistically significant. There was no significant difference in shapes between
normals and AION. Pre- and posttreatment OCTs, in select cases of papilledema,
showed that the inverted U-shaped RPE/BM moved posteriorly into a normal V shape
as the papilledema resolved with weight loss or shunting. CONCLUSIONS: The shape
difference in papilledema, absent in AION, cannot be explained by disc edema
alone. The difference is a consequence of both the translaminar pressure gradient
and the material properties of the peripapillary sclera. GM offers a novel way of
statistically assessing shape differences of the peripapillary optic nerve head.
PMID- 21896853
TI - Induction of retinal pigment epithelial cells from monkey iPS cells.
AB - PURPOSE: The induced pluripotent stem (iPS) cell is expected to be a powerful
tool for research and development in regenerative medicine. Previously, the
authors reported that human iPS cells differentiated into retinal cells,
including photoreceptors and retinal pigment epithelial cells. In this study,
they produced iPS cell lines from monkeys to investigate their ability to
differentiate into retinal cells. METHODS: To generate iPS cells, the fibroblasts
derived from cynomolgus monkey abdominal skin were infected with retroviruses
carrying Oct3/4, Sox2, Klf4, and c-Myc genes and then were cultured on STO feeder
cells. Next, the established iPS cells were cultured with the conditioned medium
of PA6 cells to induce RPE cells. The properties of the differentiated RPE cells
were analyzed. RESULTS: Approximately 1 month after viral infection, some
epithelial-like colonies appeared among the fibroblasts. These colonies were
morphologically similar to the cynomolgus embryonic stem (ES) cell and expressed
ES cell-specific markers. By producing teratomas in SCID mice, these cells were
confirmed to have the ability to differentiate into three germ layers. In
addition, the RPE cells induced from the monkey iPS cells had characteristic
polygonal shapes and pigments. These cells expressed RPE cell-specific markers
such as RPE65, CRALBP, Bestrophin 1, and MERTK and exhibited phagocytotic
function in vitro. CONCLUSIONS: The RPE cells derived from monkey skin with iPS
cell technology can be used for autologous or allogeneic transplantation to test
the possibility of immune rejection and to evaluate their function in vivo with
the same techniques that will be used in clinical trials.
PMID- 21896854
TI - Ultrastructural localization and expression of TRPM1 in the human retina.
AB - PURPOSE: Transient receptor potential subfamily melastatin (TRPM)1 cation
channels of retinal ON-bipolar cells are modulated via a mGluR6 (GMR6) signaling
cascade. While light-microscopy shows these channels are located on the tips of
ON-bipolar cells dendrites, near rod and cone synaptic ribbons, TRPM1
localization at the electron-microscope level is currently not described. The
authors report here the ultrastructural localization of TRPM1 in the human
retina. METHODS: TRPM1 was localized in postmortem human retinas by
immunohistochemistry at both the light and electron microscope levels.
Additionally, TRPM1 expression was studied using in situ hybridization, laser
dissection microscopy, and PCR techniques. RESULTS: TRPM1-immunoreactivity was
located on the dendrites and soma of ON-bipolar cells at the light microscope
level. At the electron microscope level TRPM1-immunoreactivity was located on the
tips of ON-bipolar cell dendrites that were invaginating cone pedicles and rod
spherules. In addition, TRPM1-immunoreactivity was occasionally found on the rod
spherules ribbons, suggesting that at least a proportion of rods may also express
TRPM1. In situ hybridization showed TRPM1 encoding RNA in inner nuclear layer
somata and in some photoreceptors. The presence of TRPM1-RNA in photoreceptors
was confirmed by PCR in pure photoreceptor material obtained with a laser
dissection microscope. CONCLUSIONS: In the human retina TRPM1 is expressed on ON
bipolar cell dendrites that invaginate photoreceptor terminals. TRPM1 is also
expressed on the synaptic ribbons of a subclass of rods, suggesting a dual
function for TRPM1 in the ON-pathway.
PMID- 21896856
TI - The time course of visual field recovery and changes of retinal ganglion cells
after optic chiasmal decompression.
AB - PURPOSE: To investigate the time course of visual field recovery and changes of
retinal ganglion cells (RGCs) after chiasmal decompression using standard
automated perimetry (SAP), optical coherence tomography (OCT), and photopic
negative response (PhNR). METHODS: Nineteen patients undergoing chiasmal
decompression surgery were prospectively assessed before and 1 and 3 months after
surgery. The same examinations were conducted in nine patients at 6 months after
surgery. Mean deviation and temporal visual field sensitivity (1/Lambert) of SAP,
retinal nerve fiber layer (RNFL) thickness, and ganglion cell complex (GCC) area
measured by OCT and PhNR/b-wave ratio were analyzed. Preoperative measurements
were compared with those of 20 eyes of normal controls. Postoperative
measurements were compared with preoperative data. The relationships among SAP,
OCT, and PhNR measurements throughout the observation periods were evaluated by
linear and logarithmic regressions. RESULTS: Before surgery, all parameters in
patients were significantly worse than those in normal controls. After surgery,
the visual field was significantly improved, but RNFL thickness and GCC area were
significantly reduced for 3 months. The PhNR/b-wave ratio was also reduced, but
not significantly. Six months after surgery, average RNFL thickness, GCC area,
and PhNR/b-wave ratio showed significant improvements by 2.82%, 2.66%, and 8.72%,
respectively, than those at 3 months. Visual fields were significantly correlated
with RNFL thickness, GCC area, and PhNR/b-wave ratio. CONCLUSIONS: Visual field
recovery and changes of RGCs after chiasmal decompression have similar aspects
and are significantly correlated. However, prolonged retrograde degeneration
progressed for some period, even after surgical decompression and visual field
recovery preceded demonstrable retinal regeneration.
PMID- 21896855
TI - The clinical phenotype of trachomatous trichiasis in Ethiopia: not all trichiasis
is due to entropion.
AB - PURPOSE: Trachomatous trichiasis (TT) is usually described as a cicatricial
entropion of the upper lid; however, other forms of trichiasis have been
reported. This variation in clinical phenotype is potentially important for
treatment guidelines. Therefore, this study was conducted to investigate the
range of disease type and severity encompassed by TT. METHODS: Individuals
presenting with TT to surgical treatment campaigns were examined by a single
ophthalmologist using the Detailed WHO Trachoma Grading System. Additional
features were graded, including type of trichiatic lashes (metaplastic,
misdirected, and entropic), lower lid trichiasis, entropion severity, and lid
margin mucocutaneous junction (MCJ) position. RESULTS: Recruited were 2556
individuals with previously unoperated TT in at least one eye (4310 eyes). The
median number of lashes touching the eye was 2 (range, 0 [epilating]-133).
Entropion was absent or mild in 2328 (54.0%) eyes, moderate in 1259 (29.2%) eyes,
and severe in 723 (16.8%) eyes. Trichiatic lashes were predominantly metaplastic
or misdirected (80.2%), rather than secondary to entropion; 4204 (97.7%) had
anteroplacement of the MCJ; and lower lid trichiasis was present in 494 (11.5%).
Entropion was more severe among those with a low BMI, those who were female,
those aged less than 50 years, and those with moderate to severe conjunctival
inflammation, central corneal opacity, and severe conjunctival scarring.
CONCLUSIONS: Many patients with TT have minimal or no entropion. The trichiasis
is frequently attributable to metaplastic or misdirected eyelashes. The results
of tarsal rotation surgery in TT patients without manifest entropion should be
investigated and potentially alternative treatment strategies evaluated.
PMID- 21896857
TI - Test-retest, within-visit variability of Goldmann visual fields in retinitis
pigmentosa.
AB - PURPOSE: Reliable outcome measures are needed to estimate changes in peripheral
vision during future treatment clinical trials for retinal degeneration patients.
The authors examined the short-term variability of Goldmann visual field (GVF)
results converted to retinal areas in retinitis pigmentosa (RP) subjects.
METHODS: Two within-visit GVFs were obtained from one eye each of 37 RP subjects
with visual acuity better than 20/400 by a single experienced operator using the
V4e (n = 28) or III4e (n = 12) target, or both. Planimetric GVF measures were
digitized and converted to retinal areas in square millimeters by a single
independent user. The 95% coefficient of repeatability (CR.(95)) for percentage
change in central retinal area was determined from the test-retest difference.
RESULTS: There were no significant systematic trends toward either increase or
decrease between the first and second GVF. For the III4e target, the CR.(95) was
23.7% on average across all 12 subjects. For the V4e target, the CR.(95) was
32.8% on average across all 28 subjects. However, 3 of 8 subjects with a
geometric mean retinal area <10 mm(2) (~7 degrees radius) for the V4e target
exhibited unusually large variability (50%-100%), and the CR.(95) was 19.2% when
these three subjects were excluded. Variability was not statistically
significantly related to visual acuity, age, presence of cystoid macular edema,
or subjects' stress or anxiety levels. CONCLUSIONS: Inherent test-retest
variability (CR.(95)) of functional retinal areas derived from GVF results in a
clinical RP population can be limited to <20% by using a single experienced
operator, making the GVF the measure of choice for changes in peripheral vision.
PMID- 21896858
TI - Association between depression and dry eye disease in an elderly population.
AB - PURPOSE: A population-based cross-sectional study to investigate the association
between depression and dry eye disease (DED) in a community-dwelling elderly
population. METHODS: The subjects were 657 Korean elders >= 65 years of age
randomly selected from an official household registration database in Yongin,
Korea. DED symptoms were assessed using the six-item Dry Eye Questionnaire. DED
signs were evaluated using the Schirmer test, fluorescein stain score, tear film
break-up time (BUT). Depression was assessed using the Korean version of the
Short Geriatric Depression Scale (SGDS-K). The association between DED and
depression was evaluated using logistic linear analyses. RESULTS: The SGDS-K
score correlated with the number of positive responses in the Dry Eye
Questionnaire (r = 0.229, P < 0.001), but not with tear film break-up time (r =
0.041, P = 0.139) or Schirmer test score (r = 0.048, P = 0.642). In the binary
logistic regression model, female sex (P = 0.014), residence in urban areas (P <
0.001), depression (P < 0.001), and Schirmer score of <= 5 mm (P = 0.035) were
associated with the risk of DED. Depression was associated with the risk of DED
(P < 0.001) in the patients with Schirmer score > 5 mm but not in those with
Schirmer score <= 5 mm (P = 0.290). CONCLUSIONS: Depression was associated with
DED symptoms in subjects with normal or mildly reduced tear production.
PMID- 21896859
TI - Topical dexamethasone-cyclodextrin microparticle eye drops for diabetic macular
edema.
AB - PURPOSE: To test the safety and efficacy of topical 1.5% dexamethasone aqueous
eye drops with cyclodextrin microparticles for diabetic macular edema (DME).
METHODS: Nineteen eyes of 19 consecutive patients with DME were administered
dexamethasone-cyclodextrin eye drops three or six times a day for 4 weeks and
then observed for 4 weeks without treatment. Visual acuity, intraocular pressure,
and spectral domain optical coherent tomography-measured central macular
thickness recordings at weeks 0 (baseline), 4, and 8. These parameters were
compared using Bonferroni-corrected paired t-tests. RESULTS: At weeks 0, 4, and
8, logMAR visual acuity (mean +/- SD) was 0.52 +/- 0.41, 0.37 +/- 0.40 (P =
0.0025 vs. baseline), and 0.45 +/- 0.41, respectively; central macular thickness
(MUm) was 512 +/- 164, 399 +/- 154 (P = 0.0016 vs. baseline), and 488 +/- 172 (P
= 0.0116 versus week 4), respectively; and intraocular pressure (mm Hg) was 15.2
+/- 3.1, 17.4 +/- 4.2 (P = 0.0015 vs. baseline) and 15.8 +/- 4.0, respectively.
At week 4, in 12 (63%) of 19 eyes, central macular thickness had decreased more
than 10%, and the mean change was -20% (-65% to +10%). In 14 of 19 eyes (74%)
visual acuity (logMAR) had improved more than 0.1 at week 4. No subjects showed
severe adverse effects related to the eye drops. CONCLUSIONS: Based on this short
pilot study, topical dexamethasone-cyclodextrin eye drops are well tolerated,
decrease central macular thickness, and improve visual acuity in DME. The results
encourage comparative studies between dexamethasone cyclodextrin microparticle
eye drops and other treatments for DME. (http://www.umin.ac.jp/ctr number,
UMIN000001790.).
PMID- 21896860
TI - Association of genetic variation on chromosome 9p21 with polypoidal choroidal
vasculopathy and neovascular age-related macular degeneration.
AB - PURPOSE: Polypoidal choroidal vasculopathy (PCV) contains aneurismal morphologic
and histopathologic feature and it is considered to be a possible distinct entity
from neovascular age-related macular degeneration (AMD). In this study, the
association of identified risk variants for intracranial aneurysm on chromosome
9p21 with PCV and neovascular AMD in a Chinese Han population was investigated.
METHODS: The authors genotyped rs1333040 and rs10757278 on 9p21 in 177 PCV
patients, 131 neovascular AMD patients, and 182 controls using a genotyping
method and direct DNA sequencing. Allele and genotypes frequencies in the PCV and
neovascular AMD groups were compared with controls using a free open-source
software and binary logistic regression analysis. RESULTS: Rs1333040 was not
associated with PCV or neovascular AMD. Rs10757278 was significantly associated
with PCV [risk allele: A, P (allelic) = 0.014; odds ratio = 1.44; 95% confidence
interval, 1.08-1.94], but not associated with neovascular AMD. After adjusting
for sex, age, smoking status, history of hypertension, type 2 diabetes, and
coronary artery disease, the odds ratio for homozygous carriers of rs10757278-A
was 2.10 (95% confidence interval, 1.14-3.85) for PCV. CONCLUSIONS: The
rs10757278 on chromosome 9p21 is significantly associated with the risk of PCV
but not with neovascular AMD in the Chinese Han population.
PMID- 21896861
TI - Longitudinal changes of axial length and height are associated and concomitant in
children.
AB - PURPOSE: To examine the association between the longitudinal changes of axial
length (AL) and height in Chinese children. METHODS: The study participants were
recruited from the Guangzhou Twin Registry. AL and height were measured every
year from 2006 to 2008. AL was measured using partial coherence laser
interferometry. Height was measured with the participants standing without shoes.
Bivariate correlation coefficients and a multivariate generalized regression
model were used to calculate the association between the changes of AL and
height. Data from the first-born twins were selected to present the results: The
right eye was arbitrarily selected to represent AL of the specific individual.
RESULTS: Mean annual increases of AL and height were 0.22 (SD, 0.17) mm and 3.93
(SD, 3.02) cm, respectively. Correlations between AL and height were 0.47 (95%
CI, 0.40-0.52) in 2006. The correlation between AL at 2006 and height at 2008 was
0.44 (95% CI, 0.37-0.51); the correlation between AL at 2008 and height at 2006
was 0.38 (95% CI, 0.32-0.45). These cross-trait cross-time correlation
coefficients remained statistically significant after adjusting for age and sex.
Plotting the changes of AL and height suggested that the changes of AL and height
with age were concomitant; greater changes were observed in younger children.
CONCLUSIONS: The association between AL and height in cross-sectional analysis
and longitudinal changes may suggest common pathways for the development of eye
size and body size in children.
PMID- 21896862
TI - Corneal modulus and IOP measurements in canine eyes using Goldmann applanation
tonometry and Tono-pen.
AB - PURPOSE: To experimentally examine the effect of corneal modulus on Goldmann
applanation tonometry (GAT) and Tono-pen (Tono-pen XL, Reichert, Inc., Depew, NY)
measurements of intraocular pressure (IOP) in a canine eye model. METHODS: Twenty
one canine globes were recovered from healthy animals. IOP was controlled at 10,
15, 20, 30, and 40 mm Hg and measured by GAT and Tono-pen following standard
protocols. The corneas were dissected and uniaxial tensile tests were performed
on corneal strips. The correlation between GAT and Tono-pen errors and corneal
secant modulus was evaluated using Pearson correlation coefficients. The
influence of corneal thickness and the true pressure was also examined. RESULTS:
At a true IOP of 10, 15, 20, 30, and 40 mm Hg, the GAT readings were 1.1 +/- 1.0,
5.1 +/- 1.5, 9.5 +/- 2.0, 17.3 +/- 1.6, and 25.3 +/- 1.8 mm Hg, respectively. The
corresponding Tono-pen readings were 7.8 +/- 1.7, 12.4 +/- 1.7, 16.1 +/- 1.9,
22.5 +/- 2.1, and 28.1 +/- 2.2 mm Hg, respectively. The mean secant modulus at 1%
strain of the canine corneal strips was 1.54 +/- 0.43 megapascal (MPa). Corneal
secant modulus was significantly correlated with GAT errors when the true IOP was
30 mm Hg (R = 0.49; P < 0.05). No significant correlation was observed between
tonometric errors and corneal thickness. Both GAT and Tono-pen errors increased
significantly at higher pressures (P < 0.001). CONCLUSIONS: Both GAT and Tono-pen
underestimated IOP in canine eyes. There was preliminary experimental evidence
for a correlation between corneal modulus and GAT in the canine eyes and a higher
corneal modulus was associated with higher GAT readings at a certain pressure
level. The tonometric errors appeared to be pressure-dependent.
PMID- 21896863
TI - Histological and confocal microscopy changes in chronic corneal edema:
implications for endothelial transplantation.
AB - PURPOSE: To report in vivo confocal microscopic (IVCM) features in corneal edema
supported by histopathologic correlation. METHODS: This was an observational
study with evaluation of diagnostic technology. Twenty patients with clinically
diagnosed corneal edema were involved, including 11 with Fuchs' endothelial
dystrophy (FED). All cases, in addition to a control group of six normal eyes,
were examined with IVCM before keratoplasty. Four eyes were examined after
surgery. Thirteen corneal samples obtained by penetrating keratoplasty were
examined by light and/or electron microscopy. IVCM and histopathologic sections
were then analyzed for correlation and proper interpretation. Seven patients
underwent Descemet's stripping endothelial keratoplasty (DSEK). RESULTS:
Subepithelial fibroblasts were seen histologically and with IVCM in 7 (53.8%) of
13 full-thickness corneal samples. IVCM alone detected these changes in 11 (55%)
subjects before surgery, as well as after postoperative clinical improvement.
Other IVCM features included absent (30%) or reduced (70%) subbasal corneal
nerves, expanded hyperreflective keratocyte cell bodies, and processes with small
vacuoles and large extracellular lacunae (95%), seen on IVCM only. Endothelial
changes with polymegathism and reduced cell density were seen in non-FED cases.
CONCLUSIONS: This is the first study in which IVCM features of corneal edema have
been compared in detail with histopathologic findings. Subepithelial fibroblasts,
reduced subbasal corneal nerves, and stromal keratocyte morphology were well
documented in this study. With increasing popularity of DSEK this work supports
the role of IVCM in quantitative evaluation of corneal edema in early
preoperative stages, as well as after surgery, when the cornea appear clinically,
but not histologically, normal.
PMID- 21896864
TI - Regulation of experimental autoimmune uveoretinitis by anti-delta-like ligand 4
monoclonal antibody.
AB - PURPOSE: To investigate the involvement of delta-like ligand (Dll)4 in the
development of experimental autoimmune uveoretinitis (EAU) in B10.RIII mice.
METHODS: B10.RIII mice were immunized with interphotoreceptor retinoid binding
protein (IRBP) peptide 161-180 in complete Freund's adjuvant together with
intraperitoneal injection of Bordetella pertussis toxin. mRNA expressions of
Notch receptors and their ligands in the eye were evaluated. To investigate the
involvement of Dll in EAU, anti-Dll1, anti-Dll4, or control antibody (Ab) was
intraperitoneally injected during both the induction and the effector phases or
only the effector phase. Alternatively, mice were intraperitoneally injected with
gamma-secretase inhibitor (GSI) or the control vehicle during the induction
phase. Fourteen days after immunization, the eyes and spleens were harvested. The
eyes were used for histologic and/or cytokine mRNA expression analysis, whereas
the spleens were used for flow cytometric analysis, and antigen-recall
proliferation and cytokine assays. RESULTS: Expression of Notch1, 2, 4, and Dll4
in the eye were upregulated by EAU induction. Anti-Dll4 Ab treatment during both
the induction and effector phases, but not only the effector phase, significantly
reduced the severity of EAU. IFN-gamma, IL-12p35, IL-17A, and TGF-beta mRNA
expression in the eye were significantly attenuated by treatment with anti-Dll4
Ab. Splenocytes from anti-Dll4 Ab-treated mice showed significantly less
proliferation and IL-17 production on antigen stimulation. Also, the severity of
EAU was significantly reduced by gamma-secretase inhibitor treatment during the
induction phase. CONCLUSIONS; Dll4-mediated Notch signaling during the
sensitization is critical for the development of EAU. This can be a novel
prophylactic target for autoimmune uveitis.
PMID- 21896865
TI - Regulation of cytosolic phospholipase A2 (cPLA2alpha) and its association with
cell proliferation in human lens epithelial cells.
AB - PURPOSE: To investigate the molecular mechanism for cytosolic phospholipase A2
(cPLA(2)alpha) regulation and its association to platelet-derived growth factor
(PDGF)-induced cell proliferation. METHODS: cPLA(2)alpha was examined using human
lens epithelial (HLE) B3 cells. Reactive oxygen species (ROS) generation induced
by PDGF was analyzed by luminescence assay. Cell proliferation was measured by
cell counting and by BrdU assay. Human cPLA(2)alpha gene was cloned via RT-PCR
followed by site-directed mutagenesis to construct HLE B3 cells expressing either
inactive cPLA(2)alpha enzyme with S228A mutation (S228A), or cPLA(2)alpha
truncated at the calcium-binding C2 domain (C2D). Activity of cPLA(2)alpha was
measured by arachidonic acid (AA) release from cell membranes using [(3)H]
arachidonic acid prelabeled cells. The effect of intracellular calcium level on
cPLA(2)alpha function was examined by treating cells with ionomycin (calcium
influx), thapsgargin (endoplasmic reticulum [ER] calcium store release) or 1,2
bis(o-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid tetrakis (BAPTA; calcium
chelator). Activation of extracellular signal-regulated kinases (ERK), JNK, p38,
or Akt was detected by Western blot analysis using specific antibodies. RESULTS:
S228A mutant showed suppressed PDGF-induced reactive oxygen species generation,
ERK and JNK activation (no effect on p38 or Akt), and cell proliferation in
comparison with the vector alone (Vec) control. Calcium-binding C2 domain cells
lost the ability of membrane translocation and activation of cPLA(2)alpha. PDGF
cell signaling was calcium-dependent, and the calcium was supplied either from
the external flux or endoplasmic reticulum store. However, enrichment of cellular
calcium not only augmented PDGF function, but also demonstrated a cPLA(2)alpha
dependent calcium-signaling cascade that led to cell proliferation. CONCLUSIONS:
cPLA(2)alpha is regulated by calcium mobilization and mitogen-activated protein
kinases (MAPK) activation. Both PDGF mitogenic action and calcium signaling are
cPLA(2)alpha-dependent.
PMID- 21896866
TI - Vitronectin: a matrix support factor for human limbal epithelial progenitor
cells.
AB - PURPOSE: The authors recently developed a therapeutic technique for patients with
limbal stem cell deficiency by harvesting ocular surface stem cells (SCs),
expanding them on therapeutic contact lenses (CLs), and applying them to diseased
corneas. The present study determined the proteins that bind to CLs and whether
such factors, along with transplanted cells, are critical determinants for
corneal rehabilitation using this method. METHODS: Therapeutic CLs were exposed
to human serum, and adherent proteins were analyzed by proteomics. The
distribution of vitronectin (VN) on the ocular surface was determined with
specific antibodies. Cadaveric human corneas were chemically wounded, and cell
transfer by CLs was assessed in organ culture. RESULTS: VN was identified as a
serum factor that binds and desorbs from CLs. VN localized to the limbal and
basement membranes (BM) of other SC-harboring organs. Clonogenic assays
demonstrated higher colony-forming efficiency on VN compared with uncoated
surfaces. Cell transfer from CLs was achieved through in vitro models and was
abrogated by RGD peptides and inhibitory antibodies to VN and its receptor.
CONCLUSIONS: Identification of VN within the limbal BM, its effect on limbal SC
activity, and the discovery of this factor on serum-exposed CL polymers implies a
role in supporting progenitor cells and facilitating corneal regeneration.
PMID- 21896867
TI - Associations of complement factor H (CFH) and age-related maculopathy
susceptibility 2 (ARMS2) genotypes with subtypes of polypoidal choroidal
vasculopathy.
AB - PURPOSE: To clarify whether complement factor H (CFH) and age-related maculopathy
susceptibility 2 (ARMS2) genotypes are associated with subtypes of polypoidal
choroidal vasculopathy (PCV), such as polypoidal choroidal neovascularization
(CNV) and typical PCV. METHODS: Two hundred eighty-seven patients were
categorized as having polypoidal CNV (85 patients) or typical PCV (202 patients)
on the basis of indocyanine green angiographic findings. In total, 277 subjects
without age-related macular degeneration (i.e., free of PCV and CNV), served as
controls. I62V (rs800292) in the CFH gene and A69S (rs10490924) in the ARMS2 gene
were genotyped, and case-control studies were performed in subjects with these
PCV subtypes. RESULTS: The polypoidal CNV group included no subjects homozygous
for the A/A genotype of rs800292, whereas 7% of the typical PCV group had this
genotype. Case-control studies of polypoidal CNV and typical PCV showed
significant differences in all distributions of rs10490924 between these two
groups. In contrast, the distributions of rs10490924 did not differ between the
typical PCV and control groups. Logistic regression analysis with adjustment for
confounding factors showed the distributions of rs10490924 to differ
significantly between the controls and polypoidal CNV cases (P = 2.1 * 10(-10);
OR, 10.87). The T/T genotype was significantly more common in the polypoidal CNV
than in the typical PCV group (P = 3.6 * 10(-14); OR, 19.61). CONCLUSIONS: PCV
may be genetically divisible into polypoidal CNV and typical PCV. The rs800292
variant of the CFH gene is a potential marker for typical CNV. The rs10490924
variant of the ARMS2 gene was shown to be associated with polypoidal CNV. Typical
PCV was not associated with this variant.
PMID- 21896868
TI - Selective rod degeneration and partial cone inactivation characterize an
iodoacetic acid model of Swine retinal degeneration.
AB - PURPOSE. Transgenic pigs carrying a mutant human rhodopsin transgene have been
developed as a large animal model of retinitis pigmentosa (RP). This model
displays some key features of human RP, but the time course of disease
progression makes this model costly, time consuming, and difficult to study
because of the size of the animals at end-stage disease. Here, the authors
evaluate an iodoacetic acid (IAA) model of photoreceptor degeneration in the pig
as an alternative model that shares features of the transgenic pig and human RP.
METHODS. IAA blocks glycolysis, thereby inhibiting photoreceptor function. The
effect of the intravenous injection of IAA on swine rod and cone photoreceptor
viability and morphology was followed by histologic evaluation of different
regions of the retina using hematoxylin and eosin and immunostaining. Rod and
cone function was analyzed by full-field electroretinography and multifocal
electroretinography. RESULTS. IAA led to specific loss of rods in a central-to
peripheral retinal gradient. Although cones were resistant, they showed shortened
outer segments, loss of bipolar cell synaptic connections, and a diminished
flicker ERG, hallmarks of transition to cone dysfunction in RP patients.
CONCLUSIONS. IAA provides an alternative rod-dominant model of retinal damage
that shares a surprising number of features with the pig transgenic model of RP
and with human RP. This IAA model is cost-effective and rapid, ensuring that the
size of the animals does not become prohibitive for end-stage evaluation or
therapeutic intervention.
PMID- 21896869
TI - Reevaluation of dystrophin localization in the mouse retina.
AB - PURPOSE. The roles of dystrophins in retinal physiology remain elusive. The lack
of proper clustering of the potassium channel Kir4.1 and of the aquaporin AQP4
was proposed to be the basis of the ERG abnormality observed in many Duchenne
muscular dystrophy (DMD) patients. However, the electroretinogram of Dp71-null
mice, in which this clustering is disrupted, shows only a moderate reduction of
the b-wave with no change in the implicit times. Additionally, the deficit in
color discrimination found in DMD patients is hard to explain through the known
expression of DMD gene products. The authors thus decided to reexamine their
distribution in the mouse retina. METHODS. Messenger RNA distribution was
assessed by PCR coupled to laser microdissection of the outer and inner nuclear
layers and by in situ hybridization for Dp427. Mouse retinas were double labeled
for dystrophins versus presynaptic and postsynaptic proteins or antibodies
specific for Dp427 or Dp427+Dp260. RESULTS. Messengers for Dp427, Dp260, and
Dp140 were present in the inner nuclear layer. Dp427 mRNA was further detected in
bipolar cells and in some amacrine cells by in situ hybridization. Comparative
labeling in wild-type and mdx(5Cv) retinas (lacking Dp427) indicated a
differential distribution of Dp427 and Dp260 between rod and cone terminals.
CONCLUSIONS. In addition to their localization in photoreceptor terminals, Dp427,
Dp260, and Dp140 are expressed in inner nuclear layer neurons, notably in bipolar
cells for Dp427. Dp427 was proportionally more expressed in cone- than in rod
associated synapses compared with Dp260.
PMID- 21896870
TI - Enhancement of axonal regeneration of retinal ganglion cells in adult rats by
etomidate: involvement of protein kinase C.
AB - PURPOSE: To investigate the effect of etomidate (ET) on axonal regeneration of
retinal ganglion cells (RGCs) in adult rats. METHODS: The optic nerve was
transected intraorbitally at 1 mm from the optic disc, and an autologous
peripheral nerve was transplanted onto the ocular ON stump in adult rats. Then
the animals were treated with ET, Go6976, ET combined with Go6976, phorbol-12
myristate-13-acetate (PMA), or ET combined with PMA. Four weeks after grafting,
the number of regenerating RGCs labeled retrogradely with neuronal retrograde
tracer was counted in all animals, and the activity of membrane protein kinase C
(mPKC) and cytoplasmic PKC (cPKC) was measured in ET-treated animals. RESULTS:
The number of regenerating RGCs significantly increased when the dose of ET was
increased from 2 mg/kg to 6 mg/kg, whereas the ratio of mPKC activity to cPKC
activity significantly decreased in ET-treated animals. Go6976, a potent
conventional PKC inhibitor, also significantly increased the number of
regenerating RGCs. However, the number of regenerating RGCs in animals treated
with Go6976 alone was significantly lower than in those treated with ET at 6
mg/kg. Combined treatment with ET at 6 mg/kg and Go6976 did not increase the
number of regenerating RGCs. In contrast, PMA, a potent PKC activator, partially
abolished the positive effect of ET on the axonal regeneration of axotomized
RGCs. CONCLUSIONS: These results suggest that ET promotes axonal regeneration of
RGCs in adult rats, in part by inhibiting conventional PKC.
PMID- 21896871
TI - Prevalence of primary open angle glaucoma in a rural adult Chinese population:
the Handan eye study.
AB - PURPOSE: To estimate the prevalence and associations of primary open angle
glaucoma (POAG) in a rural population of northern China. METHODS: In a rural
county in Handan, China, 6716 adults residing in 13 villages were randomly
selected and participated in the study. All participants completed a
comprehensive eye examination, including intraocular pressure (IOP), slit-lamp
examination, and fundus evaluation. Visual fields were obtained with on glaucoma
suspects. RESULTS: Sixty-five persons (1.2%) had POAG, with an adjusted
prevalence of 1.0% (95% confidence interval [CI], 0.7% -1.3%) in those aged 40
years and older. Sex was not significantly associated with POAG (P > 0.05). Age
(odds ratio [OR], 1.9; 95% CI, 1.4-2.5, for each 10-year increase), IOP (OR, 1.5;
95% CI 1.2-2.0 for each 5-mm Hg increase), axial length (OR, 1.3; 95% CI, 1.1
1.6), and moderate myopia (3.1-6.0 D; OR, 4.7; 95% CI, 1.6-13.5) increased the
risk for POAG in multivariate analysis. The mean IOP of persons with POAG was
16.3 +/- 3.5 mm Hg, and 90% of them presented with an IOP <= 21 mm Hg. Of those
with POAG, 4.5% were blind from glaucoma in at least one eye. CONCLUSIONS:
Approximately 1% of adults aged 40 years and older living in rural China have
POAG. As seen in other populations, increasing age, higher IOP, greater axial
length, and having myopia were associated with POAG. Given the rapid aging and
myopic shift (acquired myopia) in China's population, POAG is likely to increase
in prevalence in the coming decades.
PMID- 21896872
TI - Automated assessment of diabetic retinopathy severity using content-based image
retrieval in multimodal fundus photographs.
AB - PURPOSE: Recent studies on diabetic retinopathy (DR) screening in fundus
photographs suggest that disagreements between algorithms and clinicians are now
comparable to disagreements among clinicians. The purpose of this study is to (1)
determine whether this observation also holds for automated DR severity
assessment algorithms, and (2) show the interest of such algorithms in clinical
practice. METHODS: A dataset of 85 consecutive DR examinations (168 eyes, 1176
multimodal eye fundus photographs) was collected at Brest University Hospital
(Brest, France). Two clinicians with different experience levels determined DR
severity in each eye, according to the International Clinical Diabetic
Retinopathy Disease Severity (ICDRS) scale. Based on Cohen's kappa (kappa)
measurements, the performance of clinicians at assessing DR severity was compared
to the performance of state-of-the-art content-based image retrieval (CBIR)
algorithms from our group. RESULTS: At assessing DR severity in each patient,
intraobserver agreement was kappa = 0.769 for the most experienced clinician.
Interobserver agreement between clinicians was kappa = 0.526. Interobserver
agreement between the most experienced clinicians and the most advanced algorithm
was kappa = 0.592. Besides, the most advanced algorithm was often able to predict
agreements and disagreements between clinicians. CONCLUSIONS: Automated DR
severity assessment algorithms, trained to imitate experienced clinicians, can be
used to predict when young clinicians would agree or disagree with their more
experienced fellow members. Such algorithms may thus be used in clinical practice
to help validate or invalidate their diagnoses. CBIR algorithms, in particular,
may also be used for pooling diagnostic knowledge among peers, with applications
in training and coordination of clinicians' prescriptions.
PMID- 21896873
TI - Vitamin D and cardiovascular disease.
AB - Vitamin D participates in numerous physiologic and pathologic processes. Most
tissues have vitamin D receptors (VDRs), and vitamin D is an important regulator
of gene expression. Approximately 1 billion people worldwide have insufficient
levels of vitamin D. Deficiency has been associated with many chronic diseases,
including cardiovascular disease (CVD), which is the leading cause of death in
both men and women. A relationship between vitamin D and CVD is implicated;
however studies show conflicting data. Epidemiologic evidence and observational
studies demonstrate an association between vitamin D deficiency and CVD; however,
this is not substantiated by randomized controlled trials (RCTs). Many questions
remain unanswered, but growing evidence supports a beneficial role of vitamin D
on cardiovascular health. Key teaching points: * Vitamin D influences many
cellular functions. * A global pandemic of vitamin D deficiency exists. *
Epidemiologic data and observational studies suggest that vitamin D deficiency
may increase cardiovascular risk. * RCTs show no significant relationship
(however, studies have significant limitations). * The association between
vitamin D status and CVD is uncertain, but low vitamin D levels may be an
independent and modifiable CV risk factor.
PMID- 21896874
TI - Effect of calcium carbonate particle size on calcium absorption and retention in
adolescent girls.
AB - OBJECTIVE: Increasing calcium bioavailability by decreasing calcium salt particle
size in the supplement may be one way to increase calcium absorption. The aim of
the study was to compare (1) large versus small particle size CaCO(3) supplements
and (2) small particle size CaCO(3) supplement versus placebo on calcium
absorption and retention in adolescent girls. METHODS: Thirty-one adolescent
girls, aged 11 to 14 years, participated in two 3-week calcium balance periods
separated by a 1-week washout period. During both balance periods, the subjects
consumed a controlled diet containing 804 mg/d calcium. Using a crossover design,
one group (n = 19) received an additional ~600 mg/d calcium of two ~300-mg
calcium doses as either large particle (18 MUm; i.e., standard commercial form)
or small particle (13.5 MUm) CaCO(3). A second group (n = 12) received ~600 mg/d
calcium from small-particle CaCO(3) or placebo. RESULTS: The parathyroid hormone
suppression curve, following a challenge, from the first arm of the study
indicated that calcium absorption from the small particle size CaCO(3) was less
than that from the large particle size CaCO(3). The parathyroid hormone
suppression curve from the small particle versus placebo arm indicated that
calcium absorption from small particle size CaCO(3) was greater than placebo.
Calcium balance (Ca intake - [urine Ca + fecal Ca]) demonstrated that the small
particle size CaCO(3) supplement increased Ca retention nearly 2-fold compared
with placebo (p < 0.05; 496 +/- 213 and 256 +/- 94 mg/d, respectively). However,
there was no significant difference in Ca retention due to small versus large
particle size of CaCO(3) (p > 0.05; 349.1 +/- 131.6 and 322.0 +/- 194.2 mg/d,
respectively). CONCLUSIONS: Dietary supplementation with CaCO(3) is effective in
increasing calcium absorption and retention compared with placebo. But there is
no advantage of small compared with large particle size CaCO(3) on calcium
absorption and retention.
PMID- 21896875
TI - Loss of taste responds to high-dose biotin treatment.
AB - BACKGROUND AND OBJECTIVE: We saw 2 patients who lost their sense of taste, which
was restored by pharmacologic doses of biotin. The key objective is to describe
the 2 case reports and suggest a potential treatment for unexplained loss of
taste. METHODS AND DESIGN: The first patient was a 67-year-old woman who lost her
sense of taste taking Juvenon, a dietary herbal supplement containing acyl-L
carnitine, lipoic acid, calcium, phosphorus, and biotin 300 MUg per day. The
second patient was a 60-year-old man who lost his sense of taste after a sleeve
gastrectomy for obesity. RESULTS: The first patient did not respond to 5 mg per
day of biotin, but taste was restored with 10 mg of biotin per day. Biotin was
prescribed based on information that lipoic acid bound to the biotin transporter.
Baseline urine gave no evidence of a pre-existing biotin deficiency. The second
patient did not have restoration of taste after taking biotin 5 mg per day for 7
weeks but did have taste restoration on biotin 20 mg per day. Neither subject had
an abnormal biotinidase level. CONCLUSIONS: Further research into the
relationship of biotin to taste is clearly indicated. Loss of taste was very
distressing and significantly altered the quality of life for both patients.
Since biotin up to 40 mg per day has been shown to be safe, a therapeutic trial
of pharmacologic doses of biotin should be considered as a potentially curative
treatment in patients who present with a loss of taste that has no obvious cause.
PMID- 21896876
TI - The Oslo health study: cheese intake was negatively associated with the metabolic
syndrome.
AB - OBJECTIVE: We previously reported that the frequency of cheese intake (FCI) was
negatively associated with serum triglycerides and positively associated with
high-density lipoprotein cholesterol (HDL), both components of the metabolic
syndrome (MetS). We therefore wanted to study whether FCI is associated with
MetS. METHODS: The Oslo Health Study (18,770 subjects), obtained data from 7815
men and 9685 women on cheese intake and risk factors for MetS, except for fasting
glucose. MetS requires central obesity and at least 2 of the following: increased
triglycerides, low HDL cholesterol, increased systolic or diastolic blood
pressure, and elevated fasting blood glucose. We calculated the index SumRisk,
providing the number of MetS factors present, and MetSRisk to reflect the
combined levels of many MetS factors. The association between FCI and MetSRisk
(SumRisk) was studied using regression analyses. RESULTS: In young (30 years),
middle-aged (40 and 45 years), seniors (59-60), and old (75-76 years) subjects,
there was an inverse association between FCI and MetSRisk (p <= 0.005, except in
old men). Using regression, we found a consistent negative association (p <
0.001) between FCI and MetSRisk, SumRisk, single MetS components, and the
complete MetS, prevailing after controlling for sex, age, time since last meal,
intake of fruit/berries, fruit juice, fatty fish, coffee, alcohol, smoking,
leisure time physical activity, years at school, and birthplace. FCI was also
negatively associated with body mass index (p < 0.02), except in old women.
CONCLUSION: Cheese intake can be negatively and independently associated with (1)
an index including the level of many risk factors for MetS, (2) the number of
MetS requirements present, (3) single MetS components, and (4) the complete MetS.
PMID- 21896877
TI - Randomized placebo-controlled trial of guava juice as a source of ascorbic acid
to reduce iron deficiency in Tarahumara indigenous schoolchildren of northern
Mexico.
AB - OBJECTIVE: Assess the efficacy of a 10-week consumption of guava juice on the
iron status of children with mild iron deficiency anemia. METHODS: Ninety-five
boarding school children aged 6-9 years identified as anemic were randomly
allocated to receive 300 mL of natural guava juice containing ~200 mg of ascorbic
acid (AA) or placebo (guava-flavored juice free of AA) with the main meal (5
d/wk). Information about dietary intake was collected at weeks 3, 5, and 7 at
school and household levels. Changes in hemoglobin (Hb) and plasma ferritin (PF)
among the subsample iron deficient at baseline (n = 33) were the main outcomes.
RESULTS: Iron and phytic acid intakes at school and at home did not differ
between groups. Baseline Hb and PF were 11.9 +/- 0.5 g/dL and 8.2 +/- 3.6 ng/mL
for the guava, and 11.4 +/- 1.1 g/dL and 7.4 +/- 4.6 ng/mL for the placebo group
(Hb: p = 0.08; PF: p = 0.31); at week 10 of the study, corresponding values were
13.1 +/- 0.9 g/dL and 17.9 +/- 10.3 ng/mL (n = 16), and 12.3 +/- 1.3 g/dL and
15.4 +/- 5.8 ng/mL (n = 12) (Hb: p = 0.05; PF: p = 0.21). With analysis of
variance (ANOVA) for repeated measures, the guava group had 0.64 g/dL higher Hb
(CI(95), 0.18-1.11; p = 0.01) and 2.47 ng/mL higher PF (CI(95), -1.04 to 5.98; p
= 0.12) compared with the placebo group. CONCLUSION: Guava juice providing 200 mg
AA at one meal on each school day had a marginal effect on Hb and PF
concentrations in children consuming high-phytate diets fortified with iron.
PMID- 21896878
TI - Cholesterol ester and free fatty acids are modulated by policosanol in CaCo-2
intestinal cells.
AB - OBJECTIVE: To investigate policosanol absorption by brush border membrane (BBM),
metabolism in CaCo-2 enterocytes, and transport of policosanol metabolites across
the basolateral membrane (BLM). It was hypothesized that policosanol is partially
oxidized into fatty acids and then is incorporated into other lipids. METHODS:
Policosanol was emulsified with phosphatidylcholine in the culture medium. The
viability of cells was assessed via an MTT (3-[4,5]dimethylthiazol-2-yl-2,5
diphenyltetrazolim) assay. Control cells received only the same amount of
"vehicle" (phosphatidylcholine) without policosanol. CaCo-2 cell monolayer and
medium were collected; lipid was extracted and analyzed by thin-layer
chromatography (TLC) and gas liquid chromatography (GLC). RESULTS: Eighty-six
percent of policosanol added to the cell culture medium was absorbed after 48
hours' incubation. The amount of cholesterol ester fatty acid was significantly
increased both in the cells and in the basolateral medium, and was reduced in the
apical medium. Policosanol increased the quantity of free fatty acids in the
basolateral medium and reduced the free fatty acid content of CaCo-2 cells.
Further evaluation of lipid profiles indicated that policosanol modulated the
fatty acid profile of cholesterol ester in the basolateral medium. CONCLUSION: It
was concluded that policosanol or policosanol metabolites may modulate lipid
metabolism and/or transport following absorption by the BBM, partial oxidation by
the intestinal epithelium, and transport of policosanol metabolites across the
BLM.
PMID- 21896879
TI - Effect of folic acid supplementation on homocysteine, serum total antioxidant
capacity, and malondialdehyde in patients with type 2 diabetes mellitus.
AB - OBJECTIVE: Metformin is widely used in patients with type 2 diabetes, but it may
decrease vitamin B12 and folate levels and increase levels of homocysteine (Hcy).
Hyperhomocysteinemia (HHC) and hyperglycemia induce oxidative stress in type 2
diabetes. Thus, this study was performed to determine the effects of folate
supplementation on the concentration of homocysteine, total antioxidant capacity
(TAC), and malondialdehyde (MDA). METHODS: This was a double-blind randomized
controlled clinical trial. Sixty-eight men with type 2 diabetes participated in
the study with written consent. Patients were divided randomly into 2 groups:
folic acid 5 mg/d and placebo. All patients received the tablets for 8 weeks.
Anthropometric and nutrient intake data were obtained from each patient. Baseline
and eighth-week homocysteine, total antioxidant capacity, malondialdehyde,
folate, and B12 levels were measured. RESULTS: After folate supplementation in
the folic acid group, homocysteine was significantly decreased (15.1 +/- 3.2 to
12.1 +/- 3.1 MUmol/L, p < 0.001) and folate and B12 levels were significantly
increased (p < 0.001). A significant increase in total antioxidant capacity (0.96
+/- 0.2 to 1.14 +/- 0.3 mmol Fe2+/L, p < 0.001) and a significant decrease in
malondialdehyde (2.6 +/- 0.7 to 1.7 +/- 0.2 MUmol/L, p < 0.001) were observed in
the folic acid group, whereas no significant changes occurred in the placebo
group (p > 0.05). CONCLUSION: Pharmacological doses of folate supplementation
lowered plasma homocysteine and serum malondialdehyde levels and improved serum
total antioxidant capacity and folate and B12 levels in patients with type 2
diabetes.
PMID- 21896880
TI - Prevalence of high blood pressure among young rural adults in relation to height
in childhood and adult body mass index.
AB - OBJECTIVE: Evidence, mostly based on developed countries, indicates that disease
risks may be associated with changes in growth processes rather than a one-point
phenomenon. In view of the increasing prevalence of hypertension in India, there
is a need to understand how patterns of growth during early childhood and
adolescence influence blood pressure in adulthood, particularly among rural
populations in India. METHODS: The risk of hypertension was examined in 378 rural
men older than 20 years who were measured for anthropometry during early
childhood, adolescence, and as young adults in a community-based cohort study.
RESULTS: At the young age of 24 years, 33.9% of men had either high systolic
blood pressure (>=130 mmHg) or high diastolic blood pressure (>=85 mmHg), even in
absence of obesity. The growth of the subjects during childhood, in whom blood
pressure developed later in young adulthood, was characterized by higher body
mass index (BMI) throughout early childhood and adolescence as compared with
those who had normal blood pressure. The prevalence of high blood pressure,
especially diastolic, at this adult age was strongly associated with stunting at
3+ years of age (<-2 SD height of the World Health Organization standard), and
risk increased (odds ratio, 12.21; 95% confidence interval, 2.93-50.90; p <
0.001) among those having high BMI (>=23 kg/m(2)) at adult age as compared with
those who were not stunted in early life with a low BMI at adult age.
CONCLUSIONS: The primary prevention of the epidemic of high blood pressure in
India may require measures to prevent children from being stunted in early
childhood and also prevent them from being overweight at young adulthood.
PMID- 21896881
TI - A wheat homolog of MOTHER OF FT AND TFL1 acts in the regulation of germination.
AB - Seed dormancy is an adaptive mechanism and an important agronomic trait.
Temperature during seed development strongly affects seed dormancy in wheat
(Triticum aestivum) with lower temperatures producing higher levels of seed
dormancy. To identify genes important for seed dormancy, we used a wheat
microarray to analyze gene expression in embryos from mature seeds grown at lower
and higher temperatures. We found that a wheat homolog of MOTHER OF FT AND TFL1
(MFT) was upregulated after physiological maturity in dormant seeds grown at the
lower temperature. In situ hybridization analysis indicated that MFT was
exclusively expressed in the scutellum and coleorhiza. Mapping analysis showed
that MFT on chromosome 3A (MFT-3A) colocalized with the seed dormancy
quantitative trait locus (QTL) QPhs.ocs-3A.1. MFT-3A expression levels in a
dormant cultivar used for the detection of the QTL were higher after
physiological maturity; this increased expression correlated with a single
nucleotide polymorphism in the promoter region. In a complementation analysis,
high levels of MFT expression were correlated with a low germination index in T1
seeds. Furthermore, precocious germination of isolated immature embryos was
suppressed by transient introduction of MFT driven by the maize (Zea mays)
ubiquitin promoter. Taken together, these results suggest that MFT plays an
important role in the regulation of germination in wheat.
PMID- 21896882
TI - Functional network construction in Arabidopsis using rule-based machine learning
on large-scale data sets.
AB - The meta-analysis of large-scale postgenomics data sets within public databases
promises to provide important novel biological knowledge. Statistical approaches
including correlation analyses in coexpression studies of gene expression have
emerged as tools to elucidate gene function using these data sets. Here, we
present a powerful and novel alternative methodology to computationally identify
functional relationships between genes from microarray data sets using rule-based
machine learning. This approach, termed "coprediction," is based on the
collective ability of groups of genes co-occurring within rules to accurately
predict the developmental outcome of a biological system. We demonstrate the
utility of coprediction as a powerful analytical tool using publicly available
microarray data generated exclusively from Arabidopsis thaliana seeds to compute
a functional gene interaction network, termed Seed Co-Prediction Network
(SCoPNet). SCoPNet predicts functional associations between genes acting in the
same developmental and signal transduction pathways irrespective of the
similarity in their respective gene expression patterns. Using SCoPNet, we
identified four novel regulators of seed germination (ALTERED SEED GERMINATION5,
6, 7, and 8), and predicted interactions at the level of transcript abundance
between these novel and previously described factors influencing Arabidopsis seed
germination. An online Web tool to query SCoPNet has been developed as a
community resource to dissect seed biology and is available at
http://www.vseed.nottingham.ac.uk/.
PMID- 21896883
TI - SLCO transport genes in prostate cancer--letter.
PMID- 21896884
TI - Posterior crossbite and temporomandibular disorders (TMDs): need for orthodontic
treatment?
AB - The aim of this work was to update the bibliography regarding the concept of
'temporomandibular disorder (TMD)' and 'posterior crossbite' and try to find out
if there is any association between some special signs/symptoms of TMD and type
of posterior crossbite. A literature search from 1970 to 2009, due to specified
criterion, resulted in 14 publications that were found to be relevant for the
present systematic review. An association between TMD and posterior crossbite
(Yes-group) was reported as often as absence of such a relationship (No-group).
The samples in the two groups showed similarities as well as differences with
respect to number, gender, and age. Most articles reported only on 'presence' or
'absence' of crossbite and only few on type of crossbite opposite to a thorough
account of clinical signs and symptoms of TMD. This review seems, however, to
state that a functional posterior crossbite (mandibular guidance with midline
deviation) is associated with headache, temporomandibular joint and muscular
pain, and clicking. As evident from the discussion, such type needs orthodontic
treatment to rehabilitate the asymmetric muscular activity between the crossbite
and non-crossbite sides and the changed condyle/temporal relationship caused by
mandibular deviation. Whether this treatment also will avoid future TMD problems
can be answered only after clinical follow-up studies have been performed.
PMID- 21896885
TI - Vitamin E decreased the activity of acetylcholinesterase and level of lipid
peroxidation in brain of rats exposed to aged and diluted sidestream smoke.
AB - INTRODUCTION: The biological systems of both smoker and passive smoking suffer
changes caused by toxic compounds from cigarette smoke such as inflammation,
lipid peroxidation, and deficiency of vitamin E. The aim of the present study was
to evaluate the effect of vitamin E on acetylcholinesterase (AChE) activity and
the lipid peroxidation level in the brain of rats in the model of exposure to
aged and diluted sidestream smoke (ADSS). METHODS: Adult male Wistar rats (200
300 g) were exposed to ADSS for 4 weeks and treated with vitamin E (50 mg/kg/day)
loaded by gavage. In the first, second, third, and fourth weeks, animals were
concomitantly exposed to the smoke of 1, 2, 3, and 4 cigarettes/day,
respectively. The duration of each exposure was 15 min, daily. RESULTS: For rats
exposed to ADSS, the AChE activity and lipid peroxidation level increased in the
striatum, cerebral cortex, and cerebellum. In contrast, the activity of AChE and
the level of lipid peroxidation decreased in the smoke group treated with vitamin
E. CONCLUSIONS: The results suggest that the rats exposed to ADSS and treated
with vitamin E significantly reduced the raised activity of AChE and level lipid
peroxidation from the brain structures studied. The study, therefore, concludes
that vitamin E could be considered as a therapeutic agent in this type of
exposure.
PMID- 21896886
TI - Examining hookah smoking among a cohort of adolescent ever smokers.
AB - INTRODUCTION: Evidence suggests that hookah smoking is growing among adolescents,
particularly among those with a history of cigarette smoking, and is an emerging
public health concern. We examined hookah use and its correlates among a sample
of adolescents who have ever smoked and may be considered high risk for hookah
use. METHODS: We examined differences between hookah users and nonusers among a
cohort of 951 adolescents (75.3% of the baseline sample, mean age 17.6 years at
24 months), consisting exclusively of youth who reported ever smoking cigarettes
who were participating in a longitudinal study of adolescent smoking predictors
and patterns. Ever and 30-day hookah use were assessed at 24 months. RESULTS: Of
the 951 participants, 58.5% reported ever use and 30.2% reported smoking hookah
at least 1 day in the past 30 days. Multivariate logistic regression analyses
found that 30-day hookah use was associated with sex (p < .05); race (p < .001);
current cigarette (p < .0001), cigar (p < .01), kretek (p < .05), and alcohol use
(p < .01); and attending a hookah bar, lounge, or restaurant (p < .001).
Participants who were male, White, and were concurrent users of multiple tobacco
products and other substances had increased odds of 30-day hookah use.
CONCLUSIONS: Prevalence of hookah use is high among youth who have already tried
cigarette smoking and is associated with a variety of tobacco and other substance
use behaviors. Evidence-based programs may be needed to prevent initiation of or
reduce Hookah smoking, as well as address cooccurring problem behaviors, to
lessen the health risks associated with use among adolescents.
PMID- 21896888
TI - Capturing metabolite channeling in metabolic flux phenotypes.
PMID- 21896887
TI - Multiple lines of evidence localize signaling, morphology, and lipid biosynthesis
machinery to the mitochondrial outer membrane of Arabidopsis.
AB - The composition of the mitochondrial outer membrane is notoriously difficult to
deduce by orthology to other organisms, and biochemical enrichments are
inevitably contaminated with the closely associated inner mitochondrial membrane
and endoplasmic reticulum. In order to identify novel proteins of the outer
mitochondrial membrane in Arabidopsis (Arabidopsis thaliana), we integrated a
quantitative mass spectrometry analysis of highly enriched and prefractionated
samples with a number of confirmatory biochemical and cell biology approaches.
This approach identified 42 proteins, 27 of which were novel, more than doubling
the number of confirmed outer membrane proteins in plant mitochondria and
suggesting novel functions for the plant outer mitochondrial membrane. The novel
components identified included proteins that affected mitochondrial morphology
and/or segregation, a protein that suggests the presence of bacterial type lipid
A in the outer membrane, highly stress-inducible proteins, as well as proteins
necessary for embryo development and several of unknown function. Additionally,
proteins previously inferred via orthology to be present in other compartments,
such as an NADH:cytochrome B5 reductase required for hydroxyl fatty acid
accumulation in developing seeds, were shown to be located in the outer membrane.
These results also revealed novel proteins, which may have evolved to fulfill
plant-specific requirements of the mitochondrial outer membrane, and provide a
basis for the future functional characterization of these proteins in the context
of mitochondrial intracellular interaction.
PMID- 21896889
TI - Expression of enzymes involved in chlorophyll catabolism in Arabidopsis is light
controlled.
AB - We found that the levels of mRNA of two enzymes involved in chlorophyll
catabolism in Arabidopsis (Arabidopsis thaliana), products of two chlorophyllase
genes, AtCLH1 and AtCLH2, dramatically increase (by almost 100- and 10-fold,
respectively) upon illumination with white light. The measurements of photosystem
II quantum efficiency in 3-(3,4-dichlorophenyl)-1,1-dimethylurea-inhibited leaves
show that their expression is not related to photosynthesis but mediated by
photoreceptors. To identify the photoreceptors involved, we used various light
treatments and Arabidopsis photoreceptor mutants (cry1, cry2, cry1cry2, phot1,
phot2, phot1phot2, phyA phyB, phyAphyB). In wild-type Columbia, the amount of
transcripts of both genes increase after white-light irradiation but their
expression profile and the extent of regulation differ considerably. Blue and red
light is active in the case of AtCLH1, whereas only blue light raises the AtCLH2
mRNA level. The fundamental difference is the extent of up-regulation, higher by
one order of magnitude in AtCLH1. Both blue and red light is active in the
induction of AtCLH1 expression in all mutants, pointing to a complex control
network and redundancy between photoreceptors. The blue-specific up-regulation of
the AtCLH2 transcript is mediated by cryptochromes and modulated by phototropin1
and phytochromes. Individually darkened leaves were used to test the effects of
senescence on the expression of AtCLH1 and AtCLH2. The expression profile of
AtCLH1 remains similar to that found in nonsenescing leaves up to 5 d after
darkening. In contrast, the light induction of AtCLH2 mRNA declines during dark
treatment. These results demonstrate that the expression of enzymes involved in
chlorophyll catabolism is light controlled.
PMID- 21896890
TI - Chromosomes carrying meiotic avoidance loci in three apomictic eudicot Hieracium
subgenus Pilosella species share structural features with two monocot apomicts.
AB - The LOSS OF APOMEIOSIS (LOA) locus is one of two dominant loci known to control
apomixis in the eudicot Hieracium praealtum. LOA stimulates the differentiation
of somatic aposporous initial cells after the initiation of meiosis in ovules.
Aposporous initial cells undergo nuclear proliferation close to sexual
megaspores, forming unreduced aposporous embryo sacs, and the sexual program
ceases. LOA-linked genetic markers were used to isolate 1.2 Mb of LOA-associated
DNAs from H. praealtum. Physical mapping defined the genomic region essential for
LOA function between two markers, flanking 400 kb of identified sequence and
central unknown sequences. Cytogenetic and sequence analyses revealed that the
LOA locus is located on a single chromosome near the tip of the long arm and
surrounded by extensive, abundant complex repeat and transposon sequences.
Chromosomal features and LOA-linked markers are conserved in aposporous Hieracium
caespitosum and Hieracium piloselloides but absent in sexual Hieracium pilosella.
Their absence in apomictic Hieracium aurantiacum suggests that meiotic avoidance
may have evolved independently in aposporous subgenus Pilosella species. The
structure of the hemizygous chromosomal region containing the LOA locus in the
three Hieracium subgenus Pilosella species resembles that of the hemizygous
apospory-specific genomic regions in monocot Pennisetum squamulatum and Cenchrus
ciliaris. Analyses of partial DNA sequences at these loci show no obvious
conservation, indicating that they are unlikely to share a common ancestral
origin. This suggests convergent evolution of repeat-rich hemizygous chromosomal
regions containing apospory loci in these monocot and eudicot species, which may
be required for the function and maintenance of the trait.
PMID- 21896893
TI - Bisphosphonates for osteoporosis: benefits and risks.
PMID- 21896896
TI - Approach to the hospitalized patient with severe insulin resistance.
AB - Glucose control improves outcomes in the hospitalized patient, particularly
patients on prolonged ventilator support, after acute myocardial infarction or
after coronary artery bypass surgery. An iv insulin algorithm is the standard of
care for initial treatment of significant hyperglycemia in the hospitalized
patient, and it can be transitioned to periodic sc insulin once the patient is
more stable. However, illness, medications, the endocrine and inflammatory
response to stress, and pain can all contribute to insulin resistance and further
aggravate preexisting insulin resistance caused by obesity. Glucose treatment
goals have been established to guide the rapy, but achieving those goals can be
more challenging in the presence of severe insulin resistance. When target
glucose values are not achieved with established insulin algorithms, the
practitioner should evaluate for potential causes of insulin resistance from
technical factors that cause "pseudo-insulin resistance" as well as other
modifiable factors, such as electrolyte disorders, parenteral and enteral
nutrition, or other medications. Published glucose guidelines provide glucose
"goals" to guide changes in the insulin algorithm, but these goals may be
difficult to achieve in all individuals. We propose a stepwise approach to
evaluate and treat severe insulin resistance in the hospitalized patient in order
to achieve glucose goals in a timely fashion.
PMID- 21896895
TI - Update: Hypogonadotropic hypogonadism in type 2 diabetes and obesity.
AB - Studies over the last few years have clearly established that at least 25% of men
with type 2 diabetes have subnormal free testosterone concentrations in
association with inappropriately low LH and FSH concentrations. Another 4% have
subnormal testosterone concentrations with elevated LH and FSH concentrations.
The Endocrine Society, therefore, now recommends the measurement of testosterone
in patients with type 2 diabetes on a routine basis. The subnormal testosterone
concentrations are not related to glycosylated hemoglobin or duration of
diabetes, but are associated with obesity, very high C-reactive protein
concentrations, and mild anemia. In addition, subnormal testosterone
concentrations in these men are associated with a two to three times elevated
risk of cardiovascular events and death in two early studies. Short-term studies
of testosterone therapy in hypogonadal men with type 2 diabetes have demonstrated
an increase in insulin sensitivity and a decrease in waist circumference.
However, the data on the effect of testosterone replacement on glycemic control
and cardiovascular risk factors such as cholesterol and C-reactive protein
concentrations are inconsistent. As far as sexual function is concerned,
testosterone treatment increases libido but does not improve erectile dysfunction
and thus, phosphodiesterase inhibitors may be required. Trials of a longer
duration are clearly required to definitively establish the benefits and risks of
testosterone replacement in patients with type 2 diabetes and low testosterone.
PMID- 21896897
TI - Retrocardiac catecholamine-producing paraganglioma.
PMID- 21896898
TI - Double-barreled assault by aldosterone and salt on the heart.
PMID- 21896899
TI - The coming of age of ultrasound-guided percutaneous ethanol ablation of selected
neck nodal metastases in well-differentiated thyroid carcinoma.
PMID- 21896903
TI - Analysis of the functional contributions of Asn233 in metallo-beta-lactamase IMP
1.
AB - Metallo-beta-lactamases, such as IMP-1, are a major global health threat, as they
provide for bacterial resistance to a wide range of beta-lactam antibiotics,
including carbapenems. Understanding the molecular details of the enzymatic
process and the sequence requirements for function are essential aids in
overcoming beta-lactamase-mediated resistance. An asparagine residue is conserved
at position 233 in approximately 67% of all metallo-beta-lactamases. Despite its
conservation, the molecular basis of Asn233 function is poorly understood and
remains controversial. It has previously been shown that mutations at this site
exhibit context-dependent sequence requirements in that the importance of a given
amino acid depends on the antibiotic being tested. To provide a more thorough
examination as to the function and sequence requirements at this position, a
collection of IMP-1 mutants encoding each of the 19 possible amino acid
substitutions was generated. The resistance levels toward four beta-lactam
antibiotics were measured for Escherichia coli containing each of these mutants.
The sequence requirements at position 233 for wild-type levels of resistance
toward two cephalosporins were the most relaxed, while there were more stringent
sequence requirements for resistance to ampicillin or imipenem. Enzyme kinetic
analysis and determinations of steady-state protein levels indicated that the
effects of the substitutions on resistance are due to changes in the kinetic
parameters of the enzyme. Taken together, the results indicate that substitutions
at position 233 significantly alter the kinetic parameters of the enzyme, but
most substituted enzymes are able to provide for a high level of resistance to a
broad range of beta-lactams.
PMID- 21896904
TI - TMC310911, a novel human immunodeficiency virus type 1 protease inhibitor, shows
in vitro an improved resistance profile and higher genetic barrier to resistance
compared with current protease inhibitors.
AB - TMC310911 is a novel human immunodeficiency virus type 1 (HIV-1) protease
inhibitor (PI) structurally closely related to darunavir (DRV) but with improved
virological characteristics. TMC310911 has potent activity against wild-type (WT)
HIV-1 (median 50% effective concentration [EC(50)], 14 nM) and a wide spectrum of
recombinant HIV-1 clinical isolates, including multiple-PI-resistant strains with
decreased susceptibility to currently approved PIs (fold change [FC] in EC(50),
>10). For a panel of 2,011 recombinant clinical isolates with decreased
susceptibility to at least one of the currently approved PIs, the FC in TMC310911
EC(50) was <= 4 for 82% of isolates and <= 10 for 96% of isolates. The FC in
TMC310911 EC(50) was <= 4 and <= 10 for 72% and 94% of isolates with decreased
susceptibility to DRV, respectively. In vitro resistance selection (IVRS)
experiments with WT virus and TMC310911 selected for mutations R41G or R41E, but
selection of resistant virus required a longer time than IVRS performed with WT
virus and DRV. IVRS performed with r13025, a multiple-PI-resistant recombinant
clinical isolate, and TMC310911 selected for mutations L10F, I47V, and L90M (FC
in TMC310911 EC(50) = 16). IVRS performed with r13025 in the presence of DRV
required less time and resulted in more PI resistance-associated mutations (V32I,
I50V, G73S, L76V, and V82I; FC in DRV EC(50) = 258). The activity against a
comprehensive panel of PI-resistant mutants and the limited in vitro selection of
resistant viruses under drug pressure suggest that TMC310911 represents a
potential drug candidate for the management of HIV-1 infection for a broad range
of patients, including those with multiple PI resistance.
PMID- 21896905
TI - Enhanced neutralization of HIV by antibodies displayed on the S-layer of
Caulobacter crescentus.
AB - Innovative methods of prevention are needed to stop the more than two million new
HIV-1 infections annually, particularly in women. Local application of anti-HIV
antibodies has been shown to be effective at preventing infection in nonhuman
primates; however, the concentrations needed are cost prohibitive. Display of
antibodies on a particulate platform will likely prolong effectiveness of these
anti-HIV agents and lower the cost of goods. Here, we demonstrate that the
bacterium Caulobacter crescentus and its highly expressed surface-layer (S-layer)
protein can provide this antibody display platform. Caulobacters displaying
protein G, alone or with CD4 codisplay, successfully captured HIV-1-specific
antibodies and demonstrated functional neutralization. Compared to soluble
antibodies, a neutralizing anti-HIV antibody displayed on Caulobacter was as
effective or more effective at neutralizing diverse HIV-1 isolates. Moreover,
when an antibody reactive with an epitope induced by CD4 binding (CD4i) was
codisplayed with CD4, there was significant enhancement in HIV-1 neutralization.
These results suggest that caulobacters displaying anti-HIV antibodies offer a
distinct improvement in the use of antibodies as microbicides. Furthermore, these
reagents can specifically evaluate anti-HIV antibodies in concert with other HIV
1 blocking agents to assess the most suitable tools for conversion to scFvs,
allowing for direct display within the S-layer protein and further reducing cost
of goods. In summary, C. crescentus, which can be easily produced and chemically
stabilized at low cost, is well suited for engineering as an effective platform,
offering an inexpensive way to produce and deliver HIV-1-specific microbicides.
PMID- 21896906
TI - Development of dual-acting pyrimidinediones as novel and highly potent topical
anti-HIV microbicides.
AB - In the absence of an effective vaccine against the human immunodeficiency virus
(HIV), topical microbicides to prevent the sexual transmission of HIV represent
an important strategy to prevent the continued spread of infection. The recent
trend in the development of new microbicide candidates includes the utilization
of FDA-approved therapeutic drugs that target the early stages of the HIV life
cycle, including entry inhibitors and reverse transcriptase inhibitors. We have
investigated 12 pyrimidinedione compounds with potent HIV activities and their
abilities to inhibit both virus entry and reverse transcription, in an effort to
determine a lead microbicide for product development. The candidate compounds
were evaluated for efficacy against subtype B, C, and E clinical virus strains in
fresh human peripheral blood mononuclear cells and against CCR5-tropic virus
strains in both monocyte-macrophages and dendritic cells. Microbicide-specific
biological assays and toxicity evaluations were also performed in a variety of
established and fresh human cells as well as against Lactobacillus strains common
to the vaginal environment. These evaluations resulted in the identification of
congeners with cyclopropyl and cyclobutyl substituents at the N-1 of the
pyrimidinedione as the most active molecules in the structure-activity
relationship series. The pyrimidinediones represent excellent microbicide
candidates in light of their significantly high efficacies against HIV-1
(subnanomolar concentration range), potencies (therapeutic index, >1 million),
solubility profiles, and dual mechanism of antiviral action that includes two
early steps of virus replication prior to the integration of the virus that are
considered most important for microbicidal activity.
PMID- 21896907
TI - Pharmacokinetic mismatch does not lead to emergence of isoniazid- or rifampin
resistant Mycobacterium tuberculosis but to better antimicrobial effect: a new
paradigm for antituberculosis drug scheduling.
AB - Multidrug resistant-tuberculosis is a pressing problem. One of the major
mechanisms proposed to lead to the emergence of drug resistance is
pharmacokinetic mismatch. Stated as a falsifiable hypothesis, the greater the
pharmacokinetic mismatch between rifampin and isoniazid, the higher the isoniazid
and rifampin-resistant subpopulation sizes become with time. To test this, we
performed hollow-fiber-system studies for both bactericidal and sterilizing
effects in experiments of up to 42 days. We mimicked pharmacokinetics of 600
mg/day rifampin and 300-mg/day isoniazid administered to patients. Rifampin was
administered first, followed by isoniazid 0, 6, 12, and 24 h later. The treatment
was for drug-susceptible Mycobacterium tuberculosis in some experiments and
hollow fiber systems with inoculum preseeded with isoniazid- and rifampin
resistant isogenic Mycobacterium tuberculosis strains in others. Analysis of
variance revealed that the 12-h and 24-h-mismatched regimens always killed better
than the matched regimens during both bactericidal and sterilizing effects (P <
0.05). This means that either the order of scheduling or the sequential
administration of drugs in combination therapy may lead to significant
improvement in microbial killing. Rifampin-resistant and isoniazid-resistant
subpopulations were not significantly higher with increased mismatching in
numerous analysis-of-variance comparisons. Thus, the pharmacokinetic mismatch
hypothesis was rejected. Instead, sequential administration of anti-tuberculosis
(TB) drugs (i.e., deliberate mismatch) following particular schedules suggests a
new paradigm for accelerating M. tuberculosis killing. We conclude that current
efforts aimed at better pharmacokinetic matching to decrease M. tuberculosis
resistance emergence are likely futile and counterproductive.
PMID- 21896908
TI - Excretion of moxidectin into breast milk and pharmacokinetics in healthy
lactating women.
AB - Moxidectin, registered worldwide as a veterinary antiparasitic agent, is
currently under development for humans for the treatment of onchocerciasis in
collaboration with the World Health Organization. The objective of this study was
to assess the pharmacokinetics of moxidectin in healthy lactating women,
including the excretion into breast milk. Twelve women, ages 23 to 38 years,
weighing 54 to 79 kg, all more than 5 months postpartum, were enrolled, following
their plan to wean their infants and provision of informed consent. A single 8
mg, open-label dose was administered orally after consumption of a standard
breakfast. Complete milk collection was done for approximately 28 days, and
plasma samples were collected for 90 days. Moxidectin concentrations were
measured by high-performance liquid chromatography (HPLC) with fluorescence
detection, with a validated range of 0.08 to 120 ng/ml. Noncompartmental
pharmacokinetic methods were used to find the following results: peak
concentration in plasma (C(max)), 87 +/- 25 ng/ml; time to C(max) (t(max)), 4.18
+/- 1.59 h; terminal-phase elimination half-life (t(1/2)), 832 +/- 321 h; total
area under the concentration-time curve (AUC), 4,046 +/- 1,796 ng . h/ml;
apparent oral dose clearance (CL/F), 2.35 +/- 1.07 l/h; ratio of CL/F to the
terminal-phase disposition rate constant, lambda(z) (Vlambda(z)/F), 2,526 +/- 772
liters; percentage of maternal dose excreted in milk, 0.701 +/- 0.299%; absolute
amount excreted in milk, 0.056 +/- 0.024 mg; relative infant dose, 8.73 +/- 3.17%
of maternal dose assuming complete absorption; clearance in milk (CL(milk)),
0.016 +/- 0.009 liter/h. Nine of 12 subjects reported adverse events, all of
which were considered treatment emergent but not drug related and were mostly
reported during the long outpatient period 8 to 90 days after dose
administration. The most frequently reported adverse events were headache and
nausea (n = 4), oropharyngeal pain (n = 2), rhinitis, viral pharyngitis, and
viral upper respiratory tract infection (n = 2).
PMID- 21896909
TI - Zanamivir pharmacokinetics and pulmonary penetration into epithelial lining fluid
following intravenous or oral inhaled administration to healthy adult subjects.
AB - Zanamivir serum and pulmonary pharmacokinetics were characterized following
intravenous (i.v.) or oral inhaled administration. I.v. zanamivir was given as
intermittent doses of 100 mg, 200 mg, and 600 mg every 12 h (q12h) for two doses
or as a continuous infusion (6-mg loading dose followed by 3 mg/h for 12 h). Oral
inhaled zanamivir (two 5-mg inhalations q12h for two doses) was evaluated as
well. Each zanamivir regimen was administered to six healthy subjects with serial
pharmacokinetic sampling. In addition, a single bronchoalveolar lavage (BAL)
fluid sample was collected at various time points and used to calculate
epithelial lining fluid (ELF) drug concentrations for each subject. For
intermittent i.v. administration of 100 mg, 200 mg, and 600 mg zanamivir, the
median zanamivir concentrations in ELF collected 12 h after dosing were 74, 146,
and 419 ng/ml, respectively, each higher than the historic mean 50% inhibitory
concentrations for the neuraminidases of wild-type strains of influenza A and B
viruses. Median ELF/serum zanamivir concentration ratios ranged from 55 to 79%
for intermittent i.v. administration (when sampled 12 h after the last dose) and
43 to 45% for continuous infusion (when sampled 6 to 12 h after the start of the
infusion). For oral inhaled zanamivir, the median zanamivir concentrations in ELF
were 891 ng/ml for the first BAL fluid collection and 326 ng/ml for subsequent
BAL fluid collections (when sampled 12 h after the last dose); corresponding
serum drug concentrations were undetectable. This study demonstrates zanamivir's
penetration into the human pulmonary compartment and supports the doses selected
for the continuing development of i.v. zanamivir in clinical studies of
influenza.
PMID- 21896910
TI - Griffithsin has antiviral activity against hepatitis C virus.
AB - Hepatitis C virus (HCV)-infected patients undergoing liver transplantation
universally experience rapid reinfection of their new liver graft. Current
treatment protocols do not prevent graft reinfection and, in addition, an
accelerated disease progression is observed. In the present study, we have
evaluated a novel strategy to prevent HCV infection using a lectin, griffithsin
(GRFT) that specifically binds N-linked high-mannose oligosaccharides that are
present on the viral envelope. The antiviral effect of GRFT was evaluated in
vitro using the HCV pseudoparticle (HCVpp) and HCV cell culture (HCVcc) systems.
We show here that preincubation of HCVpp and HCVcc with GRFT prevents infection
of Huh-7 hepatoma cells. Furthermore, GRFT interferes with direct cell-to-cell
transmission of HCV. GRFT acts at an early phase of the viral life cycle by
interfering in a genotype-independent fashion with the interaction between the
viral envelope proteins and the viral receptor CD81. The capacity of GRFT to
prevent infection in vivo was evaluated using uPA(+/+)-SCID mice (uPA stands for
urokinase-type plasminogen activator) that harbor human primary hepatocytes in
their liver (chimeric mice). In this proof-of-concept trial, we demonstrated that
GRFT can mitigate HCV infection of chimeric mice. Treated animals that did become
infected demonstrated a considerable delay in the kinetics of the viral
infection. Our data demonstrate that GRFT can prevent HCV infection in vitro and
mitigate HCV infection in vivo. GRFT treatment of chronically infected HCV
patients undergoing liver transplantation may be a suitable strategy to prevent
infection of the liver allograft.
PMID- 21896912
TI - Population pharmacokinetic/pharmacogenetic model for optimization of efavirenz
therapy in Caucasian HIV-infected patients.
AB - Despite extensive clinical experience with efavirenz (EFV), unpredictable
interindividual variabilities in efficacy and toxicity remain important
limitations associated with the use of this antiretroviral. The purpose of this
study was to determine the factors affecting EFV pharmacokinetics and to develop
a pharmacokinetic/pharmacogenetic (PK/PG) model in a Caucasian population of HIV
infected patients. In total, 869 EFV plasma concentrations from 128 HIV-infected
patients treated with EFV were quantitatively assessed using a validated high
performance liquid chromatography technique. All patients were genotyped for 90
single nucleotide polymorphisms (SNPs) in genes coding for proteins involved in
the metabolism and transport of EFV, using a MassArray platform provided by
Sequenom. The influence of these polymorphisms on EFV pharmacokinetics and the
effects of demographic, clinical, biochemical, lifestyle, and concurrent drug
covariates were evaluated. Plasma concentrations were fitted by a one-compartment
model, with first-order absorption and elimination using nonlinear mixed-effect
modeling (NONMEM program). The CYP2B6*6 allele, multidrug resistance-associated
protein 4 (MRP4) 1497C -> T, and gamma-glutamyltranspeptidase (GGT) were
identified as major factors influencing the apparent EFV oral clearance (CL/F),
reducing the initial interindividual variability by 54.8%, according to the model
CL/F = (12.2 - 0.00279 . GGT) . 0.602(CYP2B6*6 [G/T]) . 0.354(CYP2B6*6 [T/T]) .
0.793(MRP4 1497C -> T), where CYP2B6*6 [G/T], CYP2B6*6 [T/T], and MRP4 1497C -> T
take values of 0 or 1 to indicate the absence or presence of polymorphisms. The
detailed genetic analysis conducted in this study identified two of 90 SNPs that
significantly impacted CL/F, which might indicate that the remaining SNPs
analyzed do not influence this PK parameter, at least in Caucasian populations
with characteristics similar to those of our study population.
PMID- 21896913
TI - Plasma and intracellular population pharmacokinetic analysis of tenofovir in HIV
1-infected patients.
AB - The relationships among the dose of tenofovir disoproxil fumarate (TDF),
tenofovir (TFV) plasma concentrations, and intracellular TFV diphosphate (TFV-DP)
concentrations are poorly understood. Our objective was to characterize TFV and
TFV-DP relationships. Data were pooled from two studies in HIV-infected persons
(n = 55) on stable antiretroviral therapy. TFV and TFV-DP were measured with
validated liquid chromatography/tandem mass spectrometry (LC/MS/MS) methods.
Nonlinear mixed effects modeling (NONMEM 7) was used to develop the population
model and explore the influence of covariates on TFV. A sequential analysis
approach was utilized. A two-compartment model with first-order absorption best
described TFV PK (FOCEI). An indirect stimulation of response model best
described TFV-DP, where formation of TFV-DP was driven by plasma TFV
concentration. Final plasma population estimates were as follows: absorption rate
constant, 1.03 h(-1); apparent clearance (CL/F), 42 liters/h (33.5%
interindividual variability [IIV]); intercompartment clearance, 181 liters/h;
apparent central distribution volume (Vc/F), 273 liters (64.8% IIV); and apparent
peripheral distribution volume (Vp/F), 440 liters (46.5% IIV). Creatinine
clearance was the most significant covariate on CL/F and Vc/F. The correlation
between CL/F and Vc/F was 0.553. The indirect response model for TFV-DP resulted
in estimates of the maximal intracellular concentration (E(max)), the TFV
concentration producing 50% of E(max) (EC(50)), and the intracellular elimination
rate constant (k(out)) of 300 fmol/10(6) cells (82% IIV), 100 ng/ml (106% IIV),
and 0.008 h(-1), respectively. The estimated k(out) gave an 87-h TFV-DP half
life. A predictive check assessment indicated satisfactory model performance.
This model links formation of TFV-DP with plasma TFV concentrations and should
facilitate more informed investigations of TFV clinical pharmacology.
PMID- 21896911
TI - Pharmacokinetics and safety of single-dose tenofovir disoproxil fumarate and
emtricitabine in HIV-1-infected pregnant women and their infants.
AB - Tenofovir (TFV) is effective in preventing simian immunodeficiency virus (SIV)
transmission in a macaque model, is available as the oral agent tenofovir
disoproxil fumarate (TDF), and may be useful in the prevention of mother-to-child
transmission of human immunodeficiency virus (HIV). We conducted a trial of TDF
and TDF-emtricitabine (FTC) in HIV-infected pregnant women and their infants.
Women received a single dose of either 600 mg TDF, 900 mg TDF, or 900 mg TDF-600
mg FTC at labor onset or prior to a cesarean section. Infants received no drug or
a single dose of TDF at 4 mg/kg of body weight or of TDF at 4 mg/kg plus FTC at 3
mg/kg as soon as possible after birth. All regimens were safe and well tolerated.
Maternal areas under the serum concentration-time curve (AUC) and concentrations
at the end of sampling after 24 h (C(24)) were similar between the two doses of
TDF; the maximum concentrations of the drugs in serum (C(max)) and cord blood
concentrations were higher in women delivering via cesarean section than in those
who delivered vaginally (P = 0.04 and 0.046, respectively). The median ratio of
the TFV concentration in cord blood to that in the maternal plasma at delivery
was 0.73 (range, 0.26 to 1.95). Without TDF administration, infants had a median
TFV concentration of 12 ng/ml 12 h after birth. Following administration of a
single dose of TDF at 4 mg/kg, infant TFV concentrations fell below the targeted
level, 50 ng/ml, by 24 h postdose. In HIV-infected pregnant women and their
infants, 600 mg of TDF is acceptable as a single dose during labor. Low
concentrations at birth support infant dosing as soon after birth as possible.
Rapidly decreasing TFV levels in infants suggest that multiple or higher doses of
TDF will be necessary to maintain concentrations that are effective for viral
suppression.
PMID- 21896914
TI - Inhibition of HIV-1 by octadecyloxyethyl esters of (S)-[3-hydroxy-2
(phosphonomethoxy)propyl] nucleosides and evaluation of their mechanism of
action.
AB - (S)-1-[3-hydroxy-2-(phosphonomethoxy)propyl]cytosine (HPMPC [cidofovir]) and (S)
9-[3-hydroxy-2-(phosphonomethoxy)propyl]adenine (HPMPA) are potent inhibitors of
a variety of DNA viruses. These drugs possess a 3'-hydroxyl equivalent which
could support chain extension from an incorporated drug molecule. HPMPC and HPMPA
were initially reported to lack activity against human immunodeficiency virus
type 1 (HIV-1); more recent results have shown that the octadecyloxyethyl (ODE)
and hexadecyloxypropyl (HDP) esters of HPMPA are potent inhibitors of the virus.
We have synthesized the ODE esters of a series of (S)-[3-hydroxy-2
(phosphonomethoxy)propyl] (HPMP) nucleosides, including HPMPC, HPMP-guanine
(HPMPG), HPMP-thymine (HPMPT), and HPMP-diaminopurine (HPMPDAP), as well as the
ODE ester of the obligate chain terminator (S)-9-[3-methoxy-2-(phosphonomethoxy)
propyl]adenine (MPMPA). All compounds except ODE-HPMPT were inhibitors of HIV-1
replication at low nanomolar concentrations. These compounds were also inhibitors
of the replication of HIV-1 variants that are resistant to various nucleoside
reverse transcriptase (RT) inhibitors at concentrations several times lower than
would be expected to be achieved in vivo. To investigate the mechanism of the
antiviral activity, the active metabolites of HPMPC and HPMPA were studied for
their effects on reactions catalyzed by HIV-1 RT. Incorporation of HPMPC and
HPMPA into a DNA primer strand resulted in multiple inhibitory effects exerted on
the enzyme and showed that neither compound acts as an absolute chain terminator.
Further, inhibition of HIV-1 RT also occurred when these drugs were located in
the template strand. These results indicate that HPMPC and HPMPA inhibit HIV-1 by
a complex mechanism and suggest that this class of drugs has a broader spectrum
of activity than previously shown.
PMID- 21896915
TI - Helicobacter pylori resistance to rifabutin in the last 7 years.
AB - A low rate of resistance (0.24%) to rifabutin was noted in Helicobacter pylori
strains isolated from 414 Japanese patients. The only rifabutin-resistant strain
detected showed a point mutation in the rpoB gene and was isolated from a patient
with a history of rifampin treatment for pulmonary tuberculosis.
PMID- 21896916
TI - Increased pfmdr1 copy number and sequence polymorphisms in Plasmodium falciparum
isolates from Sudanese malaria patients treated with artemether-lumefantrine.
AB - Molecular markers for surveillance of Plasmodium falciparum resistance to current
antimalarials are sorely needed. A 28-day efficacy study of artemether
lumefantrine in eastern Sudan identified 5 treatment failures among 100 evaluable
patients; 9 further individuals were parasite positive by PCR during follow-up.
Polymorphisms in pfatpase6 and pfmdr1 were evaluated by DNA sequencing. One
individual carried parasites with a novel pfmdr1 polymorphism (F1044L). pfmdr1
gene amplification in parasites prior to treatment occurred in three individuals
who had recurrent infection during follow-up.
PMID- 21896917
TI - Not what you thought: how H+ ions combine with taurine or other aminosulfonates
to close Cx26 channels.
PMID- 21896918
TI - 5-Aminoimidazole-4-carboxyamide-ribonucleoside (AICAR)-stimulated hepatic
expression of Cyp4a10, Cyp4a14, Cyp4a31, and other peroxisome proliferator
activated receptor alpha-responsive mouse genes is AICAR 5'-monophosphate
dependent and AMP-activated protein kinase-independent.
AB - 5-Aminoimidazole-4-carboxyamide-ribonucleoside (AICAR), a prodrug activator of
AMP-activated protein kinase (AMPK), increased hepatic expression of cytochrome
P450 4a10, 4a14, and 4a31 mRNAs 2-, 3-, and 4-fold, respectively, and liver
microsomal lauric acid omega-hydroxylation increased 2.8-fold. Likewise, mRNA
levels of the peroxisome proliferator-activated receptor alpha (PPARalpha)
responsive genes, Acox1, Acadm, Cpt1a, and Fabp1, were also increased by AICAR
treatment. AICAR did not elicit these changes in PPARalpha null mice. In isolated
murine hepatocytes, AICAR and adenosine produced similar effects, and these
responses were blocked by the PPARalpha antagonist [(2S)-2-[[(1Z)-1-methyl-3-oxo
3-[4-(trifluoromethyl)phenyl]-1-propenyl]amino]-3-[4-[2-(5-methyl-2-phenyl-4
oxazolyl)ethoxy]phenyl]propyl]-carbamic acid ethyl ester (GW6471). Inhibition of
AMPK using compound C (dorsomorphin or 6-[4-(2-piperidin-1-ylethoxy)phenyl]-3
pyridin-4-ylpyrazolo[1,5-a]pyrimidine) did not block the induction of the
PPARalpha-responsive genes by AICAR or adenosine, and 6,7-dihydro-4-hydroxy-3-(2'
hydroxy[1,1'-biphenyl]-4-yl)-6-oxo-thieno[2,3-b]pyridine-5-carbonitrile (A
769662), a non-nucleoside, direct activator of AMPK, did not increase expression
of PPARalpha-responsive genes. An inhibitor of adenosine kinase, 5
iodotubercidin, blocked these responses, suggesting that the phosphorylation of
AICAR and adenosine to AICAR 5'-monophosphate (ZMP) or AMP, respectively, was
required. Concentrations of ZMP and AMP were elevated and ATP levels diminished
at 24 h. The PPARalpha-dependent responses were associated with increased
concentrations of oleic acid, a potent PPARalpha agonist, and diminished levels
of oleoyl-CoA. Oleoyl-CoA synthase activity was inhibited by ZMP and AMP with
IC(50) values of 0.28 and 0.41 mM, respectively. These results suggest that
PPARalpha is activated by increased concentrations of free fatty acids that may
arise from impaired fatty acid metabolism caused by altered levels of ATP, AMP,
and ZMP after AICAR or adenosine treatment.
PMID- 21896919
TI - Heavy drinking and use of sedative or anxiolytic drugs among aging men: an 11
year follow-up of the FinDrink study.
AB - BACKGROUND: Most studies on heavy drinking and sedative/anxiolytic drug use have
been cross-sectional, and evidence for a possible temporal association is
lacking. OBJECTIVE: To prospectively investigate whether heavy drinking predicts
initiation, continuation, or discontinuation of sedative/anxiolytic drugs at 4
and 11 years and, conversely, whether sedative/anxiolytic drug use predicts heavy
drinking. METHOD: This was a longitudinal population-based study conducted in
Kuopio, Finland. An age-stratified random sample of 1516 men aged 42, 48, 54, and
60 years received a structured clinical examination at baseline (August 1986
December 1989). Follow-up clinical examinations were conducted at 4 (n = 1038)
and 11 (n = 854) years. Multinomial logistic regression was used to compute odds
ratios and 95% confidence intervals for the association between
sedative/anxiolytic drug use and initiation, continuation, and discontinuation of
heavy drinking (>= 14 drinks/wk). The reverse association between heavy drinking
and sedative/anxiolytic drug use was also investigated. Regression models were
adjusted for age, working status, smoking, and depressive symptoms. RESULTS: At
baseline 12.9% (134/1038) of participants were heavy drinkers and 4.0% (41/1030)
used sedative/anxiolytic drugs. In multivariate analyses, baseline heavy drinking
predicted initiation of sedative/anxiolytic drug use at 4 years (OR 2.96; 95% CI
1.23 to 7.15). Conversely, baseline sedative/anxiolytic drug use predicted
continuation of heavy drinking at 11 years in unadjusted analysis (OR 3.30; 95%
CI 1.19 to 8.44). However, the association was not statistically significant in
adjusted analyses (OR 2.69; 95% CI 0.86 to 8.44). CONCLUSIONS: The main finding
of this study was the association between heavy drinking and subsequent
initiation of sedative/anxiolytic drugs that was not fully explained by baseline
depressive symptoms. This may inform strategies to optimize the use of
sedative/anxiolytic drugs, and assist in the early identification of patients at
risk of heavy drinking. Clinicians should consider a patient's alcohol
consumption prior to prescribing or dispensing sedative/anxiolytic drugs.
Clinicians should also monitor patients prescribed sedative/anxiolytic drugs for
subsequent heavy drinking.
PMID- 21896920
TI - Evaluation of the accuracy of a pharmacokinetic dosing program in predicting
serum vancomycin concentrations in critically ill patients.
AB - BACKGROUND: Optimization of the timing of appropriate antibiotics is crucial to
improve the management of patients in severe sepsis and septic shock. Vancomycin
is commonly used empirically in cases of nosocomial infections in critically ill
patients. Therefore, early optimization of vancomycin pharmacokinetics is likely
to improve outcomes. OBJECTIVE: To evaluate a pharmacokinetic program to predict
serum vancomycin concentrations in accordance with administered dose, weight,
height, and creatinine clearance in a critically ill population. METHODS: We
conducted a prospective observational single-center study in a 45-bed intensive
care unit (ICU). All patients hospitalized in the ICU requiring intravenous
treatment with vancomycin for a suspected infection were enrolled. The modalities
of vancomycin therapy and the monitoring of serum concentrations were left to the
discretion of the treating clinician. We compared the measured serum vancomycin
concentrations with those predicted by the MM-USCPACK program and analyzed the
factors influencing the prediction. RESULTS: Fifty-four intravenous vancomycin
courses were administered in 48 critically ill patients over the 3-month study.
The precision was considered acceptable, based on a relative precision equal to
8.9% (interquartile range 3.5-18.9%) and the relative bias for all predictions
was equal to -1.3%. Overall, 77.3% of predictions were within 20% of observed
concentrations; factors correlating with a poorer prediction were a change in
renal function, obesity, and the magnitude of organ dysfunction on initiation of
vancomycin (expressed by a Systemic Organ Failure Assessment score >11).
CONCLUSIONS: The MM-USCPACK program is a useful and reliable tool for prediction
of serum vancomycin concentrations in patients hospitalized in ICU and likely
reflects the close monitoring of renal function in this setting. For some
patients (more severely ill, obese, or significant change in renal function
during vancomycin therapy), predictions were less precise.
PMID- 21896923
TI - Use of prasugrel in a patient with clopidogrel hypersensitivity.
AB - OBJECTIVE: To report a case of successful use of prasugrel following percutaneous
coronary intervention with placement of a bare metal stent in a patient with a
documented hypersensitivity reaction to clopidogrel. CASE SUMMARY: A 61-year-old
male with a history of coronary artery disease with coronary stent placement
presented with ST-elevation myocardial infarction. The patient had developed
Stephens-Johnson syndrome 6 years earlier following clopidogrel administration,
characterized by erythematous plaques and subsequent desquamation of the hands
and feet; clopidogrel was discontinued and he was subsequently treated with
ticlopidine in addition to aspirin. The third-generation thienopyridine prasugrel
was initiated as a therapeutic alternative to clopidogrel after placement of a
bare metal stent; a 60-mg dose was administered after extubation, followed by 10
mg/day. No signs of allergic reaction were observed in the days, weeks, and
months following administration. DISCUSSION: Thienopyridines, specifically
clopidogrel, are the standard of care for prevention of coronary stent
thrombosis; however, there are few data available on cross-hypersensitivity
between these agents. One study demonstrated that 27% of patients who developed
an allergic or hematologic reaction to clopidogrel developed a similar reaction
to ticlopidine. Other therapeutic options for patients with clopidogrel
hypersensitivity who are undergoing a percutaneous coronary intervention with
stent placement include clopidogrel desensitization, warfarin plus aspirin,
cilostazol, ticagrelor, and ticlopidine. However, these options are limited by
efficacy and/or toxicity. With its approval in 2009, prasugrel has become a
potential treatment option. CONCLUSIONS: Prasugrel may be considered a
therapeutic alternative in some patients allergic or intolerant to clopidogrel,
but additional data are warranted to make a strong conclusion.
PMID- 21896924
TI - Ofatumumab: a novel anti-CD20 monoclonal antibody for treatment of refractory
chronic lymphocytic leukemia.
AB - OBJECTIVE: To present the current clinical evidence on ofatumumab for use in
refractory chronic lymphocytic leukemia (CLL). DATA SOURCES: A literature search
was performed using MEDLINE and PubMed (both 1966-May 2011), as well as the
American Society of Hematology abstracts (2000-May 2011), using the primary
search terms ofatumumab and HuMax-CD20. STUDY SELECTION AND DATA EXTRACTION:
Clinical studies and abstracts available in the English language, describing the
pharmacology, pharmacokinetics, clinical activity, and safety of ofatumumab in
CLL were included in this review. DATA SYNTHESIS: Ofatumumab is a human
immunoglobulin monoclonal antibody that binds to B-lymphocytes expressing CD-20
cell surface antigens. Ofatumumab was granted accelerated approval by the Food
and Drug Administration in October 2009 for the treatment of CLL refractory to
fludarabine and alemtuzumab. A Phase 1/2 trial has established the safety and
tolerability of single-agent ofatumumab at an initial dose of 300 mg
intravenously on week 1, followed by 2000 mg once weekly for 7 doses (weeks 2-8),
followed by 2000 mg once every 4 weeks for 4 doses (weeks 9-12), for a total of
12 doses. The final analysis of a pivotal international multicenter trial has
shown promising activity in patients with CLL refractory to fludarabine and
alemtuzumab, demonstrating overall response rates of 44-51%, with prolonged
progression-free and overall survival. Ofatumumab activity has also been shown in
a variety of other malignant and nonmalignant conditions, including non-Hodgkin
lymphoma, rheumatoid arthritis, and multiple sclerosis. The most common adverse
effect is grade 1 and 2 infusion reactions. Other adverse effects include
infection, neutropenia, anemia, rash, fever, and diarrhea. CONCLUSIONS: Clinical
evidence suggests that ofatumumab is an effective agent in patients with CLL
refractory to fludarabine and alemtuzumab. Data are awaited comparing ofatumumab
to other salvage regimens. Until results of head-to-head trials are conducted
comparing ofatumumab to existing regimens, it cannot be said whether ofatumumab
is more efficacious or tolerable than currently available therapies.
PMID- 21896927
TI - C-peptide response and HLA genotypes in subjects with recent-onset type 1
diabetes after immunotherapy with DiaPep277: an exploratory study.
AB - OBJECTIVE: To investigate whether lower risk HLA class II genotypes would
influence the efficacy of DiaPep277 therapy in protecting beta-cell function
evaluated by C-peptide secretion in recent-onset type 1 diabetic subjects.
RESEARCH DESIGN AND METHODS: Data were collected from type 1 diabetic subjects
enrolled in multicenter phase II studies with a randomized, double-blind, and
placebo-controlled design in whom fasting and stimulated C-peptide levels were
measured. HLA genotypes were classified in high, moderate, and low risk
categories. RESULTS: A total of 146 subjects (aged 4.3 to 58.5 years) were
enrolled, including 76 children (<18 years old) and 70 adults. At baseline, there
was a significant increase in fasting, maximal, and area under the curve (AUC) C
peptide from high to moderate and low risk HLA genotypes in adults (P for trend
<0.04) but not in children. Children showed a decrease of the three parameters
over time regardless of therapy and HLA genotype. DiaPep277-treated adults with
low risk genotype had significantly higher maximal and AUC C-peptide versus
placebo at 12 months (0.04 +/- 0.07 vs. -0.28 +/- 0.09 nmol/L, P < 0.01, and 0.53
+/- 1.3 vs. -4.59 +/- 1.5 nmol/L, P < 0.05, respectively). In the moderate risk
genotype group, Deltamaximal and AUC C-peptide values were significantly higher
in DiaPep277-treated versus placebo-treated patients (P < 0.01 and P < 0.05,
respectively). CONCLUSIONS: This exploratory study demonstrates that type 1
diabetic adults with low and moderate risk HLA genotypes benefit the most from
intervention with DiaPep277; the only subgroup with an increase of C-peptide at
12 months after diagnosis was the low risk DiaPep277-treated subgroup.
PMID- 21896928
TI - Mediobasal hypothalamic SIRT1 is essential for resveratrol's effects on insulin
action in rats.
AB - OBJECTIVE: Sirtuin 1 (SIRT1) and its activator resveratrol are emerging as major
regulators of metabolic processes. We investigate the site of resveratrol action
on glucose metabolism and the contribution of SIRT1 to these effects. Because the
arcuate nucleus in the mediobasal hypothalamus (MBH) plays a pivotal role in
integrating peripheral metabolic responses to nutrients and hormones, we examined
whether the actions of resveratrol are mediated at the MBH. RESEARCH DESIGN AND
METHODS: Sprague Dawley (SD) male rats received acute central (MBH) or systemic
injections of vehicle, resveratrol, or SIRT1 inhibitor during basal pancreatic
insulin clamp studies. To delineate the pathway(s) by which MBH resveratrol
modulates hepatic glucose production, we silenced hypothalamic SIRT1 expression
using a short hairpin RNA (shRNA) inhibited the hypothalamic ATP-sensitive
potassium (K(ATP)) channel with glibenclamide, or selectively transected the
hepatic branch of the vagus nerve while infusing resveratrol centrally. RESULTS:
Our studies show that marked improvement in insulin sensitivity can be elicited
by acute administration of resveratrol to the MBH or during acute systemic
administration. Selective inhibition of hypothalamic SIRT1 using a cell-permeable
SIRT1 inhibitor or SIRT1-shRNA negated the effect of central and peripheral
resveratrol on glucose production. Blockade of the K(ATP) channel and hepatic
vagotomy significantly attenuated the effect of central resveratrol on hepatic
glucose production. In addition, we found no evidence for hypothalamic AMPK
activation after MBH resveratrol administration. CONCLUSIONS: Taken together,
these studies demonstrate that resveratrol improves glucose homeostasis mainly
through a central SIRT1-dependent pathway and that the MBH is a major site of
resveratrol action.
PMID- 21896929
TI - Role of lipid peroxidation and PPAR-delta in amplifying glucose-stimulated
insulin secretion.
AB - OBJECTIVE: Previous studies show that polyunsaturated fatty acids (PUFAs)
increase the insulin secretory capacity of pancreatic beta-cells. We aimed at
identifying PUFA-derived mediators and their cellular targets that are involved
in the amplification of insulin release from beta-cells preexposed to high
glucose levels. RESEARCH DESIGN AND METHODS: The content of fatty acids in
phospholipids of INS-1E beta-cells was determined by lipidomics analysis. High
performance liquid chromatography was used to identify peroxidation products in
beta-cell cultures. Static and dynamic glucose-stimulated insulin secretion
(GSIS) assays were performed on isolated rat islets and/or INS-1E cells. The
function of peroxisome proliferator-activated receptor-delta (PPAR-delta) in
regulating insulin secretion was investigated using pharmacological agents and
gene expression manipulations. RESULTS: High glucose activated cPLA(2) and,
subsequently, the hydrolysis of arachidonic and linoleic acid (AA and LA,
respectively) from phospholipids in INS-1E cells. Glucose also increased the
level of reactive oxygen species, which promoted the peroxidation of these PUFAs
to generate 4-hydroxy-2E-nonenal (4-HNE). The latter mimicked the GSIS-amplifying
effect of high glucose preexposure and of the PPAR-delta agonist GW501516 in INS
1E cells and isolated rat islets. These effects were blocked with GSK0660, a
selective PPAR-delta antagonist, and the antioxidant N-acetylcysteine or by
silencing PPAR-delta expression. High glucose, 4-HNE, and GW501516 also induced
luciferase expression in a PPAR-delta-mediated transactivation assay. Cytotoxic
effects of 4-HNE were observed only above the physiologically effective
concentration range. CONCLUSIONS: Elevated glucose levels augment the release of
AA and LA from phospholipids and their peroxidation to 4-HNE in beta-cells. This
molecule is an endogenous ligand for PPAR-delta, which amplifies insulin
secretion in beta-cells.
PMID- 21896930
TI - Deletion of the G6pc2 gene encoding the islet-specific glucose-6-phosphatase
catalytic subunit-related protein does not affect the progression or incidence of
type 1 diabetes in NOD/ShiLtJ mice.
AB - OBJECTIVE: Islet-specific glucose-6-phosphatase catalytic subunit-related protein
(IGRP), now known as G6PC2, is a major target of autoreactive T cells implicated
in the pathogenesis of type 1 diabetes in both mice and humans. This study aimed
to determine whether suppression of G6p2 gene expression might therefore prevent
or delay disease progression. RESEARCH DESIGN AND METHODS: G6pc2(-/-) mice were
generated on the NOD/ShiLtJ genetic background, and glycemia was monitored weekly
up to 35 weeks of age to determine the onset and incidence of diabetes. The
antigen specificity of CD8(+) T cells infiltrating islets from NOD/ShiLtJ
G6pc2(+/+) and G6pc2(-/-) mice at 12 weeks was determined in parallel. RESULTS:
The absence of G6pc2 did not affect the time of onset, incidence, or sex bias of
type 1 diabetes in NOD/ShiLtJ mice. Insulitis was prominent in both groups, but
whereas NOD/ShiLtJ G6pc2(+/+) islets contained CD8(+) T cells reactive to the
G6pc2 NRP peptide, G6pc2 NRP-reactive T cells were absent in NOD/ShiLtJ G6pc2(-/
) islets. CONCLUSIONS: These results demonstrate that G6pc2 is an important
driver for the selection and expansion of islet-reactive CD8(+) T cells
infiltrating NOD/ShiLtJ islets. However, autoreactivity to G6pc2 is not essential
for the emergence of autoimmune diabetes. The results remain consistent with
previous studies indicating that insulin may be the primary autoimmune target, at
least in NOD/ShiLtJ mice.
PMID- 21896931
TI - Angiotensin II receptors modulate muscle microvascular and metabolic responses to
insulin in vivo.
AB - OBJECTIVE: Angiotensin (ANG) II interacts with insulin-signaling pathways to
regulate insulin sensitivity. The type 1 (AT(1)R) and type 2 (AT(2)R) receptors
reciprocally regulate basal perfusion of muscle microvasculature. Unopposed
AT(2)R activity increases muscle microvascular blood volume (MBV) and glucose
extraction, whereas unopposed AT(1)R activity decreases both. The current study
examined whether ANG II receptors modulate muscle insulin delivery and
sensitivity. RESEARCH DESIGN AND METHODS: Overnight-fasted rats were studied. In
protocol 1, rats received a 2-h infusion of saline, insulin (3 mU/kg/min),
insulin plus PD123319 (AT(2)R blocker), or insulin plus losartan (AT(1)R blocker,
intravenously). Muscle MBV, microvascular flow velocity, and microvascular blood
flow (MBF) were determined. In protocol 2, rats received (125)I-insulin with or
without PD123319, and muscle insulin uptake was determined. RESULTS: Insulin
significantly increased muscle MBV and MBF. AT(2)R blockade abolished insulin
mediated increases in muscle MBV and MBF and decreased insulin-stimulated glucose
disposal by ~30%. In contrast, losartan plus insulin increased muscle MBV by two-
to threefold without further increasing insulin-stimulated glucose disposal.
Plasma nitric oxide increased by >50% with insulin and insulin plus losartan but
not with insulin plus PD123319. PD123319 markedly decreased muscle insulin uptake
and insulin-stimulated Akt phosphorylation. CONCLUSIONS: We conclude that both
AT(1)Rs and AT(2)Rs regulate insulin's microvascular and metabolic action in
muscle. Although AT(1)R activity restrains muscle metabolic responses to insulin
via decreased microvascular recruitment and insulin delivery, AT(2)R activity is
required for normal microvascular responses to insulin. Thus, pharmacologic
manipulation aimed at increasing the AT(2)R-to-AT(1)R activity ratio may afford
the potential to improve muscle insulin sensitivity and glucose metabolism.
PMID- 21896932
TI - Expansion of Th17 cells and functional defects in T regulatory cells are key
features of the pancreatic lymph nodes in patients with type 1 diabetes.
AB - OBJECTIVE: Autoimmune diseases, including type 1 diabetes, are thought to have a
Th17-cell bias and/or a T-regulatory cell (Treg) defect. Understanding whether
this is a hallmark of patients with type 1 diabetes is a crucial question that is
still unsolved, largely due to the difficulties of accessing tissues targeted by
the disease. RESEARCH DESIGN AND METHODS: We phenotypically and functionally
characterized Th17 cells and Tregs residing in the pancreatic-draining lymph
nodes (PLNs) of 19 patients with type 1 diabetes and 63 nondiabetic donors and
those circulating in the peripheral blood of 14 type 1 diabetic patients and 11
healthy subjects. RESULTS: We found upregulation of Th17 immunity and functional
defects in CD4(+)CD25(bright) Tregs in the PLNs of type 1 diabetic subjects but
not in their peripheral blood. In addition, the proinsulin-specific Treg-mediated
control was altered in the PLNs of diabetic patients. The dysfunctional Tregs
isolated from diabetic subjects did not contain contaminant effector T cells and
were all epigenetically imprinted to be suppressive, as defined by analysis of
the Treg-specific demethylated region within the forkhead box P3 (FOXP3) locus.
CONCLUSIONS: These data provide evidence for an unbalanced immune status in the
PLNs of type 1 diabetic subjects, and treatments restoring the immune homeostasis
in the target organ of these patients represent a potential therapeutic strategy.
PMID- 21896934
TI - Impact of resistance training on blood pressure and other cardiovascular risk
factors: a meta-analysis of randomized, controlled trials.
AB - We reviewed the effect of resistance training on blood pressure and other
cardiovascular risk factors in adults. Randomized, controlled trials lasting >=4
weeks investigating the effects of resistance training on blood pressure in
healthy adults (age >=18 years) and published in a peer-reviewed journal up to
June 2010 were included. Random- and fixed-effects models were used for analyses,
with data reported as weighted means and 95% confidence limits. We included 28
randomized, controlled trials, involving 33 study groups and 1012 participants.
Overall, resistance training induced a significant blood pressure reduction in 28
normotensive or prehypertensive study groups [-3.9 (-6.4; -1.2)/-3.9 (-5.6; -2.2)
mm Hg], whereas the reduction [-4.1 (-0.63; +1.4)/-1.5 (-3.4; +0.40) mm Hg] was
not significant for the 5 hypertensive study groups. When study groups were
divided according to the mode of training, isometric handgrip training in 3
groups resulted in a larger decrease in blood pressure [-13.5 (-16.5; -10.5)/
6.1(-8.3; -3.9) mm Hg] than dynamic resistance training in 30 groups [-2.8 (-4.3;
-1.3)/-2.7 (-3.8; -1.7) mm Hg]. After dynamic resistance training, Vo(2) peak
increased by 10.6% (P=0.01), whereas body fat and plasma triglycerides decreased
by 0.6% (P<0.01) and 0.11 mmol/L (P<0.05), respectively. No significant effect
could be observed on other blood lipids and fasting blood glucose. This meta
analysis supports the blood pressure-lowering potential of dynamic resistance
training and isometric handgrip training. In addition, dynamic resistance
training also favorably affects some other cardiovascular risk factors. Our
results further suggest that isometric handgrip training may be more effective
for reducing blood pressure than dynamic resistance training. However, given the
small amount of isometric studies available, additional studies are warranted to
confirm this finding.
PMID- 21896933
TI - Genetic examination of SETD7 and SUV39H1/H2 methyltransferases and the risk of
diabetes complications in patients with type 1 diabetes.
AB - OBJECTIVE: Hyperglycemia plays a pivotal role in the development and progression
of vascular complications, which are the major sources of morbidity and mortality
in diabetes. Furthermore, these vascular complications often persist and progress
despite improved glucose control, possibly as a result of prior episodes of
hyperglycemia. Epigenetic modifications mediated by histone methyltransferases
are associated with gene-activating events that promote enhanced expression of
key proinflammatory molecules implicated in vascular injury. In this study, we
investigated genetic polymorphisms of the SETD7, SUV39H1, and SUV39H2
methyltransferases as predictors of risk for micro- and macrovascular
complications in type 1 diabetes. RESEARCH DESIGN AND METHODS: In the Finnish
Diabetic Nephropathy Study (FinnDiane) cohort, 37 tagging single nucleotide
polymorphisms (SNPs) were genotyped in 2,991 individuals with type 1 diabetes and
diabetic retinopathy, diabetic nephropathy, and cardiovascular disease. Seven
SNPs were genotyped in the replication cohorts from the Steno Diabetes Center and
All Ireland/Warren 3/Genetics of Kidneys in Diabetes (GoKinD) U.K. study.
RESULTS: In a meta-analysis, the minor T allele of the exonic SNP rs17353856 in
the SUV39H2 was associated with diabetic retinopathy (genotypic odds ratio 0.75,
P = 1.2 * 10(-4)). The same SNP showed a trend toward an association with
diabetic nephropathy as well as cardiovascular disease in the FinnDiane cohort.
CONCLUSIONS: Our findings propose that a genetic variation in a gene coding for a
histone methyltransferase is protective for a diabetic microvascular
complication. The pathophysiological implications of this polymorphism or other
genetic variation nearby for the vascular complications of type 1 diabetes remain
to be investigated.
PMID- 21896935
TI - Aortic stiffness and central wave reflections predict outcome in renal transplant
recipients.
AB - Although renal transplantation improves survival, cardiovascular morbidity and
mortality remain significantly elevated compared with nonrenal populations. The
negative impact of traditional, uremia-related, and transplantation-related risk
factors in this process remains, however, largely unexplored. Surrogate markers
such as aortic stiffness and central wave reflections may lead to more accurate
cardiovascular risk stratification, but outcome data in renal transplant
recipients are scarce. We aimed to establish the prognostic significance of these
markers for fatal and nonfatal cardiovascular events in renal transplant
recipients. Carotid-femoral pulse wave velocity, central augmentation pressure,
and central augmentation index were measured in a cohort of 512 renal transplant
recipients using the SphygmoCor system. After a mean follow-up of 5 years, 20
fatal and 75 nonfatal cardiovascular events were recorded. Using receiver
operating characteristic curves, the area under the curve for predicting
cardiovascular events was 0.718 (95% CI 0.659-0.776) for pulse wave velocity,
0.670 (95% CI 0.604-0.736) for central augmentation pressure, and 0.595 (95% CI
0.529-0.660) for central augmentation index. When we accounted for age, gender,
and C-reactive protein in Cox-regression analysis, pulse wave velocity (hazard
ratio: 1.349 per 1 SD increase; 95% CI 1.104-1.649; P=0.003) and central
augmentation pressure (hazard ratio: 1.487 per 1 SD increase; 95% CI 1.219-1.814;
P<0.001) remained independent predictors of outcome. Aortic stiffness and
increased wave reflections are independent predictors of cardiovascular events in
renal transplant recipients. As single parameter of wave reflection, central
augmentation pressure was better than central augmentation index. Combined
measurement of pulse wave velocity and central augmentation pressure may
contribute to an accurate cardiovascular risk estimation in this heterogeneous
population.
PMID- 21896936
TI - Exercise training alters the balance between vasoactive compounds in skeletal
muscle of individuals with essential hypertension.
AB - The effects of physical training on the formation of vasodilating and
vasoconstricting compounds, as well as on related proteins important for vascular
function, were examined in skeletal muscle of individuals with essential
hypertension (n=10). Muscle microdialysis samples were obtained from subjects
with hypertension before and after 16 weeks of physical training. Muscle
dialysates were analyzed for thromboxane A(2), prostacyclin, nucleotides, and
nitrite/nitrate. Protein levels of thromboxane synthase, prostacyclin synthase,
cyclooxygenase 1 and 2, endothelial nitric oxide synthase (eNOS), cystathionine
gamma-lyase, cytochrome P450 4A and 2C9, and the purinergic receptors P2X1 and
P2Y2 were determined in skeletal muscle. The protein levels were compared with
those of normotensive control subjects (n=12). Resting muscle dialysate
thromboxane A(2) and prostacyclin concentrations were lower (P<0.05) after
training compared with before training. Before training, dialysate thromboxane
A(2) decreased with acute exercise, whereas after training, no changes were
found. Before training, dialysate prostacyclin levels did not increase with acute
exercise, whereas after training there was an 82% (P<0.05) increase from rest to
exercise. The exercise-induced increase in ATP and ADP was markedly reduced after
training (P<0.05). The amount of eNOS protein in the hypertensive subjects was
40% lower (P<0.05) than in the normotensive control subjects, whereas
cystathionine-gamma-lyase levels were 25% higher (P<0.05), potentially
compensating for the lower eNOS level. We conclude that exercise training alters
the balance between vasodilating and vasoconstricting compounds as evidenced by a
decrease in the level of thromboxane, reduction in the exercise-induced increase
in ATP and a greater exercise-induced increase in prostacyclin.
PMID- 21896937
TI - Overexpression of the sodium chloride cotransporter is not sufficient to cause
familial hyperkalemic hypertension.
AB - The sodium chloride cotransporter (NCC) is the primary target of thiazides
diuretics, drugs used commonly for long-term hypertension therapy. Thiazides also
completely reverse the signs of familial hyperkalemic hypertension (FHHt),
suggesting that the primary defect in FHHt is increased NCC activity. To test
whether increased NCC abundance alone is sufficient to generate the FHHt
phenotype, we generated NCC transgenic mice; surprisingly, these mice did not
display an FHHt-like phenotype. Systolic blood pressures of NCC transgenic mice
did not differ from those of wild-type mice, even after dietary salt loading. NCC
transgenic mice also did not display hyperkalemia or hypercalciuria, even when
challenged with dietary electrolyte manipulation. Administration of
fludrocortisone to NCC transgenic mice, to stimulate NCC, resulted in an increase
in systolic blood pressure equivalent to that of wild-type mice (approximately 20
mm Hg). Although total NCC abundance was increased in the transgenic animals,
phosphorylated (activated) NCC was not, suggesting that the defect in FHHt
involves either activation of ion transport pathways other than NCC, or else
direct activation of NCC, in addition to an increase in NCC abundance.
PMID- 21896939
TI - Role of elevated heart rate in the development of cardiovascular disease in
hypertension.
PMID- 21896942
TI - N-Acetylcysteine Attenuates Fatigue Following Repeated-Bouts of Intermittent
Exercise: Practical Implications for Tournament Situations.
AB - Production of reactive oxygen species (ROS) during contractions is associated
with muscular fatigue and damage in the short-term and adaptive responses in the
long-term. When adaptation is inconsequential acute antioxidant supplementation
may be able to attenuate muscle fatigue and damage to enhance performance. This
study aimed to determine the effects of acute oral N-acetylcysteine (NAC)
supplementation on Yo-Yo intermittent recovery test performance level one (YIRT
L1) following repeated-bouts of damaging intermittent exercise. In a pair-matched
design, twelve recreationally-trained males engaged in either six days of NAC (n
= 6) or placebo (n = 6) supplementation. Following a treatment loading day,
participants completed three testing sessions, on alternate days, consisting of a
pre-exercise Isokinetic dynamometry (IKD) test, a damaging intermittent exercise
protocol, YIRT-L1 and a post-exercise IKD. A further IKD test was completed on
the two intervening days. NAC treatment resulted in a significant preservation of
YIRT-L1 performance (P<=0.0005). IKD performance significantly deteriorated over
time at all contractions speeds and this deterioration was not influenced by
treatment group. Plasma creatine kinase values increased significantly over time
(P=.002) and were significantly greater in the NAC group compared with the
placebo group (P=.029). NAC induced mild-gastrointenstinal side effects. NAC
supplementation may be a useful strategy to enhance performance during short-term
competitive situations where adaption is inconsequential. Titration studies to
elucidate a treatment dose that enhances performance without inducing side
effects are now required.
PMID- 21896938
TI - Silencing of hypoxia-inducible factor-1alpha gene attenuated angiotensin II
induced renal injury in Sprague-Dawley rats.
AB - Although it has been shown that upregulation of hypoxia-inducible factor (HIF)
1alpha is protective in acute ischemic renal injury, long-term overactivation of
HIF-1alpha is implicated to be injurious in chronic kidney diseases. Angiotensin
II (Ang II) is a well-known pathogenic factor producing chronic renal injury and
has also been shown to increase HIF-1alpha. However, the contribution of HIF
1alpha to Ang II-induced renal injury has not been evidenced. The present study
tested the hypothesis that HIF-1alpha mediates Ang II-induced renal injury in
Sprague-Dawley rats. Chronic renal injury was induced by Ang II infusion (200
ng/kg per minute) for 2 weeks in uninephrectomized rats. Transfection of vectors
expressing HIF-1alpha small hairpin RNA into the kidneys knocked down HIF-1alpha
gene expression by 70%, blocked Ang II-induced HIF-1alpha activation, and
significantly attenuated Ang II-induced albuminuria, which was accompanied by
inhibition of Ang II-induced vascular endothelial growth factor, a known
glomerular permeability factor, in glomeruli. HIF-1alpha small hairpin RNA also
significantly improved the glomerular morphological damage induced by Ang II.
Furthermore, HIF-1alpha small hairpin RNA blocked Ang II-induced upregulation of
collagen and alpha-smooth muscle actin in tubulointerstitial region. There was no
difference in creatinine clearance and Ang II-induced increase in blood pressure.
HIF-1alpha small hairpin RNA had no effect on Ang II-induced reduction in renal
blood flow and hypoxia in the kidneys. These data suggested that overactivation
of HIF-1alpha-mediated gene regulation in the kidney is a pathogenic pathway
mediating Ang II-induced chronic renal injuries, and normalization of
overactivated HIF-1alpha may be used as a treatment strategy for chronic kidney
damages associated with excessive Ang II.
PMID- 21896944
TI - Long-term effect of weight loss on body composition and performance in elite
athletes.
AB - CONTEXT: When weight loss (WL) is needed, it is recommended that athletes do it
gradually by 0.5-1 kg/wk through moderate energy restriction. However, the effect
of WL rate on long-term changes in body composition (BC) and performance has not
been investigated in elite athletes. PURPOSE: To compare changes in body mass
(BM), fat mass (FM), lean body mass (LBM), and performance 6 and 12 mo after 2
different WL interventions promoting loss of 0.7% vs. 1.4% of body weight per wk
in elite athletes. METHODS: Twenty-three athletes completed 6- and 12-mo
postintervention testing (slow rate [SR] n = 14, 23.5 +/- 3.3 yr, 72.2 +/- 12.2
kg; fast rate [FR] n = 9, 21.4 +/- 4.0 yr, 71.6 +/- 12.0 kg). The athletes had
individualized diet plans promoting the predetermined weekly WL during
intervention, and 4 strength-training sessions per wk were included. BM, BC, and
strength (1-repetition maximum) were tested at baseline, postintervention, and 6
and 12 mo after the intervention. RESULTS: BM decreased by ~6% in both groups
during the intervention but was not different from baseline values after 12 mo.
FM decreased in SR and FR during the intervention by 31% +/- 3% vs. 23% +/- 4%,
respectively, but was not different from baseline after 12 mo. LBM and upper body
strength increased more in SR than in FR (2.0% +/- 1.3% vs. 0.8% +/- 1.1% and 12%
+/- 2% vs. 6% +/- 2%) during the intervention, but after 12 mo there were no
significant differences between groups in BC or performance. CONCLUSION: There
were no significant differences between groups after 12 mo, suggesting that WL
rate is not the most important factor in maintaining BC and performance after WL
in elite athletes.
PMID- 21896945
TI - Trigonometric analysis of the mechanical axis deviation induced by telescopic
intramedullary femoral lengthening nails.
AB - Femoral lengthening with intramedullary nails can create alterations in the
mechanical axis of the limb. This is based on the relationship of the anatomic
femur axis to the mechanical femur axis, which is typically 5-9 degrees valgus.
We developed trigonometric formulas to calculate the predicted change, using the
lengths of the tibia, femur, and whole limb; the amount of lengthening; and the
angle between the anatomic and the mechanical axis of the femur. We recognized
three patterns depending on whether the overall limb mechanical axis is lateral
(valgus), medial (varus), or straight through the center of the knee. The varus
and valgus patterns lead to similar formulas. When the mechanical axis goes
directly through the center of the knee joint, the formula simplifies. These
formulas could be incorporated into digital radiographic programs to predict the
change in mechanical axis deviation that will develop from lengthening along the
anatomic femur axis with an intramedullary lengthening nail.
PMID- 21896946
TI - Ski jumping takeoff in a wind tunnel with skis.
AB - The effect of skis on the force-time characteristics of the simulated ski jumping
takeoff was examined in a wind tunnel. Takeoff forces were recorded with a force
plate installed under the tunnel floor. Signals from the front and rear parts of
the force plate were collected separately to examine the anteroposterior balance
of the jumpers during the takeoff. Two ski jumpers performed simulated takeoffs,
first without skis in nonwind conditions and in various wind conditions.
Thereafter, the same experiments were repeated with skis. The jumpers were able
to perform very natural takeoff actions (similar to the actual takeoff) with skis
in wind tunnel. According to the subjective feeling of the jumpers, the simulated
ski jumping takeoff with skis was even easier to perform than the earlier trials
without skis. Skis did not much influence the force levels produced during the
takeoff but they still changed the force distribution under the feet.
Contribution of the forces produced under the rear part of the feet was
emphasized probably because the strong dorsiflexion is needed for lifting the
skis to the proper flight position. The results presented in this experiment
emphasize that research on ski jumping takeoff can be advanced by using wind
tunnels.
PMID- 21896947
TI - Three-dimensional model to predict muscle forces and their relation to motor
variances in reaching arm movements.
AB - A three-dimensional (3-D) arm movement model is presented to simulate kinematic
properties and muscle forces in reaching arm movements. Healthy subjects
performed reaching movements repetitively either with or without a load in the
hand. Joint coordinates were measured. Muscle moment arms, 3-D angular
acceleration, and moment of inertias of arm segments were calculated to determine
3-D joint torques. Variances of hand position, arm configuration, and muscle
activities were calculated. Ratios of movement variances observed in the two
conditions (load versus without load) showed no differences for hand position and
arm configuration variances. Virtual muscle force variances for all muscles
except deltoid posterior and EMG variances for four muscles increased
significantly by moving with the load. The greatly increased variances in muscle
activity did not imply equally high increments in kinematic variances. We
conclude that enhanced muscle cooperation through synergies helps to stabilize
movement at the kinematic level when a load is added.
PMID- 21896948
TI - Walking strategies during the transition between level and hill surfaces.
AB - Healthy young adults transition between level and hill surfaces of various angles
while walking at fluctuating speeds. These surface transitions have the potential
to decrease dynamic balance in both the anterior-posterior and medial-lateral
directions. Hence, the purpose of the current study was to analyze modifications
in temporal-spatial parameters during hill walking transitions. We hypothesized
that in comparison with level walking, the transition strides would indicate the
adoption of a distinct gait strategy with a greater base of support. Thirty-four
participants completed level and hill trials on a walkway with a 15-degree
portable ramp apparatus. We collected data during 4 transition strides between
level and ramp surfaces. In support of our hypothesis, compared with level
walking, the base of support was 20% greater during 3 out of the 4 transition
strides. In short, our results illustrate that healthy young adults did adopt a
distinct gait strategy different from both level and hill walking during
transitions strides.
PMID- 21896949
TI - Evaluation of a subject-specific, torque-driven computer simulation model of one
handed tennis backhand groundstrokes.
AB - A torque-driven, subject-specific 3-D computer simulation model of the impact
phase of one-handed tennis backhand strokes was evaluated by comparing
performance and simulation results. Backhand strokes of an elite subject were
recorded on an artificial tennis court. Over the 50-ms period after impact, good
agreement was found with an overall RMS difference of 3.3 degrees between
matching simulation and performance in terms of joint and racket angles.
Consistent with previous experimental research, the evaluation process showed
that grip tightness and ball impact location are important factors that affect
postimpact racket and arm kinematics. Associated with these factors, the model
can be used for a better understanding of the eccentric contraction of the wrist
extensors during one-handed backhand ground strokes, a hypothesized mechanism of
tennis elbow.
PMID- 21896950
TI - Morphological and mechanical properties of muscle and tendon in highly trained
sprinters.
AB - The purpose of this study was to investigate muscle and tendon properties in
highly trained sprinters and their relations to running performance. Fifteen
sprinters and 15 untrained subjects participated in this study. Muscle thickness
and tendon stiffness of knee extensors and plantar flexors were measured.
Sprinter muscle thickness was significantly greater than that of the untrained
subjects for plantar flexors, but not for knee extensors (except for the medial
side). Sprinter tendon stiffness was significantly lower than that of the
untrained subjects for knee extensors, but not for plantar flexors. The best
official record of a 100-m race was significantly correlated to the muscle
thickness of the medial side for knee extensors. In conclusion, the tendon
structures of highly trained sprinters are more compliant than those of untrained
subjects for knee extensors, but not for plantar flexors. Furthermore, a thicker
medial side of knee extensors was associated with greater sprinting performance.
PMID- 21896951
TI - The effect of cerebrospinal fluid thickness on traumatic spinal cord deformation.
AB - A spinal cord injury may lead to loss of motor and sensory function and even
death. The biomechanics of the injury process have been found to be important to
the neurological damage pattern, and some studies have found a protective effect
of the cerebrospinal fluid (CSF). However, the effect of the CSF thickness on the
cord deformation and, hence, the resulting injury has not been previously
investigated. In this study, the effects of natural variability (in bovine) as
well as the difference between bovine and human spinal canal dimensions on spinal
cord deformation were studied using a previously validated computational model.
Owing to the pronounced effect that the CSF thickness was found to have on the
biomechanics of the cord deformation, it can be concluded that results from
animal models may be affected by the disparities in the CSF layer thickness as
well as by any difference in the biological responses they may have compared with
those of humans.
PMID- 21896952
TI - Varying treadmill speed and inclination affects spontaneous synchronization when
two individuals walk side by side.
AB - Studying spontaneous synchronization of stepping as two individuals walk on side
by-side treadmills may be useful for understanding the control of bipedal
locomotion and may have implications for gait rehabilitation. Existing data
suggest that this behavior is related to differences in leg length, walkway
slope, and overground speed between partners, and might be promoted by altering
these variables. This idea was evaluated here as 24 pairs of subjects stepped on
side-by-side treadmills under several conditions of relative speed and slope.
Overall, pairings that demonstrated very little spontaneous synchronization with
the same treadmill speed and slope exhibited significant increases in this
behavior when one treadmill was manipulated. Conversely, pairings that
demonstrated a tendency to synchronize under normal conditions exhibited
significant decreases in this behavior when either treadmill was altered.
PMID- 21896953
TI - Pedaling asymmetries in cyclists with unilateral transtibial amputation: effect
of prosthetic foot stiffness.
AB - Cyclists with unilateral transtibial amputation (CTA) provide a unique model to
study integration of the neuromuscular and bicycle systems while having the
option to modify this integration via the properties of the prosthesis. This
study included eight CTA and nine intact cyclists. The cyclists pedaled on a
stationary bicycle with instrumented force pedals. The CTA group pedaled with a
stiff or flexible prosthetic foot during a simulated time trial and a low
difficulty condition. During the time trial condition, pedaling with the flexible
foot resulted in force and work asymmetries of 11.4% and 30.5%, the stiff foot
displayed 11.1% and 21.7%, and the intact group displayed 4.3% and 4.2%,
respectively. Similar trends were shown in the low difficulty condition. These
data suggest foot stiffness has an effect on cycling symmetry in amputees.
PMID- 21896954
TI - Dynamic optimization of the sit-to-stand movement.
AB - The purpose of this study was to clarify criteria that can predict trajectories
during the sit-to-stand movement. In particular, the minimum jerk and minimum
torque-change models were examined. Three patterns of sit-to-stand movement from
a chair, i.e., upright, natural, and leaning forward, were measured in five young
participants using a 3-D motion analysis device (200 Hz). The trajectory of the
center of mass and its smoothness were examined, and the optimal trajectories
predicted by both models were evaluated. Trajectories of the center of mass
predicted by the minimum torque-change model, rather than the minimum jerk model,
resembled the measured movements in all rising movement patterns. The upright
pattern required greater extension torque of the knee and ankle joints at the
instant of seat-off. The leaning-forward pattern required greater extension hip
torque and higher movement cost than the natural and upright patterns. These
results indicate that the natural sit-to-stand movement might be a result of
dynamic optimization.
PMID- 21896955
TI - Influence of bicycle seat tube angle and hand position on lower extremity
kinematics and neuromuscular control: implications for triathlon running
performance.
AB - We investigated how varying seat tube angle (STA) and hand position affect muscle
kinematics and activation patterns during cycling in order to better understand
how triathlon-specific bike geometries might mitigate the biomechanical
challenges associated with the bike-to-run transition. Whole body motion and
lower extremity muscle activities were recorded from 14 triathletes during a
series of cycling and treadmill running trials. A total of nine cycling trials
were conducted in three hand positions (aero, drops, hoods) and at three STAs (73
degrees , 76 degrees , 79 degrees ). Participants also ran on a treadmill at 80,
90, and 100% of their 10-km triathlon race pace. Compared with cycling, running
necessitated significantly longer peak musculotendon lengths from the
uniarticular hip flexors, knee extensors, ankle plantar flexors and the
biarticular hamstrings, rectus femoris, and gastrocnemius muscles. Running also
involved significantly longer periods of active muscle lengthening from the
quadriceps and ankle plantar flexors. During cycling, increasing the STA alone
had no affect on muscle kinematics but did induce significantly greater rectus
femoris activity during the upstroke of the crank cycle. Increasing hip extension
by varying the hand position induced an increase in hamstring muscle activity,
and moved the operating lengths of the uniarticular hip flexor and extensor
muscles slightly closer to those seen during running. These combined changes in
muscle kinematics and coordination could potentially contribute to the improved
running performances that have been previously observed immediately after cycling
on a triathlon-specific bicycle.
PMID- 21896956
TI - Flap irritation phenomenon (FLIP): etiology of chronic tenosynovitis after finger
pulley rupture.
AB - After a pulley rupture, most climbers regain the full function of their
previously uninjured fingers. However, in some cases of pulley rupture, a
persistent inflammation of the tendon sheath is observed. In this study, 16
cadaver fingers were loaded until pulley rupture and then studied for the
rupturing mechanism. In addition, two patients with this pathology were
investigated using ultrasound and MRI, and received surgery. In 13 fingers, a
rupture of one or several pulleys occurred and almost always at the medial or
lateral insertion. In one finger, a capsizing of the pulley underneath the intact
tendon sheath was observed, leading to an avulsion between tendon and tendon
sheath. A similar pathology was observed in the ultrasound imaging, in MRI, and
during surgery in two patients with prolonged recovery after minor pulley
rupture. In cases of prolonged tenosynovitis after minor pulley rupture, a
capsizing of the pulley stump is probably the cause for constant friction leading
to inflammation. In those cases, a surgical removal of the remaining pulley stump
and sometimes a pulley repair may be necessary.
PMID- 21896957
TI - Effects of inversion perturbation after step down on the latency of the peroneus
longus and peroneus brevis.
AB - The purpose of this investigation was to determine the effect of different types
of ankle sprains on the response latency of the peroneus longus and peroneus
brevis to an inversion perturbation, as well as the time to complete the
perturbation (time to maximum inversion). To create a forced inversion moment of
the ankle, an outer sole with fulcrum was used to cause 25 degrees of inversion
at the ankle upon landing from a 27 cm step-down task. Forty participants
completed the study: 15 participants had no history of any ankle sprain, 15
participants had a history of a lateral ankle sprain, and 10 participants had a
history of a high ankle sprain. There was not a significant difference between
the injury groups for the latency measurements or the time to maximum inversion.
These findings indicate that a previous lateral ankle sprain or high ankle sprain
does not affect the latency of the peroneal muscles or the time to complete the
inversion range of motion.
PMID- 21896958
TI - Global 5-hydroxymethylcytosine content is significantly reduced in tissue
stem/progenitor cell compartments and in human cancers.
AB - DNA methylation at the 5-position of cytosines (5 mC) represents an important
epigenetic modification involved in tissue differentiation and is frequently
altered in cancer. Recent evidence suggests that 5 mC can be converted to 5
hydroxymethylcytosine (5 hmC) in an enzymatic process involving members of the
TET protein family. Such 5 hmC modifications are known to be prevalent in DNA of
embryonic stem cells and in the brain, but the distribution of 5 hmC in the
majority of embryonic and adult tissues has not been rigorously explored. Here,
we describe an immunohistochemical detection method for 5 hmC and the application
of this technique to study the distribution of 5 hmC in a large set of mouse and
human tissues. We found that 5 hmC was abundant in the majority of embryonic and
adult tissues. Additionally, the level of 5 hmC closely tracked with the
differentiation state of cells in hierarchically organized tissues. The highest 5
hmC levels were observed in terminally differentiated cells, while less
differentiated tissue stem/progenitor cell compartments had very low 5 hmC
levels. Furthermore, 5 hmC levels were profoundly reduced in carcinoma of the
prostate, breast and colon compared to normal tissues. Our findings suggest a
distinct role for 5 hmC in tissue differentiation, and provide evidence for its
large-scale loss in cancers.
PMID- 21896959
TI - Mouse models to interrogate the implications of the differentiation status in the
ontogeny of gliomas.
AB - Glioblastoma multiforme (GBM) is the most common and lethal of human primary
central nervous system (CNS) tumors, with a median survival of 14-16 months
despite optimal surgery, radiation and chemotherapy. A reason for this dismal
prognosis is insufficient understanding of the ontogeny of GBMs, which are highly
heterogeneous at a pathological level. This pathological diversity, between and
within GBMs as well as varying grades of gliomas, has not been fully explained
solely on the grounds of oncogenic stimulus. Interaction with the tumor
microenvironment is likely a source of this pathological heterogeneity, as well
as the inherent characteristics of the tumor cell of origin. Currently,
controversy exists on whether the initial transformed cell is a differentiated
astrocyte, progenitor or neural stem cell. Putative cancer stem cells (CSCs),
which have features of normal stem cell plus the ability to recapitulate the
tumor phenotype in vivo in small numbers, have been identified from a variety of
solid human cancers, including GBMs. Evidence suggesting that regions harboring
normal stem cells in the adult CNS, such as the subventricular zone and the
dentate gyrus, are more prone to viral and chemical oncogenesis, is supportive of
the hypothesis that brain tumors arise from stem cells. However, it is still to
be determined whether the appearance of brain tumor stem cells (BTSC) is the
cause or consequence of tumor initiation and progression. This review discusses
emerging evidence highlighting the relevance of the state of differentiation and
regional heterogeneity in the ontogeny of GBM. This is an area of high interest
in cancer in general, with potential significant therapeutic and prognostic
implications.
PMID- 21896960
TI - The NFkappaB pathway: a therapeutic target in glioblastoma.
AB - Cancer initiating cells have been described to be the only cell population with
tumorigenic capacity in glioblastoma multiforme, one of the most aggressive and
untreatable cancers. Recent work from our group described that NFkappaB pathway
was activated in glioblastoma initiating cells undergoing differentiation, and
that blockade of this activation promoted senescence of differentiating cells.
NFkappaB activation in cancer may be the result of either exposure to
proinflammatory stimuli in the tumor microenvironment or upregulation of the
signaling pathway by upstream regulators. Appropriate control of NFkappaB
activity, which can be achieved by gene modification or pharmacological
strategies, would provide a potential approach for the management of NFkappaB
related tumors, including glioblastoma. Here, we summarize the current knowledge
of the relevance of NFkappaB in cancer and its possible role as a target of
therapeutic intervention..
PMID- 21896961
TI - Signal and noise properties of position-sensitive avalanche photodiodes.
AB - After many years of development, position-sensitive avalanche photodiodes
(PSAPDs) are now being incorporated into a range of scintillation detector
systems, including those used in high-resolution small-animal PET and PET/MR
scanners. In this work, the signal, noise, signal-to-noise ratio (SNR), flood
histogram and timing resolution were measured for lutetium oxyorthosilicate (LSO)
scintillator arrays coupled to PSAPDs ranging in size from 10 to 20 mm, and the
optimum bias voltage and working temperature were determined. Variations in the
SNR performance of PSAPDs with the same dimensions were small, but the SNR
decreased significantly with increasing PSAPD size and increasing temperature.
Smaller PSAPDs (10 mm and 15 mm in width) produced acceptable flood histograms at
24 degrees C, and cooling lower than 16 degrees C produced little improvement.
The optimum bias voltage was about 25 V below the break down voltage. The larger
20 mm PSAPDs have lower SNR and require cooling to 0-7 degrees C for acceptable
performance. The optimum bias voltage is also lower (35 V or more below the break
down voltage depending on the temperature). Significant changes in the timing
resolution were observed as the bias voltage and temperature varied. Higher bias
voltages provided better timing resolution. The best timing resolution obtained
for individual crystals was 2.8 ns and 3.3 ns for the 10 mm and 15 mm PSAPDs,
respectively. The results of this work provide useful guidance for selecting the
bias voltage and working temperature for scintillation detectors that incorporate
PSAPDs as the photodetector.
PMID- 21896962
TI - Compressed sensing MRI with singular value decomposition-based sparsity basis.
AB - Compressed sensing MRI (CS-MRI) aims to significantly reduce the measurements
required for image reconstruction in order to accelerate the overall imaging
speed. The sparsity of the MR images in transformation bases is one of the
fundamental criteria for CS-MRI performance. Sparser representations can require
fewer samples necessary for a successful reconstruction or achieve better
reconstruction quality with a given number of samples. Generally, there are two
kinds of 'sparsifying' transforms: predefined transforms and data-adaptive
transforms. The predefined transforms, such as the discrete cosine transform,
discrete wavelet transform and identity transform have usually been used to
provide sufficiently sparse representations for limited types of MR images, in
view of their isolation to the object images. In this paper, we present singular
value decomposition (SVD) as the data-adaptive 'sparsity' basis, which can
sparsify a broader range of MR images and perform effective image reconstruction.
The performance of this method was evaluated for MR images with varying content
(for example, brain images, angiograms, etc), in terms of image quality,
reconstruction time, sparsity and data fidelity. Comparison with other commonly
used sparsifying transforms shows that the proposed method can significantly
accelerate the reconstruction process and still achieve better image quality,
providing a simple and effective alternative solution in the CS-MRI framework.
PMID- 21896963
TI - Approximations of noise covariance in multi-slice helical CT scans: impact on
lung nodule size estimation.
AB - Multi-slice computed tomography (MSCT) scanners have become popular volumetric
imaging tools. Deterministic and random properties of the resulting CT scans have
been studied in the literature. Due to the large number of voxels in the three
dimensional (3D) volumetric dataset, full characterization of the noise
covariance in MSCT scans is difficult to tackle. However, as usage of such
datasets for quantitative disease diagnosis grows, so does the importance of
understanding the noise properties because of their effect on the accuracy of the
clinical outcome. The goal of this work is to study noise covariance in the
helical MSCT volumetric dataset. We explore possible approximations to the noise
covariance matrix with reduced degrees of freedom, including voxel-based
variance, one-dimensional (1D) correlation, two-dimensional (2D) in-plane
correlation and the noise power spectrum (NPS). We further examine the effect of
various noise covariance models on the accuracy of a prewhitening matched filter
nodule size estimation strategy. Our simulation results suggest that the 1D
longitudinal, 2D in-plane and NPS prewhitening approaches can improve the
performance of nodule size estimation algorithms. When taking into account
computational costs in determining noise characterizations, the NPS model may be
the most efficient approximation to the MSCT noise covariance matrix.
PMID- 21896964
TI - Respiratory monitoring with an acceleration sensor.
AB - Respiratory gating radiotherapy is used to irradiate a local area and to reduce
normal tissue toxicity. There are certain methods for the detection of tumor
motions, for example, using internal markers or an external respiration signal.
However, because some of these respiratory monitoring systems require special or
expensive equipment, respiratory monitoring can usually be performed only in
limited facilities. In this study, the feasibility of using an acceleration
sensor for respiratory monitoring was evaluated. The respiratory motion was
represented by means of a platform and measured five times with the iPod touch(r)
at 3, 4 and 5 s periods of five breathing cycles. For these three periods of the
reference waveform, the absolute means +/- standard deviation (SD) of
displacement were 0.45 +/- 0.34 mm, 0.33 +/- 0.24 mm and 0.31 +/- 0.23 mm,
respectively. On the other hand, the corresponding absolute means +/- SD for the
periods were 0.04 +/- 0.09 s, 0.04 +/- 0.02 s and 0.06 +/- 0.04 s. The accuracy
of respiratory monitoring using the acceleration sensor was satisfactory in terms
of the absolute means +/- SD. Using the iPod touch(r) for respiratory monitoring
does not need special equipment and makes respiratory monitoring easier. For
these reasons, this system is a viable alternative to other respiratory
monitoring systems.
PMID- 21896965
TI - Application of L1-norm regularization to epicardial potential reconstruction
based on gradient projection.
AB - The epicardial potential (EP)-targeted inverse problem of electrocardiography
(ECG) has been widely investigated as it is demonstrated that EPs reflect
underlying myocardial activity. It is a well-known ill-posed problem as small
noises in input data may yield a highly unstable solution. Traditionally, L2-norm
regularization methods have been proposed to solve this ill-posed problem. But
the L2-norm penalty function inherently leads to considerable smoothing of the
solution, which reduces the accuracy of distinguishing abnormalities and locating
diseased regions. Directly using the L1-norm penalty function, however, may
greatly increase computational complexity due to its non-differentiability. We
propose an L1-norm regularization method in order to reduce the computational
complexity and make rapid convergence possible. Variable splitting is employed to
make the L1-norm penalty function differentiable based on the observation that
both positive and negative potentials exist on the epicardial surface. Then, the
inverse problem of ECG is further formulated as a bound-constrained quadratic
problem, which can be efficiently solved by gradient projection in an iterative
manner. Extensive experiments conducted on both synthetic data and real data
demonstrate that the proposed method can handle both measurement noise and
geometry noise and obtain more accurate results than previous L2- and L1-norm
regularization methods, especially when the noises are large.
PMID- 21896966
TI - Effect of the cortex on ultrasonic backscatter measurements of cancellous bone.
AB - Ultrasonic backscatter techniques offer a promising new approach for detecting
changes in bone caused by osteoporosis. However, several challenges impede
clinical implementation of backscatter techniques. This study examines how the
dense outer surface of bone (the cortex) affects backscatter measurements of
interior regions of porous (cancellous) bone tissue. Fifty-two specimens of bone
were prepared from 13 human femoral heads so that the same region of cancellous
bone could be ultrasonically interrogated through the cortex or along directions
that avoided the cortex. Backscatter signals were analyzed over a frequency range
of 0.8-3.0 MHz to determine two ultrasonic parameters: apparent integrated
backscatter (AIB) and frequency slope of apparent backscatter (FSAB). The term
'apparent' means that the parameters are sensitive to the frequency-dependent
effects of diffraction and attenuation. Significant (p < 0.001) changes in AIB
and FSAB indicated that measurements through the cortex decreased the apparent
backscattered power and increased the frequency dependence of the power. However,
the cortex did not affect the correlation of AIB and FSAB with the x-ray bone
mineral density of the specimens. This suggests that results from many previous
in vitro backscatter studies of specimens of purely cancellous bone may be
extrapolated with greater confidence to in vivo conditions.
PMID- 21896967
TI - Simulation study on potential accuracy gains from dual energy CT tissue
segmentation for low-energy brachytherapy Monte Carlo dose calculations.
AB - This work compares Monte Carlo (MC) dose calculations for (125)I and (103)Pd low
dose rate (LDR) brachytherapy sources performed in virtual phantoms containing a
series of human soft tissues of interest for brachytherapy. The geometries are
segmented (tissue type and density assignment) based on simulated single energy
computed tomography (SECT) and dual energy (DECT) images, as well as the all
water TG-43 approach. Accuracy is evaluated by comparison to a reference MC dose
calculation performed in the same phantoms, where each voxel's material
properties are assigned with exactly known values. The objective is to assess
potential dose calculation accuracy gains from DECT. A CT imaging simulation
package, ImaSim, is used to generate CT images of calibration and dose
calculation phantoms at 80, 120, and 140 kVp. From the high and low energy images
electron density rho(e) and atomic number Z are obtained using a DECT algorithm.
Following a correction derived from scans of the calibration phantom, accuracy on
Z and rho(e) of +/-1% is obtained for all soft tissues with atomic number Z ?
[6,8] except lung. GEANT4 MC dose calculations based on DECT segmentation agreed
with the reference within +/-4% for (103)Pd, the most sensitive source to tissue
misassignments. SECT segmentation with three tissue bins as well as the TG-43
approach showed inferior accuracy with errors of up to 20%. Using seven tissue
bins in our SECT segmentation brought errors within +/-10% for (103)Pd. In
general (125)I dose calculations showed higher accuracy than (103)Pd. Simulated
image noise was found to decrease DECT accuracy by 3-4%. Our findings suggest
that DECT-based segmentation yields improved accuracy when compared to SECT
segmentation with seven tissue bins in LDR brachytherapy dose calculation for the
specific case of our non-anthropomorphic phantom. The validity of our conclusions
for clinical geometry as well as the importance of image noise in the tissue
segmentation procedure deserves further experimental investigation.
PMID- 21896968
TI - Automatic burst detection for the EEG of the preterm infant.
AB - To aid with prognosis and stratification of clinical treatment for preterm
infants, a method for automated detection of bursts, interburst-intervals (IBIs)
and continuous patterns in the electroencephalogram (EEG) is developed. Results
are evaluated for preterm infants with normal neurological follow-up at 2 years.
The detection algorithm (MATLAB(r)) for burst, IBI and continuous pattern is
based on selection by amplitude, time span, number of channels and numbers of
active electrodes. Annotations of two neurophysiologists were used to determine
threshold values. The training set consisted of EEG recordings of four preterm
infants with postmenstrual age (PMA, gestational age + postnatal age) of 29-34
weeks. Optimal threshold values were based on overall highest sensitivity. For
evaluation, both observers verified detections in an independent dataset of four
EEG recordings with comparable PMA. Algorithm performance was assessed by
calculation of sensitivity and positive predictive value. The results of
algorithm evaluation are as follows: sensitivity values of 90% +/- 6%, 80% +/- 9%
and 97% +/- 5% for burst, IBI and continuous patterns, respectively.
Corresponding positive predictive values were 88% +/- 8%, 96% +/- 3% and 85% +/-
15%, respectively. In conclusion, the algorithm showed high sensitivity and
positive predictive values for bursts, IBIs and continuous patterns in preterm
EEG. Computer-assisted analysis of EEG may allow objective and reproducible
analysis for clinical treatment.
PMID- 21896969
TI - Advanced Poincare plot analysis differentiates between hypertensive pregnancy
disorders.
AB - Hypertensive pregnancy disorders affect 6% to 8% of all pregnancies and can
result in severe complications for the mother and the foetus of which pre
eclampsia (PE) has the worst perinatal outcome. Several studies suggested that
the autonomic nervous system plays an important role in the process of developing
hypertensive pregnancy disorders, especially PE. The aim of this retrospective
study was to investigate whether women with PE could be differentiated from women
with various other hypertensive pregnancy disorders, by employing an enhanced
Poincare plot analysis (PPA), the segmented Poincare plot analysis (SPPA), to
their beat-to-beat interval and blood pressure signals. Sixty-nine pregnant women
with hypertensive disorders (29 PE, 40 with chronic or gestational hypertension)
were included. The SPPA as well as the traditional PPA found significant
differences between PE and other hypertensive disorders of diastolic blood
pressure (p < 0.001 versus p < 0.001) but only the SPPA method revealed
significant differences (p < 0.001) also of the systolic blood pressure. Further
on, linear discrimination analysis demonstrated that indices derived from SPPA
are more suitable for differentiation between chronic and gestational
hypertension and PE than those from traditional PPA (area under the ROC curve
0.85 versus 0.69). Therefore this procedure could contribute to the differential
diagnosis of hypertensive pregnancy disorders.
PMID- 21896970
TI - Smart sensor systems for human health breath monitoring applications.
AB - Breath analysis techniques offer a potential revolution in health care
diagnostics, especially if these techniques can be brought into standard use in
the clinic and at home. The advent of microsensors combined with smart sensor
system technology enables a new generation of sensor systems with significantly
enhanced capabilities and minimal size, weight and power consumption. This paper
discusses the microsensor/smart sensor system approach and provides a summary of
efforts to migrate this technology into human health breath monitoring
applications. First, the basic capability of this approach to measure exhaled
breath associated with exercise physiology is demonstrated. Building from this
foundation, the development of a system for a portable asthma home health care
system is described. A solid-state nitric oxide (NO) sensor for asthma monitoring
has been identified, and efforts are underway to miniaturize this NO sensor
technology and integrate it into a smart sensor system. It is concluded that base
platform microsensor technology combined with smart sensor systems can address
the needs of a range of breath monitoring applications and enable new
capabilities for healthcare.
PMID- 21896971
TI - A selective nanosensor device for exhaled breath analysis.
AB - This paper describes a novel concept of a three-nanosensor array microsystem that
may potentially serve as a coarse diagnostic tool handheld breath analyzer to
provide a first detection device. The specification and performance of a simple
metal oxide nanosensor operating between three distinct temperatures are
discussed, focusing on the need for a noninvasive blood cholesterol monitor.
Interfacing the sensor array to an integrated circuit for electrical readout and
temperature control provides a complete microsystem capable of capturing a single
exhaled breath and analyzing it with respect to the relative content of isoprene,
carbon dioxide and ammonia gas. This inexpensive sensor technology may be used as
a personalized medical diagnostics tool in the near future.
PMID- 21896972
TI - Breath analysis: clinical research to the end-user market.
AB - Breath research is now well established and is solving some of the applications
in the area of identifying volatiles for medical diagnosis. This paper looks at
how this research has been taken to an end-user market. It is not intended to be
an indepth study of the science but simply to draw attention to the role of the
commercial link between the researcher and end-user. This market is not only in
research but exists in hospitals, clinics, sports medicine and even homecare. The
link between research and the end-user market is a vital one to avoid breath
analysis being the tool of researchers only. The ubiquitous use of breath
analysis depends upon it. This is a review of some of the success stories in
commercializing the important breath analysis research that has been conducted
over the last few decades. In order to make breath analysis the new blood test,
products that have end-user appeal need to be developed and routes to market
established.
PMID- 21896973
TI - A microfabricated magnetic force transducer-microaspiration system for studying
membrane mechanics.
AB - The application of forces to cell membranes is a powerful method for studying
membrane mechanics. To apply controlled dynamic forces on the piconewton scale,
we designed and characterized a microfabricated magnetic force transducer (MMFT)
consisting of current-carrying gold wires patterned on a sapphire substrate. The
experimentally measured forces applied to paramagnetic and ferromagnetic beads as
a function of applied current agree well with theoretical models. We used this
device to pull tethers from microaspirated giant unilamellar vesicles and measure
the threshold force for tether formation. In addition, the interlayer drag
coefficient of the membrane was determined from the tether-return velocity under
magnetic force-free conditions. At high levels of current, vesicles expanded as a
result of local temperature changes. A finite element thermal model of the MMFT
provided absolute temperature calibration, allowing determination of the thermal
expansivity coefficient of stearoyl-oleoyl-phosphatidycholine vesicles (1.7 +/-
0.4 * 10(-3) K(-1)) and characterization of the Joule heating associated with
current passing through the device. This effect can be used as a sensitive probe
of temperature changes on the microscale. These studies establish the MMFT as an
effective tool for applying precise forces to membranes at controlled rates and
quantitatively studying membrane mechanical and thermo-mechanical properties.
PMID- 21896975
TI - A novel method to measure the generated voltage of a ZnO nanogenerator.
AB - A novel method to measure the output voltage of a zinc oxide nanowire
nanogenerator is proposed. Various tests are performed to verify that the output
voltage does indeed originate from the nanogenerator and not from environmental
noise. Although noise does influence the output voltage measurements, the output
voltage is easily distinguishable from the measured noise. It is also shown that
the method can be used to determine the internal resistance of the nanogenerator
by measuring the output voltage over different output resistors.
PMID- 21896974
TI - Wetting behavior and nanotribological properties of silicon nanopatterns combined
with diamond-like carbon and perfluoropolyether films.
AB - A large number of silicon (Si) patterns consisting of nanopillars of varying
diameter and pitch have been fabricated and further coated with diamond-like
carbon (DLC) and perfluoropolyether (Z-DOL) films. The wetting behavior and nano
adhesion/friction of the patterns are investigated experimentally in relation to
the nanostructures and the hydrophobicity of the materials. Measurements of water
contact angle illustrate that the patterning-enhanced wettability of the Si flat
surface, along with two distinct wettings which are in good agreement with the
Wenzel and hemi-wicking states, depended on the value of the pitch-over-diameter
ratio. In the case of the coated patterns, three wetting states are observed: the
Cassie-Baxter, the Wenzel, and a transition from the Cassie-Baxter into the
Wenzel, which varies with regard to the hydrophobic properties of the DLC and Z
DOL. In terms of tribological properties, it is demonstrated that a combination
of the nanopatterns and the films is effective in reducing adhesive and
frictional forces. In addition, the pitch and diameter of the patterns are found
to significantly influence their adhesion/friction behaviors.
PMID- 21896976
TI - Catalytic characteristics of MnO2 nanostructures for the O2 reduction process.
AB - Nanorods with an alpha type MnO(2) structure and a diameter ranging from 25 to 40
nm, along with tipped needles with a beta MnO(2) structure and a diameter of 100
nm were obtained. The 25 nm diameter alpha MnO(2) nanorods showed the best
catalytic activity for dissociation of HO(2)(-) formed during oxygen reduction in
a KOH solution. The MnO(2) nanostructures preferably followed a two-electron
oxygen reduction mechanism in a LiOH solution. The size of the catalyst also
affected the specific capacities of the non-aqueous Li/O(2) batteries fabricated
using the MnO(2) based air electrode. The highest specific capacity of 1917 mA h
g(-1) was obtained for an alpha MnO(2) nanorod catalyst having a diameter of 25
nm. The cation present in the MnO(2) nanostructures appears to determine the
catalytic activity of MnO(2).
PMID- 21896978
TI - Multi-pulsed white light sintering of printed Cu nanoinks.
AB - Pulse management of white light to maximize the sintering efficiency of a rapid
(msec) and substrate-protective method, intense pulsed light (IPL), was studied
systematically with a printable Cu nanoink. An excessive pulse energy that
induces deleterious defects on the Cu film along with damage on a plastic
substrate was dissipated into multiple sub-pulses while maintaining a total
energy budget over the threshold level for successful Cu sintering. Electrical
properties of the metal layers were analyzed in conjunction with pulse formation
factors such as average energy, pulse duration, peak power and pulse number to
determine their respective effects on IPL sintering. In the quantitative results,
the optimized sintering conditions of copper nanoparticles with a mean diameter
of 30 nm and a fixed total irradiated pulse energy of 32 J cm(-2) were a pulse
number and pulse width of > 4 and < 3 msec, respectively.
PMID- 21896977
TI - Biocompatible transferrin-conjugated sodium hexametaphosphate-stabilized gold
nanoparticles: synthesis, characterization, cytotoxicity and cellular uptake.
AB - The feasibility of using gold nanoparticles (AuNPs) for biomedical applications
has led to considerable interest in the development of novel synthetic protocols
and surface modification strategies for AuNPs to produce biocompatible molecular
probes. This investigation is, to our knowledge, the first to elucidate the
synthesis and characterization of sodium hexametaphosphate (HMP)-stabilized gold
nanoparticles (Au-HMP) in an aqueous medium. The role of HMP, a food additive, as
a polymeric stabilizing and protecting agent for AuNPs is elucidated. The surface
modification of Au-HMP nanoparticles was carried out using polyethylene glycol
and transferrin to produce molecular probes for possible clinical applications.
In vitro cell viability studies performed using as-synthesized Au-HMP
nanoparticles and their surface-modified counterparts reveal the biocompatibility
of the nanoparticles. The transferrin-conjugated nanoparticles have significantly
higher cellular uptake in J5 cells (liver cancer cells) than control cells (oral
mucosa fibroblast cells), as determined by inductively coupled plasma mass
spectrometry. This study demonstrates the possibility of using an inexpensive and
non-toxic food additive, HMP, as a stabilizer in the large-scale generation of
biocompatible and monodispersed AuNPs, which may have future diagnostic and
therapeutic applications.
PMID- 21896979
TI - Carbon nanowalls amplify the surface-enhanced Raman scattering from Ag
nanoparticles.
AB - We report surface-enhanced Raman scattering (SERS) from Ag nanoparticles
decorated on thin carbon nanowalls (CNWs) grown by microwave plasma chemical
vapor deposition. The Ag morphology is controlled by exposing the CNWs to oxygen
plasma and through the electrodeposition process by varying the number of
deposition cycles. The SERS substrates are capable of detecting low
concentrations of rhodamine 6G and bovine serum albumin, showing much higher
Raman enhancement than ordinary planar HOPG with Ag decoration. The major factors
contributing to this behavior include: high density of Ag nanoparticles, large
surface area, high surface roughness, and the underlying presence of vertically
oriented CNWs. The relatively simple procedure of substrate preparation and
nanoparticle decoration suggests that this is a promising approach for
fabricating ultrasensitive SERS substrates for biological and chemical detection
at the single-molecule level, while also enabling the study of fundamental SERS
phenomena.
PMID- 21896980
TI - A nanowire-based shift register for display scan drivers.
AB - The development of display scan drivers is an essential step in the effort to
develop transparent and flexible display devices based on nanowire transistors.
Here we report a transparent nanowire-based shift register that functions as the
standard logic circuit of a display scan driver. To form the shift register
circuits using only n-type nanowire transistors, a novel circuit structure was
introduced to avoid the output voltage drop typical of purely n-type circuits. A
circuit simulation based on the measured nanowire transistor characteristics was
developed in the planning phase to verify the circuit operation of the shift
register. The shift register successfully produced an output of 0-3 V without an
output voltage drop while applying an input of 3 V peak to peak. In addition, the
shift register was designed to have multiple channels with a randomly oriented
nanowire placement method to enhance the operation yield.
PMID- 21896981
TI - Cytoprotective properties of a fullerene derivative against copper.
AB - To delineate the complexity of the response of cells to nanoparticles we have
performed a study on HT-29 human colon carcinoma cells exposed first to a
fullerene derivative C(60)(OH)(20) and then to physiological copper ions. Our
cell viability, proliferation, and intracellular reactive oxygen species (ROS)
production assays clearly indicated that C(60)(OH)(20) suppressed cell damage as
well as ROS production induced by copper, probably through neutralization of the
metal ions by C(60)(OH)(20) in the extracellular space, as well as by adsorption
and uptake of the nanoparticles surface-modified by the biomolecular species in
the cell medium. This double-exposure study provides new data on the effects of
nanoparticles on cell metabolism and may aid the treatment of oxidant-mediated
diseases using nanomedicine.
PMID- 21896982
TI - Mechanical properties of grafold: a demonstration of strengthened graphene.
AB - Morphological patterns and structural features play crucial roles in the physical
properties of functional materials. In this paper, the mechanical properties of
grafold, an architecture of folded graphene nanoribbon, are investigated via
molecular dynamics simulations and intriguing features are discovered. In
contrast to graphene, grafold is found to develop large deformations upon both
tensile and compressive loading along the longitudinal direction. The tensile
deformation is plastic, whereas the compressive deformation is elastic and
reversible within the strain range investigated. The calculated Young's modulus,
tensile strength, and fracture strain are comparable to those of graphene, while
the compressive strength and strain are much higher than those of graphene. The
length, width, and folding number of grafold have distinctive impacts on the
mechanical performance. These unique behaviors render grafold a promising
material for advanced mechanical applications.
PMID- 21896983
TI - Photo-induced suppression of plasmonic emission enhancement of CdSe/ZnS quantum
dots.
AB - Emission of semiconductor quantum dots can be increased via two fundamentally
different processes: (i) surface plasmon resonances (plasmonic emission
enhancement) and (ii) irradiation with light (photo-induced fluorescence
enhancement). In this paper we theoretically and experimentally study the mutual
impacts of these processes on each other in quantum dot solids. We show that when
thin films of colloidal quantum dots are placed in the vicinity of Au nano
islands, the plasmonic enhancement of the radiative decay rates of quantum dots
and Forster energy transfer can hinder the photo-induced fluorescence enhancement
of these films. This in turn leads to significant suppression of their plasmonic
emission enhancement when they are irradiated with a laser beam. We investigate
the impact of the sizes and shapes of the metallic nanoparticles in this process
and theoretically analyze how plasmons and energy transfer can hinder the
electrostatic barrier responsible for photo-induced fluorescence enhancement.
PMID- 21896984
TI - Construction of high-quality CdS:Ga nanoribbon/silicon heterojunctions and their
nano-optoelectronic applications.
AB - Silicon based optoelectronic integration is restricted by its poor optoelectronic
properties arising from the indirect band structure. Here, by combining silicon
with another promising optoelectronic material, the CdS nanoribbon (NR), devices
with heterojunction structure were constructed. The CdS NRs were also doped with
gallium to improve their n-type conductivity. A host of nano-optoelectronic
devices, including light emitting diodes, photovoltaic devices, and
photodetectors, were successfully constructed on the basis of the CdS:Ga NR/Si
heterojunctions. They all exhibited excellent device performances as regards high
stability, high efficiency, and fast response speed. It is expected that the CdS
NR/Si heterojunctions will have great potential for future applications of Si
based optoelectronic integration.
PMID- 21896985
TI - Expression of hypoxia inducible factor-1 alpha in matched hormone naive and
castrate resistant prostate cancer specimens.
AB - Hypoxia inducible factor-1 alpha (HIF-1alpha) is known as an important
transcription factor in endocrine tumours. It is elevated in hypoxic tumour
microenvironment, increasing angiogenesis and enabling tumour cells to enter the
circulation. We therefore hypothesised that patients with advanced prostate
cancer disease have high tumoural HIF-1alpha xpression and worse disease specific
survival. Aim of this study was to assess expression of HIF-1alpha in prostate
cancer specimens taken before and after castrate resistance to address its
cellular location and to examine if this is associated with clinicopathological
features and clinical outcome of the particular prostate cancer cohort. 50 pairs
of hormone naive and castrate resistant prostate cancer specimens were analysed
employing tissue microarray technology. Immunohistochemistry was performed using
an antibody to HIF-1alpha.HIF-1alpha expression was observed in both, cytoplasm
and nucleus. Cytoplasmic HIF-1alpha expression correlated positively with
metastases at diagnosis (p=0.005), whereas nuclear HIF-1alpha expression
correlated with metastases at relapse (p=0.041). Cytoplasmic and nuclear HIF
1alpha expression did not change from hormone naive to hormone castrate resistant
tumours. No significant association was observed in this study between tumoural
HIF-1alpha expression, biochemical relapse and patient survival. HIF-1alpha was
associated with the presence of metastases at time of diagnosis and time of
relapse. HIF-1alpha is likely to play a role in progressive prostate cancer.
PMID- 21896986
TI - Recurrent amplification in the 22q11 region in laryngeal squamous cell carcinoma
results in overexpression of the CRKL but not the MAPK1 oncogene.
AB - Thirteen laryngeal squamous cell carcinoma cell lines were recently studied by
array comparative genomic hybridization (array-CGH) in order to identify
recurrent DNA copy number alterations in the tumor genome. A highly amplified
region 22q11.2 was found in two of the thirteen cell lines. Two established
oncogenes CRKL and MAPK1 are localized in this region, but only CRKL was
amplified in both cell lines. Therefore, to check if amplification of either CRKL
or MAPK1 genes may be important in the pathogenesis of laryngeal squamous cell
carcinoma, the DNA copy number and mRNA expression were measured in a cohort of
17 LSCC cell lines by quantitative real-time PCR (qPCR). For the CRKL gene gains
of the copy number were found in 3/17 cell lines, while overexpression was found
in 6/17 cell lines. Gains in the copy number for the MAPK1 gene were found in
1/17 cell lines, but overexpression was not detected in any cell line. A highly
significant correlation between DNA copy number and expression for CRKL gene, but
not for MAPK1 gene was established using the Pearson test. Thereafter, 46 primary
samples of laryngeal cancer were tested by qPCR to check for possible gains in
copy number of the CRKL gene. Gains were found in 3/46 cases. These results
suggest that CRKL, but not MAPK1 is the target oncogene of the rare but recurrent
amplification at 22q11.2 in laryngeal squamous cell carcinoma.
PMID- 21896987
TI - Role of p53 gene polymorphism and bladder cancer predisposition in northern
India.
AB - p53 is a major orchestrator of cellular response to a broad array of stress types
by regulating apoptosis, cell cycle arrest, etc. A few polymorphic sites, one at
codon72 of exon4, intron3 16bpdel/ins, intron6G>A have been studied with regard
to Bladder cancer (BC) risk in North Indians. Genotypes were assessed in hospital
based case-control study comprising of 200BC cases, 265healthy controls. After
extraction of genomic DNA from blood, genotyping was done using PCR Restriction
Fragment Length Polymorphism. Individuals with p53R72P G>C, CC genotype
demonstrated marginally reduced risk of BC (p=0.053, OR=0.29, 95% 16bp-ins/del.
PMID- 21896988
TI - A CYP17A1 gene polymorphism in association with multiple uterine leimyomas; a
meta-analysis.
AB - OBJECTIVE: The mutant genotype GG of the CYP17A1 gene polymorphism has been
linked to higher levels of serum estradiol and thus might be associated with
steroid-hormone dependent tumors. We decided to assess an association of CYP17A1
polymorphism with uterine leiomyomas (ULM) and multiple ULM by conducting a meta
analysis and subgroup analysis. METHODS: We searched the HuGE Navigator and
PubMed databases using the terms "leiomyoma" and "CYP17A1" for articles published
by October 1, 2010. Our article in press was added. The selection criteria were
(i) cases having ULM, (ii) controls showing no ULM from the same ethnic group,
(iii) cases and controls not overlapping. The subgroup analysis included cases
having multiple ULM, predisposing black women mostly present with multiple ULM.
Pooled risk ratio was calculated using chi ^{2} statistic.
RESULTS: Five papers fulfilled the selection criteria for meta-analysis and two
papers for the subgroup analysis. The meta-analysis revealed no association of
CYP17A1 polymorphism with all ULM. A high pooled risk ratio for multiple ULM was
associated with the presence of mutant genotype GG (RR 3.25). CONCLUSION: CYP17A1
polymorphism may be associated with multiple ULM but not with all ULM. The future
research might enable us to predict the course of the disease.
PMID- 21896989
TI - WT1 expression as an independent marker of poor prognosis in colorectal cancers.
AB - WT1 has been proven to be a prognostic marker and molecular target in various
human cancers. In this study, we aimed to investigate the prognostic role of WT1
in colorectal cancers (CRCs). Archival tissue samples from 157 CRC cases who
underwent curative surgery in our institute from February 1999 to May 2004 were
subjected to WT1 expression studies using an immunohistochemistry technique.
Number of positive staining per 500 tumor cells and staining intensities were
analyzed against overall survival. Of 157 CRCs, 83 were colonic and 74 were
rectal cancers. The mean follow-up period was 116 (range 77-145) months. Five
year and seven-year OS rates were 60.9% and 52.8%, respectively. WT1
immunostaining was positive in 143 cases (91%). The median number of positive
cells was 120 (range 0-420). Univariate analysis by Log-rank test showed that
AJCC stage, tumor site (rectal cancer), number of positive cells > 120 and high
staining intensity (score ++/+++) were significantly associated with poorer
survival (p-value < 0.01). Five-year survival rates in cases with positive cells
of ? 120 cells and > 120 cells were 72.2% and 49.4%, respectively. Five-year
survival in cases with staining intensity of ++ or more was 45.3%, compared with
69% in cases with intensity of less than ++. Multivariate regression analysis
demonstrated that the staining intensity, high tumor stage and rectal site were
independent factors indicating poorer survival. Our findings indicate that WT1
expression is a marker of poor prognosis in CRCs, independent of AJCC staging.
PMID- 21896991
TI - MDM2 amplification is an independent prognostic feature of node-negative,
estrogen receptor-positive early-stage breast cancer.
AB - BACKGROUND: MDM2 is overexpressed and amplified in a number of malignant tumors
including breast carcinomas. Cell culture experiments showed a close connection
between %of MDM2 expression and estrogen receptor status in breast cancer cell
lines. Only little is known about the role of MDM2 amplifications in early-stage
breast carcinomas with positive estrogen receptor status. METHODS: 661 highly
characterized node-negative breast carcinomas with positive estrogen receptor
status (ER+ early-stage breast carcinomas) were analyzed on a tissue microarray.
Molecular (HER2, CCND1, MDM2, MYC, 8q21), as well as estrogen receptor expression
data used in this analysis, was available from previously published studies. The
primary endpoint of overall survival analysis was death after 10 years. RESULTS:
Gene amplifications were found in 194/661 (29%) ER+ early-stage breast carcinomas
and 40 (7%) exhibited amplification of the MDM2 oncogene. MDM2 amplifications
were significantly related to advanced tumor stage (p < 0.05) and high Ki67
expression levels (p < 0.05). There was no relationship between MDM2 copy number
changes and tumor grade, estrogen receptor expression level and co-amplification
of HER2, CCND1 and 8q. Tumor stage (pT1 vs pT2-pT4; HR 1.51; 95% CI 1.02-2.24;
p=0.042), grading (G1-G2 vs G3; HR 2.27; 95% CI 1.51-3.43; p < 0.001), high Ki67
proliferation index (HR 2.03; 95% CI 1.31-3.15; p=0.0015), HER2 (HR 2.6; 95% CI
1.51 to 4.5; p < 0.001) and MDM2 amplification (HR 2.05, 95% CI 1.06-3.97, p
=0.033) were statistically adverse prognostic risk factors in univariate Cox
regression analysis. Patient age, estrogen receptor expression level, CCND1 and
8q amplification were not associated to overall survival. Multivariate Cox
regression analysis of survival data included tumor stage, grading, Ki67 labeling
index, HER2 and MDM2 amplification status. In this statistical model, only MDM2
amplification was an independent factor for overall patient survival in ER+ early
stage breast carcinomas (HR 2.64; 95% CI 1.32 to 5.28; p=0.006). CONCLUSION: The
MDM2 oncogene is amplified in a substantial proportion of ER+ early-stage breast
carcinomas and an independent parameter for poor patient outcome in this
subgroup. The prognostic effect of MDM2 is closely connected to estrogen receptor
expression of breast carcinomas.
PMID- 21896990
TI - Genetic investigation of FOXE1 polyalanine tract in thyroid diseases: new insight
on the role of FOXE1 in thyroid carcinoma.
AB - FOXE1 polyalanine tract (poly-Ala) has been associated with thyroid dysgenesis.
Recently, the SNP (rs1867277:-238G>A) within the FOXE1 5'UTR was involved in the
genetic susceptibility to thyroid cancer (TC). In the aim to assess the influence
of FOXE1 poly-Ala length on the genetic susceptibility to TC and autoimmune
thyroid diseases (AITD), a case-control design (including 261 Tunisian AITD, 170
Spanish TC and respectively 171 and 218 matched healthy subjects) was performed.
The effect of Ala length and rs1867277 alleles on FOXE1 expression was
investigated by mRNA relative real time quantification on 8 papillary thyroid
carcinoma (PTC) and 10 Graves' disease (GD) genotyped thyroid biopsies. The
fluorescent genotyping of poly-Ala polymorphism revealed nine alleles (from 12 to
22 repetitions). The association of poly-Ala polymorphism with AITD was rejected
(Pc>0.05). However, a significant association was found with TC. In addition, the
genotypic distributions revealed the predispositional effect of the 16/16
genotype (OR = 2.71; 95%CI: 1.36-5.42; p=0.001) and the protector effect of the
14/14 genotype (OR= 0.46; 95%CI: 0.29-0.72; p=0.003). The quantification studies
reveal that FOXE1 transcripts were less abundant in PTC than GD samples.
Moreover, FOXEI gene was 4,8 fold less expressed among PTC protected patients
compared to homozygous 16/16-A/A. In conclusion, by exploring the poly-Ala
polymorphism, we confirmed the involvement of {?it FOXE1} gene in the genetic
susceptibility to TC and we reported its down expression among PTC tissues.
PMID- 21896992
TI - The EGFR R521K polymorphism influences the risk to develop colorectal cancer.
AB - Epidermal growth factor receptor (EGFR) family members (EGFR, HER2, HER3 and
HER4) have been extensively investigated for its possible involvement in cancer
development and progression. In colorectal cancer (CRC) EGFR family has been
found frequently over-expressed, thus therapy targeting EGFR has been developed.
Interestingly, it has been observed that genetic variants in these receptors may
alter the therapeutic efficacy of EGFR inhibitors. Polymorphic variants in
members of the EGFR family could influence different biologic activities, such as
ligands affinity, dimerization efficiency, kinase activity, expression levels,
with a consequent impact in signalling pathways and cell behaviour. This study
aimed to verify whether single nucleotide polymorphisms (SNPs) of EGFR family
members could represent susceptibility factors able to influence the risk to
develop CRC. Peripheral blood of 70 Italian colon cancer patients and 72 healthy
controls was used as a source of genomic DNA to investigate EGFR, HER2 and HER3
common non-synonymous SNPs. Genetic association tests were performed to verify a
possible relationship with CRC. Evidence of genotype association was found for
the R521K EGFR polymorphism under a dominant mode of inheritance (Mid-P=0.031).
Genotypes with the variant allele of EGFR R521K SNP confer a risk reduction to
develop CRC.
PMID- 21896993
TI - Expression and prognostic relevance of Id1 in stage III esophageal squamous cell
carcinoma.
AB - Inhibitor of differentiation 1 (Id1) plays a role in cellular differentiation,
proliferation, angiogenesis and tumor invasion. In current study, we investigated
the expression of Id1 protein in 189 specimens of stage III ESCC by
immunohistochemistry. The correlation between Id1 expression and
clinicopathological parameters in terms of gender, age, tumor location,
differentiation, pathological T stage and pathological N stage was also explored.
Immunohistochemical staining showed that Id1 was expressed in all cases (100%).
According to ROC curve, we selected 6.33 as the cutoff score. There were 95 cases
in high expression group (> 6.33) and 94 cases in low expression group (? 6.33)
respectively. The Id1 expression was associated negatively with differentiation,
and positively with pathological N stage (P< 0.05, bothly); No significant
correlation was observed between Id1 expression and gender, age, tumor location
or pathological T stage (P> 0.05). As shown by the Kaplan-Meier curve, the
overall survival rate of high expression group was significantly lower than that
of low expression group (P< 0.001). The expression of Id1 protein has a close
relationship with differentiation degree, pathological N stage and survival in
ESCC patients. Id1 could be considered as a prognostic predictor for stage III
ESCC patients.
PMID- 21896994
TI - Nup88 mRNA overexpression in colorectal cancers and relationship with p53.
AB - OBJECTIVES: We measured nucleoporin 88 (Nup88) mRNA expression in primary
colorectal cancers to investigate its relationship with clinicopathological
features and p53. METHODS: The primary cancer tissues, adjacent noncancerous
tissues and the proximal and distant margins of normal mucosa were collected from
73 colorectal cancer patients during surgery. Nup88 mRNA expression was measured
on these fresh specimens and on colon cell lines HCT-116^{p53 + / + }
and HCT-116^{p53 - / - } by RT-PCR while p53 mRNA and beta-actin as
controls. Nup88 and p53 protein expression were then immunohistochemistrically
examined in other 25 colorectal cancers specimens paraffin embedded and formalin
fixed. RESULTS: Nup88 expression was higher in primary cancer tissues than in
adjacent noncancerous tissues, and in the proximal and distant margins of normal
mucosa. Overexpression of Nup88 mRNA was statistically associated with TNM stage
(P=0.044), lymphatic metastasis (P=0.022), and cancer location (P=0.036), while
not related to gender, age of patients and histological type, infiltration depth,
and differentiation of cancers. The expression of Nup88 mRNA in the HCT-116^{p53
/ - } cell line was not significantly different from expression in the
HCT-116^{p53 + / +}cell line. And there was no correlation between Nup88 and p53
protein expression (r=0.632, P=0.368). CONCLUSIONS: Nup88 mRNA was overexpressed
in colorectal cancers and the overexpression was associated with cancer
development and aggressiveness. Nup88 might be regard as essential contributor to
nodal metastagenicity of colorectal cancer.
PMID- 21896995
TI - Plasma chromogranin A: clinical implications in patients with castrate resistant
prostate cancer receiving docetaxel chemotherapy.
AB - OBJECTIVE: Development of castrate resistant prostate cancer (CRPC) indicates
progressive disease with poor survival. Docetaxel has variable response with a
good proportion of nonresponders. Neuroendocrine differentiation, hypothesised as
one of the mechanisms behind development of CRPC, can be assessed by plasma
Chromogranin A (CgA). We evaluated the clinical importance of circulating CgA in
CRPC patients receiving Docetaxel. METHODS: Plasma CgA was assessed by ELISA in
14 patients with CRPC receiving 3-weekly docetaxel. Baseline PSA, baseline CgA,
PSA response, CgA response and clinical response to chemotherapy were evaluated
and analysed. RESULTS: Increased plasma CgA was observed in 64.3% of patients.
There was no correlation between baseline CgA and PSA. Two patients with PSA < 10
ng/ml had elevated CgA. Baseline CgA was not influenced by variables such as site
of metastasis and time to develop CRPC status. Seven patients (50%) had PSA
response and 5 (36%) CgA-response. In 4 patients PSA response and CgA response
were discordant. Compared to men with normal baseline CgA, a higher proportion of
those with elevated baseline CgA had PSA response (55% vs 40%), symptomatic
response (66% vs 40%) and radiological response (55% vs 20%). Two patients with
symptomatic response had only CgA response. Three patients having disease
progression despite PSA response had increasing CgA. CONCLUSIONS: CgA and PSA are
complementary tumour markers in CRPC. CgA may help in predicting the response of
docetaxel therapy. Rising CgA during therapy may be associated with bad prognosis
whereas CgA response is likely to be associated with clinical response.
PMID- 21896996
TI - LNA-based PCR clamping enrichment assay for the identification of KRAS mutations.
AB - INTRODUCTION: KRAS mutations in colon carcinomas are associated with lack of
response to anti-EGFR monoclonal antibody treatment. Therefore, patients must
undergo genetic testing to be eligible for treatment. Several methods for KRAS
mutation analysis exist, but many are not sensitive enough to detect a mutation
in samples with low fraction of malignant cells. In the present study, we
developed a KRAS mutations detection method that is both simple and sensitive.
METHODS: Using a locked nucleic acid (LNA) containing oligonucleotide, we
developed a PCR clamping method that preferentially amplifies the mutated over
wild type KRAS. We evaluated the sensitivity of this method using serial
dilutions of plasmids containing wild-type and mutated KRAS fragments.
Additionally, KRAS mutation status was evaluated on 60 archived tissue samples of
colon carcinoma, and compared to direct sequencing and high resolution melting
(HRM) methods. RESULTS: The PCR clamping method could detect as little as 1%
mutated DNA in the sample analyzed. Of the 29 KRAS mutations identified by the
PCR clamping method, only 23 (79%) were identified by standard direct sequencing.
The results of PCR clamping correlated with HRM results. CONCLUSIONS: LNA based
PCR clamping method is a simple and highly sensitive method for the detection of
KRAS mutations.
PMID- 21896997
TI - Salivary and serum proteomics in head and neck carcinomas: before and after
surgery and radiotherapy.
AB - Several body fluids have been evaluated as new sources for cancer biomarker
discovery. In this context, salivary and serum proteomics seem promising
diagnostic and predictive tools for head and neck diseases. In the present study,
we performed a proteomic analysis of saliva and serum from patients presenting
head and neck squamous cell carcinoma (HNSCC) and compared the results before and
after therapy. In saliva of cancer patients, we observed an altered protein
profile, including over-expression of PLUNC and zinc-alpha-2-glycoprotein. Both
proteins may contribute to control tumor growth and, therefore, represent targets
for new analysis. We also detected serotransferrin and a modified transthyretin
form with altered levels in serum from patients. Comparing preoperative and
postreatment samples, the results showed that the protein profile after treatment
reverted to a pattern closer to those observed for controls. These results add
information on the role of secreted proteins in the cancer process and emphasize
the potential of saliva and serum analysis for diagnosis and monitoring of HNSCC.
PMID- 21896998
TI - Rectal mucosal quantitative galactose oxidase-Schiff reaction as an early
detection biomarker for colorectal cancer: comparison to fecal occult stool blood
test.
AB - The galactose oxidase-Schiff (GOS) reaction detects D-galactose-beta-[1,3]-N
acetyl-D-galactosamine. This is a T-antigen expressed in mucus from malignant
cells and colonic mucosa adjacent to cancer but not in normal mucosa. Previous
studies using a qualitative GOS assay proved to be of limited value for the
detection of colorectal neoplasia. We used a newly developed quantitative GOS
assay to determine its potential as an early detection biomarker for colorectal
cancer. We completed a multi-center, prospective, cross-sectional cohort
validation study consisting of 70 normal controls, 23 high-risk normal patients
(polyp history or family history of colorectal cancer (CRC) with currently normal
colonoscopy), 137 patients with adenomatous polyps, and 69 with colorectal
cancers. Prior to colonoscopy, two samples of stool were collected via a rectal
exam: one for FOBT, and one for GOS. The area under the ROC curve (AUC) for
detecting colonic adenomas and cancer for normal colons, computed with logistic
regression was 0.69 for GOS, 0.62 for FOBT, and 0.73 for GOS combined with FOBT.
Adding GOS to FOBT did not significantly change the ROC of FOBT alone. GOS does
not appear to be a suitable marker of colorectal neoplasia.
PMID- 21897000
TI - MMP-9, homocysteine and CRP circulating levels are associated with intraluminal
thrombus thickness of abdominal aortic aneurysms: new implication of the old
biomarkers.
AB - BACKGROUND: Abdominal aortic aneurysms (AAAs) are characterized by presence of
high proteolytic activity, atherosclerotic lesions, extensive transmural
inflammation and the presence of variably sized and shaped intraluminal thrombus
(ILT). Therefore, we evaluated a possible association between plasma matrix
metalloproteinase-9 (MMP-9), homocysteine (Hcy), high-sensitivity C-reactive
protein (hsCRP) levels and ILT thickness in patients with AAA. METHODS: Plasma
concentrations of MMP-9, Hcy and hsCRP were determined and ILT thickness was
measured in 71 patients with AAA. They were divided into 2 groups according to
ILT thickness: 34 patients with ILT mean thickness ? 9 mm and 37 patients with
ILT < 9 mm. RESULTS: Plasma MMP-9 and CRP concentrations in patients with thin
ILT were significantly higher than in group with thick ILT (medians 610 vs. 485
ng/mL, p=0.00003, and 7.7 vs. 3.3 mg/L, p < 0.00001, respectively). In contrast,
plasma Hcy concentrations in patients with thin ILT were significantly lower than
in the group with thick ILT (medians 14.3 vs. 19.2 MUmol/L, p < 0.00001).
Multiple regression models adjusted for age and AAA diameter showed that thin ILT
is an independent predictor of high MMP-9 and CRP concentrations, while thick ILT
predicts high Hcy concentrations. CONCLUSIONS: Association of higher plasma
levels of MMP-9 and CRP with thin ILT may be related to two phenomena: thin
thrombi convey more elastolysis-stimulating factors from blood to the AAA wall
and thin thrombi convey more factors involved in proteolysis and inflammation
from AAA wall to blood. The association of thin ILT with lower plasma Hcy
concentrations may be related to the role of Hcy as a prothrombotic marker and
needs further research.
PMID- 21896999
TI - Platelet-stored angiogenesis factors: clinical monitoring is prone to artifacts.
AB - BACKGROUND: The analysis of angiogenesis factors in the blood of tumor patients
has given diverse results on their prognostic or predictive value. Since
mediators of angiogenesis are stored in platelets, their measurement in plasma is
sensitive to inadvertent platelet activation during blood processing. METHODS:
Variants of blood withdrawal and plasma preparation were evaluated by ELISA for
the detection of TSP-1, PF-4, VEGF and PD-ECGF. A total of 22 pancreatic cancer
patients and 29 healthy volunteers were evaluated. RESULTS: Plasma preparation
with the anticoagulant mix of citrate, theophylline, adenosine, dipyridamole
(CTAD) and immediate blood processing at 4 degrees C was required for
reproducible measurements of TSP-1, PF-4 and VEGF. Blood collection by venflon or
inadvertent hemolysis during blood withdrawal caused significantly elevated TSP-1
and PF-4 values. When optimized plasma preparation was applied, a significant
increase of TSP-1 and VEGF in cancer patients was detected (P=0.006; P< 0.001).
CONCLUSION: The reliable plasma analysis of circulating platelet-stored
angiogenesis factors requires preparation with CTAD at 4 degrees C and blood
collection by butterfly needle. Suboptimal procedures of plasma preparation are
commonly applied in clinical monitoring of angiogenesis parameters which may
account for the differences in reported plasma values and may have masked their
predictive or prognostic marker potential.
PMID- 21897001
TI - Circulating endothelial cells in patients with heart failure and left ventricular
dysfunction.
AB - INTRODUCTION AND AIMS: Acute and chronic heart failure may manifest different
degrees of endothelial damage and angiogenesis. Circulating endothelial cells
(CEC) have been identified as marker of vascular damage. The aim of our study was
to evaluate the evolution of the CEC at different stages of patients with heart
failure. We also investigated a potential correlation between CEC and markers of
vascular damage and angiogenesis. METHODS: We studied 32 heart failure patients
at hospital admission (acute phase) and at revision after 3 months (stable phase)
and 32 controls. Circulating markers of endothelial damage (CEC; von Willebrand
factor, vWF and soluble E-selectin, sEsel) and angiogenesis (vascular endothelial
growth factor, VEGF and thrombospondin-1) were quantified. RESULTS: Levels of
CEC, vWF, sEsel and VEGF are significantly higher in heart failure patients than
in controls. Levels of CEC (36.9 +/- 15.3 vs. 21.5 +/- 10.0 cells/ml; p< 0.001),
vWF (325 +/- 101 vs. 231 +/- 82%; p< 0.001) and VEGF (26.3 +/- 15.2 vs. 21.9 +/-
11.9 ng/ml; p< 0.001) are significantly higher in the acute phase than in the
stable phase of heart failure. CEC levels correlate with vWF and VEGF. RESULTS
show than 100% of patients in acute phase and 37.5% in stable phase have levels
of CEC higher than the 99th percentile of the distribution of controls (16
cells/ml). Therefore, increases in CEC represent a relative risk of 9.5 for heart
failure patients suffering from acute phase. CONCLUSIONS: CEC, in addition to
being elevated in heart failure, correlate with vWF levels, providing further
support for CEC as markers of endothelial damage. Levels of CEC are associated
with the acute phase of heart failure and could be used as a marker of the
worsening in heart failure.
PMID- 21897002
TI - Endothelial nitric oxide synthase haplotypes are associated with preeclampsia in
Maya mestizo women.
AB - Preeclampsia is a specific disease of pregnancy and believed to have a genetic
component. The aim of this study was to investigate if three polymorphisms in
eNOS or their haplotypes are associated with preeclampsia in Maya mestizo women.
A case-control study was performed where 127 preeclamptic patients and 263
controls were included. Genotyped and haplotypes for the -768T->C, intron 4
variants, Glu298Asp of eNOS were determined by PCR and real-time PCR allelic
discrimination. Logistic regression analysis with adjustment for age and body
mass index (BMI) was used to test for associations between genotype and
preeclampsia under recessive, codominant and dominant models. Pairwise linkage
disequilibrium between single nucleotide polymorphisms was calculated by direct
correlation r^{2}, and haplotype analysis was conducted. Women
homozygous for the Asp298 allele showed an association of preeclampsia. In
addition, analysis of the haplotype frequencies revealed that the -786C-4b-Asp298
haplotype was significantly more frequent in preeclamptic patients than in
controls (0.143 vs. 0.041, respectively; OR =3.01; 95% CI = 1.74-5.23; P =2.9 *
10^{-4}).Despite the Asp298 genotype in a recessive model
associated with the presence of preeclampsia in Maya mestizo women, we believe
that in this population the -786C-4b-Asp298 haplotype is a better genetic marker.
PMID- 21897003
TI - Analysis of sputum markers in the evaluation of lung inflammation and functional
impairment in symptomatic smokers and COPD patients.
AB - The pivotal role of neutrophils and macrophages in smoking-related lung
inflammation and COPD development is well-established. We aimed to assess whether
sputum concentrations of Human Neutrophil Peptides (HNP), Neutrophil Elastase
(NE), Interleukin-8 (IL-8), and Metalloproteinase-9 (MMP-9), major products of
neutrophils and macrophages, could be used to trace airway inflammation and
progression towards pulmonary functional impairment characteristic of COPD. Forty
two symptomatic smokers and 42 COPD patients underwent pulmonary function tests;
sputum samples were collected at enrolment, and 6 months after smoking cessation.
HNP, NE, IL-8, MMP-9 levels were increased in individuals with COPD (p < 0.0001).
HNP and NE concentrations were higher in patients with severe airways
obstruction, as compared to patients with mild-to-moderate COPD (p =0.002). A
negative correlation was observed between FEV_{1} and HNP, NE
and IL-8 levels (p < 0.01), between FEV_{1}/FVC and HNP, NE
and IL-8 levels (p< 0.01), and between NE enrolment levels and
FEV_{1} decline after 2 years (p =0.04). ROC analysis, to
discriminate symptomatic smokers and COPD patients, showed the following AUCs:
for HNP 0.92; for NE 0.81; for IL-8 0.89; for MMP-9 0.81; for HNP, IL-8 and MMP-9
considered together 0.981. The data suggest that the measurement of sputum
markers may have an important role in clinical practice for monitoring COPD.
PMID- 21897004
TI - Prognostic values of serum IP-10 and IL-17 in patients with pulmonary
tuberculosis.
AB - OBJECTIVE: To identify patients at high risk of relapse after anti-tuberculosis
(TB) therapy or with poor long-term outcomes. METHODS: Fifty-one patients with
pulmonary TB: 7 were classified as high association with both cavitations on
initial chest radiography and positive sputum smear/cultures after two months of
anti-TB treatment (HA group); 19 medium association (MA, one risk alone); and 25
low association (LA, neither risk). Serum interferon (IFN)-gamma-inducible
protein 10 (IP-10), interleukin-17 (IL-17), and C-reactive protein levels were
investigated. RESULTS: There was a trend towards higher serum IP-10 levels
(p=0.042) for HA patients throughout the 6-month treatment period. Month-2 IP-10
levels were higher in the HA than in the MA/LA group (656.2 +/- 234.4 vs. 307.6
+/- 258.5 pg/ml, adjusted p =0.005). Receiver operating characteristic curves
showed that the risk of relapse was well-captured by month-2 IP-10 levels at a
cut-off value of 431 pg/ml (AUC=0.857, 95% CI 0.75-0.97, p =0.003). Month-2 serum
IL-17 levels were lower in non-survivors than survivors (15.7 +/- 2.9 pg/ml vs.
24.6 +/- 8.2 pg/ml, p=0.001). Multivariate analysis demonstrated that a month-2
serum IL-17 level of ? 17 pg/ml (p =0.026) was independently associated with all
cause mortality. CONCLUSIONS: Serum IP-10 and IL-17 levels after 2 months of anti
TB treatment may be biomarkers for estimating risk of both cavitation and delayed
sputum conversion, and for predicting long-term mortality, respectively.
PMID- 21897005
TI - On chip immuno-affinity profiling of cancer- and benign hyperplasia-associated
free prostate specific antigen.
AB - Prostate specific antigen (PSA) exhibits pronounced heterogeneity in both primary
structure and glycan composition, resulting in the existence of different
molecular forms. Investigation of PSA structure is a demanding task facing
limitations due to inadequate sensitivity of analytical techniques and low
concentrations of the different forms. This study aimed to profile free PSA
(fPSA), especially lower molecular mass species lacking detailed classification,
in normal seminal plasma and in sera from subjects with benign hyperplasia (BPH)
or cancer of the prostate (PCa) as samples of known clinical relevance. fPSA
forms were separated from complex proteomes on chips with immobilized anti-fPSA
antibody followed by detection using surface-enhanced laser desorption/ionization
time of flight mass spectrometry. At least 39 fPSA-immunoreactive species,
ranging from 3-29 kDa were detected in seminal plasma. General fPSA profiles in
seminal plasma and sera were similar, but differed in the abundance and presence
of particular peaks/clusters of the lower molecular mass species. No striking
difference in fPSA forms was observed between BPH and PCa samples, but some
distinct peaks varied in intensity and frequency within or between groups.
Obtained data verify fPSA heterogeneity that might be important for better
exploration of all their molecular and marker potentials.
PMID- 21897006
TI - Amyloid-beta42 activates the expression of BACE1 through the JNK pathway.
AB - The sequential endoproteolytic cleavages operated by the gamma-secretase and the
beta-secretase (BACE1) on the amyloid-beta protein precursor (AbetaPP) result in
the production of the amyloid-beta (Abeta) species, with two C-terminal variants,
at residue 40 or at residue 42. Accumulation in brain tissue of small, soluble
aggregates of Abeta42 is the major pathogenic event of Alzheimer's disease (AD).
However, the physiologic activity of Abeta peptides is still elusive. Here, we
show that expression of BACE1 is regulated by Abeta42, which augments BACE1 gene
transcription through the JNK/c-jun signaling pathway. Of note, Abeta40 has much
less effect on BACE1 expression. These findings unveil a positive feedback loop
in which gamma-secretase cleavage of AbetaPP releases a functionally-active
peptide, Abeta42, that promotes BACE1 transcription. Thus, gene expression
induced by Abeta42 may have implications in the neuronal dysfunction and
degeneration that occurs in AD.
PMID- 21897007
TI - CSF Abeta1-42 levels and glucose metabolism in Alzheimer's disease.
AB - Glucose dysmetabolism has been consistently associated with an increased risk of
cognitive disorders, and brain insulin resistance could play a role in
Alzheimer's disease (AD) pathogenesis. Recent evidence suggests that
cerebrospinal fluid (CSF) biomarkers may reflect the brain pathology in AD. We
have investigated the relationship between CSF concentrations of amyloid-beta
peptide 1-42 (Abeta1-42), total tau, and phosphorylated tau (ptau-181) and plasma
and CSF glucose levels in a cohort of 94 newly diagnosed non-diabetics AD
patients. We report that CSF Abeta1-42 level was inversely associated with CSF to
plasma glucose ratio (Spearman's coefficient = -0.27, p = 0.008). This
relationship remained after adjustment for age, gender, body mass index,
hypertension, and MMSE score (beta [SE] of linear regression = -0.93 [0.37], p =
0.01). In stratified analysis, this relationship was observed only in patients
who did not carry the apolipoprotein E4 allele. No significant relationship was
found between glucose levels and total tau or phosphorylated tau 181. These
results support the idea that a link between glucose dysmetabolism and the
amyloid pathway may exist in the pathogenesis of AD.
PMID- 21897008
TI - Bacterial surface display of a co-factor containing enzyme, omega-transaminase
from Vibrio fluvialis using the Bacillus subtilis spore display system.
AB - To improve the conventional bacterial surface display systems and to display a co
factor containing enzyme, omega-transaminase from Vibrio fluvialis, which needs
pyridoxal phosphate (PLP) for efficient transamination, Bacillus subtilis spore
display system with cotG, as an anchoring motif was used. Flow cytometry of the
B. subtilis spore-expressing omega-transaminase proved its surface localization
on the spore. The enzymatic activity of the spore expressing omega-transaminase
was more than 30 times higher than that of the host spore. Protease treatment of
the omega-transaminase displaying spores resulted in decreased transaminase
activity, which is in keeping with the surface location of the fusion protein,
CotG-omega-transaminase.
PMID- 21897009
TI - Actin stress fiber retraction and aggresome formation is a common cellular
response to actin toxins.
AB - F-actin-stabilizing drugs induce actin aggresome formation. In this study, we
found that an actin-depolymerizing drug, latrunculin A (LatA), induced actin
aggresomes. Actin stress fibers were retracted and disappeared in minutes, but a
large aggresome formed in consequence of LatA treatment. Because cytochalasin D
and mycalolide also induced aggresome formation, these results suggest that actin
aggresome formation is a common cellular response to actin toxins.
PMID- 21897010
TI - Two Sec13p homologs, AtSec13A and AtSec13B, redundantly contribute to the
formation of COPII transport vesicles in Arabidopsis thaliana.
AB - COPII vesicles mediate protein transport from ER to Golgi. Sec13 makes up lattice
structure with Sec31 to form COPII vesicles. We analyzed expression of two
Arabidopsis thaliana Sec13 homologs, AtSec13A and AtSec13B. AtSec13A was
expressed in most parts of seedlings, while AtSec13B was partially expressed.
Interaction of AtSec13A or AtSec13B with Sec31 homolog was demonstrated by
bimolecular fluorescence complementation (BiFC).
PMID- 21897011
TI - Decolorization of synthetic dyes and biodegradation of bisphenol a by laccase
from the edible mushroom, Grifola frondosa.
AB - A major laccase isozyme from Grifola frondosa (Lac 1) was found to be effective
for decolorizing of synthetic dyes and degrading of bisphenol A. The oxidative
capability of Lac 1 toward synthetic dyes and bisphenol A was enhanced in the
presence of the redox mediator, 1-hydroxybenzotriazole. The major product from
the degradation of bisphenol A by Lac 1 was determined to be 4-isopropenylphenol.
PMID- 21897012
TI - Effects of daintain/AIF-1 on beta cell dysfunction in INS-1 cells.
AB - We investigated the effects of daintain/AIF-1, a novel inflammatory cytokine, on
INS-1beta cells. Cells incubated with daintain/AIF-1 showed decreased cell
viability and glucose-stimulated insulin secretion, as well as upregulated
apoptosis and NO production. These deleterious effects of daintain/AIF-1 indicate
that daintain/AIF-1 plays important roles in the dysfunction of pancreatic beta
cells in type-1 diabetes.
PMID- 21897013
TI - Plasma carotenoid concentrations before and after supplementation with
astaxanthin in middle-aged and senior subjects.
AB - A randomized, double-blind human trial was conducted to assess the effect on the
plasma carotenoid concentration of 4- or 12-week astaxanthin supplementation (1
or 3 mg/d) of 20 Japanese middle-aged and senior subjects. The plasma carotenoid
concentration was significantly higher after the astaxanthin supplementation than
that before in both the 1 mg/d (10 subjects) and 3 mg/d (10 subjects) groups.
PMID- 21897014
TI - Thermal stability of cytochrome c5 of pressure-sensitive Shewanella
livingstonensis.
AB - Cytochrome c5 of pressure-sensitive Shewanella livingstonensis (SL cytc5)
exhibits lower thermal stability than a highly homologous counterpart of pressure
tolerant Shewanella violacea. This stability difference is due to an enthalpic
effect that can be attributed to the amino acid residue at position 50 (Leu or
Lys). These cytc5 proteins are appropriate materials for understanding the
protein stability mechanism.
PMID- 21897015
TI - Alteration of ethanol tolerance caused by the deficiency in the genes associated
with histone deacetylase complex in budding yeast.
AB - Upon exposure to 8% ethanol, survival and growth of yeast strains deficient in
histone deacetylase complex genes was examined. Of the 18 mutants tested, the
Deltasir3 and Deltasir4 strains showed higher resistance to ethanol, while the
Deltarco1, Deltahos3, Deltahda2, and Deltahst1 strains were more sensitive than
the wild type. Furthermore, these ethanol-resistant patterns varied under aerobic
and anaerobic culture conditions.
PMID- 21897016
TI - Cloning and expression of the endo-1,3(4)-beta-glucanase gene from Paecilomyces
sp. FLH30 and characterization of the recombinant enzyme.
AB - The cDNA encoding beta-1,3(4)-glucanase, named PsBg16A, from Paecilomyces sp.
FLH30 was cloned, sequenced, and over expressed in Pichia pastoris, with a yield
of about 61,754 U mL-1 in a 5-L fermentor. PsBg16A has an open reading frame of
951 bp encoding 316 amino acids, and the deduced amino acid sequence of PsBg16A
revealed that it belongs to glycoside hydrolase family 16. The purified
recombinant PsBg16A had a pH optimum at 7.0 and a temperature optimum at 70
degrees C, and randomly hydrolyzed barley beta-glucan, lichenin, and laminarin,
suggesting that it is a typical endo-1,3(4)-beta-glucanase (EC 3.2.1.6) with
broad substrate specificity for beta-glucans.
PMID- 21897017
TI - Characterization of glucosylceramides in leaves of the grass family (Poaceae):
Pooideae has unsaturated hydroxy fatty acids.
AB - The glucosylceramide components were characterized in the 33 species of the grass
family (Poaceae). Pooideae contained 4-hydroxy-8-sphingenines [i.e., t18:1(8Z)
plus t18:1(8E)] as major components, the relative levels of t18:1(8Z) being
higher than those of the 8-E isomers. 2-Hydroxy arachidic acid was a major
component in all species other than Pooideae, whereas Pooideae had a high content
of 2-hydroxytetracosenoic acid.
PMID- 21897018
TI - Microbial communities associated with acetate-rich gas-petroleum reservoir
surface facilities.
AB - We evaluated the microbial communities in acetate-rich production waters from
separators of a high-temperature gas-petroleum reservoir in Higashi-Niigata,
Japan. Bacterial and archaeal 16S rRNA gene libraries constructed from these
waters were dominated by Acetobacterium-, Methanofollis-, and Methanosarcina
related sequences. The libraries constructed from enrichment cultures of the
production waters were dominated by sequences related to the Acetobacterium- and
Methanofollis-related sequences.
PMID- 21897019
TI - Chemical identification and ethological function of soldier-specific secretion in
Japanese subterranean termite Reticulitermes speratus (Rhinotermitidae).
AB - We identified the soldier-specific compounds in the Japanese subterranean
termite, Reticulitermes speratus, to clarify their ethological roles. Silica gel
column chromatography separated one major soldier-specific compound in the hexane
fraction accounting for 70-80% of the total amount of the fraction, while
cuticular hydrocarbons constituted the rest. We identified the compound as beta
selinene by gas chromatography-mass spectrometry (GC-MS) and nuclear magnetic
resonance (NMR) spectroscopy. Comparative GC analyses of the major exocrine
glands detected the compound in the soldier's frontal gland. Both soldiers and
workers made aggregation to the hexane fraction, as well as to the crushed heads
and head extract of the soldiers. They did not aggregate to cuticular
hydrocarbons, making it likely that beta-selinene was the aggregation pheromone
in this species. The opportunistic predator of this termite, Lasius japonicus,
was also attracted to the compounds. The ant workers, therefore, would use the
termite aggregation pheromone as a kairomone for hunting them.
PMID- 21897021
TI - Aspergillus oryzae laeA regulates kojic acid synthesis genes.
AB - Kojic acid synthesis genes regulation was investigated in Aspergillus oryzae. Our
results indicate that kojic acid production was lost in the laeA disruption
strain, but was recovered in the LaeA complement strain. Real-time PCR also
confirmed that expression of kojic acid biosynthesis genes decreased in the laeA
disruption strain, indicating that these genes are under the control of LaeA.
PMID- 21897020
TI - Total biosynthesis of diterpene aphidicolin, a specific inhibitor of DNA
polymerase alpha: heterologous expression of four biosynthetic genes in
Aspergillus oryzae.
AB - Clustering of biosynthetic genes for producing fungal secondary metabolites,
which frequently consist of less than ten genes, has been recognized with
numerous genomes. The heterologous expression of whole genes in the clusters will
therefore produce various types of natural products when using a suitable fungal
host. We introduced the whole gene cluster for the biosynthesis of diterpene
aphidicolin into the fungal quadruple auxotrophic host, Aspergillus oryzae, by
using four different vectors (pTAex3, pPTRI, pUSA and pAdeA) which harbor a
starch-inducible promoter/terminator to examine the expression conditions. The
resulting quadruple transformant carrying the genes of geranylgeranyl diphosphate
synthase PbGGS, terpene synthase PbACS, and two monooxygenases (PbP450-1 and
PbP450-2) produced aphidicolin. The double and triple transformants also
respectively produced aphidicolan-16beta-ol and 3-deoxyaphidicolin. Alternative
host Saccharomyces cerevisiae carrying the genes, PbGGS and PbACS, produced key
intermediate aphidicolan-16beta-ol. This is the first example of a total
biosynthesis of terpenoids using fungal hosts.
PMID- 21897022
TI - A novel compound, L34, induced apoptosis in human gastric cancer cells.
AB - Selectively apoptosis-targeting compounds in gastrointestinal cancers attract
broad interest. Here, we investigated a synthetic sulfonamide, 4-bromo-N-(5-ethyl
5H-pyrido[4,3-b]indol-8-yl)benzenesulfonamide (L34). It showed high activity
against gastric cancer cells SGC-7901, causing apoptosis, which was associated
with downregulation of caspase-3 and XIAP, upegulation of cleaved caspase-3, and
cleavage of PARP. Hence, L34 might be a potent chemotherapeutic agent against
human gastric cancer.
PMID- 21897023
TI - Cloning of a FLOWERING LOCUS T ortholog in Wasabia japonica (Matsum).
AB - A FLOWERING LOCUS T ortholog (WjFT) was identified in Wasabia japonica.
Heterologous expression of WjFT remarkably promoted the flowering of Arabidopsis.
The expression of WjFT was examined in field-grown wasabi in October and November
of 2009, and February of 2010 because the differentiation of flower buds occurs
in autumn in field-grown wasabi. No expression of WjFT was detected in October,
it was slightly increased in November, and highly increased in February. WjFT
might be useful for examining the flowering response of wasabi.
PMID- 21897024
TI - Purification and characterization of a magnesium ion requiring N-acetyl-D
glucosamine specific lectin from seeds of Quercus ilex L.
AB - A new magnesium ion requiring N-acetyl-D-glucosamine specific lectin QIL was
purified to electrophoretic homogeneity from seeds of Quercus ilex L. through
successive steps of (i) lectin extraction, (ii) ammonium sulphate (30-50%)
fractionation, (iii) diethylaminoethyl (DEAE)-cellulose chromatography, (iv)
carboxymethyl (CM)-cellulose chromatography, and (v) Sephadex G-75
chromatography. The lectin, having specific activity of 25,600 hemagglutination
units (HAU)/mg of protein, was found to be a monomeric protein with a native
molecular weight of 13.2 kDa. N-Acetyl-D-glucosamine was found to exhibit most
potent inhibitory action on the lectin activity among all the sugars tested. The
lectin was also found to exhibit specificity for human blood groups A, B, and AB.
It was converted to the corresponding apo-lectin by ethylenediaminetetraacetic
acid (EDTA) treatment followed by buffer dialysis. The apo-lectin exhibited a
specific and characteristic requirement for magnesium ions for the expression of
its activity.
PMID- 21897025
TI - An efficient and novel screening model for assessing the bioactivity of extracts
against multidrug-resistant Pseudomonas aeruginosa using Caenorhabditis elegans.
AB - As a large number of multidrug-resistant bacteria have emerged, and there is an
urgent need for the development of new antibacterial agents. In this study, we
developed a liquid-based slow killing assay to be carried out in standard 96-well
microtiter plates. This screening method was designed to facilitate high
throughput screening of small molecules and extracts. In antibiotic rescue
assays, the Caenorhabditis elegans multidrug-resistant Pseudomonas aeruginosa
infection model displayed a high degree of drug resistance in vivo and in vitro.
We used the method to screen 1,300 extracts, and found 36 extracts (2.7%) which
prolonged the survival of infected nematodes, and four (0.3%) of these extracts
showed in vitro and in vivo anti-multidrug resistant P. aeruginosa activity.
These results indicate that the whole-animal C. elegans multidrug-resistant
bacterial model can be used to screen antibacterial compounds, and can also be
useful for bioactive compounds which most likely cannot be identified in vitro.
PMID- 21897026
TI - Characterization of two isozymes of coniferyl alcohol dehydrogenase from
Streptomyces sp. NL15-2K.
AB - We purified two isozymes of coniferyl alcohol dehydrogenase (CADH I and II) to
homogeneity from cell-free extracts of Streptomyces sp. NL15-2K. The apparent
molecular masses of CADH I and II were determined to be 143 kDa and 151 kDa
respectively by gel filtration, whereas their subunit molecular masses were
determined to be 35,782.2 Da and 37,597.7 Da respectively by matrix-assisted
laser-desorption ionization time-of-flight mass spectrometry (MALDI-TOF-MS).
Thus, it is probable that both isozymes are tetramers. The optimum pH and
temperature for coniferyl alcohol dehydrogenase activity were pH 9.5 and 45
degrees C for CADH I and pH 8.5 and 40 degrees C for CADH II. CADH I oxidized
various aromatic alcohols and allyl alcohol, and was most efficient on cinnamyl
alcohol, whereas CADH II exhibited high substrate specificity for coniferyl
alcohol, and showed no activity as to the other alcohols, except for cinnamyl
alcohol and 3-(4-hydroxy-3-methoxyphenyl)-1-propanol. In the presence of NADH,
CADH I and II reduced cinnamaldehyde and coniferyl aldehyde respectively to the
corresponding alcohols.
PMID- 21897027
TI - Purification and characterization of a novel (R)-imine reductase from
Streptomyces sp. GF3587.
AB - The (R)-imine reductase (RIR) of Streptomyces sp. GF3587 was purified and
characterized. It was found to be a NADPH-dependent enzyme, and was found to be a
homodimer consisting of 32 kDa subunits. Enzymatic reduction of 10 mM 2-methyl-1
pyrroline (2-MPN) resulted in the formation of 9.8 mM (R)-2-methylpyrrolidine
((R)-2-MP) with 99% e.e. The enzyme showed not only reduction activity for 2-MPN
at neutral pH (6.5-8.0), but also oxidation activity for (R)-2-MP under alkaline
pH (10-11.5) conditions. It appeared to be a sulfhydryl enzyme based on the
sensitivity to sulfhydryl specific inhibitors. It was very specific to 2-MPN as
substrate.
PMID- 21897028
TI - Formation of 4-keto-D-aldopentoses and 4-pentulosonates (4-keto-D-pentonates)
with unidentified membrane-bound enzymes from acetic acid bacteria.
AB - In our previous study, a new microbial reaction yielding 4-keto-D-arabonate from
2,5-diketo-D-gluconate was identified with Gluconacetobacter liquefaciens RCTMR
10. It appeared that decarboxylation and dehydrogenation took place together in
the reaction. To analyze the nature of the reaction, investigations were done
with the membrane fraction of the organism, and 4-keto-D-arabinose was confirmed
as the direct precursor of 4-keto-D-arabonate. Two novel membrane-bound enzymes,
2,5-diketo-D-gluconate decarboxylase and 4-keto-D-aldopentose 1-dehydrogenase,
were involved in the reaction. Alternatively, D-arabonate was oxidized to 4-keto
D-arabonate by another membrane-bound enzyme, D-arabonate 4-dehydrogenase. More
directly, D-arabinose oxidation was examined with growing cells and with the
membrane fraction of G. suboxydans IFO 12528. 4-Keto-D-arabinose, the same
intermediate as that from 2,5-diketo-D-gluconate, was detected, and it was
oxidized to 4-keto-D-arabonate. Likewise, D-ribose was oxidized to 4-keto-D
ribose and then it was oxidized to 4-keto-D-ribonate. In addition to 4-keto-D
aldopentose 1-dehydrogenase, the presence of a novel membrane-bound enzyme, D
aldopentose 4-dehydrogenase, was confirmed in the membrane fraction. The
formation of 4-keto-D-aldopentoses and 4-keto-D-pentonates (4-pentulosonates) was
finally confirmed as reaction products of four different novel membrane-bound
enzymes.
PMID- 21897029
TI - Evaluation of the use of the tobacco PR-1a promoter to monitor defense gene
expression by the luciferase bioluminescence reporter system.
AB - Because of their marked responsiveness to induction signals, genes encoding
pathogenesis-related proteins are used as markers to monitor defense gene
expression in plants. To develop a non-invasive bioluminescence reporter assay
system, we tested acidic PR-1 gene promoters from tobacco and Arabidopsis. These
two promoters share common regulatory elements and are believed to show similar
responsiveness to various stimuli but the results of transient expression assays
by microprojectile bombardment of various plant cells and npr1 mutant Arabidopsis
suggest that the tobacco PR-1a promoter is superior to its Arabidopsis
counterpart in terms of responsiveness to salicylic acid treatment. Transgenic
Arabidopsis seedlings harboring the tobacco PR-1a promoter fused to firefly
luciferase showed marked induction in response to treatment with chemicals that
induce defense gene expression in plants. These results suggest that the tobacco
PR-1a promoter is applicable in monitoring defense-gene expression in various
plant species.
PMID- 21897030
TI - Chitinase from Autographa californica multiple nucleopolyhedrovirus: rapid
purification from Sf-9 medium and mode of action.
AB - Autographa californica multiple nucleopolyhedrovirus (AcMNPV) chitinase is
involved in the final liquefaction of infected host larvae. We purified the
chitinase rapidly to homogeneity from Sf-9 cells infected with AcMNPV by a simple
procedure using a pepstatin-aminohexyl-Sepharose column. In past studies, a
recombinant AcMNPV chitinase was found to exhibit both exo- and endo-chitinase
activities by analysis using artificial substrates with a fluorescent probe. In
this study, however, we obtained more accurate information on the mode of action
of the chitinase by HPLC analysis of the enzymatic products using natural
oligosaccharide and polysaccharide substrates. The AcMNPV chitinase hydrolyzed
the second beta-1,4 glycosidic linkage from the non-reducing end of the chitin
oligosaccharide substrates [(GlcNAc)(n), n=4, 5, and 6], producing the beta
anomer of (GlcNAc)2. The mode of action was similar to that of Serratia
marcescens chitinase A (SmChiA), the amino acid sequence of which is 60.5%
homologous to that of the AcMNPV enzyme. The enzyme also hydrolyzed solid beta
chitin, producing only (GlcNAc)2. The AcMNPV chitinase processively hydrolyzes
solid beta-chitin in a manner similar to SmChiA. The processive mechanism of the
enzyme appears to be advantageous in liquefaction of infected host larvae.
PMID- 21897031
TI - Isolation and characterization of N-acylhomoserine lactonase from the
thermophilic bacterium, Geobacillus caldoxylosilyticus YS-8.
AB - Geobacillus caldoxylosilyticus YS-8, which was isolated from volcanic soil in
Indonesia, was found to degrade various N-acylhomoserine lactones (AHLs) with
different lengths and acyl side-chain substitutions over a wide temperature range
of 30-70 degrees C. The purified AHL-degrading enzyme showed a single band of 32
kDa, and its N-terminal amino acid sequence was determined to be ANVIKARPKLYVMDN,
tentatively suggesting that the AHL-degrading enzyme was AHL lactonase. The AHL
degrading activity of the purified enzyme was maximized at pH 7.5 and 50 degrees
C, and it retained about 50% of its activity even after a heat treatment at 60
degrees C for 3 h, exhibiting properties consistent with a thermostable enzyme.
The mass spectrometric analysis demonstrated that the AHL-degrading enzyme
catalyzed lactone ring opening of N-3-oxohexanoyl-L-homoserine lactone and N
hexanoyl-L-homoserine lactone by hydrolyzing the lactones and working as an AHL
lactonase.
PMID- 21897032
TI - Comparison of acyl-CoA synthetic activities and enantioselectivity toward 2
arylpropanoic acids in firefly luciferases.
AB - Measurement of thioesterification activities for dodecanoic acid (C12) and
ketoprofen was done using five firefly luciferases, from Pyrocoelia miyako (PmL),
Photinus pyralis (PpL), Luciola cruciata (LcL), Hotaria parvura (HpL), and
Luciola mingrelica (LmL). Among these, PmL, PpL, and LcL showed the expected
thioesterification activities toward both substrates. All the enzymes exhibited
(R)-enantioselectivity toward ketoprofen, which had same tendency as firefly
luciferase from Luciola lateralis (LUC-H). HpL and LmL, however, did not accept
ketoprofen, although they had thioesterification activity toward C12. These
results indicate that the substrate acceptance of luciferases for the
thioesterification reaction varies dramatically relying on the origin of firefly.
Hence we focused primarily on PmL and investigated the effect of pH on enzymatic
activity. In addition, by determining the kinetic parameters at various pH
values, we verified that the k(cat) parameter contributed to the preferential
enantioselectivity of this enzyme.
PMID- 21897033
TI - Expression analysis of the VTC2 and VTC5 genes encoding GDP-L-galactose
phosphorylase, an enzyme involved in ascorbate biosynthesis, in Arabidopsis
thaliana.
AB - Arabidopsis thaliana contains two GDP-L-galactose phosphorylase genes, VTC2 and
VTC5, which are critical for ascorbate (AsA) biosynthesis. We investigated the
expression levels of both VTC2 and VTC5 genes in wild-type A. thaliana and the
AsA deficient mutants during early seedling growth. Ascorbate accumulated to an
equal extent in all genotypes up to 5 d post-germination (DPG). The transcript
level of VTC2 was dominant, and increased in parallel with AsA accumulation in
the wild type. On the other hand, the expression of VTC5 compensated for the
reduced VTC2 transcription levels in the AsA deficient mutant vtc2-1 in young
seedlings. A luciferase activity assay indicated that the VTC5 promoter was more
active in young (2 DPG) cotyledons and that the VTC2 and VTC5 promoters drove a
day-to-night variation in expression. The present work provides clues to the
precise roles of VTC2 and VTC5 in AsA biosynthesis in A. thaliana at the young
seedling stage.
PMID- 21897034
TI - Potential of carotenoids in aquatic yeasts as a phylogenetically reliable marker
and natural colorant for aquaculture.
AB - Apart from Xanthophyllomyces dendrorhous, pink colony-forming yeasts have not
been examined as a pigmentation source in captive animals. In this study, aquatic
yeasts were screened with a view to abundances of carotenoids. Phylogenetic
analyses of these caroetnoid-rich yeasts based on large subunit ribosomal RNA
gene (LSU rDNA) partial sequences showed that all belonged to the order
Sporidiobolales. Both the qualitative and the quantitative differences in
carotenoids between the yeasts appeared to be consistent with their phylogenetic
affiliations. This information might be useful in the selection of pigment-rich
yeasts containing specific carotenoids from a large number of strains. We also
found, for the first time, the potential of a pigment-rich Rhodotorula strain as
a colorant for aquaculture. The integuments of tilapia and carp fed the alkali
treated cells of strain Rhodotorula dairenensis Sag 17 were pigmented after 3
months of cultivation. The fish integuments retained the yeast carotenes shortly
after the start of feeding, and were converted to the fish-specific xanthophylls
in vivo.
PMID- 21897035
TI - Quantification of pork, chicken and beef by using a novel reference molecule.
AB - A standard plasmid was constructed as a novel reference molecule for use in real
time quantitative PCR assays to verify the identity of beef, pork, chicken,
mutton, and horseflesh. The plasmid contained a target domain of the cytochrome b
(cyt b) gene and an artificial DNA sequence. Primers CO-F and CO-R, and probe CO
P were specifically designed to detect the artificial sequence. The calculated R2
values of the standard curves (103-107 copies per reaction) for the five species
ranged between 0.998 and 0.999 in the quantification analysis. The constructed
plasmid provides a universal method for measuring the copy number of cyt b DNA in
minced meat. This method would be a useful procedure for verifying food labels.
PMID- 21897037
TI - Effect of pamamycin-607 on secondary metabolite production by Streptomyces spp.
AB - The effect of the aerial mycelium-inducing compound, pamamycin-607, on antibiotic
production by several Streptomyces spp. was examined. Exposure to 6.6 uM
pamamycin-607 stimulated by 2.7 fold the puromycin production by Streptomyces
alboniger NBRC 12738, in which pamamycin-607 had first been isolated, and
restored aerial mycelium formation. Pamamycin-607 also stimulated the respective
production of streptomycin by S. griseus NBRC 12875 and that of cinerubins A and
B by S. tauricus JCM 4837 by approximately 1.5, 1.7 and 1.9 fold. The antibiotic
produced by Streptomyces sp. 91-a was identified as virginiamycin M(1), and its
synthesis was enhanced 2.6 fold by pamamycin-607. These results demonstrate that
pamamycin-607 not only restored or stimulated aerial mycelium formation, but also
stimulated secondary metabolite production.
PMID- 21897036
TI - Production of starch with antioxidative activity by baking starch with organic
acids.
AB - A starch ingredient with antioxidative activity, as measured by the DPPH method,
was produced by baking corn starch with an organic acid; it has been named ANOX
sugar (antioxidative sugar). The baking temperature and time were fixed at 170
degrees C and 60 min, and the organic acid used was selected from preliminary
trials of various kinds of acid. The phytic acid ANOX sugar preparation showed
the highest antioxidative activity, but the color of the preparation was almost
black; we therefore selected L-tartaric acid which had the second highest
antioxidative activity. The antioxidative activity of the L-tartaric acid ANOX
sugar preparation was stable against temperature, light, and enzyme treatments
(alpha-amylase and glucoamylase). However, the activity was not stable against
variations in water content and pH value. The antioxidative activity of ANOX
sugar was stabilized by treating with boiled water or nitrogen gas, or by pH
adjustment.
PMID- 21897038
TI - Effects of peanut-skin procyanidin A1 on degranulation of RBL-2H3 cells.
AB - Peanut skin contains large amounts of polyphenols having antiallergic effects. We
found that a peanut-skin extract (PSE) inhibits the degranulation induced by
antigen stimulation of rat basophilic leukemia (RBL-2H3) cells. A low-molecular
weight fraction from PSE, PSEL, also had inhibitory activity against allergic
degranulation. A main polyphenol in PSEL was purified by gel chromatography and
fractionated by YMC-gel ODS-AQ 120S50 column. Electrospray ionization mass
spectrometry (ESI-MS) analysis of the purified polyphenol gave m/z 599 [M+Na]+.
Based on the results of 1H-NMR, 13C-NMR spectra, and optical rotation analysis,
the polyphenol was identified as procyanidin A1. It inhibited the degranulation
caused by antigen stimulation at the IC50 of 20.3 uM. Phorbol-12-myristate-13
acetate (PMA) and 2,5,-di(tert-butyl)-1,4-hydroquinone (DTBHQ)-induced processes
of degranulation were also inhibited by procyanidin A1. These results indicate
that peanut-skin procyanidin A1 inhibits degranulation downstream of protein
kinase C activation or Ca2+ influx from an internal store in RBL-2H3 cells.
PMID- 21897039
TI - Functional compounds in fermented buckwheat sprouts.
AB - Fermented buckwheat sprouts (FBS) are used as multifunctional foods. Their
production process includes fermentation with lactic acid bacteria. The major
strains were found to include Lactobacillus plantarum, Lactobacillus brevis,
Lactobacillus pentosus, Lactococcus lactis subsp. lactis, and Pediococcus
pentosaceus in an investigation of the lactic acid bacteria. We searched for the
functional components, and nicotianamine (NA) and 2"-hydroxynicotianamine (HNA)
were identified as angiotensin I-converting enzyme (ACE) inhibitors. NA and HNA
increased during fermentation. Indole-3-ethanol was identified as an antioxidant
(a SOD active substance), and may have been generated from tryptophan during
fermentation because it was not contained in green buckwheat juice. A safety test
demonstrated that FBS contained were safe functional food components, showing
negative results in buckwheat allergy tests. Any buckwheat allergy substances
might have been degraded during the fermentation process.
PMID- 21897040
TI - The impact of aridification and vegetation type on changes in the community
structure of methane-cycling microorganisms in Japanese wetland soils.
AB - Over the years, the wetlands covered by Sphagnum in Bibai, Japan have been
turning into areas of aridity, resulting in an invasion of Sasa into the bogs.
Yet little is known about the methane-cycling microorganisms in such
environments. In this study, the methanotrophic, methanogenic, and archaeal
community structures within these two types of wetland vegetation were studied by
phylogenetic analysis targeting particulate methane monooxygenase (pmoA), methyl
coenzyme M reductase (mcrA), and the archaeal 16S rRNA gene. The pmoA library
indicated that Methylomonas and Methylocystis predominated in the Sphagnum
covered and Sasa-invaded areas, respectively. The mcrA and 16S rRNA libraries
indicated that Methanoregula were abundant methanogens in the Sphagnum-covered
area. In the Sasa-invaded area, by contrast, mcrA genes were not detected, and no
16S rRNA clones were affiliated with previously known methanogens. Because the
Sasa-invaded area still produced methane, of the various uncultured populations
detected, novel euryarchaeotal lineages are candidate methane producers.
PMID- 21897041
TI - UVC mutagenicity is suppressed in Japanese miso-treated human RSa cells, possibly
via GRP78 expression.
AB - Little is known about the ability of miso, to modulate mutability in human cells.
We have observed increased levels of glucose-regulated protein 78 (GRP78)
expression in association with suppression of mutation in human RSa cells
irradiated with ultraviolet C (UVC). Here we examined to determine whether miso
treatment results in increased GRP78 expression and suppression of UVC
mutagenicity in RSa cells. Supernatants of water extracts of miso products and
their components were tested. In the sample-treated cells, the amount of GRP78,
as estimated by RT-PCR and immunoblotting analysis, increased, and the UVC
induced ouabain resistant mutation (Oua(R)) and the K-ras codon 12-base
substitution mutation frequency decreased. This decrease was not observed in
cells with downregulation of GRP78 by GRP78 siRNA transfection. The results
suggest that miso suppresses UVC mutagenicity by increasing GRP78 expression in
human cells.
PMID- 21897042
TI - Grape seed procyanidin b2 inhibits human aortic smooth muscle cell proliferation
and migration induced by advanced glycation end products.
AB - Advanced glycation end product (AGE)-induced vascular smooth muscle cell (VSMC)
proliferation is vital to the progression of diabetic vasculopathy. A grape seed
procyanidin extract has been reported to possess anti-oxidative and anti
inflammatory properties and to display a significant cardiovascular protective
effect, but little is know about the underlying mechanism. The objective of this
present study was to determine whether GSPB2 (grape seed procyanidin B2), which
is a dimeric procyanidin and more biologically active, could inhibit AGE-induced
VSMC proliferation by affecting the production of ubiquitin COOH-terminal
hydrolase 1 (UCH-L1), the degradation of IkappaB-alpha and nuclear translocation
of NF-kappaB in human aortic smooth muscle cells (HASMCs). Our data show that
GSPB2 preincubation markedly inhibited AGE-induced proliferation and migration of
HASMCs in a dose-dependent manner and upregulated the protein level of UCH-L1.
Further studies revealed that the GSPB2 pretreatment markedly attenuated the
degradation of IkappaB-alpha and nuclear translocation of NF-kappaB by modulating
ubiquitination of IkappaB-alpha in AGE-exposed HASMCs. These results collectively
suggest that AGE-induced HASMC proliferation and migration was suppressed by
GSPB2 through regulating UCH-L1 and ubiquitination of IkappaB-alpha. GSPB2 may
therefore have therapeutic potential in preventing and treating vascular
complications of diabetes mellitus.
PMID- 21897043
TI - Novel taxa-4(20),12-diene and 2(3->20)abeotaxane from needles of Taxus
canadensis.
AB - A novel 6/8/6-membered taxane with a rare C-12(13)-double bond and rare 2(3
>20)abeotaxane were isolated from the needles of Taxus canadensis. Their
structures were characterized as 7beta,9alpha,10beta-triacetoxytaxa-4(20),12
diene-2alpha,5alpha,11beta-triol (1) and 2alpha,7beta,10beta-triacetoxy-5alpha
hydroxy-2(3->20)abeotaxa-4(20),11-diene-9,13-dione (2) on the basis of 1D and 2D
spectroscopic data. 1 is the first example of a natural taxane without
substitution at both C-13 and C-14.
PMID- 21897044
TI - Physiological and biochemical characterization of three nucleoside diphosphate
kinase isozymes from rice (Oryza sativa L.).
AB - Nucleoside diphosphate kinase (NDPK) is a ubiquitous enzyme that catalyzes the
transfer of the gamma-phosphoryl group from a nucleoside triphosphate to a
nucleoside diphosphate. In this study, we examined the subcellular localization,
tissue-specific gene expression, and enzymatic characteristics of three rice NDPK
isozymes (OsNDPK1-OsNDPK3). Sequence comparison of the three OsNDPKs suggested
differential subcellular localization. Transient expression of green fluorescence
protein-fused proteins in onion cells indicated that OsNDPK2 and OsNDPK3 are
localized to plastid and mitochondria respectively, while OsNDPK1 is localized to
the cytosol. Expression analysis indicated that all the OsNDPKs are expressed in
the leaf, leaf sheath, and immature seeds, except for OsNDPK1, in the leaf
sheath. Recombinant OsNDPK2 and OsNDPK3 showed lower optimum pH and higher
stability under acidic pH than OsNDPK1. In ATP formation, all the OsNDPKs
displayed lower K(m) values for the second substrate, ADP, than for the first
substrate, NTP, and showed lowest and highest K(m) values for GTP and CTP
respectively.
PMID- 21897045
TI - The relationship between chromosomal positioning within the nucleus and the SSD1
gene in Saccharomyces cerevisiae.
AB - Eukaryotic cells are characterized by very large chromosomal DNAs efficiently
packed within the nucleus. To identify the mechanism of chromosomal packaging
based on the uniqueness of the centromere region in Saccharomyces cerevisiae, we
isolated the HCH6 mutant, which shows 2.5-fold higher efficiency of site-specific
recombination between the CEN5 and HIS3 loci than the wild-type CH53 strain. This
mutant also displayed defects in cell integrity at high temperature. The SSD1
gene was perhaps responsible for this defect. The efficiency of site-specific
recombination was decreased by the introduction of SSD1 in HCH6 cells and
increased by disruption of SSD1 in the wild-type cells. Furthermore, the
distances between the CEN5 and HIS3 loci and between the CEN5 locus and the
spindle pole body (SPB) indicated that disrupting SSD1 caused a loss of the
anchoring of the CEN5 locus near SPB. These results suggest Ssd1p-dependent cross
talk between chromosomal positioning within the nucleus and the positioning of
cellular components within the cell.
PMID- 21897046
TI - Formate oxidase, an enzyme of the glucose-methanol-choline oxidoreductase family,
has a His-Arg pair and 8-formyl-FAD at the catalytic site.
AB - Formate oxidase of Aspergillus oryzae RIB40 contains an 8-replaced FAD with
molecular mass of 799 as cofactor. The 1H-NMR spectrum of the cofactor fraction
obtained from the enzyme indicated that the 8-replaced FAD in the fraction was 8
formyl-FAD, present in open form and hemiacetal form. The oxidation-reduction
potentials of the open and hemiacetal forms were estimated by cyclic voltammetry
to be -47 and -177 mV vs. Normal Hydrogen Electrode respectively. The structure
of the enzyme was constructed using diffraction data to 2.24 A resolution
collected from a crystal of the enzyme. His511 and Arg554 were situated close to
the pyrimidine part of the isoalloxazine ring of 8-formyl-FAD in open form. The
enzyme had 8-formyl-FAD, the oxidation potential of which was approximately 160
mV more positive than that of FAD, and the His-Arg pair at the catalytic site,
unlike the other enzymes belonging to the glucose-methanol-choline oxidoreductase
family.
PMID- 21897047
TI - Consumption of soy protein isolate reduces hepatic SREBP-1c and lipogenic gene
expression in wild-type mice, but not in FXR-deficient mice.
AB - We examined to determine whether hepatic gene expression is affected in mice in
which blood lipid levels remain unchanged fed soy protein isolate (SPI) for a
short time. We also examined SPI-mediated effects in farnesoid X receptor (FXR)
deficient mice. Compared with casein, SPI affected the expression of various
hepatic genes related to lipid metabolism in the wild-type mice. No effects of
SPI were observed in the FXR-deficient mice, suggesting the importance of FXR.
Hepatic peroxisome proliferator-activated receptor gamma coactivator 1alpha (PGC
1alpha) gene expression was reduced by SPI, and this might be associated with a
decrease in FXR expression. Decreased FXR led to decreased expression of its
target, the bile-salt export pump necessary for bile acid secretion and dietary
lipid absorption. The earliest response to SPI was a decrease in hepatic sterol
regulatory element-binding protein (SREBP)-1c mRNA, on day 3. SPI activated
hepatic adenosine monophosphate-activated protein kinase (AMPK), which can lead
to a reduction in SREBP-1c mRNA. These data indicate the importance of SREBP-1c
and PGC-1alpha/FXR in SPI-mediated alterations in hepatic gene expression.
PMID- 21897048
TI - Anti-diabetic effects of a kaempferol glycoside-rich fraction from unripe soybean
(Edamame, Glycine max L. Merrill. 'Jindai') leaves on KK-A(y) mice.
AB - The anti-diabetic effects of a kaempferol glycoside-rich fraction (KG) prepared
from leaves of unripe Jindai soybean (Edamame) and kaempferol, an aglycone of
kaempferol glycoside, were determined in genetically type 2 diabetic KK-A(y)
mice. The hemoglobin A(1c) level was decreased and tended to be decreased by
respectively feeding KG and kaempferol (K). The area under the curve (AUC) in the
oral glucose tolerance test (OGTT) tended to be decreased by feeding K and KG.
The liver triglyceride level and fatty acid synthase activity were both decreased
in the mice fed with KG and K when compared to those parameters in the control
mice. These results suggest that KG and K would be useful to improve the diabetes
condition. The major flavonoids in KG were identified as kaempferol 3-O-beta-D
glucopyranosyl(1->2)-O-[alpha-L-rhamnopyranosyl(1->6)]-beta-D-galactopyranoside,
kaempferol 3-O-beta-D-glucopyranosyl(1->2)-O-[alpha-L-rhamnopyranosyl(1->6)]-beta
D-glucopyranoside, kaempferol 3-O-beta-D-(2-O-beta-D-glucopyranosyl)
galactopyranoside and kaempferol 3-O-beta-D-(2,6-di-O-alpha-L-rhamnopyranosyl)
galactopyronoside, suggesting that these compounds or some of them may be
concerned with mitigation of diabetes.
PMID- 21897049
TI - Larvicidal activity of (-)-dihydroguaiaretic acid derivatives against Culex
pipiens.
AB - The larvicidal activity against Culex pipiens of all stereoisomers of
dihydroguaiaretic acid (DGA) and secoisolariciresinol was measured, and these
DGAs were found to be potent. Sixteen (-)-DGA derivatives were then newly
synthesized to analyze their structure-activity relationship. Two derivatives
monohydroxylated at the 3- or 4-position of the 7-phenyl group of DGA induced
acute paralytic activity in the mosquitoes. Derivatives with several hydroxyl
groups had lower activity than the natural compound, suggesting that
hydrophobicity was probably an important factor for their insecticidal activity.
PMID- 21897050
TI - Depression by a green tea extract of alcohol-induced oxidative stress and
lipogenesis in rat liver.
AB - We determined the effects of a green tea extract with 36% alcohol on the blood
alcohol content, oxidative stress, lipogenesis, inflammation and liver function
of female Wistar rats. Tea alcohol significantly decreased the O2-, H2O2 and HOCl
amounts via catechins and not caffeine. Thirty days of alcohol gavage improved
the level of reactive oxygen species (ROS) in the liver, bile and blood,
increased the 4-hydroxynonenal-protein adducts, Kupffer cell infiltration and
lipid accumulation in the liver, and elevated the plasma alanine aminotransferase
level. A western blot analysis showed reduced expression of the oxidative enzymes
(CYP2E1 and NADPH oxidase p47phox protein) and lipogenic enzymes (SREBP-1c and
fatty acid synthase) in the alcohol-treated liver. Tea alcohol significantly
attenuated these elevated parameters. We conclude that the green tea extract in
alcohol efficiently reduced the amounts of O2-, H2O2 and HOCl primarily due to
the catechin content, and not caffeine. The developed tea liquor attenuated
alcohol-induced oxidative injury and lipogenesis in the liver by the synergetic
action of catechins and caffeine.
PMID- 21897051
TI - Molecular-weight-dependent, anionic-substrate-preferential transport of beta
lactam antibiotics via multidrug resistance-associated protein 4.
AB - beta-Lactam antibiotics have cerebral and peripheral adverse effects. Multidrug
resistance-associated protein 4 (MRP4) has been reported to transport several
beta-lactam antibiotics, and its expression at the blood-brain barrier also
serves to limit their distribution to the brain. Therefore, the purpose of this
study was to clarify the structure-activity relationship of MRP4-mediated
transport of beta-lactam antibiotics using MRP4-expressing Sf9 membrane vesicles.
The transport activity was evaluated as MRP4-mediated transport per MRP4 protein
[nL/(min.fmol MRP4 protein)] based on measurement of MRP4 protein expression by
means of liquid chromatography-tandem mass spectrometry. Cefotiam showed the
greatest MRP4-mediated transport activity [8.90 nL/(min.fmol MRP4 protein)] among
the beta-lactam antibiotics examined in this study. Measurements of differential
transport activity of MRP4 for various beta-lactam antibiotics indicated that (i)
cephalosporins were transported via MRP4 at a greater rate than were penams, beta
lactamase inhibitors, penems, or monobactams; (ii) MRP4-mediated transport
activity of anionic cephalosporins was greater than that of zwitterionic
cephalosporins; and (iii) higher-molecular-weight anionic beta-lactam antibiotics
showed greater MRP4-mediated transport activity than lower-molecular-weight ones,
whereas zwitterionic beta-lactam antibiotics did not show molecular weight
dependency of MRP4-mediated transport. These quantitative data should prove
useful for understanding MRP-related adverse effects of beta-lactam antibiotics
and their derivatives.
PMID- 21897052
TI - Bridging from preclinical to clinical studies for tyrosine kinase inhibitors
based on pharmacokinetics/pharmacodynamics and toxicokinetics/toxicodynamics.
AB - The purpose of this study was to provide a pharmacokinetics/pharmacodynamics and
toxicokinetics/toxicodynamics bridging of kinase inhibitors by identifying the
relationship between their clinical and preclinical (rat, dog, and monkey) data
on exposure and efficacy/toxicity. For the eight kinase inhibitors approved in
Japan (imatinib, gefitinib, erlotinib, sorafenib, sunitinib, nilotinib,
dasatinib, and lapatinib), the human unbound area under the concentration-time
curve at steady state (AUC(ss,u)) at the clinical dose correlated well with
animal AUC(ss,u) at the no-observed-adverse-effect level (NOAEL) or maximum
tolerated dose (MTD). The best correlation was observed for rat AUC(ss,u) at the
MTD (p < 0.001). E(max) model analysis was performed using the efficacy of each
drug in xenograft mice, and the efficacy at the human AUC of the clinical dose
was evaluated. The predicted efficacy at the human AUC of the clinical dose
varied from far below E(max) to around E(max) even in the tumor for which use of
the drugs had been accepted. These results suggest that rat AUC(ss,u) at the MTD,
but not the efficacy in xenograft mice, may be a useful parameter to estimate the
human clinical dose of kinase inhibitors, which seems to be currently determined
by toxicity rather than efficacy.
PMID- 21897053
TI - Dopamine D(1) receptors participate in cocaine-induced place preference via
regulation of ryanodine receptor expression.
AB - Ryanodine receptors (RyRs) with three different isoforms in the brain play a role
to facilitate Ca(2+) release from the intracellular Ca(2+) pool. Although cocaine
is a strongly addictive psychostimulant that dramatically affects the central
nervous system function, the role of RyRs and regulation of their expression by
cocaine-induced place preference have not yet been defined well. The present
study investigated the regulation of RyR expression in mice under intermittent
cocaine treatment using the place preference procedure. The cocaine-induced place
preference was inhibited by intracerebroventricular pretreatment with dantrolene,
a RyRs antagonist, in a dose-dependent manner. The levels of RyR-1 and -2 in the
limbic forebrain and frontal cortex significantly increased in the cocaine
conditioned mice, whereas that of RyR-3 in these two brain regions showed no
changes. Although the up-regulation of RyRs was not affected by blockade of L
type voltage-gated calcium channels, the increase of RyR-1 and -2 in the limbic
forebrain and frontal cortex was completely abolished by SCH23390, a selective
antagonist of dopamine D(1) receptors, but not by sulpiride, a selective
antagonist of dopamine D(2) receptors. These findings indicate that RyRs play a
critical role in the development of cocaine-induced place preference and that the
up-regulation of RyRs in the brain of a mouse showing cocaine-induced place
preference is regulated by dopamine D(1) receptors.
PMID- 21897054
TI - Comparison of injuring effects of vesicant, irritant, and nonvesicant anticancer
drugs on endothelial cells.
AB - Anticancer drugs are classified as vesicant, irritant, and nonvesicant drugs on
the basis of frequency of their vascular disorder. In this study, we compared the
injuring effects of three typical anticancer drugs of each class on porcine aorta
endothelial cells (PAECs). The concentration inducing 50% cell viability
inhibition was lower in the order of vesicant, irritant, and nonvesicant drugs.
These results suggest that injuring effects of anticancer drugs on PAECs may be
relevant as an indicator of frequency of their vascular disorder, and that this
experimental model may be useful for the study of vascular disorder.
PMID- 21897056
TI - Comparison of peripheral neuropathy induced by standard and nanoparticle albumin
bound paclitaxel in rats.
AB - Nanoparticle albumin-bound paclitaxel (nab-paclitaxel) is delivered to tumors and
increases antitumor activity compared with solvent-based paclitaxel. However, in
a clinical trial, higher and lower rates of peripheral neuropathy and neutropenia
were observed. In this study, we compared the effects of nab-paclitaxel and
standard paclitaxel on pain behaviors in rats. Repeated administration of nab
paclitaxel dose-dependently induced both mechanical and cold allodynia, and the
effects of nab-paclitaxel on pain behaviors tended to be stronger than that of
standard paclitaxel at the doses used clinically. These results suggest that
closer attention must be paid to the neuropathy when administering nab-paclitaxel
in clinical settings.
PMID- 21897055
TI - Effect of efonidipine on TGF-beta1-induced cardiac fibrosis through Smad2
dependent pathway in rat cardiac fibroblasts.
AB - Transforming growth factor beta-1 (TGF-beta1) plays a critical role in
progression of cardiac fibrosis, which may involve intracellular calcium change.
We examined effects of efonidipine, a dual T-type and L-type calcium channel
blocker (CCB), on TGF-beta1-induced fibrotic changes in neonatal rat cardiac
fibroblast. T-type and L-type calcium channel mRNAs were highly expressed in
cultured cardiac fibroblasts. TGF-beta1 (5 ng/mL) significantly increased Smad2
phosphorylation and [(3)H]-leucine incorporation, which were attenuated by
pretreatment with efonidipine (10 uM). Neither R(-)efonidipine (10 uM), selective
T-type CCB, nor nifedipine (10 uM), selective L-type CCB, efficaciously inhibited
both TGF-beta1-induced Smad2 phosphorylation and [(3)H]-leucine incorporation.
However, both were markedly attenuated by combination of R(-)efonidipine and
nifedipine, EDTA, or calcium-free medium. Pretreatment with Smad2 siRNA
significantly attenuated [(3)H]-leucine incorporation induced by TGF-beta1. These
data suggest that efonidipine elicits inhibitory effects on TGF-beta1- and Smad2
dependent protein synthesis through both T-type and L-type calcium channel
blocking actions in cardiac fibroblasts.
PMID- 21897057
TI - Role of acidification elicited by sialylation and sulfation of zona glycoproteins
during oocyte maturation in porcine sperm-zona pellucida interactions.
AB - The porcine zona pellucida (ZP) undergoes biochemical changes during the final
phase of maturation prior to fertilization. The present study was conducted to
elucidate whether the acidification of ZP glycoproteins during porcine oocyte
maturation influences sperm-ZP interactions. Two-dimensional gel electrophoresis
clearly demonstrated that ZP acidification occurred in accordance with the
sialylation and sulfation of ZP glycoproteins in oocytes matured for 44 h. The
increases in the incidences of sperm penetration and polyspermy with the progress
of the IVM culture period were significantly suppressed by ZP desialylation on
treatment with neuraminidase as a consequence of reductions in the number of
sperm bound to ZPs and the acrosome reaction (AR) in ZP-bound sperm (P<0.05). In
contrast, the blocking of ZP sulfation by NaClO(3) treatment during IVM markedly
reduced the incidence of polyspermy with no inhibitory effect on penetration, but
the number of sperm bound to ZPs and the rate of AR-inducing sperm were decreased
to the same level as in desialylated oocytes. The results indicate that ZP
sulfation influences sperm-ZP interactions in a ZP sialylation-independent
manner. Moreover, sialylation and sulfation were not associated with a protective
proteolytic modification of the ZP matrix before fertilization. These findings
suggest that ZP acidification elicited by the sialylation and sulfation of ZP
glycoproteins during oocyte maturation contributes to the porcine ZP acquiring
the capacity to accept sperm.
PMID- 21897058
TI - The positive effects of seminal plasma during the freezing process on
cryosurvival of sperm with poor freezability in the rhesus macaque (Macaca
mulatta).
AB - The objective was to examine the effect of seminal plasma on cryopreservation of
sperm from rhesus macaques. Sperm cryosurvival was evaluated by sperm motility
and acrosomal integrity. Compared with slow cooling (-0.4 C/min) from 37 C (body
temperature) to 4 C, rapid cooling (-16 C/min) caused cold shock in rhesus
macaque sperm. The cryosurvival of sperm was decreased regardless of the presence
or absence of seminal plasma (P<0.05). However, the presence of seminal plasma
during cold shock at a rapid cooling rate improved sperm motility and acrosomal
integrity in individual monkeys. Male-to-male variation in sperm cryosurvival was
observed after cryopreservation (P<0.05), and the presence of seminal plasma
during sperm cryopreservation improved sperm motility and acrosomal integrity in
individual monkeys (P<0.05). Furthermore, by adding seminal plasma from monkeys
with good sperm cryosurvival to sperm freezing extender, the frozen-thawed
motility and acrosomal integrity of sperm from monkey with poor cryosurvival were
improved (P<0.05). The present study indicated that seminal fluid is beneficial
to sperm undergoing cold shock or cryopreservation in individual monkeys. The
cryosurvival of sperm from rhesus macaques with poor sperm freezability could be
improved by the presence of seminal plasma from males with good sperm
cryosurvival. This finding provides a useful method for genetic preservation in
this important species.
PMID- 21897059
TI - Genome-wide transcript expression analysis in the uterovaginal junction in
association with fertile period in Tsaiya ducks.
AB - We performed the first genome-wide expression analysis to compare the differences
in gene expression in the female sperm reservoir of the duck reproductive tract
between two groups with long and short fertile periods to identify factors that
may be associated with the fertile period using an oligonucleotide microarray.
RNA was extracted from the uterovaginal junction (UV junction) of the two groups.
Affymetrix chips containing comprehensive coverage of 32773 transcripts were
hybridized with biotin-labeled cRNA, and three biological repeats were performed.
We identified 27 transcripts as being differentially regulated. Interestingly, by
mapping the differentially expressed transcripts to annotated pathways, we found
that Neuropeptide Y (NPY), the RNA expression of which was increased by 2.96-fold
in the short-fertile-period group as compared with the long-fertile-period group
in our experiment, has been shown to reduce blood flow and substance supply to
local tissues. Enah/Vasp-like (EVL), the RNA expression of which was
significantly increased by 1.77-fold in the short-fertile-period group as
compared with the long-period group, has been demonstrated to be important in
activated T-cells. In contrast, trafficking kinesin-binding protein 1 (TRAK1),
the expression of which was increased by 2.33-fold in the long-period group as
compared with its counterparts, has been suggested to inhibit precocious
activation of sperm and prolong sperm life in the female sperm reservoir. The
results of real-time PCR confirmed the data obtained by microarray analysis. Our
study demonstrated that combining global gene expression investigation with
annotated pathway resources contributes to the understanding of sperm life when
sustained in the UV junction.
PMID- 21897060
TI - A retrospective study of inflammatory colorectal polyps in miniature dachshunds.
AB - Medical records of dogs with colorectal polyps were retrospectively reviewed, and
clinical presentation of inflammatory colorectal polyps in miniature dachshunds
was evaluated. Of 33 dogs found to have colorectal polyps, miniature dachshunds
were markedly over-represented with 16 dogs (48%), of which 12 (75%) were found
to have inflammatory polyps. Multiple polyps localized between the rectum and the
descending colon was the most common finding in miniature dachshunds with
inflammatory polyps. Twenty dogs (80%) out of 25 miniature dachshunds with
inflammatory colorectal polyps responded to immunosuppressive therapy using
prednisolone and cyclosporine. The results of this study indicate that miniature
dachshunds are predisposed to develop inflammatory colorectal multiple polyps,
for which immunosuppressive therapy may be a treatment option.
PMID- 21897061
TI - Computed tomography and radiographic lymphography of the thoracic duct by
subcutaneous or submucosal injection.
AB - A simple method of lymphography of the thoracic duct was investigated. Using
three female beagles, contrast media were administered rectally, vaginally and
into the perianal tissue. The administration sites were gently massaged, and
imaging was carried out at constant intervals using computed tomography and
radiograph. Moreover, Indian ink was administered into the rectum mucous membrane
in dogs for proof of this method of lymphography, and the lymph drainage routes
were observed. The investigation showed that clear computed tomography and
radiographic contrast images of the thoracic duct were obtained by subcutaneous
and submucosa injection of angiography contrast medium and 3D processing of these
images revealed the three-dimensional positions and course of the thoracic duct
and cisterna chyli.
PMID- 21897062
TI - Effect of cooled and chlorinated chiller water on Campylobacter and coliform
counts on broiler carcasses during chilling at a middle-size poultry processing
plant.
AB - To evaluate the effect of cooled and chlorinated chill water for Campylobacter
and coliforms at a middle-size processing plant which was considered to be
difficult for eliminate pathogenic bacteria on carcasses, following three
conditions were examined; keeping temperature at < 20, < 10 and < 10 degrees C,
and chlorine concentration at < 50, < 50 and 50 to 70 ppm during processing in
experiment 1, 2 and 3 respectively. Fifteen prechill and 15 postchill carcasses
were examined in each experiment. In lower temperature of experiment 2,
decreasing rate (%) of coliforms was significantly higher (P<0.01) than that in
experiment 1. In higher chlorination of experiment 3, no Campylobacter was
detected from all postchill carcasses.
PMID- 21897063
TI - [Current status in and future directions of the treatment of hematological
disorders with molecular-targeting agents--Overview].
PMID- 21897064
TI - [Current treatment strategies with novel agents for multiple myeloma].
PMID- 21897065
TI - [Development of novel agents for multiple myeloma; now and the future].
PMID- 21897066
TI - [Present status and perspective of targeted therapy for B-cell lymphoma].
PMID- 21897067
TI - [Novel monoclonal antibodies for the treatment of malignant lymphomas].
PMID- 21897068
TI - [Management of refractory ITP with thrombopoietin receptor agonists].
PMID- 21897069
TI - [Progress in the management of paroxysmal nocturnal hemoglobinuria by
eculizumab].
PMID- 21897070
TI - [Comparison of post-remission strategies in elderly patients with acute myeloid
leukemia in first complete remission].
PMID- 21897071
TI - [Bone marrow findings of childhood aplastic anemia: analyses of 140 cases by
central reviewers].
AB - The revised WHO classification proposed the term "refractory cytopenia of
childhood (RCC)" for children with myelodysplastic syndrome (MDS) with a low
blast count. The differential diagnosis between RCC and aplastic anemia (AA) is
challenging, especially when bone marrow is hypoplastic and there is no
detectable chromosomal abnormality. To reveal the difference between AA and RCC
with respect to the clinical and biological features, we retrospectively reviewed
the bone marrow smears of 140 patients registered for childhood AA-97 study,
which were classified into three groups as follows; the AA group was defined as
having no morphologically dysplastic changes; the AA-RCC borderline group was
defined as having <10% dysplastic changes in the erythroid lineage only; and the
RCC group was defined as having dysplastic changes in more than two cell lineages
or >10% in a single cell lineage. The patients were classified into the AA group
(n=96, 69%), AA-RCC borderline group (n=20, 14%) and RCC group (n=24, 17%). Most
of the patients in the AA group were classified as having very severe disease,
whereas most of the patients in the RCC group were classified as non-severe
disease. Only 2 patients in the AA group developed acute myeloid leukemia. The
response rate to immunosuppressive therapy did not differ among the 3 groups. To
demonstrate whether the two diseases are truly different entities, it is
necessary to compare molecular backgrounds between the AA and RCC groups.
PMID- 21897072
TI - [Predictive factors for the complication of allogeneic stem cell
transplantation].
PMID- 21897073
TI - [Significance of intensified conditioning regimen for allogeneic hematopoietic
stem cell transplantation for treatment of acute leukemias].
PMID- 21897074
TI - [Conditioning regimen of allogeneic hematopoietic stem cell transplantation for
aplastic anemia in Japan].
PMID- 21897075
TI - [Molecular mechanisms of leukemogenesis in MLL-leukemias].
PMID- 21897076
TI - [Role of TEL gene alterations in childhood leukemias].
PMID- 21897077
TI - [Leukemogenesis caused by dysfunctions of GATA1 transcription factor].
PMID- 21897078
TI - [Clinical analysis of 3 cases with primary splenic diffuse large B-cell
lymphoma].
AB - The definition of primary splenic lymphoma is controversial, but it has been
reported to be a rare disease that comprises less than 1% of all malignant
lymphomas. Three cases of primary splenic diffuse large B-cell lymphoma treated
at our institution are described here. Median follow-up was 34.6 months (range
8.7~39.2) and median age at diagnosis was 72 years old (range 65~73). In all
three cases, the diagnosis was definitively established not by splenectomy but by
ultrasonically guided percutaneous splenic tissue core biopsy. Using the Hans
classifier, one of the cases was subclassified as the germinal center B-cell like
(GCB) subtype and two as non-GCB subtype. One case was CD5-positive diffuse large
B-cell lymphoma. Two patients were in Ann Arbor stage II and one was in stage
III. Using the International Prognostic Index, one was categorized as
Low/intermediate risk, one as high/intermediate risk, and one as high risk. All
patients underwent eight cycles of rituximab plus cyclophosphamide, doxorubicin,
vincristine and prednisolone followed by irradiation therapy. These three
patients attained complete response. Although the follow-up period to date has
been short, all patients have maintained a complete response and are currently
alive. To determine whether our management protocol is valid, further
observations are needed.
PMID- 21897079
TI - [Glossitis by mixed infection of cytomegalovirus and herpes simplex virus during
therapy for pure red cell aplasia complicated with Good syndrome].
AB - A 64-year-old man with a 10-year history of Good syndrome had been treated with
periodic replacement of gamma-globulin. He also had a 6-year history of lichen
planus of the tongue. In 2009, the patient was diagnosed as having pure red cell
aplasia (PRCA) based on bone marrow aspiration. Thymectomy was not effective.
Then, immunosuppressive therapy with PSL and cyclosporine was initiated. Twenty
days after treatment painful ulcer appeared on the left side of the tongue.
Biopsy specimen of the ulcer demonstrated cells infected with cytomegalovirus and
herpes simplex virus. Cytomegalovirus antigenemia was also positive. The tongue
ulcer promptly improved after gancyclovir administration for a few weeks. Viral
glossitis should be considered as part of the differential diagnoses of oral
lesions not only in patients with HIV infection but also in those under
immunosuppressive therapy.
PMID- 21897081
TI - [Epidural abscess in the spine extended from pulmonary zygomycosis during
consolidation chemotherapy for acute lymphoblastic leukemia].
AB - A 37-year-old woman with acute lymphoblastic leukemia developed fever and
pneumonia during persistent neutropenia after consolidation chemotherapy.
Pneumonia was rapidly followed by the formation of abscess in adjacent
subcutaneous tissues, muscles and bones. She subsequently developed sudden onset
of paraplegia and loss of all sensation below Th4. Epidural abscess was detected
by MRI. Emergency drainage was performed, but the patient died 4 days after the
operation. Rhizopus oryzae grew from culture of the epidural abscess. Since the
incidence of zygomycosis appears to have increased over the recent years,
clinicians should be aware of the possibility of zygomycosis in case of any
infection that is resistant to antibiotics.
PMID- 21897080
TI - [Successful completion of left total hip arthroplasty by inhibitor neutralization
therapy in a hemophilia B patient with high responding inhibitor].
AB - Major surgery in hemophilia patients has been facilitated by the development of
coagulation concentrates. However, it is still difficult to manage bleeding
during major surgery in patients with inhibitors to FVIII/IX. In addition, there
have been few reports of major surgery in hemophilia B with high responding
inhibitors. We report a 26-year-old hemophilia B patient with high responding
factor IX inhibitor who demonstrated severe hemophiliac arthropathy in his left
hip joint. Total hip arthroplasty was performed with a high dose of FIX followed
by recombinant FVIIa. His inhibitor titer was decreased from 111 BU/ml to 1.0
BU/ml at surgery by avoiding the use of FIX concentrates. Thus, we could use high
dose FIX for the management of surgical bleeding. Anamnestic response occurred on
the 7th day after surgery and FIX concentrates were switched to recombinant
FVIIa. The whole process was safely managed without any excess bleeding or
adverse effects. The successful use of high dose FIX followed by recombinant
FVIIa suggests that even major surgery could be safely performed in hemophilia B
patients with a low titer of high responding inhibitors.
PMID- 21897082
TI - Embryonic developmental patterns and energy expenditure are affected by
incubation temperature in wood ducks (Aix sponsa).
AB - Recent research in birds has demonstrated that incubation temperature influences
a suite of traits important for hatchling development and survival. We explored a
possible mechanism for the effects on hatchling quality by determining whether
incubation temperature influences embryonic energy expenditure of wood ducks (Aix
sponsa). Because avian embryos are ectothermic, we hypothesized that eggs
incubated at higher temperatures would have greater energy expenditure at any
given day of incubation. However, because eggs incubated at lower temperatures
take longer to hatch than embryos incubated at higher temperatures, we
hypothesized that the former would expend more energy during incubation. We
incubated eggs at three temperatures (35.0 degrees , 35.9 degrees , and 37.0
degrees C) that fall within the range of temperatures of naturally incubated wood
duck nests. We then measured the respiration of embryos every 3 d during
incubation, immediately after ducks externally pipped, and immediately after
hatching. As predicted, embryos incubated at the highest temperature had the
highest metabolic rates on most days of incubation, and they exhibited faster
rates of development. Yet, because of greater energy expended during the hatching
process, embryos incubated at the lowest temperature expended 20%-37% more energy
during incubation than did embryos incubated at the higher temperatures. Slower
developmental rates and greater embryonic energy expenditure of embryos incubated
at the lowest temperature could contribute to their poor physiological
performance as ducklings compared with ducklings that hatch from eggs incubated
at higher temperatures.
PMID- 21897083
TI - A physiological perspective on nectar-feeding adaptation in phyllostomid bats.
AB - Nectar-feeding animals increase their food intake when nectar sugar concentration
decreases. However, some species present physiological constraints that limit
their energy intake when nectar is diluted. We hypothesized that gut capacities
of bats affect the ability of these animals to acquire and store energy,
modifying how they use food resources in the field. We measured the food intake
and changes in body mass of the members of an assemblage of nectar-feeding bats
(Choeronycteris mexicana, Leptonycteris yerbabuenae, and Glossophaga soricina)
feeding on sucrose solutions of different concentrations (146, 292, 438, 584,
730, 876, and 1,022 mmol L(-1)). The three bat species presented differences in
their food intake and their capacity to store energy. While C. mexicana was able
to maintain a constant energy intake at all concentrations tested, G. soricina
and L. yerbabuenae decreased their sugar/energy intake at the lowest sugar
concentrations. Choeronycteris mexicana also increased body mass independent of
sugar concentration, while G. soricina and L. yerbabuenae did not. On the basis
of our results, we generated a model relating gut capacities and the use of food
resources in the field. Our model's predictions and field data support the idea
that digestive traits affect the way these animals use the food resources present
in their environment.
PMID- 21897084
TI - Mitochondrial metabolic suppression in fasting and daily torpor: consequences for
reactive oxygen species production.
AB - Abstract Daily torpor results in an ~70% decrease in metabolic rate (MR) and a
20%-70% decrease in state 3 (phosphorylating) respiration rate of isolated liver
mitochondria in both dwarf Siberian hamsters and mice even when measured at 37
degrees C. This study investigated whether mitochondrial metabolic suppression
also occurs in these species during euthermic fasting, when MR decreases
significantly but torpor is not observed. State 3 respiration rate measured at 37
degrees C was 20%-30% lower in euthermic fasted animals when glutamate but not
succinate was used as a substrate. This suggests that electron transport chain
complex I is inhibited during fasting. We also investigated whether mitochondrial
metabolic suppression alters mitochondrial reactive oxygen species (ROS)
production. In both torpor and euthermic fasting, ROS production (measured as
H(2)O(2) release rate) was lower with glutamate in the presence (but not absence)
of rotenone when measured at 37 degrees C, likely reflecting inhibition at or
upstream of the complex I ROS-producing site. ROS production with succinate (with
rotenone) increased in torpor but not euthermic fasting, reflecting complex II
inhibition during torpor only. Finally, mitochondrial ROS production was twofold
more temperature sensitive than mitochondrial respiration (as reflected by Q(10)
values). These data suggest that electron leak from the mitochondrial electron
transport chain, which leads to ROS production, is avoided more efficiently at
the lower body temperatures experienced during torpor.
PMID- 21897085
TI - Reactive oxygen species and the regulation of hyperproliferation in a colonial
hydroid.
AB - Colonies of Podocoryna carnea circulate gastrovascular fluid among polyps via
tubelike stolons. At polyp-stolon junctions, mitochondrion-rich cells in part
regulate this gastrovascular flow. During competition, colonies hyperproliferate
nematocytes and stolons; nematocysts are discharged until one colony is killed.
Hyperproliferation then ceases, and normal growth resumes. Here, competing
colonies were treated with azide, which inhibits respiration and upregulates
reactive oxygen species (ROS). After the cessation of competition, azide-treated
colonies continued to hyperproliferate. In azide-treated competing colonies,
however, mitochondrion-rich cells were found to produce similar amounts of ROS as
those in untreated competing colonies. Subsequent experiments showed that both
azide treatment and competition diminished the lumen widths at polyp-stolon
junctions, where mitochondrion-rich cells are found. In competing colonies, these
diminished widths may also diminish the metabolic demand on these cells, causing
mitochondria to enter the resting state and emit more ROS. Indeed, results with
two fluorescent probes show that mitochondrion-rich cells in competing colonies
produce more ROS than those in noncompeting colonies. In sum, these results
suggest that competition perturbs the usual activity of mitochondrion-rich cells,
altering their redox state and increasing ROS formation. Via uncharacterized
pathways, these ROS may contribute to hyperproliferation.
PMID- 21897086
TI - Decreasing urea?trimethylamine N-oxide ratios with depth in chondrichthyes: a
physiological depth limit?
AB - In marine osmoconformers, cells use organic osmolytes to maintain osmotic balance
with seawater. High levels of urea are utilized in chondrichthyans (sharks, rays,
skates, and chimaeras) for this purpose. Because of urea's perturbing nature,
cells also accumulate counteracting methylamines, such as trimethylamine N-oxide
(TMAO), at about a 2?1 urea?methylamine ratio, the most thermodynamically
favorable mixture for protein stabilization, in shallow species. However,
previous work on deep-sea teleosts (15 species) and chondrichthyans (three
species) found an increase in muscle TMAO content and a decrease in urea content
in chondrichthyans with depth. We hypothesized that TMAO counteracts protein
destabilization resulting from hydrostatic pressure, as is demonstrated in vitro.
Chondrichthyans are almost absent below 3,000 m, and we hypothesized that a
limitation in urea excretion and/or TMAO retention might play a role. To test
this, we measured the content of major organic osmolytes in white muscle of 13
chondrichthyan species caught with along-contour trawls at depths of 50-3,000 m;
the deepest species caught was from 2,165 m. Urea and TMAO contents changed
significantly with depth, with urea?TMAO declining from 2.96 in the shallowest
(50-90 m) groups to 0.67 in the deepest (1,911-2,165 m) groups. Urea content was
291-371 mmol/kg in the shallowest group and 170-189 mmol/kg in the deepest group,
declining linearly with depth and showing no plateau. TMAO content was 85-168
mmol/kg in the shallowest group and 250-289 mmol/kg in the deepest groups. With
data from a previous study for a skate at 2,850 m included, a second-order
polynomial fit suggested a plateau at the greatest depths. When data for skates
(Rajidae) were analyzed separately, a sigmoidal fit was suggested. Thus, the
deepest chondrichthyans may be unable to accumulate sufficient TMAO to counteract
pressure; however, deeper-living specimens are needed to fully test this
hypothesis.
PMID- 21897087
TI - A mass balance approach to identify and compare differential routing of 13C
labeled carbohydrates, lipids, and proteins in vivo.
AB - All animals route assimilated nutrients to their tissues where they are used to
support growth or are oxidized for energy. These nutrients are probably not
allocated homogeneously among the various tissue and are more likely to be
preferentially routed toward some tissues and away from others. Here we introduce
an approach that allows researchers to identify and compare nutrient routing
among different organs and tissues. We tested this approach by examining nutrient
routing in birds. House sparrows Passer domesticus were fed a meal supplemented
with one of seven (13)C-labeled metabolic tracers representing three major
classes of macronutrients, namely, carbohydrates, amino acids, and fatty acids.
While these birds became postabsorptive (2 h after feeding), we quantified the
isotopic enrichment of the lean and lipid fractions of several organs and
tissues. We then compared the actual (13)C enrichment of various tissue fractions
with the predictions of our model to identify instances where nutrients were
differentially routed and found that different classes of macronutrients are
uniquely routed throughout the body. Recently ingested amino acids were
preferentially routed to the lean fraction of the liver, whereas exogenous
carbohydrates were routed to the brain and the lipid fraction of the liver. Fatty
acids were definitively routed to the heart and the liver, although high levels
of palmitic acid were also recovered in the adipose tissue. Tracers belonging to
the same class of molecules were not always routed identically, illustrating how
this technique is also suited to examine differences in nonoxidative fates of
closely related molecules. Overall, this general approach allows researchers to
test heretofore unexamined predictions about how animals allocate the nutrients
they ingest.
PMID- 21897088
TI - Using a priori contrasts for multivariate repeated-measures ANOVA to analyze
thermoregulatory responses of the dibbler (Parantechinus apicalis; Marsupialia,
Dasyuridae).
AB - Physiological studies often involve the repeated measurement of individuals over
a range of ordered categorical conditions, for example, varying ambient
temperature. We illustrate here the use of a priori contrasts for multivariate
repeated-measures ANOVA by analyzing the thermal responses of various
physiological variables for a small marsupial, the dibbler (Parantechinus
apicalis). Our analyses showed that dibblers conform closely to the Scholander
Irving model of endothermy. Body temperature was constant at low air
temperatures, was 36.3 +/- 0.24 degrees C at thermoneutrality (30 degrees C), and
increased at 35 degrees C. Metabolic rate decreased with increasing ambient
temperature to a basal rate of 0.619 +/- 0.036 mL O(2) g(-1) h(-1) at 30 degrees
C; it extrapolated closely to thermoneutral body temperature. Increased oxygen
demand at lower ambient temperature was met by increased respiratory minute
volume, achieved by increased respiratory frequency and tidal volume; oxygen
extraction was constant at about 19%. Evaporative water loss and wet and dry
thermal conductance increased markedly at high ambient temperatures but not
sufficiently to maintain constant body temperature. Relative water economy was
similar to that of other small marsupials, increasing linearly at lower air
temperatures with a point of relative water economy of 20.3 degrees C. We
conclude that a priori contrasts provide a statistically appropriate and powerful
analysis that can be used routinely to statistically describe the pattern of
response of physiological variables to a categorical factor and are especially
useful for repeated-measures ANOVA designs common to many physiological studies.
PMID- 21897089
TI - The regulation index: a new method for assessing the relationship between oxygen
consumption and environmental oxygen.
AB - Critical oxygen pressure (P(C)) is used in respiratory physiology to measure the
response to hypoxia. P(C) defines the partial pressure of oxygen (Po(2)) at which
an oxygen regulator switches to a conformer. However, not all animals show such
clear patterns in oxygen consumption rate (Mo2), and there are many methods for
determining P(C). This study assesses two methods that determine regulatory
ability and four that calculate P(C). A new method, the regulation index (RI),
assigns to an animal a relative measure of regulatory ability by calculating the
area under the Mo2 versus Po(2) curve that is greater than a linear trend. The
six methods are applied to developmental Mo2 data of two amphibians, Pseudophryne
bibronii and Crinia georgiana. The four methods used to determine P(C) produced
similar results but failed to identify the increase in regulation on hatching in
C. georgiana or the greater regulation in larval C. georgiana compared with P.
bibronii. Of the two methods that evaluated regulation, only the RI
satisfactorily represented the entire range of Po(2). The RI is advantageous
because it has clearly defined limits and does not constrain data to fit any
single pattern. The RI can be used in concert with P(C), which can be easily
calculated during the RI analysis, to provide a clearer definition of the Mo2
response to environmental Po(2).
PMID- 21897091
TI - Cytotoxicity of gamma-ray in rat immature hippocampal neurons.
AB - This in vitro study evaluated the detrimental effect of acute gamma (gamma)
irradiation on rat immature hippocampal neurons. Rat immature hippocampal neurons
(0.5 day in vitro) were irradiated with 0~4 Gy gamma-rays. Cytotoxicity was
analyzed using a lactate dehydrogenase release assay at 24 h after gamma
irradiation. Radiation-induced cytotoxicity in immature hippocampal neurons
increased in a dose-dependent manner. Pre-treatments of pro-apoptotic caspase
inhibitors and anti-oxidative substances significantly blocked gamma-irradiation
induced cytotoxicity in immature hippocampal neurons. The results suggest that
the caspase-dependent cytotoxicity of gamma-rays in immature hippocampal cultured
neurons may be caused by oxidative stress.
PMID- 21897092
TI - Multidetector computed tomographic angiography evaluation of micropig major
systemic vessels for xenotransplantation.
AB - Due primarily to the increasing shortage of allogeneic donor organs,
xenotransplantation has become the focus of a growing field of research.
Currently, micropigs are the most suitable donor animal for humans. However, no
standard method has been developed to evaluate the systemic vascular anatomy of
micropigs and standard reference values to aid in the selection of normal healthy
animals as potential organ donors are lacking. Using 64-channel multidetector row
computed tomographic angiography (MDCTA), we evaluated morphological features of
the major systemic vessels in micropigs and compared our results to published
human data. The main vasculature of the animals was similar to that of humans,
except for the iliac arterial system. However, diameters of the major systemic
vessels were significantly different between micropigs and humans. Specifically,
the diameter of the aortic arch, abdominal aorta, external iliac artery, and
femoral artery, were measured as 1.50 +/- 0.07 cm, 0.85 +/- 0.06 cm, 0.52 +/-
0.05 cm, and 0.48 +/- 0.05 cm, respectively, in the micropigs. This MDCTA data
for micropig major systemic vessels can be used as standard reference values for
xenotransplantation studies. The use of 64-channel MDCTA enables accurate
evaluation of the major systemic vasculature in micropigs.
PMID- 21897093
TI - Effects of hydrocortisone and aminophylline on the aggregation of equine
platelets in vitro.
AB - The purpose of this study was to evaluate in vitro the effects of hydrocortisone
and aminophylline on adenosine diphosphate (ADP)-induced platelet aggregation in
horses. Blood samples from 30 healthy Thoroughbred horses were collected by via
jugular venipuncture to assess platelet aggregation. Platelet-rich and platelet
poor plasma were prepared from all samples by centrifugation and divided into
three different aliquots. In the first aliquot, platelet aggregation was measured
after platelet activation with 1 uM and 0.5 uM ADP (Group A). In the other two
aliquots, the effect of a 10 min preincubation with hydrocortisone (Group B) or
aminophylline (Group C) on ADP-induced aggregation at final ADP concentrations of
1 uM and 0.5 uM was observed. Platelet aggregation, recorded by an aggregometer,
was evaluated by measuring the maximum degree of platelet aggregation and the
initial velocities of platelet aggregation were obtained. Our results
demonstrated the inhibitory effect of hydrocortisone and the induction effect of
aminophylline on equine platelet responses in vitro.
PMID- 21897095
TI - Risk factors associated with bacteriological cure, new infection, and incidence
of clinical mastitis after dry cow therapy with three different antibiotics.
AB - Factors affecting bacteriological cure rates (BCR) and new intramammary
infections (IMI) during the dry period as well as clinical mastitis (CM) during
early lactation were investigated in 414 German Holstein dairy cows receiving dry
cow therapy. Cows were treated with either benethamine benzylpenicillin (300,000
IU), penethamate hydriodide (100,000 IU), and framycetin sulphate (100 mg, n =
136), or cefquinome (150 mg, n = 135), or benzathine cloxacillin (1,280 mg, n =
143). Overall BCR, IMI, and CM at parturition were 86.4%, 20.7%, and 4.3%,
respectively. The three antibiotic treatments differed only in BCR, with
cloxacillin yielding better results than the others. Udder quarters from cows
with > 4 lactations had a higher risk of IMI and CM at calving. Chronic changes
in udder tissues were linked to a lower BCR and were associated with a higher
risk of CM during early lactation. The risk of CM at calving was higher in udder
quarters with unspecific or subclinical mastitis before drying off. In
conclusion, with antibiotic dry cow therapy, age and health status of the udder
appear to be major determinants of IMI and CM during the dry period and early
lactation, while BCR was associated with the antibiotic type and udder tissue
status.
PMID- 21897094
TI - Determination of staphylococcal exotoxins, SCCmec types, and genetic relatedness
of Staphylococcus intermedius group isolates from veterinary staff, companion
animals, and hospital environments in Korea.
AB - The Staphylococcus (S.) intermedius group (SIG) has been a main research subject
in recent years. S. pseudintermedius causes pyoderma and otitis in companion
animals as well as foodborne diseases. To prevent SIG-associated infection and
disease outbreaks, identification of both staphylococcal exotoxins and
staphylococcal cassette chromosome mec (SCCmec) types among SIG isolates may be
helpful. In this study, it was found that a single isolate (one out of 178 SIG
isolates examined) harbored the canine enterotoxin SEC gene. However, the S.
intermedius exfoliative toxin gene was found in 166 SIG isolates although the S.
aureus-derived exfoliative toxin genes, such as eta, etb and etd, were not
detected. SCCmec typing resulted in classifying one isolate as SCCmec type IV, 41
isolates as type V (including three S. intermedius isolates), and 10 isolates as
non-classifiable. Genetic relatedness of all S. pseudintermedius isolates
recovered from veterinary staff, companion animals, and hospital environments was
determined by pulsed-field gel electrophoresis. Strains having the same band
patterns were detected in S. pseudintermedius isolates collected at 13 and 18
months, suggesting possible colonization and/or expansion of a specific S.
pseudintermedius strain in a veterinary hospital.
PMID- 21897096
TI - The critical time of avian leukosis virus subgroup J-mediated immunosuppression
during early stage infection in specific pathogen-free chickens.
AB - The critical time of avian leukosis virus subgroup J (ALV-J)-mediated
immunosuppression was determined by body weight, relative immune organ weight,
histopathology, and presence of group specific antigen and antibodies in specific
pathogen-free (SPF) chickens. CD4(+) and CD8(+) cell activity in the spleen,
total and differential leukocyte counts in blood, and viral RNA levels in spleen
were measured. Significant growth suppression was observed in the two ALV-J
infected groups. A strong immune response by infected groups was present in
spleen at 2-weeks-of-age, but after 4-weeks-of-age, the response decreased
quickly. The thymus and bursa showed persistent immunosuppression until 4-weeks
of-age. Proliferation of fibroblasts and dendritic cells were observed in immune
organs at 4- and 5-weeks-of-age. However, the granulocyte cell number was
markedly lower in the infected groups than in the control group. In group 1 (day
1 infection) CD4(+) cells increased during the second week but significantly
decreased during the fourth week, while group 2 (day 7 infection) showed the
opposite effect. Viral RNA increased significantly by the fourth week. These data
identify 3~4 weeks post-infection as the key time at which the ALV-J virus exerts
its immunosuppressive effects on the host.
PMID- 21897097
TI - Relationship among blood indicators of lipomobilization and hepatic function
during early lactation in high-yielding dairy cows.
AB - Blood indicators are used as a tool to diagnose metabolic disorders. The present
work was conducted to study the relationships among blood indicators of
lipomobilization and hepatic function in high-yielding dairy cows. Two groups of
Holstein cows were studied: 27 early lactation cows and 14 mid lactation cows
from four different herds with similar husbandry characteristics in Galicia,
Spain. Blood samples were obtained to measure beta-hydroxybutyrate (BHB), non
esterified fatty acids (NEFA), triglycerides (TG), and the activity of aspartate
transaminase (AST) and gamma-glutamyl transferase. Cows in early lactation had
higher levels of BHB and NEFA than mid lactation cows. High lipomobilization
(NEFA > 400 umol/L) was detected in 67% and 7% of early lactation and mid
lactation cows, respectively, while subclinical ketosis (BHB > 1.2 mmol/L) was
detected in 41% and 28% of the early lactation and lactation cows, respectively.
TG concentrations were low in all cows suffering subclinical ketosis and in 61%
of the cows with high lipomobilization. During early lactation, 30% of cows
suffered hepatic lipidosis as detected by levels of AST. Compromised hepatic
function was observed in early lactation cows as shown by lower concentrations of
glucose, total protein, and urea.
PMID- 21897098
TI - Evaluation of glycoproteins purified from adult and larval camel ticks (Hyalomma
dromedarii) as a candidate vaccine.
AB - In order to identify antigens that can help prevent camel tick infestations,
three major glycoproteins (GLPs) about 97, 66 and 40 kDa in size were purified
from adult and larval Egyptian ticks, Hyalomma (H.) dromedarii, using a single
step purification method with Con-A sepharose. The purified GLPs were evaluated
as vaccines against camel tick infestation in rabbits. The rabbits received three
intramuscular inoculations of GLPs (20 ug/animal) on days 0, 14, and 28. In the
immunoblot analysis, Sera from the immunized rabbits recognized the native GLPs
and other proteins from larval and adult H. dromedarii ticks along with those
from other tick species such as Rhipicephalus sanguineus but not Ornithodoros
moubata. The effects of immunity induced by these GLPs were determined by
exposing rabbits to adult H. dromedarii ticks. These results demonstrated that
GLP immunization led to a slightly decreased reproductive index and significantly
reduced rates of egg hatchability. These results demonstrated that immunization
with the purified GLPs can provide protection against infestation by H.
dromedarii and some other tick species. Further studies are needed to confirm the
effectiveness of immunization with GLPs against other tick species.
PMID- 21897099
TI - Influence of nitric oxide on in vitro growth, survival, steroidogenesis, and
apoptosis of follicle stimulating hormone stimulated buffalo (Bubalus bubalis)
preantral follicles.
AB - Effect of sodium nitroprusside (SNP), a nitric oxide (NO) donor, on in vitro
survival, growth, steroidogenesis, and apoptosis of buffalo preantral follicles
(PFs) was investigated. PFs (200~250 um) were isolated by micro-dissection and
cultured in 0 (control), 10(-3), 10(-5), 10(-7), and 10(-9) M SNP. To examine the
reversible effect of SNP, PFs were cultured with 10(-5) M SNP + 1 mM N(omega)
nitro-L-arginine methyl ester (L-NAME) or 1.0 ug hemoglobin (Hb). The results
showed that greater concentrations of SNP (10(-3), 10(-5), 10(-7) M) inhibited (p
< 0.05) FSH-induced survival, growth, antrum formation, estradiol production, and
oocyte apoptosis in a dose-dependent manner. However, a lower dose of SNP (10(-9)
M) significantly stimulated (p < 0.05) the survival, growth, antrum formation,
follicular oocyte maturation, and stimulated progesterone secretion compared to
the control. A combination of SNP + L-NAME promoted the inhibitor effect of SNP
while a SNP + Hb combination reversed this effect. Nitrate and nitrite
concentrations in the culture medium increased (p < 0.05) in a dose-dependent
manner according to SNP concentration in the culture medium. At higher
concentrations, SNP had a cytotoxic effect leading to follicular oocyte apoptosis
whereas lower concentrations have stimulatory effects. In conclusion, NO exerts a
dual effect on its development of buffalo PFs depending on the concentration in
the culture medium.
PMID- 21897100
TI - Transmission electron microscopy for characterization of acrosomal damage after
Percoll gradient centrifugation of cryopreserved bovine spermatozoa.
AB - The objective of this study was to characterize acrosomal ultrastructure
following discontinuous Percoll gradient centrifugation of cryopreserved bovine
sperm. Semen was collected from six bulls of different breeds and three
ejaculates per bull were evaluated. Frozen semen samples were thawed and the
acrosomal region of sperm cells was evaluated by transmission electron microscopy
(TEM) before (n = 18) and after (n = 18) Percoll centrifugation. The evaluation
of 20 sperm heads from each of the 36 samples analyzed ensured that a large
number of cells were investigated. The data were subjected to analysis of
variance at a level of significance of 5%. Percoll centrifugation reduced the
percentage of sperm exhibiting normal acrosomes (from 61.77 to 30.24%), reduced
the percentage of sperm presenting atypical acrosome reactions (from 28.38 to
4.84%) and increased the percentage of sperm exhibiting damage in the acrosome
(from 6.14 to 64.26%). The percentage of sperm with typical acrosome reactions
was not significantly different before (3.70%) and after (0.67%) centrifugation.
TEM distinguished four different types of acrosomal status and enabled
ultrastructural characterization of acrosomal injuries. The percentage of sperm
exhibiting normal acrosomes decreased and damage in the acrosome was the most
frequent acrosomal injury with the Percoll gradient centrifugation protocol
utilized.
PMID- 21897101
TI - Biomarkers for identifying the early phases of osteoarthritis secondary to medial
patellar luxation in dogs.
AB - The levels of tartrate resistant acid phosphatase (TRAP), matrix
metalloproteinase-2 (MMP-2), and tissue inhibitor of matrix metalloproteinase-2
(TIMP-2) in synovial fluid (SF) and serum in cases of canine osteoarthritis (OA)
were measured. OA was induced by a surgically-created medial patellar luxation in
the left stifle of 24 dogs. SF and blood samples were collected at 1.5- and 3
month intervals, respectively. Every 3 months, one dog was euthanatized to
collect tissue samples from both stifles. TRAP levels in SF and serum were
measured using a spectrophotometer, and TRAP-positive cells in joint tissues were
identified by enzyme histochemistry. MMP-2 and TIMP-2 in SF and serum were
detected by Western blotting and ELISA, respectively. TRAP in SF from the stifles
and serum was significantly increased (p < 0.05) after 3 months. TIMP-2 in SF and
serum was significantly decreased (p < 0.05), whereas MMP-2 in SF was
significantly increased (p < 0.05) during the progression of OA. Histochemistry
revealed an increased number of TRAP-positive cells in tissues from OA-affected
joints. Assays measuring TRAP, MMP-2, and TIMP-2 in SF and serum, and methods
that detect increased numbers of TRAP-positive cells in the joint tissues can
play an important role in identifying the early phases of degenerative changes in
canine joint components.
PMID- 21897102
TI - Sedative and analgesic effects of intravenous xylazine and tramadol on horses.
AB - This study was performed to evaluate the sedative and analgesic effects of
xylazine (X) and tramadol (T) intravenously (IV) administered to horses. Six
thoroughbred saddle horses each received X (1.0 mg/kg), T (2.0 mg/kg), and a
combination of XT (1.0 and 2.0 mg/kg, respectively) IV. Heart rate (HR),
respiratory rate (RR), rectal temperature (RT), indirect arterial pressure (IAP),
capillary refill time (CRT), sedation, and analgesia (using electrical
stimulation and pinprick) were measured before and after drug administration. HR
and RR significantly decreased from basal values with X and XT treatments, and
significantly increased with T treatment (p < 0.05). RT and IAP also
significantly increased with T treatment (p < 0.05). CRT did not change
significantly with any treatments. The onset of sedation and analgesia were
approximately 5 min after both X and XT treatments; however, the XT combination
produced a longer duration of sedation and analgesia than X alone. Two horses in
the XT treatment group displayed excited transient behavior within 5 min of drug
administration. The results suggest that the XT combination is useful for
sedation and analgesia in horses. However, careful monitoring for excited
behavior shortly after administration is recommended.
PMID- 21897103
TI - Common allergens of atopic dermatitis in dogs: comparative findings based on
intradermal tests.
AB - Intradermal tests were performed on 58 dogs diagnosed with atopic dermatitis from
2004~2008 at the Veterinary Medical Teaching Hospital of Konkuk University,
Korea. To compare the allergen distribution observed in the present investigation
to the results from other studies conducted in Korea and elsewhere, the allergens
were grouped according to their kinds. There was no significant difference in
gender distribution among the dogs. The most common breeds among the 58 dogs were
Maltese (n = 11) and Shih-tzu (n = 11). The average age was 4.8 years. The most
frequently produced a positive reaction on the intradermal tests was mold (67.3%)
followed by house dust (54.5%) and house dust mites (49.1%). The present study
found a low distribution of dogs allergic to various outdoor allergens compared
to studies performed in other countries; this may reflect differences in living
conditions for dogs living in Korea.
PMID- 21897104
TI - Differential brain angiotensin-II type I receptor expression in hypertensive
rats.
AB - Blood-borne angiotensin-II (Ang-II) has profound effects in the brain. We tested
the hypothesis that Ang-II-dependent hypertension involves differential Ang-II
type I (AT(1)) receptors expression in the subfornical organ (SFO) and the
rostral ventrolateral medulla (RVLM). Male Wistar rats were implanted with 14-day
osmotic minipump filled with Ang-II (150 ng/kg/min) or saline. AT(1) receptor
mRNA levels were detected in the SFO and RVLM by reverse transcription-polymerase
chain reaction (RT-PCR). Ang-II caused hypertension (134 +/- 10 mmHg vs. 98 +/- 9
mmHg, n = 9, p < 0.05). RT-PCR revealed that Ang-II infusion induced increased
AT(1) receptor mRNA levels in RVLM and decreased in SFO. Our data suggest that
Ang-II-induced hypertension involves differential expression of brain AT(1)
receptors.
PMID- 21897105
TI - Development of a novel diagnostic test for detection of bovine viral diarrhea
persistently infected animals using hair.
AB - The purpose of this study was to determine whether manually plucked hairs might
serve as an alternative sample for a quantitative real time polymerase chain
reaction (qRT-PCR) testing. Twenty three, 1~3 week old, non-bovine viral diarrhea
virus (BVDV) vaccinated calves, found to be positive for BVDV by
immunohistochemical staining, were selected and hairs were manually plucked from
the ear. qRT-PCR was performed on samples consisting of more than 30 hairs
(30~100) and whole blood. All 23 animals were positive for the virus by qRT-PCR
performed on the whole blood and when samples of more than 30 hairs were assayed.
Additionally, qRT-PCR was performed on groups of 10 and 20 hairs harvested from 7
out of 23 immunohistochemical staining-positive calves. When groups of 20 and 10
hairs were tested, 6 and 4 animals, respectively, were positive for the virus.
PMID- 21897106
TI - Pro- and anti-inflammatory cytokine expression and histopathological
characteristics in canine brain with traumatic brain injury.
AB - We analyzed the expression level and cellular localization of pro- and anti
inflammatory cytokines and histopathologically characterized canine traumatic
brain injury (TBI). Canine TBI brains revealed subarachnoid and cerebral cortical
hemorrhage, neutrophilic infiltration, neuronal necrosis, astrocytosis, and
vasogenic edema. Immunohistochemical evaluations suggested that both pro
inflammatory cytokines [interleukin (IL)-1beta, IL-6, and tumor necrosis factor
alpha] and anti-inflammatory cytokines [IL-10 and transforming growth factor-beta
(TGF-beta)] were highly expressed in neurons and neutrophils. In particular, the
highest magnitude of expression was identified for IL-1beta and TGF-beta. This
data helps describe the pathologic characteristics of canine TBI, and may help in
the design of potential therapeutic approaches to control secondary damage by
inflammatory cytokines.
PMID- 21897108
TI - Insulin autoimmune syndrome: a case associated with HLA-DRB1 polymorphism.
PMID- 21897107
TI - Clinical characteristics and incidence of first fracture in a consecutive sample
of post-menopausal women attending osteoporosis centers: The PROTEO-1 study.
AB - BACKGROUND: Osteoporosis is a highly prevalent disease and fractures are a major
cause of disability and morbidity. AIM: The purpose of this study was to
characterize post-menopausal women attending osteoporosis centers in Italy, to
evaluate physician management, and to determine the incidence of first
osteoporotic fracture. SUBJECTS AND METHODS: PROTEO-1 was an observational
longitudinal study with a 12-month follow-up. Data were collected from women
attending osteoporosis centers. Women without prevalent fracture were eligible to
enter the 1-yr follow-up phase: the clinical approach to patients according to
their fracture risk profile and the incidence of fracture were recorded. RESULTS:
4269 patients were enrolled in 80 centers in the cross-sectional phase; 34.2% had
an osteoporotic fracture at baseline. Patients with prevalent fractures were
older and more likely to be treated compared with non-fractured patients. The
incidence of vertebral or hip fracture after 1 yr was 3.84%, regardless of the
calculated risk factor profile, and was significantly higher in patients with
back pain at baseline (4.2%) compared with those without back pain (2.2%;
p=0.023). Generally, physicians prescribed more blood exams and drugs to patients
at higher risk of fracture. Among fractured patients only 24% were properly
treated; the rate of non-responders to treatment was about 4%. CONCLUSIONS: In a
large, unselected sample of post-menopausal women attending osteoporosis centers,
those without previous fracture were at substantial risk of future fracture,
regardless of their theoretical low 10-yr fracture risk. The presence of back
pain in women without previous fracture warrants close attention.
PMID- 21897109
TI - Paternity in Klinefelter syndrome - another case report.
PMID- 21897110
TI - Endocrinology and Art. Madonna Enthroned with Child - Cenni di Pepo called
Cimabue (Florence 1240-Pisa 1302).
PMID- 21897111
TI - Cell proliferation parameters and apoptosis indices in pituitary macroadenomas.
AB - BACKGROUND: Pituitary adenomas are usually well-differentiated tumors but may
show locally aggressive behavior. AIM: To investigate the relationship between
proliferation and apoptosis parameters and tumor recurrence in a series of 20
radically resected pituitary macroadenomas (11 functioning, 9 non-functioning).
MATERIALS AND METHODS: Proliferative activity and DNA ploidy were analyzed by
flow cytometry (FCM) on fresh surgical specimens. Immunohistochemistry for Ki
67/MIB-1 and for the anti-apoptotic protein Bcl-2 was performed on paraffin
embedded specimens from the same tumors. Tumor regrowth was evaluated by magnetic
resonance imaging (MRI). RESULTS: Six adenomas recurred after surgery, regardless
of hormonal hypersecretion. Pre-surgical tumor size was significantly higher in
recurrent than in non-recurrent adenomas (p=0.003). Pre-surgical MRI demonstrated
cavernous sinus (CS) invasiveness in all recurrent tumors, while none of the non
invasive adenomas recurred (p=0.042, by Fisher's exact test). The DNA content was
aneuploid in 5/20 adenomas, one of which recurred. Cell percentages in the S
(%SPF) and G2+M (%G2-M) phases and proliferative index (PI) (PI=%SPF+%G2-M) were
significantly higher in aneuploid than in diploid adenomas (p<0.05), but no
significant differences concerning all FCM parameters were observed between
recurrent and non-recurrent adenomas. Similarly, MIB-1 did not show a significant
difference of expression between recurrent and non-recurrent adenomas (p=0.33).
Bcl-2 immunoreactivity was detected in 12/15 pituitary adenomas, involving 63+/
35% of tumor cells, regardless of tumor recurrence. CONCLUSIONS: In this group of
radically resected pituitary macroadenomas, neuroradiological finding of CS
invasiveness--but not FCM parameters nor MIB-1 and Bcl-2 expression--is useful
for predicting tumor recurrence.
PMID- 21897112
TI - Association of -55CT polymorphism of UCP3 gene with fat distribution,
cardiovascular risk factors and adipocytokines in patients with Type 2 diabetes
mellitus.
AB - BACKGROUND AND AIMS: Some studies have pointed to a role of uncoupling protein 3
(UCP3) in the regulation of fat distribution. The aim of our study was to
investigate the influence of -55CT polymorphism of UCP3 gene on fat mass and
adipocytokines in naive patients with Type 2 diabetes mellitus. DESIGN: A
population of 57 patients with Type 2 diabetes mellitus and obesity was analyzed
in a cross-sectional study. Genotype of UCP3 gene -55CT was studied. RESULTS:
Forty-six patients (80.7%) had the 55CC genotype and 11 patients (19.3%) the 55CT
genotype. Fat mass (39.1+/-15.4 vs 53.3+/-16.8 kg; p<0.05), weight (92.6+/-17.7
vs 106.3+/-17.3 kg; p<0.05), body mass index (36.2+/-6.5 vs 42.8+/-5.2 kg/m2;
p<0.05), waist circumference (112.8+/-13.6 vs 127.9+/-12.3 cm; p<0.05), waist-to
hip ratio (0.96+/-0.1 vs 1.1+/-0.2; p<0.05), C reactive protein (6.1+/-5.1 vs
12.4+/-6.1 mg/dl; p<0.05) and leptin (92.8+/-86 vs 114+/-89 ng/ml; p<0.05) were
higher in patients with mutant genotype than in those with wild genotype.
CONCLUSION: C reactive protein and fat mass were higher in the mutant group of
55 CT UCP3 gene diabetic patients than in wild type patients.
PMID- 21897113
TI - Metabolic changes after a hypocaloric, low-glycemic-index diet in obese children.
AB - BACKGROUND: A low glycemic index (LGI) diet has been proposed as a treatment for
obesity in adults; few studies have evaluated LGI diets in obese children. AIM:
The purpose of the study was to compare the effects of two diets, with similar
energy intakes, but different glycemic indexes in a pediatric outpatient setting.
SUBJECTS AND METHODS: A parallel- group, randomized controlled trial was
conducted, and 22 obese outpatient children with a body mass index (BMI) Z-score
>2 (11 females and 11 males, BMI 28.9+/-2.9 kg/m2) were included in the study.
Patients were randomly allocated to a hypocaloric LGI (GI:60), or to a
hypocaloric high glycemic index (HGI) diet (GI:90). The LGI and HGI diets were
almost equivalent for macronutrient composition. Anthropometric and biochemical
parameters were measured at baseline and after 6 months. RESULTS: In both groups
there were significant decreases in BMI, BMI Z-score, blood pressure, and high
sensitivity C-reactive protein. Only LGI diets produced a significant decrease in
waist circumference and homeostasis model assessment. Analysis of variance
demonstrated that the BMI Z-score decrease from baseline values was significantly
greater after the LGI diet than after the HGI diet [-0.20 (95% confidence
interval (CI) -0.29 to -0.10) vs -0.34 (95%CI -0.43 to -0.24)], mean difference
between groups -0.14 (95%CI -0.27 to -0.01), p<0.05). Changes in triglyceride
concentrations were significantly lower in LGI as compared to HGI diet (p<0.05).
CONCLUSIONS: This study demonstrates that a hypocaloric LGI diet has beneficial
metabolic effects in comparison to a hypocaloric HGI diet in obese children.
PMID- 21897114
TI - Basal STAT3 activities are negatively correlated with tumor size in papillary
thyroid carcinomas.
AB - BACKGROUNDS: Signal transducer and activators of transcription-3 (STAT3) plays a
critical role in promoting survival and cell growth as well as facilitating
angiogenesis and metastasis in several cancers. AIM: This investigation focused
on evaluation of STAT3 activities in human papillary thyroid cancers (PTC).
METHODS: STAT3 activities of nuclear extracts of tumor tissue were measured from
35 PTC patients using enzyme- linked immunosorbent assay-based kits. RESULTS:
STAT3 activities of PTC tissues were significantly lower than those of
surrounding normal thyroid tissues [0.36 (interquartile range 0.24-0.72) vs 0.50
(0.29-1.11) arbitrary units, p<0.01]. We further analyzed the association between
STAT3 activity and clinicopathologic factors in PTC tissue. Tumors with size >=2
cm displayed significantly lower STAT3 activities than those <2 cm [0.25 (0.21
0.37) vs 0.53 (0.37-0.61) arbitrary units, p<0.01]. Notably, tumor size was
inversely correlated with STAT3 activities in T1799A BRAF mutation-positive cases
(Rs=-0.58, p<0.05), but not mutation-negative cases. CONCLUSIONS: STAT3
activities of PTC measured via DNA binding are suppressed in contrast to other
human cancers. Tumor size larger than 2 cm is the only clinicopathologic
parameter associated with low STAT3 activity. Moreover, tumor size appears
inversely correlated with STAT3 activity, specifically in T1799A BRAF mutation
positive cases.
PMID- 21897115
TI - Validation of immunohistochemistry for somatostatin receptor subtype 2A in human
somatotropinomas: comparison between quantitative real time RT-PCR and
immunohistochemistry.
AB - Somatostatin receptors subtype 2 (SSTR2) expression in somatotropinomas is
recognized as a predictor of response to the currently available somatostatin
analogs and may be analyzed, mainly, by quantitative RT-PCR or
immunohistochemistry (IHC). The former has the advantages of a higher sensitivity
and of being quantitative, while the latter, although semi-quantitative,
evaluates protein expression and is routinely used in the evaluation of pituitary
adenomas. We aimed to evaluate the SSTR2A protein expression in somatotropinomas
and to compare it to our previous data regarding mRNA expression, assessed by
quantitative real time RTPCR. Thirteen somatotropinomas were analyzed by IHC and
the tumors were scored according to percent of immunostained cells: 0 (<25%), 1
(25-50%) and 2 (>50%). SSTR2A immunostaining was present in all but one
somatotropinoma, 4 (31%) tumors were classified as score 0, 4 (31%) as score 1,
and 5 (38%) as score 2. Median SSTR2 mRNA content was significantly different
among the three IHC scores (p=0.036) and was lower in the score 0 than in the
score 2 (p=0.016). The finding that there is a positive correlation between RT
PCR and IHC indicates that IHC can be applied in order to assess the SSTR2A
content in somatotropinomas.
PMID- 21897117
TI - Characterization of novel antisense HIF-1alpha transcripts in human cancers.
AB - Whole transcriptome analyses have revealed new classes of long ncRNA (lncRNA),
the functions of which are however largely unknown. Recently, we showed that the
antitumor DNA topoisomerase I (Top1) inhibitor camptothecin (CPT) increases the
cellular levels of two antisense lncRNAs at the 5' (5'aHIF-1alpha) and 3' (3'aHIF
1alpha) ends of the human HIF-1alpha gene. To gain insights into their functions,
we have here determined structural and functional aspects of the two antisense
RNAs in human cancer cell lines and kidney tumor specimen. We found that the
antisense transcripts are activated in response to partially different kinds of
stress, and that the 5'aHIF-1alpha has a 5'Cap and a poly(A+) tail, while the
3'aHIF-1alpha is known to lack both modifications. Cell fractionation experiments
showed that 5' and 3' antisense RNAs are nuclear transcripts. Further analyses by
RNA-FISH showed that the 5'aHIF-1alpha accumulates at the perinuclear cellular
compartment and co-localizes with the nuclear pore complex Nup62 protein,
suggesting a role in nuclear membrane trafficking. Finally, we provide evidence
that the studied antisense lncRNAs are expressed in human kidney cancer tissues,
highlighting their possible roles in cancer development. Altogether, our findings
may suggest a novel function of 5'aHIF-1alpha in membrane transport that may
regulate the cancer-relevant HIF-1alpha pathway.
PMID- 21897116
TI - Molecular genetics of retinal degeneration: A Drosophila perspective.
AB - Inherited retinal degeneration in Drosophila has been explored for insights into
similar processes in humans. Based on the mechanisms, I divide these mutations in
Drosophila into three classes. The first consists of genes that control the
specialization of photoreceptor cells including the morphogenesis of visual
organelles (rhabdomeres) that house the visual signaling proteins. The second
class contains genes that regulate the activity or level of the major rhodopsin,
Rh1, which is the light sensor and also provides a structural role for the
maintenance of rhabdomeres. Some mutations in Rh1 (NinaE) are dominant due to
constitutive activity or folding defects, like autosomal dominant retinitis
pigmentosa (ADRP) in humans. The third class consists of genes that control the
Ca ( 2+) influx directly or indirectly by promoting the turnover of the second
messenger and regeneration of PIP 2, or mediate the Ca ( 2+) -dependent
regulation of the visual response. These gene products are critical for the
increase in cytosolic Ca ( 2+ ) following light stimulation to initiate negative
regulatory events. Here I will focus on the signaling mechanisms underlying the
degeneration in norpA, and in ADRP-type NinaE mutants that produce misfolded Rh1.
Accumulation of misfolded Rh1 in the ER triggers the unfolded protein response
(UPR), while endosomal accumulation of activated Rh1 may initiate autophagy in
norpA. Both autophagy and the UPR are beneficial for relieving defective
endosomal trafficking and the ER stress, respectively. However, when
photoreceptors fail to cope with the persistence of these stresses, a cell death
program is activated leading to retinal degeneration.
PMID- 21897118
TI - Erlotinib antagonizes constitutive activation of SRC family kinases and mTOR in
acute myeloid leukemia.
AB - Tyrosine kinases such as SRC family kinases (SFKs) as well as the mammalian
target of rapamycin (mTOR) serine/threonine kinase are often constitutively
activated in acute myeloid leukemia (AML) and hence constitute potential
therapeutic targets. Here we demonstrate that the epidermal growth factor
receptor (EGFR) inhibitor erlotinib, which has previously been shown to mediate
antiproliferative/cytotoxic off-target effects in myelodysplastic syndrome (MDS)
and AML blasts, reduces SFK overactivation. Erlotinib induced an arrest in the G
1 phase of the cell cycle that, in cells with constitutive SFK activation, could
be recapitulated by chemical inhibition of SFKs with 3-(4-chlorophenyl)1-(1,1
dimethylethyl)-1H-pyrazolo[3,4-alpha]pyrimidin-4-amine (PP2). Moreover, erlotinib
inhibited the phosphorylation of mTOR targets like p70 (SK6) , stimulated the
maturation of the autophagic marker LC3 and promoted the formation of
autophagosomes. Notably, PP2 and the mTOR inhibitor rapamycin had a similar cell
cycle-arresting activity to erlotinib, but neither of these compounds alone
induced significant levels of cell death. Altogether, these results suggest that
the therapeutic off-target effect of erlotinib may be linked to, yet cannot be
entirely explained by, the inhibition of oncogenic signaling via SFKs and mTOR.
Thus, combination therapies with erlotinib and rapamycin might be beneficial for
MDS and AML patients.
PMID- 21897119
TI - Overexpression of VEGF189 in breast cancer cells induces apoptosis via NRP1 under
stress conditions.
AB - The existence of multiple VEGF-A isoforms raised the possibility that they may
have distinct functions in tumor growth. We have previously published that
VEGF189 and VEGF165 contribute to breast cancer progression and angiogenesis, but
VEGF165 induced the most rapid tumor uptake. Since VEGF165 has been described as
a survival factor for breast tumor cells, we questioned here the effects of
VEGF189 on the survival/apoptosis of MDA-MB-231 cells. We used clones which
overexpress VEGF189 (V189) or VEGF165 (V165) isoforms and compared them to a
control one (cV). Overexpression of VEGF189 resulted in increased cell apoptosis,
as determined by Annexin-V apoptosis assay, under serum starvation and
doxorubicin treatment, while VEGF 165 was confirmed to be a survival factor.
Since MDA-MB-231 highly express NRP1 (a co-receptor for VEGF-A), we used short
hairpin RNA (shRNA) to knockdown NRP1 expression. V189shNRP1 clones were
characterized by reduced apoptosis and higher necrosis, as compared to V189shCtl,
under stress conditions. Unexpectedly, NRP1 knock-down had no effect on the
survival or apoptosis of V165 cells. VEGF189 showed greater affinity towards NRP1
than VEGF165 using a BIAcore binding assay. Finally, since endogenously produced
urokinase-type plasminogen (uPA) has been found to prevent apoptosis in breast
cancers, we analyzed the level of uPA activity in our clones. An inhibition of
uPA activity was observed in V189shNRP1 clones. Altogether, these results suggest
a major role of NRP1 in apoptosis induced by VEGF189 in stress conditions and
confirm VEGF165 as a survival factor.
PMID- 21897120
TI - Lipid droplet formation protects against gluco/lipotoxicity in Candida
parapsilosis: an essential role of fatty acid desaturase Ole1.
AB - Elevated levels of glucose and lipids can result in cellular dysfunction in
eukaryotic cells ranging from Saccharomyces cerevisiae yeasts to human cells.
Moreover, glucotoxicity and lipotoxicity can cause cell death, although the
mechanism(s) for lethality is unclear. In the present study, we utilized Candida
parapsilosis fatty acid desaturase (OLE1) and fatty acid synthase (FAS2) gene
deletion mutants and wild-type (WT) yeast cells to unravel the relationship to
glucose and lipid induced cell death in eukaryotic cells. Incubation of WT yeast
cells with glucose led to the rapid accumulation of lipid droplets, whereas lipid
droplet formation was severely impaired in yeast cells with deletion of OLE1
(ole1Delta/Delta) or FAS2 (fas2Delta/Delta). Interestingly, ole1Delta/Delta yeast
cells died within hours in a 1% glucose medium without fatty acid
supplementation, whereas the WT or fas2Delta/Delta yeast cells did not. In
glucose medium, ole1Delta/Delta yeast cells accumulated saturated fatty acids,
while fas2Delta/Delta did not. Addition of saturated fatty acids (e.g., palmitic
acid) enhanced ole1Delta/Delta yeast cell death, whereas the addition of
unsaturated fatty acids (e.g., oleic or palmitoleic acid) rescued cell death.
Furthermore, palmitic acid and glucose medium induced apopotic cell death in
ole1Delta/Delta yeast cells, which was dependent on mitochondrial function. Thus,
our results show that glucotoxicity is directly linked to lipotoxicity, which we
demonstrate is mediated by mitochondrial function.
PMID- 21897121
TI - Isolation and in silico analysis of promoter of a high salinity stress-regulated
pea DNA helicase 45.
AB - Helicases are motor proteins that can transiently catalyze the unwinding of
energetically stable duplex DNA or RNA molecules by using ATP hydrolysis as the
source of energy. Many helicases share a core region of highly conserved sequence
motifs, and belong to the rapidly growing DEAD-box protein family. Pea DNA
helicase 45 (PDH45), that exhibits striking homology with eukaryotic translation
initiation factor 4A (eIF4A), contains ATP-dependent DNA and RNA helicase, DNA
dependent ATPase, and ATP-binding activities. The transcript of the PDH45 gene
was reported to be upregulated in pea plant in response to high salinity, cold
stress, abscisic acid (ABA), dehydration and early wounding. The first direct
evidence that overexpression of PDH45 confers salinity stress tolerance without
yield loss has also been reported. A promoter analysis of PDH45 gene has not been
studied. The cis-regulatory elements present on promoter region of the gene act
as binding sites for RNA polymerase and transcription factors and control the
regulation of gene expression. Here we report the promoter of the PDH45 gene that
contains stress-responsive cis-regulatory elements which may be responsible for
regulating the expression of PDH45 under abiotic stress conditions.
PMID- 21897122
TI - Carbon and nitrogen metabolism regulated by the ubiquitin-proteasome system.
AB - The ubiquitin-proteasome system (UPS) is a unique protein degradation mechanism
conserved in the eukaryotic cell. In addition to the control of protein quality,
UPS regulates diverse cellular signal transduction via the fine-tuning of target
protein degradation. Protein ubiquitylation and subsequent degradation by the 26S
proteasome are involved in almost all aspects of plant growth and development and
response to biotic and abiotic stresses. Recent studies reveal that the UPS plays
an essential role in adaptation to carbon and nitrogen availability in plants.
Here we highlight ubiquitin ligase ATL31 and the homologue ATL6 target 14-3-3
proteins for ubiquitylation to be degraded, which control signaling for carbon
and nitrogen metabolisms and C/N balance response. We also give an overview of
the UPS function involved in carbon and nitrogen metabolisms.
PMID- 21897123
TI - Revealing plant defense signaling: getting more sophisticated with
phosphoproteomics.
AB - The regulation mechanisms of any plant-pathogen interaction are complex and
dynamic. A proteomic approach is necessary in understanding regulatory networks
because it identifies new proteins in relation to their function and ultimately
aims to clarify how their expression, accumulation and modification is
controlled. One of the major control mechanisms for protein activity in plant
pathogen interactions is protein phosphorylation, and an understanding of the
significance of protein phosphorylation in plant-pathogen interaction can be
overwhelming. Due to the high number of protein kinases and phosphatases in any
single plant genome and specific limitations of any technologies, it is extremely
challenging for us to fully delineate the phosphorylation machinery. Current
proteomic approaches and technology advances have demonstrated their great
potential in identifying new components. Recent studies in well-developed plant
pathogen systems have revealed novel phosphorylation pathways, and some of them
are off the core phosphorylation cascades. Additional phosphoproteomic studies
are needed to increase our comprehension of the different mechanisms and their
fine tuning involved in the host resistance response to pathogen attacks.
PMID- 21897125
TI - Cataloging proteins putatively secreted during the biotrophy-necrotrophy
transition of the anthracnose pathogen Colletotrichum truncatum.
AB - Hemibiotrophic phytopathogenic fungi cause devastating diseases in agronomically
important crops. These fungal pathogens exploit a stealth bi-phasic infection
strategy to colonize host plants. Their morphological and nutritional transition
from biotrophy (characterized by voluminous intracellular primary hyphae) to
necrotrophy (characterized by thin secondary hyphae) known as the biotrophy
necrotrophy switch (hemibiotrophy) is critical in symptom and disease
development. To establish successful hemibiotrophic parasitism, pathogens likely
secrete suites of proteins at the switch that constitute the biotrophy
necrotrophy switch secretome. To catalogue such proteins, a directional cDNA
library was constructed from mRNA isolated from infected Lens culinaris leaflet
tissues displaying the switch of Colletotrichum truncatum, and 5000 expressed
sequence tags (ESTs) were generated. Four potential groups (hydrolytic enzymes,
cell envelope-associated proteins [CEAPs], candidate effectors and proteins with
diverse functions) were identified from pathogen-derived ESTs. Expression
profiling of transcripts encoding CEAPs and candidate effectors in an infection
time-course revealed that the majority of these transcripts were expressed or
induced during the necrotrophic phase and repressed during the biotrophic phase
of in planta colonization, indicating the massive accumulation of proteins at the
switch. Taken together, our data suggest that the hemibiotrophic mode of fungal
proliferation entails complex interactions of a pathogen with its host wherein
the pathogen requires live host cells prior to switching to the necrotrophic
phase. The microbial proteins employed during pathogenesis are likely to have
defined roles at specific stages of pathogenesis.
PMID- 21897124
TI - The role of transcriptional coactivator ADA2b in Arabidopsis abiotic stress
responses.
AB - Plant growth and crop production can be greatly affected by common environmental
stresses such as drought, high salinity and low temperatures. Gene expression is
affected by several abiotic stresses. Stress-inducible genes are regulated by
transcription factors and epigenetic mechanisms such as histone modifications. In
this Mini-Review, we have explored the role of transcriptional adaptor ADA2b in
Arabidopsis responses to abiotic stress. ADA2b is required for the expression of
genes involved in abiotic stress either by controlling H3 and H4 acetylation in
the case of salt stress or affecting nucleosome occupancy in low temperatures
response.
PMID- 21897126
TI - For security and stability: SGT1 in plant defense and development.
AB - SGT1 (suppressor of G-two allele of SKP1) is highly conserved among all
eukaryotes. In plants, SGT1 interacts with various proteins, including molecular
chaperones (HSP70 and HSP90) and certain SCF ubiquitin ligases, and hence SGT1
likely functions in protein folding and stability. Since these protein complexes
are involved in many aspects of plant biology, plants with a defective SGT1
display a plethora of phenotypic alterations. In this review we highlight the
interaction between SGT1 with other protein complexes and summarize the function
of SGT1 in plant defense responses and development, including the recent
advancements in the understanding of the role of SGT1 in jasmonic acid (JA)
biosynthesis and signaling.
PMID- 21897127
TI - Information theory and the ethylene genetic network.
AB - The original aim of the Information Theory (IT) was to solve a purely technical
problem: to increase the performance of communication systems, which are
constantly affected by interferences that diminish the quality of the transmitted
information. That is, the theory deals only with the problem of transmitting with
the maximal precision the symbols constituting a message. In Shannon's theory
messages are characterized only by their probabilities, regardless of their value
or meaning. As for its present day status, it is generally acknowledged that
Information Theory has solid mathematical foundations and has fruitful strong
links with Physics in both theoretical and experimental areas. However, many
applications of Information Theory to Biology are limited to using it as a
technical tool to analyze biopolymers, such as DNA, RNA or protein sequences. The
main point of discussion about the applicability of IT to explain the information
flow in biological systems is that in a classic communication channel, the
symbols that conform the coded message are transmitted one by one in an
independent form through a noisy communication channel, and noise can alter each
of the symbols, distorting the message; in contrast, in a genetic communication
channel the coded messages are not transmitted in the form of symbols but
signaling cascades transmit them. Consequently, the information flow from the
emitter to the effector is due to a series of coupled physicochemical processes
that must ensure the accurate transmission of the message. In this review we
discussed a novel proposal to overcome this difficulty, which consists of the
modeling of gene expression with a stochastic approach that allows Shannon
entropy (H) to be directly used to measure the amount of uncertainty that the
genetic machinery has in relation to the correct decoding of a message
transmitted into the nucleus by a signaling pathway. From the value of H we can
define a function I that measures the amount of information content in the input
message that the cell's genetic machinery is processing during a given time
interval. Furthermore, combining Information Theory with the frequency response
analysis of dynamical systems we can examine the cell's genetic response to input
signals with varying frequencies, amplitude and form, in order to determine if
the cell can distinguish between different regimes of information flow from the
environment. In the particular case of the ethylene signaling pathway, the amount
of information managed by the root cell of Arabidopsis can be correlated with the
frequency of the input signal. The ethylene signaling pathway cuts off very low
and very high frequencies, allowing a window of frequency response in which the
nucleus reads the incoming message as a varying input. Outside of this window the
nucleus reads the input message as an approximately non-varying one. This
frequency response analysis is also useful to estimate the rate of information
transfer during the transport of each new ERF1 molecule into the nucleus.
Additionally, application of Information Theory to analysis of the flow of
information in the ethylene signaling pathway provides a deeper insight in the
form in which the transition between auxin and ethylene hormonal activity occurs
during a circadian cycle. An ambitious goal for the future would be to use
Information Theory as a theoretical foundation for a suitable model of the
information flow that runs at each level and through all levels of biological
organization.
PMID- 21897129
TI - Effect of Cu content on the activity of Cu/ZnSOD1 in the Arabidopsis SUMO E3
ligase siz1 mutant.
AB - In a previous study, we found copper (Cu) accumulated to a higher level in the
aerial parts of soil-grown plants of the SUMO E3 ligase siz1 mutant than in those
of the wild type. Here, we found that all superoxide dismutase (SOD) isoforms,
such as FeSOD, MnSOD and different types of Cu/ZnSOD, were more active in the
siz1 mutant than in the wild type under normal growth conditions. We further
examined the expression and enzymatic activity of Cu/ZnSOD1 (CSD1) in shoots of
the siz1 mutant under excess Cu. Shoot CSD1 protein level and activity were
reduced in siz1 with excess Cu but induced in the wild type. SIZ1-dependent
SUMOylation may be involved in maintaining CSD1 protein stability or repelling a
feedback regulation under Cu stress.
PMID- 21897128
TI - Fungal lectin of Peltigera canina induces chemotropism of compatible Nostoc cells
by constriction-relaxation pulses of cyanobiont cytoskeleton.
AB - A glycosylated arginase acting as a fungal lectin from Peltigera canina is able
to produce recruitment of cyanobiont Nostoc cells and their adhesion to the
hyphal surface. This implies that the cyanobiont would develop organelles to
motility towards the chemoattractant. However when visualized by transmission
electron microscopy, Nostoc cells recently isolated from P. canina thallus do not
reveal any motile, superficial organelles, although their surface was covered by
small spindles and serrated layer related to gliding. The use of S-(3,4
dichlorobenzyl)isothiourea, blebbistatin, phalloidin and latrunculin A provide
circumstantial evidence that actin microfilaments rather than MreB, the actin
like protein from prokaryota, and, probably, an ATPase which develops contractile
function similar to that of myosin II, are involved in cell motility. These
experimental facts, the absence of superficial elements (fimbriae, pili or
flagellum) related to cell movement, and the appearance of sunken cells during of
after movement verified by scanning electron microscopy, support the hypothesis
that the motility of lichen cyanobionts could be achieved by contraction
relaxation episodes of the cytoskeleton induced by fungal lectin act as a
chemoattractant.
PMID- 21897130
TI - Low temperature-induced necrosis shows phenotypic plasticity in wheat triploid
hybrids.
AB - Hybrid necrosis sometimes appears in triploid hybrids between tetraploid wheat
and Aegilops tauschii Coss. Two types of hybrid necrosis (type II and type III)
were observed when cultivar Langdon was used as female parent for hybrid
production. Type II necrosis symptoms occurred only under low temperature
conditions, whereas bushy and dwarf phenotypes were observed under normal
temperature conditions. The developmental plasticity might be related to a
temperature-responsive alteration of meristematic activity at the crown tissue of
triploid hybrids. Epistatic interaction between the AB and D genomes induced not
only upregulation of a number of defense-related genes, but also extensive
changes in plant architecture in the type II necrosis hybrids. Such phenotypic
plasticity was also observed in other cross combinations between cultivated
tetraploid wheat and type II necrosis-induced Ae. tauschii accessions. Wild
tetraploid wheat, Triticum turgidum subspecies dicoccoides, did not induce type
II necrosis in the triploid hybrids, indicating the possibility of identifying
the chromosomal location of a causal gene for type II necrosis in the AB genome.
PMID- 21897132
TI - Comparative effectiveness research for health care administration.
PMID- 21897131
TI - Plant dehydrins and stress tolerance: versatile proteins for complex mechanisms.
AB - Dehydrins (DHNs), or group 2 LEA (Late Embryogenesis Abundant) proteins, play a
fundamental role in plant response and adaptation to abiotic stresses. They
accumulate typically in maturing seeds or are induced in vegetative tissues
following salinity, dehydration, cold, and freezing stress. The generally
accepted classification of dehydrins is based on their structural features, such
as the presence of conserved sequences, designated as Y, S, and K segments. The K
segment representing a highly conserved 15 amino acid motif forming amphiphilic
alpha-helix is especially important since it has been found in all dehydrins.
Since more than 20 years, they are thought to play an important protective role
during cellular dehydration but their precise function remains unclear. This
review outlines the current status of the progress made towards the structural,
physico-chemical and functional characterization of plant dehydrins and how these
features could be exploited in improving stress tolerance in plants.
PMID- 21897135
TI - Fuchs heterochromic iridocyclitis and the rubella virus.
PMID- 21897136
TI - Ocular toxoplasmosis: advances in detection and treatment.
PMID- 21897137
TI - New diagnosis and treatment paradigms in acute retinal necrosis.
PMID- 21897138
TI - Diagnostic and therapeutic considerations in fungal keratitis.
PMID- 21897139
TI - Current evidence for topical azithromycin 1% ophthalmic solution in the treatment
of blepharitis and blepharitis-associated ocular dryness.
PMID- 21897140
TI - Antiviral chemoprophylaxis for ocular viral infections in hematopoietic stem cell
and bone marrow transplant patients.
PMID- 21897141
TI - Prophylaxis against postoperative endophthalmitis in cataract surgery.
PMID- 21897142
TI - Bacterial conjunctivitis in children: a current review of pathogens and
treatment.
PMID- 21897143
TI - Medical treatment for cytomegalovirus retinitis.
PMID- 21897144
TI - Infectious theories of Posner-Schlossman syndrome.
PMID- 21897145
TI - An epigenetic approach toward understanding ocular alpha-herpesvirus pathogenesis
and treatment.
PMID- 21897146
TI - Ocular toxocariasis: advances in diagnosis and treatment.
PMID- 21897147
TI - Current diagnostic approaches to infectious anterior uveitis.
PMID- 21897148
TI - Nonantibiotic therapy in the management of bacterial keratitis.
PMID- 21897149
TI - Vancomycin resistance in ocular infections.
PMID- 21897150
TI - Local treatment of Kaposi sarcoma of the conjunctiva.
PMID- 21897153
TI - Role of sepiapterin on endothelial nitric oxide synthase in acute kidney injury:
an enigmatic story.
PMID- 21897154
TI - Disruption of the actin cytoskeleton up-regulates iNOS expression in vascular
smooth muscle cells: retraction.
PMID- 21897156
TI - World Federation of Pediatric Intensive Care and Critical Care Societies: Global
Sepsis Initiative.
AB - BACKGROUND: According to World Health Organization estimates, sepsis accounts for
60%-80% of lost lives per year in childhood. Measures appropriate for resource
scarce and resource-abundant settings alike can reduce sepsis deaths. In this
regard, the World Federation of Pediatric Intensive Care and Critical Care
Societies Board of Directors announces the Global Pediatric Sepsis Initiative, a
quality improvement program designed to improve quality of care for children with
sepsis. OBJECTIVES: To announce the global sepsis initiative; to justify some of
the bundles that are included; and to show some preliminary data and encourage
participation. METHODS: The Global Pediatric Sepsis Initiative is developed as a
Web-based education, demonstration, and pyramid bundles/checklist tool
(http://www.pediatricsepsis.org or http://www.wfpiccs.org). Four health resource
categories are included. Category A involves a nonindustrialized setting with
mortality rate <5 yrs and >30 of 1,000 children. Category B involves a
nonindustrialized setting with mortality rate <5 yrs and <30 of 1,000 children.
Category C involves a developing industrialized nation. In category D, developed
industrialized nation are determined and separate accompanying administrative and
clinical parameters bundles or checklist quality improvement recommendations are
provided, requiring greater resources and tasks as resource allocation increased
from groups A to D, respectively. RESULTS: In the vanguard phase, data for 361
children (category A, n = 34; category B, n = 12; category C, n = 84; category D,
n = 231) were successfully entered, and quality-assurance reports were sent to
the 23 participating international centers. Analysis of bundles for categories C
and D showed that reduction in mortality was associated with compliance with the
resuscitation (odds ratio, 0.369; 95% confidence interval, 0.188-0.724; p <
.0004) and intensive care unit management (odds ratio, 0.277; 95% confidence
interval, 0.096-0.80) bundles. CONCLUSIONS: The World Federation of Pediatric
Intensive Care and Critical Care Societies Global Pediatric Sepsis Initiative is
online. Success in reducing pediatric mortality and morbidity, evaluated yearly
as a measure of global child health care quality improvement, requires ongoing
active recruitment of international participant centers. Please join us at
http://www.pediatricsepsis.org or http://www.wfpiccs.org.
PMID- 21897157
TI - Pediatric sepsis: a new challenge for a global quality improvement action.
PMID- 21897158
TI - Creating or preventing opioid addiction, finding the right dose.
PMID- 21897159
TI - Transfusion in pediatric sepsis: less may not be more, but it is at least as
good.
PMID- 21897160
TI - Transfusion-related immunomodulation: how much of it is due to white cells?
PMID- 21897161
TI - Pediatric cardiopulmonary bypass and the inflammatory response: years of
investigation, only incremental progress.
PMID- 21897162
TI - Are children with genetic disorders at increased risk of postoperative
complications after cardiac surgery?
PMID- 21897163
TI - Clinical management of pediatric tracheo-bronchomalacia.
PMID- 21897164
TI - Intracranial pressure monitoring in children: back to basics.
PMID- 21897165
TI - Neurological injuries are common contributors to pediatric intensive care unit
deaths: a wake-up call.
PMID- 21897166
TI - Glial fibrillary acidic protein: a promising biomarker in pediatric brain injury.
PMID- 21897168
TI - Neonatal herpes virus infection: duration of extracorporeal support and the dose
of acyclovir.
PMID- 21897170
TI - Central extracorporeal membrane oxygenation for refractory pediatric septic
shock.
PMID- 21897171
TI - Moving beyond the status quo: excellence in prognostication requires both science
and art.
PMID- 21897172
TI - Hemodynamic monitoring by pulse contour analysis in critically ill children with
congenital heart disease.
PMID- 21897176
TI - Local infiltration analgesia and other multicomponent techniques to improve
postoperative outcome--are we comparing oranges and apples?
PMID- 21897177
TI - The sciatic nerve and knee arthroplasty: to block, or not to block--that is the
question.
PMID- 21897179
TI - Absence of proof is not proof of absence.
PMID- 21897180
TI - Formative assessment of ultrasound-guided regional anesthesia.
PMID- 21897181
TI - Combination of variations of the interscalene gap as a pitfall for ultrasound
guided brachial plexus block.
PMID- 21897183
TI - Transient paraplegia after accidental insertion of an epidural catheter into an
arachnoid cyst.
PMID- 21897184
TI - Evidence-based medicine supports ultrasound-guided infraclavicular block over the
corner pocket supraclavicular technique.
PMID- 21897186
TI - Neurophysiological changes in deformity correction of adolescent idiopathic
scoliosis with intraoperative skull-femoral traction.
AB - STUDY DESIGN: Retrospective review of 36 consecutive patients undergoing coronal
plane deformity correction with intraoperative skull-femoral traction between
2005 and 2008 with motor evoked potential (MEP)/somatosensory evoked potential
monitoring. OBJECTIVE: To determine the prevalence and significance of
neurophysiological changes with intraoperative skull-femoral traction in
adolescent idiopathic scoliosis. SUMMARY OF BACKGROUND DATA: Intraoperative
skeletal traction can be associated with spinal cord stretching and ischemia with
resultant electrophysiological changes. The prevalence and risks of such changes
and their clinical significance is unknown. METHODS: Thirty-seven procedures
involving 36 patients (27 females and 9 males) with a mean age of 14.8 (12-18)
years were divided into two groups on the basis of the presence (group 1, n = 18
procedures) or absence (group 2, n = 19) of significant MEP changes with surgery.
They were compared with patients undergoing correction without traction (group
3). RESULTS: Significant differences among the groups were observed in mean
preoperative Cobb angle (86 degrees vs. 70 degrees vs. 59 degrees ), mean
intraoperative posttraction Cobb angle (50.0 degrees vs. 34.6 degrees ),
traction index (0.41 vs. 0.50), flexibility index (0.14 vs. 0.27 vs. 0.25), and
presence of primary lumbar curves (0% vs. 32% vs. 14%). Initial onset of MEP
amplitude loss (group 1) occurred at a mean of 94 (1-257) minutes from the onset
of surgery, was bilateral in 13 procedures, and improved at a mean of 5.5 (1-29)
minutes after decreasing or removing the traction. At closure, complete bilateral
recovery to baseline was observed in 10 procedures, recovery to >50% baseline in
five, and recovery to <50% baseline in three procedures. There were no neurologic
deficits in this series. CONCLUSION: Intraoperative traction is associated with
frequent changes in MEP monitoring. The thoracic location of the major curve,
increasing Cobb angle, and rigidity of major curve are significant risk factors
for changes in MEP with traction. The presence of any MEP recordings irrespective
of its amplitude at closure was associated with normal neurological function.
Somatosensory evoked potential monitoring did not correlate with the traction
induced MEP amplitude changes.
PMID- 21897187
TI - Does bone morphogenetic protein increase the incidence of perioperative
complications in spinal fusion? A comparison of 55,862 cases of spinal fusion
with and without bone morphogenetic protein.
AB - STUDY DESIGN: Retrospective review of a multi-institutional, multisurgeon
database. OBJECTIVE: Assess for associations between bone morphogenetic protein
(BMP) use and rate of complications in spinal fusion. SUMMARY OF BACKGROUND DATA:
BMP is commonly used in spinal surgery to augment fusion; however, there is
limited evidence demonstrating its associated complications. METHODS: We
performed a retrospective analysis of all fusion cases submitted by members of
the Scoliosis Research Society from 2004 to 2007. We stratified on the basis of
the use of BMP and evaluated for complications and associated characteristics.
RESULTS: A total of 55,862 cases of spinal fusion were identified with BMP used
in 21% (11,933) of the cases. Excluding anterior cervical fusions, there were no
significant differences between fusions with and without BMP with regard to
overall complications (8.4% vs. 8.5%; P = 0.5), wound infections (2.4% vs. 2.4%;
P = 0.8), or epidural hematomas/seromas (0.2% vs. 0.2%; P = 0.3). Anterior
cervical fusions with BMP were associated with more overall complications (5.8%
vs. 2.4%; P < 0.001) and more wound infections (2.1% vs. 0.4%; P < 0.001) than
fusions without BMP. On multivariate analysis for thoracolumbar and posterior
cervical fusions, BMP use was not a significant predictor of complications (P =
0.334; odds ratio = 1.039; 95% confidence interval = 0.961-1.124; covariates were
BMP use, patient age, revision vs. primary surgery). Multivariate analysis for
anterior cervical spinal fusion demonstrated that BMP use remained a significant
predictor of complications (P < 0.001, odds ratio = 1.6; 95% confidence interval
= 1.516-1.721), after adjusting for the effects of patient age and whether the
surgery was a revision procedure. CONCLUSION: BMP use with anterior cervical
fusion was associated with an increased incidence of complications. Use of BMP
was not associated with more complications in thoracolumbar and posterior
cervical fusions.
PMID- 21897188
TI - Instrumentation constructs in pediatric patients undergoing deformity correction
correlated with Scoliosis Research Society scores.
AB - STUDY DESIGN: Data collected prospectively from the Prospective Pediatric
Scoliosis Study (PPSS) were analyzed statistically to address the hypothesis that
covered specific aspects of treatment and its outcome. OBJECTIVE: To assess and
contrast Scoliosis Research Society (SRS) outcome scores for patients assigned to
one of three types of spinal instrumentation constructs. The study hypothesis was
that the instrumentation strategy that provides the best curve correction will be
associated with the best SRS scores. SUMMARY OF BACKGROUND DATA: Surgical
treatment of scoliosis has evolved over time using implants and surgical
techniques; however, quality of life indicators have not typically been analyzed
to assess whether surgery and instrumentation will improve quality of life in
pediatric patients. METHODS: Patients were assigned to one of three
instrumentation groups depending on the type of construct used. The Scoliosis
Research Society's SRS-30 survey was used to measure patient outcomes comparing
preoperative results to a 2-year follow-up. RESULTS: Changes in the SRS Pain,
Activity, Appearance, Mental, Satisfaction, and SRS Total domains did not differ
significantly among instrumentation groups for any time intervals. However,
analysis of SRS Pain did show a significant change over time for all
instrumentation patterns. The analysis of SRS Activity showed a significant
change over time for all instrumentation patterns preoperatively to 2 years
postoperatively. Analysis of SRS Appearance showed a significant change over time
for all groups but no difference between instrumentation groups. The analysis of
SRS Mental based on instrumentation types showed a significant change over time,
but only the pedicle screw group's change was statistically significant. Finally,
analysis of SRS Satisfaction by instrumentation type showed a statistically
significant change over time for all instrumentation patterns. CONCLUSION: There
were no statistically significant baseline differences among the three
instrumentation construct groups based on mean scores for the six SRS domains.
None of the SRS domains had differences among the instrumentation constructs in
change scores or significant differences among the instrumentation constructs.
PMID- 21897189
TI - DNA identification in mass fatality incidents.
AB - DNA identification has become an important aspect of mass fatality management as
well as in other instances of difficult identification of human remains. Most
large mass fatality incidents will require DNA identification. Medical examiners
should prepare for such potential eventuality. Whether DNA is tested, in mass
fatality incidents, DNA specimens should be obtained from remains as well as from
next-of-kin for potential testing. DNA identification is neither as slow nor as
expensive relative to the overall fatality management as is commonly assumed.
This article sought to provide medical examiners with a framework for DNA
identification in mass fatality incidents.
PMID- 21897190
TI - Deaths due to jambia-inflicted lesions in a domestic environment.
AB - Domestic violence is a significant health problem affecting women all around the
world by causing a wide range of physical and psychological traumas. Yemen has a
very high percentage of women experiencing intimate partner violence (up to 50%)
and a high mortality rate. In this article, we are presenting 3 particular cases
of domestic violence deaths in which the weapon used was a jambia (jambia,
jambiah, jambiya, djambia), a special dagger specific to Yemen and the
surrounding areas. We are also summarizing the main characteristics of jambia
inflicted lesions and their thanatology.
PMID- 21897191
TI - An unusual suicide case by combination of choking and hanging.
AB - A 40-year-old man was found dead in his prison cell, hanging on a twisted bandage
tightened around his neck. His permanent metal tracheostomy tube was completely
corked with a piece of paper wrapped with transparent cellophane from a cigarette
case. After police investigation and complete autopsy, suicide was determined as
the manner of death. Although suicides by 1 form of asphyxia are relatively
common, combination of several different forms of asphyxia is far less frequent.
We present this unusual and very rare case of suicide by combination of 2 forms
of asphyxia, that is, choking and hanging, along with autopsy findings and
discussion.
PMID- 21897192
TI - Regarding state of the art in forensic investigation of sudden cardiac death by
Oliva et al: a suggestion for a method of opening the heart to enable
preservation of a Chiari web.
PMID- 21897193
TI - Evaluation of histologic changes of the skin in postmortem period.
AB - Determination of the time of death is an important consideration in forensic
practice. Many methods have been attempted to accurately and systematically
determine the postmortem interval (PMI). Histologic examination of the skin or
appendages is one of the methods tried by few researchers. However, no attempt
had been made to analyze the histologic changes in the skin and appendages
simultaneously and to compare them with PMI. We sequentially studied the
histologic changes of the skin and appendages in the early PMI. The results of
the present study show that the skin undergoes progressive morphological changes
in the postmortem period. The epidermis and the dermis appeared normal for 6
hours after death, and after this period, degenerative changes began. By 6 to 9
hours after death, degeneration began in the dermis, and by the end of 18 hours,
the dermis began to disintegrate. The sweat glands appeared normal for
approximately 3 to 4 hours. For 18 hours after death, the sebaceous glands and
hair follicles appeared normal, and after this period, degeneration began.
PMID- 21897194
TI - Bladder rupture after intentional medication overdose.
AB - We report the case of a 51-year-old woman who had a medical history of diabetes,
depression with past suicide attempts, and suicidal ideation. She was found
unresponsive in a motel with multiple bottles of medicines (melatonin,
carisoprodol, ativan, and clonazepam) and an unopened bottle of wine. She was
transported to the local hospital and treated for benzodiazepine toxicity and
aspiration pneumonitis.The decedent gradually became more alert and was extubated
3 days after hospital admission. The decedent was reportedly getting up to use
the restroom when she became tachypneic and diaphoretic and complained of
generalized body pain. Her condition quickly declined, and she was pronounced
deceased. A postmortem examination revealed an acute bladder rupture and soft
tissue hemorrhage.A review of the literature reveals that isolated bladder
rupture after minimal or no trauma in association with alcohol or drug ingestion
is an infrequently reported, but recognized, injury. The diagnosis of bladder
rupture should be considered in a patient with lower abdominal pain, even without
a history of trauma. A history of voiding or bladder dysfunction should increase
the suspicion for this injury. If suspected, a retrograde cystogram should be
obtained promptly. Failure to consider and recognize this injury may lead to
significant morbidity.
PMID- 21897195
TI - Will virtual autopsy technology replace the role of forensic pathologist in the
future?
PMID- 21897196
TI - Decapitation due to car accident.
AB - The occurrence of complete decapitation as a consequence of car accident is an
extremely rare event. This fatality is generally seen in pedestrians run over by
trains and also in motorcyclists who impact against the tailboard of trucks.
Moreover, complete transection of pedestrians and occupants of cars has been
described in road accidents especially in case of vehicles traveling at a high
speed. We present a case of decapitation with complete degloving injury of the
neck in a patient involved in a traffic accident, and we briefly discuss the
possible mechanisms producing this injury.
PMID- 21897197
TI - A proposal to minimize work area contamination during induction.
PMID- 21897198
TI - Comment on "A rising ioPTH level immediately after parathyroid resection: are
additional hyperfunctioning glands always present? An application of the
Wisconsin Criteria".
PMID- 21897199
TI - Preoperative hypoalbuminemia is an independent risk factor for the development of
surgical site infection following gastrointestinal surgery.
PMID- 21897200
TI - Predicting risk for serious complications with bariatric surgery: results from
the Michigan Bariatric Surgery Collaborative.
AB - OBJECTIVES: To develop a risk prediction model for serious complications after
bariatric surgery. BACKGROUND: Despite evidence for improved safety with
bariatric surgery, serious complications remain a concern for patients, providers
and payers. There is little population-level data on which risk factors can be
used to identify patients at high risk for major morbidity. METHODS: The Michigan
Bariatric Surgery Collaborative is a statewide consortium of hospitals and
surgeons, which maintains an externally-audited prospective clinical registry. We
analyzed data from 25,469 patients undergoing bariatric surgery between June 2006
and December 2010. Significant risk factors on univariable analysis were entered
into a multivariable logistic regression model to identify factors associated
with serious complications (life threatening and/or associated with lasting
disability) within 30 days of surgery. Bootstrap resampling was performed to
obtain bias-corrected confidence intervals and c-statistic. RESULTS: Overall, 644
patients (2.5%) experienced a serious complication. Significant risk factors (P <
0.05) included: prior VTE (odds ratio [OR] 1.90, confidence interval [CI] 1.41
2.54); mobility limitations (OR 1.61, CI 1.23-2.13); coronary artery disease (OR
1.53, CI 1.17-2.02); age over 50 (OR 1.38, CI 1.18-1.61); pulmonary disease (OR
1.37, CI 1.15-1.64); male gender (OR 1.26, CI 1.06-1.50); smoking history (OR
1.20, CI 1.02-1.40); and procedure type (reference lap band): duodenal switch (OR
9.68, CI 6.05-15.49); laparoscopic gastric bypass (OR 3.58, CI 2.79-4.64); open
gastric bypass (OR 3.51, CI 2.38-5.22); sleeve gastrectomy (OR 2.46, CI 1.73
3.50). The c-statistic was 0.68 (bias-corrected to 0.66) and the model was well
calibrated across deciles of predicted risk. CONCLUSIONS: We have developed and
validated a population-based risk scoring system for serious complications after
bariatric surgery. We expect that this scoring system will improve the process of
informed consent, facilitate the selection of procedures for high-risk patients,
and allow for better risk stratification across studies of bariatric surgery.
PMID- 21897201
TI - The effect of tricyclic antidepressants on cutaneous melanoma cell lines and
primary cell cultures.
AB - The tricyclic antidepressants have previously been shown to exert activity
against glioma cells in vitro. Initial studies in cell lines suggested that this
might extend to melanoma cells. We have therefore conducted a study in primary
cell cultures from metastatic cutaneous melanoma deposits using a well
established ATP-based tumour chemosensitivity assay to confirm and extend these
findings. Two cell lines and eight primary cell cultures from metastatic melanoma
deposits were exposed to three tricyclic drugs, amitriptyline, nortriptyline and
clomipramine, at concentrations ranging from 200 to 6.25 umol/l in the ATP-based
tumour chemosensitivity assay. All three drugs showed activity, although
nortriptyline was more active than clomipramine or amitriptyline in both cell
lines and primary cell cultures, with an IC50 of 9, 27 and 33 umol/l,
respectively. Tricyclic agents show activity against melanoma in vitro. This
could be related to the lysosomal effects based on their cationic amphiphilic
properties, or effects at the mitochondrial membrane.
PMID- 21897202
TI - Gypenosides improve cognitive impairment induced by chronic cerebral
hypoperfusion in rats by suppressing oxidative stress and astrocytic activation.
AB - Gypenosides (GP), the saponin extract derived from the Gynostemma pentaphyllum
Makino, a widely reputed medicinal plant in China, has been reported to have some
neuroprotective effects. We used a rat model of chronic cerebral hypoperfusion to
investigate the protective effects of GP on the cortex and hippocampal CA1 region
and the underlying mechanisms for its inhibition of cognitive decline. Daily
doses of 100 and 200 mg/kg GP were orally administered to adult male Sprague
Dawley rats for 61 days after inducing cerebral hypoperfusion experimentally, and
spatial learning and memory were assessed using the Morris water maze.
Antioxidative capability was measured biochemically. The levels of lipid
peroxidation and oxidative DNA damage were assessed by immunohistochemical
staining for 4-hydroxynonenal and 8-hydroxy-2'-deoxyguanosine, respectively.
Activated astrocytes were assessed by immunohistochemical staining and western
blotting with GFAP antibodies. Rats receiving 200 mg/kg GP had better spatial
learning and memory than saline-treated rats. GP 200 mg/kg/day were found to
markedly enhance antioxidant abilities, decrease lipid peroxide products and
oxidative DNA damage, and reduce the activation of inflammatory astrocytes.
However, GP 100 mg/kg had no significant effects. GP may have therapeutic
potential for the treatment of dementia induced by chronic cerebral hypoperfusion
and further evaluation is warranted.
PMID- 21897203
TI - Behavioral satiety sequence in a genetic mouse model of obesity: effects of
ghrelin receptor ligands.
AB - Behavioral satiety sequence (BSS) is a useful paradigm to assess the effects of
orexigenic and anorexigenic profiles of novel pharmacological and genetic
manipulations in rodents. To date, no studies have described the satiety profile
of leptin-deficient ob/ob mice, an important animal model of obesity in this
task. Furthermore, no studies have described changes in the BSS after treatment
with ghrelin receptor ligands, which have become an attractive therapeutic target
in obesity drug discovery efforts. BSS testing was carried out in ob/ob mice and
their lean controls. After baseline analysis, effects of ghrelin (2 nmol/10 g)
and of the ghrelin receptor antagonist (D-Lys)-GHRP-6 (66.6 and 133.3 nmol/10 g)
were studied in BSS in mice of both genotypes. The baseline BSS profile of ob/ob
mice showed an increased eating and a decreased resting activity. Ob/ob mice
presented with a decreased sensitivity to the stimulation with ghrelin and with
the ghrelin receptor antagonist, which caused strong anorexic and adverse side
effects in lean mice, thereby disrupting the BSS profile. BSS is an indispensable
tool for parsing the role of the ghrelinergic system in satiety, to characterize
transgenic mice and to elicit behavioral feeding profiles of novel anorectic
agents.
PMID- 21897204
TI - Cue effects on methylphenidate self-administration in rats.
AB - Associations between drugs and the stimuli paired with drugs have been proposed
as primary factors in drug addiction and relapse. Previous research has found
cues paired with drug infusions are important for many classes of drugs. The
purpose of the present experiment was to determine if a cue light was necessary
to engender reliable self-administration of methylphenidate (MPH), which is a
widely prescribed drug for attention deficit hyperactivity disorder. Rats were
given access to MPH (0.3 mg/kg/infusion) or saline for self-administration. Half
of the rats in each group had infusions paired with a cue light, whereas the
other half did not. Two additional groups of rats received MPH infusions
noncontingently; one group's lever pressing turned on the cue light, and the
other group's lever pressing had no consequence. Both MPH and the cue functioned
as weak reinforcers on their own. The group that lever pressed for MPH paired
with a cue light pressed significantly more for MPH than any other group,
indicating that the cue and MPH had a synergistic effect on self-administration
when combined. Taken together, these results indicate that MPH has reinforcing
properties on its own, but that environmental cues also play an important role in
enhancing MPH self-administration.
PMID- 21897206
TI - Low thrombogenicity of calcified atherosclerotic plaques is associated with bone
morphogenetic protein-2-dependent inhibition of tissue factor expression.
AB - Morphology of atherosclerotic plaque is a major determinant of plaque
thrombogenicity. Calcified atherosclerotic lesions are less prone to thrombosis
and contain less tissue factor (TF) than lipid-rich plaques. Although bone
morphogenetic protein (BMP)-2 is a known mediator of vascular calcification, the
role of BMP-2 in the regulation of plaque thrombogenicity has not been
established. We hypothesized that the expression of BMP-2 within highly calcified
atherosclerotic plaques inhibits TF expression and reduces thrombogenicity of
calcified lesions. In the present study, we measured levels of TF and BMP-2 in
human calcified and lipid-rich carotid plaques and studied the effects of BMP-2
on TF expression in human monocytes in vitro. Quantitative immunohistochemical
analysis of endarterectomy specimens for TF and BMP-2 revealed that calcified
plaques contained nearly three-times less TF antigen than lipid-rich ones. In
contrast, calcified plaques expressed two-times more BMP-2 antigen than lipid
rich lesions. BMP-2 markedly decreased protein expression and surface
redistribution of TF in activated human monocytes in vitro. BMP-2-mediated
inhibition of TF expression in monocytes/macrophages could contribute to reduced
thrombogenicity of calcified atherosclerotic plaques.
PMID- 21897205
TI - Importance of associative learning processes for one-trial behavioral
sensitization of preweanling rats.
AB - During adulthood, associative learning is necessary for the expression of one
trial behavioral sensitization; however, it is uncertain whether the same
associative processes are operative during the preweanling period. Two strategies
were used to assess the importance of associative learning for one-trial
behavioral sensitization of preweanling rats. In the initial experiments, we
varied both the sequence and time interval between presentation of the
conditioned stimulus (CS, novel environment) and unconditioned stimulus (US,
cocaine). In the final experiment, we determined whether electroconvulsive shock
induced retrograde amnesia would disrupt one-trial behavioral sensitization.
Results showed that robust-sensitized responding was apparent regardless of the
sequence in which cocaine and the novel environment (the presumptive CS) were
presented. Varying the time between CS and US presentation (0, 3, or 6 h) was
also without effect. Results from experiment 3 showed that single or multiple
electroconvulsive shock treatments did not alter the expression of the sensitized
response. Therefore, these data indicated that one-trial behavioral sensitization
of preweanling rats was exclusively mediated by nonassociative mechanisms and
that associative processes did not modulate sensitized responding. These findings
are in contrast to what is observed during adulthood, as adult rats exhibit one
trial behavioral sensitization only when associative processes are operative.
PMID- 21897207
TI - Diagnostic performance of quantitative fecal immunochemical test and multivariate
prediction model for colorectal neoplasms in asymptomatic individuals.
AB - OBJECTIVE: Quantitative fecal immunochemical test (QTFIT) has the advantage of
being able to describe test characteristics on a scaled rather than binary
system. The aims of this study were to decide the optimal cut-off points of QTFIT
and to make a multivariate prediction model for colorectal neoplasms in
asymptomatic adults. MATERIALS AND METHODS: We retrospectively analyzed 1085
consecutive asymptomatic individuals who completed both full colonoscopy and
QTFIT at a general health checkup clinic. Advanced adenomatous polyps (AP) were
defined as APs of at least 1 cm in diameter; adenomas with villous component or
high-grade dysplasia; and significant neoplasia (SN) including both advanced AP
and colorectal cancer. RESULTS: The ideal cut-off value of QTFIT was chosen based
on a value that maximized the sum of both sensitivity and specificity, and
clinical utility. For AP, 25 ng/ml was chosen as the optimal cut-off value and
provided a sensitivity of 31% [95% confidence interval (CI): 27-36] and
specificity of 79% (95% CI: 76-82). For SN, the ideal QTFIT cut-off value was 25
ng/ml, providing a sensitivity of 51% (95% CI: 39-62) and specificity of 77% (95%
CI: 74-80). For colorectal cancer, the optimal cut-off point was 50 ng/ml,
offering a sensitivity of 75% (95% CI: 41-93) and specificity of 86% (95% CI: 85
86). The multivariate prediction model was represented by nomogram and was
validated by bootstrap method. CONCLUSION: The diagnostic performance of QTFIT
for CRC is promising, although its sensitivity for AP and SN is unsatisfactory.
BMI, in addition to age and sex improves the accuracy of SN screening by QTFIT.
PMID- 21897208
TI - Can blood pressure measurements taken in the physician's office avoid the 'white
coat' bias?
AB - OBJECTIVE: Obtaining an accurate blood pressure (BP) reading is vital for
diagnosing hypertension. However, BP measures taken in the physician's clinic
(CBP) are subject to the 'white coat' bias. Measurements taken outside the office
using ambulatory (ABP) and home (HBP) monitoring are superior predictors of
cardiovascular diseases compared with CBP, but ABP remains underutilized because
of the effort and expense involved. Unfortunately, HBP has limitations, including
questionable device validity and patient compliance. Thus, it is important to
identify feasible alternative techniques to measure BP in the office that will
increase the accuracy of the diagnosis. METHODS: Auscultatory BP was measured in
249 patients in a nonclinical setting by trained technicians (NCBP); on the
following day, patients were taken to their physician (CBP). They were also given
an HBP monitor, and a 36 h ABP monitoring. Because ABP is considered the gold
standard for prediction of cardiovascular disease, these readings were used as
the criterion in a statistical model in which CBP, HBP, and NCBP were entered as
predictors. The level of agreement between measurements was estimated. RESULTS:
Multiple regression analysis showed that HBP and NCBP (P < 0.001) explained 94
and 87% of the variance in systolic and diastolic ABP, respectively. The
agreement between NCBP and ABP was greater than that between CBP and ABP or
between HBP. CONCLUSION: When ABP monitoring and HBP monitoring are not options,
the NCBP at the clinic can avoid the white coat bias and therefore improve
diagnosis.
PMID- 21897209
TI - What's it like when you find eating difficult: children's and parents'
experiences of food intake.
AB - BACKGROUND: Despite significant advances in supportive care, children and
families continue to face many challenges managing the consequences of cancer
therapies. The purpose of this study was to explore the eating experiences of
children, both at home and in hospital. OBJECTIVE: The objective of the study was
to explore the perceptions and experiences of children and their families
regarding food intake and discover how nutritional issues are managed by children
and families. METHODS: A stratified sample was recruited according to stage in
treatment journey, risk of developing nutritional problems, and aged 4 to 12
years undergoing chemotherapy at a cancer center in London, was recruited. This
qualitative study involved the use of 2 key visual storytelling techniques: (1)
photographs and drawings contained in a scrapbook or diary used as interview
stimuli and (2) in-depth interviews with parents. RESULTS: Our study revealed a
complex interplay between the context of care, added to an individual child's
desires and nutritional needs that are constantly changing during therapy.
Failures in the hospital system to meet the nutritional needs of children placed
extra stress on parents to provide food for their children. CONCLUSIONS: A
relaxed and creative approach to tempting and keeping children engaged with food
and eating was a focus for parents, which avoided what they described as making a
"big deal about it." Poor information meant that children and parents were not
always prepared for the adverse effects of therapies. IMPLICATIONS FOR PRACTICE:
This study contributes much to the emerging description of practice guidance and
informs strategies that can be used by children and parents.
PMID- 21897210
TI - Patient and family caregiver decision making in the context of advanced cancer.
AB - BACKGROUND: A basic tenet of palliative care is to maintain an individual's
control over the dying process. However, when decline occurs quickly, as may be
the case in advanced cancer, transition of responsibility for illness management
to a family caregiver may become necessary when care takes place in the home.
OBJECTIVE: The aim of this study was to understand the decision-making process
that occurs between a dying individual and his or her family caregiver. METHODS:
Participants in this grounded theory study were selected by purposive and
theoretical sampling methods. Data were collected and analyzed using a constant
comparison approach. RESULTS: The core category covering captured the inordinate
efforts taken by informal caregivers to ensure that their family member would be
able to die in the manner of his or her choosing. The basic social process,
dancing on the stairs, chronicled the families' decision-making process as they
navigated through this delicate and precarious end stage of life. CONCLUSIONS:
Dancing on the stairs required a close relationship between 2 people who were
willing to remain engaged with each other, despite the difficulties they faced.
This decision-making process may be applicable to other health care transitions
in people's lives that need to be managed with another person. IMPLICATIONS FOR
PRACTICE: Palliative care education for nurses in all care health settings may
ease transitions for end-stage patients. Health promotion initiatives designed to
educate the lay public about advance directives and end-stage illness management
in a home setting may help to prepare family caregivers for their future
responsibilities.
PMID- 21897211
TI - Breast cancer and symptom clusters during radiotherapy.
AB - BACKGROUND: Symptom clusters assessment shifts the clinical focus from a specific
symptom to the patient's experience as a whole. Few studies have examined breast
cancer symptom clusters during treatment, and fewer studies have addressed
symptom clusters during radiation therapy (RT). The theoretical underpinning of
this study is the Symptoms Experience Model. Research is needed to identify
antecedents and consequences of cancer-related symptom clusters. OBJECTIVE: The
present study was intended to determine the clustering of symptoms during RT in
women with breast cancer and significant correlations among the symptoms,
individual characteristics, and mood. METHODS: A secondary data analysis from a
descriptive correlational study of 93 women at weeks 3 to 7 of RT from centers in
the mid-Atlantic region of the United States, Symptom Distress Scale, the
subscales of the Positive and Negative Affect Scale, Life Orientation Test, and
Self-transcendence Scale were completed. RESULTS: Confirmatory factor analysis
revealed symptoms grouped into 3 distinct clusters: pain-insomnia-fatigue,
cognitive disturbance-outlook, and gastrointestinal. The pain-insomnia-fatigue
and cognitive disturbance-outlook clusters were associated with individual
characteristics, optimism, self-transcendence, and positive and negative mood.
The gastrointestinal cluster correlated significantly only with positive mood.
CONCLUSIONS: This study provides insight into symptoms that group together and
the relationship of symptom clusters to antecedents and mood. IMPLICATIONS FOR
PRACTICE: These findings underscore the need to define and standardize the
measurement of symptom clusters and understand variability in concurrent
symptoms. Attention to symptom clusters shifts the clinical focus from a specific
symptom to the patient's experience as a whole and helps identify the most
effective interventions.
PMID- 21897212
TI - Participant perceptions of a mindful movement program for older women with breast
cancer: focus group results.
AB - BACKGROUND: Little attention has been directed to the longer-term survivorship
phase for older breast cancer survivors (BCSs) who often continue to struggle
with late and long-term adverse effects of treatment including lower physical
functioning, fear of recurrence, stress and anxiety, neuropathies, and pain.
Creative and accessible strategies are needed that offer support to this
population of cancer survivors. OBJECTIVE: The purpose of this study was to
examine participant perceptions of the effects of a Mindful Movement Program
intervention on quality of life and mindfulness through focus groups. This was
part of a pilot feasibility study testing the intervention with older women at
more than 1 year after treatment for breast cancer. METHODS: Eight to 9 weeks
after completion of 12 weekly, 2-hour mindful movement sessions, focus groups
were held with 3 experimental group cohorts of participants who had attended on
average 10.4 classes. Focus group interviews were recorded, transcribed verbatim,
and analyzed using qualitative techniques for recurrent themes. RESULTS: Four
themes emerged from the direct quotes of the participants: freedom,
rediscovering, body sense in moving, and in the moment. Participants also
contributed opinions about program delivery. CONCLUSIONS: Participants described
how the Mindful Movement Program experience affected their lives. Their feedback
indicated that the intervention yielded positive results and was feasible for a
variety of older BCSs. IMPLICATIONS FOR PRACTICE: Research with a wider group of
participants is needed. Preliminary indications are that mindful movement may
offer an acceptable strategy for increasing activity and decreasing stress among
older BCSs.
PMID- 21897213
TI - Simvastatin displays an antioxidative effect by inhibiting an increase in the
serum 8-isoprostane level in patients with acute ischemic stroke: brief report.
AB - OBJECTIVES: Oxidative stress plays an important role in ischemic stroke
pathophysiology. Some drugs are known to have a substantial influence on
oxidative stress. In this study, we examined the antioxidant effect of
simvastatin through its influence on patients' serum 8-isoprostane levels.
METHODS: We measured serum 8-isoprostane levels in 67 patients with acute
ischemic stroke treated and not treated with simvastatin within 5 days after
stroke onset, in comparison with 20 normal controls. RESULTS: Stroke patients
from both groups had significantly higher initial serum 8-isoprostane levels than
the controls. The median value of serum 8-isoprostane level was significantly
lower in the simvastatin-treated group after 5 days of treatment. CONCLUSIONS:
The results confirm the contribution of oxidative stress to brain ischemia and
suggest antioxidative properties of statins in the acute phase of ischemic stroke
patients.
PMID- 21897214
TI - Ambulatory anesthesia aspects for tonsillectomy and abrasion in children.
AB - PURPOSE OF REVIEW: Tonsillectomy is a very common procedure, but with risks or
challenges, both for the surgeon and anesthesiologist. Many places have
considerable experience and expertise with this procedure, and a lot of clinical
studies are continuously being presented. RECENT FINDINGS: Most preoperative
aspects are covered, including indications, preoperative risk assessment,
premedication, anesthetic induction and maintenance, as well as recovery function
and side-effects; such as bleeding, agitation, pain, nausea and sleep apnea.
Controversies exist as to ambulatory versus in-patient care, laryngeal mask
airway versus endotracheal intubation, use of local anesthetic infiltration and
use of glucocorticoids. SUMMARY: Preoperative evaluation should identify
increased bleeding risk, potential airway problems, ongoing infection and
symptoms of obstructive sleep apnea.Intravenous propofol is most often used for
anesthetic induction, although inhalational sevoflurane is a valid alternative.
Laryngeal mask airway or endotracheal tube may both be used safely and
effectively; the choice will depend upon the routine and experience of the team.
Paracetamol and NSAIDs are useful baseline medication for nonopioid multimodal
postoperative pain treatment and prophylaxis. Similar with local anesthesia
infiltration and dexamethasone medication, although somewhat more disputed.
Dexamethasone is also useful for nausea/vomiting prophylaxis, together with
ondansetron and also propofol for anesthesia maintenance.
PMID- 21897215
TI - Ultrasound brachial plexus anesthesia and analgesia for upper extremity surgery:
essentials of our current understanding, 2011.
AB - PURPOSE OF REVIEW: Ultrasound-guidance is gaining tremendous popularity. There is
growing evidence of value with emphasis on clinical relevance, but can ultrasound
guidance scientifically warrant changing the practice of upper extremity
regional? The literature is searched to describe findings where ultrasound may
reduce complication rates, reduce block performance times, and improve block
efficacy and quality. RECENT FINDINGS: Ultrasound examination identified
variations in anatomical positioning of C5-C7 roots in approximately half of all
patients despite no deleterious effects on block efficacy. Anesthetic volumes in
brachial plexus blockade may be reduced without compromise of effectiveness.
However, even with reduced volumes injected into the interscalene space,
respiratory compromise from effect(s) on the phrenic nerve may result in hemi
diaphragmatic paresis. Ultrasound-guidance may reduce discomfort during axillary
block placement compared with neurostimulation or parasthesia. Nerve catheters
may be highly effective and provide prolonged analgesia compared with single-shot
injections. Infraclavicular catheters result in improved analgesia compared with
supraclavicular catheters and multiple injections of local provide no advantage
over single-shot infraclavicular blockade. Dexamethasone combined with local may
extend analgesia following a single-injection interscalene or supraclavicular
block. During interscalene blockade, intraepineurial injections may occur, but
incidence of nerve injury remains low. Therefore, debate continues about
intraepineurial injections. SUMMARY: Intraepineurial injection requires
additional investigation. Conclusions have suggested reducing typical volumes (40
ml) of local with ultrasound-directed upper extremity blockade. Increased use of
perineural catheters is being advocated for prolonged analgesia, but risk-to
benefit consequences need to always be considered.
PMID- 21897216
TI - Lessons learned from the SYNTAX trial for multivessel and left main stem coronary
artery disease.
AB - PURPOSE OF REVIEW: The SYNergy between percutaneous coronary intervention with
TAXus and cardiac surgery (SYNTAX) trial is the most important trial of surgery
and stents in patients with severe coronary artery disease (CAD) and reflects
real clinical practice. This review describes its key findings at 3 years with
particular reference to what is already known on this topic. RECENT FINDINGS:
Taking together the SYNTAX randomized trial and its registry component, almost
79% of patients with three-vessel CAD and almost two-thirds of patients with left
main stem (LMS) disease have a survival benefit and marked reduction in the need
for repeat revascularization with coronary artery bypass grafting (CABG) in
comparison to stents, implying that CABG is still the treatment of choice for
most of these patients. This conclusion, at odds with results of previous trials
of stenting and surgery but consistent with findings of large propensity-matched
registries, can be explained by the fact that SYNTAX enrolled 'real life'
patients rather than the highly select patients in previous trials. SYNTAX also
shows that for patients with less severe CAD there is no difference in survival
between CABG and stents but a lower incidence of repeat revascularization with
CABG. SUMMARY: The results of the SYNTAX trial confirm that at 3 years CABG
remains the treatment of choice for most patients with three-vessel and LMS
disease and especially in those with the most severe disease. SYNTAX will have a
profound effect on practice recommendations for the foreseeable future and
already has had a major effect on the new European Society for
Cardiology/European Association for Cardiothoracic Surgery guidelines for
myocardial revascularization.
PMID- 21897217
TI - Guided transfer of critically ill patients: where patients are transferred can
be an informed choice.
AB - PURPOSE OF REVIEW: Given increasingly scarce healthcare resources and highly
differentiated hospitals, with growing demand for critical care, interhospital
transfer is an essential part of the care of many patients. The purpose of this
review is to examine the extent to which hospital quality is considered when
transferring critically ill patients, and to examine the potential benefits to
patients of a strategy that incorporates objective quality data into referral
patterns. RECENT FINDINGS: Interhospital transfer of critically ill patients is
now common and safe. Although extensive research has focused on which patients
should be transferred and when they should be transferred, recent study has
focused on where patients should be transferred. Yet, the choice of destination
hospital is rarely recognized as a therapeutic choice with implications for
patient outcomes. The recent public release of high-quality, risk-adjusted and
reliability-adjusted outcome data for most hospitals now offers physicians an
informed basis on which to choose to which destination hospital a patient should
be transferred. A strategy of 'guided transfer' that integrates public quality
information into critical care transfer decisions is now feasible. SUMMARY:
Although hospitals often transfer patients, there may be substantial room for
improvement in transfer patterns. Guiding transfers on the basis of objective
quality information may offer substantial benefits to patients, and could be
incorporated into quality improvement initiatives.
PMID- 21897218
TI - Mechanical assistance of the circulation during cardiogenic shock.
AB - PURPOSE OF REVIEW: Cardiogenic shock still has a grave prognosis. We present the
recent advances in mechanical circulatory support (MCS) for the treatment of
refractory cardiogenic shock. RECENT FINDINGS: The contraindications for short
term MCS in rapid-onset cardiogenic shock are becoming fewer and the threshold
for its application has been progressively lowered. Short-term MCS is
increasingly used in refractory cardiac arrest and will be probably integrated as
the last means in the advanced cardiopulmonary resuscitation algorithm (provided
there is experienced team and technical support). Improved device technology has
contributed to improved results of long-term MCS. Emergent application of long
term MCS in patients with critical cardiogenic shock after a long history of
progressively deteriorating end-stage chronic heart failure should be interpreted
as delayed application associated with increased mortality. SUMMARY: Although MCS
can be life saving in cardiogenic shock, the results are still suboptimal.
Mortality is associated with the critical presupport state and the adverse events
during MCS. Early initiation of support that meets the patient's requirements,
potent support in the early phase, adverse event prevention, global combined
management (surgical, interventional, medical), balanced support duration,
bridging to further therapeutic modalities including heart transplantation or
longer-term support, and advanced technology could offer improved results.
PMID- 21897219
TI - Recent insights into racial differences in bone and mineral metabolism.
AB - PURPOSE OF REVIEW: This study reviews recent insights into racial differences in
bone from 2010 to 2011. RECENT FINDINGS: Recent studies have focused on expanding
our current understanding of responsible mechanisms for racial differences in
osteoporotic fracture risk. Using newer, three-dimensional imaging techniques,
these studies demonstrated that racial differences in bone mass and structure are
apparent early in adolescence, even when accounting for differences in bone size
and muscle mass by race. In addition, recent studies using genetic admixture
analysis showed that greater percentage of African admixture was independently
associated with higher bone mass and more favorable parameters of bone strength
in children and adults. Furthermore, recent studies showed that the relationships
between 25-hydoxyvitamin D and bone outcomes differed by race, with lower 25
hydroxyvitamin D levels being associated with lower bone quality and higher
fracture risk in whites but not blacks. SUMMARY: Racial differences in bone mass
and strength are apparent early in life, are independently associated with
genetic ancestry, and may be partly explained by differences in the relationships
between vitamin D and bone metabolism. Further studies are needed to explore
these findings, with the ultimate goal of better defining molecular and cellular
mechanisms underlying racial differences in bone quality.
PMID- 21897220
TI - Bone health in anorexia nervosa.
AB - PURPOSE OF REVIEW: Anorexia nervosa is associated with low bone mineral density
(BMD), concerning for an increased risk of fractures, and decreased bone accrual
in adolescents, concerning for suboptimal peak bone mass. This review discusses
causes of impaired bone health in anorexia nervosa and potential therapeutic
strategies. RECENT FINDINGS: Low BMD in anorexia nervosa is consequent to
decreased lean mass, hypogonadism, low insulin-like growth factor-1 (IGF-1),
relative hypercortisolemia and alterations in hormones impacted by energy
availability. Weight gain causes some improvement in bone accrual, but not to the
extent observed in controls, and vitamin D supplementation does not increase BMD.
Oral estrogen is not effective in increasing BMD, likely from IGF-1 suppressive
effects. In contrast, transdermal estrogen replacement is effective in increasing
bone accrual in adolescents with anorexia nervosa, although not to the extent
seen in controls. Recombinant human IGF-1 increases bone formation in
adolescents, and with oral estrogen increases BMD in adults with anorexia
nervosa. Bisphosphonates increase BMD in adults, but not in adolescents, and
should be used cautiously given their long half-life. SUMMARY: Further
investigation is necessary to explore therapies for low BMD in anorexia nervosa.
Weight gain is to be encouraged. Transdermal estrogen in adolescents, and
bisphosphonates in adults, have a potential therapeutic role.
PMID- 21897221
TI - Mechanisms and treatment of hypercalcemia of malignancy.
AB - PURPOSE OF REVIEW: Hypercalcemia of malignancy is a common paraneoplastic
syndrome and a frequent complication of advanced breast and lung cancer, and
multiple myeloma. The development of this malignancy complication often purports
a poor prognosis. Thorough evaluation to establish the cause of hypercalcemia is
essential because some patients may actually have undiagnosed primary
hyperparathyroidism. RECENT FINDINGS: Production of humoral factors by the
primary tumor, collectively known as humoral hypercalcemia of malignancy (HHM),
is the mechanism responsible for 80% of cases. The vast majority of HHM is caused
by tumor-produced parathyroid hormone-related protein followed by infrequent
tumor production of 1,25-dihydroxyvitamin D and parathyroid hormone. The
remaining 20% of cases are caused by bone metastasis with consequent bone
osteolysis and release of skeletal calcium. Key therapies are saline hydration to
promote calciuresis and bisphosphonates to reduce pathologic osteoclastic bone
resorption. Calcitonin and glucocorticoids, especially in 1,25-dihydroxyvitamin D
mediated HHM, also have calcium-lowering effects. SUMMARY: Recent discoveries on
mechanisms of malignancy-associated hypercalcemia highlight the critical role of
the osteoclast. Bisphosphonates and other novel therapies being evaluated in
clinical trial target this bone-resorbing cell type and provide effective and
durable serum calcium reduction.
PMID- 21897222
TI - Role of wingless tail signaling pathway in osteoporosis: an update of current
knowledge.
AB - PURPOSE OF REVIEW: Wingless tail (Wnt) pathway is crucial for osteoblast
activation and action. This review summarizes the evidence published during the
previous year on the emerging role of Wnt signaling alterations in the
pathogenesis, diagnosis, and potential therapeutic approaches of osteoporosis.
RECENT FINDINGS: New insights into the mechanisms regulating Wnt/beta-catenin
canonical pathway, including the role of Kremen-2 receptor, lamin A/C protein,
periostin, and pleiotropin in bone physiology, the crosstalk between the RUNX-2
transcription-factor cascade and the Wnt pathway, and the concept that individual
Wnt ligands may have a unique and distinct mission in bone milieu, are presented.
Nutritional habits may affect Wnt signaling in bone. Serum sclerostin and
dickkopf-1 levels may serve as markers of bone metabolism and disease, although
further standardization methods are required. Finally, the effect of current
antiosteoporotic treatments on Wnt signaling is discussed, as well as the
therapeutic potential of drugs targeting either Wnt signaling amplification or
Wnt antagonists' attenuation. SUMMARY: Although Wnt pathway is currently a field
of thorough investigation, it is still far from been fully elucidated.
Understanding its complex pathophysiology has evoked promising therapeutic
approaches for osteoporosis. However, given that Wnt signaling is crucial for
many tissues, emerging knowledge should be cautiously translated in therapeutics.
PMID- 21897223
TI - Gastric secretion.
AB - PURPOSE OF REVIEW: The review summarizes the past year's literature regarding the
regulation of gastric exocrine and endocrine secretion, both basic science and
clinical. RECENT FINDINGS: Gastric acid secretion is an elaborate and dynamic
process that is regulated by neural (efferent and afferent), hormonal (e.g.
gastrin), and paracrine (e.g. histamine, ghrelin, somatostatin) pathways as well
as mechanical (e.g. distension) and chemical (e.g. amino acids) stimuli.
Secretion of hydrochloric acid (HCl) by parietal cells involves translocation of
HK-ATPase-containing cytoplasmic tubulovesicles to the apical membrane with
subsequent electroneutral transport of hydronium ions in exchange for potassium.
The main apical potassium channel is KCNQ1 which, when activated, assembles with
its beta-subunit KCNE2 to function as a constitutively open, voltage-insensitive,
and acid-resistant luminal potassium channel. Proton pump inhibitors block acid
secretion by covalently binding to cysteine residues accessible from the luminal
surface of the HK-ATPase. Potassium-competitive ATPase blockers (P-CABs) act by
competing for K on the luminal surface of HK-ATPase. As they are acid-stable and
do not require acid-dependent activation, P-CABs hold promise for rapid and
prolonged inhibition of acid secretion. SUMMARY: We continue to make progress in
our understanding of the physiologic regulation of gastric acid secretion. A
better understanding of the pathways and mechanisms regulating acid secretion
should lead to improved management of patients with acid-induced disorders.
PMID- 21897224
TI - Probiotics and immune health.
AB - PURPOSE OF REVIEW: The beneficial effects of probiotics have been demonstrated in
many diseases. One of the major mechanisms of probiotic action is through the
regulation of host immune response. This review highlights the recent scientific
research findings that advance our understanding of probiotic regulation of the
host immune response with potential application for disease prevention and
treatment. RECENT FINDINGS: Probiotic genomic and proteomic studies have
identified several genes and specific compounds derived from probiotics, which
mediate immunoregulatory effects. Studies regarding the biological consequences
of probiotics in host immunity suggested that they regulate the functions of
systemic and mucosal immune cells and intestinal epithelial cells. Thus,
probiotics showed therapeutic potential for diseases, including several immune
response-related diseases, such as allergy, eczema, viral infection, and
potentiating vaccination responses. SUMMARY: Probiotics may provide novel
approaches for both disease prevention and treatment. However, the results of
clinical studies regarding probiotic application are preliminary and require
further confirmation.
PMID- 21897225
TI - Gastrointestinal defense mechanisms.
AB - PURPOSE OF REVIEW: We have highlighted the recent findings relating to
gastroduodenal mucosal defense, including elements that may contribute to the
failure of defense systems and factors that enhance mucosal healing, focusing on
findings that elucidate new pathophysiological mechanisms. RECENT FINDINGS:
Bicarbonate secretion is mediated by multiple types of prostaglandin E synthases,
including membrane-bound prostaglandin E synthase-1. Mucins, growth factors, and
trefoil factors are involved in accelerating gastric injury healing through
epithelial reconstruction. A combination of NSAIDs and bile induce greater damage
on the mucosa than if the two agents were acting alone. Proton pump inhibitors
defend the mucosa from injury by promoting cellular restitution as well as
inhibiting gastric acid secretion and reactive oxygen species (ROS) damage.
Roxatidine, a novel H2 receptor antagonist, acts through a mechanism that
involves nitric oxide. Melatonin enhances angiogenesis through the upregulation
of plasma levels of gastrin and matrix metalloproteinase expression. The mucosal
protective drug polaprezinc exhibits ROS-quenching activities.
Lipopolysaccharides induce oxidative stress mediated by p38 mitogen-activated
protein kinase (p38 MAPK). Aging weakens gastroduodenal mucosal defense
mechanisms. SUMMARY: There is a wide array of pathways leading to gastroduodenal
mucosal injury in addition to protective defense mechanisms that counteract them
to maintain homeostasis. Increased understanding of these systems may help
identify novel molecular targets for the prevention and treatment of mucosal
injury.
PMID- 21897227
TI - Prevention and treatment of acute myeloid leukemia relapse after allogeneic stem
cell transplantation.
AB - PURPOSE OF REVIEW: Relapse remains a major cause of treatment failure for acute
myeloid leukemia (AML) patients treated with allogeneic hematopoietic stem cell
transplantation (allo-HCT). Most patients that recur will perish due to low
treatment efficacy, toxicity, or frailty issues. This review summarizes recent
developments in clinical research and therapeutic applications for prevention and
treatment of this complication of transplantation. RECENT FINDINGS: Several
groups have demonstrated that monitoring minimal residual disease (MRD) after
allo-HCT is feasible and is predictive of impending hematologic recurrence. The
introduction of novel antileukemia agents in the preparative regimen, maintenance
of remission treatment posttransplant, and early MRD-based therapeutic
interventions all have the potential to improve outcomes. SUMMARY: Innovative
basic and clinical investigation is urgently needed to improve treatment and
prevention of AML recurrence after allogeneic transplantation.
PMID- 21897226
TI - Update on clinical and immunological features of eosinophilic gastrointestinal
diseases.
AB - PURPOSE OF REVIEW: Eosinophilic gastrointestinal diseases (EGIDs) are an
increasingly common heterogeneous group of intestinal diseases. The purpose of
this review is to present the latest developments in the care of patients with
EGIDs and to summarize a growing literature defining the clinical features and
mechanistic elements of eosinophils and their complex relationships with the
gastrointestinal tract. RECENT FINDINGS: Recent studies continue to define what
constitutes 'normal' and 'abnormal' numbers of eosinophils in the different
sections of the gastrointestinal tract. Symptom complexes of EGIDs appear to be
related primarily to the mucosal, as opposed to the muscular or serosal, forms of
EGIDs. Dissection of the mucosal microenvironment is uncovering a complex array
of cells, other than eosinophils, that likely contribute to the inflammatory
response associated with EGIDs. Mechanistic studies have identified genetic
perturbations (eotaxin-3, thymic stromal lymphopoietin, IL-13, and filaggrin)
that may also contribute to the development of the most often encountered and
well studied EGID, eosinophilic esophagitis. SUMMARY: Clinicians should remain
aware of EGIDs as a diagnostic possibility for patients with common
gastrointestinal symptoms. Additional research is needed to determine mechanistic
processes leading to dysfunction associated with eosinophilic gastrointestinal
inflammation.
PMID- 21897228
TI - Future of hepatitis C therapy: development of direct-acting antivirals.
AB - PURPOSE OF REVIEW: The landscape of hepatitis C virus (HCV) therapy will change
considerably over the next decade with the probable licensure of many HCV direct
acting antiviral (DAA) therapy agents. This review will outline the data on the
initial two DAA agents licensed (protease inhibitors telaprevir and boceprevir)
and cover potential future therapeutic strategies and challenges for DAA-based
therapy, including in the context of HIV/HCV coinfection. RECENT FINDINGS: Phase
III trials evaluating the addition of telaprevir or boceprevir to pegylated
interferon and ribavirin in both HCV treatment naive and experienced populations
with chronic HCV genotype 1 have demonstrated considerable improvements in
sustained virological response, with many patients able to shorten total
treatment duration from 48 to 24-36 weeks. Although these initial DAA-based
treatment results are encouraging, additional toxicity, problematic dosing
schedules, and potential drug-drug interactions pose challenges for clinical
management, particularly in HIV/HCV coinfection. Phase II trials with telaprevir
and boceprevir in HIV/HCV populations are underway. Subsequent DAA agents appear
to have improved tolerability and dosing schedules and open the door for
interferon (IFN)-free DAA-based combination therapy. SUMMARY: Development of DAA
therapy will lead to a major shift in HCV clinical management, particularly with
the potential for IFN-free combination therapy.
PMID- 21897230
TI - Statins and the risk of new-onset diabetes: a review of recent evidence.
AB - PURPOSE OF REVIEW: Statins are the most prescribed medications worldwide with a
proven ability to reduce major cardiovascular events. Recent data have revealed
that statin therapy is associated with an increased risk for developing diabetes.
We discuss the relevant data including newly published results, which demonstrate
a dose-dependent association, we place these findings in clinical context and we
consider clinical implications. RECENT FINDINGS: A recent meta-analysis of most
major placebo and standard care-controlled statin trials with more than 90,000
participants confirmed that statin therapy was associated with a 9% increased
risk of developing diabetes. Newly published data have confirmed a dose-dependent
effect, with 12% higher risk of developing diabetes on intensive-dose statin
therapy compared with moderate-dose therapy. In this meta-analysis, one
additional patient developed diabetes for every three patients protected from a
major cardiovascular event. The observation of higher diabetes risk remains
unexplained at present, although studies in animal models suggest the possibility
of impaired peripheral insulin signalling induced by statin therapy. SUMMARY: The
cardiovascular benefits of statin therapy clearly outweigh the risk of developing
diabetes. However, the data suggest the need to make patients aware of this
possible risk and to monitor patients for development of diabetes, especially on
intensive-dose therapy.
PMID- 21897229
TI - The cardioprotective effects of metformin.
AB - PURPOSE OF REVIEW: In patients with type 2 diabetes mellitus, treatment with
metformin is associated with a lower cardiovascular morbidity and mortality,
compared with alternative glucose-lowering drugs. It has been suggested that
metformin might exert direct protective effects on the heart. RECENT FINDINGS:
This review appraises recent experimental animal studies on the effect of
metformin on myocardial ischaemia-reperfusion injury and remodeling. In murine
models of myocardial infarction, the administration of metformin potently limits
infarct size. Activation of adenosine monophosphate-activated protein kinase,
increased formation of adenosine, and the prevention of opening of the
mitochondrial permeability transition pore at reperfusion all contribute to this
cardioprotective effect. In addition, metformin therapy attenuates postinfarction
cardiac remodeling. There is evidence that activation of adenosine monophosphate
activated protein kinase and endothelial nitric oxide synthase, and a reduced
collagen expression are crucial for this effect. SUMMARY: The finding that
metformin limits myocardial infarct size and remodeling in animal models of
myocardial infarction suggests that patients suffering from myocardial ischaemia
could benefit from treatment with metformin, even when these patients do not have
diabetes. Currently, several clinical trials are being performed to test this
hypothesis.
PMID- 21897231
TI - Achieving the goal: results from the Fistula First Breakthrough Initiative.
AB - PURPOSE OF REVIEW: This review summarizes the background and implementation of
the Fistula First Breakthrough Initiative (FFBI), published information about
changes in vascular access practice since its inception, and several issues
raised by the program. RECENT FINDINGS: The FFBI is a surveillance system using
the End-Stage Renal Disease (ESRD) Networks to spread improvement ideas.
Concomitant with implementation of the program, prevalent arteriovenous fistula
use has increased an average of 3.3% annually since 2003, an incremental
improvement of 1.3% over the preexisting trend. At the same time, long-term
central venous catheter (CVC) use declined among the prevalent population.
Increasingly, individual facilities are achieving the FFBI goal of 66% prevalent
arteriovenous fistula use; however, results vary across geographic regions and
are not explained by patient demographic and clinical characteristics alone.
SUMMARY: ESRD Network interventions as a function of the FFBI are associated with
increasing arteriovenous fistula use and likely contributed to improvement, but
improvement cannot be unequivocally attributed to the Networks due to lack of
rigorous research design. Questions and opportunities remain. It is not yet clear
how to identify patients who may not be appropriate candidates for an
arteriovenous fistula. The ultimate impact of the FFBI on patient mortality is
yet to be determined. The FFBI must continue to identify variations in use and
the contributing causes, and implement strategies to address these causes if the
FFBI goal is to be fully achieved.
PMID- 21897232
TI - Toxic neuropathy.
AB - PURPOSE OF REVIEW: The aim is to review the recent publications highlighting
current areas of research on the subject of toxic and drug-related neuropathies.
RECENT FINDINGS: The emphasis in chemotherapy-induced peripheral neuropathy is on
trying to elucidate underlying mechanisms using neurophysiological techniques,
such as excitability studies. These data are also being used to identify the
earliest presymptomatic changes. A large number of papers have been published on
chemoprotectants, both in animal models and patients. According to the Cochrane
criteria, none of the clinical trials have been shown to be effective.
Pharmacogenetic techniques used on tumour and host tissue are now in the
embryonic stages of trying to identify genes which may help in predicting
individuals at high risk of developing drug-induced neuropathies. A review of
alcohol-induced neuropathy provides evidence to suggest reclassification from a
nutritional to a toxic neuropathy. Nitrous oxide, which causes myeloneuropathy,
is increasingly used as a recreational drug. A red flag has been raised with the
triazole antifungal agents, itraconazole and voriconazole, causing neuropathy.
SUMMARY: The introduction of new neurophysiological techniques, such as
excitability studies and pharmacogenetics, holds promise in elucidating the
underlying mechanisms of drug-induced neuropathies. Furthermore, they will help
identify the patients at highest risk of developing drug-induced neuropathies.
The hope still remains of identifying chemoprotective agents - the results of
animal and human studies suggest there are reasons to be optimistic. Clinicians
need to aware of nitrous oxide myeloneuropathy and triazole-induced neuropathy.
PMID- 21897233
TI - Ovarian aging: latest thoughts on assessment and management.
AB - PURPOSE OF REVIEW: In the past few decades, women have been intentionally
delaying pregnancy and ovarian aging has become one of the most detrimental
factors of pregnancy achievement. This review will discuss contemporary methods
of ovarian aging assessment and present an overview of current management
strategies. RECENT FINDINGS: Antimullerian hormone (AMH) and antral follicle
count (AFC) seem to be the most reliable predictors of ovarian aging appraisal.
Nevertheless, they have not been shown to predict pregnancy achievement in
assisted reproduction. Heritability has a high impact on ovarian aging. Employing
several genetic approaches, it is now being widely investigated, but the task is
far from being accomplished. Although multivariate models have not been proven to
be superior to AFC, new data support the notion that chronological age and
genetic markers inclusion may increase their reliability. Several strategies have
been suggested to treat ovarian aging in assisted reproductive technology (ART)
settings. None of the stimulation protocol manipulations have been found to be
advantageous and individualization of treatment is still recommended. Ovarian
priming by different androgen preparations has been shown to be promising but
more randomized controlled trials are needed to support these findings. Except
for oocyte donation other ART strategies have not shown a convincing benefit for
ovarian aging. The new development of oocyte vitrification may well introduce
opportunities for fertility preservation to women at risk of ovarian aging.
SUMMARY: Proper assessment and detection of ovarian aging, employing current or
developing predictors of ovarian reserve, especially genetic tests, may enable
health providers to recommend, at appropriate biological time, early pregnancy
achievement or fertility preservation in women at risk.
PMID- 21897234
TI - Nocturia: current status and future perspectives.
AB - PURPOSE OF REVIEW: Nocturia is a bothersome and highly prevalent condition
characterized by the need to wake to void at night. Nocturia is equally common in
men and women, and although its prevalence increases with age, a significant
proportion of younger people are also affected. Nocturia leads to fragmentation
of sleep and consequently to a serious decline in daytime functioning and in
quality of life and health. Its impact should not be underestimated by clinicians
and therefore a review on nocturia is timely and relevant. RECENT FINDINGS:
Traditionally, nocturia is regarded as a symptom of benign prostatic enlargement
and/or overactive bladder syndrome, with treatment therefore directed toward
increasing the capacity of the bladder to hold urine. Such treatments have proven
ineffective in many patients because nocturnal polyuria, an overproduction of
urine at night, has been found to be present in the majority of patients.
Nocturia can be attributed to some underlying pathological factors but it can
also be a distinct clinical entity with specific pathogenesis. Frequency-volume
charts are recommended for routine use in clinical practice, to determine whether
nocturia is a result of excessive urine production at night, or of small voided
volumes due to bladder problems, or a combination of these factors. Desmopressin,
a synthetic analogue of the antidiuretic hormone, should be considered in
patients with nocturia where nocturnal polyuria is present. SUMMARY: Contrary to
popular and medical misconception nocturia is an important condition leading to
general morbidity and with serious impact on overall quality of life and health.
We advise clinicians to pay attention to nocturia and diagnostics should be
offered. Treatment modalities are available and have to be discussed with the
patient.
PMID- 21897235
TI - Chlamydia trachomatis screening in young women.
AB - PURPOSE OF REVIEW: As the number of chlamydia screening programmes implemented
worldwide increases, we summarize current understanding of the epidemiology,
natural history, and management of chlamydia, focusing on screening in young
women. RECENT FINDINGS: Chlamydia diagnoses continue to rise, with young women at
high risk. Recently published trials show that the risk of serious reproductive
health outcomes is lower than previously thought. They illustrate that
significant barriers - both practical and cultural - remain to engaging young
people and health professionals in routine testing for sexually transmitted
infections. Chlamydia control efforts have driven innovative approaches to
testing including new approaches to engaging young people in discussions of
sexual health and screening accessed via the Internet. SUMMARY: Chlamydia is
highly prevalent among young women and may cause serious reproductive sequelae.
Gaps in our knowledge of the epidemiology, natural history and immunology of this
organism continue to hamper efforts to control it. Sexual health promotion and
screening of young people remain the mainstay of population control, although
there is as yet no strong evidence of health screening benefits. Control efforts
will require new strategies to engage young people and health professionals to
normalize sexual health testing.
PMID- 21897236
TI - Genital trauma in prepubertal girls and adolescents.
AB - PURPOSE OF REVIEW: To look critically at recent research articles that pertain to
children and adolescents who present with genital injuries. RECENT FINDINGS:
Emerging evidence supports links to long-term psychological sequelae of child
sexual abuse. Parents should be educated to instruct their children regarding
types of child abuse and prevention. 'Medicalization' of female genital
mutilation (FGM) by health providers, including 'cutting or pricking', is
condemned by international organizations. SUMMARY: Genital injuries whether
accidental or intentional need to be reported with standardized terminology to
allow for comparisons between reported outcomes. Motor vehicle accidents
associated with pelvic fractures may result in bladder or urethral trauma.
Adverse long-term psychosocial behaviors may be sequelae of child sexual abuse.
FGM is willful damage to healthy organs for nontherapeutic reasons, and a form of
violence against girls and women. Healthcare providers should counsel women
suffering from the consequences of FGM, advise them to seek care, counsel them to
resist reinfibulation, and prevent this procedure from being performed on their
daughters.
PMID- 21897237
TI - A review of the use of psychotropic medication in pregnancy.
AB - PURPOSE OF REVIEW: There is increasing awareness within obstetric services of the
importance of treating maternal mental illness due to the association with
increased maternal mortality, morbidity and poorer child outcomes. However, there
is limited research on the risks and benefits of pharmacological treatment of
women in pregnancy. This review is focused on studies published in the past 18
months. RECENT FINDINGS: Antidepressants and antiepileptic mood stabilizers are
the most frequently studied of the pharmacological treatments for mental illness.
There are clear risks of neonatal serotonin discontinuation symptoms associated
with antenatal antidepressant use. It remains unclear whether there is an
elevated risk of malformations, persistent pulmonary hypertension of the newborn,
prematurity, low birth weight and negative child developmental outcomes. Mood
stabilizers have been associated with an increased malformation risk and some are
associated with poorer neonatal and child developmental outcomes. There are
available only limited studies on antipsychotics in pregnancy. SUMMARY: Given the
limited research on psychotropic medication in pregnancy, each woman, in
collaboration with her clinician, needs to consider the risks in the context of
her individual circumstances. However, any consideration of the risks of
pharmacological treatment must be considered in relation to the risks associated
with untreated mental illness.
PMID- 21897238
TI - Review of Susac syndrome.
AB - PURPOSE OF REVIEW: The quest to better understand Susac syndrome has led to
advancements in different aspects of the disease. The present article reviews the
current literature on the clinical presentation, diagnostic modalities and trends
in the management of Susac syndrome. RECENT FINDINGS: The pathogenesis of Susac
syndrome still remains unclear. Fluorescein angiography demonstrates arterial
wall hyperfluorescence of the arterioles at various locations along the course of
the vessels suggesting a primary endotheliopathy. Multifocal electroretinogram
(mfERG) provides evidence of focal retinal dysfunction related to branch retinal
artery occlusion (BRAO). Diffusion tensor imaging demonstrates widespread
disruption in the normal appearing white matter with damage to the genu of the
corpus callosum considered relatively specific for Susac syndrome. Single photon
emission computerized tomography imaging failed to identify perfusion
abnormalities with 99mTc-ethyl cysteinate dimer in a patient with Susac syndrome.
SUMMARY: Susac syndrome is a multisystemic microvascular occlusive
endotheliopathy with suspected immune-mediated pathogenesis. The incidence may be
more common than previously thought. Diagnosing Susac syndrome can be
challenging, especially in patients presenting without all features of the
clinical triad of encephalopathy, BRAO and hearing loss. Awareness of the
condition, a high index of suspicion in any patient with unexplained
encephalopathy, a dilated fundus examination, audiogram and typical MRI findings
aid in the prompt diagnosis of the condition. Successful treatment has been
documented with various immunosuppressive treatment regimens.
PMID- 21897239
TI - Ocular Behcet disease: current therapeutic approaches.
AB - PURPOSE OF REVIEW: To alert physician to timely recognition and current treatment
of recurrent hypopyon iridocyclitis or panuveitis in ocular Behcet disease (OBD).
RECENT FINDINGS: Interferon-alpha, rituximab, intravitreal triamcinolone, and
biological response modifiers by tumor necrosis factor inhibitors such as
infliximab and adalimumab are being used increasingly for the treatment of severe
sight-threatening ocular inflammation including retinal vasculitis and cystoid
macular edema (CME). SUMMARY: Biological agents offer tremendous potential in the
treatment of OBD. Given that OBD predominantly afflicts the younger adults in
their most productive years, dermatologist, rheumatologist, internist, or general
practitioners supervising patients with oculo-articulo-oromucocutaneous syndromes
should be aware of systemic Behcet disease. Early recognition of ocular
involvement is important and such patients should strongly be instructed to visit
immediately an ophthalmologist, as uveitis management differs from extraocular
involvements with high ocular morbidity from sight-threatening complications due
to relapsing inflammatory attacks in the posterior segment of the eye. A single
infliximab infusion should be considered for the control of acute panuveitis,
whereas repeated long-term infliximab infusions were proved to be more effective
in reducing the number of episodes in refractory uveoretinitis with faster
regression and complete remission of CME.
PMID- 21897240
TI - Effects of smoking on ocular health.
AB - PURPOSE OF REVIEW: To review recent data on the effects of smoking on ocular
health. RECENT FINDINGS: Smoking has been associated with a myriad of negative
ocular health effects including age-related macular degeneration (ARMD) and
cataract. Most recently, several papers have demonstrated a connection between
smoking and ocular inflammation. Smokers are both more likely to develop ocular
inflammation and to have more severe disease as manifested by poorer presenting
vision and a higher risk of recurrent disease compared to nonsmokers. Smoking has
also been shown to enhance the effect of genetic susceptibility with regards to
the presence and development of ARMD. Finally, the negative effects of smoking on
ocular disease have been increasingly documented in nonwhite populations outside
of the USA. However, despite the abundance of data, public awareness on the
adverse consequences of smoking on vision is lacking in the USA. In contrast,
Australia improved public knowledge by launching a successful antitobacco health
campaign highlighting the effects of smoking on ocular health. SUMMARY: These
findings suggest that eye care professionals should discuss and offer options for
smoking cessation as part of the management of patients with ocular diseases,
especially in those with ocular inflammation, ARMD, lens opacities/cataract, and
thyroid-associated orbitopathy. Health campaigns using existing medical data can
improve public awareness on the connection between tobacco and visual impairment.
PMID- 21897241
TI - Sarcoidosis.
AB - PURPOSE OF REVIEW: Sarcoidosis is a multisystem disorder that can include ocular
lesions. Although the precise etiology of the disease is as yet not well known,
it is gradually becoming clearer as a result of much intensive research. The
scope of this review is to evaluate the current understanding of sarcoidosis,
specifically the pathogenesis of the disease and the therapeutic possibilities.
RECENT FINDINGS: Inherited factors for this disease are gradually being
identified by many large-scale genetic studies. As for treatment, biological
drugs against inflammatory cytokines, such as tumor necrosis factor-alpha, are
beginning to be used in sarcoidosis patients. SUMMARY: The exact etiology of
sarcoidosis is still unknown. Further genetic studies in various ethnic
populations are required to gain a better understanding of the pathogenesis of
sarcoidosis. The data of clinical trials of biological drugs are accumulating,
and it is necessary to produce a guideline for the use of these drugs on the
basis of the benefits and risks to the patient.
PMID- 21897242
TI - Review and update of intraocular therapy in noninfectious uveitis.
AB - PURPOSE OF REVIEW: To review new clinically relevant data regarding the
intraocular treatment of noninfectious uveitis. RECENT FINDINGS: Triamcinolone
acetonide, the most commonly used intravitreal corticosteroid for treatment of
uveitis and uveitic macular oedema has a limited duration of action and is
associated with a high risk of corticosteroid-induced intraocular pressure (IOP)
rise and cataract. Recent advances have led to the development of sustained
release corticosteroid devices using different corticosteroids such as
dexamethasone and fluocinolone acetonide. Treatment options for patients who have
previously exhibited corticosteroid hypertensive response have also expanded
through the use of new noncorticosteroid intravitreal therapeutics such as
methotrexate and antivascular endothelial growth factor (anti-VEGF) agents.
SUMMARY: Ozurdex dexamethasone implant appears to have a better safety profile,
and a slightly long-lasting effect than triamcinolone acetonide. The Retisert
implant allows the release of corticosteroids at a constant rate for 2.5 years,
but it requires surgical placement and its use is associated with a very high
risk of cataract and requirement for IOP-lowering surgery. For patients who are
steroid responders, methotrexate may offer a better alternative to corticosteroid
treatment than anti-VEGF agents, but controlled trials are required to confirm
this.
PMID- 21897243
TI - Bridges to lung transplantation.
AB - PURPOSE OF REVIEW: Bridging to lung transplantation remains a controversy.
Individually, it may be a life-saving therapy to use ventilation and
extracorporeal means for gas exchange to keep a patient alive until lung
transplantation. Collectively, this may lead to a selection of patients with the
worst outcome. New technologies have become available to minimize the adverse
events of extracorporeal devices. This may have an impact on the indication and
use of such devices and also on the outcome. RECENT FINDINGS: Literature of the
last 3 years were reviewed for new aspects of extracorporeal gas exchange
(extracorporeal membrane oxygenation, ECMO) in order to define the status quo of
these therapeutic tools in bridging to lung transplantation. It was found that
new oxygenator technologies as well as pump designs miniaturized ECMO systems. In
addition, a variety of possibilities for specific indications were described.
Recently, many programs work on concepts to use ECMO no longer in addition to
mechanical ventilation, but to avoid or wean from ventilation. This situation
represents a paradigm shift in the bridging strategies for lung transplantation.
SUMMARY: Bridging to lung transplantation changes to concepts avoiding the
sequels mechanical ventilation and thereby offers improvement of lung recipients
prior to the transplant procedure.
PMID- 21897244
TI - Donation after cardiac death lung transplantation outcomes.
AB - PURPOSE OF REVIEW: Lung transplantation is now a well established treatment
option for several end-stage respiratory diseases. Survival after lung
transplantation has significantly improved over the last decade. The primary
limitation to increased utilization of lung transplantation remains donor
scarcity. Suitable allografts have been procured from donors after determination
of neurologic death and from donors after determination of cardiac death (DDCD or
DCD). Historically, the first human lung transplantation performed, utilized an
allograft procured after cardiovascular death, also referred to as nonheart
beating donor.The experience at University of Wisconsin in 1993 reintroduced DCD
lung transplantation with the first successful clinical case. RECENT FINDINGS: A
potential additional lung allograft source, DCD lung transplantation has been
established with very acceptable outcomes observed by several centers. We provide
the relevant background for the rationale of donor allograft expansion to include
DCD lungs from controlled (Maastricht category III donors). SUMMARY: This review
considers the available evidence for DCD lung transplantation and compares
reported primary graft dysfunction rates and current survival data available.
PMID- 21897245
TI - Auxiliary liver transplantation in children.
AB - PURPOSE OF REVIEW: Auxiliary liver transplantation (ALT) has developed as a
technique for treating patients with acute liver failure. The surgical techniques
of ALT have been refined and current patient survival appears to be similar to
that observed with conventional liver replacement for acute liver failure. RECENT
FINDINGS: Our understanding of liver regeneration has improved with experience
and it is possible to identify patient and disease groups that are more likely to
regenerate and wean off immunosuppression after ALT. Withdrawal of
immunosuppression is possible in at least two thirds of survivors up to 4 years
post transplant. Young patients have most to gain in the long term from
immunosuppression withdrawal. Documentation of liver regeneration should be
performed by liver histology, nuclear medicine scanning and CT volumetry. Weaning
should be gradual to allow for graft atrophy to avoid complications. ALT has also
been utilised for the management of inborn errors of metabolism based in the
liver and for other rare problems and these will be briefly addressed in the
review. SUMMARY: Auxiliary liver transplantation should be considered for the
treatment of children with acute liver failure satisfying current criteria for
liver transplantation.
PMID- 21897246
TI - Predictors of long-term outcome after liver transplant.
AB - Liver transplantation is the standard of care for children with life-threatening
liver disease. Survival rates posttransplantation are rising with current 1-year
and 5-year rates being greater than 90% and 85%, respectively. Numerous factors
contribute to posttransplant outcomes of graft and patient survival, including
improved surgical techniques, immunosuppressive regimens, and posttransplant
management. The present review aims to discuss predictors of long-term outcomes
of pediatric transplant recipients and identify potential risk factors.
PMID- 21897247
TI - Emerging dilemmas with methicillin-resistant Staphylococcus aureus infections in
children.
AB - PURPOSE OF REVIEW: There has been an increase in the incidence of methicillin
resistant Staphylococcus aureus (MRSA) infections in recent years. This article
reviews the literature on three manifestations of MRSA infections in the head and
neck of children: sinonasal infections, otologic infections, and neck abscesses.
RECENT FINDINGS: Historically nosocomial in origin, MRSA infections more recently
are found to occur in otherwise healthy patients with no obvious risk factors.
This community-acquired MRSA is known to be responsible for cutaneous abscesses
in various regions of the body. Nasal carriage in healthy children is thought to
account for increasing incidence of infections involving the respiratory tract as
well as deep-space neck infections. Although these community-acquired strains of
MRSA often retain susceptibility to nonbeta lactam antibiotics, there are reports
of clindamycin resistance. SUMMARY: There is an increase in incidence of MRSA
among infections involving the head and neck in children. Vigilant monitoring of
antibiotic susceptibilities is warranted as well as judicious use of culture
directed antibiotic agents in their treatment. Topical therapy may play an
important role in treatment of infections involving the sinonasal tract and ear.
PMID- 21897248
TI - Nanoparticle-based delivery for the treatment of inner ear disorders.
AB - PURPOSE OF REVIEW: The delivery of targetable synthetic vectors that can carry a
variety of drugs, proteins, and nucleic acids, such as DNA and small interfering
RNA (siRNA), to mammalian cells is important as a potential therapeutic system
that avoids the problems that are associated with viruses. RECENT FINDINGS: The
so-called multifunctional nanocarriers that are equipped with several functions,
such as targetability, shelter from the immune system, and opsonization, and are
capable of delivering payload across the nuclear envelope, have been synthesized.
To improve transfection efficiency, a group of novel peptides have been attached
to the surface of the carrier that will enhance endosomal escape and promote
nuclear entry. The targeting of tropomyocin receptor kinase B (TrkB) with ligands
enhances uptake in spiral ganglion cell culture. Treatment cargos have included
growth factors such as the Math-1 gene, short hairpin RNA, and steroids. The
problems with current synthetic nanocarriers are poorer selectivity,
internalization, and transfection rate compared with viral vectors. SUMMARY:
Within a few years, when the synthetic vectors have been optimized, the first
human drugs/proteins/gene product-based therapies will become available in a
phase I study.
PMID- 21897249
TI - Inflammatory mechanisms in major depressive disorder.
AB - PURPOSE OF REVIEW: As the 'monoamine hypothesis of depression' fails to explain
all aspects of major depression, additional causes are being investigated.
Several observations suggest that inflammatory mechanisms pay a role in the cause
of major depressive disorder (MDD). This article reviews their role in major
depression. RECENT FINDINGS: Recent studies support the concept that inflammatory
mechanisms play a crucial role in the pathomechanisms of major depression. Major
depression shares similarities with 'sickness behavior', a normal response to
inflammatory cytokines. Elevations in proinflammatory cytokines and other
inflammation-related proteins in major depression were found in plasma and
cerebrospinal fluid (CSF) as well as in postmortem studies. Elevated levels of
proinflammatory cytokines persist after clinical symptoms of depression are in
remission and can also predict the onset of a depressive episode. Antidepressant
treatment can lead to a normalization of elevated cytokine levels in major
depression. Finally, we understand how inflammatory mechanisms affect the
metabolism of tryptophan and how nonsteroidal antiinflammatory drugs (NSAIDs) can
interfere with the effects of antidepressants. SUMMARY: Further studies are
needed to fully understand the role of inflammatory mechanisms in major
depression and the potential treatment implications.
PMID- 21897250
TI - Recent developments for naturalizing the mind.
AB - PURPOSE OF REVIEW: To examine recent philosophical work which impacts on fitting
mental content into a satisfactory picture of nature. RECENT FINDINGS: Both
reductionist and nonreductionist forms of naturalism about mental content have
sustained criticism. SUMMARY: The connection between having a mind and fitting a
rational pattern remains an important insight.
PMID- 21897251
TI - Childhood trauma and posttraumatic stress disorder in patients with psychosis:
clinical challenges and emerging treatments.
AB - PURPOSE OF REVIEW: To review the current literature on childhood trauma and
emerging treatments for posttraumatic stress disorder (PTSD), one of its most
prevalent consequences, in patients with psychotic disorders. RECENT FINDINGS: Of
patients with psychosis, 40-50% report either childhood sexual abuse or childhood
physical abuse, and 11-46% fulfil a diagnosis of PTSD. About one-third of
patients report childhood emotional abuse in the absence of other forms of
childhood trauma. More robust evidence is mounting to support the role of
childhood trauma in the aetiology of psychosis, but more research is needed to
understand the underlying mechanisms. Patients with a history of childhood trauma
and/or PTSD have a more severe clinical profile compared with those without these
experiences, worse overall functioning, and lower remission rates. Research
suggests that instruments assessing childhood trauma and PTSD developed for the
general population are also appropriate for use among people with psychosis, and
trauma-focussed treatments can be used safely and effectively in this group.
SUMMARY: Childhood trauma and its consequences are highly prevalent among
patients with psychosis and severely affect the course and outcome. Treatment
approaches appropriate for this population need to be further evaluated and
implemented into routine practice.
PMID- 21897252
TI - Do it yourself? Self-help and online therapy for people with obsessive-compulsive
disorder.
AB - PURPOSE OF REVIEW: In spite of advances in the understanding and treatment of
obsessive-compulsive disorder (OCD), for most patients some symptoms persist even
after therapeutic intervention. Another large subgroup does not seek treatment at
all, particularly due to shame or fear of stigma. The treatment gap in OCD is
large and self-help is increasingly seen as a low-threshold form of intervention
for individuals with minor symptoms or who are currently treatment-reluctant. Our
review summarizes the expanding but still small literature on self-help and
Internet interventions for OCD and provides advice on how to conduct (Internet)
studies on self-help. Strategies to deal with methodological problems that
notoriously plague Internet research are discussed. RECENT FINDINGS: Despite
methodological limitations inherent in most studies considered for the current
review, as well as the unreplicated nature of some of the more recent findings,
self-help tools hold some promise. In particular, self-help interventions that
are rooted in evidence-based concepts may be helpful as an add-on to standard
interventions and as (initial) therapeutic strategies for those who are presently
reluctant to participate in face-to-face treatment. SUMMARY: The current review
identifies self-help, which is based on evidence-based concepts, as a promising
clinical tool for the treatment of OCD. The current literature suggests that self
help can be a facilitator and aid to standard face-to-face interventions, rather
than a rival.
PMID- 21897253
TI - Narrative and psychiatry.
AB - PURPOSE OF REVIEW: The study of narrative has become increasingly important in
the humanities and social sciences and there is a growing use of narrative in the
clinical domains of medicine and psychotherapy. Narrative psychiatry is also on
the rise and promises to help psychiatry be responsive to increasing critical
concerns from inside and outside the field. RECENT FINDINGS: The field of
narrative is vast and cuts across a variety of disciplines. Contemporary scholars
in narrative medicine build on 30 years of work in medical humanities and
bioethics to rigorously understand human variables in medicine and to improve
physician empathy. Narrative psychotherapists have developed a new model of
psychotherapy and a meta-narrative theory of diverse mental health interventions.
Psychiatrists have picked up these insights and are finding them invaluable for
navigating contemporary issues in psychiatry. SUMMARY: Narrative theory has
become important in the humanities, social sciences, medicine, and psychotherapy
for understanding human meaning making. Increasingly, the tools of narrative are
proving valuable for psychiatry as well. Narrative psychiatry does not negate or
supersede other knowledge and research in psychiatry, but it can help psychiatry
understand how people use psychiatric knowledge, among other cultural resources,
for making sense of psychic difficulties and psychic differences.
PMID- 21897254
TI - Nongenetic influences on cystic fibrosis outcomes.
AB - PURPOSE OF REVIEW: Despite dramatic improvements over the last several decades,
tremendous variation exists in cystic fibrosis (CF) health outcomes. Genetic
explanations for variations in disease progression are a promising source of
information regarding disease biology and pathophysiology, but an understanding
of nongenetic factors provides more immediate tools for improving disease
outcomes. RECENT FINDINGS: The clinical course of CF for any individual with CF
is determined by the interaction of genetic endowment (including CFTR and
modifier genes), the physical environment (including environmental tobacco smoke,
outdoor pollution, and pathogenic microorganisms), the sociodemographic,
cultural, and family context (which mediates stress, social support, and disease
self-management skills), and variations in delivery and acceptance of healthcare
treatments. The evidence linking socioeconomic status (SES) with CF outcomes
continues to be strong, and appears to be primarily due to associations with
environmental and sociocultural stressors and disease-management behaviors rather
than barriers in access to healthcare. SUMMARY: Attempts to eliminate detrimental
environmental exposures, improve disease self-management skills, and ensure
consistently optimal healthcare practices will likely decrease variations in
outcomes including SES-related disparities. Low SES is an important marker of
adverse exposures and outcomes which are present throughout the CF population.
PMID- 21897255
TI - Cystic fibrosis lung transplantation.
AB - PURPOSE OF REVIEW: This review summarizes recently published investigations on
issues pertaining to cystic fibrosis (CF) lung transplantation. We specifically
focus on indications and candidate selection as well as infectious and
noninfectious issues specific to CF lung transplant recipients. RECENT FINDINGS:
Recent studies have focused on candidate adequacy in high-risk CF patients. We
review the current literature on individuals who develop respiratory failure
requiring mechanical ventilation and those patients with a pretransplant
diagnosis of pulmonary hypertension. Furthermore, the management of peri
operative infectious issues is reviewed including recurrent infections with
multidrug-resistant bacterial, mycobacterial, and fungal organisms. Other CF
specific issues addressed include common comorbidities such as CF-related
diabetes, gastroesophageal reflux, CF liver disease, and bone metabolism.
SUMMARY: Lung transplantation is a limited, but potentially life-saving
therapeutic option for patients with CF. Optimal candidate selection and
awareness of CF-specific issues in the pretransplant and posttransplant setting
may lead to better long-term outcomes.
PMID- 21897257
TI - Addressing 'the elephant on the table': barriers to end of life care
conversations in heart failure - a literature review and narrative synthesis.
AB - PURPOSE OF REVIEW: Heart failure is a life-limiting illness, but with great
uncertainty over its prognosis. Policy increasingly states the importance of
discussions about end of life care between patients and their clinicians. This
study reviews the extent to which there is evidence that these conversations
occur for heart failure patients in practice. RECENT FINDINGS: Although several
opinion pieces and guidelines on this topic have emerged in recent years, little
new empirical data have been published. Papers publishing empirical data since
2005 and other literature suggest that these conversations rarely occur. Many
clinicians feel uncomfortable or lack confidence; and there is uncertainty
whether patients want such discussions. Barriers and facilitators for discussions
with heart failure are identified, regarding the nature of the disease, resource
constraints and attitudes. The consequence is that disempowered patients rarely
have such discussions: the 'elephant on the table' is rarely addressed. SUMMARY:
The wide range of barriers identified all hinder conversations about the end of
life with heart failure patients. Individual patient preferences for the timing
and content of such conversations must be respected, including the wish of some
not to have such conversations at all.
PMID- 21897256
TI - Systemic sclerosis and the heart: current diagnosis and management.
AB - PURPOSE OF REVIEW: When present clinically, cardiac involvement in systemic
sclerosis (SSc) is a major risk factor for death. It is therefore vitally
important to understand the epidemiology, screening, diagnosis, and treatment of
the cardiac manifestations of SSc. RECENT FINDINGS: The epidemiology of cardiac
involvement in SSc has been the subject of several recent studies. Most
importantly, the prevalence of overt left ventricular (LV) systolic dysfunction
and its associated risk factors have been defined, and patients with diffuse
cutaneous SSc appear to be most susceptible to direct cardiac involvement. From a
diagnostic and screening standpoint, tissue Doppler echocardiography and
natriuretic peptides have provided fresh insight into subclinical cardiac
dysfunction in SSc. Newer techniques, such as speckle-tracking echocardiography,
diffuse myocardial fibrosis imaging, and absolute myocardial perfusion imaging,
are poised to further advance our knowledge. Lastly, there is now consistent
observational data to suggest a central role for calcium channel blockers in the
treatment of microvascular ischemia and prevention of overt LV systolic
dysfunction, although randomized controlled trials are lacking. SUMMARY: Recent
studies have improved our understanding of cardiac involvement in SSc.
Nevertheless, key questions regarding screening, diagnosis, and treatment remain.
Novel diagnostic techniques and multicenter studies should yield important new
data, which will hopefully ultimately result in improved outcomes.
PMID- 21897258
TI - Metabolic syndrome and sexual dysfunction.
AB - PURPOSE OF REVIEW: To define the link between metabolic syndrome (MetS) and
sexual dysfunction. The global epidemic of obesity and diabetes has led to a
striking increase in the number of people afflicted with the MetS. The MetS
consists of a myriad of abnormalities, including central obesity, glucose
intolerance, dyslipidemia, and hypertension. RECENT FINDINGS: Although interest
in the MetS initially arose due to its association with cardiovascular disease,
subsequent data emerged pointing to a relationship with male sexual dysfunction.
SUMMARY: Few randomized studies exist to guide treatment of sexual dysfunction
related to MetS; rather, most studies have been observational in nature. Medical
therapy has formed the mainstay of treatment.
PMID- 21897259
TI - Management of urogenital trauma: state of the art.
AB - PURPOSE OF REVIEW: The field of urogenital trauma is undergoing constant
improvement mainly due to better diagnostic tools, a shift toward standardized
treatments and better trauma care. The purpose of this review is to summarize the
most relevant studies published within the last 3 years on the subject. RECENT
FINDINGS: Computerized tomography grading of renal trauma is an excellent
predictor of the need for surgery and the final renal outcome in these patients,
as most patients can be treated conservatively. Computerized tomography
cystography has become the standard for the diagnosis of bladder rupture in which
the indications for surgical intervention may be changing. The most common
urethral trauma is posterior urethral injury due to pelvic fracture. The best
results in adults and children are achieved by urethroplasty. SUMMARY: The
diagnosis and treatment of genitourinary trauma is still evolving. The long-term
sequels of these injuries may best be treated by urologists expert in urogenital
reconstruction. In the future, tissue engineering may have an important place in
the treatment of these patients.
PMID- 21897260
TI - Ileal neobladder in women with bladder cancer: cancer control and functional
aspects.
AB - PURPOSE OF REVIEW: Radical cystectomy and urinary diversion is the accepted
standard of care for invasive bladder cancer. Although orthotopic neobladder is
the preferred method of urinary diversion in men, less experience is available
for women. Recent studies and reports on this subject are summarized and
reviewed. RECENT FINDINGS: Urethra-sparing cystectomy in women and orthotopic
urinary diversion can be performed safely in appropriately selected women with
invasive bladder cancer. Excellent oncological outcomes can be expected with a
minimal risk of urethral recurrence in case of negative frozen section of the
proximal urethra. Orthotopic neobladder diversion offers excellent clinical and
functional results, and should be the diversion of choice in most women following
cystectomy. Female sexual dysfunction can be avoided in patients who received
neurovascular preservation, although quality of life declined in women who had
undergone non-nerve-sparing radical cystectomy. SUMMARY: Urethra-sparing
cystectomy does not compromise the oncologic outcome in women with bladder
cancer. The excellent functional outcome and voiding pattern with orthotopic
urinary diversion argues in favor of this technique as the preferred method for
lower urinary tract reconstruction after radical cystectomy. Nerve-sparing
radical cystectomy preserves female sexual function and improves quality of life.
PMID- 21897261
TI - What's new in urethroplasty?
AB - PURPOSE OF REVIEW: This study provides an overview of current thinking about
urethroplasty. RECENT FINDINGS: There have been a number of recent developments,
principally to minimize the trauma of anterior urethroplasty and to address the
posterior urethral complications of the treatment of prostate cancer. There also
have been significant developments in the assessment of the outcome of
urethroplasty and specifically of patient reported outcome measures. SUMMARY:
These trends are likely to continue. There also seems to be a real possibility
that cell culture techniques may finally produce clinically useful material for
surgical practice.
PMID- 21897262
TI - Comparison of the PaO2/FiO2 ratio in sternotomy vs. thoracotomy in mitral valve
repair: a randomised controlled trial.
AB - OBJECTIVE: Cardiac surgery through a thoracotomy using one-lung ventilation (OLV)
is thought to be associated with worse postoperative pulmonary gas exchange than
sternotomy using two-lung ventilation (TLV), but this has not been confirmed yet.
We, therefore, compared postoperative pulmonary gas exchange after mitral valve
repair between sternotomy (group TLV) and thoracotomy (group OLV). DESIGN:
Randomised controlled study. SETTING: University teaching hospital. PARTICIPANTS:
Cardiac surgery patients. INTERVENTION: Sternotomy or thoracotomy was used for
mitral valve repair. MEASUREMENTS: The ratio of arterial partial pressure of
oxygen (PaO2) to fraction of inspired oxygen (FiO2) was compared in both groups
before induction of anaesthesia (T0) and just before departure from the operating
room to the ICU (T1). Fluid administration, transfusion requirements and urine
output were checked intraoperatively. Postoperative haemoglobin (Hb), haematocrit
(Hct) and creatinine were evaluated. Cardiopulmonary bypass (CPB) time,
intubation time and ICU stay were also recorded. RESULTS: The PaO2/FiO2 ratio
(mean +/- SD) at T1 was significantly lower than at T0 in both groups (326.9 +/-
120.1 vs. 431.9 +/- 73.7 mmHg in group TLV, P < 0.001; 374.9 +/- 130.9 vs. 445.4
+/- 73.7 mmHg in group OLV, P = 0.001), but did not differ significantly between
the two groups. The doses of inotropes and vaopressors used were not
significantly different between the groups. Intraoperative fluid administration,
transfusion requirements, urine output and postoperative Hb/Hct and creatinine
did not differ significantly between the groups. CPB time, intubation time and
ICU stay also did not differ significantly between the groups. CONCLUSION:
Perioperative pulmonary function following OLV via a thoracotomy was not
significantly worse than that following TLV via a sternotomy in mitral valve
repair. CLINICAL TRIAL REGISTRATION: Not registered.
PMID- 21897263
TI - Comparison of video laryngoscopes with direct laryngoscopy for tracheal
intubation: a meta-analysis of randomised trials.
AB - BACKGROUND: Video laryngoscopes have been introduced in recent years as an
alternative choice to facilitate tracheal intubation. We conducted a meta
analysis to assess their value when compared with direct laryngoscopy. METHODS:
PubMed and EMBASE were searched up until 24 September 2010. Randomised trials
that reported data on the comparison of video laryngoscopes with direct
laryngoscopy for tracheal intubation were included. RESULTS: Eleven trials with a
total of 1196 participants were identified. During tracheal intubation, video
laryngoscopes can achieve a better view of the glottis and have a similar success
rate [rate ratio 1.0; 95% confidence interval (CI) 0.99-1.01]. Overall, the time
to tracheal intubation was not different between the video laryngoscopes and
direct laryngoscopy (standardised mean difference 0.19; 95% CI -0.37-0.75).
However, in a subgroup analysis, video laryngoscopes shortened the time taken for
difficult intubation (standardised mean difference, -0.75; 95% CI -1.24 to
0.25). CONCLUSION: Video laryngoscopes are a good alternative to direct
laryngoscopy during tracheal intubation. The advantage seems to be more prominent
when difficult intubation is encountered.
PMID- 21897264
TI - Efficacy of a self-learning station for basic life support refresher training in
a hospital: a randomized controlled trial.
AB - BACKGROUND: Adult basic life support refresher training using voice feedback
manikins has been shown to be feasible, but the superiority of this strategy over
instructor-led (IL) refresher training for nurses in a hospital has not been
studied in randomized trials. OBJECTIVES: To study if adult basic life support
refresher training for nurses in a self-learning (SL) station using a voice
feedback manikin is more effective than IL training. METHODS: A Resusci Anne
Skills Station (Laerdal, Norway) was installed in a small room. A total of 235
nurses were randomized to SL or IL training. After 1 month and after 7 months,
the proportions of nurses achieving a mean compression depth of 38-51 mm, a mean
compression rate of 80-120/min, incomplete release of at least 5 mm and a mean
ventilation volume of 400-1000 ml were compared between the SL and IL groups.
RESULTS: After 1 month, the proportion of nurses with any incomplete release of
at least 5 mm was significantly lower in the SL group (23 of 54 nurses, 43%)
compared with the IL group (33 of 47 nurses, 70%) (P=0.005). After 7 months, a
lower proportion of nurses achieved a depth of 38-51 mm in the SL group (13 of 45
nurses, 29%) compared with the IL group (25 of 45 nurses, 56%) (P=0.01). For the
other outcome parameters, no differences between SL and IL training could be
demonstrated. CONCLUSIONS: This randomized trial in a real-life setting showed
that more nurses achieved adequate compression depth, 7 months after IL refresher
training compared with training in a SL station. Further research is needed to
improve the efficacy of this SL training strategy.
PMID- 21897265
TI - Creatinine-based glomerular filtration rate estimation in patients with liver
disease: the new Chronic Kidney Disease Epidemiology Collaboration equation is
not better.
AB - OBJECTIVES: Limitations of serum creatinine in patients with an impaired liver
function are well known. The commonly used modification of diet in renal disease
(MDRD) equation has a low diagnostic performance to approximate kidney function
in patients after liver transplantation (LT) and patients with liver cirrhosis
(LC). The Chronic Kidney Disease Epidemiology Collaboration (CKD-EPI) formula has
been shown to provide a more accurate estimation of kidney function in patients
with chronic kidney disease, but studies in patients with liver disease are
lacking. METHODS: We evaluated the diagnostic performance of CKD-EPI in
comparison with the re-expressed MDRD formula in patients after LT (group 1;
n=59) and in patients suffering from LC (group 2; n=44). GFR was measured by
99mTc-diethylenetriamine penta-acetic acid (group 1) and inulin clearance (group
2). Bias, precision, and accuracy as compared with the measured GFR were
determined. RESULTS: The measured mean GFR (95% confidence interval) was 52.3
ml/min/1.73 m2 (47.7; 56.9; group 1) and 35.3 ml/min/1.73 m2 (29.12; 41.3; group
2), respectively. In transplanted patients GFR estimation by CKD-EPI and MDRD did
not significantly differ with respect to bias (9.7 vs. 4.3 ml/min/1.73 m2),
precision (16.9 vs. 15.5 ml/min/1.73 m2) and accuracy (64.4 vs. 69.5% within 30%
of 'true GFR'). In patients with LC, both formulae showed a very high bias (42.5
vs. 40.1 ml/min/1.73 m2), a very low precision (20.7 vs. 25.7 ml/min/1.73 m2) and
accuracy (6.8 within 30% of the measured GFR in both groups). CONCLUSION: The CKD
EPI equation does not improve the creatinine-based GFR estimation in patients
after LT. In patients with LC, both equations should not be applied as they
extremely overestimate GFR.
PMID- 21897266
TI - Predictors of intensive care unit utilization in gynecologic oncology surgery.
AB - OBJECTIVES: The objectives of the study were to examine factors predicting
intensive care unit (ICU) admission after surgery for gynecologic cancer and to
determine the impact of ICU admission on survival. METHODS: This was a
retrospective study of women undergoing laparotomy for staging and debulking of
gynecologic cancer at an academic hospital with tertiary ICU facilities from July
2000 through June 2003. Data on clinicopathologic factors, comorbidities,
operative outcomes, and survival were obtained from medical records and
institutional cancer registry. The chi analysis, Kaplan-Meier analysis, and Cox
regression methods were used for analyses. RESULTS: Two hundred fifty-five
patients met our inclusion criteria, 43 of whom had a postoperative admission to
the ICU. Factors predicting ICU admission on univariate analysis included age 60
years or older, hematocrit of 30% or less, albumin of 3.5 g/dL or less, and
Charlson Comorbidity Index (CCI) score greater than 8; after multivariate
analysis, CCI score of greater than 8 (hazard ratio, 2.5; confidence interval,
1.11-5.69) and albumin of 3.5 or less (hazard ratio, 3.8; confidence interval,
1.66-8.85) were associated with an increased risk of ICU admission. After
adjusting for albumin and CCI score, ICU admission did not have a significant
effect on survival. CONCLUSIONS: The ability to predict ICU admission helps in
appropriate counseling of patients and identification of institutional resource
utilization.
PMID- 21897267
TI - Association of keap1 and nrf2 genetic mutations and polymorphisms with
endometrioid endometrial adenocarcinoma survival.
AB - OBJECTIVE: Dysregulation of Kelch-like erythroid cell-derived protein with CNC
homology-associating protein (Keap)-nuclear factor E2-related factor 2 (Nrf2)
homeostasis owing to oncogenic mutations or to endogenous alteration of protein
expression levels is implicated in tumor resistance to adjuvant treatment. To
understand the role of Keap1 and Nrf2 in endometrial cancer, we performed DNA
sequencing of tumors and noted the relation of the DNA sequence with annotated
clinicopathologic data. METHODS: We sequenced the Keap1 and Nrf2 genes in 105
tumor specimens. Associations of genetic mutations and polymorphisms with the
patients' clinicopathologic characteristics were evaluated. RESULTS: We detected
9 patients with Keap1 mutations and 3 patients with Nrf2 mutations. No patient
had both Keap1 and Nrf2 mutations. We found 2 single nucleotide polymorphisms
within the coding region of Keap1 - rs1048290 (c. 1413C>G) and rs11545829 (c.
1611C>T) that displayed high heterogeneity in our cohort. The c. 1413C>G
polymorphism is significantly associated with progression-free survival by
multivariate analysis (hazard ratio, 0.16; 95% confidence interval, 0.036-0.69; P
= 0.014). The presence of Keap1 or Nrf2 mutations and c. 1611C>T are not
associated with the clinical outcome of the patients. CONCLUSIONS: Mutations
impairing Keap1-Nrf2 interaction are relatively common in endometrial cancer (12
[11.4%] of 105). Keap1 single nucleotide polymorphism rs1048290 may be a novel
independent prognostic marker for patients with endometrial cancer receiving
adjuvant treatment. Therefore, genotyping patients for this Keap1 polymorphism
will help identify patient subgroups more likely to benefit from standard
adjuvant therapy.
PMID- 21897268
TI - Immunofluorescence-detected infiltration of CD4+FOXP3+ regulatory T cells is
relevant to the prognosis of patients with endometrial cancer.
AB - OBJECTIVE: Host antitumor immune responses are associated with many types of
immune cells and soluble components. In particular, CD8 cytotoxic T lymphocytes
(CTLs) play a central role. Regulatory T cells (Tregs) have been reported to
induce tumor immune tolerance in various cancers. In the present study, we
evaluated lymphocytic infiltration in endometrial cancer tissue to clarify its
relationship with clinicopathological factors and the prognosis of patients.
METHODS: The study included 53 patients whose condition was diagnosed as
endometrial cancer between 1994 and 2004 at Keio University hospital. Using
formalin-fixed, paraffin-embedded specimens of the uterus, immunohistochemistry
was performed with antihuman CD8, antihuman CD4, and antihuman FOXP3 primary
antibodies, and the binding sites of the antibodies were visualized using
fluorescence-conjugate secondary antibodies. CD4FOXP3 cells were identified as
Tregs in this study. The numbers of CD8 cells, CD4 cells, and Tregs as well as
the Treg/CD8 and Treg/CD4 ratios were analyzed to evaluate the relationship
between clinicopathological factors and patient prognosis. RESULTS: Of the 53
patients studied, 50.9% of them had early-stage disease, 49.1% had advanced stage
disease, 47.2% had well-differentiated cancer (grade [G] 1), 24.5% had moderately
differentiated cancer (G2), and 28.3% had poorly differentiated cancer (G3). The
CD8 and CD4 cell counts, Treg count, and Treg/CD8 and Treg/CD4 ratios were
significantly higher in the patients with advanced poorly differentiated
carcinomas and with positive lymphovascular space invasion than in those with
early well-differentiated carcinomas and with negative lymphovascular space
invasion. In disease-free survival, the prognosis of the patients with high Treg
counts and Treg/CD8 ratios was significantly worse than that of the patients with
low Treg counts and Treg/CD8 ratios (P < 0.05). CONCLUSIONS: The Treg count and
Treg/CD8 ratio may be new prognostic factors for endometrial cancer.
PMID- 21897269
TI - Chemotherapy plus radiation in advanced-stage endometrial cancer.
AB - HYPOTHESIS: We hypothesize that adjuvant radiation and chemotherapy improve the
clinical benefit from treatment of advanced-stage endometrial adenocarcinoma.
METHODS: We conducted a retrospective review of 125 patient with stage III or IVA
endometrial adenocarcinoma who received adjuvant chemotherapy (n = 60) or
chemoradiation (n = 65). Primary end points were rate of clinical benefit (ie,
the percentage of patients who were alive and disease-free for at least 6 months
after the last day of adjuvant treatment) and progression-free and overall
survival. RESULTS: The addition of radiation to chemotherapy improved the rate of
clinical benefit from 55% to 77%. Differences in clinical benefit were attributed
to a reduction in the number of pelvic relapses after chemoradiation. There were
no substantial differences in the rate of extrapelvic relapse events seen between
the chemotherapy alone and chemoradiation groups. Patients receiving radiation
had prolonged median progression-free survival (36 vs 17 months in chemotherapy
alone) and median overall survival (70 vs 64 months in chemotherapy alone).
CONCLUSIONS: The addition of radiation to chemotherapy improved the clinical
benefit of patients with stage III or IVA endometrial adenocarcinoma. A clinical
trial powered to evaluate clinical benefit and survival outcomes of chemotherapy
and radiation is under way.
PMID- 21897270
TI - Oleanen induces apoptosis of cervical cancer cells by up-regulation of Bim.
AB - OBJECTIVE: Plants belonging to the genus Celastrus exhibit antitumor activity and
the ability to reverse multidrug resistance in tumor cells; however, it remains
unclear whether the compound oleanen from Celastrus hypoleucus also exhibits
antitumor activity. The objective of this study was to explore the inhibitory
effect of 12-oleanene-3beta, 6alpha-diol (oleanen) on the proliferation of
cervical cancer HeLa cells in vitro, as well as its relative mechanism. METHODS:
HeLa cells were treated with different concentrations of oleanen for different
times. Cell proliferation was determined by 3-(4,5)-dimethylthiahiazo (-z-y1)-3,5
di-phenytetrazoliumromide assay. Cell apoptosis was evaluated by flow cytometry
and caspases activities assay. The expression of several proapoptotic proteins
belonging to the Bcl-2 family, such as Bax, Bim, and Bad, was detected by Western
blot. RESULTS: Oleanen mainly inhibited the proliferation of HeLa cells at the G0
to G1 and G2 to M phases, and the IC50 of oleanen for cells was significantly
higher at 24 hours compared to 48 hours (17.45 +/- 3.71 vs 9.02 +/- 0.83 MUg/mL,
respectively; P < 0.05). The significant increase in activity of caspase 3/7,
caspase 6 in oleanen-treated HeLa cells indicated that oleanen promoted the
apoptosis of HeLa cells. The activity of caspase 9 representing the endogenous
apoptotic pathways also increased obviously in oleanen treatment. Furthermore,
the increase in the expression of Bim was the most significant among the Bcl-2
family after oleanen treatment. CONCLUSION: Oleanen up-regulates the expression
of Bim and other proapoptotic molecules to activate the endogenous apoptosis
pathway, thus promoting apoptosis and inhibiting proliferation of human cervical
cancer HeLa cells in vitro.
PMID- 21897271
TI - Analysis of 4 single-nucleotide polymorphisms in relation to cervical dysplasia
and cancer development using a high-throughput ligation-detection reaction
procedure.
AB - BACKGROUND: Host genetic characteristics and environmental factors may correlate
with risk for cervical cancer development. Here we describe a retrospective
screening study for single nucleotide polymorphisms (SNPs) in genetic markers
TP53, MTHFR, CYP1A1, and CYP2E1 in 749 patients. METHODS: A multiplex ligation
dependent polymerase chain reaction approach was applied. We used archived
material from human papillomavirus tests and correlated SNP genotypes to the
corresponding clinical data. Semantic integration was used to identify and
evaluate the clinical status from electronic health records. RESULTS: An
association with cervical cancer and high-grade dysplasia was found for the rare
homozygous CC genotype (rs4646903) in CYP1A1 (odds ratio [OR], 8.862). Odds
ratios were also significantly elevated for heterozygous MTHFR CT genotype
(rs1801133; OR, 1.457). No significant association was found in TP53 (rs1042522)
and CYP2E1 (rs3813867). In addition, we found smokers at higher risk (OR, 2.688)
and identified pregnancies as a significant risk factor (OR, 1.54). CONCLUSIONS:
Our protocol enables a feasible way for further retrospective large sample size
evaluation of potential genetic markers. This study revealed genetic associations
of a rare SNP genotype with cervical dysplasia in one of the largest patient
sample to date that warrants further investigation.
PMID- 21897272
TI - Association of survivin gene polymorphism with endometrial cancer.
AB - OBJECTIVE: Survivin is an inhibitor of apoptosis protein, which is up-regulated
in endometrial cancer (EC). A promoter region polymorphism (-31G/C) in the
survivin gene has been reported as a modulator of gene expression. The aim of
this study was to explore the frequency of survivin -31G/C polymorphism in tumor
tissues from patients with EC in an Iranian population compared to that of
healthy controls. MATERIALS AND METHODS: Paraffin-embedded tissue sections from
patients diagnosed with EC (n = 31) and healthy controls (n = 30) were examined.
Genotyping for survivin -31G/C polymorphism was performed using polymerase chain
reaction (PCR) restriction fragment length polymorphism (RFLP). RESULTS: The
presence of allele C was found to be significantly increased in EC tissues
compared to the healthy tissues (GG vs GC + CC, P = 0. 01; OR, 3.6; 95% CI, 1.1
11.9). CONCLUSION: Our data are in keeping with a previous finding regarding the
role of survivin gene polymorphism in malignancies. This finding highlights the
role of survivin in pathogenesis of various carcinomas, which might have
therapeutic implications.
PMID- 21897274
TI - Conservative therapy in microinvasive adenocarcinoma of the uterine cervix is
justified: an analysis of 59 cases and a review of the literature.
AB - OBJECTIVE: This study aimed to evaluate the treatment and follow-up in a large
series of women with early cervical adenocarcinoma (AC), stages IA1 and IA2, and
to perform an extensive review of the literature in an effort to ascertain
whether conservative therapy is justified. METHODS: Records of 59 cases of
microinvasive AC diagnosed between 1987 and 2006 in the Rotterdam district, the
Netherlands, were retrieved. Clinical and pathological data were reviewed and
analyzed. A mesh review of all relevant literature concerning stage IA1 and IA2
was performed. RESULTS: Of all patients, 33 had stage IA1 and 26 stage IA2
cervical AC. Also, 42 patients were treated conservatively (ie, conization or
simple hysterectomy) and 17 patients were treated radically (ie, radical
hysterectomy/trachelectomy with lymph node dissection). Recurrence occurred in 1
patient (1.7%) with stage IA1 disease (grade 1 adenocarcinoma, depth 1.4 mm, and
width 3.8 mm, with lymph vascular space involvement [LVSI]) treated by vaginal
hysterectomy. The mean follow-up was 79.9 months. From the literature, pooling
all data from patients with stage IA1 and IA2 AC, the risk of recurrent disease
was 1.5% after conservative therapy and 2.0% after radical therapy. CONCLUSIONS:
Extensive treatment such as radical hysterectomy with pelvic lymph node
dissection or trachelectomy does not prevent recurrent disease. Patients with
microinvasive AC should be treated identically to patients with SCC. In stage IA1
and IA2 AC, we recommend conservative therapy (by conization). In cases with
LVSI, an additional lymphadenectomy is advised. For patients with stage IA2 AC
with LVSI, a trachelectomy/radical hysterectomy with lymph node dissection should
be considered.
PMID- 21897273
TI - Loss of BRCA1 protein expression as indicator of the BRCAness phenotype is
associated with favorable overall survival after complete resection of sporadic
ovarian cancer.
AB - OBJECTIVE: Hereditary epithelial ovarian cancers (EOCs) not expressing functional
BRCA1 protein are characterized by defects in homologous recombination DNA
repair, rendering such tumors more sensitive to DNA damaging agents and synthetic
lethality, that is, poly-ADP-ribose-polymerase inhibitor treatment. The aim of
this study was to evaluate the use of BRCA1 immunohistochemistry (IHC) for EOC
prognosis and identification of features of the BRCAness phenotype. METHODS:
Twenty-seven patients who were treated for advanced EOC by macroscopic complete
surgical tumor resection and first-line carboplatin/paclitaxel treatment were
included. Time to recurrence and overall survival time after initial surgery were
determined, and patients' samples were evaluated for BRCA1 expression by IHC.
BRCA1 messenger RNA expression and promoter methylation was analyzed to elucidate
regulatory mechanisms involved in BRCA1 protein loss. RESULTS: BRCA1 IHC-negative
patients had a significantly longer overall survival (crude rate, 1537 days)
compared to the BRCA1 IHC-positive group (crude rate, 827 days; P = 0.01). The
patients in the BRCA1 IHC-negative group were significantly younger (51 years)
compared to BRCA1 IHC-positive patients (61 years; P < 0.01). Importantly, both
transcriptional and posttranscriptional mechanisms regulate BRCA1 protein
expression. Only protein but not messenger RNA level were associated with longer
overall survival. CONCLUSION: Epithelial ovarian cancers with negative BRCA1
protein expression were identified in younger patients, showed a significantly
better overall survival, prolonged treatment intervals and a tendency for an
extended progression free time interval. BRCA1 IHC negativity of sporadic EOC may
be predictive of sensitivity to platinum-based chemotherapy and the poly-ADP
ribose-polymerase inhibitor-sensitive BRCAness phenotype.
PMID- 21897275
TI - Antrodia camphorata induces apoptosis and enhances the cytotoxic effect of
paclitaxel in human ovarian cancer cells.
AB - INTRODUCTION: Antrodia camphorata is a Chinese herb. Recently, several reports
demonstrated that it had growth-inhibiting effects on some cancer cells. In this
study, we investigated whether the crude extract of A. camphorata could inhibit
the growth of ovarian cancer cells and examined the possible mechanisms involved.
We also examined whether the cytotoxic effect of paclitaxel on ovarian cancer
cells would be affected by A. camphorata. MATERIALS AND METHODS: Two human
ovarian cancer cell lines, SKOV-3 and TOV-21G, were treated with A. camphorata (3
300 MUg/mL). An MTT assay was used to test its cytotoxic effect. The apoptosis
related factors including the activity of caspase-3, -8, and -9 and the
cytochrome c level released from mitochondria were analyzed. The expression of
Bcl-2 family proteins (Bcl-2, Bcl-xL, Bax, Bim, Bad, and Bak) was examined by
Western blot analysis. Cell lines were further treated with paclitaxel or
paclitaxel plus A. camphorata to examine the cytotoxic efficiency. RESULTS: The
MTT assay revealed that A. camphorata was cytotoxic to both the ovarian cancer
cells in a dose- and time-dependent manner. Activities of caspase-3, -8, and -9
and release of mitochondrial cytochrome c increased in both ovarian cancer cell
lines with increased dose of A. camphorata. Western blot analysis of Bcl-2 family
proteins revealed an increased expression of Bad in SKOV-3 cells, whereas
increased expression of Bim and Bak and decreased expression of Bcl-xL were noted
in TOV-21G cells. In addition, the cytotoxic effect of paclitaxel on SKOV-3 and
TOV-21G cells was increased significantly with the addition of A. camphorata (P <
0.01) by MTT assay. CONCLUSIONS: These in vitro results suggest that A.
camphorata causes a cytotoxic effect on ovarian cancer cells through the
induction of apoptosis. It may also enhance the antitumor effect of paclitaxel.
Further studies with the ultimate goal of conducting clinical trials are
warranted.
PMID- 21897276
TI - Participation in community and political life of persons with severe
disabilities.
AB - Participation as a citizen, that is, engaging in social, political, and
governmental life, has been rarely seen as an important domain when studying
social participation of disabled persons. The purpose of this study was to depict
the participation in community and political life of persons with severe or
multiple disabilities and to determine which individual and social factors were
associated with their participation. Altogether, 818 persons, who had
participated in rehabilitation for persons with severe disabilities, answered the
questionnaire survey. They reported how actively they participated in
associations, local congregations, political parties, or public elections. Active
participation in community and political life was strongly connected to
participation in work, social, and leisure activities, as well as to the use of
computers. According to stepwise multiple logistic regression analysis, the
strongest predictors for active participation in community and political life
were age of disablement, the individual's present age, self-rated income, self
rated quality of life, use of assistive devices, and the ability to communicate.
PMID- 21897277
TI - Development of the Arab heritage Activity Card Sort.
AB - Participation is an indicator of healthy functioning and well-being, as
emphasized by the International Classification of Functioning, Disability, and
Health (World Health Organization, 2001). The Activity Card Sort (ACS) is a valid
and reliable assessment tool that measures participation. This study describes
the process of developing the Arab heritage version of the ACS (A-ACS). The
original ACS was translated to Arabic using World Health Organization forward
backward translation guidelines, and activities that are common in Arab cultures
were nominated using the ACS investigators' guidelines. Participant respondents
were 156 Jordanians, from different age groups and socioeconomic classes. The
process initially yielded 179 activities common to all international versions of
the ACS, 19 of which were unique to the Arab culture. Eighty-eight items were
validated for the A-ACS. The A-ACS validated in this study is the first
assessment tool, for Arab adults whose heritage is in the Middle-East and North
Africa, that measures participation. We expect the tool to have excellent
clinical utility not only in Arab countries, but also for immigrants and citizens
of Arab origins worldwide.
PMID- 21897278
TI - An unusual case of coronary fistula diagnosed by multidetector computed
tomography.
AB - We present a case of a 82-year-old hypertensive woman who underwent multidetector
computed tomography angiography because of chest pain, with detection of a large
coronary fistula that originated from the anastomosis between left anterior
descending coronary artery and posterior descending artery, in correspondence
with the left ventricular apex, and drained into the right atrium and the
proximal portion of the right coronary artery.
PMID- 21897279
TI - The heart in an airbag: spontaneous pneumopericardium in a young lady.
AB - Pneumopericardium is a rare but potentially life-threatening clinical condition
that must be considered in the differential diagnosis of chest pain. It is
usually associated with chest trauma, infections, invasive procedures or
mechanical ventilation. We report a case of pneumopericardium in a 25-year-old
woman following a recent episode of forceful vomiting possibly acting as trigger
factor. The diagnosis was made by posteroanterior chest radiography and confirmed
with computed tomography. Contrast swallow test failed to detect
oesophagopericardial fistulae and the patient was managed conservatively without
further complication.
PMID- 21897280
TI - Successful treatment of a bleeding umbilical varix by percutaneous umbilical vein
embolization with sclerotherapy.
AB - Bleeding caused by ectopic varices is an uncommon but important and life
threatening complication of portal hypertension. Spontaneous external hemorrhage
from a recanalized umbilical varix is an extremely rare source of ectopic
variceal bleeding. We report on the acute presentation of a patient with a
bleeding umbilical varix and successful treatment with percutaneous umbilical
vein embolization with sclerotherapy. This previously unreported technique is
described, which adds a new therapeutic option for the management of this
challenging clinical dilemma. A discussion on the recognition and management of
ectopic varices is also provided.
PMID- 21897281
TI - Plasma citrulline concentration as a marker for disease activity in patients with
Crohn's disease.
AB - BACKGROUND: Citrulline is a nitrogen end product produced from the intermediary
metabolism of glutamine through the enzymatically mediated urea cycle, almost
exclusively in the enterocytes of small intestinal epithelium, with some
synthesis in colonocytes. Intestinal dysfunction resulting from intestinal
diseases or injuries affects intermediary metabolism, which includes citrulline
synthesis. We sought to determine whether plasma citrulline was a biomarker for
disease activity in patients with Crohn's disease with the hypothesis that
citrulline concentration would be reduced during active disease. METHODS: A total
of 81 outpatients aged 18 to 65 years (mean, 40.6+/-15.4 y) with a known history
of Crohn's disease were studied prospectively. Patients with prior small
intestinal resection, or renal or hepatic insufficiency were excluded. Crohn's
disease activity was measured by Harvey-Bradshaw Index (HBI) and was correlated
to the plasma citrulline concentration measured simultaneously (ion
chromatography). Spearman correlation coefficients were used to assess for an
association between the 2 variables. Subgroup analyses of patients with isolated
small intestinal disease and endoscopically or radiologic verified disease
activity were also performed. RESULTS: Twenty-two patients had isolated colonic
disease and 59 had small intestinal involvement. Twenty-six of these patients had
concurrent endoscopy and/or computed tomography or magnetic resonance imaging. On
the basis of HBI scores, 32 patients had active disease (HBI >=5) and 49 patients
had inactive disease. The mean HBI scores were 4.8+/-5.5. The mean plasma
citrulline concentration was normal, although was below normal in some patients.
However, it failed to distinguish between active and inactive patients based on
the HBI (active 27.8+/-8.8 MUmol/L, inactive 27.8+/-11.1 MUmol/L, P=0.991). There
was no significant linear association between the ranks of citrulline and ranks
of HBI (rs=0.012, P=0.915). Of the 59 patients with isolated small intestinal
disease, there was no association between plasma citrulline concentration and the
HBI (Spearman correlation coefficient, 0.073; P=0.583). There was no difference
in plasma citrulline concentrations among those with confirmed inflammation by
imaging or endoscopy (confirmed, 26.2+/-11.8; negative, 28.0+/-10.0; independent
t test P=0.583). CONCLUSIONS: Plasma citrulline concentration was not a marker of
disease activity in patients with Crohn's disease. However, all patients studied
were outpatients and it is possible that plasma citrulline concentration may be
depressed only in patients with more severe disease or extensive small bowel
involvement. In addition, plasma citrulline may be increased in the
postabsorptional state, and for the most part, our patients were nonfasting. More
studies are needed to further elucidate the role of citrulline as a marker of
disease activity in patients with Crohn's disease. The possibility also exists
that citrulline may be a better marker in patients with previous resection, and
this group will require specific evaluation.
PMID- 21897282
TI - Beyond the Milan criteria: what risks for patients with hepatocellular carcinoma
progression before liver transplantation?
AB - BACKGROUND: To date the selection of the best candidates for liver
transplantation (LT) owing to hepatocellular carcinoma (HCC) has been mainly
based on tumor morphological characteristics (nodule diameter and number), which
have resulted to be independent risk factors for short long-term survival and a
high rate of tumor recurrence. METHODS: The study cohort included 118 patients
among the 166 with HCC transplanted at our unit from January 2000 to December
2007. Patients were classified according to response to locoregional treatments
before LT: progressive Group A; complete Group B; partial Group C; stable Group
D. RESULTS: The 3-year and 5-year overall survival rates were 65.5% and 48.9% for
Group A versus 84.8% and 74.6% for Group BCD (P = 0.01). The 3-year and 5-year
disease-free survival rates were 74% and 74% for Group A and 95.7% and 93% for
Group BCD (P = 0.007). HCC progression was the only independent risk factor
according to Cox regression P = 0.014--odds ratio 4.4 (1.35-14.3). CONCLUSION:
After aggressive HCC treatment before LT, imaging progression while on the
waiting list was a strong predictor of high HCC recurrence rate also in patients
who met the Milan criteria. Lack of imaging progression can contribute toward the
selection of good transplant candidates for HCC together with the Milan criteria.
PMID- 21897283
TI - The complex exocrine-endocrine relationship and secondary diabetes in exocrine
pancreatic disorders.
AB - The pancreas is a dual organ with exocrine and endocrine functions. The
interrelationship of the endocrine-exocrine parts of the pancreas is a complex
one, but recent clinical and experimental studies have expanded our knowledge.
Many disorders primarily of the exocrine pancreas, often solely in the clinical
realm of gastroenterologists are associated with diabetes mellitus (DM).
Although, the DM becoming disorders are often grouped with type 2 diabetes, the
pathogenesis, clinical manifestations and management differ. We review here data
on the association of exocrine-endocrine pancreas, the many hormones of the
pancreas and their possible effects on the exocrine functions followed by data on
the epidemiology, pathogenesis, and management of DM in chronic pancreatitis,
cystic fibrosis, pancreatic cancer, and clinical states after pancreatic surgery.
PMID- 21897284
TI - Metastatic lung adenocarcinoma to the iris mimicking Cogan-Reese syndrome.
AB - PURPOSE: Case report of metastatic lung cancer to the iris mimicking Cogan-Reese
syndrome. METHODS: A 61-year-old female with a history of non-small cell lung
cancer presented with unilateral elevated intraocular pressure (IOP) and multiple
hyperpigmented iris nodules associated with an anterior iris membrane. The
patient's IOP could not be controlled medically, so she underwent trabeculectomy
with simultaneous iris biopsy. RESULTS: Histopathology revealed proliferation of
glandular epithelium on the iris surface consistent with metastatic lung
adenocarcinoma. CONCLUSIONS: Iris metastases have varied presentations, and one
must consider metastatic disease in any patient with a history of cancer
presenting with iris lesions. The formation of an iris membrane and nodules by
metastatic adenocarcinoma to the iris may mimic Cogan-Reese syndrome.
PMID- 21897285
TI - Intraocular pressure and associated factors: the central India eye and medical
study.
AB - PURPOSE: To determine the range of intraocular pressure (IOP) in a rural Central
Indian population and to evaluate existing and identify potential new ocular,
medical, and socioeconomic factors associated with IOP. METHODS: This is a
population-based study carried out in Nagpur in Central India. A single IOP
measurement was taken using Goldmann applanation tonometry and population IOP was
described using standard descriptive statistics. RESULTS: Of 5885 eligible
patients, 9338 eyes of 4686 patients were included in the study. The mean IOP was
13.6+/-3.4 mm Hg (median: 14 mm Hg; range: 2 to 56 mm Hg). In multivariate
regression analysis, the following factors, with correlation coefficients (r) and
P values reported in parenthesis, were found to be associated with IOP. Ocular
factors included higher corneal power (0.12; P=0.004), lower central corneal
thickness (0.02; P<0.001), and higher myopic refractive power (-0.13; P=0.001).
Medical factors included diastolic blood pressure (0.05; P<0.001), pulse rate
(0.02; P=0.005), and body mass index (0.05; P=0.01). Socioeconomic factors
included level of education (0.15; P=0.05) and livestock ownership (-0.18;
P=0.008). CONCLUSION: Low mean IOP was found when compared with other
epidemiologic studies and this may be explained by the low central corneal
thickness found in the study population. Several known ocular and medical factors
and new socioeconomic factors were found to be associated with IOP. Identifying
risk factors associated with IOP in different populations may allow clinicians to
better define and recognize subgroups of patients at risk of elevated IOP. Newly
identified socioeconomic-IOP associations will need to be validated in future
studies.
PMID- 21897286
TI - Influence of bodily injuries on symptom reporting following uncomplicated mild
traumatic brain injury in US military service members.
AB - OBJECTIVE: : To examine the relations among bodily injuries, traumatic stress,
and postconcussion symptoms in a sample of combat-injured US service members who
sustained a mild traumatic brain injury. PARTICIPANTS: : One hundred and thirty
seven service members evaluated and treated at Walter Reed Army Medical Center
following medical evacuation from the combat theater of Operation Enduring
Freedom and Operation Iraqi Freedom. All had sustained an uncomplicated mild
traumatic brain injury and concurrent bodily injuries. PROCEDURE: : Participants
completed 2 symptom checklists within 3 months of injury. Severity of bodily
injuries was quantified with a modified version of the Injury Severity Score that
excluded intracranial injuries (ISSmod). Participants were classified into 4
ISSmod groups: minor (n = 17), moderate (n = 48), serious (n = 40),
severe/critical (n = 32). MAIN OUTCOME MEASURES: : Neurobehavioral Symptom
Inventory (NBSI) and the Posttraumatic Stress Disorder Checklist-Civilian version
(PCLC). RESULTS: : There was a significant negative association between ISSmod
scores and the NBSI and PCLC total scores. There were significant main effects
across the 4 groups for the NBSI and PCLC total scores. The highest NBSI and PCLC
scores were consistently found in the ISSmod minor group, followed by the
moderate, serious, and severe/critical groups. CONCLUSIONS: : While it might be
expected that greater comorbid physical injuries would be associated with greater
symptom burden, in this study as the severity of bodily injuries increased,
symptom burden decreased. Hypothesized explanations include: underreporting of
symptoms; increased peer support; disruption of fear conditioning due to acute
morphine use; or delayed expression of symptoms.
PMID- 21897287
TI - Psychometric properties and feasibility of instruments used to assess awareness
of deficits after acquired brain injury: a systematic review.
AB - BACKGROUND: Unawareness of deficits after acquired brain injury (ABI) is often
reported in the clinic. Several methods have been developed to measure a
patient's awareness of deficits after ABI; however, no criterion standard
currently exists to measure this phenomenon. OBJECTIVE: To review all instruments
for measuring awareness of deficits and evaluate their psychometric and
conceptual properties as well as their feasibility. METHODS: Systematic
literature search for available awareness measurement instruments used in
experimental ABI studies. Instruments were divided into the following 4
assessment methods: clinician ratings, structured interviews, performance-based
discrepancy, and self-other rating discrepancy methods. The quality of the
instruments was evaluated. RESULTS: The literature search identified 39
instruments and 8 of these were selected. The following 3 instruments stood out
in terms of quality: Self-Awareness of Deficits Interview, Patient Competency
Rating Scale, and Awareness Questionnaire. CONCLUSION: Although these
quantitative instruments are useful tools in research, they have limited utility
in the clinic because they only measure intellectual awareness. Therefore, in
addition to these instruments, qualitative tools should also be used to gain a
complete view of a patient's awareness problem.
PMID- 21897288
TI - Representativeness of the Traumatic Brain Injury Model Systems National Database.
AB - OBJECTIVE: To determine whether the Traumatic Brain Injury Model Systems National
Database (TBIMS-NDB) is representative of individuals aged 16 years and older
admitted for acute, inpatient rehabilitation in the United States with a primary
diagnosis of traumatic brain injury (TBI). DESIGN: Secondary analysis of existing
data sets. SETTING: Acute inpatient rehabilitation facilities. PARTICIPANTS:
Patients aged 16 years and older with a primary rehabilitation diagnosis of TBI.
MAIN OUTCOME MEASURES: Demographic characteristics, functional status, and
hospital length of stay. RESULTS: Patients included in the TBIMS-NDB from October
2001 through December 2007 were largely representative of all individuals 16
years and older admitted for rehabilitation in the United States with a primary
diagnosis of TBI. The major difference in distribution was age-the TBIMS-NDB
cohort did not include as large a proportion of patients older than 65 years as
were admitted for rehabilitation with a primary diagnosis of TBI in the United
States. Distributional differences for age-related characteristics were observed;
however, groups of patients partitioned at aged 65 years differed minimally,
especially within the younger than 65 years subset. Regardless of age, the
proportion of patients with a rehabilitation stay of 1 to 9 days was larger
nationwide. Nationwide admissions showed an age distribution similar to patients
discharged alive from acute care with moderate, severe or penetrating TBI. The
proportion of patients aged 70 years and older admitted for TBI rehabilitation in
the United States increased every year, a trend that was not evident in the
general population, TBIMS-NDB or among TBI patients in acute care. CONCLUSIONS:
These results provide substantial empirical evidence that the TBIMS-NDB is
representative of patients receiving inpatient rehabilitation for TBI in the
United States. Researchers utilizing the TBIMS-NDB may want to adjust
statistically for the lower percentage of patients older than 65 years or those
with stays less than 10 days.
PMID- 21897289
TI - Prediction of driving capacity after traumatic brain injury: a systematic
review.
AB - OBJECTIVE: To review the current evidence on predictors for the ability to return
to driving after traumatic brain injury. METHODS: Systematic searches were
conducted in MEDLINE, PsycINFO, EMBASE, and CINAHL up to March 1, 2010. Studies
were rigorously rated for their methodological content and quality and
standardized data were extracted from eligible studies. RESULTS: We screened 2341
articles, of which 7 satisfied our inclusion criteria. Five studies were of
limited quality because of undefined, unrepresentative samples and/or absence of
blinding. Studies mentioned 38 candidate predictors and tested 37. The candidate
predictors most frequently mentioned were "selective attention" and "divided
attention" in 4/7 studies, and "executive functions" and "processing speed," both
in 3/7 studies. No association with driving was observed for 19 candidate
predictors. Eighteen candidate predictors from 3 domains were associated with
driving capacity: patient and trauma characteristics, neuropsychological
assessments, and general assessments; 10 candidate predictors were tested in only
one study and 8 in more than one study. The results of associations were
contradictory for all but one: time between trauma and driving evaluation.
CONCLUSIONS: There is no sound basis at present for predicting driving capacity
after traumatic brain injury because most studies have methodological
limitations.
PMID- 21897290
TI - Ethical implications of neuroimaging in sports concussion.
AB - The Centers for Disease Control and Prevention estimates that 1.6 to 3.8 million
traumatic brain injuries that occur each year are related to sports injuries. New
research has broadened the understanding of the acute and chronic pathophysiology
of concussion associated with brain injury, and recent advances in diagnostic
capabilities with neuroimaging are leading to new ethical questions around sport
and care of the head-injured athlete. In this review, we synthesize the current
literature on neuroimaging for assessing concussed athletes and explore ethical
issues in the context of return to play, short- and long-term neurologic health
effects following concussion and resource allocation that are emerging with new
implications as neurotechnology becomes an increasingly powerful tool on the
playing field of health.
PMID- 21897291
TI - Normobaric hypoxia conditioning reduces blood pressure and normalizes nitric
oxide synthesis in patients with arterial hypertension.
AB - OBJECTIVES: Insufficient production and/or increased decomposition of the potent
endogenous vasodilator nitric oxide plays an important role in development and
progression of arterial hypertension and its complications. One of the most
effective means of stimulating endogenous nitric oxide synthesis is controlled
adaptation to hypoxia. This study examined the effect of a 20-day, intermittent,
normobaric intermittent hypoxia conditioning (IHC) program on blood pressure (BP)
and nitric oxide production in patients with stage 1 arterial hypertension.
METHODS: The IHC sessions consisted of four to 10 cycles of alternating 3-min
hypoxia (10% FIO2) and 3-min room air breathing. BP was monitored for 24 h before
and after IHC, and nitric oxide synthesis was evaluated by 24-h urinary excretion
of the stable nitric oxide metabolites nitrate and nitrite. RESULTS: IHC
increased nitric oxide synthesis and decreased BP in hypertensive patients to
values similar to those of normotensive individuals. Significant inverse
correlations were found between nitric oxide production and disease duration,
SBP, and DBP. Moreover, IHC enhancement of nitric oxide synthesis was especially
robust in patients with arterial hypertension of more than 5 years duration. The
reduction in BP persisted for at least 3 months in 28 of 33 hypertensive
patients. CONCLUSION: IHC exerted a robust, persistent therapeutic effect and can
be considered as an alternative, nonpharmacological treatment for patients with
stage 1 arterial hypertension. The antihypertensive action of IHC is associated
with normalization of nitric oxide production.
PMID- 21897292
TI - Residual beneficial effects after a 3-year integrated intervention on stroke in
China: a 10-year prospective follow-up.
AB - OBJECTIVES: To evaluate whether an intervention program designed to reduce stroke
incidence would have long-term residual effects on reducing all-cause and cause
specific mortalities, including cancer 10 years after the intervention was
completed. METHODS: This is a posttrial analysis. We prospectively observed the
mortality of a community-based trial of primary prevention of stroke in China
performed between July 1987 and June 1990 by extending the follow-up to 30 June
2000. At the baseline, 26,607 adults aged 35 years or above and free from stroke
were recruited from five cites of mainland China; 13,212 and 13,395 were assigned
into intervention and control group, respectively. Participants in intervention
group received a regularly integrated intervention including lifestyle
intervention and hypertensive drug treatment. The controls did not receive
intervention provided by the investigators. RESULTS: During the 10-year posttrial
follow-up period, we observed a significant reduction on overall deaths [hazard
ratio 0.91; 95% confidence interval (CI) 0.83, 0.99] and stroke mortality (hazard
ratio 0.79; 95% CI 0.63, 1.00). An insignificant late trial beneficial effect was
observed for hypertensive disease (hazard ratio 0.69; 95% CI 0.30, 1.58),
ischemic heart disease (hazard ratio 0.87; 95% CI 0.64, 1.17), other nonmalignant
diseases, and most cancer sites. CONCLUSION: This study demonstrates that a 3
year integrated intervention program successful for stroke prevention had long
term residual beneficial effects on reducing overall disease burdens among urban
Chinese population, especially for the deaths from stroke. However, whether the
integrated intervention also leads to reduced mortality of cardiovascular
diseases and cancer would have to be confirmed by future larger studies.
PMID- 21897293
TI - Continuing smoking between adolescence and young adulthood is associated with
higher arterial stiffness in young adults: the Northern Ireland Young Hearts
Project.
AB - OBJECTIVES: To investigate the associations between smoking in adolescence and
adulthood, and changes in smoking behaviour between these age periods, with
arterial stiffness in young adults; and whether any such associations could be
explained by concomitant smoking-related levels of inflammation and endothelial
dysfunction. METHODS: We studied 424 individuals (216 women) in whom smoking
status was assessed in adolescence (age 15 years) and again in young adulthood
(mean age of 22.6 +/- 1.6 years), along with aorto-iliac, aorto-radial, and aorto
dorsalis pedis pulse wave velocity (PWV), and markers of inflammation (i.e. C
reactive protein and fibrinogen) and endothelial dysfunction (i.e. von Willebrand
factor and tissue-plasminogen activator antigen) in young adulthood only.
RESULTS: Smoking in adolescence was associated with higher aorto-iliac PWV, as
well as with inflammation and endothelial dysfunction levels (expressed as two
scores), independently of other adolescent and adult lifestyles. Compared with
never smokers, continuing smokers, but not starters nor quitters, showed higher
aorto-iliac PWV, independent of changes in other lifestyle variables: +0.157 m/s
(95% confidence interval 0.026-0.288). This difference was attenuated to 0.124
m/s (-0.009 to 0.257) after adjustment for changes in traditional biological risk
factors, but was not materially affected when adjusted for the inflammation and
endothelial dysfunction scores, despite the continuing smoking-related higher
levels of inflammation and endothelial dysfunction. Smoking was not associated
with aorto-radial and aorto-dorsalis pedis PWV. CONCLUSION: Starting to smoke in
adolescence and continuing to do so up to young adulthood is adversely associated
with aortic stiffness. The continuing smoking-related aortic stiffness was not
explained by concomitant higher inflammation and endothelial dysfunction.
Prevention of smoking should target the young to prevent arterial stiffness in
young adults.
PMID- 21897294
TI - Atorvastatin reduces sympathetic activity in patients with chronic kidney
disease.
AB - BACKGROUND: Hypertensive chronic kidney disease (CKD) patients often have
sympathetic hyperactivity, which appears to contribute to the pathogenesis of
hypertension and cardiovascular organ damage. Experimental studies and some
clinical studies have shown that statin therapy can reduce central sympathetic
activity. Blockade of the renin-angiotensin system (RAS), which is standard
treatment for CKD, is known to lower sympathetic activity. We hypothesized that
adding a statin for 6 weeks to RAS blockade would further lower sympathetic
activity in hypertensive stage 2-4 CKD patients. METHODS: In 10 stable CKD
patients (eight men, aged 45 +/- 11 years, estimated glomerular filtration rate
56 +/- 22 ml/min per 1.73 m2), who were on chronic treatment with aliskiren 300
mg, blood pressure and sympathetic activity (quantified by assessment of muscle
sympathetic nerve activity, MSNA) were assessed at baseline and 6 weeks after
atorvastatin 20 mg/day was added. Ten other CKD patients served as time control
and were studied twice with an interval of 6 weeks without any change in
medication, to quantify within participant reproducibility. RESULTS: Mean
arterial blood pressure remained stable throughout the study (93 +/- 5 versus 94
+/- 5 mmHg). MSNA was reduced from 28 +/- 8 to 20 +/- 6 bursts/min (P = 0.01),
while heart rate remained stable during the study. In the control CKD group, MSNA
did not change: 26 +/- 5 to 25 +/- 6 bursts/min. Atorvastatin reduced total and
low-density lipoprotein cholesterol. CONCLUSION: Atorvastatin has a further
sympatholytic effect in CKD patients, who are on chronic aliskiren, which is
independent of blood pressure and heart rate.
PMID- 21897295
TI - Postinfectious optic neuropathy in endemic typhus.
AB - Endemic typhus (Rickettsia typhi), also known as murine typhus, is a flea-borne
bacterial disease rarely found in most of the developed world. Known ocular
manifestations linked to endemic typhus include mild vitritis, retinal lesions,
and retinal vascular leakage. Optic neuropathy, however, is rarely associated
with R. typhi, and postinfectious optic neuropathy is even less common. To
highlight this unusual complication, we report a patient who developed
postinfectious optic neuropathy a few weeks after he was successfully treated for
endemic typhus.
PMID- 21897296
TI - A novel technique for monitoring of fast variations in brain oxygen tension using
an uncoated fluorescence quenching probe (Foxy AL-300).
AB - BACKGROUND: A novel uncoated fluorescence quenching probe allows fast measurement
of oxygen tension in vessels and tissue. The present study reports the first use
of the technology for dual measurements of arterial (paO(2)) and brain tissue
oxygen tension (ptiO(2)) during hypoxic challenge in a pig model. METHODS: Eight
pigs were anesthetized using fentanyl and propofol. Fluorescence quenching pO(2)
probes (Foxy AL-300, Ocean Optics, Dunedin, FL) were placed in the ascending
aorta (Foxy-paO(2)) and subcortically at 14 mm in brain tissue (Foxy-ptiO(2)). As
reference, a clark-type electrode probe (Licox-ptiO(2)) was placed into brain
tissue close to the Foxy probe (Licox, Integra Neurosciences, Plainsboro, NJ).
Measurements were taken at baseline (FiO(2) 1.0), during episodes of apnea, and
during recovery (FiO(2) 1.0). STATISTICS: descriptive results. RESULTS:
Individual Foxy-paO(2), Foxy-ptiO(2), and Licox-ptiO(2) courses were related to
episodes of apnea. The response time of the Foxy measurements was 10 Hz. Baseline
values at FiO(2) 1.0 were Foxy-paO(2) 520+/-120 mm Hg, Foxy-ptiO(2) 62+/-24 mm
Hg, and Licox-ptiO(2) 55+/-29 mm Hg; apnea values were Foxy-paO(2) 64+/-10 mm Hg,
Foxy-ptiO(2) 37+/-12 mm Hg, and Licox-ptiO(2) 31+/-16 mm Hg; recovery values at
FiO(2) 1.0 were Foxy-paO(2) 478+/-98 mm Hg, Foxy-ptiO(2) 78+/-26 mm Hg, and Licox
ptiO(2) 62+/-32 mm Hg. CONCLUSIONS: The present study demonstrates the
feasibility of pO(2) measurements in macrocirculation and cerebral
microcirculation using a novel uncoated fluorescence quenching probe. The
technology allows for real-time investigation of pO(2) changes at a temporal
resolution of 0.05 to 10 Hz.
PMID- 21897297
TI - The effect of pressure-controlled ventilation on pulmonary mechanics in the prone
position during posterior lumbar spine surgery: a comparison with volume
controlled ventilation.
AB - BACKGROUND: When an anesthetized patient is turned to the prone position using
the Wilson frame, dynamic compliance (Cdyn) decreases and peak airway pressure
(Ppeak) increases. As pressure-controlled ventilation (PCV) decreases the Ppeak,
this prospective, randomized study was designed to compare the effect of PCV and
volume-controlled ventilation (VCV) on lung mechanics in the prone position using
the Wilson frame during posterior lumbar spine surgery. METHODS: Forty patients
scheduled for posterior lumbar spine surgery were randomly allocated to receive
mechanical ventilation using either the VCV (n=20) or PCV (n=20) mode.
Respiratory variables (including Ppeak and Cdyn) and oxygenation parameters were
recorded at the supine position (Tsupine) and 30 minutes after prone positioning
(Tprone). RESULTS: During the study period, tidal volume, minute volume, end
tidal carbon dioxide tension, and positive end-expiratory pressure were
comparable between the 2 groups. The Ppeak in the PCV group was lower than that
in the VCV group throughout the study period (P=0.007 and 0.003 at Tsupine and
Tprone, respectively) and was increased at Tprone compared with Tsupine in both
groups. Cdyn was decreased from Tsupine to Tprone in both groups. However, the
Cdyn in the PCV group was higher than that in the VCV group during the study
period. The arterial oxygen tension was comparable between the 2 groups during
the study period. CONCLUSIONS: PCV provides lower Ppeak compared with VCV when
the ventilator is set to deliver the same tidal volume and variable respiratory
rate to maintain a constant end-tidal carbon dioxide tension in patients
undergoing posterior lumbar spine surgery in the prone position using the Wilson
frame.
PMID- 21897298
TI - Management of post septic hip dislocations when the capital femoral epiphysis is
present.
AB - Delayed or missed diagnosis of septic arthritis of hip in children results in
various sequelae. The group of post septic hip dislocations when the capital
femoral epiphysis (CFE) is present has not been described in the commonly used
classifications. This is a retrospective series of 21 hips in 18 children. The
presence of the CFE was confirmed radiologically or at the time of intervention.
The mean follow-up after intervention was 6.3 years. Interventions for
dislocations included closed reduction +/- adductor tenotomy, open reduction +/-
supplementary femoral procedures, and acetabular procedures. Results were
evaluated clinically with Ponseti hip scoring and radiologically with the
modified Severin grading. Closed reduction was successful in seven of 20 hips
(35%) and open reduction in 13 of 14 hips. At follow-up, good clinical result was
seen in nine of 18 cases (50%). The mean neck shaft angle was 129 degrees in all
hips and 124 degrees after femoral varus osteotomy. There was one redislocation
and three subluxations. The modified Severin classification was class 2 in five
hips (good), class 3 in 12 hips (fair), class 4 in three hips (poor), and class 6
in one hip (failure). Septic hip dislocation with CFE present is a distinct
entity. MRI is helpful for planning treatment. A significant number of patients
need open reduction with other procedures. Femoral varus osteotomy may contribute
to coxa vara. In the short term, intervention results in a stable, functional,
and mobile hip.
PMID- 21897299
TI - Metaphyseo-diaphyseal junction fracture of distal humerus in children.
AB - Six metaphyseo-diaphyseal junction fractures of distal humerus and 182
supracondylar fractures of humerus treated in our institute over a period of 5
years were retrospectively analyzed. Clinical data regarding child's age,
neurovascular status, mechanism of injury, mode of treatment, and ultimate
clinical outcome were collected for both these fractures and a comparison was
made. Oblique (n=2), comminuted (n=3), and transverse types (n=1) of fracture
patterns were identified at distal humeral metaphyseo-diaphyseal junction. The
oblique and comminuted fractures were managed by closed reduction and plaster of
Paris cast, whereas the only transverse fracture was treated by closed reduction
and Kirschner wire fixation. In contrast, 125 patients of supracondylar fractures
were treated by closed reduction and plaster of Paris cast and the remaining 57
fractures needed Kirschner wire fixation after closed reduction. Assessment by
Flynn criteria after 1 year after of injury revealed better functional outcome in
metaphyseo-diaphyseal junction fractures. Although transverse fractures are
unstable and may require surgical fixation; oblique and comminuted fractures at
the metaphyseo-diaphyseal junction of distal humerus can be managed
conservatively.
PMID- 21897300
TI - Physician work intensity among medical specialties: emerging evidence on its
magnitude and composition.
AB - BACKGROUND: Similarities and differences in physician work intensity among
specialties are poorly understood but have implications for quality of care,
patient safety, practice organization and management, and payment. OBJECTIVE: To
determine the magnitude and important dimensions of physician work intensity for
4 specialties. RESEARCH DESIGN: Cross-sectional assessment of work intensity
associated with actual patient care in the examination room or operating room.
SUBJECTS: A convenience sample of 45 family physicians, 20 general internists, 22
neurologists, and 21 surgeons, located in Kansas, Kentucky, Maryland, Ohio, and
Virginia. MEASURES: Work intensity measures included the National Aeronautics and
Space Administration-Task Load Index (NASA-TLX), Subjective Work Assessment
Technique (SWAT), and Multiple Resource Questionnaire. Stress was measured by the
Dundee Stress State Questionnaire. RESULTS: Physicians reported similar magnitude
of work intensity on the NASA-TLX and Multiple Resource Questionnaire. On the
SWAT, general internists reported work intensity similar to surgeons but
significantly lower than family physicians and neurologists (P=0.035). Surgeons
reported significantly higher levels of task engagement on the stress measure
than the other specialties (P=0.019), significantly higher intensity on physical
demand (P < 0.001), and significantly lower intensity on the performance
dimensions of the NASA-TLX than the other specialties (P=0.003). Surgeons
reported the lowest intensity for temporal demand of all specialties, being
significantly lower than either family physicians or neurologists (P=0.014).
Family physicians reported the highest intensity on the time dimension of the
SWAT, being significantly higher than either general internists or surgeons
(P=0.008). CONCLUSIONS: Level of physician work intensity seems to be similar
among specialties.
PMID- 21897301
TI - The effect of distance on the use of emergency hospital services in a Spanish
region with high population dispersion: a multilevel analysis.
AB - BACKGROUND: There is geographic variability in the use of hospital emergency
services. The effect of distance to the hospital on this variability is unknown.
OBJECTIVES: (a) To analyze the independent effect of distance on use of hospital
emergency services; (b) to describe the variability among municipalities in use
of hospital emergency services and to analyze how much of this variability is
explained by distance. RESEARCH DESIGN: Weighted cross-sectional data from the
2003 Regional Health Survey of Castile and Leon were linked with municipal-level
data from the 2001 Census, municipal health resources, and distance from
municipality to hospital. SUBJECTS: : Sample of 4281 adults residing in 179
municipalities of the region of Castile and Leon. MEASURES: Using multilevel
logistic regression models with random intercept, we analyzed the association
between distance to hospital and use of hospital emergency services. RESULTS: The
proportion of the sample using hospital emergency services in the last year was
14.4%. The multivariate analysis showed a significant inverse association between
distance to hospital and use of emergency services (P=0.001). Use of hospital
emergency services varied widely across municipalities (variance 0.484; standard
error 0.132). Some 12.8% of the variability is attributable to differences among
municipalities. The model explained 31.6% of the variability. CONCLUSION: :
Distance is a barrier to accessing hospital emergency services. There is large
variability among municipalities in the use of emergency services not explained
by the model. Variables related with the capacity of primary care facilities to
resolve emergencies may reduce part of the observed variability.
PMID- 21897302
TI - Trends in the treatment and survival for local and regional cutaneous melanoma in
a US population-based study.
AB - We examined trends in the treatment and survival in a population-based sample of
white patients diagnosed with local-stage and regional-stage cutaneous melanoma
in 1995, 1996, or 2001, treated in communities across the USA with vital status
follow-up through 2007. White patients, aged 20 years or older with invasive
cutaneous melanoma, were identified from the Surveillance, Epidemiology and End
Results population-based registries. Hospital and pathology records were
reabstracted and physicians were asked to verify the provided therapy. The
percentage of patients receiving lymph node biopsies increased over time.
Sentinel lymph node biopsy increased between 1995 and 2001 from 5 to 32% for men
and from 9 to 35% for women. The use of chemotherapy, hormonal therapy, and
immunotherapy changed little. Facilities with approved residency training
programs were more likely to perform lymph node dissections, to perform sentinel
lymph node biopsy, and to treat patients more aggressively than were facilities
without such programs. Men were significantly more likely than women to die of
cutaneous melanoma. In multivariable survival analysis, after adjusting for age,
Charlson score, and surgical margins, survival did not change significantly over
this time. Deaths were associated with increasing tumor thickness for men and
women. Surgical treatment of local or regional melanoma became more extensive
over time with fewer local excisions and more lymph node dissections, but with
little change in adjuvant therapy. Survival was associated with tumor thickness.
Early detection when the tumor thickness is less may decrease mortality. Future
research should especially target decreasing the disparity in survival between
men and women.
PMID- 21897303
TI - Mucosal melanomas of the head and neck: new aspects of the clinical outcome,
molecular pathology, and treatment with c-kit inhibitors.
AB - Approximately 50% of mucosal melanomas affect the head and neck region
representing approximately 9% of all malignant head and neck tumors. The
pathogenesis of this disease is unknown. Mucosal melanomas are characterized by
an aggressive biological behavior, leading to a 5-year survival rate of less than
25%. Data for this review were identified by searches of Medline, Current
Contents, PubMed, and references from relevant articles using the terms 'mucosal
melanoma,' 'head and neck melanoma,' 'c-kit mutation in melanoma,' and 'c-kit
inhibitors'. Therapy aims for the complete surgical excision of the primary
tumor, whereas sentinel node biopsy is not established and present data do not
support the addition of radiotherapy. Mutilating operations of larger tumors
should be avoided, as they do not inhibit the frequent development of distant
metastasis. C-kit mutations and amplifications are found in approximately 15-30%
of mucosal and acral-lentiginous melanomas. Therefore, the use of so-called
targeted therapies addressing molecular structures in mucosal melanomas seem to
represent new promising treatment tools. In this study, we review the literature
regarding epidemiology, molecular pathology, and therapy of mucosal melanomas of
the head and neck emphasizing c-kit protein inhibiting treatment modalities for
tumors carrying c-kit mutations.
PMID- 21897304
TI - Stimulus-induced reduction of noise correlation in rat prefrontal cortex.
AB - We have shown previously that stimulus-induced modulation of noise correlation in
rat somatosensory cortex conveys additional information about the delivery of
tactile stimulation. Here we investigated whether noise correlation is also
modulated by an external sensory stimulus in rat prefrontal cortex and, if so,
whether such modulation conveys additional information on stimulus delivery.
Noise correlation was significantly reduced after the onset of a conditional
stimulus (auditory tone) that signaled an electric foot shock in the prefrontal
cortex. However, noise correlation contributed little to the transmission of
information on stimulus delivery. These results indicate that a meaningful
sensory stimulus reduces noise correlation in rat prefrontal cortex, but such
modulation does not play a significant role in conveying information on stimulus
delivery.
PMID- 21897305
TI - Individuals' and groups' intentions in the medial prefrontal cortex.
AB - Functional MRI signal was recorded while participants perceived stimuli presented
using moving dots. In two conditions of interest, the motion of dots depicted
intentions: dots representing the joints of an agent performing an action, and
dots representing individual agents behaving contingently. The finding of a
common cluster in the posterior part of the medial frontal cortex involved in
intentional action representation validates the hypothesis that perception of
these two conditions requires a similar internal representation. A cluster
responding to the behaving group only is found in the anterior medial frontal
cortex. These results support a division of the medial frontal cortex according
to social stimuli attributes, with anterior areas responding to higher-order
group behaviours integrating the action of multiple individual agents.
PMID- 21897306
TI - Tc-99m methoxyisobutylisonitrile parathyroid scintigraphy: the value of adding a
whole-body scan.
AB - PURPOSE: The aim of this study was to estimate the frequency of incidental
findings on Tc-99m-methoxyisobutylisonitrile (MIBI) scan performed for suspected
parathyroid adenoma and to evaluate the benefit of additional whole-body scan.
MATERIALS AND METHODS: A total of 109 patients (37 men and 72 women; age range,
16-96 years; mean, 58.42 years) with clinically suspected parathyroid adenoma
underwent Tc-99m MIBI whole-body scans and single-photon emission computed
tomography of the base of the skull, the neck, and the thorax. Each case with
suspected abnormal tracer accumulation was analyzed and correlated with clinical
information. RESULTS: MIBI single-photon emission computed tomography of the base
of the skull, the neck, and the thorax and whole-body scans of 109 patients were
assessed. A total of five incidental findings were detected. The anatomical
distribution of the incidental findings was as follows: two (40%) were located in
the head and neck areas and three (60%) were in the abdomen and pelvis. Two (40%)
were detected in standard acquisition view, which includes the base of the skull,
the neck, and the thorax. Three (60%) incidentalomas were detected in whole-body
scan. In addition, we found 23 cases of abnormal tracer distribution that were
correlated with known clinical history of patients. Three (13%) were located in
the head and neck areas, 10 (43.6%) in the thoracic region, six (26%) in the
abdomen and pelvis, and four (17.4%) in the extremities. CONCLUSION: Whole-body
imaging in patients with suspected parathyroid adenoma who underwent MIBI scans
does not alter patient management in most cases.
PMID- 21897307
TI - Correlation of various published radionuclide glomerular filtration rate
estimation techniques and proposed paediatric normative data.
AB - OBJECTIVE: The aim of this study is to assess the comparability and
interchangeability of the radionuclide glomerular filtration rate (GFR) using
different published techniques, and propose normative data for paediatrics.
METHODS: A total of 476 paediatric oncology patients aged 2-17 years, referred
between January 2001 and December 2008 for GFR estimation, were reviewed for any
potential cause of renal impairment. Sixty-nine patients met the stringent
inclusion criteria, and were included in the study. GFR estimation was carried
out using either technetium-99m diethylene triamine penta-acetic acid (99mTc
DTPA) or chromium-51 EDTA (51Cr-EDTA). Multiple GFR results were calculated from
the same blood sample data (counts/min/ml), according to previously published GFR
estimation techniques using one to three blood samples. These techniques were
slope-intercept, slope-only and half life. For slope-intercept techniques, GFR
was normalized to body surface area or extracellular fluid volume. RESULTS: The
GFR values obtained using different techniques were highly variant. The
intraclass correlation (ICC) for different methods was moderate (ICC=0.56-0.66).
A reliable empiric formula to allow conversion of GFR values from one technique
to another could not be derived because of this variability, with some
exceptions. 51Cr-EDTA yielded the same or lower variability than 99mTc-DTPA. The
British Nuclear Medicine Society-recommended method had the lowest coefficient of
variation, with a mean value of 116 (SD 22) normalized to 1.73 m2 for 51Cr-EDTA
using two samples. CONCLUSION: The GFR values obtained from different calculation
techniques are not readily interchangeable or comparable, with some exceptions.
For both 99mTc-DTPA and 51Cr-EDTA, the British Nuclear Medicine Society
recommended technique appears to be the most robust, with the least coefficient
of variation.
PMID- 21897308
TI - The value of attenuation correction by hybrid SPECT/CT imaging on infarct size
quantification in male patients with previous inferior myocardial infarct.
AB - BACKGROUND: Attenuation correction (AC) has been shown to improve the accuracy of
myocardial perfusion single photon emission computed tomography (SPECT) for the
detection and evaluation of patients with coronary artery disease. Attenuation
artifacts, because of diaphragmatic attenuation, frequently affect the evaluation
of the inferior wall, especially in male patients. PURPOSE: The aim of this study
was to evaluate the value of AC for the assessment of infarct size in coronary
artery disease patients after inferior myocardial infarction. MATERIALS AND
METHODS: Gated-SPECT with Tc-labeled compounds with AC by hybrid SPECT/computed
tomography (CT) was performed in 56 male patients with documented previous
inferior myocardial infarction. Both corrected and uncorrected SPECT images were
processed after motion and scatter correction by ordered-subset expectation
maximization iterative reconstruction. When needed, a manual realignment between
SPECT and computed tomography (CT) sections was performed. Uncorrected and
corrected SPECT images were analyzed for perfusion using a 5-point segmental
scoring scale from 0 (normal) to 4 (absent). Summed stress score (SSS), summed
rest score (SRS), and summed difference score (SDS) of the inferior left
ventricle wall (inferoseptal, inferior, infero-apical and infero-lateral
segments) were determined and compared with the regional wall motion score as
determined by uncorrected gated-SPECT. RESULTS: The SSS, SRS, SDS for attenuation
uncorrected and attenuation-corrected studies were 14.02 +/- 7.9, 9.51 +/- 7, 4.5
+/- 3.2 and 9.39 +/- 7.1, 5.6 +/- 6.1, 3.8 +/- 2.8, respectively. Differences
were statistically significant (P<0.0001) for SSS and SRS but not for SDS. The
regional summed rest score of the inferior wall (SRS of inferior segments) showed
a better correlation with the regional summed wall motion score of the same
segments: R2=0.50 in comparison to uncorrected SRS, R2=0.46. CONCLUSION: The
combination of diaphragmatic attenuation and inferior myocardial infarction
determines an artifactual overestimation of infarct size of inferior infarcts.
The AC regional perfusion score (SRS) correlates with the regional wall motion
score of the inferior wall. AC does not affect the detection and size of residual
ischemia (SDS).
PMID- 21897309
TI - Fully automated and reproducible radiosynthesis of high specific activity
[11C]raclopride and [11C]Pittsburgh compound-B using the combination of two
commercial synthesizers.
AB - INTRODUCTION: The use of 11C-labeled radiotracers in routine positron emission
tomography studies is dependent on the production capability of radiochemistry
laboratories. Therefore, considerable efforts are being focused on the
development of fast, efficient, and robust methods for the preparation of such
radiotracers. METHODS: The fully automated syntheses of [11C]raclopride and
[11C]Pittsburgh compound-B (PIB) starting from cyclotron-produced [11C]CH4 are
reported. [11C]methyl iodide and [11C]methyl triflate were produced in the
TRACERlab FXC Pro synthesis box. Methylation reactions and the final formulation
were performed using the AutoLoop (captive solvent method) and the ReFORM-plus
systems, respectively. RESULTS: [11C]raclopride (n=30) and [11C]PIB (n=24) were
synthesized by O-[11C]-methylation and N-[11C]-methylation of (S)-O
desmethylraclopride and 6-OH-BTA-0 using [11C]methyl iodide and [11C]methyl
triflate, respectively. Good radiochemical yields (51.3 +/- 11.2 and 32.9 +/-
6.6%, referred to as [11C]methyl iodide, decay corrected) and specific activities
(109 +/- 20 and 143 +/- 26 GBq/umol) were obtained for [11C]raclopride and
[11C]PIB, respectively, in a fully automated process. Radiochemical purity was
higher than 99% in all cases. CONCLUSION: The fast, robust and fully automated
processes reported here allow [11C]raclopride and [11C]PIB synthesis with good
radiochemical yields and high specific activities. Consecutive productions can be
performed with minimal intervention on the synthesis modules and minimal exposure
to radiation.
PMID- 21897310
TI - Obesity in pregnancy: deliver sensitive care.
PMID- 21897311
TI - A pure act.
PMID- 21897312
TI - Frequency of fetal heart rate categories and short-term neonatal outcome.
AB - OBJECTIVE: To estimate the time spent in each fetal heart rate category during
labor and during the last 2 hours before delivery in term singleton pregnancy and
to estimate the relationship between the time spent in each category and short
term neonatal outcomes. METHODS: This study reviewed fetal heart rate data and
newborn outcomes of women in term labor in 10 hospitals over 28 months. Fetal
heart rate characteristics were assessed by labor and delivery nurses, and
categories were assigned by computer using definitions from the Eunice Kennedy
Shriver National Institute of Child Health and Human Development. The duration of
time in each category was calculated and correlated with newborn outcome.
RESULTS: Forty-eight thousand four hundred forty-four patients were identified.
Considering all of labor, category I was present 77.9% of the time, category II
was present 22.1% of the time, and category III was present 0.004% of the time.
In the last 2 hours before delivery, category I decreased to 60.9% of the
duration, category II increased to 39.1%, and category III increased to 0.006%.
Newborns of women whose last 2 hours were exclusively category I did well; only
0.6% had 5-minute Apgar scores less than 7, and 0.2% had low Apgar scores with
neonatal intensive care unit (NICU) admission. When more than 75% of the last 2
hours was category II, low 5-minute Apgar score increased to 1.3% of patients,
and low 5-minute Apgar score with NICU admission increased to 0.7% (both P<.001).
CONCLUSION: Category I and category II fetal heart rate patterns are common in
labor, and category III patterns are rare. Increasing time in category II in the
last 2 hours of labor is associated with increased short-term newborn morbidity.
LEVEL OF EVIDENCE: III.
PMID- 21897313
TI - Pelvic floor disorders 5-10 years after vaginal or cesarean childbirth.
AB - OBJECTIVE: To estimate differences in pelvic floor disorders by mode of delivery.
METHODS: We recruited 1,011 women for a longitudinal cohort study 5-10 years
after first delivery. Using hospital records, we classified each birth as:
cesarean without labor, cesarean during active labor, cesarean after complete
cervical dilation, spontaneous vaginal birth, or operative vaginal birth. At
enrollment, stress incontinence, overactive bladder, anal incontinence, and
prolapse symptoms were assessed with a validated questionnaire. Pelvic organ
support was assessed using the Pelvic Organ Prolapse Quantification system.
Logistic regression analysis was used to estimate the relative odds of each
pelvic floor disorder by obstetric history, adjusting for relevant confounders.
RESULTS: Compared with cesarean without labor, spontaneous vaginal birth was
associated with a significantly greater odds of stress incontinence (odds ratio
[OR] 2.9, 95% confidence interval [CI] 1.5-5.5) and prolapse to or beyond the
hymen (OR 5.6, 95% CI 2.2-14.7). Operative vaginal birth significantly increased
the odds for all pelvic floor disorders, especially prolapse (OR 7.5, 95% CI 2.7
20.9). These results suggest that 6.8 additional operative births or 8.9
spontaneous vaginal births, relative to cesarean births, would lead to one
additional case of prolapse. Among women delivering exclusively by cesarean,
neither active labor nor complete cervical dilation increased the odds for any
pelvic floor disorder considered, although the study had less than 80% power to
detect a doubling of the odds with these exposures. CONCLUSION: Although
spontaneous vaginal delivery was significantly associated with stress
incontinence and prolapse, the most dramatic risk was associated with operative
vaginal birth. LEVEL OF EVIDENCE: II.
PMID- 21897314
TI - The prevalence of tympanic membrane and related middle ear pathology in children:
a large longitudinal cohort study followed from birth to age ten.
AB - OBJECTIVE: To record with video-otoscopy the appearance of the tympanic membranes
of a cross section of children aged 9 to 10 years. STUDY DESIGN: Cross-sectional
study nested within an established longitudinal study of childhood development,
the Avon Longitudinal Study of Parents and Children. SETTING: South West England,
U.K. PARTICIPANTS: Approximately 6908 of 7261 children with ages ranging from 105
to 140 months born between April 1, 1991, and December 31, 1992, were examined by
trained technicians with video-otoscopy. MAIN OUTCOME MEASURES: Two photographs
were taken of each child's tympanic membranes to show the features of the pars
tensa and the pars flaccida. RESULTS: In just less than three quarters of the
children, both ears were normal. Retraction of the pars flaccida was present in
9.6% of children, and that of the pars tensa was present in 7.9%. Most of these
changes were mild with few severe retractions. There were 15 cases of overt or
suspected cholesteatoma. CONCLUSION: The tympanic membrane changes reflect most
of the middle ear disease seen in 9- to 10-year-old children. The prevalence is
low, and few children have serious disease at this stage.
PMID- 21897315
TI - Treatment of the horizontal semicircular canal canalithiasis: pros and cons of
the repositioning maneuvers in a clinical study and critical review of the
literature.
AB - OBJECTIVE: Several repositioning maneuvers have been proposed for the treatment
of benign paroxysmal positional vertigo (BPPV) due to canalithiasis of the
horizontal semicircular canal (HSC). However, comparisons between these canalith
repositioning procedures as well as a generally accepted algorithm for the
management of HSC canalithiasis are currently lacking. The aim of this study was
to compare the efficacy of 3 different treatment proposals and review the
relevant literature. STUDY DESIGN: Prospective clinical study. SETTING: Tertiary
neurotology department. PATIENTS: Sixty patients diagnosed with HSC
canalithiasis. INTERVENTIONS: A single application of Baloh's maneuver (n = 13),
Vannucchi's forced prolonged position (n = 29), or Asprella-Gufoni maneuver (n =
18). MAIN OUTCOME MEASURES: Bilateral geotropic nystagmus. RESULTS: The first
application of the Baloh's maneuver seemed to be significantly less effective
than both Vannucchi's forced prolonged position (p = 0.035) and the Asprella
Gufoni maneuver (p = 0.006). No significant difference was detected in the
efficiency of Vannucchi's forced prolonged position and the Asprella-Gufoni
maneuver for this population (p = 0.4). CONCLUSION: The Asprella-Gufoni maneuver
and Vannucchi's forced prolonged position both seem to be significantly more
effective than the Baloh's maneuver in the treatment of HSC canalithiasis. The
important pros of the Asprella-Gufoni maneuver versus Vannucchi's forced
prolonged position are patient's convenience and maximal use of gravitational and
angular acceleration forces. Controlled clinical studies are needed to conclude
to an evidence-based proposal for the therapeutical steps that should be followed
after the diagnosis of HSC canalithiasis.
PMID- 21897316
TI - Relationship between postoperative aeration around the stapes and postoperative
hearing outcome after canal wall down tympanoplasty with canal reconstruction for
cholesteatoma.
AB - OBJECTIVES: To evaluate the correlation between postoperative aeration around the
stapes and hearing outcome after canal wall down tympanoplasty with canal
reconstruction for cholesteatoma. STUDY DESIGN: Retrospective case review.
SETTING: University hospital otolaryngology department. PATIENTS: Seventy ears of
65 patients with middle ear cholesteatoma were included. Patients who had fixed
or poorly mobile ossicular chain was excluded. INTERVENTIONS: They were underwent
canal wall down tympanoplasty with canal reconstruction. Canal wall was
reconstructed with the tragal or conchal cartilage and the cortical bone plate.
MAIN OUTCOME MEASURES: We measured aeration around the stapes on coronal and
axial computed tomographic sections at 1 year after ossiculoplasty and
investigated the correlation between postoperative aeration around the stapes and
postoperative air-bone gap (using the mean of 0.5-, 1-, and 2-kHz threshold
values) at 1 year after ossiculoplasty. We also investigated it for each of
Wullstein type and for each of 0.25-, 0.5-, 1-, 2-, and 4-kHz thresholds.
RESULTS: Aeration around the stapes was negatively correlated with postoperative
air-bone gap (correlation coefficient, -0.53; p < 0.05). Types I and IV
tympanoplasty had a higher correlation with postoperative air-bone gap than type
III tympanoplasty. The 0.5-KHz frequency had a higher correlation with
postoperative air bone gap than other frequencies. CONCLUSION: Measurement of
postoperative aeration around the stapes is an effective method for evaluating
the importance of middle ear aeration. Aeration around the stapes contributes to
better hearing outcome.
PMID- 21897317
TI - High-frequency skull vibration-induced nystagmus test in partial vestibular
lesions.
AB - OBJECTIVES: To establish the effectiveness of the skull vibration-induced
nystagmus test (SVINT) as a rapid high-frequency stimulation test, in the
evaluation of partial unilateral vestibular lesions (pUVL). METHODS: SVINT (30,
60, and 100 Hz), caloric, and head-shaking tests were performed in 99 patients
with pUVL. These results were compared with those in 9 patients with symmetrical
partial bilateral labyrinthine malformations, 131 patients with total unilateral
vestibular lesions (tUVL), and 95 control subjects. RESULTS: A skull vibratory
nystagmus (SVN) was found in 75% of patients with pUVL and 98% with tUVL. In
pUVL: SVINT revealed asymmetric responses in 20% of patients where other tests
were normal; SVN direction at 100 Hz was opposite to the head-shaking nystagmus
direction in 30% and opposite to SVN at 30 Hz in 10% of cases. At 100 Hz, SVN
beat toward the safe side in 91% of cases; SVN values at 60 and 100 Hz were
higher than those at 30 Hz (p < 0.005). SVN was found in unilateral superior
canal dehiscences. Partial bilateral labyrinthine malformations revealed no
nystagmus. CONCLUSION: SVINT complements head-shaking and caloric tests in
multifrequency assessment of patients with pUVL, as a global vestibular test. In
contrast with tUVL results, SVINT does not always indicate the side of partial
lesions, neither does it locate their level on the vestibulo-ocular pathway. This
test is useful to reveal a vestibular asymmetry as a bedside examination test and
may be used as a "vestibular Weber."
PMID- 21897318
TI - Temporal bone findings in a case of Susac's syndrome.
AB - OBJECTIVE: To describe the histopathologic findings in the temporal bones of a
patient with Susac's syndrome (SS). BACKGROUND: The key clinical features of SS
consist of symptoms of encephalopathy, visual defects due to occlusion of
branches of the retinal artery, and sensorineural hearing loss. The otopathology
in SS has not been described. MATERIALS AND METHODS: A 51-year-old woman was
hospitalized with severe headache, rapidly progressive encephalopathy, and
bilateral low-frequency sensorineural hearing loss. Magnetic resonance imaging
showed lesions of the corpus callosum. Fluorescein angiography of the eyes showed
focal areas of irregular retinal artery caliber and leakage from small vessels.
SS was diagnosed. She died of a pulmonary embolus 1 month after onset of
symptoms. Both temporal bones were prepared in celloidin and examined using light
microscopy. RESULTS: Findings were nearly identical in both temporal bones. The
apical halves of both cochleae showed widespread atrophy of structures of the
cochlear duct (inner and outer hair cells, tectorial membranes, striae
vasculares, spiral ligaments, and spiral limbi). The apical parts of both
cochleae also showed apparent occlusion of capillaries within the stria
vascularis and related areas of the cochlear duct. Cochlear neurons were present
in normal numbers. There was no endolymphatic hydrops. The vestibular sense
organs were normal for age. CONCLUSION: This first reported otopathologic case of
SS with hearing loss showed atrophy and degeneration involving the apical halves
of the cochlear duct without inflammation or infection. The findings were
consistent with capillary occlusion as being responsible for the atrophy.
PMID- 21897319
TI - Outcomes of facial nerve grafting in 155 cases: predictive value of history and
preoperative function.
AB - OBJECTIVE: To investigate the factors that were effectual on the recovery of the
facial nerve functions after repair with grafting. STUDY DESIGN: Retrospective
case review. SETTING: Private neuro-otologic and cranial base quaternary referral
center. PATIENTS: One hundred ninety-four patients underwent facial nerve
grafting during lateral cranial base surgery between July 1989 and December 2009.
The mean age of the patients was 44.1 +/- 15.8 years (range, 2-79 yr). There were
94 male and 100 female patients. Facial nerve functions were normal in 89
patients, whereas facial nerve paresis or paralysis was present for a mean
duration of 25.4 months (range, 1-600 mo) in the rest of the patients. MAIN
OUTCOME MEASURE: Final facial nerve motor function. RESULTS: Best outcome, which
was Grade III according to House-Brackmann scale, was achieved in 105 of 155
patients with a follow-up of 1 year or longer (67.7%). Final result was grade IV
in 23 (14.8%), grade V in 8 (5.2%), and grade VI in 19 patients (12.3%).
Preoperative deficit duration was found to be the only significant factor that
affected the prognosis (p = 0.027). Receiver operating characteristic curve
analysis revealed that the most critical time for recovery to grades III and IV
function is 6 months (p < 0.001). CONCLUSION: A number of factors were implicated
to affect the success rate of facial nerve grafting, but only the duration of
preoperative facial nerve deficit was found to be significant. Thus, timely
management of facial nerve problems is critical for achieving optimal results.
PMID- 21897320
TI - Treatment of the atretic ear with round window vibrant soundbridge implantation
in infants and children: electrocochleography and audiologic outcomes.
AB - OBJECTIVE: To evaluate the long-term outcomes of the first 5 infants and 9
children with congenital aural atresia (CAA) who had undergone hearing
rehabilitation using the MED-EL Vibrant Soundbridge with intraoperative
assistance of electrocochleography (ECoG) for optimal fitting of the floating
mass transducer (FMT) on the round window (RW) membrane. STUDY DESIGN: Tertiary
referral medical center; retrospective case series. PATIENTS: Infants and
children ranging in age from 2 months to 16 years with a moderate-to-severe
conductive or mixed hearing loss with CAA. For comparison, the study population
was divided into 2 groups: older children (>=5 yr of age; 5 patients) and younger
children/infants (<5 yr of age; 9 subjects) who were submitted to different
audiologic tests appropriate for their age and general condition. INTERVENTION:
RW implantation. MAIN OUTCOME MEASURES: Compound action potential threshold and
amplitude were assessed as a function of different methods for stabilizing the
FMT on the RW. Pure tone audiogram at 0.5, 1, 2, and 4 kHz, free-field speech
testing (older children), bone conduction and free-field auditory brainstem
response (ABR; younger children and infants), intraoperative and postoperative
complications, and FMT displacement or extrusion rate. RESULTS: Statistically
significant differences were observed with ECoG recordings between pre- and post
FMT-RW membrane optimization with fascia and cartilage (p < 0.001). Significant
improvements were observed in speech perception and pure-tone and ABR threshold,
immediately after surgery and at follow-up intervals (12-65 mo) in children and
infants (p < 0.01). No complications or instances of device extrusion were
observed. CONCLUSION: Infants and children with moderate-to-severe conductive or
mixed hearing loss, not suitable or unwilling to accept Bone-Anchored Hearing
Aids and who would not tolerate traditional bone and air conduction hearing aids,
obtain substantial benefit with the FMT-RW implantation procedure. Intraoperative
ECoG is of significant help in achieving the best FMT-RW fitting.
PMID- 21897321
TI - Usefulness of cone-beam computed tomography in determining the position of
ossicular prostheses: a cadaveric model.
AB - HYPOTHESIS: Cone-beam computed tomography (CT) is proving useful in various
operative settings. We hypothesize that it has great potential as an
intraoperative assessment tool for ossicular prosthesis positioning. BACKGROUND:
Results from prosthetic ossiculoplasty are frequently disappointing. Undetected
intraoperative displacement of the prosthesis may be caused, and obscured, by
placement of an overlying cartilage graft. METHODS: A cadaveric right temporal
bone was prepared with a tympanomeatal flap, and an extended posterior
tympanotomy through a cortical mastoidectomy. Each of 3 commercially available
prostheses was positioned in 3 different locations: (1) optimal, (2) grossly
displaced, and (3) marginally displaced. The intended prosthetic positions were
confirmed by endoscopy before and after cone-beam CT image acquisition. The
primary outcome measure was the position of the prosthesis in relation to the
stapes and tympanic membrane, as assessed by 5 expert reviewers blinded to the
study. Secondary outcome measures included optimal dosing for adequate image
resolution and radiographic scatter associated with different prosthetic
materials. RESULTS: Cone-beam CT accurately demonstrated the position of
ossicular reconstruction prostheses with respect to the stapes and tympanic
membrane. Prosthesis displacement, whether minimally or marked, was also
accurately demonstrated. Interobserver agreement among the 5 reviewers, measured
using a Fleiss kappa statistic, ranged from 0.4 to 0.8 (fair to substantial
agreement depending on type and position of the prosthesis). CONCLUSION: Cone
beam CT is a useful tool for determining the position of ossicular reconstruction
prostheses in situ. We suggest it has potential for intraoperative assessment, to
check positioning after the prosthesis has been covered with a cartilage graft
and tympanomeatal flap.
PMID- 21897322
TI - Hyperventilation-induced nystagmus and vertigo after stereotactic radiotherapy
for vestibular schwannoma.
AB - OBJECTIVE: To describe the phenomenon of hyperventilation-induced nystagmus (HVN)
after stereotactic radiotherapy for vestibular schwannoma. PATIENTS: We present 2
patients with vestibular schwannoma and no pretreatment vestibular symptoms who
both received stereotactic radiotherapy. Within 2 months of completing treatment,
both patients developed intense vertigo while exerting themselves. Video
oculography demonstrated an intense nystagmus with the fast phase directed toward
the side of the schwannoma in both patients. INTERVENTION: Diagnostic. RESULTS:
Patients who have undergone surgical resection of their vestibular schwannoma, or
have a large tumor, will often demonstrate HVN with the fast phase directed away
from the side of the tumor. This is distinct from patients with smaller lesions
who have a fast-phase nystagmus toward the tumor's side. This second type of
nystagmus is thought to originate from changes in the extracellular calcium
concentration secondary to hyperventilation-induced alkalosis. CONCLUSION: We
hypothesize that stereotactic radiotherapy induced greater demyelination of the
vestibular nerve leading to the observable sign of HVN. These patients represent
the first reported cases of HVN after stereotactic radiation and illustrate the
pathophysiology of HVN, which may lead to a greater understanding of the effects
of stereotactic radiotherapy.
PMID- 21897323
TI - Disorders affecting the fourth ventricle: etiology and clinical correlates.
AB - OBJECTIVE: The fourth ventricle encompasses many vital structures including the
brainstem as its floor and the cerebellum as its lateral wall and roof.
Therefore, lesions affecting the fourth ventricle may present as cerebellar or
brainstem manifestations. Herein, we presented our experience in the diagnosis of
disorders affecting the fourth ventricle during the past 15 years. STUDY DESIGN:
Retrospective study. SETTING: University hospital. SUBJECTS: From September 1995
to August 2010, 24,838 patients with vertigo/dizziness visited the clinic. Of
them, 13 patients (0.5%) had tumor/stroke affecting the fourth ventricle. MAIN
OUTCOME MEASURES: All patients underwent a battery of audiovestibular function
test. RESULTS: All patients had vertigo (100%) and then ataxia (92%) and
nausea/vomiting (85%). Eight patients (68%) displayed persistent nystagmus. Most
(>75%) patients showed central signs in electronystagmographic recordings and
abnormal caloric coupled with visual suppression test. Three patients underwent
both ocular and cervical vestibular-evoked myogenic potential tests, and abnormal
results were noted in all 3 patients indicating a brainstem involvement. However,
magnetic resonance imaging failed to demonstrate brainstem lesion in these 3
patients. Final diagnoses consisted of the following: cavernoma, n = 3;
metastatic cancer, n = 3; astrocytoma, n = 2; meningioma, n = 2; epidermoid cyst,
n = 1; ependymoma, n = 1; and lymphoma, n = 1. The prognosis was poor as 10
patients (77%) died within 2 years. CONCLUSION: When a vertiginous patient
displayed ataxia, persistent vomiting, and persistent nystagmus, lesion affecting
the fourth ventricle should be kept in mind because its prognosis is poor.
Abnormal results in electronystagmography and in ocular and cervical vestibular
evoked myogenic potentials may serve as a supplementary to magnetic resonance
imaging to delineate the involvement of the lesion.
PMID- 21897324
TI - A paradigm shift in salvage surgery for radiated vestibular schwannoma.
AB - OBJECTIVE: Determine whether more conservative management for surgical salvage
after failed radiation leads to better facial nerve outcomes. STUDY DESIGN:
"Retrospective" review using prospectively planned database. SETTING: Private
practice, tertiary neurotology/neurosurgery referral center. PATIENTS: A series
of 73 patients with vestibular schwannoma, who underwent primary radiosurgery
with no other intervention and then surgical salvage tumor removal using the
translabyrinthine approach. INTERVENTION(S): Translabyrinthine craniotomy for
vestibular schwannoma salvage surgery after failed radiation, with either gross
total or partial tumor removal. MAIN OUTCOME MEASURES: Long-term (1 yr) House
Brackmann (H-B) facial nerve grade and change in facial nerve grade from before
to after surgery. RESULTS: Of the 73 patients, 79.5% had gross total removal,
5.5% had planned partial resection (subtotal or near total), and 15.1% had
intraoperatively elected partial removal with most of these being near total
removal. At 1 year of follow-up, good facial nerve function (H-B I/II) was found
in 50% of patients with gross total removal and 85.7% of those with partial
removal (p <= 0.03). The H-B grade was maintained postoperatively in 45.8% and
78.6% of the 2 groups, respectively (p <= 0.037), with 21.7% of the total removal
group having unsatisfactory outcomes (H-B V or VI) compared with 7.1% of patients
with partial removal. To date, no patient has required additional treatment.
CONCLUSION: Failed radiosurgery is an increasing indication for salvage surgery
in patients with posterior fossa tumors. A conservative approach with a
willingness to perform partial and near-total tumor removals leads to better
facial nerve outcomes with no current evidence of treatment compromise.
PMID- 21897325
TI - Pleomorphic adenoma of the external auditory canal: recurrence of a parotid gland
primary.
PMID- 21897326
TI - Cartilage cap occlusion technique for dehiscent superior semicircular canals.
AB - OBJECTIVE: Assessment of a cartilage cap occlusion of dehiscent superior
semicircular canals via a transmastoid and tegmen mini-craniotomy approach
surgical technique. STUDY DESIGN: Retrospective case review. SETTING: Tertiary
referral center. PATIENTS: Thirty-seven patients over a 2 year time period
underwent cartilage cap occlusion of a dehiscent superior semicircular canal.
INTERVENTION: Therapeutic. MAIN OUTCOME MEASURE: Subjective improvement and/or
resolution of dizziness postoperatively. RESULTS: Of 37 patients, 29 (78%) felt
much better and had resolution of their dizziness. Of 37 patients, 5 (14%) felt
some definite improvement, although not complete resolution. Two patients (5%)
felt their dizziness was neither better nor worse, and 1 patient (3%) felt
dizziness was worse after surgery. CONCLUSION: The cartilage cap occlusion
technique of dehiscent superior semicircular canals via a transmastoid and tegmen
mini-craniotomy approach is a good option for managing symptomatic patients with
a dehiscent superior semicircular canal.
PMID- 21897327
TI - Ocular vestibular evoked myogenic potentials in response to air-conducted sound
in Meniere's disease.
AB - BACKGROUND: Currently, Meniere's disease is predominantly diagnosed through
clinical criteria. Additional standard vestibular testing, such as
nystagmography, can show variable responses. In the last decade, the cervical
vestibular evoked myogenic potential (VEMP) has shown to be of additive value in
diagnosing Meniere's disease. In this study, the results of the ocular VEMP
(oVEMP) in response to air-conducted sound will be discussed. OBJECTIVES: To
evaluate possible changes of the oVEMP in a large group (n = 37) of patients with
Meniere's disease. MATERIAL AND METHODS: In 55 subjects without Meniere's disease
and 37 patients with Meniere's disease, oVEMPs in response to air-conducted sound
stimulation (tone-burst, 500 Hz; maximum stimulus level, 120 dB sound pressure
level) were studied. Recording was performed in upgaze with surface electrodes
underneath both eyes. The burden of the test was scored by all subjects on a
visual analogue scale. RESULTS: In patients with Meniere's disease the response
rates are lower, the oVEMP amplitudes are smaller, and thresholds are higher than
in subjects without Meniere's disease. This effect is observed in both ears of
patients with Meniere's disease. The affected ear is more altered than the
clinically unaffected ear. CONCLUSION: The air-conducted oVEMP can be a relevant
addition to the current diagnostic workup of patients with possible Meniere's
disease. A lower response rate, smaller amplitude, and higher threshold of the
oVEMP indicate the pathologic disease in this population.
PMID- 21897328
TI - Regenerative treatment for tympanic membrane perforation.
AB - OBJECTIVE: To establish a tissue engineering therapy for the treatment of large
tympanic membrane perforation (TMP) without the need for conventional surgical
therapy. STUDY DESIGN: Randomized control trial. SETTING: General hospital.
PATIENTS AND METHODS: A total of 63 chronic TMPs were randomly selected from
outpatients. INTERVENTION: Of the total 63 chronic TMPs, 53 were randomly
assigned to the basic fibroblast growth factor (b-FGF) group and the remaining 10
were randomly assigned to the control group. Materials used for the TM repair
were gelatin sponge and fibrin glue with/without b-FGF. After creating a
mechanical disruption of the edge of the TMP, a gelatin sponge was immersed in b
FGF or saline (for the control group) and placed over the perforation. Fibrin
glue was dripped over the sponge as a sealant. MAIN OUTCOME MEASURES: The
effectiveness of this therapy was evaluated by closure rates, hearing level, and
sequelae 3 weeks after treatment. The treatment was repeated up to 4 times for
cases in which complete closure of the TMP was not achieved after 1 round of
treatment. RESULTS: Complete closure of the TMP was achieved in more than 98.1%
(52/53) of the patients in the b-FGF group and 10% (1/10) of the patients in the
control group. The average hearing level of all patients with successful TM
repair was improved. Serious sequelae were not observed in any patient.
CONCLUSION: This study demonstrates that a combination of gelatin sponge, b-FGF,
and fibrin glue enables the regeneration of the TM without conventional operative
procedures. This innovative regenerative therapy is an easy, safe, cost
effective, and minimally invasive outpatient treatment.
PMID- 21897329
TI - Cellular therapy to treat haematological and other malignancies: progress and
pitfalls.
AB - The recent Food and Drug Administration (FDA) approval of a cellular therapy to
treat castration resistant prostate cancer has reinforced the potential of
cellular therapy to consolidate current pharmacological approaches to treating
cancer. The emergence of the cell manufacturing facility to facilitate clinical
translation of these new methodologies allows greater access to these novel
therapies. Here we review different strategies currently being explored to treat
haematological malignancies with a focus on adoptive allogeneic or autologous
transfer of antigen specific T cells, NK cells or dendritic cells. These
approaches all aim to generate immunological responses against overexpressed
tissue antigens, mismatched minor histocompatability antigens or tumour
associated antigens. Current successes and limitations of these different
approaches will be discussed with an emphasis on challenges encountered in
generating long term engraftment, antigen selection and implementation as well as
therapeutic immune monitoring of clinical responses, with examples from recent
clinical trials.
PMID- 21897330
TI - Regulation of cellular therapy in Australia.
AB - Use of cellular products for therapeutic purposes has predominantly been
unregulated in Australia until recently. Transplant of haemopoietic progenitor
cells (HPC) for bone marrow regeneration is now a routine treatment for many
disorders with an established mechanism of facility accreditation. However, other
cellular therapies do not have any form of accreditation, are not well evaluated
and may be associated with significant risks. On 31 May 2011 the Therapeutic
Goods Administration (TGA) implemented a long heralded regulatory biologicals
framework for cell and tissue based therapies. The framework currently excludes
human HPC, organs for direct transplantation and reproductive materials which are
already covered by various forms of existing peer review and accreditation. This
new framework is a practical approach for applying regulation based on the risk
of the product to the recipient with four classes of product. Class 1 is reserved
for the least regulated products and currently does not contain any proposed
products. Class 2 will be for minimally manipulated products which will only
require manufacturing compliance and evaluation against product and other
mandatory standards before entry onto the Australian Register of Therapeutic
Goods (ARTG). Class 3 and 4 products will be more than minimally manipulated and
these cells and tissues may be used in a non-homologous manner. Class 3 and 4
products will represent a spectrum of risk where Class 4 therapies will represent
the highest potential risk to the recipient, with the same requirements for Class
2 approvals but with additional requirements for comprehensive evaluation of a
dossier for quality, safety and efficacy of the product. The extent of this
quality, safety and efficacy data will depend upon the nature of the product and
its associated risks, but will be more comprehensive for Class 4 as opposed to
Class 3 products. The only truly contentious feature of this framework is the
extremely high cost for dossier evaluation and the puzzling absence of an orphan
drug scheme for biologicals.
PMID- 21897331
TI - Gene therapy: therapeutic applications and relevance to pathology.
AB - This review discusses gene therapy as a new treatment paradigm where genetic
material is introduced into cells for therapeutic benefit. The genetic material
is the 'drug'. It can have a transient or ongoing effect depending on whether or
not the introduced genetic material becomes part of the host cell DNA. Different
delivery and gene technologies are chosen by investigators to maximise gene
delivery to, and expression within, the target cells appropriate for the disease
indication. The presence and expression of the introduced genetic material is
monitored by molecular means so that treatment efficacy can be assessed via
changes in surrogate and/or actual markers of disease. Of interest to the
pathologist will be the approaches being developed for the disease indications
highlighted and the monitoring of treatment efficacy.
PMID- 21897332
TI - Cellular therapy in the Asia-Pacific region. A guide for the future pathologist.
AB - The Asia-Pacific region includes a large number of countries offering a broad
range and quality of healthcare services. Almost every country in the region
offers at least some cellular therapies, from the highly regulated countries like
Japan, Korea and Australia, through to countries where the oversight is less
formal. The key healthcare drivers for this sector are the ageing population,
obesity epidemic, organ donation statistics and the emergence of personalised
medicine. This is a rapidly advancing field with breakthroughs announced
regularly. The Asia-Pacific region is poised to become a world leader in the
provision of this new generation of therapeutic options in a safe and
standardised manner.
PMID- 21897334
TI - Valproic acid increases susceptibility to endotoxin shock through enhanced
release of high-mobility group box 1.
AB - High-mobility group box 1 (HMGB1) is a nuclear factor and a secreted protein.
During inflammation, HMGB1 is secreted into the extracellular space where it can
interact with the receptor for advanced glycation end products and trigger
proinflammatory signals. Extracellular HMGB1 plays a critical role in several
inflammatory diseases such as sepsis and rheumatoid arthritis. Valproic acid
(VPA) is one of the most frequently prescribed antiepileptic drugs. The present
study was undertaken to investigate the effect of VPA on secretion of HMGB1 in
systemic inflammatory responses induced by lipopolysaccharide. Pretreatment with
VPA increased the susceptibility of mice to lipopolysaccharide in endotoxemia.
Valproic acid induced HMGB1 release and nuclear factor kappaB activation in RAW
blue cells. Valproic acid promoted the phosphorylation of ERK1/2 but not that of
p38 or JNK. The MEK1/2 inhibitor PD98059 also suppressed HMGB1 release and
activation of nuclear factor kappaB induced by VPA. Valproic acid induced
expression of gamma-aminobutyric acid receptors in macrophages, and picrotoxin, a
gamma-aminobutyric acid A receptor antagonist, inhibited the VPA-activated
phosphorylation of ERK and VPA-induced HMGB1 release. These results suggest that
VPA may exacerbate innate immune responses to endotoxin through enhanced release
of HMGB1.
PMID- 21897335
TI - Activated protein C restores hepatic microcirculation during sepsis by modulating
vasoregulator expression.
AB - Activated protein C (aPC) promotes fibrinolysis while inhibiting coagulation and
inflammation. In septic patients, aPC levels are depleted, and aPC treatment has
emerged as a therapeutic option. To better understand the mechanism(s) by which
aPC improves survival in sepsis, we sought to determine the effect of aPC
treatment on hepatic vasoactive gene and protein expression, leading to changes
in hepatic vascular responsiveness in a septic animal model. Under anesthesia,
rats underwent sham or cecal ligation and puncture followed by aPC treatment (1
mg/kg, twice daily, i.v.). Treatment with aPC significantly decreased hepatic
endothelin 1 (ET-1)/ET A receptor mRNA and protein expression. To determine the
effect of aPC on hepatic microvasculature, ET-1-induced changes in liver
microcirculation were assessed by intravital microscopy. This approach
demonstrated aPC significantly improved hepatic perfusion index in the animals
that underwent cecal ligation and puncture in the absence of significant changes
in portal venous pressure. Furthermore, although aPC did not affect ET-1
dependent sinusoidal vasoconstriction, aPC induced hepatoprotective effects via
enhanced red blood cell velocity. Collectively, these data demonstrate aPC
ameliorates ET-1-dependent changes in hepatic microcirculation and improves
hepatic function in the setting of sepsis.
PMID- 21897336
TI - The influence of experimental alcohol load and alcohol intoxication on S100B
concentrations.
AB - Because nearly 50% of patients with mild head trauma are alcohol intoxicated, it
often remains unclear if the neurological deficits are due to alcohol
intoxication or to intracerebral damage. To avoid unnecessary head computed
tomography investigations in patients with mild head trauma, S100B is currently
used as an exclusion marker for cellular brain damage. However, whether S100B
levels are influenced by alcohol itself remains to be unclear. Therefore, we
performed a case-control study of nontraumatized, alcohol-intoxicated patients to
prove if serum S100B is altered by alcohol uptake. Furthermore, we investigated
if alcohol infusions combined with an initial oral alcohol load up to a blood
alcohol steady state of 100 mg/dL affected S100B levels in healthy volunteers (n
= 12). In this standardized experimental procedure, no significant increase in
S100B levels could be found. In contrast, compared with the control group (n = 60
sober and healthy), the ethyl alcohol-intoxicated patients (n = 61; mean ethyl
alcohol, 251 [SD, 87] mg/dL) had higher S100B concentrations (0.193 [SD, 0.45]
vs. 0.063 [SD, 0.059] MUg/L; P < 0.001), and 39% of them had levels greater than
the pathologic cutoff at greater than 0.104 MUg/L. However, no significant
correlation was found between ethyl alcohol concentrations and S100B within the
respective group. Our clinical data suggest that blood alcohol concentrations far
in excess of 100 mg/dL are associated with increased S100B levels in alcohol
intoxicated patients.
PMID- 21897333
TI - Genes linked to energy metabolism and immunoregulatory mechanisms are associated
with subcutaneous adipose tissue distribution in HIV-infected men.
AB - OBJECTIVE: Genetic studies may help explain abnormalities of fat distribution in
HIV-infected patients treated with antiretroviral therapy (ARV). METHODS:
Subcutaneous adipose tissue (SAT) volume measured by MRI in the leg, the lower
trunk, the upper trunk, and the arm was examined in 192 HIV-infected White men,
ARV-treated from the Fat Redistribution and Metabolic Change in HIV infection
study. Single-nucleotide polymorphisms were assayed using the Illumina Human
CNV370-quad beadchip. Multivariate and univariate genome-wide association
analyses of the four SAT depots were implemented in PLINK software adjusted for
age and ARV duration. Functional annotation analysis using Ingenuity Systems
Pathway Analysis tool was carried out for markers with P lower than 10(-3) near
known genes identified by multivariate analysis. RESULTS: Loci (rs10504906,
rs13267998, rs921231) in or near the anion exchanger solute carrier family 26,
member 7 isoform a (SLC26A7) were strongly associated with the upper trunk and
the arm SAT (9.8*10(-7) <=P<7.8*10(-6)). Loci (rs193139, rs7523050, rs1761621) in
and near a gene-rich region including G-protein-signaling modulator 2 (GPSM2) and
syntaxin-binding protein 3 (STXBP3) were significantly associated with the lower
body SAT depots (9.9*10(-7) <=P<9.5*10(-6)). GPSM2 is associated with cell
division and cancer whereas STXBP3 is associated with glucose metabolism in
adipoctyes. Ingenuity Systems Pathway Analysis identified atherosclerosis,
mitochondrial function, and T-cell-mediated apoptosis as processes related to SAT
volume in HIV-infected individuals (P<5*10(-3)). CONCLUSION: Our results are
limited by the small sample size and replication is needed; however, this genomic
scan uncovered new genes associated with metabolism and inflammatory pathways
that may affect SAT volume in ARV-treated HIV-infected patients.
PMID- 21897337
TI - Total plasma sulfide as a marker of shock severity in nonsurgical adult patients.
AB - Previous animal and human studies have suggested that total plasma sulfide plays
a role in the pathophysiology of shock. This study's aim was to determine the
value of total plasma sulfide as a marker of shock severity in nonsurgical adult
patients admitted to the ICU. Forty-one patients, with various types of shock
(septic, cardiogenic, obstructive, and hypovolemic), were included in the study,
with an average total plasma sulfide concentration of 23.2 +/- 26.3 uM. Survivors
(of shock) had lower total plasma sulfide concentrations than nonsurvivors (13.0
+/- 26.3 vs. 31.9 +/- 31.5 uM; P = 0.02). Total plasma sulfide correlated with
dose of administered norepinephrine (R linear = 0.829; P = 0.001) and with Acute
Physiology and Chronic Health Evaluation II (APACHE II) score (R cubic = 0.767; P
= 0.001). Area under the receiver operating characteristic for total plasma
sulfide as a predictor of ICU mortality was 0.739 (confidence interval, 0.587
0.892; P = 0.009). Even after correcting for APACHE II score and lactate values,
total plasma sulfide correlated with mortality (odds ratio, 1.058; 95% confidence
interval, 1.001-1.118; P = 0.045). The study provides evidence that, in
nonsurgical adult ICU patients admitted because of any type of shock, total
plasma sulfide correlates with administered norepinephrine dose at admission,
severity of disease (APACHE II score >=30 points), and survival outcome.
PMID- 21897338
TI - Nebulized anticoagulants limit coagulopathy but not inflammation in pseudomonas
aeruginosa-induced pneumonia in rats.
AB - Disturbed alveolar fibrin turnover is a characteristic feature of pneumonia.
Inhibitors of coagulation could exert lung-protective effects via anticoagulant
(inhibiting fibrin deposition) and possibly anti-inflammatory pathways, but could
also affect host defense. In this randomized controlled in vivo laboratory study,
rats were challenged intratracheally with Pseudomonas aeruginosa, inducing
pneumonia, and randomized to local treatment with normal saline (placebo),
recombinant human activated protein C (rh-APC), plasma-derived antithrombin (AT),
heparin, or danaparoid. Induction of P. aeruginosa pneumonia resulted in
activation of pulmonary coagulation and inhibition of pulmonary fibrinolysis, as
reflected by increased pulmonary levels of thrombin-AT complexes and fibrin
degradation products and decreased pulmonary levels plasminogen activator
activity. Pseudomonas aeruginosa pneumonia was accompanied by systemic
coagulopathy, since systemic levels of thrombin-AT complexes increased, and
systemic levels of plasminogen activator activity decreased. Although rh-APC and
plasma-derived AT potently limited pulmonary coagulopathy, neither heparin nor
danaparoid affected net pulmonary fibrin turnover. Recombinant human APC also
displayed systemic anticoagulant effects. Neither bacterial clearance nor
pulmonary inflammation was affected by anticoagulant therapy. Nebulization of rh
APC or plasma-derived AT attenuated pulmonary coagulopathy, but not bacterial
clearance or inflammation, in a rat model of P. aeruginosa pneumonia.
PMID- 21897339
TI - Longitudinal associations between physical load and chronic low back pain in the
general population: the Doetinchem Cohort Study.
AB - STUDY DESIGN: Prospective cohort study. OBJECTIVE: We explored long-term
associations between physical load exposure and chronic low back pain (LBP) using
data from an ongoing population-based cohort study. SUMMARY OF BACKGROUND DATA:
Physical load in work or daily life is often studied in relation to LBP. Most
studies are cross-sectional or have a limited follow-up. METHODS: Between 1993
and 2007, 4738 men and women aged 25 to 64 years were measured maximal 3 times
with 5-year intervals. Physical load in daily activities (9 items, e.g., awkward
postures, mechanical vibration) was assessed by questionnaire at 2 measurements
and chronic LBP 3 times. Physical load exposure at both measurements was
described. Multivariable logistic regression analyses were adjusted for sex, age,
education, work status, body mass index, physical activity, and smoking. RESULTS:
Despite stable prevalence rates of physical load exposure, about 50% of the
participants exposed changed their exposure during a 5-year period. 7.2% of the
participants reported awkward postures at 2 measurements, 8.4% at the first
measurement only, and 6.8% at the second measurement only. Among all physical
load variables, associations with chronic LBP were found only for awkward
postures. An increased risk for incident chronic LBP was found in participants
exposed twice to awkward postures. In contrast, only single exposure to awkward
postures was associated with persistence of chronic LBP. CONCLUSION: Awkward
postures were associated with chronic LBP in the general population. Exposure to
awkward postures at 2 measurements with 5 years in between did increase the risk
for incident chronic LBP, but not for persistence of chronic LBP.
PMID- 21897340
TI - Phraseology of disc herniation: an inevitable revision: passing the posterior
disc prolapse--retrolapse is superior.
PMID- 21897341
TI - Support of concept that migrating progenitor cells from stem cell niches
contribute to normal regeneration of the adult mammal intervertebral disc: a
descriptive study in the New Zealand white rabbit.
AB - STUDY DESIGN: Descriptive experimental study performed in rabbits of 2 age
groups. OBJECTIVE: To study and investigate presence of prechondrocytic cells and
cell migration routes (MR) in the intervertebral disc (IVD) region to gain
knowledge about the normal IVD regeneration pattern. SUMMARY OF BACKGROUND DATA:
Disc degeneration is thought to play a major role in patients with chronic lumbar
pain. Regeneration processes and cell migration within the IVD have been sparsely
described. Therefore, it is of interest to increase knowledge of these processes
in order to understand pathological conditions of the IVD. METHODS: At the
beginning of the experiment, 5-bromo-2-deoxyuridine (BrdU) in vivo labeling was
performed in 2 groups of rabbits, 3 and 9 months old (total 27 rabbits). BrdU is
incorporated into DNA during mitosis, and then it is gradually diluted with each
cell division until it finally disappears. Incorporation of BrdU was then
visualized by immunohistochemistry (IHC) at different time points providing cell
division pattern and presence of slow-cycling cells in the IVD region. IVD tissue
was investigated by IHC for growth and differentiation factor-5 (GDF5), SOX9
(chondrogenic lineage markers), SNAIL homolog 1 (SNAI1), SNAIL homolog 2 (SLUG)
(migration markers), and beta1-INTEGRIN (cellular adhesion marker). In addition,
GDF5, SOX9, and BMPRIB expression were investigated on genetic level. RESULTS:
BrdU cells were observed in early time points in the IVD niche, adjacent to the
epiphyseal plate, at later time points mainly in outer region of the annulus
fibrosus for both age groups of rabbits, indicating a gradual migration of cells.
The presence of SLUG, SNAI1, GDF5, SOX9, and beta1-INTEGRIN was found in same
regions. CONCLUSION: The results suggest a cellular MR from the IVD stem cell
niche toward the annulus fibrosus and the inner parts of the IVD. These findings
may be of importance for understanding IVD regenerative mechanisms and for future
development of biological treatment strategies.
PMID- 21897343
TI - A randomized, controlled, double-blind trial of fluoroscopic caudal epidural
injections in the treatment of lumbar disc herniation and radiculitis.
AB - STUDY DESIGN: A randomized, controlled, double-blind trial. OBJECTIVE: To assess
the effectiveness of fluoroscopically directed caudal epidural injections in
managing chronic low back and lower extremity pain in patients with disc
herniation and radiculitis with local anesthetic with or without steroids.
SUMMARY OF BACKGROUND DATA: The available literature on the effectiveness of
epidural injections in managing chronic low back pain secondary to disc
herniation is highly variable. METHODS: One hundred twenty patients suffering
with low back and lower extremity pain with disc herniation and radiculitis were
randomized to one of the two groups: group I received caudal epidural injections
with an injection of local anesthetic, lidocaine 0.5%, 10 mL; group II patients
received caudal epidural injections with 0.5% lidocaine, 9 mL, mixed with 1 mL of
steroid. The Numeric Rating Scale (NRS), the Oswestry Disability Index 2.0 (ODI),
employment status, and opioid intake were utilized with assessment at 3, 6, and
12 months posttreatment. RESULTS: The percentage of patients with significant
pain relief of 50% or greater and/or improvement in functional status with 50% or
more reduction in ODI scores was seen in 70% and 67% in group I and 77% and 75%
in group II with average procedures per year of 3.8 +/- 1.4 in group I and 3.6 +
1.1 in group II. However, the relief with first and second procedures was
significantly higher in the steroid group. The number of injections performed was
also higher in local anesthetic group even though overall relief was without any
significant difference among the groups. There was no difference among the
patients receiving steroids. CONCLUSION: Caudal epidural injection with local
anesthetic with or without steroids might be effective in patients with disc
herniation or radiculitis. The present evidence illustrates potential superiority
of steroids compared with local anesthetic at 1-year follow-up.
PMID- 21897345
TI - Reliability of clinical measurement for assessing spinal fusion: an experimental
sheep study.
AB - STUDY DESIGN: A sheep study designed to compare the accuracy of static
radiographs, dynamic radiographs, and computed tomographic (CT) scans for the
assessment of thoracolumbar facet joint fusion as determined by micro-CT
scanning. OBJECTIVE: To determine the accuracy and reliability of conventional
imaging techniques in identifying the status of thoracolumbar (T13-L1) facet
joint fusion in a sheep model. SUMMARY OF BACKGROUND DATA: Plain radiographs are
commonly used to determine the integrity of surgical arthrodesis of the
thoracolumbar spine. Many previous studies of fusion success have relied solely
on postoperative assessment of plain radiographs, a technique lacking sensitivity
for pseudarthrosis. CT may be a more reliable technique, but is less well
characterized. METHODS: Eleven adult sheep were randomized to either attempted
arthrodesis using autogenous bone graft and internal fixation (n = 3) or
intentional pseudarthrosis (IP) using oxidized cellulose and internal fixation (n
= 8). After 6 months, facet joint fusion was assessed by independent observers,
using (1) plain static radiography alone, (2) additional dynamic radiographs, and
(3) additional reconstructed spiral CT imaging. These assessments were correlated
with high-resolution micro-CT imaging to predict the utility of the conventional
imaging techniques in the estimation of fusion success. RESULTS: The capacity of
plain radiography alone to correctly predict fusion or pseudarthrosis was 43% and
was not improved using plain radiography and dynamic radiography with also a 43%
accuracy. Adding assessment by reformatted CT imaging to the plain radiography
techniques increased the capacity to predict fusion outcome to 86% correctly. The
sensitivity, specificity, and accuracy of static radiography were 0.33, 0.55, and
0.43, respectively, those of dynamic radiography were 0.46, 0.40, and 0.43,
respectively, and those of radiography plus CT were 0.88, 0.85, and 0.86,
respectively. CONCLUSION: CT-based evaluation correlated most closely with high
resolution micro-CT imaging. Neither plain static nor dynamic radiographs were
able to predict fusion outcome accurately.
PMID- 21897344
TI - Oxiplex reduces leg pain, back pain, and associated symptoms after lumbar
discectomy.
AB - STUDY DESIGN: Prospective, randomized, blinded clinical trial. OBJECTIVE: To
evaluate effectiveness of Oxiplex gel for reduction of pain and associated
symptoms after lumbar discectomy. SUMMARY OF BACKGROUND DATA: Oxiplex gel
(carboxymethylcellulose, polyethylene oxide, and calcium) is used during
discectomy to coat the surgical site for reduction of pain and symptoms after
lumbar discectomy. METHODS: Patients undergoing single-level lumbar discectomy
performed by laminectomy or laminotomy and randomized to receive either surgery
plus Oxiplex gel (treatment group) or surgery alone (control group) were assessed
6 months after surgery using (1) a quality of life questionnaire (Lumbar Spine
Outcomes Questionnaire [LSOQ]) and (2) clinical evaluations. RESULTS: There were
no statistically significant differences in baseline demographics, surgical
procedures, LSOQ scores, and clinical evaluations between treatment (N = 177) and
control (N = 175) groups. More gel-treated patients were satisfied with outcome
of their surgical treatment than control patients (P = 0.05). The gel-treated
group showed greater reductions in pain and symptoms from baseline compared with
surgery-only controls. Additional benefits of gel were consistently shown in
reduction of leg and back pain at 6 months in the patient population having
substantial back pain at baseline (greater than or equal to the median LSOQ pain
score of 63). In that population, there was a statistically significant reduction
of leg pain and back pain (P < 0.01) in the treatment group compared with
controls. Fewer patients in the treatment group had abnormal musculoskeletal
physical examinations at 6 months compared with controls. There were no cases of
cerebrospinal fluid leaks and no differences in laboratory values or vital signs.
Patients in the treatment group had less hypoesthesia, paraesthesia, sensory
loss, and fewer reoperations during the 6-month follow-up than controls (1 vs.
6). CONCLUSION: These data demonstrate improvements in clinical outcomes
resulting from the use of Oxiplex gel in discectomy procedures for treatment of
lumbar disc herniation.
PMID- 21897346
TI - Fusion versus nonoperative management for chronic low back pain: do comorbid
diseases or general health factors affect outcome?
AB - STUDY DESIGN: Systematic review of literature focused on heterogeneity of
treatment effect analysis. OBJECTIVE: The objectives of this systematic review
were to determine if comorbid disease and general health factors modify the
effect of fusion versus nonoperative management in chronic low back pain (CLBP)
patients? SUMMARY OF BACKGROUND DATA: Surgical fusion as a treatment of back pain
continues to be controversial due to inconsistent responses to treatment. The
reasons for this are multifactorial but may include heterogeneity in the patient
population and in surgeon's attitudes and approaches to this complex problem.
There is a relative paucity of high quality publications from which to draw
conclusions. We were interested in investigating the possibility of detecting
treatment response differences comparing fusion to conservative management for
CLBP among subpopulations with different disease specific and general health risk
factors. METHODS: A systematic search was conducted in MEDLINE and the Cochrane
Collaboration Library for literature published from 1990 through December 2010.
To evaluate whether the effects of CLBP treatment varied by disease or general
health subgroups, we sought randomized controlled trials or nonrandomized
observational studies with concurrent controls evaluating surgical fusion versus
nonoperative management for CLBP. Of the original 127 citations identified, only
5 reported treatment effects (fusion vs. conservative management) separately by
disease and general health subgroups of interest. Of those, only two focused on
patients who had primarily back pain without spinal stenosis or
spondylolisthesis. RESULTS: Few studies comparing fusion to nonoperative
management reported differences in outcome by specific disease or general health
subpopulations. Among those that did, we observed the effect of fusion compared
to nonoperative management was slightly more favorable in patients with no
additional comorbidities compared with those with additional comorbidities and
more marked in nonsmokers compared with smokers. CONCLUSION: It is unclear from
the literature which patients are the best candidates for fusion versus
conservative management when experiencing CLBP without significant neurological
impairment. Nonsmokers may be more likely to have a favorable surgical fusion
outcome in CLBP patients. Comorbid disease presence has not been shown to
definitively modify the effect of fusion. Further prospective studies that are
designed to evaluate these and other subgroup effects are encouraged to confirm
these findings. CLINICAL RECOMMENDATIONS: We recommend optimizing the management
of medical co-morbidities and smoking cessation before considering surgical
fusion in CLBP patients. Strength of recommendation: Weak.
PMID- 21897347
TI - Evaluating the correlation and responsiveness of patient-reported pain with
function and quality-of-life outcomes after spine surgery.
AB - STUDY DESIGN: Systematic review. OBJECTIVE: To determine the correlation of
patient-reported pain with physical function and health-related quality of life
(HRQoL) after spine surgery and to determine the responsiveness of pain, physical
function, and HRQoL after spine surgery. SUMMARY OF BACKGROUND DATA: Several
validated outcome instruments are available to assess the success of treatment
for chronic low back pain. These patient-centered tools include measurements for
pain based on numeric scales, validated condition-specific functional outcomes
measures, and HRQoL outcomes measures. It is unclear whether these three types of
patient-reported outcomes are measuring different constructs and whether all
three should be measured after spine surgery. In addition, it is unclear which of
these outcomes measures is most sensitive to change after spine surgery for low
back pain. METHODS: A systematic search was conducted in MEDLINE, EMBASE, and the
Cochrane Collaboration Library for literature published through December 2010.
The correlation between pain (visual analog scale, VAS), physical function
(Oswestry Disability Index, ODI), and HRQoL (36-Item Short Form Health Survey [SF
36] and European Quality of Life [EQ-5D]) change scores was performed using the
Spearman rank correlation coefficients. To compare the responsiveness of pain,
function, and HRQoL scores after spine surgery, we calculated effect sizes by
dividing change scores by the SD of the baseline scores. This standardized method
allowed us to compare the responsiveness of each outcome measure directly and
reported an effect size of 0.2 to 0.3 as a "small" effect, around 0.5 a "medium"
effect and 0.8 to infinity, a "large" effect. To determine whether the
differences in effect sizes measuring responsiveness were significantly
different, we conducted a Wilcoxon signed-rank test between each of the three
measurements of pain, function, and HRQoL scores when there was enough data to
perform the test. RESULTS: None of the correlations exceeded 0.70 using the
Spearman rank correlation coefficients, suggesting that these outcomes are
measuring different constructs. The strongest correlations were between the VAS
back pain change scores and the SF-36 physical composite score change scores (rho
= 0.67) and VAS back pain change scores and ODI change scores (rho = 0.69). The
pooled mean effect sizes for the five studies that reported a pain measure and
the ODI were 1.4 +/- 0.57 and 1.1 +/- 0.39, respectively. Both are considered
"large" effect sizes. The pooled mean effect sizes for the three studies
reporting the SF-36 physical and mental composite scores were 0.66 +/- 0.39 and
0.54 +/- 0.36, respectively. Both are considered "medium" effect sizes. The
pooled mean effect sizes for the single studies reporting the EQ-5D and SF-36
total score were 0.78 +/- 0.12 and 0.34 +/- 0.21. These were "medium" and
"small," respectively. CONCLUSION: We observed little correlation between the
change in pain and the change in HRQoL outcomes measures. The strongest
correlation was between VAS pain and ODI but was still not considered strong
(0.69). These findings suggest that these three outcomes (pain, function, and
HRQoL) are measuring different constructs. With respect to responsiveness, VAS
pain and ODI were the only outcomes measures that demonstrated a large effect
after lumbar spine surgery. None of the HRQoL tools were as sensitive to the
treatment. The EQ-5D, SF physical composite, and SF mental composite outcomes
demonstrated a medium effect, while the SF-36 total score demonstrated a small
effect. The responsive measure shows that the more specific the outcomes tool,
the more sensitive the response. CLINICAL RECOMMENDATIONS: Recommendation 1: When
surgically treating CLBP, we recommend administering both a VAS for pain and a
condition-specific physical measure such as the ODI before and after surgical
intervention as these outcomes are the most treatment specific and responsive to
change. Strength of recommendation: Strong.Recommendation 2: When evaluating the
surgical outcomes for CLBP in the clinical-research setting, we recommend
selecting a shorter version for measuring general HRQoL (e.g., SF-12, EQ-5D) to
minimize clinician and patient burden. Strength of recommendation: Strong.
PMID- 21897348
TI - Ticagrelor (Brilinta)--better than clopidogrel (Plavix)?
AB - The FDA has approved ticagrelor (Brilinta-AstraZeneca), an oral antiplatelet
drug, for use with low-dose aspirin to reduce the rate of thrombotic
cardiovascular events in patients with acute coronary syndrome (ACS). It will
compete with clopidogrel (Plavix) and prasugrel (Effient) for such use.
Clopidogrel is expected to become available generically in the US within the next
few months.
PMID- 21897349
TI - Gabapentin encarbil (Horizant) for restless leg syndrome.
AB - Gabapentin enacarbil (Horizant-GlaxoSmithKline), a new extended-release (ER)
tablet formulation of gabapentin, has been approved by the FDA for treatment of
moderate-to-severe restless legs syndrome (RLS). The immediate-release (IR)
formulation of gabapentin (Neurontin,and others), which is approved for treatment
of epilepsy and postherpetic neuralgia, has been used for many years to treat
RLS. Another ER tablet formulation of gabapentin (Gralise) has been approved by
the FDA for treatment of postherpetic neuralgia.
PMID- 21897350
TI - Screening for melanoma.
PMID- 21897351
TI - Biochemical measurement of neonatal hypoxia.
AB - Neonatal hypoxia ischemia is characterized by inadequate blood perfusion of a
tissue or a systemic lack of oxygen. This condition is thought to
cause/exacerbate well documented neonatal disorders including neurological
impairment. Decreased adenosine triphosphate production occurs due to a lack of
oxidative phosphorylation. To compensate for this energy deprived state molecules
containing high energy phosphate bonds are degraded. This leads to increased
levels of adenosine which is subsequently degraded to inosine, hypoxanthine,
xanthine, and finally to uric acid. The final two steps in this degradation
process are performed by xanthine oxidoreductase. This enzyme exists in the form
of xanthine dehydrogenase under normoxic conditions but is converted to xanthine
oxidase (XO) under hypoxia-reperfusion circumstances. Unlike xanthine
dehydrogenase, XO generates hydrogen peroxide as a byproduct of purine
degradation. This hydrogen peroxide in combination with other reactive oxygen
species (ROS) produced during hypoxia, oxidizes uric acid to form allantoin and
reacts with lipid membranes to generate malondialdehyde (MDA). Most mammals,
humans exempted, possess the enzyme uricase, which converts uric acid to
allantoin. In humans, however, allantoin can only be formed by ROS-mediated
oxidation of uric acid. Because of this, allantoin is considered to be a marker
of oxidative stress in humans, but not in the mammals that have uricase. We
describe methods employing high pressure liquid chromatography (HPLC) and gas
chromatography mass spectrometry (GCMS) to measure biochemical markers of
neonatal hypoxia ischemia. Human blood is used for most tests. Animal blood may
also be used while recognizing the potential for uricase-generated allantoin.
Purine metabolites were linked to hypoxia as early as 1963 and the reliability of
hypoxanthine, xanthine, and uric acid as biochemical indicators of neonatal
hypoxia was validated by several investigators. The HPLC method used for the
quantification of purine compounds is fast, reliable, and reproducible. The GC/MS
method used for the quantification of allantoin, a relatively new marker of
oxidative stress, was adapted from Gruber et al. This method avoids certain
artifacts and requires low volumes of sample. Methods used for synthesis of MMDA
were described elsewhere. GC/MS based quantification of MDA was adapted from
Paroni et al. and Cighetti et al. Xanthine oxidase activity was measured by HPLC
by quantifying the conversion of pterin to isoxanthopterin. This approach proved
to be sufficiently sensitive and reproducible.
PMID- 21897352
TI - Time-lapse microscopy of early embryogenesis in Caenorhabditis elegans.
AB - Caenorhabditis elegans has often been used as a model system in studies of early
developmental processes. The transparency of the embryos, the genetic resources,
and the relative ease of transformation are qualities that make C. elegans an
excellent model for early embryogenesis. Laser-based confocal microscopy and
fluorescently labeled tags allow researchers to follow specific cellular
structures and proteins in the developing embryo. For example, one can follow
specific organelles, such as lysosomes or mitochondria, using fluorescently
labeled dyes. These dyes can be delivered to the early embryo by means of
microinjection into the adult gonad. Also, the localization of specific proteins
can be followed using fluorescent protein tags. Examples are presented here
demonstrating the use of a fluorescent lysosomal dye as well as fluorescently
tagged histone and ubiquitin proteins. The labeled histone is used to visualize
the DNA and thus identify the stage of the cell cycle. GFP-tagged ubiquitin
reveals the dynamics of ubiquitinated vesicles in the early embryo. Observations
of labeled lysosomes and GFP:: ubiquitin can be used to determine if there is
colocalization between ubiquitinated vesicles and lysosomes. A technique for the
microinjection of the lysosomal dye is presented. Techniques for generating
transgenenic strains are presented elsewhere. For imaging, embryos are cut out of
adult hermaphrodite nematodes and mounted onto 2% agarose pads followed by time
lapse microscopy on a standard laser scanning confocal microscope or a spinning
disk confocal microscope. This methodology provides for the high resolution
visualization of early embryogenesis.
PMID- 21897353
TI - Brain imaging investigation of the neural correlates of emotional
autobiographical recollection.
AB - Recollection of emotional autobiographical memories (AMs) is important to healthy
cognitive and affective functioning--remembering positive AMs is associated with
increased personal well-being and self-esteem, whereas remembering and ruminating
on negative AMs may lead to affective disorders. Although significant progress
has been made in understanding the brain mechanisms underlying AM retrieval in
general, less is known about the effect of emotion on the subjective re
experience of AMs and the associated neural correlates. This is in part due to
the fact that, unlike the investigations of the emotion effect on memory for
laboratory-based microevents, often times AM studies do not have a clear focus on
the emotional aspects of remembering personal events. Here, we present a protocol
that allows investigation of the neural correlates of recollecting emotional AMs
using functional magnetic resonance imaging (fMRI). Cues for these memories are
collected prior to scanning by means of an autobiographical memory questionnaire
(AMQ), therefore allowing for proper selection of emotional AMs based on their
phenomenological properties (i.e., intensity, vividness, personal significance).
This protocol can be used in healthy and clinical populations alike.
PMID- 21897354
TI - Brain imaging investigation of the neural correlates of emotion regulation.
AB - The ability to control/regulate emotions is an important coping mechanism in the
face of emotionally stressful situations. Although significant progress has been
made in understanding conscious/deliberate emotion regulation (ER), less is known
about non-conscious/automatic ER and the associated neural correlates. This is in
part due to the problems inherent in the unitary concepts of automatic and
conscious processing. Here, we present a protocol that allows investigation of
the neural correlates of both deliberate and automatic ER using functional
magnetic resonance imaging (fMRI). This protocol allows new avenues of inquiry
into various aspects of ER. For instance, the experimental design allows
manipulation of the goal to regulate emotion (conscious vs. non-conscious), as
well as the intensity of the emotional challenge (high vs. low). Moreover, it
allows investigation of both immediate (emotion perception) and long-term effects
(emotional memory) of ER strategies on emotion processing. Therefore, this
protocol may contribute to better understanding of the neural mechanisms of
emotion regulation in healthy behaviour, and to gaining insight into possible
causes of deficits in depression and anxiety disorders in which emotion
dysregulation is often among the core debilitating features.
PMID- 21897355
TI - Preparation of adult Drosophila eyes for thin sectioning and microscopic
analysis.
AB - Drosophila has long been used as model system to study development, mainly due to
the ease with which it is genetically tractable. Over the years, a plethora of
mutant strains and technical tricks have been developed to allow sophisticated
questions to be asked and answered in a reasonable amount of time. Fundamental
insight into the interplay of components of all known major signaling pathways
has been obtained in forward and reverse genetic Drosophila studies. The fly eye
has proven to be exceptionally well suited for mutational analysis, since, under
laboratory conditions, flies can survive without functional eyes. Furthermore,
the surface of the insect eye is composed of some 800 individual unit eyes
(facets or ommatidia) that form a regular, smooth surface when looked at under a
dissecting microscope. Thus, it is easy to see whether a mutation might affect
eye development or growth by externally looking for the loss of the smooth
surface ('rough eye' phenotype; Fig. 1) or overall eye size, respectively (for
examples of screens based on external eye morphology see e.g.). Subsequent
detailed analyses of eye phenotypes require fixation, plastic embedding and thin
sectioning of adult eyes. The Drosophila eye develops from the so-called eye
imaginal disc, a bag of epithelial cells that proliferate and differentiate
during larval and pupal stages (for review see e.g.). Each ommatidium consists of
20 cells, including eight photoreceptors (PR or R-cells; Fig. 2), four lens
secreting cone cells, pigment cells ('hexagon' around R-cell cluster) and a
bristle. The photoreceptors of each ommatidium, most easily identified by their
light sensitive organelles, the rhabdomeres, are organized in a trapezoid made up
of the six "outer" (R1-6) and two "inner" photoreceptors (R7/8; R8 [Fig. 2] is
underneath R7 and thus only seen in sections from deeper areas of the eye). The
trapezoid of each facet is precisely aligned with those of its neighbors and the
overall anteroposterior and dorsoventral axes of the eye (Fig. 3A). In
particular, the ommatidia of the dorsal and ventral (black and red arrows,
respectively) halves of the eye are mirror images of each other and correspond to
two chiral forms established during planar cell polarity signaling (for review
see e.g.). The method to generate semi-thin eye sections (such as those presented
in Fig. 3) described here is slightly modified from the one originally described
by Tomlinson and Ready. It allows the morphological analysis of all cells except
for the transparent cone cells. In addition, the pigment of R-cells (blue
arrowheads in Fig. 2 and 3) can be used as a cell-autonomous marker for the
genotype of a R-cell, thus genetic requirements of genes in a subset of R-cells
can readily be determined.
PMID- 21897356
TI - Micro-mechanical characterization of lung tissue using atomic force microscopy.
AB - Matrix stiffness strongly influences growth, differentiation and function of
adherent cells. On the macro scale the stiffness of tissues and organs within the
human body span several orders of magnitude. Much less is known about how
stiffness varies spatially within tissues, and what the scope and spatial scale
of stiffness changes are in disease processes that result in tissue remodeling.
To better understand how changes in matrix stiffness contribute to cellular
physiology in health and disease, measurements of tissue stiffness obtained at a
spatial scale relevant to resident cells are needed. This is particularly true
for the lung, a highly compliant and elastic tissue in which matrix remodeling is
a prominent feature in diseases such as asthma, emphysema, hypertension and
fibrosis. To characterize the local mechanical environment of lung parenchyma at
a spatial scale relevant to resident cells, we have developed methods to directly
measure the local elastic properties of fresh murine lung tissue using atomic
force microscopy (AFM) microindentation. With appropriate choice of AFM indentor,
cantilever, and indentation depth, these methods allow measurements of local
tissue shear modulus in parallel with phase contrast and fluorescence imaging of
the region of interest. Systematic sampling of tissue strips provides maps of
tissue mechanical properties that reveal local spatial variations in shear
modulus. Correlations between mechanical properties and underlying anatomical and
pathological features illustrate how stiffness varies with matrix deposition in
fibrosis. These methods can be extended to other soft tissues and disease
processes to reveal how local tissue mechanical properties vary across space and
disease progression.
PMID- 21897357
TI - Dissection of the adult zebrafish kidney.
AB - Researchers working in the burgeoning field of adult stem cell biology seek to
understand the signals that regulate the behavior and function of stem cells
during normal homeostasis and disease states. The understanding of adult stem
cells has broad reaching implications for the future of regenerative medicine.
For example, better knowledge about adult stem cell biology can facilitate the
design of therapeutic strategies in which organs are triggered to heal themselves
or even the creation of methods for growing organs in vitro that can be
transplanted into humans. The zebrafish has become a powerful animal model for
the study of vertebrate cell biology. There has been extensive documentation and
analysis of embryonic development in the zebrafish. Only recently have scientists
sought to document adult anatomy and surgical dissection techniques, as there has
been a progressive movement within the zebrafish community to broaden the
applications of this research organism to adult studies. For example, there are
expanding interests in using zebrafish to investigate the biology of adult stem
cell populations and make sophisticated adult models of diseases such as cancer.
Historically, isolation of the zebrafish adult kidney has been instrumental for
studying hematopoiesis, as the kidney is the anatomical location of blood cell
production in fish. The kidney is composed of nephron functional units found in
arborized arrangements, surrounded by hematopoietic tissue that is dispersed
throughout the intervening spaces. The hematopoietic component consists of
hematopoietic stem cells (HSCs) and their progeny that inhabit the kidney until
they terminally differentiate. In addition, it is now appreciated that a group of
renal stem/progenitor cells (RPCs) also inhabit the zebrafish kidney organ and
enable both kidney regeneration and growth, as observed in other fish species. In
light of this new discovery, the zebrafish kidney is one organ that houses the
location of two exciting opportunities for adult stem cell biology studies. It is
clear that many outstanding questions could be well served with this experimental
system. To encourage expansion of this field, it is beneficial to document
detailed methods of visualizing and then isolating the adult zebrafish kidney
organ. This protocol details our procedure for dissection of the adult kidney
from both unfixed and fixed animals. Dissection of the kidney organ can be used
to isolate and characterize hematopoietic and renal stem cells and their
offspring using established techniques such as histology, fluorescence activated
cell sorting (FACS), expression profiling, and transplantation. We hope that
dissemination of this protocol will provide researchers with the knowledge to
implement broader use of zebrafish studies that ultimately can be translated for
human application.
PMID- 21897358
TI - Laser ablation of the zebrafish pronephros to study renal epithelial
regeneration.
AB - Acute kidney injury (AKI) is characterized by high mortality rates from
deterioration of renal function over a period of hours or days that culminates in
renal failure. AKI can be caused by a number of factors including ischemia, drug
based toxicity, or obstructive injury. This results in an inability to maintain
fluid and electrolyte homeostasis. While AKI has been observed for decades,
effective clinical therapies have yet to be developed. Intriguingly, some
patients with AKI recover renal functions over time, a mysterious phenomenon that
has been only rudimentally characterized. Research using mammalian models of AKI
has shown that ischemic or nephrotoxin-injured kidneys experience epithelial cell
death in nephron tubules, the functional units of the kidney that are made up of
a series of specialized regions (segments) of epithelial cell types. Within
nephrons, epithelial cell death is highest in proximal tubule cells. There is
evidence that suggests cell destruction is followed by dedifferentiation,
proliferation, and migration of surrounding epithelial cells, which can
regenerate the nephron entirely. However, there are many unanswered questions
about the mechanisms of renal epithelial regeneration, ranging from the signals
that modulate these events to reasons for the wide variation of abilities among
humans to regenerate injured kidneys. The larval zebrafish provides an excellent
model to study kidney epithelial regeneration as its pronephric kidney is
comprised of nephrons that are conserved with higher vertebrates including
mammals. The nephrons of zebrafish larvae can be visualized with fluorescence
techniques because of the relative transparency of the young zebrafish. This
provides a unique opportunity to image cell and molecular changes in real-time,
in contrast to mammalian models where nephrons are inaccessible because the
kidneys are structurally complex systems internalized within the animal. Recent
studies have employed the aminoglycoside gentamicin as a toxic causative agent
for study of AKI and subsequent renal failure: gentamicin and other antibiotics
have been shown to cause AKI in humans, and researchers have formulated methods
to use this agent to trigger kidney damage in zebrafish. However, the effects of
aminoglycoside toxicity in zebrafish larvae are catastrophic and lethal, which
presents a difficulty when studying epithelial regeneration and function over
time. Our method presents the use of targeted cell ablation as a novel tool for
the study of epithelial injury in zebrafish. Laser ablation gives researchers the
ability to induce cell death in a limited population of cells. Varying areas of
cells can be targeted based on morphological location, function, or even
expression of a particular cellular phenotype. Thus, laser ablation will increase
the specificity of what researchers can study, and can be a powerful new approach
to shed light on the mechanisms of renal epithelial regeneration. This protocol
can be broadly applied to target cell populations in other organs in the
zebrafish embryo to study injury and regeneration in any number of contexts of
interest.
PMID- 21897359
TI - Normothermic cardiac arrest and cardiopulmonary resuscitation: a mouse model of
ischemia-reperfusion injury.
AB - Acute Kidney Injury (AKI) is a common, highly lethal, complication of critical
illness which has a high mortality and which is most frequently caused by whole
body hypoperfusion. Successful reproduction of whole-body hypoperfusion in rodent
models has been fraught with difficulty. Models which employ focal ischemia have
repeatedly demonstrated results which do not translate to the clinical setting,
and larger animal models which allow for whole body hypoperfusion lack access to
the full toolset of genetic manipulation possible in the mouse. However, in
recent years a mouse model of cardiac arrest and cardiopulmonary resuscitation
has emerged which can be adapted to model AKI. This model reliably reproduces
physiologic, functional, anatomic, and histologic outcomes seen in clinical AKI,
is rapidly repeatable, and offers all of the significant advantages of a murine
surgical model, including access to genetic manipulative techniques, low cost
relative to large animals, and ease of use. Our group has developed extensive
experience with use of this model to assess a number of organ-specific outcomes
in AKI.
PMID- 21897360
TI - Examining the characteristics of episodic memory using event-related potentials
in patients with Alzheimer's disease.
AB - Our laboratory uses event-related EEG potentials (ERPs) to understand and support
behavioral investigations of episodic memory in patients with amnestic mild
cognitive impairment (aMCI) and Alzheimer's disease (AD). Whereas behavioral data
inform us about the patients' performance, ERPs allow us to record discrete
changes in brain activity. Further, ERPs can give us insight into the onset,
duration, and interaction of independent cognitive processes associated with
memory retrieval. In patient populations, these types of studies are used to
examine which aspects of memory are impaired and which remain relatively intact
compared to a control population. The methodology for collecting ERP data from a
vulnerable patient population while these participants perform a recognition
memory task is reviewed. This protocol includes participant preparation, quality
assurance, data acquisition, and data analysis. In addition to basic setup and
acquisition, we will also demonstrate localization techniques to obtain greater
spatial resolution and source localization using high-density (128 channel)
electrode arrays.
PMID- 21897361
TI - ReAsH/FlAsH labeling and image analysis of tetracysteine sensor proteins in
cells.
AB - Fluorescent proteins and dyes are essential tools for the study of protein
trafficking, localization and function in cells. While fluorescent proteins such
as green fluorescence protein (GFP) have been extensively used as fusion partners
to proteins to track the properties of a protein of interest, recent developments
with smaller tags enable new functionalities of proteins to be examined in cells
such as conformational change and protein-association. One small tag system
involves a tetracysteine motif (CCXXCC) genetically inserted into a target
protein, which binds to biarsenical dyes, ReAsH (red fluorescent) and FlAsH
(green fluorescent), with high specificity even in live cells. The TC/biarsenical
dye system offers far less steric constraints to the host protein than
fluorescent proteins which has enabled several new approaches to measure
conformational change and protein-protein interactions. We recently developed a
novel application of TC tags as sensors of oligomerization in cells expressing
mutant huntingtin, which when mutated aggregates in neurons in Huntington
disease. Huntingtin was tagged with two fluorescent dyes, one a fluorescent
protein to track protein location, and the second a TC tag which only binds
biarsenical dyes in monomers. Hence, changes in colocalization between protein
and biarsenical dye reactivity enabled submicroscopic oligomer content to be
spatially mapped within cells. Here, we describe how to label TC-tagged proteins
fused to a fluorescent protein (Cherry, GFP or CFP) with FlAsH or ReAsH in live
mammalian cells and how to quantify the two color fluorescence (Cherry/FlAsH,
CFP/FlAsH or GFP/ReAsH combinations).
PMID- 21897362
TI - Pharmacological and functional genetic assays to manipulate regeneration of the
planarian Dugesia japonica.
AB - Free-living planarian flatworms have a long history of experimental usage owing
to their remarkable regenerative abilities. Small fragments excised from these
animals reform the original body plan following regeneration of missing body
structures. For example if a 'trunk' fragment is cut from an intact worm, a new
'head' will regenerate anteriorly and a 'tail' will regenerate posteriorly
restoring the original 'head-to-tail' polarity of body structures prior to
amputation. Regeneration is driven by planarian stem cells, known as 'neoblasts'
which differentiate into ~30 different cell types during normal body homeostasis
and enforced tissue regeneration. This regenerative process is robust and easy to
demonstrate. Owing to the dedication of several pioneering labs, many tools and
functional genetic methods have now been optimized for this model system.
Consequently, considerable recent progress has been made in understanding and
manipulating the molecular events underpinning planarian developmental
plasticity. The planarian model system will be of interest to a broad range of
scientists. For neuroscientists, the model affords the opportunity to study the
regeneration of an entire nervous system, rather than simply the regrowth/repair
of single nerve cell process that typically are the focus of study in many
established models. Planarians express a plethora of neurotransmitters, represent
an important system for studying evolution of the central nervous system and have
behavioral screening potential. Regenerative outcomes are amenable to
manipulation by pharmacological and genetic apparoaches. For example, drugs can
be screened for effects on regeneration simply by placing body fragments in drug
containing solutions at different time points after amputation. The role of
individual genes can be studied using knockdown methods (in vivo RNAi), which can
be achieved either through cycles of microinjection or by feeding bacterially
expressed dsRNA constructs. Both approaches can produce visually striking
phenotypes at high penetrance--for example, regeneration of bipolar animals. To
facilitate adoption of this model and implementation of such methods, we showcase
in this video article protocols for pharmacological and genetic assays (in vivo
RNAi by feeding) using the planarian Dugesia japonica.
PMID- 21897363
TI - Epigenetic silencing of the oncogenic miR-17-92 cluster during PU.1-directed
macrophage differentiation.
AB - The oncogenic cluster miR-17-92 encodes seven related microRNAs that regulate
cell proliferation, apoptosis and development. Expression of miR-17-92 cluster is
decreased upon cell differentiation. Here, we report a novel mechanism of the
regulation of miR-17-92 cluster. Using transgenic PU.1(-/-) myeloid progenitors
we show that upon macrophage differentiation, the transcription factor PU.1
induces the secondary determinant Egr2 which, in turn, directly represses miR-17
92 expression by recruiting histone demethylase Jarid1b leading to histone H3
lysine K4 demethylation within the CpG island at the miR-17-92 promoter.
Conversely, Egr2 itself is targeted by miR-17-92, indicating existence of mutual
regulatory relationship between miR-17-92 and Egr2. Furthermore, restoring EGR2
levels in primary acute myeloid leukaemia blasts expressing elevated levels of
miR-17-92 and low levels of PU.1 and EGR2 leads to downregulation of miR-17-92
and restored expression of its targets p21CIP1 and BIM. We propose that upon
macrophage differentiation PU.1 represses the miR-17-92 cluster promoter by an
Egr-2/Jarid1b-mediated H3K4 demethylation mechanism whose deregulation may
contribute to leukaemic states.
PMID- 21897364
TI - Temporal orchestration of circadian autophagy rhythm by C/EBPbeta.
AB - Temporal organization of tissue metabolism is important for maintaining nutrient
and energy homeostasis in mammals. Autophagy is a conserved cellular pathway that
is activated in response to nutrient limitation, resulting in the degradation of
cytoplasmic components and the release of amino acids and other nutrients. Here,
we show that autophagy exhibits robust circadian rhythm in mouse liver, which is
accompanied by cyclic induction of genes involved in various steps of autophagy.
Functional analyses of transcription factors and cofactors identified C/EBPbeta
as a potent activator of autophagy. C/EBPbeta is rhythmically expressed in the
liver and is regulated by both circadian and nutritional signals. In cultured
primary hepatocytes, C/EBPbeta stimulates the program of autophagy gene
expression and is sufficient to activate autophagic protein degradation.
Adenoviral-mediated RNAi knockdown of C/EBPbeta in vivo abolishes diurnal
autophagy rhythm in the liver. Further, circadian regulation of C/EBPbeta and
autophagy is disrupted in mice lacking a functional liver clock. We have thus
identified C/EBPbeta as a key factor that links autophagy to biological clock and
maintains nutrient homeostasis throughout light/dark cycles.
PMID- 21897365
TI - Transcription factor Dlx2 protects from TGFbeta-induced cell-cycle arrest and
apoptosis.
AB - Acquiring resistance against transforming growth factor beta (TGFbeta)-induced
growth inhibition at early stages of carcinogenesis and shifting to TGFbeta's
tumour-promoting functions at later stages is a pre-requisite for malignant
tumour progression and metastasis. We have identified the transcription factor
distal-less homeobox 2 (Dlx2) to exert critical functions during this switch.
Dlx2 counteracts TGFbeta-induced cell-cycle arrest and apoptosis in mammary
epithelial cells by at least two molecular mechanisms: Dlx2 acts as a direct
transcriptional repressor of TGFbeta receptor II (TGFbetaRII) gene expression and
reduces canonical, Smad-dependent TGFbeta signalling and expression of the cell
cycle inhibitor p21(CIP1) and increases expression of the mitogenic transcription
factor c-Myc. On the other hand, Dlx2 directly induces the expression of the
epidermal growth factor (EGF) family member betacellulin, which promotes cell
survival by stimulating EGF receptor signalling. Finally, Dlx2 expression
supports experimental tumour growth and metastasis of B16 melanoma cells and
correlates with tumour malignancy in a variety of human cancer types. These
results establish Dlx2 as one critical player in shifting TGFbeta from its tumour
suppressive to its tumour-promoting functions.
PMID- 21897367
TI - Starting from scratch: de novo kinetochore assembly in vertebrates.
PMID- 21897366
TI - Obesity resistance and increased hepatic expression of catabolism-related mRNAs
in Cnot3+/- mice.
AB - Obesity is a life-threatening factor and is often associated with dysregulation
of gene expression. Here, we show that the CNOT3 subunit of the CCR4-NOT
deadenylase complex is critical to metabolic regulation. Cnot3(+/-) mice are lean
with hepatic and adipose tissues containing reduced levels of lipids, and show
increased metabolic rates and enhanced glucose tolerance. Cnot3(+/-) mice remain
lean and sensitive to insulin even on a high-fat diet. Furthermore, introduction
of Cnot3 haplodeficiency in ob/ob mice ameliorated the obese phenotype. Hepatic
expression of most mRNAs is not altered in Cnot3(+/-) vis-a-vis wild-type mice.
However, the levels of specific mRNAs, such as those coding for energy metabolism
related PDK4 and IGFBP1, are increased in Cnot3(+/-) hepatocytes, having poly(A)
tails that are longer than those seen in control cells. We provide evidence that
CNOT3 is involved in recruitment of the CCR4-NOT deadenylase to the 3' end of
specific mRNAs. Finally, as CNOT3 levels in the liver and white adipose tissues
decrease upon fasting, we propose that CNOT3 responds to feeding conditions to
regulate deadenylation-specific mRNAs and energy metabolism.
PMID- 21897368
TI - A single copy of SecYEG is sufficient for preprotein translocation.
AB - The heterotrimeric SecYEG complex comprises a protein-conducting channel in the
bacterial cytoplasmic membrane. SecYEG functions together with the motor protein
SecA in preprotein translocation. Here, we have addressed the functional
oligomeric state of SecYEG when actively engaged in preprotein translocation. We
reconstituted functional SecYEG complexes labelled with fluorescent markers into
giant unilamellar vesicles at a natively low density. Forster's resonance energy
transfer and fluorescence (cross-) correlation spectroscopy with single-molecule
sensitivity allowed for independent observations of the SecYEG and preprotein
dynamics, as well as complex formation. In the presence of ATP and SecA up to 80%
of the SecYEG complexes were loaded with a preprotein translocation intermediate.
Neither the interaction with SecA nor preprotein translocation resulted in the
formation of SecYEG oligomers, whereas such oligomers can be detected when
enforced by crosslinking. These data imply that the SecYEG monomer is sufficient
to form a functional translocon in the lipid membrane.
PMID- 21897370
TI - Responsive nematic gels from the self-assembly of aqueous nanofibres.
AB - Aqueous nanofibres constructed by the self-assembly of small amphiphilic
molecules can become entangled to form hydrogels that have a variety of
applications including tissue engineering, and controlled drug delivery. The
hydrogels are formed through the random physical cross-linkings of flexible
nanofibres. Here we report that self-assembled nanofibres with a nematic
substructure are aligned into a nematic liquid crystal and are spontaneously
fixed in the aligned state to give rise to anisotropic gels. The liquid-crystal
gels respond to temperature by transforming into a fluid solution upon cooling.
Thus, the nanofibre solution can be mixed with cells at room temperature and then
can be transformed into gels to encapsulate the cells in a three-dimensional
environment upon being heated to physiological temperatures. We found that the
cells grow within the three-dimensional networks without compromising the cell
viability, and that subsequent cooling triggers the encapsulated cells to be
released through a sol-gel transition.
PMID- 21897369
TI - iASPP/p63 autoregulatory feedback loop is required for the homeostasis of
stratified epithelia.
AB - iASPP, an inhibitory member of the ASPP (apoptosis stimulating protein of p53)
family, is an evolutionarily conserved inhibitor of p53 which is frequently
upregulated in human cancers. However, little is known about the role of iASPP
under physiological conditions. Here, we report that iASPP is a critical
regulator of epithelial development. We demonstrate a novel autoregulatory
feedback loop which controls crucial physiological activities by linking iASPP to
p63, via two previously unreported microRNAs, miR-574-3p and miR-720. By
investigating its function in stratified epithelia, we show that iASPP
participates in the p63-mediated epithelial integrity program by regulating the
expression of genes essential for cell adhesion. Silencing of iASPP in
keratinocytes by RNA interference promotes and accelerates a differentiation
pathway, which also affects and slowdown cellular proliferation. Taken together,
these data reveal iASPP as a key regulator of epithelial homeostasis.
PMID- 21897372
TI - Surfactant-enabled epitaxy through control of growth mode with chemical boundary
conditions.
AB - Property coupling at interfaces between active materials is a rich source of
functionality, if defect densities are low, interfaces are smooth and the
microstructure is featureless. Conventional synthesis techniques generally fail
to achieve this when materials have highly dissimilar structure, symmetry and
bond type-precisely when the potential for property engineering is most
pronounced. Here we present a general synthesis methodology, involving systematic
control of the chemical boundary conditions in situ, by which the crystal habit,
and thus growth mode, can be actively engineered. In so doing, we establish the
capability for layer-by-layer deposition in systems that otherwise default to
island formation and grainy morphology. This technique is demonstrated via
atomically smooth {111} calcium oxide films on (0001) gallium nitride. The
operative surfactant-based mechanism is verified by temperature-dependent
predictions from ab initio thermodynamic calculations. Calcium oxide films with
smooth morphology exhibit a three order of magnitude enhancement of insulation
resistance.
PMID- 21897371
TI - Smad6-specific recruitment of Smurf E3 ligases mediates TGF-beta1-induced
degradation of MyD88 in TLR4 signalling.
AB - Transforming growth factor-beta (TGF-beta) is a potent anti-inflammatory cytokine
that regulates interleukin-1 receptor and Toll-like receptor (TLR) signalling.
Here we show a novel mechanism where TGF-beta1-induced K48-linked
polyubiquitination and degradation of the adaptor MyD88 protein is dependent on
the Smad6 protein, but not Smad7, and mediated by recruitment of the Smad
ubiquitin regulator factor proteins, Smurf1 and Smurf2, which have E3-ubiquitin
ligase activity. Smurf1 interaction with MyD88 appears to be mediated by Smad6,
and Smurf2 interaction by Smurf1. Knockdown of endogenous Smurf1 or Smurf2 by RNA
interference significantly suppresses the anti-inflammatory effects of TGF-beta1
by preventing lipopolysaccharide-induced NF-kappaB nuclear translocation,
resulting in de-suppression of pro-inflammatory gene expression. Similar effects
are observed on the lipoteichoic-acid-induced TLR2 pathway, which is also MyD88
dependent, but not the MyD88-independent TLR3 pathway. Thus, our results suggest
that MyD88 degradation driven by the Smad6-Smurf pathway is a novel mechanism for
TGF-beta1-mediated negative regulation of MyD88-dependent pro-inflammatory
signalling.
PMID- 21897373
TI - Bacterial Pili exploit integrin machinery to promote immune activation and
efficient blood-brain barrier penetration.
AB - Group B Streptococcus (GBS) is the leading cause of meningitis in newborn
infants. Bacterial cell surface appendages, known as pili, have been recently
described in streptococcal pathogens, including GBS. The pilus tip adhesin, PilA,
contributes to GBS adherence to blood-brain barrier (BBB) endothelium; however,
the host receptor and the contribution of PilA in central nervous system (CNS)
disease pathogenesis are unknown. Here we show that PilA binds collagen, which
promotes GBS interaction with the alpha2beta1 integrin resulting in activation of
host chemokine expression and neutrophil recruitment during infection. Mice
infected with the PilA-deficient mutant exhibit delayed mortality, a decrease in
neutrophil infiltration and bacterial CNS dissemination. We find that PilA
mediated virulence is dependent on neutrophil influx as neutrophil depletion
results in a decrease in BBB permeability and GBS-BBB penetration. Our results
suggest that the bacterial pilus, specifically the PilA adhesin, has a dual role
in immune activation and bacterial entry into the CNS.
PMID- 21897383
TI - Graphene: show of adhesive strength.
PMID- 21897378
TI - Animal models for nickel allergy.
PMID- 21897384
TI - Biomaterials: a natural source of nanowires.
PMID- 21897375
TI - Microfluidic quadrupole and floating concentration gradient.
AB - The concept of fluidic multipoles, in analogy to electrostatics, has long been
known as a particular class of solutions of the Navier-Stokes equation in
potential flows; however, experimental observations of fluidic multipoles and of
their characteristics have not been reported yet. Here we present a two
dimensional microfluidic quadrupole and a theoretical analysis consistent with
the experimental observations. The microfluidic quadrupole was formed by
simultaneously injecting and aspirating fluids from two pairs of opposing
apertures in a narrow gap formed between a microfluidic probe and a substrate. A
stagnation point was formed at the centre of the microfluidic quadrupole, and its
position could be rapidly adjusted hydrodynamically. Following the injection of a
solute through one of the poles, a stationary, tunable, and movable-that is,
'floating'-concentration gradient was formed at the stagnation point. Our results
lay the foundation for future combined experimental and theoretical exploration
of microfluidic planar multipoles including convective-diffusive phenomena.
PMID- 21897376
TI - In-vitro derived germinal centre B cells differentially generate memory B or
plasma cells in vivo.
AB - In response to T cell-dependent antigens, B cells proliferate extensively to form
germinal centres (GC), and then differentiate into memory B (B(mem)) cells or
long-lived plasma cells (LLPCs) by largely unknown mechanisms. Here we show a new
culture system in which mouse naive B cells undergo massive expansion and isotype
switching, and generate GC-phenotype B (iGB) cells. The iGB cells expressing IgG1
or IgM/D, but not IgE, differentiate into B(mem) cells in vivo after adoptive
transfer and can elicit rapid immune responses with the help of cognate T cells.
Secondary culture with IL-21 maintains the proliferation of the iGB cells, while
shifting their in vivo developmental fate from B(mem) cells to LLPCs, an outcome
that can be reversed by withdrawal of IL-21 in tertiary cultures. Thus, this
system enables in vitro manipulation of B-cell fate, into either B(mem) cells or
LLPCs, and will facilitate dissection of GC-B cell differentiation programs.
PMID- 21897385
TI - Nanoparticles: self-assembly finds its own limits.
PMID- 21897386
TI - Assessment of treatment-induced female sexual morbidity in oncology: is this a
part of routine medical follow-up after radical pelvic radiotherapy?
AB - BACKGROUND: Oncology follow-up has traditionally prioritised disease surveillance
and the assessment and management of symptoms associated with cancer and its
treatment. Over the past decade, the focus on late effects of treatment has
increased, particularly those that have an adverse effect on long-term function
and quality of life. The aim of this research was to explore factors that
influence the identification of treatment-induced female sexual difficulties in
routine oncology follow-up after radical pelvic radiotherapy. METHODS: A
structured observation schedule was used to systematically record topics
discussed in 69 radiotherapy follow-up consultations observed over a 5-month
period. RESULTS: Analysis suggests that physical toxicity assessment focused on
bowel (81%) and bladder (70%) symptoms. Vaginal toxicity was discussed less
frequently (42%) and sexual issues were explored in only 25% of consultations.
Formal recording of radiation toxicity through assessment questionnaires was
limited to patients participating in clinical trials. Surveillance activity and
the management of active physical symptoms predominated and psychosocial issues
were addressed in only 42% of consultations. INTERPRETATION: Female sexual
morbidity after pelvic radiotherapy remains a neglected aspect of routine follow
up and cancer survivorship. Developments in both individual practice and service
provision are necessary if the identification and management of treatment-induced
female sexual difficulties is to be improved.
PMID- 21897387
TI - Rapid non-genomic signalling by 17beta-oestradiol through c-Src involves mTOR
dependent expression of HIF-1alpha in breast cancer cells.
AB - BACKGROUND: Hypoxia-inducible factor 1 (HIF1) has been implicated in regulating
many of the genes responsible for angiogenesis, erythropoiesis, glucose
metabolism and cancer pathogenesis. In this study, we demonstrate that exposure
of human breast cancer lines to 17beta-oestradiol (E2) rapidly induced the
expression of HIF-1alpha, the regulated subunit of HIF1, in normoxic condition.
Hypoxia-inducible factor-1alpha is normally degraded in normoxia through
ubiquitination-mediated proteolysis, whereas hypoxia modulates HIF-1alpha level
by inhibiting ubiquitination-mediated degradation. METHODS: Oestradiol-induced
accumulation of HIF-1alpha in breast cancer lines was detected by western blot
analysis and its promoter activity was measured by HIF1 reporter assay. Molecular
signalling of oestradiol-mediated HIF-1alpha expression was studied using
specific pharmacological inhibitors and small interference RNA by co
immunoprecipitation and western blotting analysis. RESULTS: Oestradiol has been
observed to rapidly activate the nongenomic signalling cascade leading to HIF
1alpha protein synthesis. The results define a signalling pathway in breast
cancer cells whereby oestradiol induces a rapid protein-protein interaction of
ERalpha-c-Src-PI3K, resulting in the activation of PI3K/AKT pathway leading to
mammalian target of rapamycin (mTOR) phosphorylation. The mTOR then stimulates
translation by phosphorylating p70 S6 kinase and 4EB-P1, modulating HIF-1alpha
protein synthesis. Oestradiol-stimulated HIF-1alpha activity was inhibited by
either siRNA or pharmacological inhibitors to ERalpha, c-Src, PI3K and mTOR,
providing a mechanism for the modulation of HIF-1alpha protein synthesis.
CONCLUSION: These results show oestradiol-induced expression of HIF-1alpha,
downstream of the ERalpha/c-Src/PI3K/AKT/mTOR pathway in human breast cancer
cells.
PMID- 21897388
TI - GSTPi-positive tumour microenvironment-associated fibroblasts are significantly
associated with GSTPi-negative cancer cells in paired cases of primary invasive
breast cancer and axillary lymph node metastases.
AB - BACKGROUND: Glutathione S-transferase Pi (GSTPi) expression is one of the
factors, which is known to be associated with development of resistance to
chemotherapeutics in cancer patients, including those with breast cancer. Yet,
its expression has been reported to be undetectable in cancer cells in high
percent of patients with primary breast cancer. However, GSTPi expression in
stromal cells in breast tumour microenvironment, namely cancer-associated
fibroblast (CAF), which is recognised to have major roles in cancer progression,
remains poorly reported. METHODS: The aim of the study was to determine the
expression of GSTPi; vimetin, a fibroblast-associated cytoskeleton protein; and
alpha-smooth muscle actin (alpha-SMA), a known marker of CAF in breast cancer
tissue, by immunohistochemical staining method in consecutive histologic sections
of formalin-fixed and paraffin-embedded tissue biopsy specimens from a cohort of
39 paired cases of patients with invasive breast cancer and the corresponding
axillary lymph nodes metastases. RESULTS: Ductal and acinar luminal epithelial
cells, myoepithelial cells and surrounding fibroblasts exhibited a homogeneous
cytoplasmic reactivity with anti-GSTPi antibody in 11 of 11 cases of benign
breast tissue biopsies. The vimentin-positive fibroblasts were unreactive with
anti-alpha-SMA antibody. Loss of GSTPi expression was observed in breast cancer
cells, at both the primary and metastatic sites, in 31 of 39 paired cases, as
compared with benign breast epithelial cells (Fisher's exact test P<0.001). A
significant association was observed between GSTPi-positive, vimentin-positive
and alpha-SMA-positive fibroblast in tumour microenvironment at both sites.
CONCLUSION: This is an original report of demonstration of a significance
association between tumour microenvironment-associated GSTPi-positive CAF
(vimentin/alpha-SMA-positive) and the GSTPi-negative cancer cells in paired cases
of primary invasive breast cancer and the corresponding axillary lymph nodes
metastases.
PMID- 21897390
TI - Socioeconomic position, stage of lung cancer and time between referral and
diagnosis in Denmark, 2001-2008.
AB - INTRODUCTION: We investigated the association between socioeconomic position,
stage at diagnosis, and length of period between referral and diagnosis in a
nationwide cohort of lung cancer patients. METHODS: Through the Danish Lung
Cancer Register, we identified 18,103 persons diagnosed with lung cancer (small
cell and non-small cell) in Denmark, 2001-2008, and obtained information on
socioeconomic position and comorbidity from nationwide administrative registries.
The odds ratio (OR) for a diagnosis of advanced-stage lung cancer (stages IIIB
IV) and for a diagnosis >28 days after referral were analysed by multivariate
logistic regression models. RESULTS: The adjusted OR for advanced-stage lung
cancer was reduced among persons with higher education (OR, 0.92; 95% confidence
interval (CI), 0.84-0.99), was increased in persons living alone (OR, 1.06; 95%
CI, 1.01-1.13) and decreased stepwise with increasing comorbidity. Higher
education was associated with a reduced OR for >28 days between referral and
diagnosis as was high income in early-stage patients. Male gender, age and severe
comorbidity were associated with increased ORs in advanced-stage patients.
INTERPRETATION: Differences by socioeconomic position in stage at diagnosis and
in the period between referral and diagnosis indicate that vulnerable patients
presenting with lung cancer symptoms require special attention.
PMID- 21897389
TI - Meat-cooking mutagens and risk of renal cell carcinoma.
AB - BACKGROUND: High-temperature cooked meat contains two families of carcinogens,
heterocyclic amines (HCAs) and polycyclic aromatic hydrocarbons (PAHs). Given the
kidneys' role in metabolism and urinary excretion of these compounds, we
investigated meat-derived mutagens, as well as meat intake and cooking methods,
in a population-based case-control study conducted in metropolitan Detroit and
Chicago. METHODS: Newly diagnosed, histologically confirmed adenocarcinoma of the
renal parenchyma (renal cell carcinoma (RCC)) cases (n=1192) were frequency
matched on age, sex, and race to controls (n=1175). The interviewer-administered
Diet History Questionnaire (DHQ) included queries for meat-cooking methods and
doneness with photographic aids. Levels of meat mutagens were estimated using the
DHQ in conjunction with the CHARRED database. RESULTS: The risk of RCC increased
with intake of barbecued meat (P(trend)=0.04) and the PAH, benzo(a)pyrene (BaP)
(multivariable-adjusted odds ratio and 95% confidence interval, highest vs lowest
quartile: 1.50 (1.14, 1.95), P(trend)=0.001). With increasing BaP intake, the
risk of RCC was more than twofold in African Americans and current smokers
(P(interaction)<0.05). We found no association for HCAs or overall meat intake.
CONCLUSION: BaP intake, a PAH in barbecued meat, was positively associated with
RCC. These biologically plausible findings advocate further epidemiological
investigation into dietary intake of BaP and risk of RCC.
PMID- 21897391
TI - Geographic remoteness and risk of advanced colorectal cancer at diagnosis in
Queensland: a multilevel study.
AB - BACKGROUND: We examine the relationships between geographic remoteness, area
disadvantage and risk of advanced colorectal cancer. METHODS: Multilevel models
were used to assess the area- and individual-level contributions to the risk of
advanced disease among people aged 20-79 years diagnosed with colorectal cancer
in Queensland, Australia between 1997 and 2007 (n=18,561). RESULTS: Multilevel
analysis showed that colorectal cancer patients living in inner regional
(OR=1.09, 1.01-1.19) and outer regional (OR=1.11, 1.01-1.22) areas were
significantly more likely to be diagnosed with advanced cancer than those in
major cities (P=0.045) after adjusting for individual-level variables. The best
fitting final model did not include area disadvantage. Stratified analysis
suggested this remoteness effect was limited to people diagnosed with colon
cancer (P=0.048) and not significant for rectal cancer patients (P=0.873).
CONCLUSION: Given the relationship between stage and survival outcomes, it is
imperative that the reasons for these rurality inequities in advanced disease be
identified and addressed.
PMID- 21897392
TI - Activin A inhibits vascular endothelial cell growth and suppresses tumour
angiogenesis in gastric cancer.
AB - BACKGROUND: Activin A is a multi-functional cytokine belonging to the
transforming growth factor-beta (TGF-beta) superfamily; however, the effect of
activin A on angiogenesis remains largely unclear. We found that inhibin beta A
subunit (INHBA) mRNA is overexpressed in gastric cancer (GC) specimens and
investigated the effect of activin A, a homodimer of INHBA, on angiogenesis in
GC. METHODS: Anti-angiogenic effects of activin A via p21 induction were
evaluated using human umbilical vein endothelial cells (HUVECs) in vitro and a
stable INHBA-introduced GC cell line in vivo. RESULTS: Compared with TGF-beta,
activin A potently inhibited the cellular proliferation and tube formation of
HUVECs with induction of p21. A promoter assay and a chromatin
immunoprecipitation assay revealed that activin A directly regulates p21
transcriptional activity through Smads. Stable p21-knockdown significantly
enhanced the cellular proliferation of HUVECs. Notably, stable p21-knockdown
exhibited a resistance to activin-mediated growth inhibition in HUVECs,
indicating that p21 induction has a key role on activin A-mediated growth
inhibition in vascular endothelial cells. Finally, a stable INHBA-introduced GC
cell line exhibited a decrease in tumour growth and angiogenesis in vivo.
CONCLUSION: Our findings highlight the suppressive role of activin A, unlike TGF
beta, on tumour growth and angiogenesis in GC.
PMID- 21897393
TI - Incidence trends of vestibular schwannomas in Denmark, Finland, Norway and Sweden
in 1987-2007.
AB - BACKGROUND: The reported incidence rates of vestibular schwannomas (VS) vary
substantially, but it is unclear as to what extent the variation reflects
differences in risk or recording practices. Our aim was to describe the incidence
rates of VS in Denmark, Finland, Norway and Sweden between 1987 and 2007.
METHODS: Comprehensive data were available from all registries only for the
period from 1987 to 2007. An analysis of a longer time period (1965-2007) was
conducted with the Norwegian and Swedish data. RESULTS: The average age
standardised incidence rates during 1987-2007 varied from 6.1 per 1,000,000
person-years (95% confidence interval (CI), 5.4-6.7) among Finnish men to 11.6
(95% CI, 10.4-12.7) in Danish men, and from 6.4 per 1,000,000 person-years (95%
CI, 5.7-7.0) among Swedish women to 11.6 (95% CI, 10.5-12.8) among Danish women.
An overall annual increase of 3.0% (95% CI 2.1-3.9) was observed when all
countries and both sexes were combined, with considerable differences between
countries. However, the practices of both reporting and coding VS cases varied
markedly between countries and over time, which poses a challenge for
interpretation of the results. CONCLUSION: The overall incidence of VS increased
in all the four Nordic countries combined between 1987 and 2007, with marked
differences between countries. However, the incidence rates more or less
stabilised in the late 1990s, showing relatively constant incidence rates and
even some decline after 2000.
PMID- 21897394
TI - The Breakthrough Generations Study: design of a long-term UK cohort study to
investigate breast cancer aetiology.
AB - BACKGROUND: The rationale, design, recruitment and follow-up methods are
described for the Breakthrough Generations Study, a UK cohort study started in
2003, targeted at investigation of breast cancer aetiology. METHODS: Cohort
members have been recruited by a participant referral method intended to assemble
economically a large general population cohort from whom detailed questionnaire
information and blood samples can be obtained repeatedly over decades, with high
completeness of follow-up and inclusion of large numbers of related individuals.
'First-generation' recruits were women contacted directly, or who volunteered
directly, to join the study. They nominated female friends and family, whom we
contacted, and those who joined ('second generation') nominated others,
reiterated for up to 28 generations. RESULTS: The method has successfully been
used during 2003-2011 to recruit 112,049 motivated participants with a broad
geographic and socioeconomic distribution, aged 16-102 years, who have completed
detailed questionnaires; 92% of the participants gave blood samples at
recruitment. When eligible, 21/2 years after recruitment, >98% completed the
first follow-up questionnaire. Thirty percent are first-degree relatives of other
study members. CONCLUSION: The 'generational' recruitment method has enabled
recruitment of a large cohort who appear to have the commitment to enable long
term continuing data and sample collection, to investigate the effects of
changing endogenous and exogenous factors on cancer risk.
PMID- 21897395
TI - HPV testing as a triage for borderline or mild dyskaryosis on cervical cytology:
results from the Sentinel Sites study.
AB - BACKGROUND: Earlier pilot studies of human papillomavirus (HPV) triage concluded
that HPV triage was feasible and cost-effective. The aim of the present study was
to study the impact of wider rollout of HPV triage for women with low-grade
cytology on colposcopy referral and outcomes. METHODS: Human papillomavirus
testing of liquid-based cytology (LBC) samples showing low-grade abnormalities
was used to select women for colposcopy referral at six sites in England. Samples
from 10,051 women aged 25-64 years with routine call or recall cytology reported
as borderline or mild dyskaryosis were included. RESULTS: Human papillomavirus
positive rates were 53.7% in women with borderline cytology and 83.9% in those
with mild dyskaryosis. The range between sites was 34.8-73.3% for borderline
cytology, and 73.4-91.6% for mild dyskaryosis. In the single site using both LBC
technologies there was no difference in rates between the two technologies. The
positive predictive value of an HPV test was 16.3% for CIN2 or worse and 6.1% for
CIN3 or worse, although there was considerable variation between sites.
CONCLUSION: Triaging women with borderline cytological abnormalities and mild
dyskaryosis with HPV testing would allow approximately a third of these women to
be returned immediately to routine recall, and for a substantial proportion to be
referred for colposcopy without repeat cytology. Variation in HPV-positive rates
results in differing colposcopy workload.
PMID- 21897398
TI - Red blood cell-incompatible allogeneic hematopoietic progenitor cell
transplantation.
AB - Transplantation of hematopoietic progenitor cells from red cell-incompatible
donors occurs in 30-50% of patients. Immediate and delayed hemolytic transfusion
reactions are expected complications of red cell-disparate transplantation and
both ABO and other red cell systems such as Kidd and rhesus can be involved. The
immunohematological consequences of red cell-incompatible transplantation include
delayed red blood cell recovery, pure red cell aplasia and delayed hemolysis from
viable lymphocytes carried in the graft ('passenger lymphocytes'). The risks of
these reactions, which may be abrupt in onset and fatal, are ameliorated by graft
processing and proper blood component support. Red blood cell antigens are
expressed on endothelial and epithelial tissues in the body and could serve to
increase the risk of GvHD. Mouse models indicate that blood cell antigens may
function as minor histocompatibility antigens affecting engraftment. Similar
observations have been found in early studies of human transplantation for
transfused recipients, although current conditioning and immunosuppressive
regimens appear to overcome this affect. No deleterious effects from the use of
red cell-incompatible hematopoietic grafts on transplant outcomes, such as
granulocyte and platelet engraftments, the incidences of acute or chronic GvHD,
relapse risk or OS, have been consistently demonstrated. Most studies, however,
include limited number of patients, varying diagnoses and differing treatment
regimens, complicating the detection of an effect of ABO-incompatible
transplantation. Classification of patients by ABO phenotype ignoring the allelic
differences of these antigens also may obscure the effect of red cell
incompatible transplantation on transplant outcomes.
PMID- 21897396
TI - Prognostic role of CIP2A expression in serous ovarian cancer.
AB - BACKGROUND: Cancerous inhibitor of protein phosphatase 2A (CIP2A) is an
oncoprotein expressed in several solid cancers. Our purpose was to study its role
in serous ovarian cancer patients, and the association to clinicopathological
variables and molecular markers. METHODS: We collected retrospectively 562
consecutive serous ovarian cancer patients treated at the Helsinki University
Central Hospital. We stained tumour tissue microarrays for CIP2A by
immunohistochemistry and constructed survival curves according to the Kaplan
Meier method. Associations to clinicopathological and molecular markers were
assessed by the chi(2)-test. RESULTS: We found strong cytoplasmic CIP2A
immunoreactivity in 212 (40.4%) specimens, weak positivity in 222 (42.4%)
specimens, and negative in 90 (17.2%). Immunopositive CIP2A expression was
associated with high grade (P<0.0001), advanced stage (P=0.0005), and aneuploidy
(P=0.001, chi(2)-test). Cancerous inhibitor of protein phosphatase 2A
overexpression was also associated with EGFR protein expression (P=0.006) and
EGFR amplification (P=0.043). Strong cytoplasmic CIP2A immunopositivity predicted
poor outcome in ovarian cancer patients (P<0.0001, log-rank test). CONCLUSION:
Our results show that CIP2A associates with reduced survival and parameters
associated with high grade in ovarian cancer patients, and may thus be one of the
factors that identify aggressive subtype (type II) of this disease.
PMID- 21897400
TI - Lobophorins E and F, new spirotetronate antibiotics from a South China Sea
derived Streptomyces sp. SCSIO 01127.
AB - The strain SCSIO 01127, isolated from the South China Sea sediment, was
identified as a member of Streptomyces by the 16S rDNA sequence analysis. Two new
spirotetronate antibiotics lobophorins E (1) and F (2), along with two known
analogs lobophorins A (3) and B (4), were isolated from Streptomyces sp. SCSIO
01127. Their structures were elucidated on the basis of detailed IR, NMR and MS
spectroscopic analyses. The new compound lobophorin F (2) showed antibacterial
activities against Staphylococcus aureus ATCC 29213 and Enterococcus faecalis
ATCC 29212 with MIC values of 8 MUg ml(-1) for both the strains, better than that
of lobophorin B (4). Lobophorin F (2) also displayed better cytotoxic activities
than lobophorin B (4), with IC(50) of 6.82, 2.93 and 3.16 MUM against SF-268, MCF
7 and NCI-H460, respectively.
PMID- 21897401
TI - Calcium and vitamin D for chronic disease: a time for action.
PMID- 21897403
TI - PQRI, VBP, ACO, eRx, MoC ...: understanding the lexicon of health-care-reform
legislation.
PMID- 21897404
TI - Informed consent in the older adult: OSCEs for assessing fellows' ACGME and
geriatric gastroenterology competencies.
AB - OBJECTIVES: The American Gastroenterological Association fellowship curriculum
identifies geriatric components for gastroenterology (GI) training; however, few
tools are available for this purpose. Using an objective structured clinical
examination (OSCE), we aimed to assess ACGME competencies of communication,
professionalism, and geriatric-specific patient care among GI fellows. METHODS:
We developed an informed-consent case involving a geriatric patient who needs
surveillance colonoscopy. We used a validated faculty skills checklist to rate
fellows across three competency domains. Fifteen fellows from four GI training
programs participated. RESULTS: Although the fellows excelled at communication
and professionalism, only 51% excelled at geriatric-specific patient-care skills.
Fellows were least likely to demonstrate collaboration with the patient, to
assess patient understanding, and to explain the limits of the test.
Communication and geriatric-specific skills were correlated. CONCLUSIONS: OSCEs
are a feasible method for assessing geriatric-related ACGME competencies for
fellows. The results highlight the need for curriculum development.
PMID- 21897405
TI - Images of the month. Endoscopic views of (left) a fistula orifice in the insicura
angularis and (right) a perforated duodenal bulb ulcer.
PMID- 21897411
TI - Losses to follow-up limit conclusions regarding the efficacy of branched-chain
amino acids in patients with hepatic encephalopathy.
PMID- 21897412
TI - Is the lymphoma risk reduced in viral hepatitis? A cirrhotic patient with triple
malignancies.
PMID- 21897414
TI - Role of 5-aminosalicylate in preventing colorectal cancer.
PMID- 21897417
TI - Dysplastic Barrett's esophagus in cirrhosis: a treatment dilemma.
PMID- 21897416
TI - Low-cost endomicroscopy in the esophagus and colon.
PMID- 21897418
TI - Lactulose: how many ways can one drug be prescribed?
PMID- 21897419
TI - HIV testing: a must for patients with inflammatory bowel disease?
PMID- 21897422
TI - Variations in truncal body circumferences affect fat mass quantification with
bioimpedance analysis.
AB - OBJECTIVE: To test the hypothesis that variations in trunk circumferences
influence the accuracy of bioimpedance analysis (BIA) for assessment of percent
fat mass (%FM). SUBJECTS AND METHODS: %FM was predicted with BIA, and compared
with air-displacement plethysmography (ADP) in a small sample of 35 overweight
(OW), 21 normal weight and 8 underweight volunteers. Waist and hip circumferences
were assessed, and 15 of the OW subjects were measured before and after weight
reduction. RESULTS: BIA and ADP provided similar cross-sectional estimates of
group mean %FM (28.9+/-10.0 and 31.3+/-13.0%, respectively). However, within
individuals, there were large between-method differences (Diff(BIA-ADP)) ranging
from -13 to +13 %FM. Furthermore, we found a systematic bias of BIA related to
the degree of adiposity. Consequently, %FM and fat mass loss during weight
reduction in OW were underestimated with BIA when compared with ADP. Waist and
hip circumferences were inversely associated with resistance (R) and reactance
(P<0.01), and with Diff(BIA-ADP) (P<0.001). In women, the variability in hip
circumference explained 76%, and in men, the variability in waist circumference
explained 59% of Diff(BIA-ADP). CONCLUSION: Resistance changes associated with
variations in trunk circumferences decrease resistance, and therefore impair the
accuracy of BIA to assess %FM.
PMID- 21897423
TI - Vitamin D and parathormone levels of late-preterm formula fed infants during the
first year of life.
AB - BACKGROUND/OBJECTIVES: Preterm infants are at risk for low vitamin D but
documentation on late-preterm infants is sparse. This prospective study monitored
longitudinally vitamin D and parathormone (PTH) levels in late-preterm formula
fed infants during the first year of life, taking into consideration in utero and
postnatal growth, and season and diet. SUBJECTS/METHODS: The study population
comprised 128 infants of gestational age (GA) 32-36 weeks, of which 102 were
appropriate (AGA) and the remaining 26 were small for GA (SGA). Serum levels of
vitamin D (25(OH)D), PTH calcium, phosphate (P) and alkaline phosphate were
estimated at 2 and 6 weeks, and at 3, 6, 9 and 12 months of age. RESULTS: The
25(OH)D levels were relatively low at 2 and 6 weeks in both AGA and SGA infants
(21+/-11, 20+/-7 ng/ml and 25+/-16, 23+/-8 ng/ml, respectively), but increased at
6 months (45+/-14, 47+/-10 ng/ml) and remained stable thereafter. SGA infants had
lower 25(OH)D levels at 9 and 12 months (AGA 45+/-14, 47+/-18 ng/ml vs SGA 38+/
13, 37+/-13 ng/ml, P<0.05). Deficiency of 25(OH)D (<20 ng/ml) was found in 18.5%
of measurements in 92 (72%) infants, and its insufficiency (20-32 ng/ml) was
found in 29.2% of measurements in 99 (77.3%) infants. Most measurements with
vitamin D <32 ng/ml were observed at the first three study points, where PTH
showed an inverse association with 25(OH)D, reaching a plateau thereafter.
CONCLUSIONS: Late-preterm, formula fed infants may have suboptimal vitamin D
levels and elevated PTH, especially, during the first 3 months. Those born SGA
may have lower vitamin D levels up to the end of the first year of life.
PMID- 21897425
TI - Hypertension is statistically associated with higher body mass index but not with
vitamin D level in a Thai population.
AB - Vitamin D deficiency has been linked to hypertension. Although vitamin D
deficiency is common in tropical regions, no data on its association with
hypertension were available. We randomly selected 137 cases and controls whose
plasma in 1985 was available for the assessment of vitamin D status and
calculated the odds ratio of having hypertension in 1997. In all, 36% of the
participants were vitamin D deficient. The odds ratio of having hypertension was
marginally significant for vitamin D deficiency (0.59, P=0.05) and statistically
significant for body mass index (BMI)-defined overweight (1.8, P=0.02). The
inverse relationship between vitamin D deficiency and hypertension became
statistically significant after further adjustment for BMI, high-density
lipoprotein cholesterol and triglyceride (0.55, P=0.03). Stepwise regression
identified BMI-defined overweight and vitamin D deficiency as the variables of
significance in relation to hypertension. Our data suggest that vitamin D
deficiency, although not a rarity in Thailand, was not associated with an
increased risk of developing hypertension in Thai people.
PMID- 21897424
TI - Significant inverse association of marine n-3 fatty acids with plasma fibrinogen
levels in Japanese in Japan but not in whites or Japanese Americans.
AB - BACKGROUND/OBJECTIVES: Numerous studies reported beneficial effects of marine n-3
fatty acids (n-3 FAs) on cardiovascular disease (CVD) and its risk factors.
However, the association of marine n-3 FAs with plasma fibrinogen, a risk factor
for CVD, remains uncertain. SUBJECTS/METHODS: In a population-based, cross
sectional study of 795 men aged 40-49 without CVD (262 whites in Allegheny
County, Pennsylvania, USA, 302 Japanese in Kusatsu, Japan and 229 Japanese
Americans in Honolulu, Hawaii, USA), we examined the association of marine n-3
FAs with plasma fibrinogen. Serum FAs were measured by capillary gas-liquid
chromatography. Marine n-3 FAs were defined as the sum of docosahexaenoic,
eicosapentaenoic and docosapentaenoic acids. Plasma fibrinogen was measured by an
automated clot-rate assay. Multiple linear regression analyses were performed to
assess the association. RESULTS: White, Japanese and Japanese-American men had
mean marine n-3 FAs levels of 3.47%, 8.78% and 4.46%, respectively. Japanese men
had a significant inverse association of marine n-3 FAs with fibrinogen
(standardized regression coefficient of -0.11, P=0.049), after adjusting for age,
body-mass index and current smoking. The significant inverse association remained
after further adjusting for diabetes, C-reactive protein, triglycerides and other
variables. White or Japanese-American men did not show a significant association.
CONCLUSIONS: We observed the significant inverse association of marine n-3 FAs
with fibrinogen in Japanese, but not in whites or Japanese Americans. The
observation suggests that marine n-3 FAs at very high levels, as seen in the
Japanese, may decrease plasma fibrinogen levels.
PMID- 21897426
TI - Clinical guidelines and enteral nutrition support: a survey of dietetic practice
in the United Kingdom.
AB - BACKGROUND: Artificial nutrition support is used in treating hospital patients
and has been shown to reduce hospital stays. The NICE (National Collaborating
Centre for Acute Care) guidelines are the first national consensus guidelines for
dietetic practice in artificial nutrition. The aim of the current survey was to
explore the influence of local and national guidelines, and clinical experience
on enteral tube feeding practices in a large cohort of UK dietitians. METHODS: A
cross-sectional anonymous online survey of UK registered dietitians was
performed. RESULTS: A total of 681 responses were received. In all, 85% deemed
'clinical experience' to be of greatest influence when initiating a tube feeding
regimen; the influence of 'clinical experience' was significantly associated with
the number of years in practice (P=<0.001). A total of 70% of respondents were
aware of a department feeding protocol with 67% of protocols using a start rate
of 24-49 ml/h; furthermore, 65% of respondents reported most commonly using a
feeding start rate of 24-49 ml/h and 75% of them reported that their department
had a protocol for preventing refeeding syndrome; 23% had mandatory
implementation of NICE guidelines. CONCLUSIONS: Enteral feeding practice varies
among practitioners. Clinical experience and published clinical guidelines have a
pivotal role when treating adult patients that require enteral tube feeding.
PMID- 21897428
TI - Cell death: Phagocytes whet their appetite.
PMID- 21897427
TI - Next-generation transcriptome assembly.
AB - Transcriptomics studies often rely on partial reference transcriptomes that fail
to capture the full catalogue of transcripts and their variations. Recent
advances in sequencing technologies and assembly algorithms have facilitated the
reconstruction of the entire transcriptome by deep RNA sequencing (RNA-seq), even
without a reference genome. However, transcriptome assembly from billions of RNA
seq reads, which are often very short, poses a significant informatics challenge.
This Review summarizes the recent developments in transcriptome assembly
approaches - reference-based, de novo and combined strategies - along with some
perspectives on transcriptome assembly in the near future.
PMID- 21897429
TI - Chromatin: Stress inhibits replication through JNK1 signalling.
PMID- 21897431
TI - Epigenetics: from father to son.
PMID- 21897432
TI - Moment-to-moment signal variability in the human brain can inform models of
stochastic facilitation now.
PMID- 21897434
TI - A pathophysiological framework of hippocampal dysfunction in ageing and disease.
AB - The hippocampal formation has been implicated in a growing number of disorders,
from Alzheimer's disease and cognitive ageing to schizophrenia and depression.
How can the hippocampal formation, a complex circuit that spans the temporal
lobes, be involved in a range of such phenotypically diverse and mechanistically
distinct disorders? Recent neuroimaging findings indicate that these disorders
differentially target distinct subregions of the hippocampal circuit. In
addition, some disorders are associated with hippocampal hypometabolism, whereas
others show evidence of hypermetabolism. Interpreted in the context of the
functional and molecular organization of the hippocampal circuit, these
observations give rise to a unified pathophysiological framework of hippocampal
dysfunction.
PMID- 21897437
TI - Quantitative genetics of feeding behavior in two ecological races of the pea
aphid, Acyrthosiphon pisum.
AB - Much of the diversity of herbivorous insects stems from the adaptive divergence
of populations onto different host plants. This often involves the evolution of
specialized patterns of host acceptance that in turn lead to assortative mating
for insects that mate exclusively on their hosts. Here, we explore the genetic
architecture of feeding behavior in a herbivorous insect that has become a model
for the study of incipient speciation, the pea aphid (Acyrthosiphon pisum). We
use crosses between individuals specialized to either alfalfa or red clover in
order to perform both a biometrical analysis and a quantitative trait locus (QTL)
analysis of key feeding behaviors. For each character in each environment, Castle
Wright's estimator for the number of effective factors segregating ranged from
0.11 to 2.54. Similarly, between 0 and 3 QTLs were detected. In one case, a
single QTL explained over 50% of the variance in the F2, suggesting that at least
one gene (or a complex of tightly linked genes) has a major effect on feeding
behavior in the pea aphid. However, the identified QTL explain only 23-73% of the
genetic variance for these characters thus additional genes of minor effect are
also involved. We found a variety of modes of gene action, including several
cases of non-additive gene action. Our results suggest that feeding behavior in
pea aphids is neither simple nor highly polygenic. The oligogenetic basis of
variation in feeding behavior may facilitate host shifts, providing one
explanation for the frequent divergence and speciation of herbivorous insects.
PMID- 21897436
TI - Genetics and evolution of triatomines: from phylogeny to vector control.
AB - Triatomines are hemipteran bugs acting as vectors of the protozoan parasite
Trypanosoma cruzi. This parasite causes Chagas disease, one of the major
parasitic diseases in the Americas. Studies of triatomine genetics and evolution
have been particularly useful in the design of rational vector control
strategies, and are reviewed here. The phylogeography of several triatomine
species is now slowly emerging, and the struggle to reconcile the phenotypic,
phylogenetic, ecological and epidemiological species concepts makes for a very
dynamic field. Population genetic studies using different markers indicate a wide
range of population structures, depending on the triatomine species, ranging from
highly fragmented to mobile, interbreeding populations. Triatomines transmit T.
cruzi in the context of complex interactions between the insect vectors, their
bacterial symbionts and the parasites; however, an integrated view of the
significance of these interactions in triatomine biology, evolution and in
disease transmission is still lacking. The development of novel genetic markers,
together with the ongoing sequencing of the Rhodnius prolixus genome and more
integrative studies, will provide key tools to expanding our understanding of
these important insect vectors and allow the design of improved vector control
strategies.
PMID- 21897438
TI - Evidence of a neo-sex chromosome in birds.
AB - Neo-sex chromosomes often originate from sex chromosome-autosome fusions and
constitute an important basis for the study of gene degeneration and expression
in a sex chromosomal context. Neo-sex chromosomes are known from many animal and
plant lineages, but have not been reported in birds, a group in which genome
organization seems particularly stable. Following indications of sex linkage and
unexpected sex-biased gene expression in warblers (Sylvioidea; Passeriformes), we
have conducted an extensive marker analysis targeting 31 orthologues of loci on
zebra finch chromosome 4a in five species, representative of independent branches
of Passerida. We identified a region of sex linkage covering approximately the
first half (10 Mb) of chromosome 4a, and associated to both Z and W chromosomes,
in three Sylvioidea passerine species. Linkage analysis in an extended pedigree
of one species additionally confirmed the association between this part of
chromosome 4a and the Z chromosome. Markers located between 10 and 21 Mb of
chromosome 4a showed no signs of sex linkage, suggesting that only half of the
chromosome was involved in this transition. No sex linkage was observed in non
Sylvioidea passerines, indicating that the neo-sex chromosome arose at the base
of the Sylvioidea branch of the avian phylogeny, at 47.4-37.6 millions years ago
(MYA), substantially later than the ancestral sex chromosomes (150 MYA). We
hypothesize that the gene content of chromosome 4a might be relevant in its
transition to a sex chromosome, based on the presence of genes (for example, the
androgen receptor) that could offer a selective advantage when associated to Z
linked sex determination loci.
PMID- 21897440
TI - Effects of male fecundity, interindividual distance and anisotropic pollen
dispersal on mating success in a Scots pine (Pinus sylvestris) seed orchard.
AB - Quantifying the effect of pollen dispersal and flowering traits on mating success
is essential for understanding evolutionary responses to changing environments
and establishing strategies for forest tree breeding. This study examined,
quantitatively, the effects of male fecundity, interindividual distance and
anisotropic pollen dispersal on the mating success of Scots pine (Pinus
sylvestris), utilizing a well-mapped Scots pine seed orchard. Paternity analysis
of 1021 seeds sampled from 87 trees representing 28 clones showed that 53% of the
seeds had at least one potential pollen parent within the orchard. Pronounced
variation in paternal contribution was observed among clones. Variations in
pollen production explained up to 78% of the variation in mating success, which
was 11.2 times greater for clones producing the largest amount of pollen than for
clones producing the least pollen. Mating success also varied with intertree
distance and direction, which explained up to 28% of the variance. Fertilization
between neighboring trees 2.3 m apart was 2.4 times more frequent than between
trees 4.6 m apart, and up to 12.4 times higher for trees downwind of the presumed
prevailing wind direction than for upwind trees. The effective number of pollen
donors recorded in the seed orchard (12.2) was smaller than the theoretical
expectation (19.7). Based on the empirical observations, a mating model that best
describes the gene dispersal pattern in clonal seed orchards was constructed.
PMID- 21897441
TI - Co-localisation of host plant resistance QTLs affecting the performance and
feeding behaviour of the aphid Myzus persicae in the peach tree.
AB - The architecture and action of quantitative trait loci (QTL) contributing to
plant resistance mechanisms against aphids, the largest group of phloem-feeding
insects, are not well understood. Comparative mapping of several components of
resistance to the green peach aphid (Myzus persicae) was undertaken in Prunus
davidiana, a wild species related to peach. An interspecific F(1) population of
Prunus persica var. Summergrand * P. davidiana clone P1908 was scored for
resistance (aphid colony development and foliar damage) and 17 aphid feeding
behaviour traits monitored by means of the electrical penetration graph
technique. Seven resistance QTLs were detected, individually explaining 6.1-43.1%
of the phenotypic variation. Consistency was shown over several trials. Nine QTLs
affecting aphid feeding behaviour were identified. All resistance QTLs except one
co-located with QTLs underlying aphid feeding behaviour. A P. davidiana
resistance allele at the major QTL was associated with drastic reductions in
phloem sap ingestion by aphids, suggesting a phloem-based resistance mechanism.
Resistance was also positively correlated with aphid salivation into sieve
elements, suggesting an insect response to restore the appropriate conditions for
ingestion after phloem occlusion. No significant QTL was found for traits
characterising aphid mouthpart activity in plant tissues other than phloem
vessels. Two QTLs with effects on aphid feeding behaviour but without effect on
resistance were identified. SSR markers linked to the main QTLs involved in
resistance are of potential use in marker-assisted selection for aphid
resistance. Linking our results with the recent sequencing of the peach genome
may help clarify the physiological resistance mechanisms.
PMID- 21897439
TI - Next-generation hybridization and introgression.
AB - Hybridization has a major role in evolution-from the introgression of important
phenotypic traits between species, to the creation of new species through hybrid
speciation. Molecular studies of hybridization aim to understand the class of
hybrids and the frequency of introgression, detect the signature of ancient
hybridization, and understand the behaviour of introgressed loci in their new
genomic background. This often involves a large investment in the design and
application of molecular markers, leading to a compromise between the depth and
breadth of genomic data. New techniques designed to assay a large sub-section of
the genome, in association with next-generation sequencing (NGS) technologies,
will allow genome-wide hybridization and introgression studies in organisms with
no prior sequence data. These detailed genotypic data will unite the breadth of
sampling of loci characteristic of population genetics with the depth of sequence
information associated with molecular phylogenetics. In this review, we assess
the theoretical and methodological constraints that limit our understanding of
natural hybridization, and promote the use of NGS for detecting hybridization and
introgression between non-model organisms. We also make recommendations for the
ways in which emerging techniques, such as pooled barcoded amplicon sequencing
and restriction site-associated DNA tags, should be used to overcome current
limitations, and enhance our understanding of this evolutionary significant
process.
PMID- 21897435
TI - The heterogeneous levels of linkage disequilibrium in white spruce genes and
comparative analysis with other conifers.
AB - In plants, knowledge about linkage disequilibrium (LD) is relevant for the design
of efficient single-nucleotide polymorphism arrays in relation to their use in
population and association genomics studies. Previous studies of conifer genes
have shown LD to decay rapidly within gene limits, but exceptions have been
reported. To evaluate the extent of heterogeneity of LD among conifer genes and
its potential causes, we examined LD in 105 genes of white spruce (Picea glauca)
by sequencing a panel of 48 haploid megagametophytes from natural populations and
further compared it with LD in other conifer species. The average pairwise r(2)
value was 0.19 (s.d.=0.19), and LD dropped quickly with a half-decay being
reached at a distance of 65 nucleotides between sites. However, LD was
significantly heterogeneous among genes. A first group of 29 genes had stronger
LD (mean r(2)=0.28), and a second group of 38 genes had weaker LD (mean
r(2)=0.12). While a strong relationship was found with the recombination rate,
there was no obvious relationship between LD and functional classification. The
level of nucleotide diversity, which was highly heterogeneous across genes, was
also not significantly correlated with LD. A search for selection signatures
highlighted significant deviations from the standard neutral model, which could
be mostly attributed to recent demographic changes. Little evidence was seen for
hitchhiking and clear relationships with LD. When compared among conifer species,
on average, levels of LD were similar in genes from white spruce, Norway spruce
and Scots pine, whereas loblolly pine and Douglas fir genes exhibited a
significantly higher LD.
PMID- 21897442
TI - Comparison of pollen gene flow among four European beech (Fagus sylvatica L.)
populations characterized by different management regimes.
AB - The study of the dispersal capability of a species can provide essential
information for the management and conservation of its genetic variability.
Comparison of gene flow rates among populations characterized by different
management and evolutionary histories allows one to decipher the role of factors
such as isolation and tree density on gene movements. We used two paternity
analysis approaches and different strategies to handle the possible presence of
genotyping errors to obtain robust estimates of pollen flow in four European
beech (Fagus sylvatica L.) populations from Austria and France. In each country
one of the two plots is located in an unmanaged forest; the other plots are
managed with a shelterwood system and inside a colonization area (in Austria and
France, respectively). The two paternity analysis approaches provided almost
identical estimates of gene flow. In general, we found high pollen immigration
(~75% of pollen from outside), with the exception of the plot from a highly
isolated forest remnant (~50%). In the two unmanaged plots, the average within
population pollen dispersal distances (from 80 to 184 m) were higher than
previously estimated for beech. From the comparison between the Austrian managed
and unmanaged plots, that are only 500 m apart, we found no evidence that either
gene flow or reproductive success distributions were significantly altered by
forest management. The investigated phenotypic traits (crown area, height,
diameter and flowering phenology) were not significantly related with male
reproductive success. Shelterwood seems to have an effect on the distribution of
within-population pollen dispersal distances. In the managed plot, pollen
dispersal distances were shorter, possibly because adult tree density is three
fold (163 versus 57 trees per hectare) with respect to the unmanaged one.
PMID- 21897443
TI - Advances in Alport syndrome diagnosis using next-generation sequencing.
AB - Alport syndrome (ATS) is a hereditary nephropathy often associated with
sensorineural hypoacusis and ocular abnormalities. Mutations in the COL4A5 gene
cause X-linked ATS. Mutations in COL4A4 and COL4A3 genes have been reported in
both autosomal recessive and autosomal dominant ATS. The conventional mutation
screening, performed by DHPLC and/or Sanger sequencing, is time-consuming and has
relatively high costs because of the absence of hot spots and to the high number
of exons per gene: 51 (COL4A5), 48 (COL4A4) and 52 (COL4A3). Several months are
usually necessary to complete the diagnosis, especially in cases with less
informative pedigrees. To overcome these limitations, we designed a next
generation sequencing (NGS) protocol enabling simultaneous detection of all
possible variants in the three genes. We used a method coupling selective
amplification to the 454 Roche DNA sequencing platform (Genome Sequencer junior).
The application of this technology allowed us to identify the second mutation in
two ATS patients (p.Ser1147Phe in COL4A3 and p.Arg1682Trp in COL4A4) and to
reconsider the diagnosis of ATS in a third patient. This study, therefore,
illustrates the successful application of NGS to mutation screening of Mendelian
disorders with locus heterogeneity.
PMID- 21897444
TI - Imaging genetics of FOXP2 in dyslexia.
AB - Dyslexia is a developmental disorder characterised by extensive difficulties in
the acquisition of reading or spelling. Genetic influence is estimated at 50-70%.
However, the link between genetic variants and phenotypic deficits is largely
unknown. Our aim was to investigate a role of genetic variants of FOXP2, a
prominent speech and language gene, in dyslexia using imaging genetics. This
technique combines functional magnetic resonance imaging (fMRI) and genetics to
investigate relevance of genetic variants on brain activation. To our knowledge,
this represents the first usage of fMRI-based imaging genetics in dyslexia. In an
initial case/control study (n = 245) for prioritisation of FOXP2 polymorphisms
for later use in imaging genetics, nine SNPs were selected. A non-synonymously
coding mutation involved in verbal dyspraxia was also investigated. SNP
rs12533005 showed nominally significant association with dyslexia (genotype GG
odds ratio recessive model = 2.1 (95% confidence interval 1.1-3.9), P = 0.016). A
correlated SNP was associated with altered expression of FOXP2 in vivo in human
hippocampal tissue. Therefore, influence of the rs12533005-G risk variant on
brain activity was studied. fMRI revealed a significant main effect for the
factor 'genetic risk' in a temporo-parietal area involved in phonological
processing as well as a significant interaction effect between the factors
'disorder' and 'genetic risk' in activation of inferior frontal brain areas.
Hence, our data may hint at a role of FOXP2 genetic variants in dyslexia-specific
brain activation and demonstrate use of imaging genetics in dyslexia research.
PMID- 21897445
TI - Detection of classical 17p11.2 deletions, an atypical deletion and RAI1
alterations in patients with features suggestive of Smith-Magenis syndrome.
AB - Smith-Magenis syndrome (SMS) is a complex disorder whose clinical features
include mild to severe intellectual disability with speech delay, growth failure,
brachycephaly, flat midface, short broad hands, and behavioral problems. SMS is
typically caused by a large deletion on 17p11.2 that encompasses multiple genes
including the retinoic acid induced 1, RAI1, gene or a mutation in the RAI1 gene.
Here we have evaluated 30 patients with suspected SMS and identified SMS
associated classical 17p11.2 deletions in six patients, an atypical deletion of
~139 kb that partially deletes the RAI1 gene in one patient, and RAI1 gene
nonsynonymous alterations of unknown significance in two unrelated patients. The
RAI1 mutant proteins showed no significant alterations in molecular weight,
subcellular localization and transcriptional activity. Clinical features of
patients with or without 17p11.2 deletions and mutations involving the RAI1 gene
were compared to identify phenotypes that may be useful in diagnosing patients
with SMS.
PMID- 21897446
TI - Coronary artery disease in Alstrom syndrome.
AB - Alstrom syndrome (ALMS) is a rare autosomal recessive condition, caused by
mutations in the ALMS1 gene located on the short arm of chromosome 2. This gene
codes for a protein linked with the centrosome, whose precise function is
unknown. This condition was first described by Alstrom in 1959. ALMS is a
multisystem condition that is characterised by childhood onset of blindness
secondary to rod-cone retinal degeneration and dilated cardiomyopathy with heart
failure, which often presents in infanthood and may recur later in life.
Metabolic abnormalities including hypertriglyceridemia, liver steatosis, insulin
resistance and type 2 diabetes mellitus are common, often occurring in
association with obesity. Other abnormalities include endocrinological
disturbances, such as thyroid disorder, growth hormone deficiency, hypogonadism
and, in women, hyperandrogenism. This syndrome is also associated with
sensorineural hearing loss, renal failure secondary to glomerulo-fibrosis, and
fibrotic lung disease. Multiorgan fibrotic infiltration is the common feature in
all cases. Considering the history of diabetes, hypertension, dyslipidemia,
obesity and renal dysfunction in ALMS, it would be expected that this group of
patients could develop coronary artery disease (CAD). But such cases have not
been reported so far. We report a case of premature onset of CAD in one of the
longest surviving patient with ALMS.
PMID- 21897447
TI - Genome-wide homozygosity and multiple sclerosis in Orkney and Shetland Islanders.
AB - There is strong evidence for both genetic and environmental risk factors
comprising the aetiology of multiple sclerosis (MS). While much progress has been
made in recent years in identifying common genetic variants using genome-wide
association studies, alternative approaches have remained relatively neglected.
The prevalence of MS in Orkney and Shetland is among the highest in the world.
Previous studies have suggested that a higher degree of parental relatedness in
these isolated communities may contribute to the high rates of MS, indicating
that recessive effects have an important role in MS aetiology. The Northern Isles
Multiple Sclerosis (NIMS) study investigated the potential role of genome-wide
homozygosity in MS risk by genotyping 88 MS patients, 89 controls matched by age,
sex and ancestry, and a further 89 controls matched for sex and ancestry, but
passed the majority of lifetime risk of developing MS (>70 years of age). Three
participants were removed on the basis of pedigree-genomic anomalies (n=263).
Three measures of genome-wide homozygosity were generated for each individual,
and association with MS was assessed using logistic regression models. No effect
of genome-wide homozygosity was detected, indicating that inbreeding and
consanguinity are not risk factors for MS in this population.
PMID- 21897448
TI - Clinical utility gene card for: Mayer-Rokitansky-Kuster-Hauser syndrome.
PMID- 21897452
TI - A 7-year-old with pulmonary hypertension.
AB - The authors discuss the case of a 7-year-old female who presented with exertional
cyanosis and was found to have pulmonary arterial hypertension. Despite normal
left-sided heart function, the patient developed pulmonary oedema in response to
pulmonary vasodilator therapy, increasing suspicion for pathology in the
pulmonary capillaries and veins. Lung biopsy confirmed a diagnosis of pulmonary
capillary haemangiomatosis (PCH), a rare cause of pulmonary hypertension in both
children and adults. The diagnosis requires lung biopsy and is often made
postmortem. She was treated with interferon alpha-2a and doxycycline for their
antiangiogenic properties and reports of disease regression. Although she
initially demonstrated improvement in her pulmonary hypertension in response to
these medications, she succumbed to the disease within the time frame previously
reported for PCH.
PMID- 21897453
TI - Polypharmacy versus drug combinations.
PMID- 21897454
TI - The prevention of alcohol-related problems.
PMID- 21897455
TI - Knowledge and attitude about psychiatric illness among interns.
AB - 58 subjects doing their compulsory rotating internship were evaluated on their
knowledge and attitude to psychiatric illness using a multiple choice
questionnaire, case history vignettes and an open ended attitude questionnaire.
All of them had had a series of lectures and 4 weeks clinical posting 3 years
prior to evaluation and weekly ethics for 3 months in the year before.It is seen
that they have an adequate knowledge of the theoretical aspects of psychiatry,
including the ability to diagnose. Management skills are not however
.satisfactory. Psychiatry tanked fifth in the overall order of importance in
terms of interest and future applicability, and only 4(6.9%) had heard of the
National Mental Health Programme or its objectives. The results are discussed.
PMID- 21897456
TI - Emg biofeedback ii: the dose-response relationship.
AB - 36 clients with anxiety neurosis were trained to reduce frontalis muscle tension
over two phases of ten sessions each. They were assessed on psychological and
physiological measures, before, during and after the phases. The data analysis
indicated that the clients succeeded in lowering frontalis muscle tension levels
during the feedback and no-feedback phases of the training sessions. The inter
correlations among the outcome measures indicated that with an increasing amount
of control of muscle tensior, the clients perceived greater amounts of change in
state anxiety and in anxiety symptoms. This implies that EMG biofeedback can
effect cognitive changes in clients.
PMID- 21897457
TI - Agnihotra in the treatment of alcoholism.
AB - Agnihotra is a Vedic ritual of litting fire in a copper pyramid pot with use of
Mantras at sunrise and sunset time. It is found to have neurophysiological effect
on human body and brain. Clinically it produces mental tranquility and reported
to have useful adjunct effect on deaddiction. In our present study effect of
Agnihotra was studied on 18 cases of alcoholism. It is found that it leads to
total abstinence without other restrain after 2 weeks of continuous Agnihotra
practice. An obsessive compliance to perform Agnihotra develops. After
discontinuing, its effect last for another few weeks. Though relapse may develop
on discontinuing Agnihotra, a sizeable number of cases i.e. 55%, continued to
remain abstinent for more than 8 weeks. Agnihotra is not a total cure for
alcoholism, but it may serve as an useful adjunct.
PMID- 21897458
TI - Prediction of outcome in schizophrenia using the subjective response to a test
dose of a neuroleptic.
AB - Twenty four patients meeting R.D.C. criteria for schizophrenia were assessed
using the B.P.R.S. before starting neuroleptics. They were then given a
standardized test dose of haloperidol. Their subjective response to the test dose
was assessed 4 hours later by a blind rater. The B.P.R.S. ratings were repeated
after 3 weeks of neuroleptic treatment. A dysphoric response to the test dose was
associated with a poor therapeutic outcome. The implications of these findings
are discussed.
PMID- 21897459
TI - Case report: scondary mania following encephalitis : neuropsychological findings
and diagnostic issues.
AB - A 12 year old boy presented with the clinical picture of secondary mania.
Neuropsychological examination revealed significant prefrontal disturbance. The
overlap in the clinical presentation of secondary mania and frontal lobe
syndromes is highlighted. At least some of the so called frontal lobe syndromes
can he considered as secondary mania.
PMID- 21897460
TI - Meditation versus medication.
PMID- 21897461
TI - Mental health programme : need for redemption.
PMID- 21897462
TI - Meditation from a mental health prospective.
AB - The term "Meditation" is defined and the aims of meditation-practice are
outlined. The physiological and psychological correlates of meditation, as found
in different studies, are described. The need for an integrated approach to the
study of consciousness is emphasized. The clinical applications of meditation
practice are spelt out. Areas of further research are indicated.
PMID- 21897463
TI - A clinical and behavioural study of hiv infected subjects-a comparison with std
subjects.
AB - EIGHTYFIVE HIV SEROPOSITIVE SUBJECTS (M: 42;F:43) were compared with an equal
number STD subjects negative for HIV. They formed 1.6% of the total number (N
=5287) screened in the STD OP. Heterosexual transmission was predominant. A
significantly higher promiscuity and a larger number of sex partners and contacts
were observed in the female but not the male HIV subjects. The ages at first
coital experience were similar in both the groups. There was no difference in the
occurrence of either STDs or psychiatric illness at index examination between the
two groups. The symptom profile of psychiatric illness in the HIV subjects did
not differ from that of the controls. The HIV subjects had more of physical
diagnoses than the controls. The psychological responses to the 'disclosure' of
seropositivity were noted. The closeness of HIV and STD groups on many factors
and its implication for management and health education are commented upon.
PMID- 21897464
TI - A double-blind evaluation of alprazolam and imipramine in the treatment of major
depression.
AB - This report describes the results of a multicentre collaborative study comparing
the safety and efficacy of alprazolam with imipramine in patients suffering from
major depressive disorder. Two hundred and eight patients diagnosed as major
depression as per DSM-III criteria were randomly allocated to alprazolam (N= 105)
or imipramine (N = 103) in a double-blind fashion. Detailed assessments were
carried out for a period of six weeks. Results revealed that alprazolam was as
effective as imipramine as an antidepressant. Side effects were less frequently
reported with alprazolam.
PMID- 21897465
TI - Pharmacokinetics of nitroxazepine in depressed patients.
AB - A pharmacokinetic study was done on 10 depressed patients (DSM-III-R 296.3). The
patients were treated with Sintamil (R) (nitroxazepine HCl) with titrated dose
from 75 mg to 225 mg for 6 weeks. Plasma levels of nitroxazepine (Sintamil (R))
and its metabolites desmethyl (D), N-oxide (N-O) and carboxylic acid (c) were
estimated. Anti-depressant efficacy was judged by reduction in Hamilton Rating
Depression Scale (HDRS) scores, and tolerability was monitored by reports of
unwanted effects.The overall reduction in HDRS score was about 50% by 6 weeks.
The plasma levels of nitroxazepin (ng/ral) showed a rise from a mean ( +SEM)
level. 47.0 4-7.3 on day 1 (dose 75 mg) to 129.84-24.6 on day 7 (dose 150 mg) (p<
0.01) and remained steady till day 21. There were large interindividual
variations. The metabolites followed a similar pattern. The HDRS score showed a
steady reduction between day 14 and 42 when the levels of nitroxizepine and des
methyl metabolites were maintained between 176.5 ng/ml to 251 ng/ml.
PMID- 21897466
TI - Autism in tuberous sclerosis.
AB - A case of Tuberous Sclerosis with infantile autism, misdiagnosed as mental
retardation with seizure disorder is being discussed in light of the implication
of the misdiagnosis.
PMID- 21897467
TI - Catatonia and hyponatremia : a case report.
AB - We report a case of recurrent mania who developed catatonia and was found to be
markedly hyponatraemia. The catatonic symptoms showed rapid resolution following
correction of hyponatraemia suggesting a causal link between the two. It is
suggested that the estimation of serum electrolyte levels should be an important
part of the evaluation of cases of catatonia.
PMID- 21897468
TI - A clinical study of chronic depression.
AB - Neurological status of chronic depressive states have not been resolved as yet.
Recent classificatory systems ICD-X and DSM-III-R have included chronic
depression under affective disorders and have done away with the category of
neurotic depression. The present study was undertaken with the aims of (a) to
study clinical variables associated with major subtypes of chronic depression
(chronic major depression and dysthymia) and (b) to investigate personality
characteristics and life events associated with major subtypes of chronic
depression. The sample was drawn from outpatients and inpatients as per DSM-DI-R
criteria. 30 patients were studied (21 chronic major depression and 9 dysthymia).
They were administered Hamilton Depression Rating Scale, Eysenck Personality
Inventory and Interview for recent life event (Paykel and Mangen, 1980) and
details of sociodemographic and clinical variables were recorded. Symptomatically
dysthymia and chronic major depression are indistinguishable. More patients of
dysthymia have positive family history of depressive disorder. Dysthymia had
significantly higher score on neuroticism. All chronic depressives tend to report
more life stresses during the courses of illness.
PMID- 21897469
TI - Simple schizophrenia :patients in search of a diagnosis.
AB - Recent classification systems of schizophrenia consider the presence of psychotic
features like certain forms of hallucinations and delusions as sine qua non of
this disorder. Consequently, earlier categories like simple schizophrenia have
been discarded from many diagnostic systems. However, there is a category of
patients who present with gross deterioration in personality without ever
experiencing hallucinations or delusions. Negative schizophrenia, a contemporary
popular syndrome has again revived interest in this subgroup of patients. The
authors present four cases diagnosed as simple schizophrenia and argue the case
for retention of this subgroup of schizophrenia in the current nosological
classification systems and conclude that simple schizophrenia of yesteryears has
close resemblance with today's negative schizophrenia.
PMID- 21897470
TI - Clinical variables and platelet mao in schizophrenia.
AB - Platelet MAO activity was estimated in 60 male drug free schizophrenics and 26
controls matched for age. The paranoid group had significantly lower enzyme
activity than the non-paranoid group and controls. Patients with premorbid
schizoid personality had significantly lower enzyme activity than patients with
non-schizoid premorbid personality and controls. A significant negative
correlation between platelet MAO activity and severity and duration of illness
was observed. Family history of schizophrenia, presence of auditory
hallucinations and type and age of onset of illness were not related to platelet
MAO activity.
PMID- 21897471
TI - Maintenance therapy for hospital out-patients.
AB - A study of dosage requirements undertaken among chronic OPD patients found on
unnecessarily high drug dosage regimes. Basing on OPD experiences authors discuss
long term treatment strategies and strongly advocate low dose intermittent oral
therapy.
PMID- 21897472
TI - Alcoholism and psoriasis-an immunological relationship.
AB - Studies on association of psychiatric diseases and immunopathology has been an
area of recent research activities. Alcohol has been implicated in some immune
mediated disorders. Observation of occurrence of psoriasis, an immune mediated
skin disorder in alcoholic patients has not been reported anywhere in literature.
We report here 4 cases of alcoholism related psoriasis and discuss the possible
immunological relationship between these two disorders. The need for study of
effect of alcoholism on cell-medicated immunity associated conditions like auto
immune disorders and malignancy is presented.
PMID- 21897473
TI - Psychopathology of confabulations in head injury.
AB - Confabulations observed during head injury recovery were of two types ; momentary
and fantastic. Both occurred in relation to either the dysmnestic phase of early
recovery or the post traumatic amnesic syndrome. In a follow-up of 174 head
injured patients, all 12 patients evincing confabulations had suffered from
acceleration injuries. In comparison to controls, they had a longer post
traumatic amnesia period. Clinical and psychometric lateralization of the
deficits pointed to left sided impairment. Their memory scores were not
qualitatively or quantitatively different from those of equivalent controls.
Patients differed from the controls in certain personality dimensions. Relative
contribution of clinical deficits, memory impairment and personality dimensions
to the occurrence of confabulations and its dynamic significance in maintaining
the personal identity system of the patient are discussed.
PMID- 21897474
TI - Meditation from an Indian perspective.
PMID- 21897477
TI - Remind me again: why are we here?
PMID- 21897478
TI - The psychosocial response to the 2011 Tohoku earthquake.
PMID- 21897480
TI - Unlocking the power of books for people with disabilities.
PMID- 21897481
TI - Screening still the "best buy" for tackling cervical cancer.
PMID- 21897482
TI - Sitting with the women under the trees.
PMID- 21897483
TI - Electronic monitoring of treatment adherence and validation of alternative
adherence measures in tuberculosis patients: a pilot study.
AB - OBJECTIVE: To assess adherence to community-based directly observed treatment
(DOT) among Tanzanian tuberculosis patients using the Medication Event Monitoring
System (MEMS) and to validate alternative adherence measures for resource-limited
settings using MEMS as a gold standard. METHODS: This was a longitudinal pilot
study of 50 patients recruited consecutively from one rural hospital, one urban
hospital and two urban health centres. Treatment adherence was monitored with
MEMS and the validity of the following adherence measures was assessed: isoniazid
urine test, urine colour test, Morisky scale, Brief Medication Questionnaire,
adapted AIDS Clinical Trials Group (ACTG) adherence questionnaire, pill counts
and medication refill visits. FINDINGS: The mean adherence rate in the study
population was 96.3% (standard deviation, SD: 7.7). Adherence was less than 100%
in 70% of the patients, less than 95% in 21% of them, and less than 80% in 2%.
The ACTG adherence questionnaire and urine colour test had the highest
sensitivities but lowest specificities. The Morisky scale and refill visits had
the highest specificities but lowest sensitivities. Pill counts and refill visits
combined, used in routine practice, yielded moderate sensitivity and specificity,
but sensitivity improved when the ACTG adherence questionnaire was added.
CONCLUSION: Patients on community-based DOT showed good adherence in this study.
The combination of pill counts, refill visits and the ACTG adherence
questionnaire could be used to monitor adherence in settings where MEMS is not
affordable. The findings with regard to adherence and to the validity of simple
adherence measures should be confirmed in larger populations with wider
variability in adherence rates.
PMID- 21897484
TI - Sensitivity and specificity of typhoid fever rapid antibody tests for laboratory
diagnosis at two sub-Saharan African sites.
AB - OBJECTIVE: To evaluate three commercial typhoid rapid antibody tests for
Salmonella Typhi antibodies in patients suspected of having typhoid fever in
Mpumalanga, South Africa, and Moshi, United Republic of Tanzania. METHODS: The
diagnostic accuracy of Cromotest((r)) (semiquantitative slide agglutination and
single tube Widal test), TUBEX((r)) and Typhidot((r)) was assessed against that
of blood culture. Performance was modelled for scenarios with pretest
probabilities of 5% and 50%. FINDINGS: In total 92 patients enrolled: 53 (57.6%)
from South Africa and 39 (42.4%) from the United Republic of Tanzania. Salmonella
Typhi was isolated from the blood of 28 (30.4%) patients. The semiquantitative
slide agglutination and single-tube Widal tests had positive predictive values
(PPVs) of 25.0% (95% confidence interval, CI: 0.6-80.6) and 20.0% (95% CI: 2.5
55.6), respectively. The newer typhoid rapid antibody tests had comparable PPVs:
TUBEX((r)), 54.1% (95% CI: 36.9-70.5); Typhidot((r)) IgM, 56.7% (95% CI: 37.4
74.5); and Typhidot((r)) IgG, 54.3% (95% CI: 36.6-71.2). For a pretest
probability of 5%, PPVs were: TUBEX((r)), 11.0% (95% CI: 6.6-17.9); Typhidot((r))
IgM, 9.1% (95% CI: 5.8-14.0); and Typhidot((r)) IgG, 11.0% (6.3-18.4). For a
pretest probability of 50%, PPVs were: TUBEX((r)), 70.2% (95% CI: 57.3-80.5);
Typhidot((r)) IgM, 65.6% (95% CI: 54.0-75.6); and Typhidot((r)) IgG, 70.0% (95%
CI: 56.0-81.1). CONCLUSION: Semiquantitative slide agglutination and single-tube
Widal tests performed poorly. TUBEX((r)) and Typhidot((r)) may be suitable when
pretest probability is high and blood cultures are unavailable, but their
performance does not justify deployment in routine care settings in sub-Saharan
Africa.
PMID- 21897485
TI - Cardiovascular disease prevention in Ghana: feasibility of a faith-based
organizational approach.
AB - OBJECTIVE: To examine the feasibility of using community health workers (CHWs) to
implement cardiovascular disease (CVD) prevention programmes within faith-based
organizations in Accra, Ghana. METHODS: Faith-based organization capacity, human
resources, health programme sustainability/barriers and community members'
knowledge were evaluated. Data on these aspects were gathered through a mixed
method design consisting of in-depth interviews and focus groups with 25 church
leaders and health committee members from five churches, and of a survey of 167
adult congregants from two churches. FINDINGS: The delivery of a CVD prevention
programme in faith-based organizations by CHWs is feasible. Many faith-based
organizations already provide health programmes for congregants and involve non
health professionals in their health-care activities, and most congregants have a
basic knowledge of CVD. Yet despite the feasibility of the proposed approach to
CVD prevention through faith-based organizations, sociocultural and health-care
barriers such as poverty, limited human and economic resources and limited access
to health care could hinder programme implementation. CONCLUSION: The barriers to
implementation identified in this study need to be considered when defining CVD
prevention programme policy and planning.
PMID- 21897486
TI - Elective induction versus spontaneous labour in Latin America.
AB - OBJECTIVE: To assess the frequency of elective induction of labour and its
determinants in selected Latin America countries; quantify success in attaining
vaginal delivery, and compare rates of caesarean and adverse maternal and
perinatal outcomes after elective induction versus spontaneous labour in low-risk
pregnancies. METHODS: Of 37,444 deliveries in women with low-risk pregnancies,
1847 (4.9%) were electively induced. The factors associated with adverse maternal
and perinatal outcomes among cases of spontaneous and induced onset of labour
were compared. Odds ratios for factors potentially associated with adverse
outcomes were calculated, as were the relative risks of having an adverse
maternal or perinatal outcome (both with their 95% confidence intervals).
Adjustment using multiple logistic regression models followed these analyses.
FINDINGS: Of 11,077 cases of induced labour, 1847 (16.7%) were elective. Elective
inductions occurred in 4.9% of women with low-risk pregnancies (37,444). Oxytocin
was the most common method used (83% of cases), either alone or combined with
another. Of induced deliveries, 88.2% were vaginal. The most common maternal
adverse events were: (i) a higher postpartum need for uterotonic drugs, (ii) a
nearly threefold risk of admission to the intensive care unit; (iii) a fivefold
risk of postpartum hysterectomy, and (iv) an increased need for
anaesthesia/analgesia. Perinatal outcomes were satisfactory except for a 22%
higher risk of delayed breastfeeding (i.e. initiation between 1 hour and 7 days
postpartum). CONCLUSION: Caution is mandatory when indicating elective labour
induction because the increased risk of maternal and perinatal adverse outcomes
is not outweighed by clear benefits.
PMID- 21897487
TI - The impact of adolescent pertussis immunization, 2004-2009: lessons from
Australia.
AB - OBJECTIVE: To compare the impact of three strategies for delivering a booster
dose of adult-formulated tetanus-diphtheria-pertussis (Tdap) vaccine to
adolescents in Australia. These comprise: (i) administering Tdap to: a one-year
age cohort; (ii) administering Tdap to the entire high school and to subsequent
entrant cohorts; and (iii) administering Tdap to the entire high school but
without continuing to immunize entrant cohorts. METHODS: A series of ecologic
analyses of pertussis notifications during epidemic periods in relevant age
cohorts were conducted. The primary outcome measure was the incidence rate ratio
(IRR), calculated by dividing pertussis incidence after the introduction of Tdap
delivery programmes by pertussis incidence during the most recent pre-programme
epidemic. FINDINGS: During the epidemic period of 2008-2009, the national-level
IRR among age cohorts targeted for Tdap was 0.6 (95% confidence interval, CI: 0.6
0.7), but among other age cohorts it was 1.1 (95% CI: 1.1-1.2). Only the
jurisdiction that implemented strategy 2 (Western Australia) experienced
sustained decreases in pertussis notifications in both adolescents and infants
under 6 months of age (IRR: 0.4; 95% CI: 0.3-0.6) until 2009. CONCLUSION: If
confirmed by longer experience in Australia and elsewhere, a broad school-based
catch-up programme followed by immunization of school entrants may be the optimum
strategy for the implementation of adolescent Tdap programmes.
PMID- 21897489
TI - Meeting global health challenges through operational research and management
science.
AB - This paper considers how operational research and management science can improve
the design of health systems and the delivery of health care, particularly in low
resource settings. It identifies some gaps in the way operational research is
typically used in global health and proposes steps to bridge them. It then
outlines some analytical tools of operational research and management science and
illustrates how their use can inform some typical design and delivery challenges
in global health. The paper concludes by considering factors that will increase
and improve the contribution of operational research and management science to
global health.
PMID- 21897488
TI - A point-of-care test for measles diagnosis: detection of measles-specific IgM
antibodies and viral nucleic acid.
AB - OBJECTIVE: To evaluate the performance of a newly developed point-of-care test
(POCT) for the detection of measles-specific IgM antibodies in serum and oral
fluid specimens and to assess if measles virus nucleic acid could be recovered
from used POCT strips. METHODS: The POCT was used to test 170 serum specimens
collected through measles surveillance or vaccination programmes in Ethiopia,
Malaysia and the Russian Federation: 69 were positive for measles immunoglobulin
M (IgM) antibodies, 74 were positive for rubella IgM antibodies and 7 were
positive for both. Also tested were 282 oral fluid specimens from the measles,
mumps and rubella (MMR) surveillance programme of the United Kingdom of Great
Britain and Northern Ireland. The Microimmune measles IgM capture enzyme
immunoassay was the gold standard for comparison. A panel of 24 oral fluids was
used to investigate if measles virus haemagglutinin (H) and nucleocapsid (N)
genes could be amplified by polymerase chain reaction directly from used POCT
strips. FINDINGS: With serum POCT showed a sensitivity and specificity of 90.8%
(69/76) and 93.6% (88/94), respectively; with oral fluids, sensitivity and
specificity were 90.0% (63/70) and 96.2% (200/208), respectively. Both H and N
genes were reliably detected in POCT strips and the N genes could be sequenced
for genotyping. Measles virus genes could be recovered from POCT strips after
storage for 5 weeks at 20-25 degrees C. CONCLUSION: The POCT has the sensitivity
and specificity required of a field-based test for measles diagnosis. However,
its role in global measles control programmes requires further evaluation.
PMID- 21897490
TI - Imprisonment and women's health: concerns about gender sensitivity, human rights
and public health.
AB - The health of prisoners is among the poorest of any population group and the
apparent inequalities pose both a challenge and an opportunity for country health
systems. The high rates of imprisonment in many countries, the resulting
overcrowding, characteristics of prison populations and the disproportionate
prevalence of health problems in prison should make prison health a matter of
public health importance.Women prisoners constitute a minority within all prison
systems and their special health needs are frequently neglected. The urgent need
to review current services is clear from research, expert opinion and experience
from countries worldwide. Current provision of health care to imprisoned women
fails to meet their needs and is, in too many cases, far short of what is
required by human rights and international recommendations. The evidence includes
a lack of gender sensitivity in policies and practices in prisons, violations of
women's human rights and failure to accept that imprisoned women have more and
different health-care needs compared with male prisoners, often related to
reproductive health issues, mental health problems, drug dependencies and
histories of violence and abuse. Additional needs stem from their frequent status
as a mother and usually the primary carer for her children.National governments,
policy-makers and prison management need to address gender insensitivity and
social injustice in prisons. There are immediate steps which could be taken to
deal with public health neglect, abuses of human rights and failures in gender
sensitivity.
PMID- 21897491
TI - Can performance-based financing be used to reform health systems in developing
countries?
AB - Over the past 15 years, performance-based financing has been implemented in an
increasing number of developing countries, particularly in Africa, as a means of
improving health worker performance. Scaling up to national implementation in
Burundi and Rwanda has encouraged proponents of performance-based financing to
view it as more than a financing mechanism, but increasingly as a strategic tool
to reform the health sector. We resist such a notion on the grounds that results
based and economically driven interventions do not, on their own, adequately
respond to patient and community needs, upon which health system reform should be
based. We also think the debate surrounding performance-based financing is biased
by insufficient and unsubstantiated evidence that does not adequately take
account of context nor disentangle the various elements of the performance-based
financing package.
PMID- 21897492
TI - Performance-based financing: the need for more research.
PMID- 21897493
TI - Looking at the effects of performance-based financing through a complex adaptive
systems lens.
PMID- 21897494
TI - Why there is so much enthusiasm for performance-based financing, particularly in
developing countries.
PMID- 21897495
TI - Trends in the Educational Gradient of U.S. Adult Mortality from 1986 to 2006 by
Race, Gender, and Age Group.
AB - The educational gradient of U.S. adult mortality became steeper between 1960 and
the mid 1980s, but whether it continued to steepen is less clear given a dearth
of attention to these trends since that time. This study provides new evidence on
trends in the education-mortality gradient from 1986 to 2006 by race, gender, and
age among non-Hispanic whites and blacks using data from the 2010 release of the
National Health Interview Survey Linked Mortality File. Results show that, for
white and black men, the gradient steepened among older ages because declines in
mortality risk across education levels were greater among the higher educated.
The gradient steepened among white women, and to a much lesser and only
marginally significant extent among black women, largely because mortality risk
decreased among the college-educated but increased among women with less than a
high school degree. Greater returns to higher education and compositional changes
within educational strata likely contributed to the trends.
PMID- 21897497
TI - Fospropofol: Is there an infusion regimen for propofol equivalence?
PMID- 21897374
TI - Measurement of the inelastic proton-proton cross-section at ?s=7 TeV with the
ATLAS detector.
AB - The dependence of the rate of proton-proton interactions on the centre-of-mass
collision energy, ?s, is of fundamental importance for both hadron collider
physics and particle astrophysics. The dependence cannot yet be calculated from
first principles; therefore, experimental measurements are needed. Here we
present the first measurement of the inelastic proton-proton interaction cross
section at a centre-of-mass energy, ?s, of 7 TeV using the ATLAS detector at the
Large Hadron Collider. Events are selected by requiring hits on scintillation
counters mounted in the forward region of the detector. An inelastic cross
section of 60.3 +/- 2.1 mb is measured for xi > 5*10-6, where xi is calculated
from the invariant mass, M(X), of hadrons selected using the largest rapidity gap
in the event. For diffractive events, this corresponds to requiring at least one
of the dissociation masses to be larger than 15.7 GeV.
PMID- 21897496
TI - Dexmedetomidine: New avenues.
PMID- 21897498
TI - Pregabalin in acute and chronic pain.
AB - Pregabalin is a gamma-amino-butyric acid analog shown to be effective in several
models of neuropathic pain, incisional injury, and inflammatory injury. In this
review, the role of pregabalin in acute postoperative pain and in chronic pain
syndromes has been discussed. Multimodal perioperative analgesia with the use of
gabapentinoids has become common. Based on available evidence from randomized
controlled trials and meta-analysis, the perioperative administration of
pregabalin reduces opioid consumption and opioid-related adverse effects in the
first 24 h following surgery. Postoperative pain intensity is however not
consistently reduced by pregabalin. Adverse effects like visual disturbance,
sedation, dizziness, and headache are associated with higher doses. The advantage
of the perioperative use of pregabalin is so far limited to laparoscopic,
gynecological, and daycare surgeries which are not very painful. The role of the
perioperative administration of pregabalin in preventing chronic pain following
surgery, its efficacy in more painful surgeries and surgeries done under regional
anesthesia, and the optimal dosage and duration of perioperative pregabalin need
to be studied. The efficacy of pregabalin in chronic pain conditions like painful
diabetic neuropathy, postherpetic neuralgia, central neuropathic pain, and
fibromyalgia has been demonstrated.
PMID- 21897499
TI - Anesthesia for joint replacement surgery: Issues with coexisting diseases.
AB - The first joint replacement surgery was performed in 1919. Since then, joint
replacement surgery has undergone tremendous development in terms of surgical
technique and anesthetic management. In this era of nuclear family and
independent survival, physical mobility is of paramount importance. In recent
years, with an increase in life expectancy, advances in geriatric medicine and
better insurance coverage, the scenario of joint replacement surgery has changed
significantly. Increasing number of young patients are undergoing joint
replacement for pathologies like rheumatoid arthritis and ankylosing spondylitis.
The diverse pathologies and wide range of patient population brings unique
challenges for the anesthesiologist. This article deals with anesthetic issues in
joint replacement surgery in patients with comorbidities.
PMID- 21897503
TI - Ultrasound-guided continuous transverse abdominis plane block for abdominal
surgery.
PMID- 21897501
TI - Comparison of total intravenous anesthesia using propofol and inhalational
anesthesia using isoflurane for controlled hypotension in functional endoscopic
sinus surgery.
AB - BACKGROUND: An important requirement during functional endoscopic sinus surgery
is to maintain a clear operative field to improve visualization during surgery
and to minimize complications. MATERIALS AND METHODS: We compared total
intravenous anesthesia using propofol with inhalational anesthesia using
isoflurane for controlled hypotension in functional endoscopic sinus surgery. It
was a prospective study in a tertiary hospital in India. Forty ASA physical
status I and II adult patients (16-60 years) were randomly allocated to one of
two parallel groups (isoflurane group, n = 20; propofol group, n = 20). The
primary outcome was to know whether total intravenous anesthesia using propofol
was superior to inhalational anesthesia using isoflurane for controlled
hypotension. The secondary outcomes measured were intraoperative blood loss,
duration of surgery, surgeon's opinion regarding the surgical field and the
incidence of complications. RESULTS: The mean (+/-SD) time to achieve the target
mean blood pressure was 18 (+/-8) minutes in the isoflurane group and 16 (+/-7)
minutes in the propofol group (P = 0.66). There was no statistically significant
difference (P = 0.402) between these two groups in terms of intraoperative blood
loss and operative field conditions (P = 0.34). CONCLUSIONS: Controlled
hypotension can be achieved equally and effectively with both propofol and
isoflurane. Total intravenous anesthesia using propofol offers no significant
advantage over isoflurane-based anesthetic technique in terms of operative
conditions and blood loss.
PMID- 21897500
TI - Clonidine as an adjunct to intravenous regional anesthesia: A randomized, double
blind, placebo-controlled dose ranging study.
AB - BACKGROUND: The addition of clonidine to lidocaine intravenous regional
anesthesia (IVRA) has been previously reported to improve postoperative analgesia
in patients undergoing upper extremity surgery. Our objective was to perform a
dose ranging study in order to determine the optimal dose of clonidine used with
lidocaine in IVRA. DESIGN #ENTITYSTARTX00026; SETTING: We performed a double
blinded randomized placebo-controlled study with 60 patients scheduled for
elective endoscopic carpal tunnel release under IVRA with 50 ml lidocaine 0.5%.
University-affiliated outpatient surgery center. Data collected in operating
rooms, recovery room, and by telephone after discharge from surgery center.
MATERIALS #ENTITYSTARTX00026; METHODS: Sixty adult ASA I or II patients
undergoing outpatient endoscopic carpal tunnel release under intravenous regional
anesthesia.Patients were randomized into five study groups receiving different
doses of clonidine in addition to 50 ml 0.5% lidocaine in their IVRA. Group A
received 0 mcg/kg, group B 0.25 mcg/kg, group C 0.5 mcg/kg, group D 1.0 mcg/kg
and group E 1.5 mcg/kg of clonidine.Intraoperative fentanyl, recovery room pain
scores, time to first postsurgical analgesic, total number of
acetaminophen/codeine tablets consumed postsurgery, incidence of sedation,
hypotension and bradycardia. RESULTS #ENTITYSTARTX00026; CONCLUSIONS: There was
no benefit from any dose of clonidine compared to placebo. There were no
clonidine-related side effects seen within the dose range studied. In short
duration minor hand surgery, the addition of clonidine to lidocaine-based
intravenous regional anesthesia provides no measurable benefit.
PMID- 21897502
TI - Ultrasound-guided continuous transverse abdominis plane block for abdominal
surgery.
AB - INTRODUCTION: Transversus abdominis plane (TAP) block is a new regional analgesic
technique for postoperative pain in abdominal surgery. Its efficacy is not clear,
and thus it needs to be explored for its regular utilisation on prolonged period.
The objective was to study the continuous local anaesthetic infusion effect on
postoperative analgesia. Continuous use of TAP block as an analgesic technique
has not been evaluated prospectively in clinical trials. This study evaluates the
efficacy of ultrasound-guided TAP block in comparison with PCA fentanyl in major
abdominal surgery. MATERIALS AND METHODS: There were 20 patients in the study,
allocated to TAP and control groups. The parameters measured were pain scores on
a numerical rating scale (NRS) of 0-10 at various time intervals and the amount
of fentanyl used as rescue analgesia. Patient satisfaction scores were recorded
in the TAP block group and along with any complications related to the block.
RESULTS: The postoperative median pain scores on coughing on day one were 6.0 for
control group and 2.0 for the TAP group (P = 0.02); on day two, the equivalent
scores were 7.0 and 2.0 (P = 0.01). The fentanyl requirement at one hour was 203
MU for the control group and 78 MUg for the TAP group (P = 0.03); at day one, the
control and TAP requirements were 1237 MUg and 664 MUg respectively (P = 0.01).
Three TAP patients rated their satisfaction as 'excellent', four as 'satisfied,
and two as 'poor'. CONCLUSION: TAP block is a promising technique for
postoperative analgesia in major abdominal surgeries. Our study demonstrated
lower pain scores in the TAP group with reduced fentanyl requirement. Further, a
large scale study is needed to establish the efficacy of TAP block in this
setting.
PMID- 21897505
TI - Comparative study of intravenously administered clonidine and magnesium sulfate
on hemodynamic responses during laparoscopic cholecystectomy.
AB - BACKGROUND: Both magnesium and clonidine are known to inhibit catecholamine and
vasopressin release and attenuate hemodynamic response to pneumoperitoneum. This
randomized, double blinded, placebo controlled study has been designed to assess
which agent attenuates hemodynamic stress response to pneumoperitoneum better.
MATERIALS AND METHODS: 120 patients undergoing elective laparoscopic
cholecystectomy were randomized into 4 groups of 30 each. Group K patients
received 50 ml normal saline over a period of 15 min after induction and before
pneumoperitoneum, group M patients received 50 mg/kg of magnesium sulfate in
normal saline (total volume 50 ml) over same time duration. Similarly group C1
patients received 1 MUg/kg clonidine and group C2 1.5 MUg/kg clonidine
respectively in normal saline (total volume 50 ml). Blood pressure and heart rate
were recorded before induction (baseline value), at the end of infusions and
every 5 min after pneumoperitoneum. STATISTICAL ANALYSIS: Paired t test was used
for intra-group comparison and ANOVA for inter-group comparison. RESULTS:
Systolic blood pressure was significantly higher in control group as compared to
all other groups during pneumoperitoneum. On comparing patients in group M and
group C1, no significant difference in systolic BP was found at any time
interval. Patients in group C2 showed best control of systolic BP. As compared to
group M and group C1, BP was significantly lower at 10, 30 and 40 min post
pneumoperitoneum. No significant episodes of hypotension were found in any of the
groups. Extubation time and time to response to verbal command like eye opening
was significantly longer in group M as compared to other groups. CONCLUSION:
Administration of magnesium sulfate or clonidine attenuates hemodynamic response
to pneumoperitoneum. Although magnesium sulfate 50 mg/kg produces hemodynamic
stability comparable to clonidine 1 MUg/kg, clonidine in doses of 1.5MUg/kg
blunts the hemodynamic response to pneumoperitoneum more effectively.
PMID- 21897504
TI - A Comparative study of intrathecal dexmedetomidine and fentanyl as adjuvants to
Bupivacaine.
AB - BACKGROUND: Various adjuvants have been used with local anesthetics in spinal
anesthesia to avoid intraoperative visceral and somatic pain and to provide
prolonged postoperative analgesia. Dexmedetomidine, the new highly selective
alpha2-agonist drug, is now being used as a neuraxial adjuvant. The aim of this
study was to evaluate the onset and duration of sensory and motor block,
hemodynamic effect, postoperative analgesia, and adverse effects of
dexmedetomidine or fentanyl given intrathecally with hyperbaric 0.5% bupivacaine.
MATERIALS AND METHODS: Sixty patients classified in American Society of
Anesthesiologists classes I and II scheduled for lower abdominal surgeries were
studied. Patients were randomly allocated to receive either 12.5 mg hyperbaric
bupivacaine plus 5 MUg dexmedetomidine (group D, n = 30) or 12.5 mg hyperbaric
bupivacaine plus 25 MUg fentanyl (group F, n = 30) intrathecal. RESULTS: Patients
in dexmedetomidine group (D) had a significantly longer sensory and motor block
time than patients in fentanyl group (F). The mean time of sensory regression to
S1 was 476+/-23 min in group D and 187+/-12 min in group F (P<0.001). The
regression time of motor block to reach modified Bromage 0 was 421+/-21 min in
group D and 149+/-18 min in group F (P<0.001). CONCLUSIONS: Intrathecal
dexmedetomidine is associated with prolonged motor and sensory block, hemodynamic
stability, and reduced demand for rescue analgesics in 24 h as compared to
fentanyl.
PMID- 21897506
TI - Pre-induction low dose pethidine does not decrease incidence of postoperative
shivering in laparoscopic gynecological surgeries.
AB - OBJECTIVES: The incidence of shivering in patients undergoing a laparoscopic
procedure is stated to be about 40%. A majority of laparoscopic gynecological
procedures are taken up on an outpatient basis. Postoperative shivering may delay
hospital discharge and is a common cause of discomfort in patients recovering
from anesthesia. AIMS: To determine the effect of pre-induction, low-dose
pethidine on postoperative shivering in patients undergoing laparoscopic
gynecological surgeries. SETTING AND DESIGN: Sixty females between 25 and 35
years of age, of American Society of Anesthesiologists (ASA) class 1 and 2, were
randomly divided into three groups of 20 patients each. Group I and II patients
received i.v. pethidine 0.3 mg/kg and 0.5 mg/kg, respectively, while Group III
received i.v. 0.9% normal saline just before induction of general anesthesia.
Temperature of the Operating Room and the Post Anesthesia Care Unit was
standardized and all fluids given during the study period were warmed to 37
degrees C. MATERIALS AND METHODS: Temperature, measured with a tympanic membrane
probe, was recorded preoperatively, after induction of anesthesia, on arrival at
the Post Anesthesia Care Unit, and postoperatively at 15 minutes and 30 minutes.
Shivering was graded (0 - 4 scale) at arrival of the patients to the PACU and
every five minutes thereafter, up to 30 minutes. STATISTICAL ANALYSIS: ANOVA, Chi
square test, Kruskal-Wallis ANOVA and Mann-Whitney U tests were used. A P-value
of less than 0.05 was considered significant. RESULTS: Core body temperatures
were statistically insignificant between groups at pre-induction, post-induction,
and in the PACU (P > 0.05). At the end of surgery, shivering was present in 18
patients (30%). In groups I, II, and III, six (30%), three (15%), and nine (45%)
patients shivered, respectively. The differences in incidence and grading of
shivering among groups was found to be statistically insignificant (P > 0.05).
The core body temperature of shiverers and non-shiverers were compared. In the
PACU at 0, 15, and 30 minutes, the temperature among shiverers was significantly
lower than that in the non-shiverers. Rescue drug i.v. pethidine 20 mg was given
to patients with shivering grade >=2. None of the patients had shivering after 10
minutes. CONCLUSIONS: Prophylactic pre-induction, low-dose pethidine does not
have major role in preventing postoperative shivering.
PMID- 21897507
TI - Influence of music on operation theatre staff.
AB - BACKGROUND AND OBJECTIVE: The purpose of the study was to evaluate the perception
of influence of music among surgeons, anesthesiologist and nurses in our hospital
as well as to critically evaluate whether music can be used as an aid in
improving the work efficiency of medical personnel in the operation theatre (OT).
MATERIALS AND METHODS: A prospective, questionnaire-based cross-sectional study
was conducted. A total of 100 randomly selected subjects were interviewed, which
included 44 surgeons, 25 anesthesiologists and 31 nurses. Statistical package for
social sciences (SPSS) Windows Version 16 software was used for statistical
evaluation. RESULTS: Most of the OT medical personnel were found to be aware of
the beneficial effects of music, with 87% consenting to the playing of music in
the OT. It was also found that most participants agreed to have heard music on a
regular basis in the OT, while 17% had heard it whenever they have been to the
OT. CONCLUSIONS: Majority of the respondent's preferred playing music in the OT
which helped them relax. It improved the cognitive function of the listeners and
created a sense of well being among the people and elevated mood in them. Music
helped in reducing the autonomic reactivity of theatre personnel in stressful
surgeries allowing them to approach their surgeries in a more thoughtful and
relaxed manner. Qualitative, objective and comprehensive effect of specific music
types varied with different individuals. Music can aid in improving the work
efficiency of medical personnel in the OT. The study has reinforced the
beneficial effects of playing music in the OT outweighing its deleterious
outcomes.
PMID- 21897508
TI - Endotracheal tube cuff pressure monitoring during neurosurgery - Manual vs.
automatic method.
AB - BACKGROUND: Inflation and assessment of the endotracheal tube cuff pressure is
often not appreciated as a critical aspect of endotracheal intubation.
Appropriate endotracheal tube cuff pressure, endotracheal intubation seals the
airway to prevent aspiration and provides for positive-pressure ventilation
without air leak. MATERIALS AND METHODS: Correlations between manual methods of
assessing the pressure by an experienced anesthesiologists and assessment with
maintenance of the pressure within the normal range by the automated pressure
controller device were studied in 100 patients divided into two groups. In Group
M, endotracheal tube cuff was inflated manually by a trained anesthesiologist and
checked for its pressure hourly by cuff pressure monitor till the end of surgery.
In Group C, endotracheal tube cuff was inflated by automated cuff pressure
controller and pressure was maintained at 25-cm H(2)O throughout the surgeries.
Repeated measure ANOVA was applied. RESULTS: Repeated measure ANOVA results
showed that average of endotracheal tube cuff pressure of 50 patients taken at
seven different points is significantly different (F-value: 171.102, P-value:
0.000). Bonferroni correction test shows that average of endotracheal tube cuff
pressure in all six groups are significantly different from constant group (P =
0.000). No case of laryngomalacia, tracheomalacia, tracheal stenosis,
tracheoesophageal fistula or aspiration pneumonitis was observed. CONCLUSIONS:
Endotracheal tube cuff pressure was significantly high when endotracheal tube
cuff was inflated manually. The known complications of high endotracheal tube
cuff pressure can be avoided if the cuff pressure controller device is used and
manual methods cannot be relied upon for keeping the pressure within the
recommended levels.
PMID- 21897509
TI - Anesthetic complications including two cases of postoperative respiratory
depression in living liver donor surgery.
AB - BACKGROUND: Living liver donation is becoming a more common means to treat
patients with liver failure because of a shortage of cadaveric organs and
tissues. There is a potential for morbidity and mortality, however, in patients
who donate a portion of their liver. The purpose of this study is to identify
anesthetic complications and morbidity resulting from living liver donor surgery.
PATIENTS AND METHODS: The anesthetic records of all patients who donated a
segment of their liver between January 1997 and January 2006 at University of
Minnesota Medical Center-Fairview were retrospectively reviewed. The surgical and
anesthesia time, blood loss, hospitalization length, complications, morbidity,
and mortality were recorded. Data were reported as absolute values, mean +/- SD,
or percentage. Significance (P < 0.05) was determined using Student's paired t
tests. RESULTS: Seventy-four patients (34 male, 40 female, mean age = 35.5 +/-
9.8 years) donated a portion of their liver and were reviewed in the study. Fifty
seven patients (77%) donated the right hepatic lobe, while 17 (23%) donated a
left hepatic segment. The average surgical time for all patients was 7.8 +/- 1.5
hours, the anesthesia time was 9.0 +/- 1.3 hours, and the blood loss was 423 +/-
253 ml. Forty-six patients (62.2%) received autologous blood either from a cell
saver or at the end of surgery following acute, normovolemic hemodilution, but
none required an allogenic transfusion. Two patients were admitted to the
intensive care unit due to respiratory depression. Both patients donated their
right hepatic lobe. One required reintubation in the recovery room and remained
intubated overnight. The other was extubated but required observation in the
intensive care unit for a low respiratory rate. Twelve patients (16.2%) had
complaints of nausea, and two reported nausea with vomiting during their hospital
stay. There were four patients who developed complications related to positioning
during the surgery: Two patients complained of numbness and tingling in the hands
which resolved within two days, one patient reported a blister on the hand, and
one patient complained of right elbow pain that resolved quickly. Postoperative
hospitalization averaged 7.4 +/- 1.5 days. There was no patient mortality.
DISCUSSION: Living liver donation can be performed with low morbidity. However,
postoperative respiratory depression is a concern and is perhaps due to altered
metabolism of administered narcotics and anesthetic agents.
PMID- 21897511
TI - Pneumothorax during laparoscopic repair of giant paraesophageal hernia.
AB - Giant paraesophageal hernia is an uncommon morbid disorder which may present a
risk of catastrophic complications and should be repaired electively as soon as
possible. Laparoscopic fundoplication is the mainstay of surgical management of
this disorder due to several advantages such as lower post-operative morbidity
and pain. We report a case of a 70-year-old patient with a giant paraesophageal
hernia, who developed subcutaneous emphysema with pneumothorax during
laparoscopic fundoplication. Early diagnosis was possible by close clinical
evaluation and simultaneous monitoring of end-tidal carbon dioxide levels and
airway pressures. Although positive end-expiratory pressure application is an
effective way of managing pneumothorax secondary to the passage of gas into the
interpleural space, insertion of an intercostal drain may be used in an emergent
situation.
PMID- 21897510
TI - Comparison of dexmedetomidine and three different doses of midazolam in
preoperative sedation.
AB - BACKGROUND: This study was conducted to compare the efficacy and effects of
dexmedetomidine and midazolam in preoperative sedation. MATERIALS AND METHODS: A
total of 125 patients in American Society of Anaesthesiologists (ASA) I-II were
divided into three groups: Group I (n = 40) for controls, Group II (n = 40) for
Dexmedetomidine (1 MUg/kg), and group III was the midazolam group (n = 45). Group
III was further divided into three subgroups according to the doses of midazolam:
Group IIIA (n = 15) received 0.02 mg/kg, group IIIB (n = 15) received 0.04 mg/kg,
and group IIIC (n = 15) received 0.06 mg/kg of midazolam. Drugs were infused over
a 10-minute period with appropriate monitoring. Ramsay and visual analog scores,
for sedation and anxiety, respectively, and mean arterial pressure, heart rate,
and SpO(2) measurement, including respiratory rates were recorded, every 5
minutes for 30 minutes following infusion. RESULTS: There was marked sedation and
a decrease in anxiety in groups II and IIIC (P < 0.01). Mean arterial pressure
(MAP) and heart rate (HR) decreased significantly in group II (P < 0.01 and P <
0.05, respectively), but there was no associated hypotension (MAP <60 mm Hg) or
bradycardia (HR <50 bpm) (P < 0.05). Respiratory rates and SpO(2) values
decreased in groups II, IIIA, IIIB, and IIIC. The differences in respiratory
rates were not significant (P > 0.05); however, decrease in SpO(2) was
significant in group IIIC (P < 0.01). CONCLUSIONS: Dexmedetomidine was as
effective as higher doses of midazolam in sedation. The hemodynamic and
respiratory effects were minimal. Although dexmedetomidine caused significant
decrease in the blood pressure and heart rate, it probably just normalized
increased levels caused by preoperative stress.
PMID- 21897512
TI - Epidural anesthesia for repeat cesarean delivery in a parturient with Klippel
Feil syndrome.
AB - A patient with Klippel-Feil syndrome, morbid obesity, and scoliosis required
cesarean delivery. Her previous cesarean deliveries were performed under general
anesthesia. She desired a regional technique. Following aspiration prophylaxis
and placement of standard monitors, ultrasound was used to identify midline and
L(2-3) interspace. Unintentional dural puncture occurred at 10 cm, with an
inability to advance the catheter. On second attempt, an epidural catheter was
placed easily. After negative test dose, 18 ml of 2% lidocaine with epinephrine
was administered to the patient. A T4 level was achieved. The patient tolerated
surgery well. Complete block resolution occurred at 4 hours with no neurologic
sequelae.
PMID- 21897514
TI - Anesthetic management of a patient with Kimura's disease for superficial
parotidectomy.
AB - Kimura's disease is a rare form of chronic eosinophilic inflammatory disease with
vascular proliferation involving salivary gland, skin, lymph node, and kidney.
Important anesthetic concerns include increased surgical bleeding due to its
vascular nature, airway involvement by the mass leading to a possible difficult
airway, allergic symptoms associated with high eosinophil count and elevated IgE
level and nephrotic syndrome due to involvement of kidney by the inflammatory
process. There is paucity of information in the literature on the anesthetic
management of Kimura's disease. We describe the anesthesia technique and review
the literature of such a case posted for superficial parotidectomy.
PMID- 21897513
TI - Rocuronium and sugammadex: An alternative to succinylcholine for electro
convulsive therapy in patients with suspected neuroleptic malignant syndrome.
AB - We report a case of presumptive neuroleptic malignant syndrome requiring muscle
relaxation for electro-convulsive therapy. short acting muscle relaxation without
the use of succinylcholine was achieved using rocvronivm reversed with the novel
reversal agent sugammadex. We suggest that this combination is a safe and
effective alternative to succinylcholine in such cases.
PMID- 21897515
TI - Prolonged vertigo and ataxia after mandibular nerve block for treatment of
trigeminal neuralgia.
AB - Common complications of neurolytic mandibular nerve block are hypoesthesia,
dysesthesia, and chemical neuritis. We report a rare complication, prolonged
severe vertigo and ataxia, after neurolytic mandibular blockade in a patient
suffering from trigeminal neuralgia. Coronoid approach was used for right sided
mandibular block. After successful test injection with local anesthetic, absolute
alcohol was given for neurolytic block. Immediately after alcohol injection,
patient developed nausea and vomiting along with severe vertigo, ataxia and
hypertension. Neurological evaluation was normal except for the presence of
vertigo and ataxia. Computerised tomography scan brain was also normal. Patient
was admitted for observation and symptomatic treatment was given. Vertigo and
ataxia gradually improved over 24 hours.
PMID- 21897516
TI - Airway management of an unusual case of recurrent rhinoscleroma.
AB - Rhinoscleroma is a rare entity encountered in anesthesia practice. We discuss the
management of a patient after its recurrence, involving the upper respiratory
tract i.e. nasopharynx and oropharynx, which compromised the airway. The pateint
was referred for anesthesia on three different occasions with different
presentations owing to the recurrence of symptoms.The presence of an
oropharyngeal membrane with a small opening made airway management a challenge.
The patient was successfully managed on all three occasions. Imaging facilitated
assessment and subsequent airway management.
PMID- 21897517
TI - Tetany: A diagnostic dilemma.
AB - Tetany is a disorder of increased neuronal excitability usually associated with
hypocalcemia. We report a patient with typical tetanic cramps and carpopedal
spasm in the postoperative period, despite normal serum concentrations of
calcium, which responded to intravenous infusion of calcium.
PMID- 21897518
TI - Failed nasal intubation after successful flexible bronchoscopy: Guide wire to the
rescue.
AB - Flexible fiberoptic bronchoscope-guided awake intubation is the most trusted
technique for managing an anticipated difficult airway. Even after successfully
negotiating the bronchoscope into the trachea, the possibility remains that the
preloaded tracheal tube might prove to be inappropriately large, and may not
negotiate the nasal structures. In such a situation, the most obvious solution is
to take out the bronchoscope, replace the tracheal tube with a smaller one, and
repeat the procedure. Unfortunately, sometimes the second attempt is not as easy
as the first, as minor trauma during the earlier attempt causes tissue edema and
bleeding, which makes the subsequent bronchoscopic view hazy and difficult. We
present the anesthetic management of five cases with temporomandibular joint
ankylosis where, after successful, though slightly traumatic, bronchoscope
insertion into the trachea, the tube could not be threaded in. We avoided a
repeat bronchoscopy by making an innovative change in the plan.
PMID- 21897519
TI - Abnormal oculocardiac reflex in two patients with Marcus Gunn syndrome.
AB - Marcus Gunn phenomenon is seen in 4 to 6% of congenital ptosis patients. We
report two cases of abnormal oculocardiac reflex during ptosis correction
surgery. Marcus Gunn syndrome is an autosomal dominant condition with incomplete
penetrance. It is believed to be a neural misdirection syndrome in which fibres
of the motor division of the trigeminal nerve are congenitally misdirected into
the superior pterygoid and the levator muscles. Anesthetic considerations include
taking a detailed history about any previous anaesthetic exposure and any
reaction to it as this syndrome has a high probability of being associated with
malignant hyperthermia. It is also postulated that an atypical oculocardiac
reflex might be initiated in these patients as seen in our patients, so
precautions must be taken for its prevention and early detection.
PMID- 21897520
TI - Anesthetic considerations in a patient of autosomal dominant polycystic kidney
disease on hemodialysis for emergency cesarean section.
AB - Renal disease, either preexisting or occurring during gestation may impair
maternal and fetal health. A 35-year-old primigravida with autosomal dominant
polycystic kidney disease on hemodialysis was scheduled for emergency cesarean
section. She was managed successfully with low-dose intrathecal bupivacaine and
fentanyl. In the case of pregnancy in such a patient, early involvement of the
nephrologists along with the obstetrician can improve maternal and fetal outcome.
PMID- 21897521
TI - Pneumothorax complicating pulmonary embolism after combined spinal epidural
anesthesia in a chronic smoker with open femur fracture.
AB - Pulmonary embolism during or after regional anaesthesia is although very rare, it
has been reported in cases undergoing lower limb orthopedic procedures. We
presenting a 48 years old male, a known smoker since 25 years, with history of
road traffic accident and open fracture right femur for external fixation.
Combined spinal epidural anaesthesia was given. After 35 minutes patient
complained dyspnea and chest pain. SpO2 decreased to 82% from 100%. Continuous
positive airway pressure with 100% oxygen was given. SpO2 increased from 82% to
96%. Suddenly he had bouts of cough and SpO2 became 79-80% with unstable
haemodynamics. On chest auscultation there was decreased breath sounds on right
side with limited expansion. Trachea was intubated after inducing anaesthesia
with fentanyl 70 MUg and thiopental 300 mg. Chest radiograph showed right sided
pneumothorax. Intercostal drain with a water seal was put. After 5 minutes HR was
80/min, BP was 110/69 mmHg and SpO2 was 97%. Pulmonary thromboembolism secondary
to deep vein thrombosis was suspected and was confirmed by D-dimer Elisa and
color Doppler of lower limbs. Patient was shifted to intensive care unit after
completion of surgery. Anticoagulant therapy was started. He was weaned from the
ventilator on 3rd day and trachea was extubated. Chest drain was removed after 9
days and he was discharged from hospital on 15th post operative day.
PMID- 21897522
TI - Unrecognized blunt tracheal trauma with massive pneumomediastinum and tension
pneumothorax.
AB - Blunt neck trauma with an associated laryngotracheal injury is rare. We report a
patient with blunt neck trauma who came to the emergency room and was sent to
ward without realizing the seriousness of the situation. He presented later with
respiratory distress and an anesthesiologist was called in for emergency airway
management. Airway management in such a situation is described in this report.
PMID- 21897523
TI - Tension pneumoventricle after excision of third ventricular tumor in sitting
position.
AB - Occurrence of tension pneumoventricle (symptomatic intraventricular air) can
result in rapid clinical deterioration in an otherwise stable patient. It is a
rare clinical entity, mentioned in relation to cerebrospinal fluid (CSF)
diversion procedures, during the late postoperative period. We present a patient
with posterior third ventricular tumor who underwent excision by midline
suboccipital craniotomy in sitting position. Neurological status of the patient
deteriorated rapidly in the immediate postoperative period owing to development
of tension pneumoventricle. The condition improved after twist-drill burr-hole
evacuation of air under water-seal. Pre-existing gross hydrocephalus, exploration
of third ventricle in sitting position, and residual tumor in third ventricle
were possibly the factors responsible for this complication.
PMID- 21897524
TI - Anesthetic management for laparoscopy surgery in a patient with residual
coarctation of aorta and mild aortic stenosis.
AB - Perioperative management of patients with congenital heart disease is a challenge
for the anesthesiologist. We present successful anesthetic management for
diagnostic laparoscopy and cystectomy for tubo-ovarian mass in a case of residual
coarctation of the aorta along with bicuspid aortic valve and mild aortic
stenosis.
PMID- 21897525
TI - Unique airway finding in a case of Pfeiffer syndrome and its management.
PMID- 21897526
TI - Leaks in the vaporizer unit: Still a possibility.
PMID- 21897527
TI - Huge vulval elephantiasis: Anesthetic management for caesarean delivery.
PMID- 21897528
TI - Can the use of sevoflurane with rocuronium reconcile the debate on
succinylcholine versus rocuronium for rapid sequence intubation?
PMID- 21897529
TI - Gangrene of hand due to faulty intravenous cannulation: Be cautious with
hyperosmotic agents.
PMID- 21897530
TI - Muscle twitching and hiccups with propofol.
PMID- 21897531
TI - Arrhythmias in prone position.
PMID- 21897532
TI - Anesthetic management of cystic hygroma of tongue in a child.
PMID- 21897533
TI - Authors' reply.
PMID- 21897534
TI - Failed insertion of endotracheal tube through classic laryngeal mask airway.
PMID- 21897535
TI - Monitoring of neuromuscular blockade by pulse oximetry tracing: A simple
modification of mechanomyographic and acceleromyographic principles.
PMID- 21897536
TI - Nonconventional way of securing endotracheal tube in bearded individuals.
PMID- 21897537
TI - Acute epiglottic abscess in adults: Still a challenge!
PMID- 21897539
TI - Anesthetic considerations in periventricular leucomalacia.
PMID- 21897538
TI - Ultrasound-guided regional blockade - Is it always safe?
PMID- 21897540
TI - Fine needle aspiration cytology in lesions of oral and maxillofacial region:
Diagnostic pitfalls.
AB - BACKGROUND: Fine needle aspiration cytology (FNAC) of oral and maxillofacial
region has not been widely utilized for diagnosis due to diversity of lesion
types, heterogeneity of cell populations and difficulties in reaching and
aspirating these lesions. AIM: Our aim was to demonstrate the effectiveness of
this cheap and simple procedure for the diagnosis of tumor and tumor like lesions
of oral and maxillofacial region. In addition, we sought to highlight probable
causes of errors in the cases showing lack of correlation between cytological and
histological diagnoses. MATERIALS AND METHODS: The study was conducted on 50
patients of all age groups with various palpable lesions in the oromaxillofacial
region. A comparison between cytological and histological diagnosis was done
wherever biopsy material was available. RESULTS: The rate of unsatisfactory FNA
was 4%. There were six false negative cases but no false positive case. The
sensitivity of our study ranged from 77.7 to 75% including and excluding the
suspicious cases, respectively. Specificity and positive predictive value was
100%. CONCLUSION: FNAC is a minimally invasive, highly accurate and cost
effective procedure for the assessment of patients with oromaxillofacial lesions.
When applied in a proper manner, FNAC can help avoid a surgical biopsy in many
cases.
PMID- 21897541
TI - Morphology to morphometry in cytological evaluation of thyroid lesions.
AB - AIM: To evaluate the cytomorphometric features in fine needle aspiration cytology
(FNAC) of thyroid lesions. MATERIALS AND METHODS: FNAC of 36 thyroid cases was
reviewed. The study included 10 cases each of follicular lesion, adenomatous
goiter, papillary carcinoma, 4 cases of medullary carcinoma and 2 cases of
anaplastic carcinoma. Their ages ranged from 28 to 50 years, and there were nine
females and one male. Morphometric analysis was done on aspiration smears from 36
thyroid lesions. Hematoxylin and Eosin stained smears were examined using image
analyzer Proplus V software. Morphological parameters measured included mean
nuclear diameter, mean nuclear perimeter, mean nuclear area, circular rate,
largest to smallest dimension ratio (LS ratio) and coefficient of variation of
nuclear area (NACV). STATISTICAL ANALYSIS: Statistical evaluation was carried out
using the analysis of variance (ANOVA) test for the five variables, both within
the group and in between the groups. The result was considered significant when P
< 0.05. RESULTS: The follicular carcinomas had higher LS ratio than patients with
adenomatous goiters. Mean nuclear diameter and the mean nuclear perimeter were
higher in anaplastic carcinomas when compared to other subtypes and were the
least for follicular neoplasms. CONCLUSION: When correctly applied, quantitative
estimation of cytological nuclear features can play an important role in
preoperative assessment and can complement morphological features in thyroid
lesions.
PMID- 21897542
TI - Fine needle aspiration cytology of epididymal nodules.
AB - BACKGROUND: The incidence of non neoplastic lesions are much more common in
epididymis. Clinically, epididymal nodules are easily accessible to fine needle
aspiration cytology (FNAC) procedure. There are very few literature reports
documenting the role of cytology in evaluation of epididymal nodules. Thus, we
studied patients presenting with palpable epididymis nodules in the out patient
department (OPD) from a tertiary care general hospital. AIM: This study is aimed
to put forth the diagnostic utility of FNAC in palpable lesions of epididymis.
MATERIALS AND METHODS: A total of 40 palpable epididymal nodules were aspirated
as a routine OPD procedure as part of this study. Smears were fixed in isopropyl
alcohol and air dried. In all the cases, wet fixed papanicoloau stained and air
dried giemsa stained smears were studied. Zeihl Neelsen stain was performed in
cases which yielded caseous aspirate. RESULTS: Except for two cases of
adenomatoid tumor of epididymis all other lesions were nonneoplastic and included
14 cases (35%) of tuberculous granulomatous inflammation, 10 (25%) cystic nodules
(9 spermatoceles and 1 encysted hydrocele), 5 (12.5%) of nonspecific
inflammations, 3 (7.5%) filarial infection, 3 (7.5%) sperm granulomas and 3
(7.5%) adenomatous hyperplasia of rete testes. Except for the two tumors, one
adenomatous hyperplasia and one tuberculous lesion, no other lesion was excised.
Follow up and response to therapy was available in 78% patients and resolution
indicated appropriateness of the diagnosis CONCLUSIONS: Thus, as most of the
lesions in epididymis are non neoplastic responding to medical line of treatment
and FNAC served to aid diagnosis of non specific inflammation and avoid surgical
excision in most cases.
PMID- 21897544
TI - The clinical effectiveness of fine needle aspiration biopsy in patients with
palpable breast lesions seen at the University College Hospital, Ibadan, Nigeria:
A 10-year retrospective study.
AB - BACKGROUND: Although open surgical biopsy is the 'gold standard' for diagnosis of
palpable breast lesions, in recent years two types of minimally invasive breast
biopsy techniques, core needle biopsy and fine needle aspiration biopsy (FNAB),
have become established for the diagnostic evaluation of palpable breast lesions.
AIMS: This study was undertaken to evaluate the clinical effectiveness of FNAB as
a first line diagnostic procedure in the management of patients with breast lumps
in University College Hospital (UCH), Ibadan, using the suggested thresholds for
cytology performance as recommended by the United Kingdom National Health
Services Breast Screening Programme (NHSBSP). SETTINGS AND DESIGN: A 10-year
retrospective cohort study carried out in the Pathology Department of UCH.
MATERIALS AND METHODS: All FNAB and histologically diagnosed cases of breast
lesions in the pathology department between January 1996 and December 2005 were
reviewed. The cytological diagnoses were then categorized into one of five
diagnostic categories in accordance with the recommendations of the NHSBSP.
RESULTS: The positive predictive value for malignancy was 99.3% with a complete
sensitivity of 97.7% and specificity (full) of 94.2%. CONCLUSIONS: The high
quality assurance measures obtained in this study affirms FNAB as a clinically
effective diagnostic procedure for breast lesions.
PMID- 21897543
TI - Fine needle aspiration cytology of supraclavicular lymph nodes: Our experience
over a three-year period.
AB - AIMS: This study was taken up with the aim to investigate the pattern of
supraclavicular lymphadenopathy among patients presenting to our tertiary care
institution, evaluate the diagnostic efficacy of fine needle aspiration cytology
(FNAC), and analyze the diagnostic pitfalls. MATERIALS AND METHODS: A total of
215 patients were subjected to FNAC of supraclavicular lymph nodes over a three
year period (August 2006 to July 2009). Since in 18 patients as either the
aspirate was inadequate or the opinion was equivocal, we analyzed the remaining
197 cases. RESULTS: Malignant pathology accounted for 79.7% (157/197) of the
cases. These were mostly cases of metastatic squamous cell carcinoma (79/197,
40.1%), adenocarcinoma (47/197, 23.9%), small cell carcinoma (12/197, 6.1%) and
lymphoma (10/197, 5%). There were 28 (14.2%) cases of tuberculosis. Out of these
197 patients, 92 patients were biopsied. The opinion based on FNAC was erroneous
in 6 cases but corroborated with the final histopathology findings in the
remaining 86 cases. CONCLUSION: FNAC is an excellent first line of investigation;
and when used with a proper combination of experience and diligence, it can
greatly reduce the number of errors.
PMID- 21897545
TI - Radio-pathological diagnosis of hepatobiliary ascariasis: A rare entity.
AB - Ascariasis is the commonest helminthic infection in humans, caused by the
nematode Ascaris lumbricoides. The adult worms usually reside in the small
intestine. Rarely, they migrate into the bile duct and pancreatic ducts, but
involvement of the gall bladder and/or liver parenchyma is extremely rare. Here,
we describe a case of a 32-year-old woman who presented with fever, anorexia,
right upper quadrant pain and mild hepatomegaly. Ultrasonography revealed a liver
abscess and a concurrent moving adult worm in the gall bladder. Fine-needle
aspiration cytology (FNAC) from the liver abscess showed presence of fertilized
eggs of Ascaris lumbricoides. A diagnosis of gall bladder and hepatic ascariasis
was made. The patient responded well to conservative management. Ascaris-induced
liver abscess with concurrent living adult worm in gall bladder has rarely been
reported. Ultrasonography and FNAC are important diagnostic modalities for
detection of such lesions. Conservative management appears to be the treatment of
choice for hepatobiliary ascariasis.
PMID- 21897546
TI - The cytology of intracranial clear cell meningioma with an unusual scalp
presentation.
AB - Intracranial meningiomas are not often aspirated unless they erode the skull and
present as swelling in the head and neck region. We describe the cytologic
findings of fine needle aspiration cytology in a clear cell variant of
intracranial meningioma presenting with swelling in the left parietal region of
the scalp in a 45-year-old woman. The diagnosis was confirmed by histopathology.
Clear cell meningioma is characterized by patternless sheets of clear polygonal
cells. Clear cell meningioma is a rare distinctive cytological subtype of
meningioma with high recurrence rate and increased mortality, which necessitate
frequent follow-up after surgical excision. The recognition of clear cell
meningiomas is important here and should be distinguished from other clear cell
lesions of the scalp. If clear cell epithelial neoplasm is encountered on fine
needle aspiration, it is reasonably possible to provide at least a differential
diagnosis of meningioma based upon the focal whorls, sparce vascularity on low
magnification, along with the presence of pseudoinclusion and inconspicuous
nucleoli at high magnification.
PMID- 21897547
TI - Fine needle aspiration cytology of metastatic alveolar rhabdomyosarcoma.
AB - Rhabdomyosarcoma (RMS) is an aggressive malignant soft tissue tumor that arises
from primitive striated muscle cells called rhabdomyoblasts. RMS is a rare tumor
in adults, and involvement of the sinonasal area is extremely rare, comprising
only 1.5% of all reported head and neck RMSs. Alveolar RMS, mainly seen in
adults, has the worst prognosis. Incidence of lymph node metastases is more
common in this type compared with the other forms. Fine needle aspiration
cytology (FNAC) has been used extensively in the diagnosis of metastatic
malignancies. However, metastatic soft tissue sarcomas are often overlooked,
primarily due to the low frequency with which they occur. Here, we report a rare
case of metastatic alveolar RMS in the cervical lymph nodes of an 18-year-old
girl that was detected by FNAC. After 6 months, the patient came with a huge mass
involving the nasal vestibule and the upper lip. Histology of both the main mass
and the lymph nodes revealed alveolar RMS.
PMID- 21897548
TI - The mystic thyroid nodule: A cytologist's confront.
AB - Metastatic thyroid carcinoma is clinically silent and found only at autopsy in
most instances. Renal cell carcinoma (RCC) is the most common and constitutes 56%
of all secondary malignancies. However, preoperative distinction between primary
and secondary thyroid neoplasms is often challenging. Fine needle aspiration is
helpful in establishing a correct preoperative diagnosis. A local surgical
resection is curative if prompt preoperative diagnosis is established. We hereby
report a case of isolated metastatic RCC to the thyroid in a 65-year-old woman,
diagnosed by fine needle aspiration cytology and later confirmed with
histopathological examination.
PMID- 21897549
TI - Fine needle aspiration cytology of a case of micropapillary variant of urothelial
carcinoma of bladder.
AB - Neoplastic urothelium has the capacity to demonstrate enormous plasticity. A
variety of unusual morphological variants of urothelial carcinoma have been
described. Micropapillary variant of urothelial carcinoma is a rare and recently
described bladder tumor, associated with poor prognosis. We present the
cytological features of micropapillary urothelial carcinoma in a 65-year-old man
with bladder mass. The cytological features include tightly cohesive clusters of
micropapillary component admixed with urothelial carcinoma. Histopathological
sections showed small nests of tumor cells residing within the lacunae.
Establishing a diagnosis of micropapillary urothelial carcinoma indicates a high
grade and high-stage tumor with poor outcome, requiring an aggressive therapy. It
is thus important for the cytopathologist to recognise micropapillary variant of
urothelial carcinoma, for early and better management of patients with bladder
tumor.
PMID- 21897550
TI - Extranodal manifestation of Rosai-Dorfman disease with bilateral ocular
involvement.
AB - Rosai-Dorfman disease, that is, sinus histiocytosis with massive lymphadenopathy
is a benign systemic proliferative disorder of histiocytes. The typical clinical
presentation of the disease includes bilateral painless massive lymphadenopathy,
fever and polyclonal hypergammaglobulinemia. Extranodal involvement is present in
only a few cases and skin lesions are the most common form of extranodal disease.
However, purely cutaneous Rosai-Dorfman disease is uncommon. In this study, we
describe a 10-year-old child presenting with bilateral ocular involvement.
PMID- 21897551
TI - Cytological diagnosis of typical carcinoid on bronchoscopic brush smears in an
HIV-positive patient.
AB - We report a case of typical carcinoid of the lung in a 60-year-old human
immunodeficiency virus (HIV)-positive man diagnosed on brush cytology smears.
Bronchial carcinoids are rare tumors, accounting for 1% to 2% of all lung tumors.
Although the exact incidence in HIV-infected individuals is not known, the
paucity of their documentation in the literature indicates that they may be
equally rare. Cytological diagnosis on brush smears is rarely documented as the
tumor is covered with mucosa and cellular yield is often not good. In this case,
bronchial brushings showed distinctive cytological features of typical carcinoid.
The awareness of its characteristic cytological features and differential
diagnosis is required for an accurate diagnosis. Clinical awareness that non
acquired immunodeficiency syndrome (AIDS)-defining cancers can also occur in HIV
infected individuals in the context of the prolonged survival in the
antiretroviral era is equally important. This case emphasizes the clinical
importance of a broad differential diagnosis for lung lesions in HIV-positive
patients.
PMID- 21897552
TI - Coexistence of leukemic infiltration and extramedullary hematopoeisis in a lymph
node: A cytological diagnosis.
AB - Extramedullary hematopoeisis (EMH) occurs when function of the bone marrow is
insufficient or destroyed. We report a case of lymph nodal mass in a young
patient, diagnosed on fine needle aspiration cytology (FNAC) as infiltration by
leukemia along with features of EMH. FNA smears from axillary lymph node revealed
lymphoblasts with hand mirror cell and teat cell morphology. Also seen were
occasional megakaryocytes and metamyelocytes.
PMID- 21897553
TI - Cytodiagnosis and pitfalls of genital tuberculosis: A report of two cases.
AB - Genital tuberculosis is fairly common in Indian women due to high prevalence of
pulmonary tuberculosis in the general population. Histopathological diagnosis is
invaluable but often, diagnosis can be made with reasonable accuracy by
Papanicolaou (Pap) smear test if the index of suspicion is kept high. Also,
genital tuberculosis is considered to be more common in patients less than 40
years of age and rare after menopause. We describe two cases of cervical
tuberculosis in patients over 40 years of age, including a postmenopausal case,
diagnosed by smear tests and later confirmed by histopathology and bacteriology.
The differential diagnoses as well as problems encountered in the diagnosis of a
tuberculous lesion in Pap smears are also discussed.
PMID- 21897554
TI - Primary tuberculous parotitis.
PMID- 21897555
TI - Cryptococcus in pleural fluid cytology in a patient with hepatitis B virus
associated chronic liver disease.
PMID- 21897556
TI - Unflattened photon beams from the standard flattening filter free accelerators
for radiotherapy: Advantages, limitations and challenges.
PMID- 21897557
TI - A quality index for equivalent uniform dose.
AB - Equivalent uniform dose (EUD) is the absorbed dose that, when homogeneously given
to a tumor, yields the same mean surviving clonogen number as the given non
homogeneous irradiation. EUD is used as an evaluation tool under the assumption
that two plans with the same value of EUD are equivalent, and their biological
effect on the tumor (clonogen survival) would be the same as the one of a
homogeneous irradiation of absorbed dose EUD. In this work, this assumption has
been studied, and a figure of merit of its applicability has been obtained.
Distributions of surviving clonogen number for homogeneous and non-homogeneous
irradiations are found to be different even if their mean values are the same,
the figure of merit being greater when there is a wider difference, and the
equivalence assumption being less valid. Therefore, EUD can be closer to a
uniform dose for some cases than for other ones (high alpha values, extreme
heterogeneity), and the accuracy of the radiobiological indices obtained for
evaluation, could be affected. Results show that the equivalence is very
sensitive to the choice of radiobiological parameters, and this conclusion has
been derived from mathematical properties of EUD.
PMID- 21897558
TI - Variation of beam characteristics between three different wedges from a dual
energy accelerator.
AB - The use of megavoltage X-ray sources of radiation, with their skin-sparing
qualities in radiation therapy, has been proved useful in relieving patient
discomfort and allowing higher tumor doses to be given with fewer restrictions
due to radiation effects in the skin. The purpose of this study was to compare
the dosimetric characteristics of a physical and enhanced dynamic wedge from a
dual-energy (6 and 18 MV) linear accelerator such as surfaces doses with
different source to surface distances (SSD), half value layer (HVL) in water and
peripheral doses for both available energies. At short SSD such as 85 cm, higher
surface doses are produced by the lower wedges by the short wedge-to-skin
distance. For physical wedged field, at heel edge side HVL value was high (17 cm)
compared with the measured that of EDW (15.1 cm). It was noticed that, the HVL
variation across the beam was significantly higher for 6 MV X-rays than for 18 MV
X-rays. The lower wedge has the maximum variation of peripheral dose compared to
other wedges. The three wedge systems discussed in this work possess vastly
different dosimetric characteristics. These differences will have a direct impact
on the choice of the wedge system to be used for a particular treatment. Complete
knowledge of the dosimetric characterisitics, including the surface and
peripheral doses, is crucial in proper choice of particular wedge systems in
clinical use.
PMID- 21897559
TI - Performance evaluation of three computed radiography systems using methods
recommended in American Association of Physicists in Medicine Report 93.
AB - The performances of three clinical computed radiography (CR) systems, (Agfa CR 75
(with CRMD 4.0 image plates), Kodak CR 850 (with Kodak GP plates) and Kodak CR
850A (with Kodak GP plates)) were evaluated using six tests recommended in
American Association of Physicists in Medicine Report 93. The results indicated
variable performances with majority being within acceptable limits. The
variations were mainly attributed to differences in detector formulations, plate
readers' characteristics, and aging effects. The differences of the mean low
contrast scores between the imaging systems for three observers were
statistically significant for Agfa and Kodak CR 850A (P=0.009) and for Kodak CR
systems (P=0.006) probably because of the differences in ages. However, the
differences were not statistically significant between Agfa and Kodak CR 850
(P=0.284) suggesting similar perceived image quality. The study demonstrates the
need to implement quality control program regularly.
PMID- 21897560
TI - Development of image-processing software for automatic segmentation of brain
tumors in MR images.
AB - Most of the commercially available software for brain tumor segmentation have
limited functionality and frequently lack the careful validation that is required
for clinical studies. We have developed an image-analysis software package called
'Prometheus,' which performs neural system-based segmentation operations on MR
images using pre-trained information. The software also has the capability to
improve its segmentation performance by using the training module of the neural
system. The aim of this article is to present the design and modules of this
software. The segmentation module of Prometheus can be used primarily for image
analysis in MR images. Prometheus was validated against manual segmentation by a
radiologist and its mean sensitivity and specificity was found to be 85.71+/
4.89% and 93.2+/-2.87%, respectively. Similarly, the mean segmentation accuracy
and mean correspondence ratio was found to be 92.35+/-3.37% and 0.78+/-0.046,
respectively.
PMID- 21897561
TI - Comparison of no-prior and soft-prior regularization in biomedical microwave
imaging.
AB - Microwave imaging for medical applications is attractive because the range of
dielectric properties of different soft tissues can be substantial. Breast cancer
detection and monitoring of treatment response are areas where this technology
could be important because of the contrast between normal and malignant tissue.
Unfortunately, the technique is unable to achieve the high spatial resolution at
depth in tissue which is available from other conventional modalities such as x
ray computed tomography (CT) or magnetic resonance imaging (MRI). We have
incorporated a soft-prior regularization strategy within our microwave
reconstruction algorithm and compared it with the images obtained with
traditional no-prior (Levenberg-Marquardt) regularization. Initial simulation and
phantom results show a significant improvement of the recovered electrical
properties. Specifically, errors in the microwave property estimates were
improved by as much as 95%. The effects of a false-inclusion region were also
evaluated and the results show that a small residual property bias of 6% in
permittivity and 15% in conductivity can occur that does not otherwise degrade
the property recovery accuracy of inclusions that actually exist. The work sets
the stage for integrating microwave imaging with MR for improved resolution and
functional imaging of the breast in the future.
PMID- 21897562
TI - Relative dosimetrical verification in high dose rate brachytherapy using two
dimensional detector array IMatriXX.
AB - For high dose rate (HDR) brachytherapy, independent treatment verification is
needed to ensure that the treatment is performed as per prescription. This study
demonstrates dosimetric quality assurance of the HDR brachytherapy using a
commercially available two-dimensional ion chamber array called IMatriXX, which
has a detector separation of 0.7619 cm. The reference isodose length, step size,
and source dwell positional accuracy were verified. A total of 24 dwell
positions, which were verified for positional accuracy gave a total error
(systematic and random) of -0.45 mm, with a standard deviation of 1.01 mm and
maximum error of 1.8 mm. Using a step size of 5 mm, reference isodose length (the
length of 100% isodose line) was verified for single and multiple catheters of
same and different source loadings. An error <=1 mm was measured in 57% of tests
analyzed. Step size verification for 2, 3, 4, and 5 cm was performed and 70% of
the step size errors were below 1 mm, with maximum of 1.2 mm. The step size <=1
cm could not be verified by the IMatriXX as it could not resolve the peaks in
dose profile.
PMID- 21897563
TI - Dosimetric analysis of beam-matching procedure of two similar linear
accelerators.
AB - Linear accelerators from the same vendor in a radiation therapy center are
usually beam-matched following Vendor's acceptance criteria. This protocol is
limited to check the difference at particular points on the ionization curve for
depth dose or beam profiles. This article describes different tests done after
commissioning to evaluate the level of agreement between matched beams of two
ONCOR Impression plus linear accelerators from Siemens. Total scatter factors,
collimator scatter factors, wedge transmission factors were measured in water for
6-MV photon. All these factors for ONCOR2 were within +/-1% of those values for
ONCOR1. Along with these point dose measurements we have essentially used gamma
index to compare the planar dose distribution from two beam-matched accelerators.
For this study a set of ready packed EDR2 films was exposed on both accelerators.
The set consisted of films for percentage depth dose, beam profiles, a pyramid
shape, multileaf collimator's positional and dose delivery accuracy, and a film
to compare head scatter at tray level. To include treatment planning system
calculations, a film kept in axial plane was exposed to 3DCRT and IMRT plans with
actual gantry angles and monitor units. These films were analyzed for gamma in
OmniPro IMRT software using different combinations of Deltadose and -
Deltadistances. All these films have shown good agreement for - Deltadistance of
3 mm and Deltadose of 3 %.
PMID- 21897564
TI - Need of patient-specific quality assurance and pre-treatment verification program
for special plans in radiotherapy.
PMID- 21897565
TI - Bladder exstrophy: An overview of the surgical management.
AB - BACKGROUND: The surgical management of urinary bladder exstrophy is challenging.
This paper describes the personal experience in a tertiary care hospital over a
period exceeding a quarter of a century. METHODS: During the period 1984-2010,
248 patients of the epispadias-exstrophy complex have been treated. The cases of
classical bladder exstrophy (n = 210) form the basis of this paper. The
stages/procedures used in the surgical reconstruction of bladder exstrophy
included bladder closure with anterior abdominal wall reconstruction, bladder
neck repair, ureteric reimplantation, epispadias repair and augmentation
colocystoplasty in various combinations. Some of these patients had their initial
operations done prior to 1984 or in other hospitals. Evaluation methods included,
amongst others, clinical evaluation and urodynamic assessment. Eight patients
opted out of treatment; 15 patients underwent permanent urinary diversion by
either ureterosigmoidostomy or colon conduit. The remaining 187 patients were
treated with bladder reconstruction, and of these, 132 patients have had at least
one attempt at bladder neck reconstruction with 56 of these patients having
undergone an augmentation colocystoplasty. RESULTS: A total of 105 patients had
socially acceptable continence: 57 from the bladder neck reconstruction group and
48 from the bladder augmentation group. Further attempts at continence surgery
have been offered to the inadequately continent patients. CONCLUSIONS: Surgical
management of bladder exstrophy demands patience and perseverance. It is possible
to provide all patients with socially acceptable continence with bladder neck
division and catheterizable continent stoma as the last resort. Urodynamic
assessment has emerged as an essential tool in the follow-up evaluation of these
patients. Anticholinergic medication with imipramine or oxybutinin is a useful
adjunct in the overall management.
PMID- 21897566
TI - Epidemiological trends of pediatric trauma: A single-center study of 791
patients.
AB - AIM: To assess the various epidemiological parameters that influence the
causation of trauma as well as the consequent morbidity and mortality in the
pediatric age group. MATERIALS AND METHODS: A prospective study of 791 patients
of less than 12 years age, was carried out over a period of 1 year (August 2009
to July 2010), and pediatric trauma trends, with regards to the following
parameters were assessed: Age group, sex, mode of trauma, type of injury, place
where the trauma occurred and the overall mortality as well as mortality.
RESULTS: Overall trauma was most common in the school-going age group (6-12
years), with male children outnumbering females in the ratio of 1.9:1. It was
observed that orthopedic injuries were the most frequent (37.8%) type of
injuries, whereas fall from height (39.4%), road traffic accident (27.8%) and
burns (15.2%) were the next most common modes of trauma. Home was found out to be
the place where maximum trauma occurred (51.8%). Maximum injuries happened
unintentionally (98.4%). Overall mortality was found out to be 6.4% (n = 51).
CONCLUSIONS: By knowing the epidemiology of pediatric trauma, we conclude that
majority of pediatric injuries are preventable and pediatric epidemiological
trends differ from those in adults. Therefore, preventive strategies should be
made in pediatric patients on the basis of these epidemiological trends.
PMID- 21897567
TI - Position of a sigmoid colon in right iliac fossa in children: A retrospective
study.
AB - AIM: The aim was to identify the position of sigmoid colon in children and
discuss its clinical significance. MATERIALS AND METHODS: Ninety-one contrast
enema studies were retrospectively evaluated and the position of sigmoid colon
categorized as below: Left lower quadrant, right lower quadrant, midline, and
indeterminate. RESULTS: The position of sigmoid colon in the right lower
quadrant, left lower quadrant, midline, and indeterminate was 32 (35.16%), 33
(36.26%), 12 (13.19%), and 14 (15.38%), respectively. There was no statistically
significant difference in mean age (P = 0.87) or gender prevalence (P = 0.49) for
different positions of the sigmoid colon. CONCLUSION: The sigmoid colon occupies
the right lower quadrant in a large number of children. Awareness of this finding
is of crucial importance in correct interpretation of abdominal radiographs in
the emergency room.
PMID- 21897568
TI - A helping clamp for thoracoscopic plication of eventration of the diaphragm.
AB - BACKGROUND AND AIM: It is difficult to suture an extremely thin and billowed up
congenital eventration of the diaphragm thoracoscopically, without insufflation.
MATERIALS AND METHODS: The authors describe their technique using an intestinal
clamp to control the redundant tissue and a feeding tube as a flexible knot
pusher, to perform the thoracoscopic plication without risking hypercapnia.
RESULTS: A satisfactory result was obtained in all the four children. CONCLUSION:
This is a useful adjunctive for thoracoscopic plication of diaphragmatic
eventration.
PMID- 21897569
TI - Central venous catheterization in neonates: Comparison of complications with
percutaneous and open surgical methods.
AB - AIM: To compare the complications of two methods of placement of central venous
catheters. MATERIALS AND METHODS: One hundred neonates had percutaneously
inserted central venous catheters and another 100 had the catheters placed after
surgical incision and vein location. RESULTS: No statistical difference was noted
in the complication rate or efficacy CONCLUSIONS: Both the methods are equally
safe and effective.
PMID- 21897570
TI - Erosion of repaired exstrophy bladder by a large vesical calculus.
AB - Formation of stones in repaired exstrophy bladder is common; however, erosion of
stone through the repaired bladder and anterior abdominal wall has never been
reported. We report one such case of erosion after three years of repair.
PMID- 21897571
TI - Malignant epignathus.
AB - Report of a neonate with a huge mass protruding from the oral cavity. The mass
has originated from the base of the tongue. Successful excision and
histopathological examination revealed it to be a malignant epignathus.
PMID- 21897572
TI - Decorative crystal balls causing intestinal perforation.
AB - Crystal jelly balls are used for decorative purpose in homes and offices. They
swell on contact with water. We managed a patient with a clinical diagnosis of
acute abdomen. At surgery, there was fecal peritonitis and three ileal
perforations caused by previously ingested decorative crystal balls.
PMID- 21897573
TI - Patent urachus with double urethra and testicular teratoma: A rare association.
AB - In this paper, we aim to highlight a case report of a rare association of
anomalies comprising patent urachus, double urethra and development of testicular
teratoma.
PMID- 21897574
TI - Renal autotransplantation in a child following renal artery stent fracture.
AB - We report an 8-year-old child who underwent percutaneous transluminal renal
angioplasty (PTRA) and stenting for renal artery stenosis (RAS) and later
presented with stent fracture. Ex vivo renal artery repair and renal
autotransplantation were successfully done.
PMID- 21897575
TI - Use of pre and intra-operative bronchoscopy in management of bronchial injury
following blunt chest trauma.
AB - Blunt chest trauma resulting in right bronchial tear in an 8-year-old girl is
reported. Use of bronchoscopy in the management of such an injury is highlighted.
PMID- 21897576
TI - Magnetic resonance urography in duplex kidney with ectopic ureteral insertion.
AB - This is a report on the use of magnetic resonance urography (MRU) in a 6-year-old
girl who presented with urinary incontinence. She had a left duplex kidney with
poorly functioning upper moiety and ectopic insertion of the dilated upper pole
ureter. MRU has been shown to be superior to conventional imaging techniques in
delineating poorly functioning moieties of duplex kidneys and ectopic ureters.
PMID- 21897577
TI - Acute acalculous cholecystitis causing gall bladder perforation in children.
PMID- 21897578
TI - Authors' reply.
PMID- 21897579
TI - Authors' reply.
PMID- 21897580
TI - Pediatric liver transplantation in India: The complete picture?
PMID- 21897581
TI - Treatment of adhesive capsulitis with intra-articular hyaluronate: A systematic
review.
AB - Sodium hyaluronate injection into the glenohumeral joint is a treatment option in
the management of adhesive capsulitis of the shoulder. We hypothesized that a
systematic review would demonstrate that intra-articular sodium hyaluronate
injections would result in significant improvements in passive range-of-motion,
shoulder and general clinical outcome measures, and pain scales at short- and mid
term follow-up. Multiple medical databases were searched for levels I-IV evidence
with a priori defined specific inclusion and exclusion study criteria. Clinical
outcome measures used included Constant score, VAS pain scores, Cho functional
scores, JOA scores, and range-of-motion measurements. Seven studies were included
(four Level I and three Level IV; 292 subjects, 297 shoulders). Mean subject age
was 59.1 years and mean pre-treatment duration of symptoms was 7.3 months. 140
subjects underwent one or multiple hyaluronate injections (120 glenohumeral
joint; 20 subacromial bursa). Clinical follow-up was mean 9.0 weeks. Sodium
hyaluronate injection into the glenohumeral joint has significantly improved
shoulder range-of-motion, constant scores, and pain at short-term follow-up
following treatment of adhesive capsulitis. Isolated intra-articular hyaluronate
injection has significantly better constant scores than control. Isolated intra
articular hyaluronate injection has equivalent clinical outcomes and range-of
motion compared to intra-articular corticosteroid injection. Intra-articular
hyaluronate injection was safe, with no reported complications within the studies
in this review. Sodium hyaluronate injection into the glenohumeral joint is a
safe, effective treatment in the management of adhesive capsulitis of the
shoulder. Short-term evidence indicates that clinical outcomes are better than
control and equivalent to intra-articular corticosteroid injection.
PMID- 21897582
TI - Percutaneous fixation with Schanz screws for displaced two- and three- part
fractures of the proximal humerus in patients above fifty years of age.
AB - PURPOSE: The aim of this study is to evaluate whether two 3.0 mm Schanz screws in
two-part proximal humeral fractures (plus one additional Schanz screw or K wire
in three-part fractures) can provide enough stability to allow early mobilization
until healing occurs in elderly patients. SETTINGS AND DESIGN: This prospective
study was performed in the Orthopaedic Department of our University Hospital.
PATIENTS AND METHODS: We performed closed reduction and percutaneous pinning for
thirty-three patients with proximal humerus fractures. Twenty-seven patients were
available for the final follow-up. Of those 27 patients, 17 had two-part surgical
neck fractures; while 10 had three-part fractures. For fixation, we used two 3.0
mm Schanz screws in patients with two-part fractures plus one additional Schanz
screw or K wire in the 10 patients with three-part fractures. RESULTS: The mean
Constant score modified according to the age and sex was 89.8% (range: 77.3
97.2%). Fifteen patients had excellent results, 11 patients had good results, and
one patient had a fair result. CONCLUSION: Closed reduction and percutaneous
pinning with two Schanz screws for two-part surgical neck humeral fractures, plus
an additional Schanz screw or K wire for three-part proximal humeral fractures is
a useful and effective technique that provides enough stability to allow an early
rehabilitation program till union occurs in elderly patients. LEVEL OF EVIDENCE:
IV; therapeutic study, case series.
PMID- 21897583
TI - An inexpensive reconstruction method after resection in tumors of the proximal
humerus with extensive involvement of the diaphysis.
AB - Limb salvage is now the norm for a large majority of malignant bone tumors. The
proximal humerus is the third most common site for the occurrence of malignant
tumors of bone. In tumors of the proximal humerus with large volume disease,
extensive involvement of the diaphysis is not infrequent. Resection may often
extend as far as the distal metaphysis in order to obtain adequate oncologic
margins. A very small distal stump of bone poses unique challenges in
reconstruction where conventional reconstruction modalities may be difficult to
apply. We describe the use of a customised plate to reconstruct these defects
which offers an inexpensive, easy to use, durable reconstruction option. It
provides adequate shoulder and arm stability and ensures excellent hand and elbow
motion with good functional results.
PMID- 21897584
TI - An unusual complication of the Mackenzie approach for a Copeland
hemiarthroplasty.
AB - We report the case of a patient with end-stage osteoarthritis who received a
successful Copeland resurfacing hemiarthroplasty through a Mackenzie
anterosuperior approach, which involves taking the anterior portion of the
deltoid attachment from the acromion along with an osteo-periosteal sleeve. The
patient went on to develop severe subacromial impingement symptoms 4 months
postoperatively. X-rays revealed a large anteroinferior acromial osteophyte that
had not been present preoperatively and was deemed to represent a malunited osteo
periosteal sleeve from the Mackenzie approach.
PMID- 21897585
TI - Isolated avulsion fracture of lesser tuberosity of the humerus: Review of the
literature and report of two cases.
AB - Two cases of acute isolated avulsion fracture of the lesser tuberosity of the
humerus and their short-term outcome are presented with a review of previously
reported cases. Open reduction and internal fixation was performed. Outcomes were
excellent, and the patients regained their normal pain-free shoulder function 3
months after the operation. This was a Level IV study.
PMID- 21897586
TI - Arthroscopic arthrodesis of the shoulder: Fourteen-year follow-up.
AB - Shoulder arthrodesis is indicated in infections, brachial paralysis, irreparable
rotator cuff tears, osteoarthritis without indication of prosthesis, rescue after
arthroplasty, or after surgery for cancer. Arthroscopic arthrodesis is
exceptional. Our aim is presenting our result after 14 years of follow-up of one
patient. We present a case report of a 17-year-old male patient. He suffered
fracture of left scapula (type V, Ideberg), fracture of left clavicle (type I,
Craig), and fracture of left distal ulna. We realized osteosynthesis of clavicle
(plate and screws) with the aim of treating this floating shoulder.
Electromyography showed partial axonotmesis of axilar nerve. After 7 months of
follow-up, axonotmesis was still present. We realized arthroscopic shoulder
arthrodesis (three cannulated screws). Fourteen years later, shoulder movement
was as follows: Flexion, 0-90 degrees ; maximum abduction, 40 degrees with
shoulder atrophy; Constant, 47 points; and UCLA, 17 points, without pain.
Arthrodesis with screws reaches a subjective benefit in 82% of patients.
Percentage of pseudarthrosis is less than in patients treated with plates,
although the risks of infections, fractures, and material removal are greater
than in patients treated with plates. Shoulder arthroscopic arthrodesis is
exceptional, but it allows minimal surgical aggression.
PMID- 21897587
TI - Blood transfusion in borderless South Asia.
PMID- 21897588
TI - Attitude to blood donation in Saudi Arabia.
AB - BACKGROUND AND OBJECTIVES: The blood donor system in the Kingdom of Saudi Arabia
depends on a combination of voluntary and involuntary donors. The aim of this
study is to explore the attitudes, beliefs and motivations of Saudis toward blood
donation. MATERIALS AND METHODS: The study was conducted at the Donor Centers at
King Khalid University Hospital (KKUH) Blood Bank and King Saud University
Students Health Center, Riyadh. A self-administered questionnaire was distributed
to donors (n = 517) and nondonors (n = 316), between February and June 2008. All
were males. RESULTS: Ninety-nine percent of the respondents showed positive
attitude toward blood donations and its importance for patients care, and object
the importation of blood from abroad. Blood donors: Ninety-one percent agree that
that blood donation is a religious obligation, 91% think no compensation should
be given, 63% will accept a token gift, 34% do not object to donating six
times/year and 67% did not mind coming themselves to the donor center to give
blood. Nondonors: Forty-six percent were not asked to give blood and those who
were asked mentioned fear (5%) and lack of time (16%) as their main deterrents.
Reasons for rejection as donors include underweight and age (71%) and health
reasons (19%). Seventy-five percent objected to money compensation but 69% will
accept token gifts and 92% will donate if a relative/friend needs blood.
CONCLUSION: These results reflect an encouraging strong positive attitude toward
blood donation. Further future planning with emphasis on educational/publicity
programs and careful organization of donor recruitment campaigns could see the
dream of total voluntary nonremunerated blood donations should not take long to
be true.
PMID- 21897589
TI - Study of the effect of iron overload on the function of endocrine glands in male
thalassemia patients.
AB - BACKGROUND: Iron overload is an important issue in the state of thalassemic
patients due to the harmful effect of high concentration of iron deposited in
different tissues in human body including endocrine glands. In the present work,
an attempt is carried out to estimate the effect of iron overload in thalassemic
patients on the function of endocrine glands through the estimation of their
ability to secrete adequate amounts of certain hormones. MATERIALS AND METHODS:
Seventy eight male children with beta-thalassemia, in the age-group of 4-11
years, were enrolled for this research. These children were being treated with
frequent transfusions and long-term iron chelation therapy. Thirty age and sex
matched children without thalassemia constituted the control group. Ferritin and
different hormones were estimated by ELISA technique. RESULTS: The results showed
a mild reduction in the function of endocrine glands through the decrease in the
level of some hormones. These changes due mainly to the hypoxia and precipitation
of iron in certain glands and overlapping with the synthesis or secretion of the
hormones. CONCLUSION: There is a different hormonal disturbances in beta
thalassemia patients. Reduction of total body iron store is an important goal of
the treatment of thalassemia and measuring the hormones concentration is
necessary for the follow up of the thalassemic patients especially during
puberty.
PMID- 21897590
TI - Evaluation of new cases of HCV infection in thalassaemia patients for source of
infection.
AB - BACKGROUND: Screening tests on blood bags is important step for blood safety. In
Iran, screening for HCV started from 1996. We decided to determine the new cases
of hepatitis C in our thalassemic patients, after screening of blood bags was
initiated and trace backing from recipients to find their donors. MATERIALS AND
METHODS: The study was done on patients with complete files for HCVAb test
results. Only cases that had a positive HCVAb result following a negative result
were considered as new cases. For trace backing, we recorded the blood
transfusions' date and the blood bags' number from last negative test results
(HCVAb) to the first positive test result. These data were sent to the
transfusion center. The suspected donors were contacted and asked to be tested
again in the transfusion center. RESULTS: A total of 395 patients were studied;
229 (58%) males and 166 (42%) females. Mean age was 27.5 years. We had 109 HCV
(27.5%) positive cases of whom 21 were infected after 1996. We traced the last
five cases contaminated during 2003 and 2004. These five patients had 13, 10, 13,
12, and 6 donors, respectively (totally 54 donors were found). We proved the
healthy state in 68.5% (37 of 54) of our donors population. Of them, 81% were
repeated donors and 17 of 54 donors (31.5%) could not be traced (because of
change in addresses). We did not have any HCV new cases after 2004. CONCLUSION:
We could not prove HCV transmission from donors as the source of infection.
Although parenteral transmission is always on top of the list in HCV infection,
the possibility of hospital and/or nursing personnel transmission and/or patient
to-patient transmission such as use of common instruments like subcutaneous
Desferal((r)) infusion pumps; which the patients used for iron chelation therapy,
should also be kept in mind.
PMID- 21897591
TI - Seroprevalence of subclinical HEV infection in asymptomatic, apparently healthy,
pregnant women in Dakahlya Governorate, Egypt.
AB - BACKGROUND AND AIM: Hepatitis E virus (HEV) is a major public health problem in
the developing countries. HEV infection in pregnant women is more common and
fatal in the third trimester. The present study was designed to determine the
seroprevalence of subclinical HEV infection in asymptomatic pregnant women.
MATERIALS AND METHODS: A total of 116 asymptomatic pregnant women divided into 2
groups: Group 1 included 56 pregnant women with HCV positive serology and group 2
included 60 pregnant women with negative HCV serology were included in this
study. Prevalence of anti-HEV antibodies and anti-HCV were determined by an
enzyme linked immunosorbent assay (ELISA) kit. RESULTS: The overall prevalence of
anti-HEV IgG was highly significant among pregnant women with chronic HCV
infection 40/56 (71.42%) than pregnant women free from chronic HCV infection
28/60 (46.7%) (P = 0.006). Chronic HCV infection in pregnant women appeared to be
a risk factor associated with HEV IgG seropositivity (OR = 2.86, CI = 1.24-6.6).
The seropositivity of anti-HEV IgG was significantly high in rural areas than
urban areas (62.5% vs. 37.5%) in group 1 and (78.58% vs. 21.42%) in group 2 (P =
0.15) and OR = 2.2, CI = 0.65-7.7). A decrease in albumin level (P = 0.047) and
an increase in bilirubin (P = 0.025), ALT (P = 0.032), and AST (P = 0.044) in
pregnant women with positive HCV and IgG anti-HEV than the second group with
negative HCV serology. CONCLUSIONS: The seroprevalence of anti-HEV IgG in
pregnant women is high in Egypt especially in rural areas. With chronic HCV
coinfection, a marked increase in anti-HEV IgG seropositivity and significant
worsening of the biochemical liver indices were noted. Increased public awareness
about the sound hygienic measures for a less prevalence of HEV is strongly
advised. The need for HEV vaccination for those at risk, especially pregnant
ladies, should be considered.
PMID- 21897592
TI - Serological study on parvovirus B19 infection in multitransfused thalassemia
major patients and its transmission through donor units.
AB - BACKGROUND: Human parvovirus B19 (B19) virus is a newly recognized agent for
transfusion transmitted diseases. Beta-thalassemia major patients receive a
hypertransfusion regimen, hence, are prone to acquire B19 infection; moreover,
B19 escapes viral inactivation methods and donor units are not tested for B19,
but there are just a couple of studies globally and none from the Asian
continent. Hence, a study was designed to find the frequency of B19 infection and
its transmission in multitransfused thalassemia patients. MATERIALS AND METHODS:
Ninety multitransfused beta-thalassemia major (thalassemia) patients, 32 controls
(age, sex matched) without any history of transfusion were enrolled. Besides the
donor units were tested in B19 un-infected patients. B19 specific IgG and IgM
antibodies in the sera were analyzed by ELISA (in-house), using B19 VPI and VP2
recombinant and purified antigens; additionally HBsAg and anti-HIV and anti-HCV
antibodies were tested for coexisting infections. RESULTS: Seventy-three (81%)
thalassemia patients tested positive for anti-B19 IgG antibodies as compared to
seven (21%) in the controls group (P < 0.01), while anti-B19 IgM antibodies were
detected in 37 (41.1%) compared to two (6.2%) in the controls (P < 0.01). Mean
age of the thalassemia patient was eight years (range 2 - 18 years) and B19
infection was highest in the six-to-ten year range. Seropositivity increased with
the number of transfusions. Two of the four HBsAg positive and five of the seven
anti-HCV IgM antibody-positive patients also had anti-B19 IgM. After a six-month
follow-up, four (25%) of the 16 seronegative patients seroconverted and anti-B19
IgM antibodies were detected in their donor units. CONCLUSIONS: Most of
multitransfused thalassemics were B19 seropositive or had anti-B19 IgM; in the
remaining uninfected group, B19 got transmitted through infected / IgM-positive
donor units.
PMID- 21897594
TI - The Rh allele frequencies in Gaza city in Palestine.
AB - BACKGROUND: The Rh blood group system is the second most clinically significant
blood group system. It includes 49 antigens, but only five (D, C, E, c and e) are
the most routinely identified due to their unique relation to hemolytic disease
of the newborn (HDN) and transfusion reactions. Frequency of the Rh alleles
showed variation, with regard to race and ethnic. OBJECTIVES: The purpose of the
study was to document the Rh alleles' frequencies amongst males (M) and females
(F) in Gaza city in Palestine. MATERIALS AND METHODS: Two hundred and thirty-two
blood samples (110 M and 122 F) were tested against monoclonal IgM anti-C,anti-c,
anti-E, anti-e and a blend of monoclonal/polyclonal IgM/IgG anti-D. The expected
Rh phenotypes were calculated using gene counting method. RESULTS: The most
frequent Rh antigen in the total sample was e, while the least frequent was E.The
order of the combined Rh allele frequencies in both M and F was CDe > cDe > cde >
CdE > cDE > Cde > CDE. A significant difference was reported between M and F
regarding the phenotypic frequencies (P < 0.05). However, no significance (P >
0.05) was reported with reference to the observed and expected Rh phenotypic
frequencies in either M or F students. CONCLUSION: It was concluded that the Rh
antigens, alleles and phenotypes in Gaza city have unique frequencies, which may
be of importance to the Blood Transfusion Center in Gaza city and anthropology.
PMID- 21897593
TI - Distribution of Hepatitis B virus genotypes among healthy blood donors in eastern
part of North India.
AB - AIM: We evaluated the distribution HBV genotypes among non-remunerated healthy
blood donors in eastern North India. MATERIALS AND METHODS: During screening of
donated blood, 176 consecutive HBsAg positive, samples comprised the study. HBV
DNA was quantitative detected in 150 samples by PCR. HBV genotype was determined
by identifying genotype-specific DNA band using nested PCR. RESULTS: Majorities
were of age group 31-40 yrs (65.3%). Males (92.7%) outnumbered females (7.3%) and
were HbeAg-negative HBsAg carriers. Over all, genotype-A was the most prevalent
(54%) followed by D (21.3%). We did not find genotype-G and H. Districts under
study, divided into four zones: Zone-I genotype-A was most common (62.3%)
followed by D (18.8%); Zone-II genotype-C (41.2%) was more frequent followed by D
(20.6% and A (17.7%). Zone-III in adjoining Bihar state close to Zone-I, A was
more prevalent (81.8%) followed by B and C (9.1%). In Zone-IV adjoining Zone- II
had genotype-A (100%) only. Genotype-D had more sporadic distribution. Genotype-E
and F were prevalent in Zone I and II (3/150, 2%). CONCLUSIONS: Among blood
donors HBV genotype-A followed by D was the most prevalent in eastern North
India. Genotype-A had pattern of distribution signifying common focus, while D
was more sporadic and C had single large pocket (Zone-II) probably common focus
but restricting to particular area. Evidences are suggestive of association of
HBV genotype in liver dysfunction. An effective treatment and preventive
strategies based of genotypes will reduce the disease burden and increase the
blood safety.
PMID- 21897595
TI - Type and screen policy in the blood bank: Is AHG cross-match still required? A
study at a multispecialty corporate hospital in India.
AB - BACKGROUND: Antibodies against only about 25-28 blood group antigens are known to
cause hemolytic reactions (HTRs), and red cell antibody screening should detect
such clinically significant antibodies. An extension of the antibody screening
test is the 'type and screen' done to detect clinically significant antibodies,
omiting the anti-human globulin (AHG) cross-match. AIM: The aim of this study was
to find out if the type and screen procedure is a safe method for pre-transfusion
testing when compared to the AHG cross-match currently in use in India. MATERIALS
AND METHODS: We evaluated data from 45373 patients for whom a total of 61668
units of packed red blood cells (PRBC) were cross-matched in the AHG phase using
DiaMed((r)) ID cards. An antibody screen was carried out in all the patients
using the DiaMed((r)) ID-DiaCell I+II+III. The AHG cross-match was also carried
out for all recipients, irrespective of the result of the antibody screen. The
results were compared to see if there were any cases where the antibody screening
was negative but the AHG cross-match showed incompatibility. RESULTS: Not a
single case was found where the antibody screen was negative and AHG cross-match
showed incompatibility. In 68 cases the antibody screening was positive. Out of
the 68 cases, AHG cross-match was incompatible with at least one unit of PRBC in
41 cases. CONCLUSION: The screening cell panel adequately detected the clinically
significant antibodies in the Indian population in our study. The type and screen
policy can be safe, efficient, cost-effective, and beneficial to the transfusion
service in India.
PMID- 21897596
TI - Safety of type and screen method compared to conventional antiglobulin crossmatch
procedures for compatibility testing in Indian setting.
AB - BACKGROUND: Over the past 30 years, pretransfusion tests have undergone
considerable modification. In 1984, AABB recommended that the full cross match
could be replaced by an abbreviated cross match in patients with negative
antibody screen. However, before implementation of such a policy, issue regarding
safety of T & S needs to be evaluated. OBJECTIVES: The aim of pretransfusion
testing (PTT) is to ensure that enough red blood cells (RBCs) in the selected red
cell components will survive when transfused. RESULTS AND CONCLUSION: We have,
therefore in this study; evaluated safety of T & S procedure for PTT in
comparison with conventional test tube cross match. The T & S procedure gave a
safety of 91.6%. Also, the usefulness of the T & S was shown through the
detection of unexpected antibodies in 0.75% (15 out of 2026) of cases.
PMID- 21897597
TI - In vitro function of random donor platelets stored for 7 days in composol
platelet additive solution.
AB - BACKGROUND AND AIM: Platelets are routinely isolated from whole blood and stored
in plasma for 5 days. This study was done to assess the in vitro function of
random donor platelets stored for 7 days in composol platelet additive solution
at 22 degrees C. MATERIALS AND METHODS: The study sample included 30 blood donors
of both sex in State Blood Bank, C S M Medical University, Lucknow. Random donor
platelets were prepared by the platelet-rich plasma method. Whole blood (350 ml)
was collected in anticoagulant Citrate Phosphate Dextrose Adenine triple blood
bags. Random donor platelets were stored for 7 days at 22 degrees C in platelet
incubators and agitators with and without additive solution. RESULTS: Platelet
swirling was present in all the units at 22 degrees C on day 7 with no evidence
of bacterial contamination. Comparison of the mean values of platelet count,
platelet factor 3, lactate dehydrogenase, pH, glucose and platelet aggregation
showed no significant difference in additive solution while platelet factor 3,
glucose and platelet aggregation showed significant difference (P < 0.001) on day
7 without additive solution at 22 degrees C. CONCLUSION: Our study infers that
the platelet viability and aggregation were the best maintained within normal
levels on day 7 of storage in platelet additive solution at 22 degrees C. Thus,
we may conclude that in vitro storage of random donor platelets with an extended
shelf life of 7 days using platelet additive solution may be advocated to improve
the inventory of platelets.
PMID- 21897598
TI - Transfusion-related adverse events at the tertiary care center in North India: An
institutional hemovigilance effort.
AB - AIM: This study was designed to analyze the incidence and spectrum of adverse
effects of blood transfusion so as to initiate measures to minimize risks and
improve overall transfusion safety in the institute. MATERIALS AND METHODS:
During the period from July 2002 to July 2003 all the adverse events related to
transfusion of blood and blood components in various clinical specialties were
recorded. They were analyzed and classified on the basis of their clinical
features and laboratory tests. Attempt was also made to study the predisposing
risk factors. RESULTS: During the study period 56,503 blood and blood components
were issued to 29,720 patients. A total of 105 adverse reactions due to
transfusion were observed during the study period. A majority of the adverse
reactions was observed in hemato-oncology patients 43% (n = 45) and in
presensitized patient groups 63% (n = 66). FNHTR 41% (n = 43) and allergic
reactions 34% (n = 36) were the most common of all types of adverse transfusion
reactions, followed by AcHTR 8.56% (n = 9). Majority of these AcHTR were due to
unmonitored storage of blood in the refrigerator of wards resulting in hemolysis
due to thermal injury. Less frequently observed reactions were anaphylactoid
reactions (n = 4), bacterial sepsis (n = 4), hypervolemia (n = 2), hypocalcemia
(n = 2), TRALI (n = 1), DHTR (n = 1), and TAGvHD (n = 1). CONCLUSION: Analysis of
transfusion-related adverse outcomes is essential for improving safety. Factors
such as improvement of blood storage conditions outside the blood bank,
improvement in cross-matching techniques, careful donor screening, adherence to
good manufacturing practices while component preparation, bedside monitoring of
transfusion, and documentation of adverse events will help in reducing
transfusion-related morbidity and mortality.
PMID- 21897599
TI - The quest for an Indian blood law as of blood transfusion services regulatory
framework.
AB - BACKGROUND: Blood transfusion services are a vital part of the national health
delivery system. The responsibility for ensuring a continuous supply of blood
rests with health administrators, who need to galvanize entire communities
towards regular and non-remunerated blood donation. OBJECTIVE: The present study
aimed to examine the prevailing global regulations and practices related to blood
transfusion and press the case for a dedicated blood law in India. MATERIALS AND
METHODS: We attempted a comprehensive, annotated assembly of published studies on
blood transfusion services in India. DATA ABSTRACTION AND SYNTHESIS: Laws related
to blood transfusion services exist in India as a part of the Drugs and Cosmetics
Law. In the developed world, most blood donors are unpaid volunteers who give
blood for a community supply. In order to augment safe blood transfusion services
in India, we have to develop operational legal guidelines on recruitment and
retention of voluntary blood donors to direct related organizations for this
imperative activity. CONCLUSION: Several factors, such as political will and a
professional and ethical approach can help in formulating a common vision,
building trust, by providing optimum information towards a social movement for
the rational blood transfusion services. We have to come together for a dedicated
blood law in order to improve the quality of blood transfusion services in India.
PMID- 21897600
TI - White particulate matter in a packed red blood cells unit.
PMID- 21897601
TI - Prevalence and trends of transfusion transmitted infections in a regional blood
transfusion centre.
PMID- 21897602
TI - Severe anaphylactic reaction in IgA deficient patient following transfusion of
whole blood.
PMID- 21897603
TI - YMDD motif mutation after lamivudine therapy.
PMID- 21897604
TI - Re: Heparin-induced thrombocytopenia - Type 2.
PMID- 21897605
TI - Thrombotic thrombocytopenic purpura treated with vincristine in a Jehovah's
witness.
PMID- 21897606
TI - Analysis of the trend of hepatitis B, hepatitis C, HIV, syphilis, and malaria
infections in a rural part of West Bengal.
PMID- 21897607
TI - Para-Bombay: A blind spot in blood grouping?
PMID- 21897608
TI - Psychosocial profiling of blood donors and assessing source of awareness of blood
donation through a blood donation camp at a medical college, Ahmadabad, Gujarat.
PMID- 21897609
TI - Regular repetitive voluntary blood donors: What're their characteristics ?
PMID- 21897610
TI - Contribution of religion to blood donation: Iran experience.
PMID- 21897611
TI - The culprits behind a hyper-intense spleen.
PMID- 21897612
TI - Idiopathic thrombocytopenic purpura coexisting with polycythemia vera.
PMID- 21897613
TI - Massive pulmonary embolism and cardio-pulmonary resuscitation leading to
disseminated intravascular coagulation.
PMID- 21897614
TI - Strategies adopted to overcome dengue crisis at a hospital based blood bank.
PMID- 21897615
TI - Attitudes and communication skills.
PMID- 21897616
TI - A case of severe intravascular hemolysis in a young girl.
PMID- 21897617
TI - Genetics of myopia.
PMID- 21897618
TI - A review on recent advances in dry eye: Pathogenesis and management.
AB - Keratoconjunctivitis sicca, more commonly known as dry eye, is an extremely
common and often unrecognized disease. It is the condition in ophthalmology that
in its mild grade of severity will affect most of the population at one time or
other. Due to a wide variety of presentations and symptoms, it often frustrates
the ophthalmologists as well as patients. Due to multifactorial and elusive
etiology, it is often challenging to treat dry eye. Ocular surface disorders are
also clinically important to treat especially in terms of visual acuity. Xero
dacryology is therefore becoming a very important branch of ophthalmology. Recent
studies have given insight into the inflammatory etiology of dry eye. The
conventional and main approach to the treatment of dry eye is providing
lubricating eye drops or tear substitutes. However, the newer treatment approach
is to target the underlying cause of dry eye instead of conventional symptomatic
relief. In light of the above knowledge, the present article focuses on newer
theories on pathogenesis of dry eye and their impact on dry eye management.
METHOD OF LITERATURE SEARCH: A systematic literature review was performed using
PubMed databases in two steps. The first step was oriented to articles published
for dry eye. The second step was focused on the role of inflammation and anti
inflammatory therapy for dry eye. The search strategy was not limited by year of
publication. A manual literature search was also undertaken from authentic
reference books on ocular surface disease.
PMID- 21897619
TI - Association of vitamin D receptor gene start codon (Fok1) polymorphism with high
myopia.
AB - BACKGROUND: High myopia caused primarily due to abnormal emmetropization and
excessive axial ocular elongation is associated with sight-threatening ocular
pathology. Muscular dysfunction of ocular ciliary muscles due to altered
intracellular calcium levels can result in defective mechanotransduction of the
eye and retinal defocus. The vitamin D3 receptor (VDR; a intracellular hormone
receptor) is known to mediate calcium homeostasis, influencing the development of
myopia. MATERIALS AND METHODS: In the present study, a total of 206 high myopia,
98 low myopia and 250 control samples were analyzed for VDR gene Fok1 (exon 2
start codon) polymorphism using polymerase chain reaction-restriction fragment
length polymorphism (PCR-RFLP) technique. RESULTS: High myopia patients revealed
decrease in the frequency of ff homozygotes (8.3%) as compared to control group
(14.0%), with a corresponding increase in frequency of FF homozygotes (68.9% in
high myopia vs. 62.8% in controls). The frequency of f allele carriers (Ff and
ff) was increased in females of high myopia (35.6%) and low myopia cases (45.4%).
Elevated frequency of f allele was found only in early age at onset cases of high
myopia (0.227) and later age at onset (10-20 years) cases of low myopia (0.273)
as well as in low myopia cases with parental consanguinity (0.458) (P 0.035;
chi(2) = 6.692*). CONCLUSION: The results suggest that VDR gene might not be
playing a direct role in the development of myopia, but might contribute
indirectly to the risk conferred by mechanical stress factors or
growth/development related factors through its role in calcium homeostasis and
regulation of ciliary muscle function.
PMID- 21897620
TI - Half-fluence photodynamic therapy to treat chronic central serous
chorioretinopathy: A case series.
AB - OBJECTIVE: To evaluate the efficacy and safety of half-fluence photodynamic
therapy (PDT) in treating chronic central serous chorioretinopathy (CSC) in Omani
population. MATERIALS AND METHODS: A Retrospective chart review of all patients
with chronic CSC treated with half-fluence PDT from November 2009 to December
2010 was carried out. Recorded parameters included best-corrected visual acuity,
findings of clinical examination, results of fluorescein angiography and optical
coherence tomography at baseline and during follow-up visits after treatment.
RESULTS: Six eyes (of five Omani patients) with chronic CSC, of at least 9 months
duration, were treated with half-fluence PDT. All eyes showed a complete
resolution of CSC within 1 month following treatment. Four eyes showed visual
improvement and two had unchanged stable vision. No complications from the
treatment were noticed during the follow-up visits. CONCLUSION: Half-fluence PDT
to treat chronic CSC in Omani population is a promising treatment that results in
both structural and functional improvement.
PMID- 21897621
TI - Amniotic membrane transplantation: An option for ocular surface disorders.
AB - BACKGROUND: Ocular surface disorders (OSD) are challenging to treat. They can
introduce serious morbidity and might even lead to visual loss. In such
situations, keratoplasty remains the last option. Amniotic membrane
transplantation (AMT) has been shown to be effective in the management of ocular
surface pathologies. The aim of the study was to assess the efficacy of AMT for
various indications of OSD. MATERIALS AND METHODS: Experience of AMT in 65
patients with different OSD was evaluated. The aim of AMT was to achieve
symptomatic relief, reduced inflammation, recurrence, and corneal haze; improve
epithelization, stromal healing and visual acuity; and delay keratoplasty. Fresh
amniotic membrane was used in all cases by a single surgeon. Follow-up and
observations were done to evaluate success of achieving the goal. RESULTS:
Indications for AMT included primary and recurrent pterygium, various types of
corneal ulcers (non-healing ulcer, descemetocele, corneal thinning and
perforation), spheroidal degenerations, chemical burn and bullous keratopathy.
The aim of AMT was different in different etiological indications. Postoperative
follow-up was between 6 and 18 months. Success and complication rate were
observed. Symptomatic relief (reduced pain and redness) was seen in patients with
various corneal ulcers, chemical burn and bullous keratopathy. Improved
epithelialization and stromal healing was noted in corneal ulcer cases. In
spheroidal degenerations, keratectomy with AMT improved vision. Recurrence of
pterygium was low (4.61%); graft failure in the form of graft rejection was seen
in only 3.07% cases of acute keratitis. Corneal vascularization (4.61%) was
present but not severe enough to hamper vision. Success in gaining intended
effect was the most significant result with AMT. CONCLUSION: AMT in various
ocular surface pathologies shows success in achieving the goal of symptomatic
relief, improved epithelialization, stromal healing and vision. Reduction in
inflammation, corneal haze and recurrence of original disease is achieved with
minimum complications.
PMID- 21897622
TI - Patient satisfaction regarding eye care services at tertiary hospital of central
India.
AB - PURPOSE: To evaluate patients' satisfaction regarding eye care services and
suggest policy changes accordingly. STUDY DESIGN: Descriptive study. MATERIALS
AND METHODS: This study was conducted between September 2005 and June 2006.
Patients attending the eye clinic of Sadguru Netra Chikitsalaya, Chitrakoot,
Madhya Pradesh, India, and admitted as in-patients in this hospital were our
study population. Randomly selected patients were interviewed by trained staff.
Close-ended questionnaire was used to conduct these structured interviews. Their
responses were grouped into one of five categories and evaluated to determine
satisfaction for different components of eye care services. RESULTS: Three
hundred and twenty persons were interviewed. The satisfaction was of excellent
grade among 77 (48.1%) patients attending clinic and 156 (97.5%) patients who
were admitted in the hospital. The participants expressed dissatisfaction for the
long waiting period in clinics, poor cleanliness, and insufficient toilet
facilities. Those admitted in the hospital felt that food facilities were less
than the expected quality. Child-friendly facilities received high satisfaction
scores. CONCLUSION: Although eye care services both in clinics and in the wards
were satisfactory according to the end-users, there are scopes for improvement.
Patient satisfaction surveys should be encouraged in hospitals for better
accountability and also for strengthening the quality of eye care services.
PMID- 21897623
TI - Awareness of retinopathy of prematurity among pediatricians in a tier two city of
South India.
AB - PURPOSE: To analyze the level of awareness and knowledge about retinopathy of
prematurity (ROP) among pediatricians in Coimbatore, which is a tier two city in
South India. MATERIALS AND METHODS: A questionnaire was framed on the knowledge
attitude and practice (KAP) pattern. The questionnaire was given to 83 practicing
pediatricians in government and private practice in Coimbatore and collected in
person between November and December 2009. Answers were obtained on criteria like
knowledge, prevention, screening, treatment and awareness of ROP. RESULTS: Only
54 (65.1%) pediatricians were aware of ROP, while 29 (34.9%) were not aware of
the disease. Thirty-three (39.8%) answered that ROP is preventable, while 24
(28.9%) responded that ROP is not preventable. Thirty-four (41%) pediatricians
had no idea as to which part of the eye is affected in ROP, while 38 (45.8%) did
not know when ROP screening should be started. Only 43 (51.8%) pediatricians were
sure that ROP is treatable. Pediatricians in private hospitals were more aware of
ROP compared to their counterparts in government hospitals (P = 0.006).
CONCLUSION: Awareness among pediatricians in Coimbatore about ROP is poor. Our
study emphasizes the need to increase awareness of this avoidable cause of
blindness.
PMID- 21897624
TI - Atypical retinocytoma with diffuse vitreous seeds: An insight.
AB - Retinocytoma is a rare benign form of retinoblastoma. It is usually found on
routine examinations and also while screening families of patients with
retinoblastoma. Distinctive features are a translucent retinal mass with
calcification, retinal pigment epithelial disturbance, chorioretinal atrophy and
absence of growth. We report a case with all the above features along with
diffuse vitreous seeds and optical coherence tomographic documentation of
intralesional cavitary lesions.
PMID- 21897625
TI - Reactive macular edema and acute visual loss after photodynamic therapy on the
same day of fluorescein angiography.
AB - Reactive macular edema can occur following photodynamic therapy (PDT) in patients
who have undergone fluorescein angiography (FA) on the same day. It might be
better not to perform PDT on the same day of FA to avoid side effects. The safety
interval between FA and PDT should be at least 24 hours, considering fluorescein
retention in the body.
PMID- 21897626
TI - Fraser syndrome in three consecutive siblings.
AB - Fraser syndrome (FS) is a rare disorder characterized by a combination of
acrofacial and urogenital malformations with or without cryptophthalmos. We
report a newborn and its two elder siblings who had multiple congenital anomalies
and clinico-radiological features consistent with FS.
PMID- 21897627
TI - Macrostriae and Descemet's membrane folds in the Descemet's stripping endothelial
keratoplasty graft.
PMID- 21897628
TI - Congenital sixth nerve palsy or Type I Duane syndrome?
PMID- 21897629
TI - Macular dystrophy in a young male with corneal dystrophy among sisters.
PMID- 21897630
TI - Primary sebaceous gland carcinoma of the bulbar conjunctiva without involvement
of eyelid: A clinical dilemma.
AB - Sebaceous gland carcinoma usually arises from the meibomian or Zeis glands within
the eyelid, but tumor arising primarily from the conjunctiva, especially bulbar
conjunctiva, is a rarity. We hereby report a case of a 50-year-old female who
presented with a painless mass in the inferior limbus, encroaching the cornea and
hanging over the lower eyelid without involving it. Imprint cytology was
suggestive of adenosquamous carcinoma. Management consisted of wide local
excision, cryotherapy to tumor bed, and topical 5-fluorouracil (5-FU) 1%
preoperatively and postoperatively. Histopathologic analysis was in favor of
sebaceous gland carcinoma. This case suggests that although sebaceous gland
carcinoma commonly originates as a lid tumor, it can present as a bulbar
conjunctival mass. Topical 5-FU is a viable and efficient cost-effective
alternative for neo-adjuvant and adjuvant treatment of sebaceous gland carcinoma.
PMID- 21897631
TI - "ABC" of costing of cataract surgeries.
PMID- 21897632
TI - Bruckner's test as a screening modality for anisometropia and strabismus.
PMID- 21897633
TI - Isolated optic nerve infiltration as a site of relapse of acute lymphoblastic
leukemia.
PMID- 21897635
TI - [Not Available].
PMID- 21897634
TI - Assessment of a modification of Bruckner's test as a screening modality for
anisometropia and strabismus.
PMID- 21897636
TI - Exploring the possible mechanisms of action behind the antinociceptive activity
of Bacopa monniera.
AB - AIM: Earlier studies have demonstrated that Bacopa monniera (BM), a plant
described in Ayurveda for many CNS actions was found to exhibit antidepressant
(methanolic extract at 20mg/kg and 40mg/kg p.o.) as well as antinociceptive
activity (aqueous extract (AE) at 80 mg/kg, 120 mg/kg and 160 mg/kg p.o.). The
present study sought to explore the possible mechanisms of antinociceptive
effects of aqueous extract of Bacopa monniera (AEBM) at 80 mg/kg, 120 mg/kg and
160 mg/kg given orally. MATERIALS AND METHODS: AEBM was given singly as well as
with selective alpha2 receptor blocker Yohimbine, selective beta1 receptor
blocker Atenolol, serotonin receptor antagonist Cyproheptadine and a non
selective opioid receptor antagonist naloxone in experimental groups of mice and
rats under strict protocols and conditions. RESULTS: We observed that the
antinociceptive effects of AEBM in the acetic acid writhing test was prevented by
prior treatment with the selective Yohimbine (1 mg/kg, i.p; 14.50 +/- 2.26 and
37.17 +/- 2.14 writhes in the AEBM-treated and yohimbine pre-treated AEBM groups,
respectively) and selective beta1 Atenolol receptor blocker (1 mg/kg, i.p; 14.50
+/- 2.26 and 31.00 +/- 5.44 writhes in the AEBM-treated and yohimbine pre-treated
AEBM groups, respectively). In the formalin test, the reduction in licking time
with AEBM was found to be reversed by prior treatment with serotonin receptor
antagonist Cyproheptadine (1 mg/kg, i.p; 47.33 +/- 2.25s and 113.50 +/- 3.83s
(during phase I i.e. 0-5 min) and 26.67 +/- 3.83s and 88.17 +/- 7.27s (during
phase II i.e. 20-30 min) in the AEBM-treated and Cyproheptadine pre-treated AEBM
groups, respectively). The % increase in tail flick latency with AEBM was
prevented by prior treatment with the non-selective opioid receptor antagonist
naloxone (2mg/kg, i.p; 282.35 and 107.35 in the AEBM-treated and naloxone-treated
groups, respectively). CONCLUSIONS: Our results indicate, that the endogenous
adrenergic, serotonergic and opioidergic systems are involved in the analgesic
mechanism of action of the aqueous extract of Bacopa monniera.
PMID- 21897637
TI - The efficacy of Ayurvedic treatment for rheumatoid arthritis: Cross-sectional
experiential profile of a longitudinal study.
AB - CONTEXT: Allopathic and Ayurvedic physicians collaborated on a study of
traditional medicine, which was sponsored by the World Health Organization. AIMS:
The aim of the study was to test the efficacy and safety of Ayurvedic treatment
for rheumatoid arthritis (RA). SETTINGS AND DESIGN: This study was conducted at
the Ayurvedic Trust, Coimbatore, India. MATERIALS AND METHODS: In this unique
study of classical Ayurvedic treatment for RA, allopathic physicians enrolled a
total of 290 patients with a confirmed diagnosis of RA over a 7-year period, and
once every 6 weeks evaluated Ayurvedic treatment outcomes on the basis of
American Rheumatism Association criteria: grip strength, walking time, number of
swollen and painful joints, joint count, functional class, erythrocyte
sedimentation rate, and rheumatoid factor. Ayurvedic physicians administered
individualized treatment, closely adhering to principles set forth in classical
Ayurvedic texts. The duration of treatment varied from 1 to 6 months. STATISTICAL
ANALYSIS USED: Due to limitations in computer technology in the 1970s, the data
were not computerized. Therefore, data for 12 months at a time were analyzed,
using repeated measures t-test. Measures of central tendency (means) and
probability values were reported. Results from the patients enrolled and
discharged at the end of the first year of the study (N = 33) are presented in
this paper. RESULTS: There was statistically significant improvement in all
parameters from admission to discharge. CONCLUSIONS: The results indicated that
classical Ayurvedic treatment was effective in this first cohort of patients who
completed treatment. Even patients with severe functional limitations showed
significant improvement. Although there was no control group, the results are
positive enough to warrant further study of classical Ayurvedic treatment for RA
in controlled trials.
PMID- 21897638
TI - Blood compatibility studies of Swarna bhasma (gold bhasma), an Ayurvedic drug.
AB - Swarna bhasma (gold bhasma) preparations are widely utilized as therapeutic
agents. However, in vitro biological evaluations of bhasma preparations are
needed along with the physicochemical characterization for present day
standardization of metallic bhasma preparations to meet the criteria that
supports its use. Therefore, an attempt has been made to evaluate the protein
adsorption, blood compatibility and complement activation potential of two
batches of Swarna bhasma preparation, along with its physicochemical
characterization. The particle size, morphology, elemental analysis, and in vitro
cytotoxicity were evaluated initially. Red blood cell hemolysis, aggregation
studies with blood cells, protein adsorption, complement C3 adsorption, platelet
activation and tight junction permeability in Caco-2 cell line were investigated.
The Swarna bhasma preparations with a crystallite size of 28-35 nm did not induce
any blood cell aggregation or protein adsorption. Activation potential of these
preparations towards complement system or platelets was negligible. These
particles were also non-cytotoxic. Swarna bhasma particles opened the tight
junctions in Caco-2 cell experiments. The results suggest the application of
Swarna bhasma preparations as a therapeutic agent in clinical medicine from the
biological safety point of view.
PMID- 21897639
TI - Evaluation of antioxidant potential of Amalakayas Rasayana: A polyherbal
Ayurvedic formulation.
AB - Amalakayas Rasayana (AR) is a polyherbal formulation mentioned in Ayurveda to
treat aging and age-associated diseases. Being an antiaging drug, AR may have
antioxidants and free radical scavenging activity to minimize free radical
induced damage which is a key cause of aging. The methanolic extract of AR was
evaluated in vitro for total phenolic and tannin content, free radical scavenging
activity, superoxide radical scavenging activity, and reducing power. The total
phenolic content was measured using Folin-ciocalteu reagent against gallic acid
[relative standard deviation (R(2)) = 0.998]. Total tannin was estimated using
the Stephen method and was found to be 2.82% w/w. Free radical scavenging
activity was measured by 2,2-diphenyl-1-picryl hydrazyl assay and R(2) was 1.
Superoxide radical scavenging activity was done by ethylene diamine tetra acetate
and Nitro Blue Tetrazolium Chloride assays against ascorbic acid and R(2) was
0.976 (EC(50)= 77.5 MUg/ml). Ferrous reducing power was evaluated by Oyaizu
method where R(2) was 0.986. All studies showed that AR possesses antioxidant
activity. The results of this study suggest that the antioxidant and free radical
scavenging activity of AR may explain its rasayana effect and justify its use as
a medicine for age associated diseases.
PMID- 21897640
TI - The effect of Triphala and Chlorhexidine mouthwash on dental plaque, gingival
inflammation, and microbial growth.
AB - The objective of this study was to ascertain the effects of a mouthwash prepared
with Triphala on dental plaque, gingival inflammation, and microbial growth and
compare it with commercially available Chlorhexidine mouthwash. This study was
conducted after ethics committee approval and written consent from guardians (and
assent from the children) were obtained. A total of 1431 students in the age
group 8-12 years, belonging to classes fourth to seventh, were the subjects for
this study. The Knowledge, Attitude and Practice (KAP) of the subjects was
determined using a questionnaire. The students were divided into three groups
namely, Group I (n = 457) using Triphala mouthwash (0.6%), Group II (n = 440)
using Chlorhexidine mouthwash (0.1%) (positive control), and Group III (n = 412)
using distilled water (negative control). The assessment was carried out on the
basis of plaque scores, gingival scores, and the microbiological analysis
(Streptococcus and lactobacilli counts). Statistical analysis for plaque and
gingival scores was conducted using the paired sample t-test (for intragroup) and
the Tukey's test (for intergroup conducted along with analysis of variance test).
For the Streptococcus mutans and Lactobacillus counts, Wilcoxon and Mann-Whitney
test were applied for intragroup and intergroup comparison, respectively. All the
tests were carried out using the SPSS software. Both the Group I and Group II
showed progressive decrease in plaque scores from baseline to the end of 9
months; however, for Group III increase in plaque scores from the baseline to the
end of 9 months was noted. Both Group I and Group II showed similar effect on
gingival health. There was inhibitory effect on microbial counts except
Lactobacillus where Triphala had shown better results than Chlorhexidine. It was
concluded that there was no significant difference between the Triphala and the
Chlorhexidine mouthwash.
PMID- 21897641
TI - Pharmacognostical evaluation of Barringtonia acutangula leaf.
AB - Barringtonia acutangula (L.) Gaertn. (Family: Lecythidaceae) is an evergreen tree
with simple, alternate leaves, long pendulous racemes, dark scarlet flowers, and
ellipsoid to ovoid berries containing one ovoid black seed. The present study
deals with a detailed pharmacognostical study on the leaf of the crude drug, B.
acutangula. Morphoanatomy of the leaf was studied using light and confocal
microscopy and World Health Organization (WHO) guidelines on quality control
methods for medicinal plant materials. Literature reveals that the
phytoconstituents like tanginol, barrinic acid, and barringenic acid are present
in the wood and fruits of this plant. Our preliminary phytochemical studies of
the powdered leaves revealed the presence of terpenes, flavanoids, carbohydrates,
tannins, steroids, and glycosides. The physico-chemical, morphological,
histological parameters, and High Performance-Thin Layer Chromatographic (HPTLC)
profile presented in this paper may be proposed as parameters to establish the
authenticity of B. acutangula and can possibly help to differentiate the drug
from its other species and the pharmacognostic profile of the leaves presented
here will assist in standardization viz., quality, purity, and sample
identification.
PMID- 21897642
TI - Standardization and quality control parameters of Dashanga Kwatha ghana tablet:
An Ayurvedic formulation.
AB - Herbal medicines have a long therapeutic history and are still serving many of
the health needs of a large population of the world. However, the quality control
and quality assurance still remains a challenge because of the high variability
of chemical components involved. Herbal drugs, singularly and in combinations,
contain numerous compounds in complex matrices in which no single active
constituent is responsible for the overall efficacy. This creates a challenge in
establishing quality control standards and standardization of finished herbal
drugs. Many preparations have been mentioned in Ayurvedic text books for the
treatment of Urdhwaga Amlapitta (non-ulcer dyspepsia). Dashanga Kwatha is one
such known formulation. In this study, Dashanga Kwatha was converted into tablet
form to increase the shelf life, make it easy to dispense, for dose fixation,
etc. The Dashanga Kwatha Ghana tablet was subjected to organoleptic analysis,
phytochemical analysis, and qualitative analysis to detect the presence of
various functional groups, and to high performance thin layer chromatography
(HPTLC) examination by optimizing the solvent systems. The investigation revealed
the presence of tannins, mucilage, ascorbic acid, alkaloids, saponins,
glycosides, flavonoids and carbohydrates mainly.
PMID- 21897643
TI - Why and how? Addressing to the two most pertinent questions about
pharmacovigilance in Ayurveda.
AB - Pharmacovigilance is the outcome of a natural and implied willingness of a
physician to ensure safety to his patient. This is a discipline in medicine which
pragmatises the principle of first do no harm towards a wider and systematic
application in clinical practice. It is however important to understand that
despite of its huge potential in ensuring a safe practice of medicine through
knowledge of avoidable causes of adversities, its path has never been easy.
Applying principles of pharmacovigilance into the realm of traditional medicine
particularly to Ayurveda is even more difficult for the issues of why and how of
pharmacovigilance in light of historical practice and anecdotal evidences of
safety in Ayurveda. Application of pharmacovigilance in Ayurveda thereby demands
a careful and thoughtful observation of its needs and its methods of application
in order to to maximize its impacts to ensure the patient safety to every extent
possible.
PMID- 21897644
TI - Shushkakshipaka (dry eye syndrome): A case study.
AB - Ashru-tear secretion is an integral component of the ocular surface physiology;
when compromised (quantitatively or qualitatively) lead to shushkakshipaka (dry
eye syndrome) with various ocular discomfort symptoms and ultimately the patient
may land in corneal blindness.Local, systemic and environmental factors play a
major role in its pathogenisis. Vata& Pitta/Rakta vitiation as per Ayurvedic view
point are the major contributing pathological factors in its manifestation.
Contrary to the available modern medical treatment / management regimen; Ayurveda
propounds a systematic systemic/ holistic treatment approach in the treatment of
dry eye syndrome. A patient of shushkakshipaka was treated with such treatment
protocol, is presented as a case study in this article.
PMID- 21897645
TI - Treatment of relapsed undifferentiated acute myeloid leukemia (AML-M0) with
Ayurvedic therapy.
AB - A 16-year-old boy was detected with acute myeloid leukemia (AML - M0) with bone
marrow pathology showing 85% blasts in February 07, 1997. He received two cycles
of induction chemotherapy (3+7 protocol) with daunomycin and cytosar, following
which he achieved incomplete remission with bone marrow aspirate showing 14%
blasts. Subsequently, the patient received two cycles of high-dose cytosine
arabinoside Ara-C and achieved remission. However, his disease relapsed on August
29, 1997. Peripheral blood smear showed 6% blast cells and bone marrow showed 40%
blast cells. The patient refused further chemotherapy and/or bone marrow
transplant and volunteered for Ayurvedic therapy (AYT) advocated by the author
from September 09, 1997. Bone marrow studies done after six months of AYT
indicated that the disease was in remission. The AYT was continued for five years
and stopped. Thereafter, the patient received intermittent maintenance AYT for
three months in the next two years. At present, the patient is normal and healthy
and has completed 12 years of disease-free survival with AYT.
PMID- 21897646
TI - Seminal gold and infertility.
PMID- 21897647
TI - Effect of insulin plant leaves on dexamethasone-induced hyperglycemia.
PMID- 21897648
TI - Authors' reply.
PMID- 21897649
TI - Revisiting survival analysis.
PMID- 21897650
TI - Effect of Tulsi (Ocimum sanctum Linn) on sperm count and reproductive hormones in
male albino rabbits.
PMID- 21897651
TI - A survey of the labeling information provided for Ayurvedic drugs marketed in
India.
PMID- 21897652
TI - Authors' reply.
PMID- 21897653
TI - Ayurveda support for health prevention in post crisis, nuclear denotation.
PMID- 21897654
TI - Design of vitamin E d-alpha-Tocopheryl Polyethylene Glycol 1000 Succinate
Emulsified Poly (D,L-Lactide-co-Glycolide) Nanoparticles: Influence of Duration
of Ultrasonication Energy.
AB - The aim of this research was to investigate the effect of the duration of
ultrasonication energy on the physicochemical characteristics of the nano-sized
particulate drug delivery systems. For this purpose, meloxicam-loaded vitamin E d
alpha-tocopheryl polyethylene glycol 1000 succinate (TPGS)-emulsified poly (D,L
lactide-co-glycolide) (PLGA) nanoparticles were designed by using ultrasonication
solvent evaporation technique and were characterized by photon correlation
spectroscopy for size and size distribution, scanning electron microscopy for
surface morphology and laser Doppler anemometry for surface charge. Ultraviolet
spectrophotometer was used to measure the drug encapsulation efficiency and to
obtain in vitro drug release profile. The results showed that the physicochemical
properties of the prepared nanoparticles are effectively controlled by the amount
of shear stress transferred from the energy source to the emulsion, which is
strongly correlated to the ultrasonication time.
PMID- 21897655
TI - Design of Controlled Release Non-erodible Polymeric Matrix Tablet Using Microwave
Oven-assisted Sintering Technique.
AB - The objective of the present study was to evaluate the effect of sintering
condition on matrix formation and subsequent drug release from polymer matrix
tablet for controlled release. The present study highlights the use of a
microwave oven for the sintering process in order to achieve more uniform heat
distribution with reduction in time required for sintering. We could achieve
effective sintering within 8 min which is very less compared to conventional hot
air oven sintering. The tablets containing the drug (propranolol hydrochloride)
and sintering polymer (eudragit S-100) were prepared and kept in a microwave oven
at 540 watt, 720 watt and 900 watt power for different time periods for
sintering. The sintered tablets were evaluated for various tablet characteristics
including dissolution study. Tablets sintered at 900 watt power for 8 min gave
better dissolution profile compared to others. We conclude that microwave oven
sintering is better than conventional hot air oven sintering process in
preparation of controlled release tablets.
PMID- 21897656
TI - Dissofilm: a novel approach for delivery of phenobarbital; design and
characterization.
AB - An attempt to develop and evaluate mouth-dissolving film of phenobarbital for
quick effect in treatment of epilepsy occurring in pediatric population has been
made in the present study. Suitable film formers and plasticizers are selected
based on optimization studies. Effect of superdisintegrants in formulation of
mouth dissolving films at different concentrations has been investigated. Films
were prepared by solvent casting method. The prepared films were evaluated for
physicochemical parameters, in vitro disintegration and dissolution time, in
vitro release rate study, stability study, and in vivo animal safety study. The
best formulation was found to be F3 showing 96.57% drug release in 14 min,
following first-order kinetics. X-Ray diffraction studies show change in
crystalline nature of drug in formulation. In vivo studies in hamster reports
effective and safe use of formulation in animals.
PMID- 21897657
TI - Preparation and evaluation of mixture of eudragit and ethylcellulose
microparticles loaded with ranolazine for controlled release.
AB - To minimize the unwanted toxic effects of anti-anginal ranolazine by kinetic
control of drug release, it was entrapped into gastro-resistant, biodegradable
eudragit (EU) and ethyl cellulose (EC) binary blend using phase separation
method. Ten formulations were prepared using different polymer blend ratios and
solvent. The prepared microparticles were characterized for micromeritic
properties, polymer drug compatibility by Fourier Transform Infrared Spectroscopy
(FT-IR) and Differential Scannibg Calorimetry (DSC), and surface morphology by
Scanning Electron Micrography (SEM). The yield of microparticles was up to 90%
and more than 98% of the isolated microparticles are having volume mean diameter
of 285 MUm. The obtained angle of repose, percentage Carr's index and tapped
density values were within the limits indicating good flow properties. The
surface morphology revealed that particles were free-flowing, spherical, with
minute pores and invert dents on the surface. The prepared microparticles were
evaluated for percentage yield, encapsulation efficiency and in vitro release
studies. FT-IR and DSC studies showed no chemical interaction between the drug
and used polymers The in vitro drug release studies were carried out using pH 1.2
acid buffer and pH 7.4 phosphate buffer. EU acts as an excellent pH-dependent
binder and helps to release the drug in the intestine. The drug release kinetics
followed different transport mechanisms. Increasing the weight fractions of EU
and decreased EC helps to control the drug release from the particles. From the
differential (f(1)) and similarity factor (f(2)), Formulation F5 was the
formulation most similar to the commercially available oral formulation as
reference standard. The drug release performance was greatly affected by the
materials used in microparticle preparations, which allow absorption in the
intestinal tract.
PMID- 21897658
TI - Preparation and Characterization of Metformin Hydrochloride - Compritol 888 ATO
Solid Dispersion.
AB - Metformin hydrochloride (MET) sustained-release solid dispersions (SD) were
prepared by the solvent evaporation and closed melt method, using compritol 888
ATO as the polymer with five different drug-carrier ratios. Characterization of
solid dispersion was carried out by Fourier Transform Infrared (FTIR)
spectroscopy, ultraviolet (UV) spectroscopy, Differential scanning calorimetry
(DSC), X-ray powder diffraction (XRPD). The FTIR and UV studies suggested that no
bond formation had occurred between the polymer and the drug. DSC and XPRD
results ruled out any interaction or complex formation between the drug and the
polymer. The formulated SD had acceptable physicochemical characters and SD with
a 1 : 4 drug : Polymer ratio, which released the drug over an extended period of
eight-to-ten hours. The data obtained from the in vitro release studies were
fitted with various kinetic models and were found to follow the Korsmeyer-Peppas
equation. The prepared SD showed good stability over the studied time period. The
solvent evaporation method was found to be more helpful than the closed melt
method, giving the sustained release action. The SD with a 1 : 4 ratio of drug to
polymer, by the solvent evaporation method, was selected as the most effective
candidate for the subsequent development of a well-timed, sustained-release
dosage form of the drug.
PMID- 21897659
TI - Preparation and Evaluation of Silymarin beta-cyclodextrin Molecular Inclusion
Complexes.
AB - Silymarin is a hepatoprotective agent, having poor water solubility and oral
absorption of about 23 - 47%, leading to low bioavailability of the drug. The aim
of the present study is to improve the solubility and dissolution rate and in
turn the hepatoprotective activity of the drug, by formulating its inclusion
complex with beta (beta)-cyclodextrin, using different methods. The phase
solubility analysis indicates the formation of 1:1 molar inclusion complex of the
drug with beta cyclodextrin. Apparent stability constant for Silymarin (K(c)) was
722 K(-1) with beta-cyclodextrin complex. The inclusion complexes were prepared
by four different methods, namely, physical mixing, kneading, co-precipitation,
and solvent evaporation. The prepared complexes were characterized using
differential scanning colorimetry, scanning electron microscopy, and x-ray
diffractometry. The inclusion complex prepared by the co-precipitation methods
exhibits an overall best result, with respect to the formulation of sustained
release formulations.
PMID- 21897660
TI - Hordeum vulgare hull in the design of fast disintegrating tablets.
AB - In the present study, fast disintegrating tablets were designed with a view to
enhance patient compliance. In this method, the hull of Hordeum vulgare, cross
carmellose sodium, and sodium starch glycolate were used as superdisintegrants (4
and 6%), along with microcrystalline cellulose and mannitol, to enhance mouth
feel. The prepared batches of tablets were evaluated for hardness, friability,
drug content uniformity, wetting time, water absorption ratio and in vitro
dispersion time. Based on the in vitro dispersion time, the formulations were
tested for the in vitro drug release pattern. Tablets having H. vulgare hull
showed the release profile comparable to those tablets having sodium starch
glycolate and cross carmellose sodium.
PMID- 21897661
TI - Formulation and evaluation of solid lipid nanoparticles of ramipril.
AB - Solid lipid nanoparticles are typically spherical with an average diameter
between 1 and 1000 nm. It is an alternative carrier system to tradition colloidal
carriers, such as, emulsions, liposomes, and polymeric micro and nanoparticles.
Ramipril is an antihypertensive agent used in the treatment of hypertension. Its
oral bioavailability is 28% and it is rapidly excreted through the renal route.
This drug has many side effects such as, postural hypotension, hyperkalemia, and
angioedema, when given as an immediate dosage form. To overcome the side effects
and to increase the bioavailability of ramipril, solid lipid nanoparticles of
ramipril are prepared by using lipids (glyceryl monostearate and glyceryl
monooleate) with stabilizers (tween 80, poloxamer 188, and span 20). The prepared
formulations have been evaluated for entrapment efficiency, drug content, in
vitro drug release, particle size analysis, scanning electron spectroscopy,
Fourier transform-infrared studies, and stability. A formulation containing
glyceryl monooleate, stabilized with span 20 as surfactant showed prolonged drug
release, smaller particle size, and narrow particle size distribution, as
compared to other formulations with different surfactants and lipids.
PMID- 21897662
TI - Pharmacognostic standardization, physico- and phytochemical evaluation of
amaranthus spinosus linn. Root.
AB - Amaranthus spinosus Linn. (Amaranthaceae) is found throughout India. This tree
species has been of interest to researchers because it is a medicinal plant
employed in the Indian traditional system of medicine. Pharmacognostic
standardization; physico-and phytochemical evaluation of the roots of Amaranthus
spinosus was carried out, to determine its macro-and microscopical characters,
and also some of its quantitative standards. Microscopical studies were done by
using the trinocular microscope. Total ash, water-soluble ash, acid-insoluble
ash, sulfated ash values, and alcohol-and water-soluble extractive values were
determined for physico-chemical evaluations. A preliminary phytochemical
screening was also done to detect different phytoconstituents. Microscopically,
the root showed cork, cortex, stellar region, and calcium oxalate crystals.
Powder microscopy showed anamalous secondary growth in between the xylem vessels
and Calcium Oxalate crystals in the cortex region. Total ash was approximately
three times more than acid insoluble and water soluble ash. The ethanol soluble
extractive was approximately the same as the water soluble extractive. Thin Layer
Chromatography (TLC) of the Petroleum-ether extract using Benzene : Ethyl acetate
(6 : 1), showed six spots. In the chloroform extract, using Benzene : Ethyl
acetate (4 : 1) nine spots were seen, and in the ethanol extract, using
Chloroform: Methanol (93 : 7), only four spots were observed, using Iodine vapor
as a viewing medium. Phytochemically, the root exhibited terpenes, alkaloids,
glycosides, and sugars. These findings might be useful to supplement information
with regard to its identification parameters, which are assumed significant in
the way of acceptability of herbal drugs, in the present scenario, which lacks
regulatory laws to control the quality of herbal drugs.
PMID- 21897663
TI - Sepbox technique in natural products.
AB - Natural compounds still play an increasingly important role in many areas of
research and development. Their structural diversity is enhanced by the synthetic
potential of combinatorial chemistry. Fractionation and separation of samples
obtained from nature remain time-consuming, tedious and extremely expensive even
though the assays for testing these samples have become faster and more cost
effective thanks to advanced high-throughput screening (HTS) processes. Today,
sepbox is the standard technology used for separating compounds from natural
resources. Fractionations and separation of samples obtained from nature remain
very difficult. Automation of sample preparation therefore has great economic
potential. The unique sepbox concept allows processing sample automatically and
will make up to 30 times faster than by using a conventional process.
PMID- 21897664
TI - Central Nervous System Effects of Iso-6-spectaline Isolated from Senna
Spectabilis var. Excelsa (Schrad) in Mice.
AB - The central nervous system (CNS) depressant and anticonvulsant activities of iso
6-spectaline (SPEC) were investigated in animal models. The SPEC from Senna
spectabilis var. excelsa (Schrad) (0.1, 0.5 and 1.0 mg/ kg) injected by oral
route (p.o.) in mice caused a significant decrease in the motor activity up to 30
days after the administration and in the dose of 1.0 mg/kg significantly reduced
the remaining time on the Rota-rod apparatus. Additionally, SPEC (0.1, 0.5 and
1.0 mg/kg, p.o.) was also capable of promoting increase of latency for
development of convulsions induced by pentylenetetrazole. This SPEC was also
capable of promoting an increase of latency for development of convulsions
induced by picrotoxin at highest dose. In the same way, the anticonvulsant effect
of SPEC was affected by pretreatment with flumazenil, a selective antagonist of
the benzodiazepine site of the GABA(A) receptor. These results suggest possible
CNS depressant and anticonvulsant activities in mice that needs further
investigation.
PMID- 21897665
TI - Aqueous Methanol Extracts of Cochlospermum tinctorium (A. Rich) Possess Analgesic
and Anti-inflammatory Activities.
AB - Cochlopermum tinctorium A. Rich. (Cochlospermaceae) is a commonly used medicinal
plant in the West Africa sub-region for the management of various conditions
including pain and inflammatory conditions. In the present study, we report the
analgesic and anti-inflammatory activities of the aqueous methanol leaf (20-80
mg/kg), root (7.5-30 mg/kg), and root bark (20-80 mg/kg) extracts of the plant.
The analgesic potentials of the extracts were studied using acetic acid induced
writhing and hot plate tests in mice while the anti-inflammatory activity was
investigated using carrageenan-induced paw edema in rats.The extracts
significantly and dose dependently inhibited the acetic acid-induced writhing in
mice. However, the highest protection against writhing was produced by aqueous
methanol leaf extract at the dose of 80 mg/kg (96.65%) which even was greater
than that of the standard agent, ketoprofen (82.30%). The extracts did not
significantly increase mean latency of response in the hot plate test. However,
aqueous methanol root bark extract at the dose of 20 mg/kg significantly (P <
0.05) increased the mean latency of pain response. While the extracts of the root
and root bark extracts of the plant afforded non dose-dependent protection
against carrageenan-induced edema, the aqueous methanol leaf extract
significantly and dose-dependently inhibited carrageenan-induced hind paw edema
at the end of the third hour.The present study suggests that the aqueous methanol
leaf, root, and root bark extracts of Cochlopermum tinctorium possess analgesic
and anti-inflammatory activities which lend some credence to the ethnomedical
claim of the use of the plant in the management of pain and inflammatory
conditions.
PMID- 21897666
TI - Studies on the anthelmintic property of aminobenzylated mannich bases.
AB - Studies were conducted on the anthelmintic property of about 15(e-h, 1e-1h, 2d-2f
and 3e-3h) synthesized aminobenzylated Mannich bases bearing N-methyl piperazine
using Indian earthworms Pheritima Posthuma against piperazine citrate as standard
reference. Three concentrations of each compound (0.1, 0.2, 0.3% w/v) were
studied, which involved the determination of paralysis and death time of the
worms. The compound 1g exhibited the most significant anthelmintic activity among
all the compounds screened against the worms as compared to standard drug.
PMID- 21897667
TI - In-silico Comparative Study and Quantitative Structure-activity Relationship
Analysis of Some Structural and Physiochemical Descriptors of Elvitegravir
Analogs.
AB - Elvitegravir is a new-generation drug which acts as an integrase inhibitor of the
HIV virus. The potential inhibition has been tested from the clinical trial data.
Here the work basically deals with the quantitative structure-activity
relationship (QSAR) analysis by considering some of the physiochemical
descriptors like molecular weight, logP, molar volume, and structural descriptors
like Winers index, and molecular topological index of the drug analogs. The
descriptors were calculated from the E-Dragon server and the multiple linear
regression equation models were built by using Minitab tools. The different
combinations of structural and physiochemical descriptors were considered for
model derivation. The best three models were chosen by observing high R-Sq value,
high F-value and low residual errors. The P values (regression) for the three
models indicates the significance of the considered descriptors.The overall
results obtained with these model suggest that for this perticular drug the
activity is dependent on physiochemical descriptors.
PMID- 21897668
TI - Patient-physician Communication Barrier: A Pilot Study Evaluating Patient
Experiences.
AB - This study aims to identify the patient-physician communication barriers in the
primary healthcare setting in Pulau Penang, Malaysia. A cross-sectional study was
designed to attain the objectives of the study. A self-developed 17-item study
tool was used to explore respondent's perception about the barriers they have
faced while communicating with physician. The reliability scale was applied and
internal consistency of the study tool was estimated on the basis of Cronbach's
alpha (alpha = 0.58). The data analysis was conducted using statistical package
for social sciences students SPSS 13((r)). Chi Square test was used to test the
difference between proportions. A total of n = 69 patients responded to this
survey. A higher participation was seen by the male respondents, 39 (56.5%).
About 52 (76.5%) of the respondents were satisfied with the information provided
by the physician. In an effort to identify the patient-physician barriers, a poor
understanding among the patients and physician was revealed. 16 (23.5%)
respondents disclosed lack of satisfaction from the information provided to them.
Overall, it is seen that lack of physician-patient understanding was the main
reason that result hindrance in the affective communication. Moreover, there is a
possibility that a low level of health literacy among the patients and inability
of the physician to affectively listen to patients may be the other factors that
result in a deficient communication.
PMID- 21897669
TI - Pharmacovigilance of herbal products in India.
AB - Herbal formulations being widely accepted therapeutic agents as antidiabetics,
antiarthritics, hepatoprotectives, cough remedies, memory enhancers, and
adaptogens. The commonest myth regarding herbal medicines is that these medicines
are completely safe, and can therefore be safely consumed by the patient on
his/her own, without a physician's prescription. This belief has led to large
scale self-medication by people all over the world, often leading to
disappointing end-results, side-effects, or unwanted after-effects. There is an
increasing awareness at several levels of the need to develop pharmacovigilance
practices for herbal medicines. The current model of pharmacovigilance and its
associated tools have been developed in relation to synthetic drugs, and applying
these methods to monitoring the safety of herbal medicines presents unique
challenges in addition to those described for conventional medicines. Several
problems relate to the ways in which herbal medicines are named, perceived,
sourced, and utilized. This may be because of differences in the use of
nonorthodox drugs (e.g., herbal remedies) which may pose special toxicological
problems, when used alone or in combination with other drugs. The purpose of
pharmacovigilance is to detect, assess, and understand, and to prevent the
adverse effects or any other possible drug-related problems, related to herbal,
traditional, and complementary medicines.
PMID- 21897670
TI - Of water bags and wind pipes: The travails of securing airway in occipital
encephalocoele.
PMID- 21897671
TI - Utilization patterns of central nervous system drugs: A cross-sectional study
among the critically ill patients.
AB - INTRODUCTION: Critically ill patients often receive central nervous system drugs
due to primary disorder or complications secondary to multiorgan failure. The aim
of the study was to evaluate the current utilization pattern of central nervous
system drugs among patients in the medical intensive care unit. MATERIALS AND
METHODS: A prospective observational study carried out over a period of 1 year.
The relevant data on drug prescription of each patient was collected from the
inpatient case record. Drugs were classified into different groups based on WHO
ATC classification. The demographic data, clinical data, and utilization of
different classes of drugs as well as individual drugs were analyzed. RESULTS: A
total of 325 consecutive patients were included for the analysis; 211 (65%)
patients were males; 146 patients (45%) were above 55 years of age.
Encephalopathy [63(19.38%)] and stroke [62(19%)] were the common central nervous
system diagnoses. In a total of 1237 drugs, 68% of the drugs were prescribed by
trade name. Midazolam (N05CD08) 142 (43.69%), morphine (N02AA01) 201 (61.84%),
and atracurium (M03AC04) 82 (25.23%) were the most commonly used sedative,
analgesic, and neuromuscular blocker, respectively. Phenytoin (N03AB02) 151
(46.46%) had maximum representation among antiepileptic agents. CONCLUSIONS:
Utilization of drugs from multiple central nervous system drug classes was
noticed. Rational use of drugs can be encouraged by prescription by brand name.
PMID- 21897672
TI - Commentary.
PMID- 21897673
TI - Immunoreactivity of glycoproteins isolated from human peripheral nerve and
Campylobacter jejuni (O:19).
AB - OBJECTIVE: Antibodies to ganglioside GM1 are associated with Guillain-Barre
Syndrome (GBS) in patients with serologic evidence of a preceding infection with
Campylobacter jejuni. Molecular mimicry between C. jejuni Lipopolysaccharide
(LPS) and ganglioside GM1 has been proven to be the immunopathogenic mechanism of
the disease in the axonal variant of GBS. GM1-positive sera cross-react with
several Gal-GalNAc-bearing glycoproteins from the human peripheral nerve and C.
jejuni (O:19). This study aimed to examine the immunoreactivity of the digested
cross-reactive glycoproteins isolated from the human peripheral nerve and C.
jejuni (O:19) with Peanut Agglutinin (PNA) as a marker for the Gal-GalNAc
determinant, and with sera from patients with GBS. MATERIALS AND METHODS: For
this purpose, the cross-reactive glycoproteins from peripheral nerve and C.
jejuni (O:19) were enzymatically digested with trypsin and the obtained peptides
were incubated with PNA and GBS sera. RESULTS: Western blot analysis of the
separated peptides revealed several bands showing positive reactivity to PNA and
to sera from patients with GBS, present in both digests from peripheral nerve and
C. jejuni (O:19). CONCLUSIONS: These data indicate the possible molecular mimicry
between the cross-reactive glycoproteins present in C. jejuni and human
peripheral nerve and its potential role in the development of GBS following
infection with C. jejuni (O:19).
PMID- 21897674
TI - Comparison of antinociceptive effect of the antiepileptic drug gabapentin to that
of various dosage combinations of gabapentin with lamotrigine and topiramate in
mice and rats.
AB - INTRODUCTION: Newer anticonvulsants have a neuromodulatory effect on pain
perception mechanisms in a hyperexcitable and damaged nervous system. AIM: This
study was designed to study the analgesic effects of gabapentin alone and in
combination with lamotrigine and topiramate in experimental pain models.
MATERIALS AND METHODS: Adult albino mice (n=490) weighing 20-30 g and rats
(n=130) weighing 100-200 g were injected intraperitoneally with gabapentin,
lamotrigine, and topiramate alone and in different dose combinations. The hot
plate method, tail-flick method, capsaicin-induced mechanical hyperalgesia, and
formalin assay were used to assess the antinociceptive effects. RESULTS: Of the
three antiepileptic drugs, when given separately, gabapentin was more efficacious
than either topiramate or lamotrigine in all the pain models. Combination of 25
mg/kg gabapentin with 25 mg/kg topiramate was more efficacious (P<.05) than 50
mg/kg gabapentin alone in the capsaicin-induced mechanical hyperalgesia test.
Similarly, 50 mg/kg gabapentin with 50 mg/kg topiramate or 5 mg/kg lamotrigine
was more efficacious (P<.05) than 50 or 100 mg/kg gabapentin alone in late-phase
formalin-induced behaviors. CONCLUSIONS: Combination of gabapentin with either
lamotrigine or topiramate produced better results than gabapentin alone in
capsaicin-induced mechanical hyperalgesia test and in late-phase formalin-induced
behaviors.
PMID- 21897675
TI - Anesthetic and surgical predictors of treatment outcome in re-do craniotomy.
AB - INTRODUCTION: Craniotomy is a neurosurgical operation done to remove brain tumor,
repair vascular lesion, and relieve intracranial pressure. Complications can
arise which may necessitate re-do craniotomy. The study is planned to find out
the relationship between variables such as age, American Society of
Anaesthesiologist (ASA), Glasgow coma score (GCS), frequency of re-do craniotomy,
and surgical outcome of re-do craniotomy. MATERIALS AND METHODS: This is a
retrospective study of all the patients who had re-do craniotomy over a 4-year
period. The data that were collected included age, sex, ASA classification,
indication for re-do craniotomy, GCS, frequency of re-do craniotomy,
postoperative complications, and outcome. RESULTS: Twenty-five patients had
indication for re-do craniotomy within the study period. Forty percent were male
and 60% were female, and their mean age was 38.56 +/- 17.38 years. The
indications for re-do craniotomy were removal of residual tumor, evacuation of
clot, and cerebrospinal fluid leakage. Seventy-six percent had good outcome,
while 24% had poor outcome. Outcome was good for patients who had re-do
craniotomy done once, while poor outcome was for patients with second and third
craniotomies. Ninety percent of patients with ASA (2) had good outcome, while
9.1% had poor outcome; but 64.3% had good outcome with ASA (3), while 37.7% had
poor outcome with a P-value of 0.18. Seventy-five percent had poor outcome in
patients with GCS of less than 9, while 25% had good outcome; but 14.3% had poor
outcome in patients with GCS above 9, while 85.7% had good outcome with a P-value
of 0.031. CONCLUSIONS: Increasing frequency of re-do craniotomy and lower GCS
were major factors affecting outcome in re-do craniotomy in our center. The
outcome of these patients is valuable in the management of other patients with re
do craniotomy in future.
PMID- 21897676
TI - Inpatient occupational therapists hand-splinting practice for clients with
stroke: A cross-sectional survey from Ireland.
AB - INTRODUCTION: Hand splinting after stroke is a common practice despite inadequate
evidence. This warrants a better understanding of the therapists' splinting
practice, to develop clinically meaningful treatment options. AIMS: The study
examined the nature and prevalence of the factors associated with therapists'
hand splinting practice and their perceived splinting efficacy. SETTINGS AND
DESIGN: A cross-sectional national survey of hand-splinting practice among
inpatient occupational therapists (OTs) in Ireland. MATERIALS AND METHODS: Sixty
two therapists participated in this national survey. STATISTICAL ANALYSIS USED: A
number of factors were analyzed to explore their relationship with therapists'
perception of splint efficacy using Spearman's rank order correlation. RESULTS:
53(85.5%) out of 62 survey respondents prescribed splints to their clients at the
time of taking the survey. To reduce spasticity, to correct contractures and thus
increase range of motion (ROM) were the commonly used splinting goals. These were
the goals that were significantly associated with the therapists' splinting
efficacy too. CONCLUSIONS: Hand-splint prescription following stroke was found to
be a common practice among OTs who perceive splints to be quite effective. A
custom-made, volar forearm-based wrist-hand splint is the preferred splint among
therapists to achieve a number of clinical aims such as improving ROM, stretching
soft tissue contractures and reducing spasticity in the upper extremity. A wide
variety of splinting regimens is currently practiced, reflecting the lack of a
universally accepted and comprehensive practice guideline to regulate therapy.
Methodologically valid clinical trials evaluating the efficacy of therapist
preferred splints in achieving their favored outcomes are needed. Development of
common, universally accepted therapeutic guidelines based on comprehensive
scientific review of such studies is thus needed.
PMID- 21897677
TI - Role of transcutaneous electric nerve stimulation in the management of trigeminal
neuralgia.
AB - BACKGROUND: Trigeminal neuralgia typically involves nerves supplying teeth, jaws
and face of older females. Though the etiology is usually obscure, different
treatment modalities have been tried for it viz. medicinal treatment, injection
alcohol, peripheral neurectomy, rhizotomy, and microvascular decompression etc.
Transcutaneous electric nerve stimulation (TENS) is an emerging and promising
option for management of such patients. AIMS AND DESIGN: The present study was
designed with an aim to study the efficacy of TENS in management of trigeminal
neuralgia. MATERIALS AND METHODS: The study was conducted on 30 patients of
trigeminal neuralgia confirmed by diagnostic nerve block. They were given bursts
of TENS for 20-40 days over the path of the affected nerve and subsequently
evaluated at 1 month and 3 month intervals by visual analogue scale (VAS), verbal
pain scale (VPS), a functional outcome scales for main daily activities like
sleep, chewing, talking, or washing face. RESULTS: The results showed that, on
VAS, the score decreased from 8.9 (Pre TENS) to 3.1 at 1 month and 1.3 at 3
months, and on VPS, the score decreased from 3.5 (Pre TENS) to 1.2 at 1 month and
0.3 at 3 months. Similarly, a considerable decrease in scores was seen on
functional outcome scale for different activities. No side effects like
irritation or redness of skin were seen in any of the patients. CONCLUSIONS:
Thus, TENS was found to be a safe, easily acceptable, and non-invasive outdoor
patient department procedure for management of trigeminal neuralgia.
PMID- 21897678
TI - Tuberculous brain abscesses: Case series and review of literature.
AB - INTRODUCTION: Tuberculous brain abscess (TBA) is a rare but serious condition. It
resembles a pyogenic brain abscess clinically and radiologically and poses a
problem in diagnosis and treatment. A final diagnosis is established by smear or
culture demonstration of acid fast bacilli (AFB) within the abscess. Here, we
report four such cases in our five-year study on brain abscesses, along with the
different diagnostic modalities used. MATERIALS AND METHODS: A total of 75 brain
abscess pus specimens were collected during neurosurgery, either by burr hole or
by craniotomy. These specimens were further subjected to Gram stain, Ziehl
Neelsen (ZN) stain, and conventional microbiological culture. Only those cases
which showed presence of AFB on ZN stain along with the growth of Mycobacterium
tuberculosis were considered as TBAs. Such TBA cases were further presented along
with their In vitro Proton Magnetic Resonance (MR) Spectroscopic findings.
RESULTS: Of these four patients, three were males. Though this condition is more
commonly seen in immunocompromised patients, three of the patients in this study
were immunocompetent. All the four pus specimens showed presence of AFB in the ZN
stain. Three of them grew M. tuberculosis as sole isolate. The fourth case was of
concomitant tuberculous and pyogenic brain abscess. In vitro Proton MR
spectroscopy of the pus specimens showed absence of multiple amino acids at 0.9
ppm, which was found to be hallmark of TBA. One patient died of four.
CONCLUSIONS: TBA always poses a diagnostic dilemma. ZN stain and conventional
microbiological culture for Mycobacteria always help to solve this dilemma. In
vitro Proton MR Spectroscopy also seems to have the diagnostic utility.
PMID- 21897679
TI - Commentary.
PMID- 21897680
TI - Airway management for occipital encephalocele in neonatal patients: A review of
17 cases.
AB - INTRODUCTION: Encephalocele, midline defect of cranial bone fusion, occurs most
frequently in the occipital region. Airway management in pediatric patients with
craniofacial disorders poses many challenges to the anesthesiologist. The purpose
of this study is to describe the airway problems encountered for such cases, and
describe how these problems were managed. MATERIALS AND METHODS: We reviewed the
charts of occipital encephalocele newborn that were treated by surgical
correction in Harran University Hospital during 2006-2008. The collected data
were categorized into preoperative, intraoperative, and postoperative data.
RESULTS: The mean age of the patients was 5.17 days. Of these 17 patients, eight
patients (47.1%) had hydrocephaly, one patient (5.8%) with Dandy Walker syndrome.
Micrognathia, macroglossia, restriction in neck movements were recorded as the
reasons in six cases each. No major anesthetic complication was found.
CONCLUSIONS: We reported perioperative management in 17 occipital encephalocele
infant. Comprehensive care during peroperative period is essential for successful
outcome.
PMID- 21897681
TI - Cranioplasty: Review of materials and techniques.
AB - Cranioplasty is the surgical intervention to repair cranial defects. The aim of
cranioplasty is not only a cosmetic issue; also, the repair of cranial defects
gives relief to psychological drawbacks and increases the social performances.
Many different types of materials were used throughout the history of
cranioplasty. With the evolving biomedical technology, new materials are
available to be used by the surgeons. Although many different materials and
techniques had been described, there is still no consensus about the best
material, and ongoing researches on both biologic and nonbiologic substitutions
continue aiming to develop the ideal reconstruction materials. In this article,
the principle materials and techniques of cranioplasty are reviewed.
PMID- 21897682
TI - Treatment-related fluctuation in Guillain-Barre syndrome.
AB - Guillain-Barre syndrome (GBS) is usually a monophasic illness but relapses occur.
A 55-year-old female with hypertension and vitiligo presented with acute
inflammatory demyelinating polyradiculoneuropathy. She improved with
immunoglobulin treatment started on day 6 of illness, but relapsed on day 14
warranting repeat immunoglobulin therapy. Thereafter recovery was complete. Her
relapse was due to treatment-related fluctuation (TRF). TRF is improvement in the
GBS disability scale of at least one grade after completion of immunotherapy
followed by worsening of the disability scale of at least one grade within the
first 2 months after disease onset. Recurrent GBS and chronic inflammatory
demyelinating polyradiculoneuropathy were excluded. During the peak of the
illness ANA titres were transiently high. The presence of other medical
conditions, predominant proximal weakness and the absence of preceding diarrhea
are predictors for TRF seen in this patient. Early treatment and evidence of
ongoing immune activation have contributed toward TRF.
PMID- 21897683
TI - Unusual features in chronic inflammatory demyelinating polyneuropathy: Good
outcome after prolonged ventilatory support.
AB - Severe respiratory muscle paralysis and ventilatory failure is rare in chronic
inflammatory demyelinating polyneuropathy (CIDP). We report a 14 year child who
presented with respiratory failure, bulbar and multiple cranial nerves
involvement along with bilateral phrenic nerve paralysis. He was diagnosed with
CIDP after electrophysiological evaluation. He required AMBU ventilation for
about 4 months (including domiciliary use), after which he recovered
significantly. Along with several unusual features of CIDP, this report
highlights good example of steady basic intensive care to save lives and
rewarding outcome of prolonged respiratory support, provided by AMBU ventilation
which is a rather primitive, but inexpensive device.
PMID- 21897684
TI - Giant primary ossified cavernous hemangioma of the skull in an adult: A rare
calvarial tumor.
AB - Primary intraosseous cavernous hemangiomas (PICHs) of the cranium are rare benign
vascular tumors that account for about 0.2 % of all bone tumors and 10 % of
benign skull tumors. They generally present as osteolytic lesions with honeycomb
pattern of calcification. Completely ossified cavernous hemangioma of the
calvarium in an adult has not been reported previously. A 28-year-old female
presented to us with a large right parietal skull mass that had been present
since the last 15 years. Total resection of the lesion was performed.
Pathological examination was suggestive of cavernous hemangioma of the skull
bone. Cavernous hemangioma should be considered as one of the differential
diagnosis in any case of bony swelling of the calvarium so that adequate
preoperative planning can be made to minimize blood loss and subsequent
morbidity.
PMID- 21897685
TI - Occipital artery occlusion to facilitate transmastoid posterior fossa tumor
embolization.
AB - The transmastoid branch of the occipital artery is an important supply to
posterior fossa vascular malformations and tumors and is often difficult to
catheterize due to tortuosity and a transforaminal course. In very difficult
situations, we can try to induce spasm of the occipital artery just beyond the
origin of the mastoid branch by repeated passages of the microcatheter/wire. This
induces a temporary 'ligation' like effect so that the microcatheter can then be
manipulated into the mastoid branch via the mastoid foramen. Rarely, the
occipital artery has to be sacrificed if spasm cannot be induced or is short
living, following which particles can be injected from a distance without
entering the mastoid foramen. Occluding the occipital artery proximally has no
effect on distal perfusion, as collaterals and anastomoses from superficial
temporal artery, posterior auricular artery, and opposite occipital artery take
over the supply.
PMID- 21897686
TI - Optic neuropathy due to allergic fungal rhinosinusitis.
AB - An uncommon case of allergic fungal rhinosinusitis presented to the ophthalmology
outpatient department of our hospital with complaints of blurred vision in the
right eye of a few days duration and vague complaints of pain around the eyes.
The visual acuity on examination was grossly reduced in the right eye and normal
in the left eye. Color vision was normal. Anterior segment examination including
pupils was normal. Dilated fundus examination was normal except for temporal
pallor in the right optic disc. Automated perimetry and magnetic resonance
imaging (MRI) scan of brain and orbit were done. The imaging report showed a
bilateral pansinusitis with pressure on the right optic nerve. Perimetry showed a
superior field defect on the right side. ENT consultation and computed tomography
(CT) with contrast helped to diagnose this as a case of allergic fungal
rhinosinusitis. The patient was started on systemic steroids under the care of
the ENT surgeon. After a few days, pre-operative assessment showed a gross
improvement of visual acuity. Endoscopic sinus surgery was done to remove the
polyps and thick mucus material. Histopathologic examination confirmed allergic
fungal mucin. Days after surgery, the visual acuity improved further and repeat
perimetry showed gross improvement in the visual field. Good history taking and a
detailed ophthalmic examination, keeping in mind the probable causes of loss of
vision of few days duration with no findings other than a decreased visual acuity
and a suspicious disc, were key to the early diagnosis and investigation in this
case. This helped in early referral and management of the case before permanent
damage and irreversible visual loss occurred. The optic nerve is a cranial nerve
which, once damaged permanently, will not regenerate. The amount of sinus
involvement was extensive on both sides and invariably the left optic nerve would
have been involved in a few days, if intervention was delayed.
PMID- 21897687
TI - Bladder distension: An unusual cause of reflux of blood and hemodynamic changes
(autonomic dysreflexia) during endovascular coiling.
AB - Autonomic dysreflexia due to distended bladder is well known. Reflux of blood
during endovascular procedure is also a common observation. It happens due to
difference in pressure gradient between arterial pressure and that of infusing
solution. Generally it happens when the infusion bottle is empty or the pressure
in infusion bottles fall. We present an uncommon situation where distended
bladder mechanically resulted in reflux of blood into endovascular catheters as
well as alteration in hemodynamic parameters. Both settled once the bladder was
empty.
PMID- 21897688
TI - Cystic mature teratoma of the thoracic region in a child: An unusual case.
AB - Cystic mature teratomas of the spinal cord are rare lesions. Teratomas account
for up to 0.1% of all spinal cord tumors. Teratomas include tissues that
originate from the three germ layers. Several congenital disorders may accompany
the teratoma. Teratomas are classified as mature, immature or malignant type
according to their histological characteristics. Thoracic spinal teratomas are
uncommon in the pediatric age group. More than half of the patients are adults.
We present herein a five-year-old male patient who was referred to our clinic
with cystic mature teratoma at the T12 level.
PMID- 21897689
TI - Mania associated with complicated hereditary spastic paraparesis.
AB - Hereditary spastic paraparesis (HSP) is an inherited group of neurological
disorders with progressive lower limb spasticity. HSP can be clinically grouped
into pure and complicated forms. Pure HSP is one without any associated
neurological/psychiatric comorbidity. Depression is the most common psychiatric
comorbidity. Presence of mania or bipolar affective illness with HSP is a rare
phenomenon. We report a case of a 17-year-old boy who presented with classical
features of HSP with complaints of excessive happiness, irritability, increased
self-esteem and decreased sleep since 1 month. The patient also had complex
partial seizure ever since he had features of HSP. The patient's father and
younger sister suffer from pure HSP. The patient was diagnosed to have first
episode mania with complicated HSP. The details of treatment and possible
neurobiology are discussed in this case report.
PMID- 21897690
TI - Parkisonism followed by burr hole drainage for chronic subdural hemorrhage.
AB - There have been few reports on secondary parkisonism caused by chronic subdual
hemorrhage (CSDH). In most reports, rigidity-bradykinesia syndrome resolved
gradually after decompressive operation for CSDH. We report a 74-year-old male
patient who suffered from CSDH status post burr hole drainage twice, and followed
secondary parkinsonism thereafter. Decompression by burr hole drainage was
successful. However, the conscious level was still altered and the patient began
to present with rigidity and bradykinesia. Fortunately, the symptoms dramatically
improved after taking L-dopa. CSDH-related parkinsonism may have pre-operative
nigrostriatal dysfunction, which can not compensate further insults to the basal
ganglia. Prescription of L-dopa may be helpful in these patients.
PMID- 21897691
TI - Holocord syringomyelia presenting as rapidly progressive foot drop.
PMID- 21897692
TI - Commentary.
PMID- 21897693
TI - Head injury management guidelines for general practitioners.
AB - A complete examination of a head injured patient in the hospital requires a
number of instruments. These include a stethoscope, sphygmomanometer,
ophthalmoscope, otoscope, cotton wool, safety pin, tuning fork, reflex hammer and
a small key to test the plantar response. Few of these are required at the
accident scene. This is because, in the hospital, the aim is optimal definitive
treatment. At the accident scene, the aim is prevention of secondary injury,
rapid recording of the most important findings and safe efficient transport to
the hospital. This short paper reviews how the local doctor should undertake a
neurosurgical assessment of traumatic brain injury patients. Moreover, the
primary management at accident scenes is described and the rationale behind the
approach is outlined.
PMID- 21897694
TI - Cephalic tetanus following tooth extraction in a Nigerian woman.
PMID- 21897695
TI - Intracranial tuberculoma associated with subdural hematoma.
PMID- 21897696
TI - Serpentine calcification: A radiological stigma.
PMID- 21897697
TI - Acute inter-hemispheric subdural hematoma in a kabaddi player: A comment.
PMID- 21897698
TI - Author's reply.
PMID- 21897699
TI - Author's reply.
PMID- 21897700
TI - Zidovudine-induced myopathy.
PMID- 21897701
TI - Rehabilitation robotics in India.
PMID- 21897702
TI - Work-related upper limb disorders: Can prevention and management be improved?
PMID- 21897703
TI - Transient anisocoria: A pesky palpitation.
PMID- 21897704
TI - The Google+ Project and neurosciences: Will it be as supercalifragilistic
expialidocious as expected?
PMID- 21897705
TI - A tale of too many strengths: Can we minimize prescribing errors and dispensing
errors with so many formulations in the market?
PMID- 21897706
TI - Rabeprazole and esomeprazole in mild-to-moderate erosive gastroesophageal reflux
disease: A comparative study of efficacy and safety.
AB - OBJECTIVE: To compare the efficacy and safety of rabeprazole and esomeprazole in
mild-to-moderate erosive gastroesophageal reflux disease (GERD). MATERIALS AND
METHODS: A randomized, single-blinded, outdoor-based clinical study was conducted
on 60 patients of mild-to-moderate erosive GERD. After baseline clinical
assessment and investigations, rabeprazole (40 mg) was prescribed to 30 patients
and esomeprazole (40 mg) to another 30 patients for 4 weeks. The efficacy
variables were change in GERD symptom scoring, endoscopic findings, and Quality
of Life in Reflux and Dyspepsia (QOLRAD) scoring over 4 weeks. RESULT: Heartburn,
acid regurgitation, and overall GERD symptom scoring (P = 0.01) were
significantly decreased with rabeprazole in comparison to esomeprazole. The
comparative study of all five domains of the QOLARD questionnaire including
overall scoring revealed a statistically significant improvement in the
rabeprazole group. Endoscopic findings in the rabeprazole group showed an
absolute improvement of 30% and relative improvement of 55% over esomeprazole.
Both the drugs were well tolerated having no significant difference in the
incidence of adverse effects. CONCLUSION: Rabeprazole (40 mg) is a better choice
for mild-to-moderate GERD compared with esomeprazole (40 mg) because of its
better efficacy and safety profile.
PMID- 21897707
TI - Antibiotic sensitivity profile of bacterial pathogens in postoperative wound
infections at a tertiary care hospital in Gujarat, India.
AB - OBJECTIVE: To find out the most common bacterial pathogens responsible for post
operative wound infection and their antibiotic sensitivity profile. MATERIALS AND
METHODS: This prospective, observational study was carried out in patients of
postoperative wound infection. Samples from wound discharge were collected using
a sterile swab and studied for identification of isolates by Gram stains and
culture growth followed by in vitro antibiotic susceptibility testing performed
by disc diffusion method on Mueller Hinton agar. RESULTS: Out of 183 organisms,
126 (68.85%) isolated organisms were gram negative. Staphylococcus aureus, 48
(26.23%), was the predominant organism. S. aureus was sensitive to rifampicin
(89.58%), levofloxacin (60.42%), and vancomycin (54.17%). Pseudomonas aeruginosa
was sensitive to ciprofloxacin (83.78%), gatifloxacin (51.35%), and meropenem
(51.35%). Escherichia coli was sensitive to levofloxacin (72.41%) and
ciprofloxacin (62.07%). Klebsiella pneumoniae was sensitive to ciprofloxacin
(63.16%), levofloxacin (63.16%), gatifloxacin (63.16%), and linezolid (56.52%).
Proteus mirabilis was sensitive to ciprofloxacin (75%) and linezolid (62.50).
Proteus vulgaris was sensitive to ampicillin+sulbactam (57.14%) followed by
levofloxacin (50%). CONCLUSIONS: There is an alarming increase of infections
caused by antibiotic-resistant bacteria, particularly in the emergence of
VRSA/VISA, meropenem, and third generation cephalosporin resistant Pseudomonas
aeruginosa. Linezolid showing sensitivity against Gram negative bacteria.
PMID- 21897708
TI - Comparison of McAuley/fasting insulin indices with ATP III clinical criteria for
the diagnosis of insulin resistance in type 2 diabetes mellitus.
AB - OBJECTIVE: To estimate the prevalence of insulin resistant syndrome (IRS) among
newly diagnosed patients with type 2 diabetes and to test their validity against
two indices of insulin resistance (IR). MATERIALS AND METHODS: Prevalence of IRS
was estimated according to the criteria used by ATP III in newly diagnosed type 2
diabetic patients. Sensitivity and specificity of the ACE criteria were
calculated against two indices of IR namely fasting insulin (FI) level > 12 mU/l
and McAuley index (McA) < 5.8. [McA= exp [2.63--0.28 ln(insulin in mU/l) -- 0.31
ln(triglycerides in mmol/l)]. RESULTS: 35.7% of patients had IRS by ATP III
criteria. 64.3% of patients were insulin resistant by FI and McA in each index.
In patients who had IRS with ATP criteria, 80% and 86.6% were found to have McA
and FI in the insulin resistant range. Out of the patients who were resistant by
McA, only 40.6% had IR by ACE criteria and 93% had shown IR by FI. Out of all
patients who did not fulfill the ATP III for IR, 74% and 59% were detected as
having IR by fasting insulin and McA respectively. Sensitivity of the ACE
criteria when tested against the FI and McA were 37.5% and 40.6%, specificity
were 70% and 80%, respectively. CONCLUSIONS: IRS was common among the newly
diagnosed patients with type 2 diabetes. ACE criteria showed an acceptable
specificity but lack adequate sensitivity when compared with the two Indices of
insulin resistance. More valid and clinically useful criteria should be available
for the accurate diagnosis of IRS in clinical practice.
PMID- 21897709
TI - Comparison of combinations of ciprofloxacin-metronidazole and ceftriaxone
metronidazole in controlling operative site infections in obstetrics and
gynecological surgeries: A retrospective study.
AB - OBJECTIVE: To compare the effectiveness of the ciprofloxacin-metronidazole (CIP
MET) regimen with the ceftriaxone-metronidazole (CEF-MET) regimen for operative
site infection control in women undergoing obstetrical and gynecological
surgeries. MATERIALS AND METHODS: One thousand and eighty-four case records of
women who had undergone various obstetrical and gynecological surgeries who were
given CIP-MET regimen and CEF-MET regimen were analyzed in predesigned and
pretested proforma. Patients who were given CIP-MET regimen and CEF-MET regimen
were classified as Group 1 and Group 2 respectively. The mode of administration
of both the regimens was noted. Numbers of wound infections were recorded in the
respective groups. Socioeconomic status and hemoglobin level of the patients were
noted. Other data such as hospital stay, duration of operation were also noted.
RESULTS: Out of a total of 1084 case records, 31 (5.8%) and eight (0.7%) patients
contracted wound infections in Group 1 and Group 2 respectively (P = 0.0001).
CONCLUSION: The CEF-MET regimen was found superior to the CIP-MET regimen to
control operative site infection in obstetrical and gynecological surgeries.
PMID- 21897711
TI - A comparative study of reliability of self report of tobacco use among patients
with bipolar and somatoform disorders.
AB - OBJECTIVE: To compare the use and reliability of self-reported tobacco use (both
smoked and smokeless) among patients with bipolar disorder and somatoform
disorders. MATERIALS AND METHODS: The study was conducted at psychiatry out
patient department of a tertiary care hospital. A total of 50 consecutive
patients were recruited. The subjects were asked about the use of tobacco
products (smoked as well as smokeless) over the past one week. Those reporting
affirmatively in response to the question were assessed using Fagerstrom Test for
Nicotine Dependence (FTND) scales. Quantitative urinary cotinine levels were
assessed using Enzyme-linked immunosorbent assay (ELISA). RESULTS: Calculation of
Cohen's kappa using cross tabulation revealed discordance between the self
reported use of smoked as well as smokeless tobacco products in both the groups.
Analysis using the lower cut off of 50 ng/ ml also revealed discordance between
the self-reported tobacco use (smoked as well as smokeless) for both the groups.
CONCLUSIONS: The reliability of self-report is questionable among both these
groups for smoking as well as smokeless tobacco products.
PMID- 21897712
TI - Comparative anti-ulcerogenic study of pantoprazole formulation with and without
sodium bicarbonate buffer on pyloric ligated rat.
AB - OBJECTIVE: To compare the anti-ulcer activity of buffered pantoprazole tablet
against plain pantoprazole in pyloric ligated rats. MATERIALS AND METHODS: In
vivo pyloric ligated ulcerogenesis model was used to assess the effect of
buffered pantoprazole on the volume of the gastric content, pH, total and free
acidity, and ulcerogenic lesion. Pantoprazole level in gastric content and
concurrently in stomach tissue was assessed by High Performance Liquid
Chromatography (HPLC) analysis. RESULTS: Buffered tablet effectively increases
the pH of the gastric content above 4 up to 6 h (P<0.001) protecting pantoprazole
from acid degradation resulting in high concentration in the gastric content and
stomach tissue. CONCLUSIONS: This study substantiates better, faster and
prolonged bioavailability of pantoprazole-buffered tablet compared to plain
pantoprazole.
PMID- 21897713
TI - Deep vein thrombosis in a woman taking oral combined contraceptive pills.
AB - Oral combined contraceptive pill (OCCP) is popular as birth control pills. Like
all other drugs, they are not free from risks. Women taking certain types of OCCP
have higher risk of developing deep vein thrombosis (DVT). A 29 year old married
woman had taken OCCP for 3.5 months, developed deep vein thrombosis of left leg.
Hereditary and acquired causes of DVT were excluded. She was treated with
parenteral and oral anticoagulants simultaneously and was advised to discontinue
OCCP. Initially the risk of blood clot was believed to be due to dose of estrogen
but recent study relates it to the type of progesterone involved in OCCP. Thus,
it is still a matter of debate, whether to associate risk of DVT to the amount of
estrogen alone or also to the type of progestin. Apart from careful selection of
patients, one should also look for the risk of venous thromboembolism
irrespective of type of OCCP prescribed.
PMID- 21897714
TI - Cross sensitivity between ciprofloxacin and levofloxacin for an immediate
hypersensitivity reaction.
AB - Seven years old male child (24 kg weight) diagnosed as a case of sub acute
appendicitis treated with ciprofloxacin, immediately developed multiple
erythmatous papules. Reaction subsided after withholding ciprofloxacin and
treatment with dexamethasone and chlorpheneramine maleate. It was developed again
when treated with levofloxacin and subsided after withdrawal. IgE binding at
7(th) position of core structure of fluoroquinolones likely to be the mechanism.
As all the fluoroquinolones have similar core structure, hypersensitivity to one
may have cross sensitivity to other fluoroquinolones. It is advisable to avoid
other fluoroquinolones and switch over to other group of antibiotics when
hypersensitivity to one occurs.
PMID- 21897715
TI - Accidental staggered paracetamol overdose: An interesting case report.
AB - Paracetamol is one of the most commonly used drugs both over the counter and on
prescription. Liquid paracetamol is available over the counter all over the
world. Most commonly available concentrations are 120 mg/5 ml and 250 mg/5 ml.
Many parents and healthcare professionals assume that doses available in
different countries are similar. However, 500 mg/5 ml bottle is available in some
countries including the United Kingdom. This leaves a potential for accidental
overdose with therapeutic intent. We have reviewed the experience of diagnosing
and managing an interesting case of paracetamol over dosage caused by several
ingestions over 24 hours period (staggered paracetamol over dosage). It
highlights the importance of communication between health professionals and
parents while managing common medical problems.
PMID- 21897716
TI - Fumaria indica is safe during chronic toxicity and cytotoxicity: A preclinical
study.
PMID- 21897717
TI - Drug promotional literature distributed by pharmaceutical companies: Do they
provide enough information to ascertain their validity?
PMID- 21897718
TI - Remembering what's new in Alzheimer's management.
PMID- 21897719
TI - Unused medicines in Nigerian households: Types and disposal practices.
PMID- 21897720
TI - Adverse drug reaction monitoring in a tertiary care teaching hospital.
PMID- 21897721
TI - Effect of chronic administration of low dose aspirin on haloperidol induced
catalepsy in rats.
PMID- 21897722
TI - Anti-inflammatory, analgesic, and antioxidant activities of methanolic wood
extract of Pterocarpus santalinus L.
PMID- 21897723
TI - Anti-inflammatory and analgesic activities of stem bark extracts of Eugenia
jambolana.
PMID- 21897724
TI - Drug use in elderly patients: Are we there yet?
PMID- 21897725
TI - Author's Reply.
PMID- 21897726
TI - Fingolimod (Gileyna, FTY720): Innovative treatment for multiple sclerosis.
PMID- 21897727
TI - Ceftaroline fosamil: A novel anti-Methicillin-resistant Staphylococcus aureus
cephalosporin.
PMID- 21897728
TI - Alliance for human research protection.
PMID- 21897729
TI - Measures of central tendency: Median and mode.
PMID- 21897730
TI - From super-specialist to generalist? The way forward.
PMID- 21897731
TI - Yoga: An evidence-based therapy.
PMID- 21897733
TI - Bladder outlet obstruction in women.
AB - Bladder outlet obstruction in females remains a poorly understood condition and
is much rarer as compared to males. More difficult is the objective diagnosis of
this condition. There is no general agreement on the Urodynamic parameters to
define the condition with certainty. A number of conditions are involved
particularly in urinary retention in females are not completely understood.
Besides, external sphincter dysfunction and post surgical retentions add another
group of conditions which are distinct from retentions seen in the males. This
article takes a review of various aetiological factors of Bladder outlet
obstruction in women. An attempt is made to standardise the Urodynamic parameters
for use in females, based on our data and experimentation on the models of the
bladder and urethra. This article also takes a review of uncommon conditions such
as Fowler's syndrome which often complicate evaluation of this condition.
PMID- 21897732
TI - Role of probiotics in urogenital healthcare.
AB - Urogenital infections are one of the most common causes for a woman to visit a
gynecologist or a urologist. The well-known association between abnormal vaginal
microbial flora and its formidable risk in the increased incidence of urinary
tract infection underscores the importance of understanding the microbial flora
and the efforts needed to maintain it, for ensuring urogenital health.
Surprisingly in spite of the increased incidence urogenital infections receive
very less attention from the medical fraternity. Growing awareness among people
and newer advances in the medical field has brought them into the limelight. The
importance of replenishing these depleting commensals with 'probiotics' has
resurfaced in a big way. As the days go by science and medicines will touch new
milestones, which will include probiotics. The value of a probiotics cannot be
taken at face value. Probiotics must not be considered a panacea for treating
urogenital infections. However, the available data promises that it will be a
strong option in improving and maintaining urogenital health.
PMID- 21897734
TI - Moral and professional responsibility of oral physician toward geriatric patient
with interdisciplinary management - The time to act is now!
AB - Mouth is the mirror of overall health. With advancements in oral health promotion
and preventive measures instituted in developed countries, more people retain
their natural teeth into their old age as compared to half a century ago. The
effect of aging on oral health includes effect on oral mucosa, lips, teeth and
other associated structures, and their functional activity leading to impairment
of speech, mastication, swallowing and pain leading to anxiety and depression.
Oral tissues are not limited to the teeth and supporting structures
(periodontium) but also include salivary glands, temporomandibular joint,
orofacial/mastication muscles, oropharyngeal mucosa, and oral sensory/motor nerve
systems. In India, the second most populous country in the world, there is a
rapidly growing population of older adults and there are 70 million elderly
people over 60 years of age. Geriatric health problems with respect to the
quality of life often remain neglected. Oral health care for an increasingly
large segment of elderly people will be a fact of life for dentists everywhere.
Oral health can be both a benchmark for and a determinant of the quality of life
rather than the length of life span. Older adults are more susceptible to oral
conditions or diseases due to an increase in chronic conditions and
physical/mental disabilities. Thus, a careful initial interview ensuring that the
dentist is familiar with the patient's health history, followed by a thorough
oral examination plays a very important role. In this paper, we briefly review
the age-related oral changes occurring in geriatric patients and the role of oral
physician in imparting a healthy life to the elderly.
PMID- 21897735
TI - A randomized trial of vaginal misoprostol for cervical priming before
hysteroscopy in postmenopausal women.
AB - OBJECTIVE: To perform hysteroscopy the cervix needs to be dilated and in
nullipara and postmenopausal women this is sometimes difficult. Well-known, entry
related complications during hysteroscopy include cervical tear, creation of
false tract, bleeding, uterine perforation, scarring, and subsequent anatomical
stenosis. MATERIALS AND METHODS: This study was done to investigate the priming
effect of vaginal misoprostol on cervical dilatation in postmenopausal women,
before hysteroscopy, to prevent such complications. Two hundred micrograms of
misoprostol was inserted into the vagina at least 12 hours before the procedure
and the control group did not receive any cervical priming agent. Pre-procedural
dilatation, additional dilatation required, and time taken for dilatation was
noted in each case. OBSERVATIONS: The study showed a significant difference
between the study group (7.7 +/- 1.7 mm) and the control group (4.5 +/- 1.8 mm)
in terms of pre-procedural cervical width and the number of women requiring a
dditional dilatation (7 / 25 versus 22 / 25), and hence, the time required for
dilatation (4.7 +/- 8 seconds versus 20.6 +/- 9.3 seconds). CONCLUSION: The pre
procedural cervical width was significantly more in the study group as compared
to that in the control group. We found significant differences between the study
and control groups with respect to the number of women who required cervical
dilatation. To conclude, this study helps derive a conclusion that vaginal
misoprostol as a cervical priming agent in postmenopausal women appears to be
safe, effective, and inexpensive, with mild side effects.
PMID- 21897736
TI - Yoga therapy for developing emotional intelligence in mid-life managers.
AB - BACKGROUND: Business executives' lives have become a never-ending race against
time, technology, and targets. This race creates tension, which leads to
dissatisfaction and frustration and eventually manifests itself as psychological
and physiological stress with mental and emotional drain. This modern lifestyle
intensifies the stress leading to "excessive tension" and consequent
deterioration in "executive efficiency". OBJECTIVE: To assess emotional
intelligent quotient (EQ) in managers undergoing yoga-based Self Management of
Excessive Tension (SMET) program. MATERIALS AND METHODS: 72 managers participated
in this study which is of "single group pre-post design." The EQ test developed
by Prof N. K. Chadha from University of Delhi was administered as pre and post
the 5 days of SMET program. STATISTICAL ANALYSIS: Means, standard deviations,
Kolmogorov-Smirnov test, and Wilcoxon signed rank test were used to analyze the
data. RESULTS: The data analysis showed 72.02% significant increase (P<0.001) in
EQ. CONCLUSION: The results suggest that participation in a SMET program was
associated with improvement in EQ and may have implications for "executive
efficiency."
PMID- 21897737
TI - Junctures to the therapeutic goal of diabetes mellitus: Experience in a tertiary
care hospital of Kolkata.
AB - INTRODUCTION: The World Health Organization has declared India as the "diabetic
capital" of the world. In controlling of such chronic, mostly asymptomatic
disease, patients' role can't be overemphasized. AIMS: To assess the level of
compliance to anti-diabetic therapies and to ascertain the determinants of non
compliance, if any. MATERIALS AND METHODS: A cross-sectional observational study
was conducted for 3 months in a diabetic clinic of R G Kar Medical College and
Hospital, Kolkata. Data were collected by interviewing the patients, examining
their prescriptions and laboratory reports and anthropometry after obtaining
informed consent. RESULTS: Blood report at the point of data collection revealed
controlled glucose homeostasis in 38.93% patients but evaluation of past 3 months
report showed only 24.3% had control over hyperglycemia. Glycemic control was
seen to be positively related to short duration of disease, compliance to
therapies, and high knowledge about diabetes. Compliance to therapies found in
32.22% of study subjects was in turn associated with short duration of disease.
House-wives showed poor compliance; insulin treatment with or without oral-anti
diabetic agent showed better compliance. Knowledge of diabetes was significantly
high among higher educated; poor among women, house-wives, and rural people.
CONCLUSION: Patient-providers collaboration is to be developed through a patient
centered care model based on the mutual responsibility of both so that each
patient is considered in the mesh of his/her other goals of life and helped to
promote empowerment to take informed decision for behavioral change conducive to
control the disease.
PMID- 21897738
TI - A rare case of irreparable vesico-vaginal fistula of 45 years duration
successfully managed by urinary diversion.
AB - In developing countries most of the fistulas occur as a catastrophic complication
of obstructed labor in young women. Constant dribbling, wetness, and stink lead
to social outcasting of patients of vesico-vaginal fistula (VVF) making their
life miserable. In most of the cases, timely surgery taking all required
precautions is successful. In small number of cases, fistula is irreparable.
Under such circumstances urinary diversion helps. Very few cases are reported in
literature, where patients have endured fistula for more than 40 years. A case
report of a patient of VVF, who suffered for 45 years without seeking any
treatment because of prevailing circumstances is presented here. The fistula was
irreparable. Urinary diversion was the only option available. She was
successfully managed by urinary diversion with an ileal conduit. Now patient is
leading a contented life.
PMID- 21897739
TI - Brenner tumor of ovary: An incidental finding.
AB - Brenner tumor is a rare ovarian tumor that is a part of the surface epithelial
group of ovarian neoplasm. It is usually asymptomatic and most of the times it is
an incidental pathological finding. Here we present a case of benign Brenner
tumor of ovary treated surgically.
PMID- 21897740
TI - Vaginal leiomyoma.
AB - Leiomyomas are common benign tumors in the uterus. However, vaginal leiomyomas
remain an uncommon entity with only about 300 reported cases. Here, we report a
case of a 38-year-old multigravida who presented with lower abdominal pain and
vaginal bleeding. A physical examination and ultrasonography were performed, and
a diagnosis of cervical fibroid was made. Pervaginal removal of the tumor was
performed and subsequent histopathology revealed a vaginal leiomyoma. Although a
rare tumor, vaginal leiomyomas may present with a variety of clinical features
and may be mistaken preoperatively for cervical fibroid. Removal of tumor by
vaginal route, wherever possible, with subsequent histopathological examination
appears to be the optimum management plan.
PMID- 21897741
TI - Story of Mrs. VR who did not have stress urinary incontinence.
PMID- 21897742
TI - Thirteenth world congress on menopause, rome, 8 - 11 june, 2011.
PMID- 21897743
TI - Quantitative in vivo and ex vivo confocal microscopy analysis of corneal cystine
crystals in the Ctns knockout mouse.
AB - PURPOSE: The purpose of this study was to assess the ability of quantitative in
vivo confocal microscopy to characterize the natural history and detect changes
in crystal volume in corneas from a novel animal model of cystinosis, the
cystinosin (Ctns(-/-)) mouse. METHODS: Two Ctns(-/-) mice and one C57Bl/6 mouse
were examined at each of the following time points: 2, 3, 5, 7, 10, 12, and 14
months of age. In vivo confocal microscopy scans were performed in 4 different
regions of the cornea per eye. After, animals were sacrificed and cornea blocks
evaluated for cell morphology using phalloidin and lymphocytic infiltration using
CD45 antibodies by ex vivo confocal microscopy. Cystine crystal content in the
cornea was measured by calculating the pixel intensity of the crystals divided by
the stromal volume using Metamorph Image Processing Software. RESULTS: Corneal
crystals were identified in Ctns(-/-) eyes beginning at 3 months of age and
increased in density until 7-12 months, at which time animals begin to succumb to
the disease and corneas become scarred and neovascularized. Older Ctns(-/-) mice
(7 months and older) showed the presence of cell infiltrates that stained
positively for CD45 associated with progressive keratocyte disruption. Finally,
at 12 months of age, decreased cell density and endothelial distortion were
detected. CONCLUSIONS: Confocal microscopy identified corneal crystals starting
at 3 month old Ctns(-/-) eyes. Cystine crystals induce inflammatory and immune
response with aging associated with loss of keratocyte and endothelial cells.
These findings suggest that the Ctns(-/-) mouse can be used as a model for
developing and evaluating potential alternative therapies for corneal cystinosis.
PMID- 21897744
TI - Topical application of L-arginine blocks advanced glycation by ascorbic acid in
the lens of hSVCT2 transgenic mice.
AB - PURPOSE: Previous experiments from our laboratory showed that the oral intake of
selected guanidino compounds could block the formation of crystallin-bound
advanced ascorbylation products. Here we tested whether these were also active
when applied as eye drops. METHODS: Two month old hSVCT2 transgenic mice (n=10)
were treated twice daily with one drop of 0.1% L-arginine, gamma-guanidinobutyric
acid (GBA), penicillamine (PA) or N-acetylcysteine (NAC) in one eye and vehicle
only in the other eye. After seven months, lens crystallins were isolated,
dialyzed, and proteolytically digested to determine the protein-bound
fluorescence at 335/385 and 370/440 nm excitation/emission and the advanced
glycation/ascorbylation endproducts carboxymethyl-lysine (CML), carboxyethyl
lysine (CEL), glucosepane, glyoxal, and methylglyoxal hydroimidazolones G-H1 and
MG-H1. The topical uptake of L-arginine and NAC was also evaluated in vitro and
in vivo in rabbit lens. RESULTS: In hSVCT2 mice, L-arginine decreased 335/385 and
370/440 nm fluorescence by 40% (p<0.001), CML, CEL, and glucosepane crystallin
crosslinks by 35% (p<0.05), 30% (p<0.05), and 37% (p<0.05), respectively, without
affecting MG-H1 and G-H1. NAC decreased 335/385 nm fluorescence by 50% (p<0.001)
but, like PA and GBA, had no effect on other modifications. L-Arginine uptake
into rabbit eyes treated topically reached identical lenticular plateau levels
(~400 nmol/g wet weight) at 0.5% and 2.0% but levels remained three times higher
at 5 h at 2% versus 0.5% concentration, respectively. In vitro studies showed a
100 fold higher L-arginine level than NAC levels, implicating high affinity
uptake of the former. CONCLUSIONS: L-Arginine when applied both orally and
topically is a potent and broad suppressor of advanced ascorbylation in the lens.
Its uptake in rabbit lens upon topical application suggests transcorneal uptake
into the human lens should be feasible for testing its potential anticataract
properties in clinical trials.
PMID- 21897745
TI - Expression and cellular localization of microRNA-29b and RAX, an activator of the
RNA-dependent protein kinase (PKR), in the retina of streptozotocin-induced
diabetic rats.
AB - PURPOSE: The apoptosis of retinal neurons plays a critical role in the
pathogenesis of diabetic retinopathy (DR), but the molecular mechanisms
underlying this phenomenon remain unclear. The purpose of this study was to
investigate the cellular localization and the expression of microRNA-29b (miR
29b) and its potential target PKR associated protein X (RAX), an activator of the
pro-apoptotic RNA-dependent protein kinase (PKR) signaling pathway, in the retina
of normal and diabetic rats. METHODS: Retinas were obtained from normal and
diabetic rats within 35 days after streptozotocin (STZ) injection. In silico
analysis indicated that RAX is a potential target of miR-29b. The cellular
localization of miR-29b and RAX was assessed by in situ hybridization and
immunofluorescence, respectively. The expression levels of miR-29b and RAX mRNA
were evaluated by quantitative reverse transcription PCR (qRT-PCR), and the
expression of RAX protein was evaluated by western blot. A luciferase reporter
assay and inhibition of endogenous RAX were performed to confirm whether RAX is a
direct target of miR-29b as predicted by the in silico analysis. RESULTS: We
found that miR-29b and RAX are localized in the retinal ganglion cells (RGCs) and
the cells of the inner nuclear layer (INL) of the retinas from normal and
diabetic rats. Thus, the expression of miR-29b and RAX, as assessed in the retina
by quantitative RT-PCR, reflects their expression in the RGCs and the cells of
the INL. We also revealed that RAX protein is upregulated (more than twofold) at
3, 6, 16, and 22 days and downregulated (70%) at 35 days, whereas miR-29b is
upregulated (more than threefold) at 28 and 35 days after STZ injection. We did
not confirm the computational prediction that RAX is a direct target of miR-29b.
CONCLUSIONS: Our results suggest that RAX expression may be indirectly regulated
by miR-29b, and the upregulation of this miRNA at the early stage of STZ-induced
diabetes may have a protective effect against the apoptosis of RGCs and cells of
the INL by the pro-apoptotic RNA-dependent protein kinase (PKR) signaling
pathway.
PMID- 21897746
TI - Enhanced S-cone function with preserved rod function: a new clinical phenotype.
AB - PURPOSE: To describe the clinical findings and genetic analysis in two brothers
having a novel retinal disease characterized by an enhanced S-cone phenotype with
normal rod function. METHODS: Both patients underwent complete ophthalmologic
examinations, including fundus photography, electroretinography (ERG),
fluorescein angiography and optical coherence tomography (OCT). Mutation analysis
of the following candidate genes was performed: nuclear receptor subfamily 2
group E member 3 (NR2E3), neural retina leucine zipper (NRL), nuclear receptor
subfamily 1 group D member 1 (NR1D1), and thyroid hormone receptor beta (THRB).
RESULTS: Spectral photopic ERG responses demonstrated enhanced S-cone function in
both patients. Their scotopic b-wave ERG amplitude responses, however, were
within normal limits. Their scotopic a-wave amplitude responses were within the
lower limit of normal. The a- and b-wave latencies were normal for one sibling
and on the upper limit of normal for the other. Peripheral retinal findings were
normal. OCT showed flattening of the macular curvature and thinning of the
photoreceptor layer. Mutation analysis of NR2E3, NRL, NR1D1, and THRB genes was
negative. CONCLUSIONS: We describe what appears to be a previously unidentified
familial retinal phenotype with enhanced S-cone function and well preserved rod
system function in contrast to the severely reduced rod function seen in the
enhanced S-cone syndrome (ESCS). Genetic analysis of candidate genes did not
reveal the cause of disease. We postulate that the disease might be caused by
mutation of another, as yet unidentified gene, which encodes a protein that
functions as a negative inhibitor of rod and S-cone development.
PMID- 21897747
TI - The association of hepatocyte growth factor (HGF) gene with primary angle closure
glaucoma in the Nepalese population.
AB - PURPOSE: Genetic variation in the hepatocyte growth factor (HGF) gene has
recently been associated with hyperopia, which is a known risk factor for primary
angle closure glaucoma (PACG). This study aimed to investigate whether genetic
variation in HGF is associated with primary angle closure glaucoma in the
Nepalese population. METHODS: One hundred six Nepalese patients with primary
angle closure glaucoma and 204 matched controls were recruited. Twelve tag single
nucleotide polymorphisms (SNPs) were selected and genotyped to cover the majority
of common variation within HGF. Genotype and haplotype analyses were conducted in
PLINK. RESULTS: Four HGF SNPs were found to be significantly associated with
PACG, rs5745718, rs12536657, rs12540393 and rs17427817 (p=0.002, 0.002, 0.0006,
and 0.0006, respectively). In addition, haplotype analysis showed one common
haplotype to be significantly associated with PACG (p=0.001) in this population.
CONCLUSIONS: Genetic variation in HGF is associated with PACG in the Nepalese
population. Additional replication studies in other populations are necessary to
confirm this association and to further explore the role of HGF in the
pathogenesis of this blinding disease.
PMID- 21897748
TI - A recurrent missense mutation in GJA3 associated with autosomal dominant cataract
linked to chromosome 13q.
AB - PURPOSE: To map and identify the genetic defect underlying autosomal dominant
cataract segregating in a 5-generation Caucasian American family. METHODS:
Genomic DNA was prepared from blood leukocytes, genotyping was performed using
microsatellite markers, and logarithm of the odds (LOD) scores were calculated
using the LINKAGE programs. Mutation profiling was performed using direct exon
cycle-sequencing and restriction fragment analysis. Protein function effects were
evaluated using in silico prediction algorithms. RESULTS: Significant evidence of
linkage was obtained at marker D13S175 (maximum LOD score [Z(max)]=3.67; maximum
recombination fraction [theta(max)]=0.04) and D13S1316 (Z(max)=2.80,
theta(max)=0.0). Haplotyping indicated that the disease lay in the ~170 Kb
physical interval between D13S1316 and D13S175, which contained the gene for gap
junction protein alpha-3 (GJA3) or connexin-46. Sequencing of GJA3 detected a
heterozygous transition (c.130G>A) in exon-2 that resulted in gain of an Hsp92 II
restriction site. Allele-specific PCR amplification and restriction analysis
confirmed that the novel Hsp92 II site co-segregated with cataract in the family
but was not detected in 192 normal unrelated individuals. The c.130G>A transition
was predicted to result in a non-conservative substitution of valine-to
methionine at codon 44 (p.V44M) with damaging effects on protein function.
CONCLUSIONS: These data confirm GJA3 as one of the most frequently mutated genes
that underlie autosomal dominant cataract in humans, and further emphasize the
importance of connexin function in maintaining lens transparency.
PMID- 21897749
TI - S100A expression in normal corneal-limbal epithelial cells and ocular surface
squamous cell carcinoma tissue.
AB - PURPOSE: To study the expression and cellular distribution of multiple S100A
genes and proteins in normal corneal-limbal epithelium and ocular surface
squamous cell carcinoma (SCC) tissue. METHODS: Normal corneal-limbal tissue was
obtained from the Lions Eye Bank, Tampa, FL. Ocular surface SCC tissues were
excised from patients undergoing surgery at Singapore National Eye Centre. S100A
mRNA expression was measured by quantitative PCR. S100 protein distribution was
determined by immunofluorescent staining analysis. RESULTS: Twelve S100 mRNAs
were identified in human corneal and limbal epithelial cells. S100A2, A6, A8, A9,
A10, and A11 mRNA was expressed at high level, while S100A1, A3, A4, A5, A6, A7,
and A12 mRNA expression was low. The intracellular localization of S100A2, A6,
A8, A9, A10 and A11 protein was determined in normal corneal-limbal and SCC
tissues. S100A2 and S100A10 proteins were enriched in basal limbal epithelial
cells of the normal tissue. S100A8 and S100A9 were found only at the surface of
peripheral corneal and limbal epithelium. S100A6 was uniformly found at the
plasma membrane of corneal and limbal epithelial cells. S100A11 was found at the
supralayer limbal epithelial cells adjacent to the conjunctiva. SCC tissue showed
typical pathological changes with expression of cytokeartin (CK) 14 and CK4 in
the epithelial cells. All SCC epithelial cells were positive of S100A2, S100A10,
S100A6 and S100A11 staining. Intracellular staining of S100A8 and S100A9 was
found in several layers of SCC epithelium. Expression of S100A2 and S100A10
decreased dramatically in cultured limbal epithelial cells with increased
passaging, which was accompanied by a small increase of S100A9 mRNA, with no
changes of S100A8 gene expression. Serum and growth hormone depletion of the
culture serum caused a small reduction of S100A2 and S100A10 gene expression,
which was accompanied by a small increase of S100A9 mRNA while no changes of
S100A8 expression was measured. CONCLUSIONS: Normal corneal and limbal epithelial
cells express a broad spectrum of S100 genes and proteins. Ocular surface SCC
express high levels of S100A2, S100A10, S100A8 and S100A9 proteins. The
expression of S100A2 and S100A10 is associated with limbal epithelial cell
proliferation and differentiation.
PMID- 21897750
TI - Is the dietary protein restriction achievable in chronic kidney disease? The
impact upon quality of life and the dialysis delay.
AB - The possible deleterious effect of meet consumption upon deterioration of renal
disease was speculated from Lionel Beale as early as 1869. The first attempt to
apply a very low protein diet in humans is attributed to Millard Smith who
prescribed a diet consisting of 300 mg protein per day in a volunteer medical
student for 24 days. Unfortunately, in early 20(th) century, prescribing very low
protein diets among patients suffering from renal disease complicated with
malnutrition and the medical practice of this era turned to the recommendation of
high protein diets because it was believed that protein consumption is coupled
with the strength of civilized man. In mid sixties Giordano and Giovanetti
introduced low protein diets in the treatment of uremic patients but their
efforts did not accepted from the medical community. Meanwhile the evolution of
haemodialysis, peritoneal dialysis and transplantation as effective methods of
treating end stage renal disease guided doctors and patients far from privative
diets in the era of plenty. The rapidly increasing number of end stage renal
disease patients needed substitution of renal function produced a tremendous
increase of financial burden upon public health system expenditure and
alternative measures of therapy, prevention and delaying chronic kidney disease
searched. Unfortunately MDRD study failed to show convincing results of food
protein restriction and blood pressure lowering in ameliorating deterioration of
renal function and the majority of physicians turned to the practice of early
dialysis in an attempt to avoid malnutrition. Despite the increasing knowledge
and the appliance of certain guidelines in treating end stage renal disease
patients, the morbidity and mortality remain high among this population. The
search toward other possible toxic substances showed that phosphorus consumption
with diet is another dangerous element exerting its deleterious effect in
deteriorating renal function as well as increasing morbidity and mortality.
Recently published epidemiological data suggest a very poor outcome of elderly
patients, older than 80 years of age, undergoing substitution of renal function
by dialysis or peritoneal dialysis and a lot of skepticism arise concerning the
beneficial effect of diet and a rigorous effort of rehabilitation of these
patients instead of substitution of renal function by either method.
PMID- 21897751
TI - Pregnancy in women with renal disease. Yes or no?
AB - Women with renal disease who conceive and continue pregnancy, are at significant
risk for adverse maternal and fetal outcomes. Although advances in antenatal and
neonatal care continue to improve these outcomes, the risks remain proportionate
to the degree of underlying renal dysfunction.The aim of this article, is to
examine the impact of varying degrees of renal insufficiency on pregnancy
outcome, in women with chronic renal disease and to provide if possible, useful
conclusions whether and when, a woman with Chronic Kidney Disease (CKD), should
decide to get pregnant.This article, reviews briefly the normal physiological
changes of renal function during pregnancy, and make an attempt to clarify the
nature and severity of the risks, in the settings of chronic renal insufficiency
and end stage renal disease, including dialysis patients and transplant
recipients.
PMID- 21897752
TI - Is the underutilization of peritoneal dialysis in relation to hemodialysis, as
renal replacement therapy, justifiable worldwide? Yes or No.
AB - Peritoneal dialysis is the most important home dialysis treatment for end stage
renal diseases and needs personal involvement, and support from the family .
Peritoneal dialysis presented a number of discouraging technical problems and led
to the belief that PD was not an appropriate renal replacement therapy, for
patients with end stage renal disease. Despite the improvement of the method its
rate remain low (11%) worldwide. The factors affecting the choice of PD are
multiple and explain the disparity in the use of peritoneal dialysis in different
countries and different parts of the same country. Dialysis costs and
reimbursement structures are significant in decisions about the rates and
modalities of renal replacement therapy. Late referral and the health care system
seems to be very important factors that influence the dialysis modality choice.
After the initiation of peritoneal dialysis we can see other factors that
influence the survival of the method. The rate of peritonitis and the peritoneum
function seems to be important issues.
PMID- 21897753
TI - The effect of the expenditure increase in the morbidity and the mortality of
patients with end stage renal disease: the USA case.
AB - The worldwide incidence of kidney failure is on the rise and treatment is costly.
Kidney failure patients require either a kidney transplant or dialysis to
maintain life. This review focuses on the economics of alternative dialysis
modalities such as haemodialysis (HD) and peritoneal dialysis (PD). Important
economic factors influencing dialysis modality selection include financing,
reimbursement and resource availability. Modality selection is also influenced by
employment status, with an association between being employed and PD as the
modality choice.In the United States, there were 101,688 incident HD patients and
6,506 incident PD patients in 2007. Due to the fact that the worldwide incidence
of kidney failure continues to rise placing USA in the second position right
after Taiwan, the accumulated experience from USA could be used as a
characteristic prototype for the analysis of the economics related with modality
choices and their influence in the quality of life and life expectancy of end
stage renal disease (ESRD) patients.In the present work we discuss the effect of
the expenditure increase in the morbidity and the mortality of patients with end
stage renal disease. Data coming from the USA case concerning the economic
factors which play a vital role in the sequence of events that leads to the
choice between different modalities such as HD and PD, will be used as a
distinctive example in our study. The relationship between the modality used and
employment status is investigated. The cost effectiveness of alternative
modalities is reviewed. Examples of statistical models and simulation approaches,
studying the increase of the life expectancy in terms of the quality adjusted
life years (QALYs) and the incremental cost paid are also presented.
Corresponding results originated from different regions of the world are also
briefly shown.
PMID- 21897754
TI - Phosphate binders: Sevelamer in the prevention and treatment of
hyperphosphataemia in chronic renal failure.
AB - In chronic kidney disease patients, bone and mineral abnormalities have a major
impact on morbidity and mortality. Hyperphosphatemia has been associated with
increased mortality and with the development of cardiovascular calcification, an
independent predictor of mortality. Sevelamer, or more precisely 'sevelamer
hydrochloride', is a weakly basic anion-exchange resin in the chloride form that
was introduced in 1997 for the treatment of the hyperphosphataemia of patients
with end-stage renal failure. Sevelamer sequesters phosphate within the
gastrointestinal tract, so prevents its absorption and enhances its faecal
excretion. Over the succeeding years, large numbers of patients have been treated
with sevelamer, and it has fulfilled expectations in helping to control the
hyperphosphataemia of end-stage renal failure. Additionally treatment with
sevelamer was accompanied with lower incidence of hypercalcemia, decreased
incidence of low PTH levels, a 15-31% decrease of LDL-cholesterol both in
dialysis and predialysis patients, decreased C-reactive protein, amelioration of
hyperuricemia and low fetuin A, decrease of uremic toxins, suggesting an overall
anti-inflammatory effect. In incident dialysis patients, treatment with sevelamer
has been associated with better survival, while in prevalent patients a clear
benefit could only be demonstrated in older patients and in patients treated for
more than 2 years. In dialysis patients, the treatment of hyperphospathemia with
calcium based compounds, when compared with sevelamer, is associated with more
frequent episodes of hypercalcemia, suppression of intact PTH and with
progression of coronary calcifications. In the presence of adynamic bone disease,
calcium load has a significantly higher impact on aortic calcifications and
stiffening. Sevelamer treatment resulted in no statistically significant changes
in bone turnover or mineralization compared with calcium carbonate, but bone
formation rate increased and trabecular architecture improved only with
sevelamer. In conclusion, the treatment of hyperphosphatemia with sevelamer
hydrochloride, a noncalcium and non-metal containing phosphate binder, is
associated with a beneficial effect on vascular calcification progression, bone
disease and most likely with a survival benefit in some hemodialysis patients
populations. Sevelamer carbonate is an improved, buffered form of sevelamer
hydrochloride developed for the treatment of hyperphosphataemia in CKD patients.
Sevelamer carbonate formulated as a powder for oral suspension presents a novel,
patient- friendly alternative to tablet phosphate binders. Safety and efficacy of
sevelamer carbonate powder compared with sevelamer hydrochloride tablets in CKD
patients are equivalent, with Sevelamer carbonate having fewer side effects from
gastrointestinal tract.
PMID- 21897755
TI - Role of angiotensin converting enzyme inhibitors and angiotensin receptor
blockers in hypertension of chronic kidney disease and renoprotection. Study
results.
AB - Chronic kidney disease (CKD) is a global health problem associated with
considerable morbidity and mortality and despite advances in the treatment of end
stage renal disease (ESRD) mechanisms to prevent and delay its progression are
still being sought. The renin-angiotensin-aldosterone system (RAAS) plays a
pivotal role in many of the pathophysiologic changes that lead to progression of
renal disease. Traditionally RAAS was considered as an endocrine system and its
principal role was to maintain blood pressure (BP). In recent years local RAAS
has been described to operate independently from systemic and local angiotensin
II (AngII) in the kidney to contribute in hypertension and kidney damage. The
benefits of strict BP control in slowing kidney disease progression have been
demonstrated in several clinical trials and the question whether specific agents
like angiotensin converting enzyme antagonists (ACEIs) and angiotensin receptor
blockers (ARBs) provide renoprotective benefits beyond BP lowering is to be
answered. Several studies support these agents reduce proteinuria and protect
renal function, whereas the opposite is stated by others. According to
guidelines, their use is recommended as first line agents in diabetic renal
disease and non diabetic renal disease with albuminuria, whereas there is no data
to support the same in non diabetic nonalbuminuric renal disease. Dual blockage
of RAAS with the combination of ACEIs and ARBs could offer an alternative in
strict RAAS blockade, but studies up to now can not prove its safety and the
combination is not recommended until ongoing trials will provide new and
unarguable results.
PMID- 21897756
TI - Secondary hyperparathyroidism and target organs in chronic kidney disease.
AB - Secondary hyperparathyroidism (SHPT) is a common disorder in patients with
chronic kidney disease (CKD) and is characterized by excessive serum parathyroid
hormone (PTH) levels, parathyroid hyperplasia and an imbalance in calcium and
phosphorus metabolism. Secondary hyperparathyroidism develops early in the course
of CKD and becomes more prominent as kidney function declines.PTH is a major
uremic toxin and may be responsible for long-term consequences that include renal
osteodystrophy, severe vascular calcifications, alterations in cardiovascular
structure and function, immune dysfunction, and anemia. These adverse effects may
contribute to an increased risk of cardiovascular morbidity and mortality among
end-stage renal failure patients.
PMID- 21897757
TI - Renal anemia: a nephrologist's view.
AB - Anemia is a common finding in patients with CKD, with a prevalence that increases
gradually as eGFR declines. The prevalence of renal anemia depends on the size of
the study and the selection of participants. Diabetic status increases the
prevalence of anemia in patients with CKD. Anemia in CKD is due primarily to
reduced production of erythropoietin in the kidney and secondarily to shortened
red cell survival. Erythropoeitin (EPO) is produced by peritubular cells in the
kidneys of the adult and in hepatocytes in the fetus. These cells are sensitive
to hypoxia that once sensed leads to an increase in EPO production. EPO
circulates in the plasma and induces redcell production in the bone marrow after
successful binding to erythroid progenitor cells. Apart from EPO, folate, B(12)
and iron are needed to assure effective erythropoiesis. Factors that can
dysregulate this process include inflammation, uremic toxins, hypothyroidism,
hypersplenism and ongoing infection.The investigation of renal anemia requires
the assessment of a variety of biological indices. Among them, the complete blood
count, the reticulocyte index, B(12), folate, ferritin levels and the saturation
of transferrin are the most valuable tools in revealing the cause of renal
anemia.
PMID- 21897759
TI - Phosphorus metabolism in chronic kidney disease.
AB - The knowledge about the exact mechanisms involved in phosphorus homeostasis and
the evolution of secondary hyperparathyroidism in chronic kidney disease (CKD)
has improved during the last years. The discovery of Fibroblast Growth Factor 23
(FGF23) has revolutionized our understanding about the links between mineral
metabolism, vitamin D and parathyroid hormone (PTH). FGF23 serum levels increase
early in CKD before the increase of serum phosphorus or the decrease of vitamin D
and there is parathyroid resistance to FGF23 in advanced CKD. Increased levels of
serum phosphorus have been related in epidemiological studies with adverse
outcomes in patients with CKD, diabetes, coronary artery disease, or even normal
adults. In patients with CKD stage 3 or 4, low phosphorus diets have been related
with adverse outcomes due to the risk of malnutrition and there are limited data
regarding the role of phosphate binders in these patients. Recent studies suggest
that increased serum FGF23 levels are associated with mortality, left ventricular
hypertrophy and progression of CKD independently of serum phosphorus levels.
There is an ongoing debate about the "normal" or "desirable" levels of serum
phosphorus in CKD and a new role of FGF23 as a marker of the disturbances of
mineral metabolism in CKD is emerging.
PMID- 21897758
TI - Are we satisfied with the follow-up of hypertensive and chronic kidney disease
patients in outpatient clinics?
AB - Hypertension and chronic kidney disease constitute major health problems as they
are associated with increased morbidity and mortality. Large-scale clinical
trials, have emphasized the need of a strict blood pressure and early recognition
of kidney disease to reduce the complications. However, the rate of hypertension
control seems to be low, the prevalence of hypertension and chronic kidney
disease steadily increases, indicating a gap in the management of those patients.
This is due either to a poor organization of the health care system or a
defective patient-physician communication. This review will try to identify
possible errors in the management of hypertensive and renal failure patients in
outpatient clinics and to propose ways to improve prevention and control of
hypertension and chronic kidney diseases in our population.
PMID- 21897760
TI - Update of acute kidney injury: intensive care nephrology.
AB - Albeit the considerable progress that has been made both in our understanding of
the pathophysiology of acute renal failure (ARF) and in its treatment (continuous
renal replacement therapies), the morbidity of this complex syndrome remains
unacceptably high. The current review focuses on recent developments concerning
the definition of ARF, new strategies for the prevention and pharmacological
treatment of specific causes of ARF, dialysis treatment in the intensive care
setting and provides an update on critical care issues relevant to the clinical
nephrologist.
PMID- 21897761
TI - Adverse event profiles of platinum agents: data mining of the public version of
the FDA adverse event reporting system, AERS, and reproducibility of clinical
observations.
AB - OBJECTIVE: Adverse event reports (AERs) submitted to the US Food and Drug
Administration (FDA) were reviewed to confirm platinum agent-associated adverse
events, and to clarify the rank-order of these drugs in terms of susceptibility.
METHODS: After a revision of arbitrary drug names and the deletion of duplicated
submissions, AERs involving cisplatin (CDDP), carboplatin (CBDCA), or oxaliplatin
(L-OHP) were analyzed. Authorized pharmacovigilance tools were used for the
quantitative detection of signals, i.e., drug-associated adverse events,
including the proportional reporting ratio, the reporting odds ratio, the
information component given by a Bayesian confidence propagation neural network,
and the empirical Bayes geometric mean. RESULTS: Based on 1,644,220 AERs from
2004 to 2009, CDDP, CBDCA, and L-OHP all proved to cause nausea, vomiting, acute
renal failure, neutropenia, thrombocytopenia, and peripheral sensory neuropathy.
Higher susceptibility to nausea was found for CDDP than CBDCA and L-OHP. Acute
renal failure was also more predominant for CDDP, and CBDCA did not increase the
blood level of creatinine. A stronger association with thrombocytopenia was
suggested for CBDCA. Susceptibility to peripheral sensory neuropathy was greatest
for L-OHP, but less extensive for CDDP and CBDCA. CONCLUSION: The results
obtained herein were consistent with clinical observations, suggesting the
usefulness of the FDA's adverse event reporting system, AERS, and the data mining
method used herein.
PMID- 21897762
TI - Translational medicine and reliability of single-nucleotide polymorphism studies:
can we believe in SNP reports or not?
AB - BACKGROUND: The number of genetic association studies is increasing
exponentially. Nonetheless, genetic association reports are prone to potential
biases which may influence the reported outcome. AIM: We hypothesized that
positive outcome for a determined polymorphism might be over-reported across
genetic association studies analysing a small number of polymorphisms, when
compared to studies analysing the same polymorphism together with a high number
of other polymorphisms. METHODS: We systematically reviewed published reports on
the association of glutathione s-transferase (GST) single-nucleotide
polymorphisms (SNPs) and cancer outcome. RESULT: We identified 79 eligible
trials. Most of the studies examined the GSTM1, theGSTP1 Ile105Val mutation, and
GSTT1polymorphisms (n = 54, 57 and 46, respectively). Studies analysing one to
three polymorphisms (n = 39) were significantly more likely to present positive
outcomes, compared to studies examining more than 3 polymorphisms (n=40) p =
0.004; this was particularly evident for studies analysing the GSTM1polymorphism
(p =0.001). We found no significant associations between journal impact factor,
number of citations, and probability of publishing positive studies or studies
with 1-3 polymorphisms examined. CONCLUSIONS: We propose a new subtype of
publication bias in genetic association studies. Positive results for genetic
association studies analysing a small number of polymorphisms (n = 1-3) should be
evaluated extremely cautiously, because a very large number of such studies are
inconclusive and statistically under-powered. Indeed, publication of misleading
reports may affect harmfully medical decision-making and use of resources, both
in clinical and pharmacological development setting.
PMID- 21897763
TI - Oral rehydration therapy for preoperative fluid and electrolyte management.
AB - AIM: Preoperative fluid and electrolyte management is usually performed by
intravenous therapy. We investigated the safety and effectiveness of oral
rehydration therapy (ORT) for preoperative fluid and electrolyte management of
surgical patients. METHODS: The study consisted of two studies, designed as a
prospective observational study. In a pilot study, 20 surgical patients consumed
1000 mL of an oral rehydration solution (ORS) until 2 h before induction of
general anesthesia. Parameters such as serum electrolyte concentrations,
fractional excretion of sodium (FENa) as an index of renal blood flow, volume of
esophageal-pharyngeal fluid and gastric fluid (EPGF), and patient satisfaction
with ORT were assessed. In a follow-up study to assess the safety of ORT, 1078
surgical patients, who consumed ORS until 2 h before induction of general
anesthesia, were assessed. RESULTS: In the pilot study, water, electrolytes, and
carbohydrate were effectively and safely supplied by ORT. The FENa value was
increased at 2 h following ORT. The volume of EPGF collected following the
induction of anesthesia was 5.3+/-5.6 mL. In the follow-up study, a small amount
of vomiting occurred in one patient, and no aspiration occurred in the patients.
CONCLUSION: These results suggest that ORT is a safe and effective therapy for
the preoperative fluid and electrolyte management of selected surgical patients.
PMID- 21897764
TI - A case report on eosinophilic meningitis caused by Angiostrongylus cantonensis.
AB - Angiostrongylus cantonensis is the most common cause of eosinophilic meningitis
in humans. It is usually caused by ingestion of raw or inadequately cooked
intermediate hosts or food contaminated with infective third-stage larvae. We
describe a case of eosinophilic meningitis caused by A. cantonensis in a male
Chinese patient. The patient had a history of eating raw fish and snail. We
describe the clinical features of the patient, the diagnostic process and
treatments. We also provide a brief update for physicians on the characteristics,
diagnosis and treatment of eosinophilic meningitis caused by A. cantonensis, with
particular emphasis on the update of prevalence and treatment of the disease in
China.
PMID- 21897765
TI - Impairment of pulmonary function is an independent risk factor for atrial
fibrillation: the Takahata study.
AB - BACKGROUND: Chronic pulmonary disorders, such as chronic obstructive pulmonary
disease (COPD) and fibrosing lung diseases, and atrial fibrillation (AF), are
prevalent in elderly people. The impact of cardiac co-morbidities in the elderly,
where pulmonary function is impaired, cannot be ignored as they influence
mortality. The relationship between the prevalence of AF and pulmonary function
is unclear. The aim of this study was to evaluate this relationship in
participants in a health check. METHODS: Subjects aged 40 or older (n = 2,917)
who participated in a community-based annual health check in Takahata, Japan,
from 2004 through to 2005, were enrolled in the study. We performed blood
pressure measurements, blood sampling, electrocardiograms, and spirometry on
these subjects. RESULTS: The mean FEV(1) % predicted and FVC % predicted in AF
subjects was significantly lower than in non-AF subjects. The prevalence of AF
was higher in those subjects with airflow limitation or lung restriction than in
those without. Furthermore, AF prevalence was higher in those subjects with
severe airflow obstruction (FEV(1) %predicted < 50) than in those who had mild or
moderate airflow obstruction (FEV(1) %predicted >= 50), although there was no
difference between the prevalence of AF in subjects with 70<= FVC %predicted <80
lung restriction and those with FVC %predicted <70. Multiple logistic regression
analysis revealed that FEV(1) %predicted and FVC %predicted are independent risk
factors for AF (independent of age, gender, left ventricular hypertrophy, and
serum levels of B-type natriuretic peptide). CONCLUSION: Impaired pulmonary
function is an independent risk factor for AF in the Japanese general population.
PMID- 21897766
TI - Methylenetetrahydrofolate reductase gene polymorphisms in children with attention
deficit hyperactivity disorder.
AB - OBJECTIVE: The purpose of this study was to evaluate the relationship between
5,10- methylenetetrahydrofolate reductase (MTHFR) polymorphisms and Attention
Deficit Hyperactivity Disorder (ADHD) in a sample of Turkish children. STUDY
DESIGN: MTHFR gene polymorphisms were assessed in 40 patients with ADHD and 30
healty controls. Two mutations in the MTHFR gene were investigated using
polymerase chain reactions and restriction fragment length polymorphisms.
RESULTS: Although there were no statistically significant differences in genotype
distributions of the C677T alleles between the ADHD and the control groups
(p=0,678) but the genotypic pattern of the distributions of the A1298C alleles
was different between the ADHD patients and the controls (p=0,033). CONCLUSIONS:
Preliminary data imply a possible relationship between A1298C MTHFR polymorphisms
and the ADHD.
PMID- 21897769
TI - Preventing childhood obesity: wake up, it's time for sleep!
PMID- 21897768
TI - Caffeine and screen time in adolescence: associations with short sleep and
obesity.
AB - OBJECTIVE: To investigate the associations between sleep duration and obesity
incidence and risk factors among pre-adolescents and adolescents. DESIGN: Cross
sectional study of a community based cohort SETTING: The Tucson Children's
Assessment of Sleep Apnea follow-up study (TuCASA) cohort. PARTICIPANTS: 319
Caucasian and Hispanics between 10-17 years. MAIN OUTCOME: Parent-reported sleep
duration and BMI z-score. OUTCOME MEASURES: Surveys of electronic screen time,
dietary and caffeine intake, exercise and sleep habits by parents, and
anthropometric measures. RESULTS: Parent-reported total sleep time (TST) was
inversely associated with BMI z-score, but not significantly correlated with any
of the examined nutritional variables or exercise components. Hispanic ethnicity
was associated with significantly lower parent-reported TST and higher BMI z
score. Parent-reported TST was inversely related to electronic screen time and
caffeine use, but these findings were differentially related to age. Caffeine
consumption was associated with decreasing parent-reported TST primarily in older
adolescents. Electronic screen time was associated with lower parent-reported TST
in younger adolescents. CONCLUSIONS: Hispanic ethnicity and parental reports of
TST were found to be the most closely associated with BMI z-score. Decreased TST
and increased caffeine intake and screen time may result in higher obesity risk
in the adolescent population.
PMID- 21897770
TI - Caregivers' knowledge, behavior, and attitudes regarding healthy sleep in young
children.
AB - STUDY OBJECTIVES: To examine sleep health knowledge and beliefs and their
relationship to sleep practices in a community sample of caregivers of young
children. METHODS: A convenience sample of caregivers visiting a museum on one of
2 consecutive weekend days completed a brief parent-report survey on child sleep
habits and parental basic sleep knowledge and beliefs and attitudes regarding
sleep as a health behavior. RESULTS: Of the 253 analyzable surveys (response rate
80%; mean age of index child 3.4 +/- 2.0 years), 23% of children did not have a
consistent bedtime, 25% had a bedtime later than 9 pm, 23% had at least one
electronic device in the bedroom, and 56% frequently fell asleep with an adult
present. Both positive and negative sleep habits tended to cluster together.
Children who had irregular and late bedtimes were more than twice as likely to
obtain insufficient sleep that those with regular and early bedtimes (OR 2.30,
2.45). While 25% of children were getting less than the recommended sleep amount
for age, just 13% of parents believed that their child was getting insufficient
sleep. Lack of knowledge regarding the potential negative impact of specific
sleep practices was associated with an increased likelihood of engaging in those
practices. CONCLUSIONS: The results of this survey study of a generally well
educated sample of caregivers suggest that there are clear parental knowledge
gaps regarding healthy sleep in young children and supports the need for
increased sleep health education.
PMID- 21897771
TI - Teen sleep and suicidality: results from the youth risk behavior surveys of 2007
and 2009.
AB - STUDY OBJECTIVES: Suicide in the adolescent population is a tragic and
preventable cause of death. Previous studies have confirmed both long and short
total sleep times (TSTs) are associated with suicidal ideation in the adult
population. We hypothesized that both long and short TSTs are risk factors for
serious suicide attempt in the adolescent population as well. METHODS: We tested
this hypothesis using the Youth Risk Behavior Surveys from 2007 and 2009, which
consist of school-based, nationally representative samples (N = 12,154 for 2007,
N = 14,782 for 2009). Logistic regression models were used to assess the
relationship between suicidality and sleep after adjusting for confounders
including age, sex, race/ethnicity, feelings of sadness, and substance abuse.
RESULTS: Of the total sample, roughly 15% reported suicidal ideation, 10% planned
suicide, 5% attempted and 2% reported an attempt requiring treatment. Teens who
reported sleeping <= 5 or >= 10 h had a significantly higher risk for suicidality
compared to those with a TST of 8 h. The largest odds ratios were found among the
most severe forms of suicidality (attempt requiring treatment) with an odds ratio
of 5.9 for a TST <= 4 h and 4.7 for a TST >= 10 h. CONCLUSION: Both short and
long TSTs are risk factors for suicidality among teens and extremes in TST may
indicate more serious suicidality. Self-reported sleep duration may be a useful
screening question for suicide risk. Future studies should examine whether sleep
duration is a causal and/or modifiable risk factor for suicidality in teens.
PMID- 21897773
TI - A possible method to predict response to non-pharmacological insomnia therapy.
AB - STUDY OBJECTIVES: To determine if electrocardiographic parameters are predictive
of response to non-pharmacological insomnia therapy. DESIGN: Secondary analysis
of heart rate parameters from a double blind, randomized, sham-controlled trial
at multiple study sites. SETTING: Six sites in the United States were used for
the data collection. PARTICIPANTS: One hundred ninety-eight healthy subjects with
no sleep disorders. INTERVENTIONS: Subjects were studied on 2 consecutive nights,
a baseline night and a therapy night. On the therapy night, subjects were phase
advanced 4 h and randomized to receive either sham or vestibular stimulation, an
experimental therapy for insomnia. MEASUREMENTS AND RESULTS: ECG data were
recorded and analyzed for the 5-min periods preceding and following sleep onset.
Analyses were conducted on those who did and did not respond to therapy, as
defined by latency from bedtime to persistent sleep (LPS). Responders to therapy
were found to have higher low-frequency (LF) power at baseline during wakefulness
than non-responders, and responders had higher high-frequency (HF) power during
therapy than non-responders on therapy. Furthermore, responders > 35 y had
elevated LF power at baseline than non-responders > 35 y (p < 0.05). No
differences were seen in the sham group in identical analyses, ruling out a
nonspecific effect of sleep onset. CONCLUSIONS: Heart rate variability analyses
indicate that differences exist between those who respond to insomnia therapy and
those that do not, particularly in an older subset of subjects. Further research
into the use of ECG and other physiological parameters to stratify response to
therapeutic interventions is warranted.
PMID- 21897772
TI - Factors that may influence the classification of sleep-wake by wrist actigraphy:
the MrOS Sleep Study.
AB - STUDY OBJECTIVES: Total sleep time (TST), sleep efficiency (SE), sleep latency
(SOL) and wake after sleep onset (WASO) assessed by actigraphy gathered in 3
different modes were compared to polysomnography (PSG) measurements to determine
which mode corresponded highest to PSG. Associations of measurement error for TST
(PSG-actigraphy) with demographics, medical history, exam data, and sleep
characteristics were examined. METHODS: Participants underwent in-home 12-channel
PSG. Actigraphy data were collected in 3 modes: proportional integration mode
(PIM), time above threshold (TAT) and zero crossings mode (ZCM). The analysis
cohort was a subgroup of 889 men (mean age 76.4 years) from the MrOS Sleep Study
with concurrently measured PSG and actigraphy. Intraclass correlation
coefficients (ICCs) were used to compare the association between PSG and
actigraphy. RESULTS: The PIM mode of actigraphy corresponded moderately to PSG
for all measures (ICCs 0.32 to 0.57), TAT a little lower (ICCs 0.17 to 0.47), and
ZCM lower still (ICCs 0.16 to 0.33). The PIM mode corresponded best to PSG (ICCs
TST 0.57; SE 0.46; SOL 0.23; WASO 0.54), though the estimations from PSG and PIM
mode differed significantly (p < 0.01). The PIM mode overestimated TST by 13.2
min on average, but underestimated TST for those in certain subgroups: those with
excessive daytime sleepiness, less sleep fragmentation, or more sleep disordered
breathing (p < 0.05). CONCLUSIONS: Sleep parameters from the PIM and TAT modes of
actigraphy corresponded reasonably well to PSG in this population, with the PIM
mode correlating highest. Systematic measurement error was observed within
subgroups with different sleep characteristics.
PMID- 21897774
TI - Accurate position monitoring and improved supine-dependent obstructive sleep
apnea with a new position recording and supine avoidance device.
AB - STUDY OBJECTIVES: Approximately 30% of obstructive sleep apnea (OSA) patients
have supine-predominant OSA, and simply avoiding supine sleep should normalise
respiratory disturbance event rates. However, traditional supine-avoidance
therapies are inherently uncomfortable, and treatment adherence is poor and
difficult to monitor objectively. This study evaluated the efficacy of a novel,
potentially more acceptable position monitor and supine-avoidance device for
managing supine-predominant OSA and snoring. DESIGN AND SETTING: In-laboratory
evaluation of position recording accuracy versus video recordings (validation
study), and randomized controlled crossover trial of active versus inactive
supine-avoidance therapy in the home setting (efficacy study). PATIENTS: 17
patients undergoing in-laboratory sleep studies (validation) and 15 patients with
supine-predominant OSA (efficacy). INTERVENTIONS: EFFICACY STUDY: 1 week of
inactive and 1 week of active treatment in randomized order, separated by 1 week.
MEASUREMENTS AND RESULTS: Agreement between 30-sec epoch-based posture
classifications from device versus video records was high (median kappa 0.95,
interquartile range: 0.88-1.00), and there was good supine time agreement (bias
0.3%, 95%CI: -4.0% to 4.6%). In the efficacy study, apnea-hypopnea index (AHI)
and snoring frequency were measured in-home using a nasal pressure and microphone
based system during inactive and active treatment weeks. The position monitoring
and supine alarm device markedly inhibited supine time (mean +/- SEM 19.3% +/-
4.3% to 0.4% +/- 0.3%, p < 0.001) and reduced AHI (25.0 +/- 1.7 to 13.7 +/- 1.1
events/h, p = 0.030) but not snoring frequency. CONCLUSIONS: This new position
monitoring and supine alarm device records sleep position accurately and improves
OSA but not snoring in patients with supine-predominant OSA.
PMID- 21897775
TI - Evaluation of a single-channel portable monitor for the diagnosis of obstructive
sleep apnea.
AB - STUDY OBJECTIVE: To validate the ApneaLINK (AL) as an accurate tool for
determining the presence of obstructive sleep apnea (OSA) in an at-risk sleep
clinic population in a home test environment. METHODS: Consecutive participants
referred with the suspicion of OSA were evaluated in the home with the AL
portable monitor (AL Home), followed by simultaneous data collection with
diagnostic polysomnography (PSG) and AL in the sleep laboratory (AL Lab).
Prevalence, sensitivity, specificity, and ROC curves were calculated for PSG vs.
AL Lab, PSG vs. AL Home, and AL Lab vs. AL Home test. Pearson correlations and
Bland-Altman plots were constructed. RESULTS: Fifty-three (55% female)
participants completed the entire study. The mean age of the population was 45.1
+/- 11.3 years, and body mass index was 35.9 +/- 9.1 kg/m(2). The prevalence of
an apnea hypopnea index (AHI) >= 15 in the cohort was 35.9%. The results
demonstrated a high sensitivity and specificity of the AL respiratory disturbance
index (RDI-AL) compared with the AHI from the PSG. The AL Lab had the highest
sensitivity and specificity at RDI-AL values >= 20 events/h (sensitivity 100%,
specificity 92.5%). The AL Home was most sensitive and specific at an RDI-AL >=
20 events/h (sensitivity 76.9%, specificity 92.5%). The Pearson correlations for
PSG vs. AL Lab and PSG vs. AL Home were rho = 0.88 and rho = 0.82, respectively.
The Bland-Altman Plots demonstrated good agreement between the methodologies.
CONCLUSION: The AL home test is an accurate alternative to PSG in sleep clinic
populations at risk for moderate and severe OSA. TRIAL REGISTRATION:
clinicaltrials.gov ID: NCT00354614.
PMID- 21897777
TI - Effect of continuous positive airway pressure on an atrial arrhythmia in a
patient with mild obstructive sleep apnea.
AB - Cardiac arrhythmias have been reported in up to half of individuals with
obstructive sleep apnea (OSA) and have been proposed to be one of the factors
contributing to their increased mortality. Several studies have demonstrated
evidence of an association between OSA and a number of cardiac arrhythmias. The
mechanisms of arrhythmogenesis in OSA may be due to enhanced vagal output
triggered by a combination of apnea and hypoxemia. This case demonstrates a dose
dependent reduction in atrial ectopy with increasing continuous positive airway
pressure (CPAP) in the setting of mild sleep apnea.
PMID- 21897776
TI - Membrane level of omega-3 docosahexaenoic acid is associated with severity of
obstructive sleep apnea.
AB - BACKGROUND: Patients with obstructive sleep apnea (OSA) are at increased risk of
cardiovascular disease (CVD). The omega-3 fatty acid docosahexaenoic acid (DHA)
is a major component of neural tissues, and supplementation with fish oils
improves autonomic tone and reduces risk for CVD. A link between low DHA status
and less mature sleep patterns was observed in newborns. METHODS: We investigated
the relations between red blood cell (RBC) levels of DHA and OSA severity in 350
sequential patients undergoing sleep studies. Severity categories were defined as
none/mild, moderate, and severe, based on apnea hypopnea index (AHI) scores of 0
to 14, 15 to 34, and > 34, respectively. RESULTS: After controlling for age, sex,
race, smoking, BMI, alcohol intake, fish intake, and omega-3 supplementation, RBC
DHA was inversely related with OSA severity. For each 1-SD increase in DHA
levels, a patient was about 50% less likely to be classified with severe OSA. The
odds ratios (95% CI) were 0.47 (0.28 to 0.80) and 0.55 (0.31 to 0.99) for being
in the severe group versus the none/mild or moderate groups, respectively.
CONCLUSION: These findings suggest that disordered membrane fatty acid patterns
may play a causal role in OSA and that the assessment of RBC DHA levels might
help in the diagnosis of OSA. The effects of DHA supplementation on OSA should be
explored.
PMID- 21897778
TI - Resolution of papilledema associated with OSA treatment.
AB - Obstructive sleep apnea (OSA) is a common disorder and is associated with brain
functional and morphological alterations. The association between OSA and
papilledema, however, is not well known. We describe a 53-year-old morbidly obese
female who presented with impaired vision due to bilateral papilledema.
Polysomnography revealed severe OSA. She was treated with continuous positive
airway pressure, and within a few weeks her papilledema and vision improved. This
case report is consistent with association of OSA with papilledema but does not
prove causality.
PMID- 21897780
TI - Dangerous driver.
PMID- 21897779
TI - Obstructive sleep apnea and respiratory complications associated with vagus nerve
stimulators.
AB - Intermittent vagus nerve stimulation can reduce the frequency of seizures in
patients with refractory epilepsy. Stimulation of vagus nerve afferent fibers can
also cause vocal cord dysfunction, laryngeal spasm, cough, dyspnea, nausea, and
vomiting. Vagus nerve stimulation causes an increase in respiratory rate,
decrease in respiratory amplitude, decrease in tidal volume, and decrease in
oxygen saturation during periods of device activation. It usually does not cause
an arousal, or a change in heart rate or blood pressure. Most patients have an
increase in their apnea-hypopnea index (AHI). Patients with VNS can have central
apneas, obstructive hypopneas, and obstructive apneas. These respiratory events
can be reduced with changes in the vagus nerve stimulator operational parameters
or with the use of CPAP. In summary, there are complex relationships between
epilepsy and obstructive sleep apneas. In particular, patients with refractory
epilepsy need assessment for undiagnosed and untreated obstructive sleep apnea
before implantation of vagus nerve stimulator devices. Patients with vagus nerve
stimulators often have an increase in apneic events after implantation, and these
patients need screening for sleep apnea both before and after implantation.
PMID- 21897781
TI - Acupuncture may be helpful only for patients with comorbid insomnia secondary to
chronic pain syndromes.
PMID- 21897783
TI - Healthcare "practice"-is it misguided?
PMID- 21897784
TI - Sodium oxybate: updates and correction to previously published safety data.
PMID- 21897785
TI - Sodium oxybate post-marketing surveillance.
PMID- 21897788
TI - Pseudocyst in the pancreatic tail associated with chronic pancreatitis
successfully treated by transpapillary cyst drainage.
AB - We report a 50-year-old male with pseudocysts in the pancreatic tail associated
with chronic pancreatitis successfully treated by transpapillary cyst drainage.
He had previously undergone ultrasonography-guided percutaneous cyst drainage for
a pancreatic pseudocyst in our hospital. He was readmitted due to abdominal pain
and fever. Computed tomography showed recurrence of a pseudocyst in the
pancreatic tail measuring 5 cm in diameter. Since conservative treatment failed,
endoscopic retrograde pancreatography was performed. There was communication
between the pseudocyst and the main pancreatic duct, and pancreatic duct stenosis
proximal to the pseudocyst. First, transpapillary pancreatic duct drainage was
performed using a plastic stent, but the pseudocyst did not decrease in size and
became infected. After removal of the stent, a pigtail type nasocystic catheter
was placed in the pseudocyst via the pancreatic duct. The pseudocyst infection
immediately disappeared, and the pseudocyst gradually decreased and disappeared.
After removal of the nasocystic catheter, no recurrence was observed. As
transpapillary drainage of pancreatic pseudocyst, cyst drainage and pancreatic
duct drainage have been reported. In our patient with pseudocyst in the
pancreatic tail, duct drainage was ineffective and the pseudocyst was infected,
whereas cyst drainage was very effective. We considered that cyst drainage by a
nasocystic catheter was the first-line therapy as the transpapillary drainage of
the pancreatic pseudocyst.
PMID- 21897789
TI - Celiac disease and myointimal proliferation: a possible correlation?
AB - Celiac disease (CD) is an autoimmune disorder of the small bowel that occurs in
genetically predisposed people of all ages, from middle infancy, and is caused by
a reaction to gliadin, a gluten protein. Some patients are diagnosed with
symptoms related to the decreased absorption of nutrients or with various
symptoms which, although statistically linked, have no clear relationship with
the malfunctioning bowel. Classic symptoms of CD include diarrhea, weight loss,
and fatigue; bowel symptoms may be limited or even absent. In this article we
describe the case of a young woman with CD who presents with myointimal
proliferation. However multiple cases of vessel thrombosis have been reported in
patients with CD. Despite the fact that no definitive relationship between these
diseases could be explained, we think this association must be remembered
especially in cases of young and tenuous women with these vascular abnormalities.
PMID- 21897790
TI - Groove Pancreatitis with Several Cystic Lesions around the Pancreatic Head
Treated Conservatively: Report of a Case.
AB - A 61-year-old man was admitted to our hospital with epigastric pain and vomiting.
Enhanced abdominal computed tomography revealed inflammatory change of the
pancreatic groove and focal wall thickening of the second portion of the duodenum
with several cystic lesions around the head of the pancreas. We diagnosed
atypical type of groove pancreatitis. The patient made a satisfactory recovery by
conservative medication treatment for acute on chronic pancreatitis and cystic
lesions disappeared in parallel with pancreatitis. Groove pancreatitis is a rare
form of chronic pancreatitis, and to the best of our knowledge, our patient is
the first case in the English literature of groove pancreatitis with cystic
lesions around the head of the pancreas, which disappeared after conservative
treatment for pancreatitis.
PMID- 21897791
TI - Leukocytoclastic vasculitis as an onset symptom of Crohn's disease.
AB - We report the case of an octagenerian who presented with leukocytoclastic
vasculitis as the first symptom of Crohn's disease. The patient was admitted with
skin rash on the lower extremities and ankles and episodes of bloody diarrhea.
Skin and colon biopsies revealed acute leukocytoclastic vasculitis and moderate
Crohn's disease, respectively. The patient was treated with intravenous
corticosteroids in conjunction with antibiotics and per os mesalazine. Symptoms
resolved rapidly within 5 days, and the patient was still asymptomatic on follow
up 3 months later.
PMID- 21897792
TI - Primary aorto-duodenal fistula as a late complication of radiotherapy: report of
a case and review of the literature.
AB - Primary aorto-duodenal fistula (PADF) is a rare condition that may result in
rapid exsanguination if untreated. PADF due to radiotherapy appears to be
extremely rare with only a few cases reported in the medical literature. We
report the case of a 61-year-old man who presented with massive gastrointestinal
bleeding 25 years after surgery and radiotherapy for seminoma of the testicle and
was successfully treated at our institution. We also review the literature on
this very uncommon condition. A Medline search was conducted for the period from
1966 to June 2006 to identify case reports of PADF following radiotherapy. Only 7
cases of PADF due to radiotherapy were identified in addition to our own, 4 males
and 3 females, aged 40 to 73 years, all treated for various forms of abdominal
malignancies. The latency period ranged from 2 weeks to 25 years. None of the
aortas were aneurysmatic. One patient died before he could be taken to the
operating room. 5 patients underwent surgical repair and 4 survived. 2 patients
underwent endovascular treatment but did not survive. PADF may develop up to 25
years after radiotherapy. Diagnosis should be considered when massive upper
gastrointestinal bleeding develops in a patient who had previous abdominal
radiotherapy, no matter how long before the episode of bleeding. Prompt surgical
repair offers a reasonable chance of cure. Endovascular procedures do not appear
to be efficacious.
PMID- 21897793
TI - Spontaneous Resolution of Brain Edema in Fulminant Hepatic Failure due to
Hepatitis E.
AB - Fulminant hepatic failure is characterized by the presence of hepatic
encephalopathy in the setting of acute liver injury that occurs in a noncirrhotic
organ. Brain edema is the ultimate complication of advanced hepatic
encephalopathy as it often leads to cerebral herniation and death. Thus, the
presence of fulminant hepatic failure indicates the need for urgent liver
transplantation to prevent death or irreversible brain damage. We report a very
unusual evolution of fulminant hepatic failure complicated by brain edema and
hepatic coma in a 45-year-old woman admitted with acute viral hepatitis E
infection.
PMID- 21897794
TI - Thirteen-year disease-free survival after surgery for cystic duct carcinoma: a
case report.
AB - Cystic duct carcinoma is known to have a better prognosis compared to its
location in other biliary ducts. Only one case with a survival over ten years has
been previously published. The authors report a case of survival over 13 years
without recurrence or metastasis. Preoperative diagnosis of cystic duct tumor was
carried in a 66-year-old male. Under the diagnosis of carcinoma of the cystic
duct, the patient underwent en bloc resection of the gallbladder, cystic duct,
hepaticocholedochus and lymph node dissection. A Roux-en-Y hepaticojejunostomy
was performed. Histological examination revealed a moderately differentiated
adenocarcinoma of the cystic duct. Five months later the patient underwent second
look surgery for benign obstruction of the hepaticojejunal anastomosis. The
patient is still doing well 13 years later without any local recurrence or
metastasis. To our knowledge, this is the longest disease-free survival ever
published in the literature. This case sustains that better and longer survival
is possible with a real chance of potential cure if radical surgery is performed.
PMID- 21897795
TI - Pancreatobiliary reflux resulting in pancreatic ascites and choleperitoneum after
gallbladder perforation.
AB - A 65-year-old man with chronic hepatitis C and no history of alcohol abuse was
admitted to our liver unit for the recent development of massive ascites and
presumed hepatorenal syndrome. In the preceding two weeks, he had received
medical treatment for acute pancreatitis and cholecystitis. Abdominal
paracentesis demonstrated a cloudy, orange peritoneal fluid, with total protein
concentration 3.6 g/dl, serum-ascites albumin gradient 1.0 g/dl, and ratios of
ascites-serum bilirubin and amylase approximately 8:1. Diagnostic imaging
demonstrated no pancreatic pseudocysts. Ten days later, at laparotomy, acalculous
perforation of the gallbladder was identified. After cholecystectomy, amylase
concentration in the ascitic fluid dropped within a few days to 40% of serum
values; ascites disappeared within a few weeks. We conclude that in the presence
of a perforated gallbladder, pancreatobiliary reflux was responsible for this
unusual combination of choleperitoneum and pancreatic ascites, which we propose
to call pancreatobiliary ascites.
PMID- 21897796
TI - Perforated hepatic hydatid cyst into the peritoneum with mild symptoms.
AB - Rupture into the abdominal cavity is a rare but serious complication of hydatid
disease that necessitates emergency surgical intervention. We present herein a
case with mild abdominal symptoms due to hydatid cyst rupture into the peritoneum
after trauma. A 24-year-old man was admitted to the emergency room with mild
abdominal pain. His symptoms had started after a fall four days earlier.
Ultrasonography and computed tomography showed cystic lesions in the liver and
peritoneum with intraabdominal free fluid. He was treated surgically with partial
cystectomy and falciformoplasty. Postoperative albendazole therapy was given for
two months. There was not recurrence four months postoperatively at control
computed tomography.
PMID- 21897797
TI - Tuberculous duodenal stenosis: report of two cases.
AB - Duodenal tuberculosis is a rare clinical entity. The authors report and emphasize
the lack of special clinical, radiological and endoscopic signs of duodenal
tuberculosis. The diagnosis is affirmed, at laparotomy, out of the findings of
peritoneal granulations or histology of lymphatic nodes. We report our experience
of two cases of duodenal tuberculosis presenting with proximal intestinal
obstruction and review the available literature.
PMID- 21897798
TI - Herpes simplex Virus Esophagitis in an Immunocompetent Patient with Ebstein-Barr
Virus Infection.
AB - Epstein-Barr virus infectious mononucleosis can cause transient immune deficiency
which may predispose to reactivation of latent herpes simplex virus (HSV)
infection in the immunocompetent host. We report the case of a 15-year-old male
who presented with severe odynophagia and herpes labialis during the course of
Epstein-Barr virus infectious mononucleosis that had been diagnosed ten days
before. Esophagoscopy revealed extensive ulcerations with distinct borders and
whitish exudates at the mid and distal esophagus. Polymerase chain reaction
detected HSV-1 DNA in the biopsy specimens. The patient was treated with
intravenous acyclovir. The symptoms resolved rapidly within 3 days, in accordance
with improved endoscopic findings.
PMID- 21897799
TI - Collision tumor of the stomach: a case of an adenocarcinoma and a
gastrointestinal stromal tumor.
AB - A collision tumor of the stomach is a rare event. We report the case of a
collision tumor of the stomach consisting of an adenocarcinoma and a
gastrointestinal stromal tumor (GIST). This is, to our knowledge, the second
report in the literature of such a case. A 71-year-old man with abdominal
discomfort underwent an esophagogastroduodenoscopy which revealed a tumor of the
oesophagogastric junction. A total gastrectomy was performed. Histologic
examination showed a mixed tumor consisting of a primary adenocarcinoma and
multiple nodules of GIST. The adenocarcinoma showed both diffuse and intestinal
growth, angio-invasion and metastasis to lymph nodes. The GIST tumor cells were
strongly immunoreactive to CD117 and CD34. Based on mitotic index, size and
cytonuclear details, the biological behavior of this GIST tumor was supposed to
be benign. This case reports the rare finding of a collision tumor consisting of
an adenocarcinoma and a GIST with an unknown etiology.
PMID- 21897800
TI - Idiopathic myenteric ganglionitis underlying acute 'dramatic' intestinal
pseudoobstruction: report of an exceptional case.
AB - Inflammation of the myenteric plexus of the gastrointestinal tract is a very rare
pathological condition, with few reports in the medical literature. This
pathological condition causes atonic gut motor dysfunction and is principally
secondary to other diseases, being reported nearly solely as a paraneoplastic
phenomenon in neuroendocrine lung tumors, including small cell carcinomas or
neuroblastomas. In addition it can also be associated with disorders of the
central nervous system, although it has rarely been described in Chagas disease.
It has been named 'idiopathic myenteric ganglionitis' because no apparent causes
can be demonstrated. We report the clinicopathologic findings of an exceptional
case of a young woman affected by severe chronic constipation suddenly changing
into acute intestinal pseudoobstruction with dramatic evolution. Relationships
between ganglionitis, idiopathic constipation and acute intestinal
pseudoobstruction as well as therapeutic implications are discussed.
PMID- 21897801
TI - Dieulafoy lesion in the stomach.
AB - Dieulafoy disease is an uncommon cause of gastrointestinal system bleeding.
Although the exact cause is not known, it is characterized by bleeding from
abnormal submucosal vessels. There are many methods for diagnosis and treatment.
In this case, a patient with a long-time undiagnosed stomach Dieulafoy lesion had
a surgical resection. During the postoperative period the patient was discharged
without any complication.
PMID- 21897802
TI - Splenomegaly as a primary manifestation of Gaucher disease in a young adult
woman.
AB - Gaucher disease is the most common lysosomal storage disease. It is caused by the
defective activity of acid beta-glucosidase, which results in the accumulation of
lipid glucocerebroside in macrophages throughout the body. In this case report we
describe the case of a young adult woman with splenomegaly as the primary
manifestation of this pathology. This is a case of type 1 Gaucher disease because
there is a lack of primary neurological involvement but we have, instead, an age
independent involvement of the visceral organs. It is very important to classify
or characterize these patients in a precise manner and to make a complete
diagnosis with the help of the many diagnostic resources now at our disposal,
especially with genetics, radiology and new techniques of advanced microscopy,
also because Gaucher disease requires a long and complex management from early
life to adulthood.
PMID- 21897803
TI - Ciliated hepatic foregut cyst with obstructive jaundice.
AB - Ciliated hepatic foregut cysts (CHFCs) are rare congenital cystic lesion that are
most often solitary, unilocular, and located in the subcapsular region of the
medial segment of the left hepatic lobe. The mucoid fluid contents affect imaging
studies and often make definitive diagnosis difficult. CHFCs are usually
asymptomatic and found incidentally. We report a 69-year-old female patient with
a CHFC causing obstructive jaundice, which was difficult to differentiate from a
biliary cystic neoplasm. A well-defined cystic lesion measuring 25 mm in diameter
was located in the porta hepatis region. The lesion was densely adherent to the
left and right hepatic ducts, riding on the bifurcation, and the common hepatic
duct was extrinsically compressed. An extended left hepatectomy was performed. A
diagnosis of CHFC was made on the basis of typical histological findings. CHFC
should be included in the differential diagnosis for cystic lesions of the liver.
PMID- 21897804
TI - Solid pseudopapillary tumor of the pancreas: an enigmatic tumor.
AB - Solid pseudopapillary tumor of the pancreas is a rare pancreatic tumor that
predominantly occurs in young non-Caucasian women. Although most tumors show
benign behavior, malignant degeneration may occur. A case of solid
pseudopapillary pancreatic tumor in a Caucasian woman is presented that was
investigated by endoscopic ultrasonography (EUS), computed tomography, magnetic
resonance imaging and EUS-guided fine needle aspiration. The patient underwent
surgery and radiological findings are correlated with histopathology. The
preoperative diagnosis of solid pseudopapillary tumor of the pancreas is
challenging, frequently leading to imaging by multiple different modalities.
PMID- 21897805
TI - Hemangiopericytoma in the small intestine: a case report.
AB - Hemangiopericytoma is a rare tumor, mostly composed of pericytes of ramified
appearance that are normally found all along the venules and capillaries. An 18
year-old female was admitted on March 17, 1997 to the Department of Infectious
Diseases for fever, chills and failure to thrive. Physical examination revealed a
palpable tumorous mass in the iliac region on the right. The patient was
transferred to the Department of Surgery. Vaginal examination and echotomography
of the abdomen and pelvis minor were performed and revealed the presence of an
irregular, inhomogeneous tumorous formation of 8 cm in diameter in the pelvis
minor, differentially diagnostic right ovary, i.e. acute adnexitis or
perityphlitic tumor. After that, small intestine resection, tumor excision in
toto, and appendectomy were performed on April 16, 1997. Pathohistological
diagnosis was hemangiopericytoma and chronic appendicitis. The biological
behavior of this tumor is difficult to predict on the basis of clinical and
morphological parameters. In our patient, local tumor excision was not followed
by adjuvant therapy. After ten years, the patient is well, healthy and a mother
of two healthy children.
PMID- 21897806
TI - Esophagectomy in scleroderma: report of a case.
AB - Scleroderma is a generalized autoimmune disease with variable involvement of the
skin and major organs (esophagus, lung, heart and kidney). Scleroderma is
essentially a skin disease that frequently involves the digestive system. In
scleroderma, the esophagus is the most frequently affected organ of the digestive
system, and esophageal dysmotility, reflux and stricture may be observed in the
advanced stage. Balloon dilatation and bougienage are generally sufficient in
patients developing stricture, and the number of cases in whom resection is
performed is very low. In a 20-year-old patient with difficulty in taking even
liquid foods, tests revealed sclerodermal involvement of the distal end of the
esophagus and stricture. Esophageal resection and gastric replacement were
performed. Such systemic diseases as scleroderma, although rare, must be
considered in the differential diagnosis of nonmalignant dysphagia, and resection
must be borne in mind as a surgical option in cases of advanced stricture.
PMID- 21897807
TI - Chemotherapeutic treatment of priapism in metastatic rectal cancer.
AB - A 65-year-old man was admitted with penile tenderness and dysuria due to
priapism. Enhanced computed tomography revealed metastatic tumors in the liver,
lung, sacrum and lymph nodes. Advanced rectal cancer, detected by colonoscopy as
a primary tumor, was treated with chemotherapy (FOLFOX4). Although the rectal
cancer showed no change, five months of chemotherapy improveid the priapism,
suggesting that chemotherapy can improve rare symptoms of rectal cancer.
PMID- 21897808
TI - Resovist-enhanced MRI for preoperative assessment of colorectal hepatic
metastases: a case of multiple bile duct hamartomas associated with colon cancer.
AB - Extensive preoperative assessment of hepatic metastases is required in colon
cancer patients. We report a case in whom the preoperative investigation by
ultrasound scan and contrast-enhanced computed tomography revealed numerous
cystic lesions of the liver, suspicious of von Meyenburg complex. Magnetic
resonance and magnetic resonance cholangiographic images demonstrated typical
features of von Meyenburg complex. Further Resovist-enhanced magnetic resonance
imaging detected two hemangiomas in addition to the multiple cystic lesions. So
called Kupffer cell imaging strongly helped the detection of these hemangiomas,
and a combination of various magnetic resonance pulse sequences was of great
value for the differential diagnosis of cystic lesions and hemangiomas. In cases
in whom conventional imaging studies fail to give a definite diagnosis, such as
in the present case, superparamagnetic iron oxide-enhanced magnetic resonance
imaging is meaningful for adequate preoperative staging.
PMID- 21897809
TI - Delta Protocadherin 10 is Regulated by Activity in the Mouse Main Olfactory
System.
AB - Olfactory sensory neurons (OSNs) are thought to use activity-dependent and
independent mechanisms to regulate the expression of axon guidance genes.
However, defining the molecular mechanisms that underlie activity-dependent OSN
guidance has remained elusive. Only a handful of genes have been identified whose
expression is regulated by activity. Interestingly, all of these genes have been
shown to play a role in OSN axon guidance, underscoring the importance of
identifying other genes regulated by activity. Furthermore, studies suggest that
more than one downstream mechanism regulates target gene expression. Thus, both
the number of genes regulated by activity and how many total mechanisms control
this expression are not well understood. Here we identify delta protocadherin 10
(pcdh10) as a gene regulated by activity. Delta protocadherins are members of the
cadherin superfamily, and pcdh10 is known to be important for axon guidance
during development. We show pcdh10 is expressed in the nasal epithelium and
olfactory bulb in patterns consistent with providing guidance information to
OSNs. We use naris occlusion, genetic manipulations, and pharmacological assays
to show pcdh10 can be regulated by activity, consistent with activation via the
cyclic nucleotide-gated channel. Transgenic analysis confirms a potential role
for pcdh10 in OSN axon guidance.
PMID- 21897810
TI - Neuroglial plasticity at striatal glutamatergic synapses in Parkinson's disease.
AB - Striatal dopamine denervation is the pathological hallmark of Parkinson's disease
(PD). Another major pathological change described in animal models and PD
patients is a significant reduction in the density of dendritic spines on medium
spiny striatal projection neurons. Simultaneously, the ultrastructural features
of the neuronal synaptic elements at the remaining corticostriatal and
thalamostriatal glutamatergic axo-spinous synapses undergo complex
ultrastructural remodeling consistent with increased synaptic activity (Villalba
and Smith, 2011). The concept of tripartite synapses (TS) was introduced a decade
ago, according to which astrocytes process and exchange information with neuronal
synaptic elements at glutamatergic synapses (Araque et al., 1999a). Although
there has been compelling evidence that astrocytes are integral functional
elements of tripartite glutamatergic synaptic complexes in the cerebral cortex
and hippocampus, their exact functional role, degree of plasticity and
preponderance in other CNS regions remain poorly understood. In this review, we
discuss our recent findings showing that neuronal elements at cortical and
thalamic glutamatergic synapses undergo significant plastic changes in the
striatum of MPTP-treated parkinsonian monkeys. We also present new
ultrastructural data that demonstrate a significant expansion of the astrocytic
coverage of striatal TS synapses in the parkinsonian state, providing further
evidence for ultrastructural compensatory changes that affect both neuronal and
glial elements at TS. Together with our limited understanding of the mechanisms
by which astrocytes respond to changes in neuronal activity and extracellular
transmitter homeostasis, the role of both neuronal and glial components of
excitatory synapses must be considered, if one hopes to take advantage of glia
neuronal communication knowledge to better understand the pathophysiology of
striatal processing in parkinsonism, and develop new PD therapeutics.
PMID- 21897811
TI - Top-down spatial categorization signal from prefrontal to posterior parietal
cortex in the primate.
AB - In the present study we characterized the strength and time course of category
selective responses in prefrontal cortex and area 7a of the posterior parietal
cortex during a match-to-sample spatial categorization task. A monkey was trained
to categorize whether the height of a horizontal sample bar, presented in
rectangular frame at one of three vertical locations, was "high" or "low,"
depending on whether its position was above or below the frame's midline. After
the display of this sample bar, and after a delay, choice bars were sequentially
flashed in two locations: at the top and at the bottom of the frame ("choice"
epoch). If the monkey timed its response to the display of the choice bar that
matched the sample bar, he was rewarded. We found that cells in prefrontal cortex
discriminated category early after the initial sample bar was shown, and
continued to differentiate "up" from "down" trials throughout the delay and
choice periods. In contrast, parietal cells did not differentiate category until
the choice period. Therefore, our results support the notion of a top-down
categorical signal that originates in prefrontal cortex and that is only
represented in parietal cortex when it is necessary to express the categorical
decision through a movement.
PMID- 21897812
TI - The advantage of ambiguity? Enhanced neural responses to multi-stable percepts
correlate with the degree of perceived instability.
AB - Artwork can often pique the interest of the viewer or listener as a result of the
ambiguity or instability contained within it. Our engagement with uncertain
sensory experiences might have its origins in early cortical responses, in that
perceptually unstable stimuli might preclude neural habituation and maintain
activity in early sensory areas. To assess this idea, participants engaged with
an ambiguous visual stimulus wherein two squares alternated with one another, in
terms of simultaneously opposing vertical and horizontal locations relative to
fixation (i.e., stroboscopic alternating motion; von Schiller, 1933). At each
trial, participants were invited to interpret the movement of the squares in one
of five ways: traditional vertical or horizontal motion, novel clockwise or
counter-clockwise motion, and, a free-view condition in which participants were
encouraged to switch the direction of motion as often as possible. Behavioral
reports of perceptual stability showed clockwise and counter-clockwise motion to
possess an intermediate level of stability compared to relatively stable vertical
and horizontal motion, and, relatively unstable motion perceived during free-view
conditions. Early visual evoked components recorded at parietal-occipital sites
such as C1, P1, and N1 modulated as a function of visual intention. Both at a
group and individual level, increased perceptual instability was related to
increased negativity in all three of these early visual neural responses.
Engagement with increasingly ambiguous input may partly result from the
underlying exaggerated neural response to it. The study underscores the utility
of combining neuroelectric recording with the presentation of perceptually multi
stable yet physically identical stimuli, in revealing brain activity associated
with the purely internal process of interpreting and appreciating the sensory
world that surrounds us.
PMID- 21897813
TI - Corticomotor Excitability during Observation and Imagination of a Work of Art.
AB - We examine the effects of the artistic representation - here exemplified by
Michelangelo's Expulsion from Paradise - of an action on the motor system. Using
single and paired- pulse transcranial magnetic stimulation we analyze
corticomotor excitability during observation of an action in the painting, during
imagery of the painting, and during observation of a photograph of the same pose.
We also analyze the effects of observation of two further paintings, one showing
the same muscles at rest, and in the other in a more overtly emotional context.
Both observation of the Expulsion and of imagery of the painting increased
cortical excitability. Neither the relaxed pose of Michelangelo's Creation nor
the flexed posture in the highly emotional context of Bellini's Dead Christ
increased cortical excitability. Observation of a photograph of the same extended
pose did not increase cortical excitability either. Moreover, intracortical
inhibition was reduced during imagery of the painting. Our results offer clear
motor correlates of the relationship between the esthetic quality of a work and
the perception of implied movement within it.
PMID- 21897814
TI - Distributed Patterns of Brain Activity that Lead to Forgetting.
AB - Proactive interference (PI), in which irrelevant information from prior learning
disrupts memory performance, is widely viewed as a major cause of forgetting.
However, the hypothesized spontaneous recovery (i.e., automatic retrieval) of
interfering information presumed to be at the base of PI remains to be
demonstrated directly. Moreover, it remains unclear at what point during learning
and/or retrieval interference impacts memory performance. In order to resolve
these open questions, we employed a machine-learning algorithm to identify
distributed patterns of brain activity associated with retrieval of interfering
information that engenders PI and causes forgetting. Participants were scanned
using functional magnetic resonance imaging during an item recognition task. We
induced PI by constructing sets of three consecutive study lists from the same
semantic category. The classifier quantified the magnitude of category-related
activity at encoding and retrieval. Category-specific activity during retrieval
increased across lists, consistent with the category information becoming
increasingly available and producing interference. Critically, this increase was
correlated with individual differences in forgetting and the deployment of
frontal lobe mechanisms that resolve interference. Collectively, these findings
suggest that distributed patterns of brain activity pertaining to the interfering
information during retrieval contribute to forgetting. The prefrontal cortex
mediates the relationship between the spontaneous recovery of interfering
information at retrieval and individual differences in memory performance.
PMID- 21897815
TI - Nipype: a flexible, lightweight and extensible neuroimaging data processing
framework in python.
AB - Current neuroimaging software offer users an incredible opportunity to analyze
their data in different ways, with different underlying assumptions. Several
sophisticated software packages (e.g., AFNI, BrainVoyager, FSL, FreeSurfer, Nipy,
R, SPM) are used to process and analyze large and often diverse (highly multi
dimensional) data. However, this heterogeneous collection of specialized
applications creates several issues that hinder replicable, efficient, and
optimal use of neuroimaging analysis approaches: (1) No uniform access to
neuroimaging analysis software and usage information; (2) No framework for
comparative algorithm development and dissemination; (3) Personnel turnover in
laboratories often limits methodological continuity and training new personnel
takes time; (4) Neuroimaging software packages do not address computational
efficiency; and (5) Methods sections in journal articles are inadequate for
reproducing results. To address these issues, we present Nipype (Neuroimaging in
Python: Pipelines and Interfaces; http://nipy.org/nipype), an open-source,
community-developed, software package, and scriptable library. Nipype solves the
issues by providing Interfaces to existing neuroimaging software with uniform
usage semantics and by facilitating interaction between these packages using
Workflows. Nipype provides an environment that encourages interactive exploration
of algorithms, eases the design of Workflows within and between packages, allows
rapid comparative development of algorithms and reduces the learning curve
necessary to use different packages. Nipype supports both local and remote
execution on multi-core machines and clusters, without additional scripting.
Nipype is Berkeley Software Distribution licensed, allowing anyone unrestricted
usage. An open, community-driven development philosophy allows the software to
quickly adapt and address the varied needs of the evolving neuroimaging
community, especially in the context of increasing demand for reproducible
research.
PMID- 21897816
TI - Bivariate and Multivariate NeuroXidence: A Robust and Reliable Method to Detect
Modulations of Spike-Spike Synchronization Across Experimental Conditions.
AB - Synchronous neuronal firing has been proposed as a potential neuronal code. To
determine whether synchronous firing is really involved in different forms of
information processing, one needs to directly compare the amount of synchronous
firing due to various factors, such as different experimental or behavioral
conditions. In order to address this issue, we present an extended version of the
previously published method, NeuroXidence. The improved method incorporates bi-
and multivariate testing to determine whether different factors result in
synchronous firing occurring above the chance level. We demonstrate through the
use of simulated data sets that bi- and multivariate NeuroXidence reliably and
robustly detects joint-spike-events across different factors.
PMID- 21897817
TI - Two cases of unilateral ashy dermatosis.
AB - Ashy dermatosis is a typically asymptomatic disease of unknown origin that causes
symmetrical gray spots to appear on the trunk and extremities. We report 2 cases
of ashy dermatosis with unilateral distribution. To our knowledge, only 5 cases
of ashy dermatosis with unilateral lesion have been reported so far. Case 1: an
11-year-old woman presented with asymptomatic slate-gray pigmented plaques on the
left trunk and left upper arm. The skin biopsy specimen demonstrated a mild
lymphohistiocytotic infiltrate in the upper dermis with epidermal and dermal
melanosis. Q-Switched ruby laser did not improve such lesions. Case 2: a 21-year
old man was referred to our hospital because of asymptomatic slate-gray pigmented
plaques on the left trunk and left upper arm. Histopathological findings were
compatible with a mild lymphocytic infiltration with melanin incontinence in the
upper dermis. The mechanism that governs unilateral distribution of ashy
dermatosis, including in our cases, remains unclear.
PMID- 21897818
TI - The perils of a defective medical communication: fatal neglected squamous cell
carcinoma arising in perineal hidradenitis suppurativa.
AB - Hidradenitis suppurativa (HS) is a recurrent suppurative disease characterized by
inflammatory nodules, abscesses, fistulas, and scarring. We report a case of
squamous cell carcinoma arising in chronic HS. In our case the asymptomatic
lesion was neglected by the patient for a long time, allowing the occurrence of
advanced disease before treatment was sought, which resulted in a terminal
outcome. In the context of HS, a painful lump or ulcer could easily be mistaken
for an inflammatory lesion, and therefore a low threshold for biopsy is
warranted.
PMID- 21897819
TI - Stimulation of Wild-Type, F508del- and G551D-CFTR Chloride Channels by Non-Toxic
Modified pyrrolo[2,3-b]pyrazine Derivatives.
AB - Cystic fibrosis (CF) is a major inherited disorder involving abnormalities of
fluid and electrolyte transport in a number of different organs due to abnormal
function of cystic fibrosis transmembrane conductance regulator (CFTR) protein.
We recently identified a family of CFTR activators, which contains the hit: RP107
[7-n-butyl-6-(4-hydroxyphenyl)[5H]-pyrrolo[2,3-b]pyrazine]. Here, we further
evaluated the effect of the chemical modifications of the RP107-OH radical on
CFTR activation. The replacement of the OH radical by a fluorine atom at position
2 (RP193) or 4 (RP185) significantly decreased the toxicity of the compounds
without altering the ability to activate CFTR, especially for RP193. The non
toxic compound RP193 has no effect on cAMP production but stimulates the channel
activity of wild-type CFTR in stably transfected CHO cells, in human bronchial
epithelial NuLi-1 cells, and in primary culture of human bronchial epithelial
cells (HBEC). Whole-cell and single patch-clamp recordings showed that RP193
induced a linear, time- and voltage-independent current, which was fully
inhibited by two different and selective CFTR inhibitors (CFTRinh-172 and
GP(inh)5a). Moreover, RP193 stimulates CFTR in temperature-rescued CuFi-1
(F508del/F508del) HBEC and in CHO cells stably expressing G551D-CFTR. This study
shows that it is feasible to reduce cytotoxicity of chemical compounds without
affecting their potency to activate CFTR and to rescue the class 2 F508del-CFTR
and class 3 G551D-CFTR CF mutant activities.
PMID- 21897820
TI - Lessons Learnt from Post-Infectious IBS.
AB - The development of IBS symptoms - altered bowel function and abdominal cramping
in a subset of adult subjects exposed to severe enteric infections opened up an
unprecedented opportunity to understand the etiology of this poorly understood
disorder. Perhaps, for the reasons that these symptoms follow a severe enteric
infection, and mucosal biopsy tissues are readily available, the focus of most
studies thus far has been to show that mild/low-grade mucosal inflammation
persisting after the initial infection has subsided causes the IBS symptoms.
Parallel studies in non-infectious IBS patients, who did not have prior
enteritis, showed similar mild mucosal inflammation. Together, these studies
examined the mucosal infiltration of specific immune cells, increase of select
inflammatory mediators, mast cell and enterochromaffin cell hyperplasia, and
epithelial permeability. In spite of the fact that the data on these topics were
not consistent among different studies and clinical trials with prednisone,
fluoxetine, and ketotifen failed to provide relief of IBS symptoms, the
predominant conclusions were that mild mucosal inflammation is the cause of IBS
symptoms. However, the circular smooth muscle cells, and myenteric neurons are
the primary regulators of gut motility function, while primary afferent neurons
and CNS play essential roles in induction of visceral hypersensitivity - no
explanation was provided as to how mild mucosal inflammation causes dysfunction
in cells far removed. Accumulating evidence shows that mild mucosal inflammation
in IBS patients is in physiological range. It has little deleterious effects on
cells within its own environment and therefore it is unlikely to affect cells in
the muscularis externa. This review discusses the disconnect between the focus on
mild/low-grade mucosal inflammation and the potential mechanisms and molecular
dysfunctions in smooth muscle cells, myenteric neurons, and primary afferent
neurons that may underlie IBS symptoms.
PMID- 21897821
TI - The role of aquaporins in the kidney of euryhaline teleosts.
AB - WATER BALANCE IN TELEOST FISH IS MAINTAINED WITH CONTRIBUTIONS FROM THE MAJOR
OSMOREGULATORY ORGANS: intestine, gills, and kidney. Overall water fluxes have
been studied in all of these organs but not until recently has it become possible
to approach the mechanisms of water transport at the molecular level. This mini
review addresses the role of the kidney in osmoregulation with special emphasis
on euryhaline teleosts. After a short review of current knowledge of renal
functional morphology and regulation, we turn the focus to recent molecular
investigations of the role of aquaporins in water and solute transport in the
teleost kidney. We conclude that there is much to be achieved in understanding
water transport and its regulation in the teleost kidney and that effort should
be put into systematic mapping of aquaporins to their tubular as well as cellular
localization.
PMID- 21897823
TI - Detailed sensory memory, sloppy working memory.
AB - Visual short-term memory (VSTM) enables us to actively maintain information in
mind for a brief period of time after stimulus disappearance. According to recent
studies, VSTM consists of three stages - iconic memory, fragile VSTM, and visual
working memory - with increasingly stricter capacity limits and progressively
longer lifetimes. Still, the resolution (or amount of visual detail) of each VSTM
stage has remained unexplored and we test this in the present study. We presented
people with a change detection task that measures the capacity of all three forms
of VSTM, and we added an identification display after each change trial that
required people to identify the "pre-change" object. Accurate change detection
plus pre-change identification requires subjects to have a high-resolution
representation of the "pre-change" object, whereas change detection or
identification only can be based on the hunch that something has changed, without
exactly knowing what was presented before. We observed that people maintained 6.1
objects in iconic memory, 4.6 objects in fragile VSTM, and 2.1 objects in visual
working memory. Moreover, when people detected the change, they could also
identify the pre-change object on 88% of the iconic memory trials, on 71% of the
fragile VSTM trials and merely on 53% of the visual working memory trials. This
suggests that people maintain many high-resolution representations in iconic
memory and fragile VSTM, but only one high-resolution object representation in
visual working memory.
PMID- 21897822
TI - Mental imagery of speech and movement implicates the dynamics of internal forward
models.
AB - The classical concept of efference copies in the context of internal forward
models has stimulated productive research in cognitive science and neuroscience.
There are compelling reasons to argue for such a mechanism, but finding direct
evidence in the human brain remains difficult. Here we investigate the dynamics
of internal forward models from an unconventional angle: mental imagery, assessed
while recording high temporal resolution neuronal activity using
magnetoencephalography. We compare two overt and covert tasks; our covert, mental
imagery tasks are unconfounded by overt input/output demands - but in turn
necessitate the development of appropriate multi-dimensional topographic
analyses. Finger tapping (studies 1 and 2) and speech experiments (studies 3-5)
provide temporally constrained results that implicate the estimation of an
efference copy. We suggest that one internal forward model over parietal cortex
subserves the kinesthetic feeling in motor imagery. Secondly, observed auditory
neural activity ~170 ms after motor estimation in speech experiments (studies 3
5) demonstrates the anticipated auditory consequences of planned motor commands
in a second internal forward model in imagery of speech production. Our results
provide neurophysiological evidence from the human brain in favor of internal
forward models deploying efference copies in somatosensory and auditory cortex,
in finger tapping and speech production tasks, respectively, and also suggest the
dynamics and sequential updating structure of internal forward models.
PMID- 21897824
TI - Does Area V3A Predict Positions of Moving Objects?
AB - A gradually fading moving object is perceived to disappear at positions beyond
its luminance detection threshold, whereas abrupt offsets are usually localized
accurately. What role does retinotopic activity in visual cortex play in this
motion-induced mislocalization of the endpoint of fading objects? Using
functional magnetic resonance imaging (fMRI), we localized regions of interest
(ROIs) in retinotopic maps abutting the trajectory endpoint of a bar moving
either toward or away from this position while gradually decreasing or increasing
in luminance. Area V3A showed predictive activity, with stronger fMRI responses
for motion toward versus away from the ROI. This effect was independent of the
change in luminance. In Area V1 we found higher activity for high-contrast onsets
and offsets near the ROI, but no significant differences between motion
directions. We suggest that perceived final positions of moving objects are based
on an interplay of predictive position representations in higher motion-sensitive
retinotopic areas and offset transients in primary visual cortex.
PMID- 21897825
TI - The forager oral tradition and the evolution of prolonged juvenility.
AB - The foraging niche is characterized by the exploitation of nutrient-rich
resources using complex extraction techniques that take a long time to acquire.
This costly period of development is supported by intensive parental investment.
Although human life history theory tends to characterize this investment in terms
of food and care, ethnographic research on foraging skill transmission suggests
that the flow of resources from old-to-young also includes knowledge. Given the
adaptive value of information, parents may have been under selection pressure to
invest knowledge - e.g., warnings, advice - in children: proactive provisioning
of reliable information would have increased offspring survival rates and, hence,
parental fitness. One way that foragers acquire subsistence knowledge is through
symbolic communication, including narrative. Tellingly, oral traditions are
characterized by an old-to-young transmission pattern, which suggests that, in
forager groups, storytelling might be an important means by which adults transfer
knowledge to juveniles. In particular, by providing juveniles with vicarious
experience, storytelling may expand episodic memory, which is believed to be
integral to the generation of possible future scenarios (i.e., planning). In
support of this hypothesis, this essay reviews evidence that: mastery of foraging
knowledge and skill sets takes a long time to acquire; foraging knowledge is
transmitted from parent to child; the human mind contains adaptations specific to
social learning; full assembly of learning mechanisms is not complete in early
childhood; and forager oral traditions contain a wide range of information
integral to occupation of the foraging niche. It concludes with suggestions for
tests of the proposed hypothesis.
PMID- 21897826
TI - Strength gains by motor imagery with different ratios of physical to mental
practice.
AB - The purpose of this training study was to determine the magnitude of strength
gains following a high-intensity resistance training (i.e., improvement of
neuromuscular coordination) that can be achieved by imagery of the respective
muscle contraction imagined maximal isometric contraction (IMC training). Prior
to the experimental intervention, subjects completed a 4-week standardized
strength training program. 3 groups with different combinations of real maximum
voluntary contraction (MVC) and mental (IMC) strength training (M75, M50, M25;
numbers indicate percentages of mental trials) were compared to a MVC-only
training group (M0) and a control condition without strength training (CO).
Training sessions (altogether 12) consisted of four sets of two maximal 5-s
isometric contractions with 10 s rest between sets of either MVC or IMC training.
Task-specific effects of IMC training were tested in four strength exercises
commonly used in practical settings (bench pressing, leg pressing, triceps
extension, and calf raising). Maximum isometric voluntary contraction force (MVC)
was measured before and after the experimental training intervention and again 1
week after cessation of the program. IMC groups (M25, M50, M75) showed slightly
smaller increases in MVC (3.0% to 4.2%) than M0 (5.1%), but significantly
stronger improvements than CO (-0.2%). Compared to further strength gains in M0
after 1 week (9.4% altogether), IMC groups showed no "delayed" improvement, but
the attained training effects remained stable. It is concluded that high
intensity strength training sessions can be partly replaced by IMC training
sessions without any considerable reduction of strength gains.
PMID- 21897827
TI - Intercepting the First Pass: Rapid Categorization is Suppressed for Unseen
Stimuli.
AB - The operations and processes that the human brain employs to achieve fast visual
categorization remain a matter of debate. A first issue concerns the timing and
place of rapid visual categorization and to what extent it can be performed with
an early feed-forward pass of information through the visual system. A second
issue involves the categorization of stimuli that do not reach visual awareness.
There is disagreement over the degree to which these stimuli activate the same
early mechanisms as stimuli that are consciously perceived. We employed
continuous flash suppression (CFS), EEG recordings, and machine learning
techniques to study visual categorization of seen and unseen stimuli. Our
classifiers were able to predict from the EEG recordings the category of stimuli
on seen trials but not on unseen trials. Rapid categorization of conscious images
could be detected around 100 ms on the occipital electrodes, consistent with a
fast, feed-forward mechanism of target detection. For the invisible stimuli,
however, CFS eliminated all traces of early processing. Our results support the
idea of a fast mechanism of categorization and suggest that this early
categorization process plays an important role in later, more subtle
categorizations, and perceptual processes.
PMID- 21897828
TI - Initial experience with the coaxial dual-lumen ascent balloon catheter for wide
neck aneurysm coil embolization.
AB - INTRODUCTION: Techniques for coil embolization of wide-neck cerebral aneurysms
include the use of stents and temporary occlusion with compliant non-detachable
balloons to safely allow dense packing of the aneurysm lumen with detachable
coils. We describe the use of a new balloon device for assisting in wide-neck
aneurysm coil treatment. METHODS: A single institution neuroendovascular database
was accessed to identify cases in which the Ascent balloon (Codman Neurovascular,
Raynham, MA, USA) was used for aneurysm coil embolization. Clinical, demographic,
and angiographic data were obtained through chart review. RESULTS: Eleven
cerebral aneurysm cases were treated using the Ascent balloon during the first 12
month period that the new device was available at our institution. Three of the
patients presented with ruptured aneurysms. All aneurysms were large (maximum
diameter 6 mm or greater), with an average maximum diameter of 9.4 mm, and an
average neck diameter of 5.5 mm. Complete occlusion with coil embolization
(Raymond class I) was achieved in all cases. The Ascent balloon was successfully
positioned across the neck of the aneurysm in nine patients. CONCLUSION: This
initial experience demonstrates the feasibility and immediate outcomes of the
coaxial dual-lumen design Ascent balloon catheter used as an assistive device in
coil embolization of wide-neck cerebral aneurysms. This device contributes to the
growing number of assistive devices for the treatment of complex cerebral
aneurysms.
PMID- 21897829
TI - 'They don't understand...you cut yourself in order to live.' Interpretative
repertoires jointly constructing interactions between adult women who self-harm
and professional caregivers.
AB - The aim of the study was to illuminate interpretative repertoires that jointly
construct the interaction between adult women who self-harm and professional
caregivers in psychiatric inpatient care. Participant observations and informal
interviews were conducted among six women who self-harm and their professional
caregivers in two psychiatric inpatient wards, and analysed using the concept of
interpretative repertoires from the discipline of discursive psychology. The
analysis revealed four interpretative repertoires that jointly constructed the
interaction. The professional caregivers used a "fostering repertoire" and a
"supportive repertoire" and the women who self-harmed used a "victim repertoire"
and an "expert repertoire." The women and the caregivers were positioned and
positioned themselves and people around them within and among these
interpretative repertoires to make sense of their experiences of the interaction.
It was necessary to consider each woman's own life chances and knowledge about
herself and her needs. The participants made it clear that it was essential for
them to be met with respect as individuals. Professional caregivers need to work
in partnership with individuals who self-harm-experts by profession collaborating
with experts by experience. Caregivers need to look beyond behavioural symptoms
and recognise each individual's possibilities for agency.
PMID- 21897830
TI - Children's understandings' of obesity, a thematic analysis.
AB - Childhood obesity is a major concern in today's society. Research suggests the
inclusion of the views and understandings of a target group facilitates
strategies that have better efficacy. The objective of this study was to explore
the concepts and themes that make up children's understandings of the causes and
consequences of obesity. Participants were selected from Reception (4-5 years
old) and Year 6 (10-11 years old), and attended a school in an area of
Sunderland, in North East England. Participants were separated according to age
and gender, resulting in four focus groups, run across two sessions. A thematic
analysis (Braun & Clarke, 2006) identified overarching themes evident across all
groups, suggesting the key concepts that contribute to children's understandings
of obesity are "Knowledge through Education," "Role Models," "Fat is Bad," and
"Mixed Messages." The implications of these findings and considerations of the
methodology are discussed in full.
PMID- 21897831
TI - A case of Kawasaki disease with anasarca and concomitant rotavirus infection.
AB - We present the case of a child who initially presented with gastrointestinal
symptoms suggestive of severe rotavirus gastroenteritis complicated by
hyponatraemic dehydration. Appropriate intravenous fluid therapy was administered
but the patient, still feverish, developed anasarca probably due to
hyperpermeability of microvessels following massive inflammation. Atypical
Kawasaki disease was diagnosed on the basis of the clinical findings and
laboratory tests. An echocardiogram performed on the 14th day showed a coronary
artery aneurysm of the right coronary artery and a generalised dilation of left
coronary artery without pericardial effusion. Anasarca in Kawasaki disease has
never been reported before in the literature. A rotavirus infection probably
triggered the severe and atypical signs in the case reported here.
PMID- 21897832
TI - Bronchial associated lymphoid tissue (BALT) lymphoma presenting as chronic lung
sepsis.
AB - A 58-year-old woman was referred from her general practitioner to the respiratory
clinic with a 2 year history of recurrent pulmonary infections, mucus
hypersecretion and right lobe consolidation following a severe pneumonic illness
in 2006. She had no significant risk factors for respiratory disease. Chest
computed tomography showed an air bronchogram and right lower lobe consolidation.
On initial routine investigation IgA and IgG were normal; however, a discrete IgM
paraprotein band in the mid gamma region was seen on serum electrophoresis. She
was referred for haematological investigations. Bone marrow biopsy was positive
for monoclonal lymphoplasmocytoid B cells and the patient was diagnosed with
Waldenstrom's macroglobulinaemia. Due to recurrent infections and an unclear
diagnosis of the lung process, a right lower lobectomy and wedge resection of the
middle lobe was performed. This showed bronchial associated lymphoid tissue
lymphoma arising in the marginal zone. She has been well since surgery with no
further respiratory infections.
PMID- 21897833
TI - Chronic urticaria as a presenting symptom of Crohn's disease.
AB - Clinical presentation of Crohn's disease (CD) may be variable according to the
location and the intensity of the inflammation. Some patients may have atypical
symptoms which could delay the diagnosis. We report the first case of chronic
urticaria related to a subclinical, complicated CD. Although the pathologic
mechanism of this association was unclear in our patient, this case suggests that
in patients with unexplained chronic urticaria it is opportune to investigate for
a possible CD, even if there are no or few specific symptoms of intestinal
inflammatory disease.
PMID- 21897834
TI - Congenital tuberculosis in premature twins after in vitro fertilisation.
AB - Genital tuberculosis is a major cause of infertility in countries where
tuberculosis is endemic. With recent advances in assisted reproductive
technology, women with tuberculous endometritis may become pregnant and
ultimately have children with congenital tuberculosis. In vitro fertilisation
represents a useful treatment for infertility. Congenital tuberculosis is a rare
and severe, rapidly progressive, disease. Making an early diagnosis of congenital
tuberculosis is difficult, because it can mimic many neonatal illnesses. To the
best of our knowledge, we report the first cases of premature twins with
congenital tuberculosis following in vitro fertilisation. After the diagnosis of
genital tuberculosis in the mother, the twins were screened and diagnosed for
tuberculosis. The twins dramatically improved after anti-tuberculosis therapy. We
also wish to draw attention to the importance of searching for genital
tuberculosis as the possible cause of congenital infection, even if the mother is
asymptomatic.
PMID- 21897835
TI - Remodelling of coronary arteries.
PMID- 21897836
TI - Concomitant tuberculosis and hydatid cyst in a solitary pulmonary nodule of left
lower lobe.
AB - We report a case of a 25-year-old woman who presented with recurrent attacks of
haemoptysis over a 12 month period. Physical examination was normal. Chest x ray
and computed tomography scan showed a 2.6*1.9 cm pulmonary nodule. Full blood
count and biochemical profile were normal. Fibreoptic bronchoscopy was normal and
bronchial aspirate was negative for Ziehl-Neelsen staining and malignant cells.
The nodule was removed surgically because it was feared that it could be
malignant. Histology showed concomitant hydatid disease and tuberculosis. This is
a rare occurrence with only one similar case reported in the literature.
PMID- 21897837
TI - Sensory grammars for sensor networks.
AB - One of the major goals of Ambient Intelligence and Smart Environments is to
interpret human activity sensed by a variety of sensors. In order to develop
useful technologies and a subsequent industry around smart environments, we need
to proceed in a principled manner. This paper suggests that human activity can be
expressed in a language. This is a special language with its own phonemes, its
own morphemes (words) and its own syntax and it can be learned using machine
learning techniques applied to gargantuan amounts of data collected by sensor
networks. Developing such languages will create bridges between Ambient
Intelligence and other disciplines. It will also provide a hierarchical structure
that can lead to a successful industry.
PMID- 21897838
TI - Parasite transmission in social interacting hosts: monogenean epidemics in
guppies.
AB - BACKGROUND: Infection incidence increases with the average number of contacts
between susceptible and infected individuals. Contact rates are normally assumed
to increase linearly with host density. However, social species seek out each
other at low density and saturate their contact rates at high densities. Although
predicting epidemic behaviour requires knowing how contact rates scale with host
density, few empirical studies have investigated the effect of host density.
Also, most theory assumes each host has an equal probability of transmitting
parasites, even though individual parasite load and infection duration can vary.
To our knowledge, the relative importance of characteristics of the primary
infected host vs. the susceptible population has never been tested
experimentally. METHODOLOGY/PRINCIPAL FINDINGS: Here, we examine epidemics using
a common ectoparasite, Gyrodactylus turnbulli infecting its guppy host (Poecilia
reticulata). Hosts were maintained at different densities (3, 6, 12 and 24 fish
in 40 L aquaria), and we monitored gyrodactylids both at a population and
individual host level. Although parasite population size increased with host
density, the probability of an epidemic did not. Epidemics were more likely when
the primary infected fish had a high mean intensity and duration of infection.
Epidemics only occurred if the primary infected host experienced more than 23
worm days. Female guppies contracted infections sooner than males, probably
because females have a higher propensity for shoaling. CONCLUSIONS/SIGNIFICANCE:
These findings suggest that in social hosts like guppies, the frequency of social
contact largely governs disease epidemics independent of host density.
PMID- 21897839
TI - The microRNA-200 family is upregulated in endometrial carcinoma.
AB - BACKGROUND: MicroRNAs (miRNAs, miRs) are small non-coding RNAs that negatively
regulate gene expression at the post-transcriptional level. MicroRNAs are
dysregulated in cancer and may play essential roles in tumorigenesis.
Additionally, miRNAs have been shown to have prognostic and diagnostic value in
certain types of cancer. The objective of this study was to identify dysregulated
miRNAs in endometrioid endometrial adenocarcinoma (EEC) and the precursor lesion,
complex atypical hyperplasia (CAH). METHODOLOGY: We compared the expression
profiles of 723 human miRNAs from 14 cases of EEC, 10 cases of CAH, and 10 normal
proliferative endometria controls using Agilent Human miRNA arrays following RNA
extraction from formalin-fixed paraffin-embedded (FFPE) tissues. The expression
of 4 dysregulated miRNAs was validated using real time reverse transcription-PCR.
RESULTS: Forty-three miRNAs were dysregulated in EEC and CAH compared to normal
controls (p<0.05). The entire miR-200 family (miR-200a/b/c, miR-141, and miR-429)
was up-regulated in cases of EEC. CONCLUSIONS: This information contributes to
the candidate miRNA expression profile that has been generated for EEC and shows
that certain miRNAs are dysregulated in the precursor lesion, CAH. These miRNAs
in particular may play important roles in tumorigenesis. Examination of miRNAs
that are consistently dysregulated in various studies of EEC, like the miR-200
family, will aid in the understanding of the role that miRNAs play in
tumorigenesis in this tumour type.
PMID- 21897840
TI - Facilitating tumor functional assessment by spatially relating 3D tumor histology
and in vivo MRI: image registration approach.
AB - BACKGROUND: Magnetic resonance imaging (MRI), together with histology, is widely
used to diagnose and to monitor treatment in oncology. Spatial correspondence
between these modalities provides information about the ability of MRI to
characterize cancerous tissue. However, registration is complicated by
deformations during pathological processing, and differences in scale and
information content. METHODOLOGY/PRINCIPAL FINDINGS: This study proposes a
methodology for establishing an accurate 3D relation between histological
sections and high resolution in vivo MRI tumor data. The key features of the
methodology are: 1) standardized acquisition and processing, 2) use of an
intermediate ex vivo MRI, 3) use of a reference cutting plane, 4) dense
histological sampling, 5) elastic registration, and 6) use of complete 3D data
sets. Five rat pancreatic tumors imaged by T2*-w MRI were used to evaluate the
proposed methodology. The registration accuracy was assessed by root mean squared
(RMS) distances between manually annotated landmark points in both modalities.
After elastic registration the average RMS distance decreased from 1.4 to 0.7 mm.
The intermediate ex vivo MRI and the reference cutting plane shared by all three
3D images (in vivo MRI, ex vivo MRI, and 3D histology data) were found to be
crucial for the accurate co-registration between the 3D histological data set and
in vivo MRI. The MR intensity in necrotic regions, as manually annotated in 3D
histology, was significantly different from other histologically confirmed
regions (i.e., viable and hemorrhagic). However, the viable and the hemorrhagic
regions showed a large overlap in T2(*)-w MRI signal intensity. CONCLUSIONS: The
established 3D correspondence between tumor histology and in vivo MRI enables
extraction of MRI characteristics for histologically confirmed regions. The
proposed methodology allows the creation of a tumor database of spatially
registered multi-spectral MR images and multi-stained 3D histology.
PMID- 21897841
TI - Randomised controlled feasibility trial of an evidence-informed behavioural
intervention for obese adults with additional risk factors.
AB - BACKGROUND: Interventions for dietary and physical activity changes in obese
adults may be less effective for participants with additional obesity-related
risk factors and co-morbidities than for otherwise healthy individuals. This
study aimed to test the feasibility and acceptability of the recruitment,
allocation, measurement, retention and intervention procedures of a randomised
controlled trial of an intervention to improve physical activity and dietary
practices amongst obese adults with additional obesity related risk factors.
METHOD: Pilot single centre open-labelled outcome assessor-blinded randomised
controlled trial of obese (Body Mass Index (BMI)>=30 kg/m2) adults (age>=18 y)
with obesity related co-morbidities such as type 2 diabetes, impaired glucose
tolerance or hypertension. Participants were randomly allocated to a manual-based
group intervention or a leaflet control condition in accordance to a 2?1
allocation ratio. Primary outcome was acceptability and feasibility of trial
procedures, secondary outcomes included measures of body composition, physical
activity, food intake and psychological process measures. RESULTS: Out of 806
potentially eligible individuals identified through list searches in two primary
care general medical practices N = 81 participants (63% female; mean-age =
56.56(11.44); mean-BMI = 36.73(6.06)) with 2.35(1.47) co-morbidities were
randomised. Scottish Index of Multiple Deprivation (SIMD) was the only
significant predictor of providing consent to take part in the study (higher
chances of consent for invitees with lower levels of deprivation). Participant
flowcharts, qualitative and quantitative feedback suggested good acceptance and
feasibility of intervention procedures but 34.6% of randomised participants were
lost to follow-up due to overly high measurement burden and sub-optimal retention
procedures. Participants in the intervention group showed positive trends for
most psychological, behavioural and body composition outcomes. CONCLUSIONS: The
intervention procedures were found to be acceptable and feasible. Attrition rates
were unacceptably high and areas for improvements of trial procedures were
identified. TRIAL REGISTRATION: Controlled-Trials.com ISRCTN90101501.
PMID- 21897842
TI - Spiking patterns and their functional implications in the antennal lobe of the
tobacco hornworm Manduca sexta.
AB - Bursting as well as tonic firing patterns have been described in various sensory
systems. In the olfactory system, spontaneous bursts have been observed in
neurons distributed across several synaptic levels, from the periphery, to the
olfactory bulb (OB) and to the olfactory cortex. Several in vitro studies
indicate that spontaneous firing patterns may be viewed as "fingerprints" of
different types of neurons that exhibit distinct functions in the OB. It is still
not known, however, if and how neuronal burstiness is correlated with the coding
of natural olfactory stimuli. We thus conducted an in vivo study to probe this
question in the OB equivalent structure of insects, the antennal lobe (AL) of the
tobacco hornworm Manduca sexta. We found that in the moth's AL, both projection
(output) neurons (PNs) and local interneurons (LNs) are spontaneously active, but
PNs tend to produce spike bursts while LNs fire more regularly. In addition, we
found that the burstiness of PNs is correlated with the strength of their
responses to odor stimulation--the more bursting the stronger their responses to
odors. Moreover, the burstiness of PNs was also positively correlated with the
spontaneous firing rate of these neurons, and pharmacological reduction of
bursting resulted in a decrease of the neurons' responsiveness. These results
suggest that neuronal burstiness reflects a physiological state of these neurons
that is directly linked to their response characteristics.
PMID- 21897843
TI - Enhanced osteoclastic resorption and responsiveness to mechanical load in gap
junction deficient bone.
AB - Emerging evidence suggests that connexin mediated gap junctional intercellular
communication contributes to many aspects of bone biology including bone
development, maintenance of bone homeostasis and responsiveness of bone cells to
diverse extracellular signals. Deletion of connexin 43, the predominant gap
junction protein in bone, is embryonic lethal making it challenging to examine
the role of connexin 43 in bone in vivo. However, transgenic murine models in
which only osteocytes and osteoblasts are deficient in connexin 43, and which are
fully viable, have recently been developed. Unfortunately, the bone phenotype of
different connexin 43 deficient models has been variable. To address this issue,
we used an osteocalcin driven Cre-lox system to create osteoblast and osteocyte
specific connexin 43 deficient mice. These mice displayed bone loss as a result
of increased bone resorption and osteoclastogenesis. The mechanism underlying
this increased osteoclastogenesis included increases in the osteocytic, but not
osteoblastic, RANKL/OPG ratio. Previous in vitro studies suggest that connexin 43
deficient bone cells are less responsive to biomechanical signals. Interestingly,
and in contrast to in vitro studies, we found that connexin 43 deficient mice
displayed an enhanced anabolic response to mechanical load. Our results suggest
that transient inhibition of connexin 43 expression and gap junctional
intercellular communication may prove a potentially powerful means of enhancing
the anabolic response of bone to mechanical loading.
PMID- 21897844
TI - Identification and visualization of CD8+ T cell mediated IFN-gamma signaling in
target cells during an antiviral immune response in the brain.
AB - CD8(+) T cells infiltrate the brain during an anti-viral immune response. Within
the brain CD8(+) T cells recognize cells expressing target antigens, become
activated, and secrete IFNgamma. However, there are no methods to recognize
individual cells that respond to IFNgamma. Using a model that studies the effects
of the systemic anti-adenoviral immune response upon brain cells infected with an
adenoviral vector in mice, we describe a method that identifies individual cells
that respond to IFNgamma. To identify individual mouse brain cells that respond
to IFNgamma we constructed a series of adenoviral vectors that contain a
transcriptional response element that is selectively activated by IFNgamma
signaling, the gamma-activated site (GAS) promoter element; the GAS element
drives expression of a transgene, Cre recombinase (Ad-GAS-Cre). Upon binding of
IFNgamma to its receptor, the intracellular signaling cascade activates the GAS
promoter, which drives expression of the transgene Cre recombinase. We
demonstrate that upon activation of a systemic immune response against
adenovirus, CD8(+) T cells infiltrate the brain, interact with target cells, and
cause an increase in the number of cells expressing Cre recombinase. This method
can be used to identify, study, and eventually determine the long term fate of
infected brain cells that are specifically targeted by IFNgamma. The significance
of this method is that it will allow to characterize the networks in the brain
that respond to the specific secretion of IFNgamma by anti-viral CD8(+) T cells
that infiltrate the brain. This will allow novel insights into the cellular and
molecular responses underlying brain immune responses.
PMID- 21897845
TI - Germline transgenic pigs by Sleeping Beauty transposition in porcine zygotes and
targeted integration in the pig genome.
AB - Genetic engineering can expand the utility of pigs for modeling human diseases,
and for developing advanced therapeutic approaches. However, the inefficient
production of transgenic pigs represents a technological bottleneck. Here, we
assessed the hyperactive Sleeping Beauty (SB100X) transposon system for enzyme
catalyzed transgene integration into the embryonic porcine genome. The components
of the transposon vector system were microinjected as circular plasmids into the
cytoplasm of porcine zygotes, resulting in high frequencies of transgenic fetuses
and piglets. The transgenic animals showed normal development and persistent
reporter gene expression for >12 months. Molecular hallmarks of transposition
were confirmed by analysis of 25 genomic insertion sites. We demonstrate germ
line transmission, segregation of individual transposons, and continued, copy
number-dependent transgene expression in F1-offspring. In addition, we
demonstrate target-selected gene insertion into transposon-tagged genomic loci by
Cre-loxP-based cassette exchange in somatic cells followed by nuclear transfer.
Transposase-catalyzed transgenesis in a large mammalian species expands the
arsenal of transgenic technologies for use in domestic animals and will
facilitate the development of large animal models for human diseases.
PMID- 21897846
TI - Lethal and pre-lethal effects of a fungal biopesticide contribute to substantial
and rapid control of malaria vectors.
AB - Rapidly emerging insecticide resistance is creating an urgent need for new active
ingredients to control the adult mosquitoes that vector malaria. Biopesticides
based on the spores of entomopathogenic fungi have shown considerable promise by
causing very substantial mortality within 7-14 days of exposure. This mortality
will generate excellent malaria control if there is a high likelihood that
mosquitoes contact fungi early in their adult lives. However, where contact rates
are lower, as might result from poor pesticide coverage, some mosquitoes will
contact fungi one or more feeding cycles after they acquire malaria, and so risk
transmitting malaria before the fungus kills them. Critics have argued that 'slow
acting' fungal biopesticides are, therefore, incapable of delivering malaria
control in real-world contexts. Here, utilizing standard WHO laboratory
protocols, we demonstrate effective action of a biopesticide much faster than
previously reported. Specifically, we show that transient exposure to clay tiles
sprayed with a candidate biopesticide comprising spores of a natural isolate of
Beauveria bassiana, could reduce malaria transmission potential to zero within a
feeding cycle. The effect resulted from a combination of high mortality and rapid
fungal-induced reduction in feeding and flight capacity. Additionally, multiple
insecticide-resistant lines from three key African malaria vector species were
completely susceptible to fungus. Thus, fungal biopesticides can block
transmission on a par with chemical insecticides, and can achieve this where
chemical insecticides have little impact. These results support broadening the
current vector control paradigm beyond fast-acting chemical toxins.
PMID- 21897847
TI - Genetic differentiation of the Western Capercaillie highlights the importance of
South-eastern Europe for understanding the species phylogeography.
AB - The Western Capercaillie (Tetrao urogallus L.) is a grouse species of open boreal
or high altitude forests of Eurasia. It is endangered throughout most mountain
range habitat areas in Europe. Two major genetically identifiable lineages of
Western Capercaillie have been described to date: the southern lineage at the
species' southernmost range of distribution in Europe, and the boreal lineage. We
address the question of genetic differentiation of capercaillie populations from
the Rhodope and Rila Mountains in Bulgaria, across the Dinaric Mountains to the
Slovenian Alps. The two lineages' contact zone and resulting conservation
strategies in this so-far understudied area of distribution have not been
previously determined. The results of analysis of mitochondrial DNA control
region sequences of 319 samples from the studied populations show that Alpine
populations were composed exclusively of boreal lineage; Dinaric populations of
both, but predominantly (96%) of boreal lineage; and Rhodope-Rila populations
predominantly (>90%) of southern lineage individuals. The Bulgarian mountains
were identified as the core area of the southern lineage, and the Dinaric
Mountains as the western contact zone between both lineages in the Balkans.
Bulgarian populations appeared genetically distinct from Alpine and Dinaric
populations and exhibited characteristics of a long-term stationary population,
suggesting that they should be considered as a glacial relict and probably a
distinct subspecies. Although all of the studied populations suffered a decline
in the past, the significantly lower level of genetic diversity when compared
with the neighbouring Alpine and Bulgarian populations suggests that the isolated
Dinaric capercaillie is particularly vulnerable to continuing population decline.
The results are discussed in the context of conservation of the species in the
Balkans, its principal threats and legal protection status. Potential
conservation strategies should consider the existence of the two lineages and
their vulnerable Dinaric contact zone and support the specificities of the
populations.
PMID- 21897849
TI - Umatilla virus genome sequencing and phylogenetic analysis: identification of
stretch lagoon orbivirus as a new member of the Umatilla virus species.
AB - The genus Orbivirus, family Reoviridae, includes 22 species of viruses with
genomes composed of ten segments of linear dsRNA that are transmitted between
their vertebrate hosts by insects or ticks, or with no identified vectors. Full
genome sequence data are available for representative isolates of the insect
borne mammalian orbiviruses (including bluetongue virus), as well as a tick borne
avian orbivirus (Great Island virus). However, no sequence data are as yet
available for the mosquito borne avian orbiviruses.We report full-length, whole
genome sequence data for Umatilla virus (UMAV), a mosquito borne avian orbivirus
from the USA, which belongs to the species Umatilla virus. Comparisons of
conserved genome segments 1, 2 and 8 (Seg-1, Seg-2 and Seg-8) - encoding the
polymerase-VP1, sub-core 'T2' protein and core-surface 'T13' protein,
respectively, show that UMAV groups with the mosquito transmitted mammalian
orbiviruses. The highest levels of sequence identity were detected between UMAV
and Stretch Lagoon orbivirus (SLOV) from Australia, showing that they belong to
the same virus species (with nt/aa identity of 76.04%/88.07% and 77.96%/95.36% in
the polymerase and T2 genes and protein, respectively). The data presented here
has assisted in identifying the SLOV as a member of the Umatilla serogroup. This
sequence data reported here will also facilitate identification of new isolates,
and epidemiological studies of viruses belonging to the species Umatilla virus.
PMID- 21897848
TI - Profiling trait anxiety: transcriptome analysis reveals cathepsin B (Ctsb) as a
novel candidate gene for emotionality in mice.
AB - Behavioral endophenotypes are determined by a multitude of counteracting but
precisely balanced molecular and physiological mechanisms. In this study, we aim
to identify potential novel molecular targets that contribute to the multigenic
trait "anxiety". We used microarrays to investigate the gene expression profiles
of different brain regions within the limbic system of mice which were
selectively bred for either high (HAB) or low (LAB) anxiety-related behavior, and
also show signs of comorbid depression-like behavior. We identified and confirmed
sex-independent differences in the basal expression of 13 candidate genes, using
tissue from the entire brain, including coronin 7 (Coro7), cathepsin B (Ctsb),
muscleblind-like 1 (Mbnl1), metallothionein 1 (Mt1), solute carrier family 25
member 17 (Slc25a17), tribbles homolog 2 (Trib2), zinc finger protein 672
(Zfp672), syntaxin 3 (Stx3), ATP-binding cassette, sub-family A member 2 (Abca2),
ectonucleotide pyrophosphatase/phosphodiesterase 5 (Enpp5), high mobility group
nucleosomal binding domain 3 (Hmgn3) and pyruvate dehydrogenase beta (Pdhb).
Additionally, we confirmed brain region-specific differences in the expression of
synaptotagmin 4 (Syt4).Our identification of about 90 polymorphisms in Ctsb
suggested that this gene might play a critical role in shaping our mouse model's
behavioral endophenotypes. Indeed, the assessment of anxiety-related and
depression-like behaviors of Ctsb knock-out mice revealed an increase in
depression-like behavior in females. Altogether, our results suggest that Ctsb
has significant effects on emotionality, irrespective of the tested mouse strain,
making it a promising target for future pharmacotherapy.
PMID- 21897850
TI - BAFF promotes Th17 cells and aggravates experimental autoimmune
encephalomyelitis.
AB - BACKGROUND: BAFF, in addition to promoting B cell survival and differentiation,
may affect T cells. The objective of this study was to determine the effect of
BAFF on Th17 cell generation and its ramifications for the Th17 cell-driven
disease, EAE. METHODOLOGY/PRINCIPAL FINDINGS: Th17 cells were increased in BAFF
Tg B6 (B6.BTg) mice and decreased in B6.Baff(-/-) mice. Th17 cells in B6.Baff(-/
) mice bearing a BAFF Tg (B6.Baff(-/-).BTg mice) were identical to those in
B6.BTg mice, indicating that membrane BAFF is dispensable for Th17 cell
generation as long as soluble BAFF is plentiful. In T + non-T cell criss-cross co
cultures, Th17 cell generation was greatest in cultures containing B6.BTg T cells
and lowest in cultures containing B6.Baff(-/-) T cells, regardless of the source
of non-T cells. In cultures containing only T cells, Th17 cell generation
followed an identical pattern. CD4(+) cell expression of CD126 (IL-6R alpha
chain) was increased in B6.BTg mice and decreased in B6.Baff(-/-) mice, and
activation of STAT3 following stimulation with IL-6 + TGF-beta was also greatest
in B6.BTg cells and lowest in B6.Baff(-/-) cells. EAE was clinically and
pathologically most severe in B6.BTg mice and least severe in B6.Baff(-/-) mice
and correlated with MOG(35-55) peptide-induced Th17 cell responses.
CONCLUSIONS/SIGNIFICANCE: Collectively, these findings document a contribution of
BAFF to pathogenic Th17 cell responses and suggest that BAFF antagonism may be
efficacious in Th17 cell-driven diseases.
PMID- 21897851
TI - Quantification of age-dependent somatic CAG repeat instability in Hdh CAG knock
in mice reveals different expansion dynamics in striatum and liver.
AB - BACKGROUND: Age at onset of Huntington's disease (HD) is largely determined by
the CAG trinucleotide repeat length in the HTT gene. Importantly, the CAG repeat
undergoes tissue-specific somatic instability, prevalent in brain regions that
are disease targets, suggesting a potential role for somatic CAG repeat
instability in modifying HD pathogenesis. Thus, understanding underlying
mechanisms of somatic CAG repeat instability may lead to discoveries of novel
therapeutics for HD. Investigation of the dynamics of the CAG repeat size changes
over time may provide insights into the mechanisms underlying CAG repeat
instability. METHODOLOGY/PRINCIPAL FINDINGS: To understand how the HTT CAG repeat
length changes over time, we quantified somatic instability of the CAG repeat in
Huntington's disease CAG knock-in mice from 2-16 months of age in liver,
striatum, spleen and tail. The HTT CAG repeat in spleen and tail was very stable,
but that in liver and striatum expanded over time at an average rate of one CAG
per month. Interestingly, the patterns of repeat instability were different
between liver and striatum. Unstable CAG repeats in liver repeatedly gained
similar sizes of additional CAG repeats (approximately two CAGs per month),
maintaining a distinct population of unstable repeats. In contrast, unstable CAG
repeats in striatum gained additional repeats with different sizes resulting in
broadly distributed unstable CAG repeats. Expanded CAG repeats in the liver were
highly enriched in polyploid hepatocytes, suggesting that the pattern of liver
instability may reflect the restriction of the unstable repeats to a unique cell
type. CONCLUSIONS/SIGNIFICANCE: Our results are consistent with repeat expansion
occurring as a consequence of recurrent small repeat insertions that differ in
different tissues. Investigation of the specific mechanisms that underlie liver
and striatal instability will contribute to our understanding of the relationship
between instability and disease and the means to intervene in this process.
PMID- 21897853
TI - Detection, properties, and frequency of local calcium release from the
sarcoplasmic reticulum in teleost cardiomyocytes.
AB - Calcium release from the sarcoplasmic reticulum (SR) plays a central role in the
regulation of cardiac contraction and rhythm in mammals and humans but its role
is controversial in teleosts. Since the zebrafish is an emerging model for
studies of cardiovascular function and regeneration we here sought to determine
if basic features of SR calcium release are phylogenetically conserved. Confocal
calcium imaging was used to detect spontaneous calcium release (calcium sparks
and waves) from the SR. Calcium sparks were detected in 16 of 38 trout atrial
myocytes and 6 of 15 ventricular cells. The spark amplitude was 1.45+/-0.03 times
the baseline fluorescence and the time to half maximal decay of sparks was 27+/-3
ms. Spark frequency was 0.88 sparks um(-1) min(-1) while calcium waves were 8.5
times less frequent. Inhibition of SR calcium uptake reduced the calcium
transient (F/F(0)) from 1.77+/-0.17 to 1.12+/-0.18 (p = 0.002) and abolished
calcium sparks and waves. Moreover, elevation of extracellular calcium from 2 to
10 mM promoted early and delayed afterdepolarizations (from 0.6+/-0.3 min(-1) to
8.1+/-2.0 min(-1), p = 0.001), demonstrating the ability of SR calcium release to
induce afterdepolarizations in the trout heart. Calcium sparks of similar width
and duration were also observed in zebrafish ventricular myocytes. In conclusion,
this is the first study to consistently report calcium sparks in teleosts and
demonstrate that the basic features of calcium release through the ryanodine
receptor are conserved, suggesting that teleost cardiac myocytes is a relevant
model to study the functional impact of abnormal SR function.
PMID- 21897852
TI - Bone mineral density in HIV-negative men participating in a tenofovir pre
exposure prophylaxis randomized clinical trial in San Francisco.
AB - BACKGROUND: Pre-exposure prophylaxis (PrEP) trials are evaluating regimens
containing tenofovir-disoproxil fumarate (TDF) for HIV prevention. We determined
the baseline prevalence of low bone mineral density (BMD) and the effect of TDF
on BMD in men who have sex with men (MSM) in a PrEP trial in San Francisco.
METHODS/FINDINGS: We evaluated 1) the prevalence of low BMD using Dual Energy X
ray Absorptiometry (DEXA) in a baseline cohort of 210 HIV-uninfected MSM who
screened for a randomized clinical trial of daily TDF vs. placebo, and 2) the
effects of TDF on BMD in a longitudinal cohort of 184 enrolled men. Half began
study drug after a 9-month delay to evaluate changes in risk behavior associated
with pill-use. At baseline, 20 participants (10%) had low BMD (Z score<=-2.0 at
the L2-L4 spine, total hip, or femoral neck). Low BMD was associated with
amphetamine (OR = 5.86, 95% CI 1.70-20.20) and inhalant (OR = 4.57, 95% CI 1.32
15.81) use; men taking multivitamins, calcium, or vitamin D were less likely to
have low BMD at baseline (OR = 0.26, 95% CI 0.10-0.71). In the longitudinal
analysis, there was a 1.1% net decrease in mean BMD in the TDF vs. the pre
treatment/placebo group at the femoral neck (95% CI 0.4-1.9%), 0.8% net decline
at the total hip (95% CI 0.3-1.3%), and 0.7% at the L2-L4 spine (95% CI -0.1
1.5%). At 24 months, 13% vs. 6% of participants experienced >5% BMD loss at the
femoral neck in the TDF vs. placebo groups (p = 0.13). CONCLUSIONS: Ten percent
of HIV-negative MSM had low BMD at baseline. TDF use resulted in a small but
statistically significant decline in BMD at the total hip and femoral neck.
Larger studies with longer follow-up are needed to determine the trajectory of
BMD changes and any association with clinical fractures. TRIAL REGISTRATION:
ClinicalTrials.gov: NCT00131677.
PMID- 21897854
TI - A poorly known high-latitude parasitoid wasp community: unexpected diversity and
dramatic changes through time.
AB - Climate change will have profound and unanticipated effects on species
distributions. The pace and nature of this change is largely unstudied,
especially for the most diverse elements of terrestrial communities--the
arthropods--here we have only limited knowledge concerning the taxonomy and the
ecology of these groups. Because Arctic ecosystems have already experienced
significant increases in temperature over the past half century, shifts in
community structure may already be in progress. Here we utilise collections of a
particularly hyperdiverse insect group--parasitoid wasps (Hymenoptera;
Braconidae; Microgastrinae)--at Churchill, Manitoba, Canada in the early and mid
twentieth century to compare the composition of the contemporary community to
that present 50-70 years ago. Morphological and DNA barcoding results revealed
the presence of 79 species of microgastrine wasps in collections from Churchill,
but we estimate that 20% of the local fauna awaits detection. Species composition
and diversity between the two time periods differ significantly; species that
were most common in historic collections were not found in contemporary
collections and vice versa. Using barcodes we compared these collections to
others from across North America; contemporary Churchill species are most
affiliated with more south-western collections, while historic collections were
more affiliated with eastern collections. The past five decades has clearly seen
a dramatic change of species composition within the area studied coincident with
rising temperature.
PMID- 21897856
TI - Global distribution of Polaromonas phylotypes--evidence for a highly successful
dispersal capacity.
AB - Bacteria from the genus Polaromonas are dominant phylotypes in clone libraries
and culture collections from polar and high-elevation environments. Although
Polaromonas has been found on six continents, we do not know if the same
phylotypes exist in all locations or if they exhibit genetic isolation by
distance patterns. To examine their biogeographic distribution, we analyzed all
available, long-read 16S rRNA gene sequences of Polaromonas phylotypes from
glacial and periglacial environments across the globe. Using genetic isolation by
geographic distance analyses, including Mantel tests and Mantel correlograms, we
found that Polaromonas phylotypes are globally distributed showing weak isolation
by distance patterns at global scales. More focused analyses using discrete,
equally sampled distances classes, revealed that only two distance classes (out
of 12 total) showed significant spatial structuring. Overall, our analyses show
that most Polaromonas phylotypes are truly globally distributed, but that some,
as yet unknown, environmental variable may be selecting for unique phylotypes at
a minority of our global sites. Analyses of aerobiological and genomic data
suggest that Polaromonas phylotypes are globally distributed as dormant cells
through high-elevation air currents; Polaromonas phylotypes are common in air and
snow samples from high altitudes, and a glacial-ice metagenome and the two
sequenced Polaromonas genomes contain the gene hipA, suggesting that Polaromonas
can form dormant cells.
PMID- 21897855
TI - High fat diet induces formation of spontaneous liposarcoma in mouse adipose
tissue with overexpression of interleukin 22.
AB - Interleukin 22 (IL-22) is a T-cell secreted cytokine that modulates inflammatory
response in nonhematopoietic tissues such as epithelium and liver. The function
of IL-22 in adipose tissue is currently unknown. We generated a transgenic mouse
model with overexpression of IL-22 specifically in adipose tissue. The IL-22
transgenic mice had no apparent changes in obesity and insulin resistance after
feeding with high fat diet (HFD). Unexpectedly, all the IL-22 transgenic mice fed
with HFD for four months developed spontaneous tumors in epididymal adipose
tissue. Histological analysis indicated that the tumors were well-differentiated
liposarcomas with infiltration of inflammatory cells. IL-22 overexpression
promotes production of inflammatory cytokines such as IL-1beta and IL-10 and
stimulates ERK phosphorylation in adipose tissue. Furthermore, IL-22 treatment in
differentiated 3T3-L1 adipocytes could induce IL-1beta and IL-10 expression,
together with stimulation of ERK phosphorylation. Taken together, our study not
only established a novel mouse model with spontaneous liposarcoma, but also
revealed that IL-22 overexpression may collaborate with diet-induced obesity to
impact on tumor development in mouse.
PMID- 21897857
TI - Acute toxicity of the antifouling compound butenolide in non-target organisms.
AB - Butenolide [5-octylfuran-2(5H)-one] is a recently discovered and very promising
anti-marine-fouling compound. In this study, the acute toxicity of butenolide was
assessed in several non-target organisms, including micro algae, crustaceans, and
fish. Results were compared with previously reported results on the effective
concentrations used on fouling (target) organisms. According to OECD's guideline,
the predicted no effect concentration (PNEC) was 0.168 ug l(-1), which was among
one of the highest in representative new biocides. Mechanistically, the phenotype
of butenolide-treated Danio rerio (zebrafish) embryos was similar to the
phenotype of the pro-caspase-3 over-expression mutant with pericardial edema,
small eyes, small brains, and increased numbers of apoptotic cells in the bodies
of zebrafish embryos. Butenolide also induced apoptosis in HeLa cells, with the
activation of c-Jun N-terminal kinases (JNK), Bcl-2 family proteins, and caspases
and proteasomes/lysosomes involved in this process. This is the first detailed
toxicity and toxicology study on this antifouling compound.
PMID- 21897858
TI - Combinations of SNPs related to signal transduction in bipolar disorder.
AB - Any given single nucleotide polymorphism (SNP) in a genome may have little or no
functional impact. A biologically significant effect may possibly emerge only
when a number of key SNP-related genotypes occur together in a single organism.
Thus, in analysis of many SNPs in association studies of complex diseases, it may
be useful to look at combinations of genotypes. Genes related to signal
transmission, e.g., ion channel genes, may be of interest in this respect in the
context of bipolar disorder. In the present study, we analysed 803 SNPs in 55
genes related to aspects of signal transmission and calculated all combinations
of three genotypes from the 3*803 SNP genotypes for 1355 controls and 607
patients with bipolar disorder. Four clusters of patient-specific combinations
were identified. Permutation tests indicated that some of these combinations
might be related to bipolar disorder. The WTCCC bipolar dataset were use for
replication, 469 of the 803 SNP were present in the WTCCC dataset either directly
(n = 132) or by imputation (n = 337) covering 51 of our selected genes. We found
three clusters of patient-specific 3*SNP combinations in the WTCCC dataset.
Different SNPs were involved in the clusters in the two datasets. The present
analyses of the combinations of SNP genotypes support a role for both genetic
heterogeneity and interactions in the genetic architecture of bipolar disorder.
PMID- 21897859
TI - Asthmatics exhibit altered oxylipin profiles compared to healthy individuals
after subway air exposure.
AB - BACKGROUND: Asthma is a chronic inflammatory lung disease that causes significant
morbidity and mortality worldwide. Air pollutants such as particulate matter (PM)
and oxidants are important factors in causing exacerbations in asthmatics, and
the source and composition of pollutants greatly affects pathological
implications. OBJECTIVES: This randomized crossover study investigated responses
of the respiratory system to Stockholm subway air in asthmatics and healthy
individuals. Eicosanoids and other oxylipins were quantified in the distal lung
to provide a measure of shifts in lipid mediators in association with exposure to
subway air relative to ambient air. METHODS: Sixty-four oxylipins representing
the cyclooxygenase (COX), lipoxygenase (LOX) and cytochrome P450 (CYP) metabolic
pathways were screened using liquid chromatography-tandem mass spectrometry (LC
MS/MS) of bronchoalveolar lavage (BAL)-fluid. Validations through
immunocytochemistry staining of BAL-cells were performed for 15-LOX-1, COX-1, COX
2 and peroxisome proliferator-activated receptor gamma (PPARgamma). Multivariate
statistics were employed to interrogate acquired oxylipin and immunocytochemistry
data in combination with patient clinical information. RESULTS: Asthmatics and
healthy individuals exhibited divergent oxylipin profiles following exposure to
ambient and subway air. Significant changes were observed in 8 metabolites of
linoleic- and alpha-linolenic acid synthesized via the 15-LOX pathway, and of the
COX product prostaglandin E(2) (PGE(2)). Oxylipin levels were increased in
healthy individuals following exposure to subway air, whereas asthmatics
evidenced decreases or no change. CONCLUSIONS: Several of the altered oxylipins
have known or suspected bronchoprotective or anti-inflammatory effects,
suggesting a possible reduced anti-inflammatory response in asthmatics following
exposure to subway air. These observations may have ramifications for sensitive
subpopulations in urban areas.
PMID- 21897860
TI - Dynamic regulation of Oct1 during mitosis by phosphorylation and ubiquitination.
AB - BACKGROUND: Transcription factor Oct1 regulates multiple cellular processes. It
is known to be phosphorylated during the cell cycle and by stress, however the
upstream kinases and downstream consequences are not well understood. One of
these modified forms, phosphorylated at S335, lacks the ability to bind DNA.
Other modification states besides phosphorylation have not been described.
METHODOLOGY/PRINCIPAL FINDINGS: We show that Oct1 is phosphorylated at S335 in
the Oct1 DNA binding domain during M-phase by the NIMA-related kinase Nek6.
Phospho-Oct1 is also ubiquitinated. Phosphorylation excludes Oct1 from mitotic
chromatin. Instead, Oct1(pS335) concentrates at centrosomes, mitotic spindle
poles, kinetochores and the midbody. Oct1 siRNA knockdown diminishes the signal
at these locations. Both Oct1 ablation and overexpression result in abnormal
mitoses. S335 is important for the overexpression phenotype, implicating this
residue in mitotic regulation. Oct1 depletion causes defects in spindle
morphogenesis in Xenopus egg extracts, establishing a mitosis-specific function
of Oct1. Oct1 colocalizes with lamin B1 at the spindle poles and midbody. At the
midbody, both proteins are mutually required to correctly localize the other. We
show that phospho-Oct1 is modified late in mitosis by non-canonical K11-linked
polyubiquitin chains. Ubiquitination requires the anaphase-promoting complex, and
we further show that the anaphase-promoting complex large subunit APC1 and
Oct1(pS335) interact. CONCLUSIONS/SIGNIFICANCE: These findings reveal mechanistic
coupling between Oct1 phosphorylation and ubquitination during mitotic
progression, and a role for Oct1 in mitosis.
PMID- 21897861
TI - Betacellulin-induced beta cell proliferation and regeneration is mediated by
activation of ErbB-1 and ErbB-2 receptors.
AB - BACKGROUND: Betacellulin (BTC), a member of the epidermal growth factor family,
is known to play an important role in regulating growth and differentiation of
pancreatic beta cells. Growth-promoting actions of BTC are mediated by epidermal
growth factor receptors (ErbBs), namely ErbB-1, ErbB-2, ErbB-3 and ErbB-4;
however, the exact mechanism for beta cell proliferation has not been elucidated.
Therefore, we investigated which ErbBs are involved and some molecular mechanisms
by which BTC regulates beta cell proliferation. METHODOLOGY/PRINCIPAL FINDINGS:
The expression of ErbB-1, ErbB-2, ErbB-3, and ErbB-4 mRNA was detected by RT-PCR
in both a beta cell line (MIN-6 cells) and C57BL/6 mouse islets.
Immunoprecipitation and western blotting analysis showed that BTC treatment of
MIN-6 cells induced phosphorylation of only ErbB-1 and ErbB-2 among the four EGF
receptors. BTC treatment resulted in DNA synthetic activity, cell cycle
progression, and bromodeoxyuridine (BrdU)-positive staining. The proliferative
effect was blocked by treatment with AG1478 or AG825, specific tyrosine kinase
inhibitors of ErbB-1 and ErbB-2, respectively. BTC treatment increased mRNA and
protein levels of insulin receptor substrate-2 (IRS-2), and this was blocked by
the ErbB-1 and ErbB-2 inhibitors. Inhibition of IRS-2 by siRNA blocked cell cycle
progression induced by BTC treatment. Streptozotocin-induced diabetic mice
injected with a recombinant adenovirus expressing BTC and treated with AG1478 or
AG825 showed reduced islet size, reduced numbers of BrdU-positive cells in the
islets, and did not attain BTC-mediated remission of diabetes.
CONCLUSIONS/SIGNIFICANCE: These results suggest that BTC exerts proliferative
activity on beta cells through the activation of ErbB-1 and ErbB-2 receptors,
which may increase IRS-2 expression, contributing to the regeneration of beta
cells.
PMID- 21897862
TI - A toxin-antitoxin module in Bacillus subtilis can both mitigate and amplify
effects of lethal stress.
AB - BACKGROUND: Bacterial type-2 (protein-protein) toxin-antitoxin (TA) modules are
two-gene operons that are thought to participate in the response to stress.
Previous work with Escherichia coli has led to a debate in which some
investigators conclude that the modules protect from stress, while others argue
that they amplify lethal stress and lead to programmed cell death. To avoid
ambiguity arising from the presence of multiple TA modules in E. coli, the effect
of the sole type-2 toxin-antitoxin module of Bacillus subtilis was examined for
several types of lethal stress. METHODOLOGY/PRINCIPAL FINDINGS: Genetic knockout
of the toxin gene, ndoA (ydcE), conferred protection to lethal stressors that
included kanamycin, moxifloxacin, hydrogen peroxide, and UV irradiation. However,
at low doses of UV irradiation the ndoA deficiency increased lethality. Indeed,
gradually increasing UV dose with the ndoA mutant revealed a crossover response-
from the mutant being more sensitive than wild-type cells to being less
sensitive. For high temperature and nutrient starvation, the toxin deficiency
rendered cells hypersensitive. The ndoA deficiency also reduced sporulation
frequency, indicating a role for toxin-antitoxin modules in this developmental
process. In the case of lethal antimicrobial treatment, deletion of the toxin
eliminated a surge in hydrogen peroxide accumulation observed in wild-type cells.
CONCLUSIONS: A single toxin-antitoxin module can mediate two opposing effects of
stress, one that lowers lethality and another that raises it. Protective effects
are thought to arise from toxin-mediated inhibition of translation based on
published work. The enhanced, stress-mediated killing probably involves toxin
dependent accumulation of reactive oxygen species, since a deficiency in the NdoA
toxin suppressed peroxide accumulation following antimicrobial treatment. The
type and perhaps the level of stress appear to be important for determining
whether this toxin will have a protective or detrimental effect.
PMID- 21897863
TI - Understanding original antigenic sin in influenza with a dynamical system.
AB - Original antigenic sin is the phenomenon in which prior exposure to an antigen
leads to a subsequent suboptimal immune response to a related antigen. Immune
memory normally allows for an improved and rapid response to antigens previously
seen and is the mechanism by which vaccination works. I here develop a dynamical
system model of the mechanism of original antigenic sin in influenza, clarifying
and explaining the detailed spin-glass treatment of original antigenic sin. The
dynamical system describes the viral load, the quantities of healthy and infected
epithelial cells, the concentrations of naive and memory antibodies, and the
affinities of naive and memory antibodies. I give explicit correspondences
between the microscopic variables of the spin-glass model and those of the
present dynamical system model. The dynamical system model reproduces the
phenomenon of original antigenic sin and describes how a competition between
different types of B cells compromises the overall effect of immune response. I
illustrate the competition between the naive and the memory antibodies as a
function of the antigenic distance between the initial and subsequent antigens.
The suboptimal immune response caused by original antigenic sin is observed when
the host is exposed to an antigen which has intermediate antigenic distance to a
second antigen previously recognized by the host's immune system.
PMID- 21897864
TI - Self-reported ethnicity and genetic ancestry in relation to oral cancer and pre
cancer in Puerto Rico.
AB - BACKGROUND: Hispanics are known to be an extremely diverse and genetically
admixed ethnic group. The lack of methodologies to control for ethnicity and the
unknown admixture in complex study populations of Hispanics has left a gap in
understanding certain cancer disparity issues. Incidence rates for oral and
pharyngeal cancer (OPC) in Puerto Rico are among the highest in the Western
Hemisphere. We conducted an epidemiological study to examine risk and protective
factors, in addition to possible genetic susceptibility components, for oral
cancer and precancer in Puerto Rico. METHODOLOGY/PRINCIPAL FINDINGS: We recruited
310 Puerto Rico residents who had been diagnosed with either an incident oral
squamous cell carcinoma, oral precancer, or benign oral condition. Participants
completed an in-person interview and contributed buccal cells for DNA extraction.
ABI Biosystem TaqmanTM primer sets were used for genotyping 12 ancestry
informative markers (AIMs). Ancestral group estimates were generated using
maximum likelihood estimation software (LEADMIX), and additional principal
component analysis was carried out to detect population substructures. We used
unconditional logistic regression to assess the contribution of ancestry to the
risk of being diagnosed with either an oral cancer or precancer while controlling
for other potential confounders. The maximum likelihood estimates showed that
study participants had a group average ancestry contribution of 69.9% European,
24.5% African, and 5.7% detectable Native American. The African and Indigenous
American group estimates were significantly higher than anticipated. Neither self
identified ethnicity nor ancestry markers showed any significant associations
with oral cancer/precancer risk in our study. CONCLUSIONS/SIGNIFICANCE: The
application of ancestry informative markers (AIMs), specifically designed for
Hispanics, suggests no hidden population substructure is present based on our
sampling and provides a viable approach for the evaluation and control of
ancestry in future studies involving Hispanic populations.
PMID- 21897865
TI - Inter-observer agreement on subjects' race and race-informative characteristics.
AB - Health and socioeconomic disparities tend to be experienced along racial and
ethnic lines, but investigators are not sure how individuals are assigned to
groups, or how consistent this process is. To address these issues, 1,919
orthodontic patient records were examined by at least two observers who estimated
each individual's race and the characteristics that influenced each estimate.
Agreement regarding race is high for African and European Americans, but not as
high for Asian, Hispanic, and Native Americans. The indicator observers most
often agreed upon as important in estimating group membership is name, especially
for Asian and Hispanic Americans. The observers, who were almost all European
American, most often agreed that skin color is an important indicator of race
only when they also agreed the subject was European American. This suggests that
in a diverse community, light skin color is associated with a particular group,
while a range of darker shades can be associated with members of any other group.
This research supports comparable studies showing that race estimations in
medical records are likely reliable for African and European Americans, but are
less so for other groups. Further, these results show that skin color is not
consistently the primary indicator of an individual's race, but that other
characteristics such as facial features add significant information.
PMID- 21897867
TI - Quantifying the ocean, freshwater and human effects on year-to-year variability
of one-sea-winter Atlantic salmon angled in multiple Norwegian rivers.
AB - Many Atlantic salmon, Salmo salar, populations are decreasing throughout the
species' distributional range probably due to several factors acting in concert.
A number of studies have documented the influence of freshwater and ocean
conditions, climate variability and human impacts resulting from impoundment and
aquaculture. However, most previous research has focused on analyzing single or
only a few populations, and quantified isolated effects rather than handling
multiple factors in conjunction. By using a multi-river mixed-effects model we
estimated the effects of oceanic and river conditions, as well as human impacts,
on year-to-year and between-river variability across 60 time series of
recreational catch of one-sea-winter salmon (grilse) from Norwegian rivers over
29 years (1979-2007). Warm coastal temperatures at the time of smolt entrance
into the sea and increased water discharge during upstream migration of mature
fish were associated with higher rod catches of grilse. When hydropower stations
were present in the course of the river systems the strength of the relationship
with runoff was reduced. Catches of grilse in the river increased significantly
following the reduction of the harvesting of this life-stage at sea. However, an
average decreasing temporal trend was still detected and appeared to be stronger
in the presence of salmon farms on the migration route of smolts in coastal/fjord
areas. These results suggest that both ocean and freshwater conditions in
conjunction with various human impacts contribute to shape interannual
fluctuations and between-river variability of wild Atlantic salmon in Norwegian
rivers. Current global change altering coastal temperature and water flow
patterns might have implications for future grilse catches, moreover, positioning
of aquaculture facilities as well as the implementation of hydropower schemes or
other encroachments should be made with care when implementing management actions
and searching for solutions to conserve this species.
PMID- 21897866
TI - In silico approach to inhibition of signaling pathways of Toll-like receptors 2
and 4 by ST2L.
AB - Toll-like receptors (TLRs) activate a potent immunostimulatory response. There is
clear evidence that overactivation of TLRs leads to infectious and inflammatory
diseases. Recent biochemical studies have shown that the membrane-bound form of
ST2 (ST2L), a member of the Toll-like/IL-1 receptor superfamily, negatively
regulates MyD88-dependent TLR signaling pathways by sequestrating the adapters
MyD88 and Mal (TIRAP). Specifically, ST2L attenuates the recruitment of Mal and
MyD88 adapters to their receptors through its intracellular TIR domain. Thus,
ST2L is a potent molecule that acts as a key regulator of endotoxin tolerance and
modulates innate immunity. So far, the inhibitory mechanism of ST2L at the
molecular level remains elusive. To develop a working hypothesis for the
interactions between ST2L, TLRs (TLR1, 2, 4, and 6), and adapter molecules (MyD88
and Mal), we constructed three-dimensional models of the TIR domains of TLR4, 6,
Mal, and ST2L based on homology modeling. Since the crystal structures of the TIR
domains of TLR1, 2 as well as the NMR solution structure of MyD88 are known, we
utilized these structures in our analysis. The TIR domains of TLR1, 2, 4, 6,
MyD88, Mal and ST2L were subjected to molecular dynamics (MD) simulations in an
explicit solvent environment. The refined structures obtained from the MD
simulations were subsequently used in molecular docking studies to probe for
potential sites of interactions. Through protein-protein docking analysis, models
of the essential complexes involved in TLR2 and 4 signaling and ST2L inhibiting
processes were developed. Our results suggest that ST2L may exert its inhibitory
effect by blocking the molecular interface of Mal and MyD88 adapters mainly
through its BB-loop region. Our predicted oligomeric signaling models may provide
a basis for the understanding of the assembly process of TIR domain interactions,
which has thus far proven to be difficult via in vivo studies.
PMID- 21897868
TI - Genotyping of Capreolus pygargus fossil DNA from Denisova cave reveals
phylogenetic relationships between ancient and modern populations.
AB - BACKGROUND: The extant roe deer (Capreolus Gray, 1821) includes two species: the
European roe deer (C. capreolus) and the Siberian roe deer (C. pygargus) that are
distinguished by morphological and karyotypical differences. The Siberian roe
deer occupies a vast area of Asia and is considerably less studied than the
European roe deer. Modern systematics of the Siberian roe deer remain
controversial with 4 morphological subspecies. Roe deer fossilized bones are
quite abundant in Denisova cave (Altai Mountains, South Siberia), where dozens of
both extant and extinct mammalian species from modern Holocene to Middle
Pleistocene have been retrieved. METHODOLOGY/PRINCIPAL FINDINGS: We analyzed a
629 bp fragment of the mitochondrial control region from ancient bones of 10
Holocene and four Pleistocene Siberian roe deer from Denisova cave as well as 37
modern specimen belonging to populations from Altai, Tian Shan (Kyrgyzstan),
Yakutia, Novosibirsk region and the Russian Far East. Genealogical
reconstructions indicated that most Holocene haplotypes were probably ancestral
for modern roe deer populations of Western Siberia and Tian Shan. One of the
Pleistocene haplotypes was possibly ancestral for modern Yakutian populations,
and two extinct Pleistocene haplotypes were close to modern roe deer from Tian
Shan and Yakutia. Most modern geographical populations (except for West Siberian
Plains) are heterogeneous and there is some tentative evidence for structure.
However, we did not find any distinct phylogenetic signal characterizing
particular subspecies in either modern or ancient samples.
CONCLUSION/SIGNIFICANCE: Analysis of mitochondrial DNA from both ancient and
modern samples of Siberian roe deer shed new light on understanding the
evolutionary history of roe deer. Our data indicate that during the last 50,000
years multiple replacements of populations of the Siberian roe deer took place in
the Altai Mountains correlating with climatic changes. The Siberian roe deer
represent a complex and heterogeneous species with high migration rates and
without evident subspecies structure. Low genetic diversity of the West Siberian
Plain population indicates a recent bottleneck or founder effect.
PMID- 21897869
TI - A genome-wide linkage scan for distinct subsets of schizophrenia characterized by
age at onset and neurocognitive deficits.
AB - BACKGROUND: As schizophrenia is genetically and phenotypically heterogeneous,
targeting genetically informative phenotypes may help identify greater linkage
signals. The aim of the study is to evaluate the genetic linkage evidence for
schizophrenia in subsets of families with earlier age at onset or greater
neurocognitive deficits. METHODS: Patients with schizophrenia (n = 1,207) and
their first-degree relatives (n = 1,035) from 557 families with schizophrenia
were recruited from six data collection field research centers throughout Taiwan.
Subjects completed a face-to-face semi-structured interview, the Continuous
Performance Test (CPT), the Wisconsin Card Sorting Test, and were genotyped with
386 microsatellite markers across the genome. RESULTS: A maximum nonparametric
logarithm of odds (LOD) score of 4.17 at 2q22.1 was found in 295 families ranked
by increasing age at onset, which had significant increases in the maximum LOD
score compared with those obtained in initial linkage analyses using all
available families. Based on this subset, a further subsetting by false alarm
rate on the undegraded and degraded CPT obtained further increase in the nested
subset-based LOD on 2q22.1, with a score of 7.36 in 228 families and 7.71 in 243
families, respectively. CONCLUSION: We found possible evidence of linkage on
chromosome 2q22.1 in families of schizophrenia patients with more CPT false alarm
rates nested within the families with younger age at onset. These results
highlight the importance of incorporating genetically informative phenotypes in
unraveling the complex genetics of schizophrenia.
PMID- 21897870
TI - Bacterial inactivation of wound infection in a human skin model by liquid-phase
discharge plasma.
AB - BACKGROUND: We investigate disinfection of a reconstructed human skin model
contaminated with biofilm-formative Staphylococcus aureus employing plasma
discharge in liquid. PRINCIPAL FINDINGS: We observed statistically significant
3.83-log10 (p<0.001) and 1.59-log10 (p<0.05) decreases in colony forming units of
adherent S. aureus bacteria and 24 h S. aureus biofilm culture with plasma
treatment. Plasma treatment was associated with minimal changes in histological
morphology and tissue viability determined by means of MTT assay. Spectral
analysis of the plasma discharge indicated the presence of highly reactive atomic
oxygen radicals (777 nm and 844 nm) and OH bands in the UV region. The
contribution of these and other plasma-generated agents and physical conditions
to the reduction in bacterial load are discussed. CONCLUSIONS: These findings
demonstrate the potential of liquid plasma treatment as a potential adjunct
therapy for chronic wounds.
PMID- 21897871
TI - Expression of APOBEC3G/3F and G-to-A hypermutation levels in HIV-1-infected
children with different profiles of disease progression.
AB - OBJECTIVE: Increasing evidence has accumulated showing the role of APOBEC3G (A3G)
and 3F (A3F) in the control of HIV-1 replication and disease progression in
humans. However, very few studies have been conducted in HIV-infected children.
Here, we analyzed the levels of A3G and A3F expression and induced G-to-A
hypermutation in a group of children with distinct profiles of disease
progression. METHODOLOGY/PRINCIPAL FINDINGS: Perinatally HIV-infected children
were classified as progressors or long-term non-progressors according to criteria
based on HIV viral load and CD4 T-cell counts over time. A group of uninfected
control children were also enrolled in the study. PBMC proviral DNA was assessed
for G-to-A hypermutation, whereas A3G and A3F mRNA were isolated and quantified
through TaqMan(r) real-time PCR. No correlation was observed between disease
progression and A3G/A3F expression or hypermutation levels. Although all children
analyzed showed higher expression levels of A3G compared to A3F (an average fold
of 5 times), a surprisingly high A3F-related hypermutation rate was evidenced in
the cohort, irrespective of the child's disease progression profile. CONCLUSION:
Our results contribute to the current controversy as to whether HIV disease
progression is related to A3G/A3F enzymatic activity. To our knowledge, this is
the first study analyzing A3G/F expression in HIV-infected children, and it may
pave the way to a better understanding of the host factors governing HIV disease
in the pediatric setting.
PMID- 21897872
TI - Sensitization prevalence, antibody cross-reactivity and immunogenic peptide
profile of Api g 2, the non-specific lipid transfer protein 1 of celery.
AB - BACKGROUND: Celery (Apium graveolens) represents a relevant allergen source that
can elicit severe reactions in the adult population. To investigate the
sensitization prevalence and cross-reactivity of Api g 2 from celery stalks in a
Mediterranean population and in a mouse model. METHODOLOGY: 786 non-randomized
subjects from Italy were screened for IgE reactivity to rApi g 2, rArt v 3
(mugwort pollen LTP) and nPru p 3 (peach LTP) using an allergen microarray.
Clinical data of 32 selected patients with reactivity to LTP under investigation
were evaluated. Specific IgE titers and cross-inhibitions were performed in ELISA
and allergen microarray. Balb/c mice were immunized with purified LTPs; IgG
titers were determined in ELISA and mediator release was examined using RBL-2H3
cells. Simulated endolysosomal digestion was performed using microsomes obtained
from human DCs. RESULTS: IgE testing showed a sensitization prevalence of 25.6%
to Api g 2, 18.6% to Art v 3, and 28.6% to Pru p 3 and frequent co-sensitization
and correlating IgE-reactivity was observed. 10/32 patients suffering from LTP
related allergy reported symptoms upon consumption of celery stalks which mainly
presented as OAS. Considerable IgE cross-reactivity was observed between Api g 2,
Art v 3, and Pru p 3 with varying inhibition degrees of individual patients'
sera. Simulating LTP mono-sensitization in a mouse model showed development of
more congruent antibody specificities between Api g 2 and Art v 3. Notably,
biologically relevant murine IgE cross-reactivity was restricted to the latter
and diverse from Pru p 3 epitopes. Endolysosomal processing of LTP showed
generation of similar clusters, which presumably represent T-cell peptides.
CONCLUSIONS: Api g 2 represents a relevant celery stalk allergen in the LTP
sensitized population. The molecule displays common B cell epitopes and
endolysosomal peptides that encompass T cell epitopes with pollen and plant-food
derived LTP.
PMID- 21897873
TI - Synergistic activation of cardiac genes by myocardin and Tbx5.
AB - Myocardial differentiation is associated with the activation and expression of an
array of cardiac specific genes. However, the transcriptional networks that
control cardiac gene expression are not completely understood. Myocardin is a
cardiac and smooth muscle-specific expressed transcriptional coactivator of Serum
Response Factor (SRF) and is able to potently activate cardiac and smooth muscle
gene expression during development. We hypothesize that myocardin discriminates
between cardiac and smooth muscle specific genes by associating with distinct co
factors. Here, we show that myocardin directly interacts with Tbx5, a member of
the T-box family of transcription factors involved in the Holt-Oram syndrome.
Tbx5 synergizes with myocardin to activate expression of the cardiac specific
genes atrial natriuretic factor (ANF) and alpha myosin heavy chain (alpha-MHC),
but not that of smooth muscle specific genes SM22 or smooth muscle myosin heavy
chain (SM-MHC). We found that this synergistic activation of shared target genes
is dependent on the binding sites for Tbx5, T-box factor-Binding Elements (TBEs).
Myocardin and Tbx5 physically interact and their interaction domains were mapped
to the basic domain and the coil domain of myocardin and Tbx5, respectively. Our
analysis demonstrates that the Tbx5G80R mutation, which leads to the Holt-Oram
syndrome in humans, failed to synergize with myocardin to activate cardiac gene
expression. These data uncover a key role for Tbx5 and myocardin in establishing
the transcriptional foundation for cardiac gene activation and suggest that the
interaction of myocardin and Tbx5 maybe involved in cardiac development and
diseases.
PMID- 21897874
TI - Unstable transcripts in Arabidopsis allotetraploids are associated with
nonadditive gene expression in response to abiotic and biotic stresses.
AB - Genome-wide analysis has documented differential gene expression between closely
related species in plants and animals and nonadditive gene expression in hybrids
and allopolyploids compared to the parents. In Arabidopsis, 15-43% of genes are
expressed differently between the related species, Arabidopsis thaliana and
Arabidopsis arenosa, the majority of which are nonadditively expressed
(differently from mid-parent value) in allotetraploids. Nonadditive gene
expression can be caused by transcriptional regulation through chromatin
modifications, but the role of posttranscriptional regulation in nonadditive gene
expression is largely unknown. Here we reported genome-wide analysis of mRNA
decay in resynthesized Arabidopsis allotetraploids. Among ~26,000 annotated
genes, over 1% of gene transcripts showed rapid decay with an estimated half-life
of less than 60 minutes, and they are called allotetraploid genes with unstable
transcripts (AlloGUTs). Remarkably, 30% of alloGUTs matched the nonadditively
expressed genes, and their expression levels were negatively correlated with the
decay rate. Compared to all genes, these nonadditively expressed alloGUTs were
overrepresented 2-6-fold in the Gene Ontology (GOSlim) classifications in
response to abiotic and biotic stresses, signal transduction, and transcription.
Interestingly, the AlloGUTs include transcription factor genes that are highly
inducible under stress conditions and circadian clock regulators that regulate
growth in A. thaliana. These data suggest a role of mRNA stability in
homoeologous gene expression in Arabidopsis allopolyploids. The enrichment of
nonadditively expressed genes in stress-related pathways were commonly observed
in Arabidopsis and other allopolyploids such as wheat and cotton, which may
suggest a role for stress-mediated growth vigor in hybrids and allopolyploids.
PMID- 21897875
TI - Interferon-beta signaling contributes to Ras transformation.
AB - Increasing evidence has pointed to activated type I interferon signaling in
tumors. However, the molecular basis for such activation and its role in
tumorigenesis remain unclear. In the current studies, we report that activation
of type I interferon (IFN) signaling in tumor cells is primarily due to elevated
secretion of the type I interferon, IFN-beta. Studies in oncogene-transformed
cells suggest that oncogenes such as Ras and Src can activate IFN-beta signaling.
Significantly, elevated IFN-beta signaling in Ras-transformed mammary epithelial
MCF-10A cells was shown to contribute to Ras transformation as evidenced by
morphological changes, anchorage-independent growth, and migratory properties.
Our results demonstrate for the first time that the type I IFN, IFN-beta,
contributes to Ras transformation and support the notion that oncogene-induced
cytokines play important roles in oncogene transformation.
PMID- 21897876
TI - The combination of BH3-mimetic ABT-737 with the alkylating agent temozolomide
induces strong synergistic killing of melanoma cells independent of p53.
AB - Metastatic melanoma has poor prognosis and is refractory to most conventional
chemotherapies. The alkylating agent temozolomide (TMZ) is commonly used in
treating melanoma but has a disappointing response rate. Agents that can act
cooperatively with TMZ and improve its efficacy are thus highly sought after. The
BH3 mimetic ABT-737, which can induce apoptosis by targeting pro-survival Bcl-2
family members, has been found to enhance the efficacy of many conventional
chemotherapeutic agents in multiple cancers. We found that combining TMZ and ABT
737 induced strong synergistic apoptosis in multiple human melanoma cell lines.
When the drugs were used in combination in a mouse xenograft model, they
drastically reduced tumor growth at concentrations where each individual drug had
no significant effect. We found that TMZ treatment elevated p53 levels, and that
the pro-apoptotic protein Noxa was elevated in TMZ/ABT-737 treated cells.
Experiments with shRNA demonstrated that the synergistic effect of TMZ and ABT
737 was largely dependent on Noxa. Experiments with nutlin-3, a p53 inducer,
demonstrated that p53 induction was sufficient for synergistic cell death with
ABT-737 in a Noxa-dependent fashion. However, p53 was not necessary for TMZ/ABT
737 synergy as demonstrated by a p53-null line, indicating that TMZ and ABT-737
together induce Noxa in a p53-independent fashion. These results demonstrate that
targeting anti-apoptotic Bcl-2 members is a promising method for treating
metastatic melanoma, and that clinical trials with TMZ and Bcl-2 inhibitors are
warranted.
PMID- 21897877
TI - Relevance of stereotyped B-cell receptors in the context of the molecular,
cytogenetic and clinical features of chronic lymphocytic leukemia.
AB - Highly homologous B-cell receptors, characterized by non-random combinations of
immunoglobulin heavy-chain variable (IGHV) genes and heavy-chain complementarity
determining region-3 (HCDR3), are expressed in a recurrent fraction of patients
affected by chronic lymphocytic leukemia (CLL). We investigated the IGHV status
of 1131 productive IG rearrangements from a panel of 1126 CLL patients from a
multicenter Italian study group, and correlated the presence and class of HCDR3
stereotyped subsets with the major cytogenetic alterations evaluated by FISH,
molecular prognostic factors, and the time to first treatment (TTFT) of patients
with early stage disease (Binet A). Stereotyped HCDR3 sequences were found in 357
cases (31.7%), 231 of which (64.7%) were unmutated. In addition to the previously
described subsets, 31 new putative stereotypes subsets were identified.
Significant associations between different stereotyped HCDR3 sequences and
molecular prognostic factors, such as CD38 and ZAP-70 expression, IGHV mutational
status and genomic abnormalities were found. In particular, deletion of 17p13 was
significantly represented in stereotype subset #1. Notably, subset #1 was
significantly correlated with a substantially reduced TTFT compared to other CLL
groups showing unmutated IGHV, ZAP-70 or CD38 positivity and unfavorable
cytogenetic lesions including del(17)(p13). Moreover, subset #2 was strongly
associated with deletion of 13q14, subsets #8 and #10 with trisomy 12, whereas
subset #4 was characterized by the prevalent absence of the common cytogenetic
abnormalities. Our data from a large and representative panel of CLL patients
indicate that particular stereotyped HCDR3 sequences are associated with specific
cytogenetic lesions and a distinct clinical outcome.
PMID- 21897879
TI - Trends in food and drug administration inspection: A warning for the industry!
PMID- 21897878
TI - A New Family of Biuret Hydrolases Involved in S-Triazine Ring Metabolism.
AB - Biuret is an intermediate in the bacterial metabolism of s-triazine ring
compounds and is occasionally used as a ruminant feed supplement. We used
bioinformatics to identify a biuret hydrolase, an enzyme that has previously
resisted efforts to stabilize, purify and characterize. This newly discovered
enzyme is a member of the cysteine hydrolase superfamily, a family of enzymes
previously not found to be involved in s-triazine metabolism. The gene from
Rhizobium leguminosarum bv. viciae strain 3841 encoding biuret hydrolase was
synthesized, transformed into Escherichia coli, and expressed. The enzyme was
purified and found to be stable. Biuret hydrolase catalyzed the hydrolysis of
biuret to allophanate and ammonia. The k(cat)/K(M) of 1.7 * 10(5) M(-1)s(-1) and
the relatively low K(M) of 23 +/- 4 MUM together suggested that this enzyme acts
uniquely on biuret physiologically. This is supported by the fact that of the 34
substrate analogs of biuret tested, only two demonstrated reactivity, both at
less than 5% of the rate determined for biuret. Biuret hydrolase does not react
with carboxybiuret, the product of the enzyme immediately preceding biuret
hydrolase in the metabolic pathway for cyanuric acid. This suggests an unusual
metabolic strategy of an enzymatically-produced intermediate undergoing non
enzymatic decarboxylation to produce the substrate for the next enzyme in the
pathway.
PMID- 21897880
TI - The Year Ahead: An initiative to assess the impact of an intervention "Speaking
Book" on awareness among the potential participants about clinical trials in
India.
PMID- 21897881
TI - Challenges in clinical research.
PMID- 21897882
TI - Perception of various stakeholders regarding clinical drug trial industry in
India.
AB - CONTEXT: Though India has been thought to be an ideal destination for conduct of
clinical drug trials, other smaller countries seem to be doing better. The pace
of growth observed during 2005-2009 seems to be plateaued in 2010. AIMS: There is
an urgent need for introspection and corrective actions. MATERIALS AND METHODS:
An online survey was conducted among various stakeholders from clinical drug
trial industry in India regarding their perception about clinical drug trial
industry in India. Respondents were requested to rate training of investigator
sites, industry, performance of regulatory, etc. RESULTS: Majority of respondent
felt that the clinical drug trial industry in India is growing, though India is
not utilizing its full potential. Lack of trained investigators and delay in
regulatory approvals came out as biggest hurdles. CONCLUSIONS: Urgent steps need
to be taken in terms of proper training of all stakeholders. Regulatory bodies
ought to bring about some radical changes in the system so as to match the other
competing nations.
PMID- 21897883
TI - Clinical trials in private clinics.
PMID- 21897884
TI - Regulations and guidelines governing stem cell based products: Clinical
considerations.
AB - The use of stem cells as medicines is a promising and upcoming area of research
as they may be able to help the body to regenerate damaged or lost tissue in a
host of diseases like Parkinson's, multiple sclerosis, heart disease, liver
disease, spinal cord damage, cancer and many more. Translating basic stem cell
research into routine therapies is a complex multi-step process which entails the
challenge related to managing the expected therapeutic benefits with the
potential risks while complying with the existing regulations and guidelines.
While in the United States (US) and European Union (EU) regulations are in place,
in India, we do not have a well-defined regulatory framework for "stem cell based
products (SCBP)". There are several areas that need to be addressed as it is
quite different from that of pharmaceuticals. These range from establishing batch
consistency, product stability to product safety and efficacy through pre
clinical, clinical studies and marketing authorization. This review summarizes
the existing regulations/guidelines in US, EU, India, and the associated
challenges in developing SCBP with emphasis on clinical aspects.
PMID- 21897885
TI - Hybrid approaches to clinical trial monitoring: Practical alternatives to 100%
source data verification.
AB - For years, a vast majority of clinical trial industry has followed the tenet of
100% source data verification (SDV). This has been driven partly by the
overcautious approach to linking quality of data to the extent of monitoring and
SDV and partly by being on the safer side of regulations. The regulations
however, do not state any upper or lower limits of SDV. What it expects from
researchers and the sponsors is methodologies which ensure data quality. How the
industry does it is open to innovation and application of statistical methods,
targeted and remote monitoring, real time reporting, adaptive monitoring
schedules, etc. In short, hybrid approaches to monitoring. Coupled with concepts
of optimum monitoring and SDV at site and off-site monitoring techniques, it
should be possible to save time required to conduct SDV leading to more available
time for other productive activities. Organizations stand to gain directly or
indirectly from such savings, whether by diverting the funds back to the R&D
pipeline; investing more in technology infrastructure to support large trials; or
simply increasing sample size of trials. Whether it also affects the work-life
balance of monitors who may then need to travel with a less hectic schedule for
the same level of quality and productivity can be predicted only when there is
more evidence from field.
PMID- 21897886
TI - Negotiation skills for clinical research professionals.
AB - Negotiation as a skill is a key requirement for each and every job profile where
dealing with multiple parties is involved. The important focus while negotiating
should be on the interest then position. Key to every successful negotiation is
advance planning, preparation, and patience as the objective is to create value
and establish the terms on which parties with differing and often conflicting
aims will co-operate. While preparing one should collect facts, know priorities,
principles, identify common ground, decide on walk-away position, and try and
identify the next best alternative. Negotiation is a set of skills that can be
learned and practiced so that your ability to utilize relationship, knowledge,
money, power, time, and personality to negotiate improves with each negotiation.
In a successful negotiation, all parties win. Important thing to note is that not
every negotiation involves money. Anytime you want something from someone else
and anytime someone wants something from you, you are negotiating. Everything is
negotiable and every day you negotiate with customers, suppliers, colleagues,
your wife, and even your children. Negotiation is a game, and like any game it
has its rules and tactics. Clinical Research professionals deal with various
parties for different purposes at the same time; hence, they require excellent
negotiation skills. Project Mangers and Clinical Research Associates are the two
most important roles in clinical research industry who require negotiation skills
as they deal with various internal and external customers and vendors.
PMID- 21897887
TI - Intention-to-treat concept: A review.
AB - Randomized controlled trials often suffer from two major complications, i.e.,
noncompliance and missing outcomes. One potential solution to this problem is a
statistical concept called intention-to-treat (ITT) analysis. ITT analysis
includes every subject who is randomized according to randomized treatment
assignment. It ignores noncompliance, protocol deviations, withdrawal, and
anything that happens after randomization. ITT analysis maintains prognostic
balance generated from the original random treatment allocation. In ITT analysis,
estimate of treatment effect is generally conservative. A better application of
the ITT approach is possible if complete outcome data are available for all
randomized subjects. Per-protocol population is defined as a subset of the ITT
population who completed the study without any major protocol violations.
PMID- 21897888
TI - The other insulin story of 1921.
PMID- 21897889
TI - Gestational diabetes mellitus: A window of opportunity.
PMID- 21897890
TI - Intensifying existing premix therapy (BIAsp 30) with BIAsp 50 and BIAsp 70: A
consensus statement.
AB - In 2009, consensus guidelines were published on intensification of insulin
therapy using the premix analog biphasic insulin aspart (BIAsp) 30 in the
treatment of type 2 diabetes, based on the recommendations of an international,
independent expert panel. The guidelines included recommendations and titration
algorithms for intensification from basal insulin once (OD) or twice daily (BID)
to BIAsp 30 BID, from OD BIAsp 30 to BID, and from BID BIAsp 30 to three times
daily (TID). Building on these recommendations, the objective was to develop
similar, simple and effective guidelines for intensification switch from a BIAsp
30 to a mid-/high-ratio premix regimen for the vast majority of patients with
type 2 diabetes. A panel of independent experts with extensive clinical
experience in premix analog therapy met in October 2009 to review the therapeutic
role of mid- and high-ratio premixes (BIAsp 50 and 70, respectively). The panel
outlined a series of algorithms for intensifying BIAsp 30 BID and TID with mid
/high-ratio premixes, along with practical suggestions relating to
intensification for individual patients. A simple tool to aid dose adjustment was
also developed. The guidelines suggested here should assist physicians in
introducing mid-/high-ratio premixes to optimize the insulin therapy of patients
with type 2 diabetes who are failing to achieve glycemic targets on a BIAsp 30
BID or TID regimen.
PMID- 21897891
TI - Gestational diabetes mellitus: Get, set, go From diabetes capital of the world to
diabetes care capital of the world.
AB - Screening and diagnosis for gestational diabetes mellitus (GDM) as well as
interventions for its management evoke considerable controversy. There are
different types of screening methods: universal or risk-based, one step or two
step. Different thresholds for diagnosis of GDM have been in vogue. Previous
definition and diagnostic criteria had no place for diagnosis of overt diabetes
in pregnancy. Following Hyperglycemia and Adverse Pregnancy Outcomes (HAPO) study
and International Association of Diabetes and Pregnancy Study Groups (IADPSG)
recommendations, new screening and diagnostic criteria around the world seem to
be gaining consensus. The present recommendation given by IADPSG for screening
and diagnosis of diabetes mellitus in pregnancy has two discrete phases. The
first is detection of women with overt diabetes not previously diagnosed or
treated outside of pregnancy. Universal early testing in populations is
recommended at the first prenatal visit. The second phase is a 75-g OGTT at 24-28
week gestation in all women not previously found to have overt diabetes or GDM.
ACHOIS and MFMU Network trails have proven benefit in treating hyperglycemias
less than what is diagnostic for diabetes. DIPSI has shown the alternative way
for resource-challenged communities. Efforts from all stake holders with interest
in GDM are required to make the diabetes capital of the world into the diabetes
care capital of the world.
PMID- 21897892
TI - Adult hypopituitarism: Are we missing or is it clinical lethargy?
AB - Hypopituitarism, a disease of varied etiologies, is a serious endocrine illness
that requires early recognition and prompt treatment to avoid its severe
deleterious effects. In adults it is often missed due to non-specific symptoms of
growth hormone deficiency and hypogonadism or mild deficiencies of other
pituitary hormones. In some it may present with acute onset of symptoms
suggestive of acute adrenal (corticotropin) insufficiency or symptoms due to mass
lesion in/or around pituitary. High index of suspicion is required to seek
hypopituitarism in patients with non-specific symptoms such as fatigue and
malaise. Treatment of isolated hormone deficiency, partial or panhypopituitarism,
has gratifying results although they require lifelong treatment and follow-up.
PMID- 21897893
TI - Role of RANKL-RANK/osteoprotegerin molecular complex in bone remodeling and its
immunopathologic implications.
AB - Bone remodeling is a cyclic and continuous physiological process, which ensures
the conservation and renewal of the bone matrix. Osteosynthesis of the bone
matrix is achieved by osteoblasts and coordinated within this complex machinery
of bone remodeling with resorption of extracellular bone matrix performed by
osteoclasts. The mismatch between the activities of osteoblasts and osteoclasts
has immunopathologic implications associated with either a decrease or increase
of bone mass mineral density. The balance of the trimolecular control factor
complex composed of osteoprotegerin (OPG), RANKL (osteoprotegerin ligand) and
RANK maintains physiologic bone remodeling. This trimolecular complex functions
as receptors and ligands and belongs to the superfamily of tumor necrosis factor
(TNF). This mini review highlights the complex interplay of the RANKL-RANK/OPG
axis and their immunopathologic implications in clinical medicine.
PMID- 21897894
TI - Gaucher's disease.
AB - Gaucher's disease (GD) is the most common amongst the various disorders
classified under the lysosomal storage disorders. GD is a model for applications
of molecular medicine to clinical delineation, diagnosis, and treatment. The
multiorgan and varied presentation of the disease makes it a challenge to
diagnose GD early. The advent of enzyme replacement therapy in the early 1990s
changed the management, and survival, of patients with GD. In addition to this,
development of substrate reduction, pharmacological chaperone, and gene therapies
has broadened the horizon for this rare disease. However, in resource-poor
countries like ours, optimal management is still a distant dream.
PMID- 21897895
TI - Diagnosis of gestational diabetes mellitus in Asian-Indian women.
AB - OBJECTIVE: To assess the validity of Diabetes in Pregnancy Study Group India
(DIPSI) guidelines, a modified version of the WHO criterion to diagnose
gestational diabetes mellitus (GDM). MATERIALS AND METHODS: A total of 1 463
consecutive pregnant women in the second and third trimester of pregnancy
underwent 75 g oral glucose tolerance test (OGTT) and 2-h plasma glucose (PG) was
measured by the glucose oxidase-peroxidase (GOD-POD) method. GDM was diagnosed
with 2-h PG >= 7.8 mmol/L (WHO criteria) and the rest were classified as normal
glucose tolerant (NGT) women. GDM women were advised medical nutrition therapy
(MNT) for two weeks. Those who failed to reach the target glycemic level of FPG <
5.0 mmol/L and 2-h PG < 6.67 mmol/L with MNT were advised insulin. All of them
were followed throughout pregnancy until delivery. Birth weight of 90th
percentile (> 3.45 kg) in the neonates was considered as macrosomia (primary
outcome). RESULTS: The mean maternal age and body mass index were 23.60+/-3.32
years and 21.5+/-4.06 kg/m(2) respectively. The mean gestational age was 27.9+/
5.56 weeks. DIPSI criterion identified 196 women (13.4%) as GDM and the rest as
NGT. Insulin was required in 19 (9.7%) women with GDM. Macrosomia was observed in
9.9% GDM women with intervention and 9.8% in NGT (P = 1.000). CONCLUSION: DIPSI
criterion is a one step-cost effective and evidence-based procedure to diagnose
GDM in any socio-economic setting.
PMID- 21897897
TI - Hyperparathyroidism revisited - Old wine in new bottles!
AB - AIM: Hyperparathyroidism (HPT) is a condition that occurs due to exacerbated
activity of the parathyroid glands. According to the etiology it may be primary,
secondary or tertiary hyperparathyroidism (pHPT, sHPT, tHPT). This is a study
done to document and evaluate the presentations of primary and secondary HPT,
with the associated complications and the approach to management in these
patients, at our hospital. MATERIALS AND METHODS: Twenty-one patients with HPT
were encountered at Sri Ramachandra Medical College and Research Institute
between January 2000 and January 2010. Operative notes, histopathology files, and
medical records were used for the retrospective analysis of the patients with
HPT. Parathormone, calcium, and phosphate levels were estimated on all the
patients, to determine the primary or secondary etiology of this endocrine
abnormality. Furthermore, these patients were subjected to ultrasonography (USG)
of the neck and Technetium (99 mTc) scan of the neck to identify the parathyroid
gland. RESULTS: This study revealed that about 76, 19, and 5% of the patients
suffered from pHPT, sHPT, and tHPT, respectively, with a female preponderance
(62%).The neoplasm in all patients with pHPT was parathyroid adenoma. The
patients presented with renal, bony, and menstrual abnormalities. Cases with sHPT
had a 15 - 20 year history of chronic kidney disease and they subsequently
developed bony abnormalities. Even as all the patients with pHPT were managed
with parathyroidectomy, individuals with sHPT were treated conservatively.
Postoperative features of hypocalcemia were noted in only one patient.
CONCLUSION: This study re-emphasizes that pHPT is more common and is often due to
an adenoma. Recent advances in parathormone sampling operatively and minimal
access surgery, along with accurate and prompt clinical diagnosis, is necessary
for the cure of these patients presenting with obscure abdominal, bony, and renal
ailments.
PMID- 21897896
TI - Gestational diabetes mellitus is rare in primigravida Pakistani women.
AB - BACKGROUND: Gestational diabetes mellitus is a metabolic disorder defined as
glucose intolerance with onset or first recognition during pregnancy. Similar to
other members of the Asian race, Pakistani women are also considered to be at a
high risk for developing gestational diabetes. MATERIALS AND METHODS: In order to
better understand whether this heightened risk attributed to race really exists,
we conducted a prospective study to assess the glycemic status of primigravida
women presenting to our hospital. RESULTS: The mean age of 135 subjects enrolled
was 22 (16-31), with 21 (16%), 60 (44%), and 54 (40%) subjects in the first,
second, and third trimesters of pregnancy, respectively. The mean fasting, 1
hour, and 2-hour plasma glucose levels were 69.9 mg/dL (3.9 mmol/L), 129 mg/dL
(7.2 mmol/L), and 103.6 mg/dL (5.76 mmol/L), respectively. Of 135 women, 6 had a
blood pressure reading >=140/90 mm Hg and only one met the criteria for
gestational diabetes mellitus. In our study, despite using the newly proposed
International Association of Diabetes and Pregnancy Study (IADPS) cut-offs for
diagnosis of gestational diabetes, the incidence rate of gestational diabetes
mellitus in primigravida was still <1%. CONCLUSION: Larger trials are needed to
truly assess the disease burden of gestational diabetes mellitus in Pakistani
women.
PMID- 21897898
TI - Autonomic nervous system function in type 2 diabetes using conventional clinical
autonomic tests, heart rate and blood pressure variability measures.
AB - BACKGROUND: There are currently approximately 40.9 million patients with diabetes
mellitus in India and this number is expected to rise to about 69.9 million by
the year 2025. This high burden of diabetes is likely to be associated with an
increase in associated complications. MATERIALS AND METHODS: A total of 23 (15
male and 8 female) patients with type 2 diabetes of 10-15 years duration and
their age and gender matched controls (n=23) were recruited. All subjects
underwent detailed clinical proforma, questionnaire related to autonomic
symptoms, anthropometry, peripheral neural examination and tests of autonomic
nervous system including both conventional and newer methods (heart rate and
blood pressure variability). RESULTS: Conventional tests of cardiac
parasympathetic and sympathetic activity were significantly lower in patients
with diabetes compared to the controls (P<0.05). The diabetic patients group had
significantly lower high frequency and low-frequency HRV when expressed in
absolute units (P<0.05) and total power (P<0.01) compared to the controls.
CONCLUSION: Data from the current study demonstrated that diabetics had both
cardiac sympathetic and cardiac parasympathetic nervous system involvement. The
presence of symptoms and involvement of both components of the autonomic nervous
system suggest that dysfunction has been present for a while in these diabetics.
There is a strong need for earlier and regular evaluation of autonomic nervous
system in type 2 diabetics to prevent further complications.
PMID- 21897899
TI - Diabetic cardiomyopathy in Manipur.
AB - OBJECTIVE: To assess the prevalence of diabetic cardiomyopathy in patients with
diabetes mellitus in Manipur and its correlation with different parameters like
obesity, blood pressure, lipids, duration of diabetes, and glycemic control.
MATERIALS AND METHODS: A total of 100 type 2 diabetic patients were selected
randomly. Anthropometric parameters were recorded, blood glucose levels and lipid
profiles were determined, and the echocardiographic examinations were performed
in all patients according to standard techniques. Ejection fraction (EF) was
calculated by the formula LVEF% = (LVID)2 - (LVIDS)2. Left ventricular EF was
considered normal when EF was 55 to 75%. Diastolic dysfunction was calculated by
measuring E and A transmitral inflow velocity. Left ventricular mass in grams is
calculated by the formula LVM (gm) = 1.04 * 0.8 [(LVID + PWT + IVST)3 - LVID3] +
0.6. RESULTS AND CONCLUSIONS: Diabetic cardiomyopathy was found in 40 patients
(40%) of the total study, 29 males (44.6%) and 11 females (31.4%).
PMID- 21897900
TI - Cushing syndrome and the anesthesiologist, two case reports.
AB - Cushing's syndrome (CS) is associated with reduced life quality and increased
mortality, mostly due to cardiovascular disease. The features of this syndrome
are central obesity, moon facies, facial plethora, supraclavicular fat pads,
buffalo hump, and purple striae. Other complications include hyperglycemia,
hypertension, proximal muscle weakness, skin thinning, menstrual irregularities,
amenorrhea and osteopenia. These make perioperative and anesthetic management
difficult and present a challenge to the operating team, especially the
anaesthesiologist. In this paper, we present two such cases of CS, which were
treated with adrenalectomy. We aim to highlight the special care and precautions
that need to be taken while administering anesthesia, and in the post operatory
period. Anaesthesia induction in the two cases of CS was done prior to the
adrenalectomy procedure and special pre and post operative care was taken.
Continuous intra operative monitoring of vitals and checking for the stability of
the haemodynamics was performed. With adequate care and using advanced anesthetic
techniques, the patients showed uneventful post operative recovery. Though the
anesthetic management of patients with CS is difficult, desired results can be
achieved with continuous monitoring and special precautions.
PMID- 21897901
TI - A rare case of synchronous adrenocortical carcinoma and renal cell carcinoma.
AB - We present here a rare case of synchronous adrenocortical carcinoma (ACC) and
renal cell carcinoma (RCC). A 27-year-old woman presented with gradual abdominal
distension, hematuria, and loss of weight of 3-months duration. She gave a
history of treatment for hypertension. The computed axial tomography (CT) scan
revealed a large retroperitoneal mass. Her urinary VMA was slightly elevated. Her
24-h urinary metanephrine level was normal. The patient underwent left
adrenalectomy, left nephrectomy, spleenectomy, and distal pancreactomy with
segmental colonic resection. Postoperative pathology revealed ACC of left
suprarenal measuring 22 * 19 * 18 cm(3) and RCC involving the left upper pole of
kidney measuring 3 * 2 * 1 cm(3).
PMID- 21897902
TI - Adrenocortical carcinoma: Report of two cases.
AB - Adrenocortical carcinoma (ACC) is a rare neoplasm with a slight predilection for
female patients. We report two cases of ACC. The first case was of a 7-year-old
girl who presented with clitoromegaly. The second case was of a 22-Year-old
female who presented with a lump in the abdomen and features of Cushing's
syndrome with virilization.The clinical, biochemical, histological features along
with differential diagnosis are discussed. These cases are presented because of
their rarity, and also to highlight the importance of differentiating ACC from an
adenoma particularly in pediatric patients.
PMID- 21897903
TI - Primary adrenal lymphoma: Differential involvement with varying adrenal function.
AB - Primary adrenal Non-Hodgkin's lymphoma is rare. The symptoms of the disease and
response to treatment are variable depending on the type of lymphoma, tumor size,
and presence of adrenal insufficiency. We report two cases of primary adrenal
lymphoma who had varied presentations. One presenting with abdominal pain and
weight loss was documented to have unilateral disease without any adrenal
insufficiency and showed a good response to combination chemotherapy, while the
second one had bilateral adrenal involvement with adrenal insufficiency and died
after second chemotherapy. Functional adrenal involvement in lymphoma depends on
the extent of involvement; patients with bilateral involvement almost always have
adrenal insufficiency.
PMID- 21897904
TI - Unusual presentation of adult Gaucher's disease: A long and difficult road to
diagnosis.
AB - Gaucher's disease is the most frequent sphingolipid storage disease. We present a
case of type 1 non-neuropathic type of adult Gaucher's disease patient with
atypical presentation.
PMID- 21897905
TI - AV Gandhi Award for excellence in endocrinology.
PMID- 21897906
TI - Limit your waist size to half of your height.
PMID- 21897907
TI - Symptomatic primary hyperparathyroidism in Indians.
PMID- 21897909
TI - Quality of hypertension care in the family practice center, Aseer Region, Saudi
Arabia.
AB - INTRODUCTION: Hypertension (HTN) is a common health problem in Saudi Arabia. Good
control depends on the quality of care, which should be supervised and monitored
regularly through clinical audit. AIMS: The objective of this study was to assess
the quality of HTN care at Al-Manhal Family Practice, Aseer Region, Saudi Arabia.
SETTINGS AND DESIGN: This study was conductedin 2009 at Al-Manhal Family
Practice, Aseer Region, Saudi Arabia. MATERIALS AND METHODS: Medical records of
all hypertensive patients were extracted and reviewed using master sheets.
Assessment of processes and outcomes of HTN care were based on the standards of
quality assurance manual issuedby the Ministry of Health. STATISTICAL ANALYSIS
USED: Data were entered and analyzed by SPPS, version 15. Relevant statistical
tests were used accordingly and the P-value was considered significant when it
was less than 0.05. RESULTS: A total of 295 medical records were reviewed and
assessed. Most patients were Saudi, married, and about 50% were educated. Two
hundred and thirty-one records were assessed for processes and outcomes of HTN
care. Weight measurement and physical examination were carried out for 99% and
97% of the patients, respectively. For 53% of the patients, blood was checked for
glucose and kidney function and a lipid profile was done. More than two-thirds of
the patients were overweight and obese while 46% had diabetes. Less than one half
of the patients had good control of HTN. CONCLUSIONS: By most standards, quality
of HTN care in Al-Manhal Family Practice was unsatisfactory. Most of the patients
had comorbidities and poor HTN control. Essential facilities should be provided
to the practice to optimize HTN care and to improve the degree of control.
PMID- 21897910
TI - Was there a change in the body mass index of Saudi adolescent girls in Al-Khobar
between 1997 and 2007?
AB - BACKGROUND AND OBJECTIVES: Special concern is focused on the nutritional status
of adolescent girls in order to avoid future health problems. The aim of this
study was to determine the change in body mass index (BMI) among adolescent Saudi
girls living in Al-Khobar between 1997 and 2007. MATERIALS AND METHODS: A cross
sectional sample of adolescent Saudi girls, 15-19-years-old, living in Al-Khobar,
Saudi Arabia, was analyzed through two data sets. The first data set (n = 400)
was collected in 1997 and the second (n = 321) was collected in 2007. Both data
sets used the same sampling method. Anthropometric measurements were made and the
BMI was used to determine participants' nutritional status. Statistical analysis
was performed. RESULTS: There was an increase in the median weight of Saudi
adolescent girls from 1997 to 2007, but the change was not statistically
significant. There was a statistically significant change, however, in adolescent
girls' height during the 10-year interval. Using BMI to determine the nutritional
status of the sample, no statistically significant difference was found.
Overweight and obesity remain prevalent in about 30% of the adolescent girls, and
about 3.5% of the girls in both sets were underweight. CONCLUSIONS: This study
concluded that there was no change in BMI among Saudi adolescent girls living in
Al-Khobar during the 10-year span. Underweight is of low prevalence, and
overweight and obesity are the critical nutritional problems that are faced by
this population. Further research using time span comparisons is important to
assess changes in maladaptive overweight and obesity.
PMID- 21897911
TI - Attitudes of Saudi medical students toward the disclosure of information on
cancer in eastern Saudi Arabia.
AB - OBJECTIVE: To assess the attitude of future physicians regarding the disclosure
of diagnosis, prognosis, benefits, and adverse effects of therapeutic
intervention if they happen to have cancer. It also examined the differences if
any between regions or gender. MATERIALS AND METHODS: A total of 332 medical
students from University of Dammam, in the Eastern Province of the Kingdom of
Saudi Arabia were surveyed using a self-administered questionnaire. The
questionnaire consisted of nine questions on the attitudes to disclosure of
information on cancer. This self-administered questionnaire was completed by the
students in the presence of an investigator. RESULTS: The vast majority of Saudi
medical students stated that they would like to know about diagnosis of cancer
(92.8%) and only 7.2% wanted information withheld from them. Further, 67% of the
males and 74.1% of the females wanted family members to know (P = 0.01), but one
third (33%) did not want their family to know. Only 24.1% of the male and 21.1%
of female students wanted their friends to know. In addition, -97% of the males
and 98.8% of the females wanted to know the diagnosis, and 97% and 95.8% of
females and males, respectively, would like to know the side effects of the
therapy. Almost 95% of male and 93.4% of female students wanted to know the
prognosis. Also, 98% of medical students from the Eastern Region would want the
diagnosis of cancer to be disclosed compared to 73.6% of those from other regions
(P = 0.01). There is no difference between the genders in attitudes toward the
disclosure of the diagnosis, 94.6% and 92.2% (P = 0.38). CONCLUSIONS: There was a
consensus among Saudi medical students on the knowledge of the benefits of
treatment, adverse effects of therapy, and prognosis. Female students
significantly more than males would like their families to be informed.
Significantly more medical students from the Eastern Region than those from other
regions would like the diagnosis of cancer to be disclosed.
PMID- 21897912
TI - Overweight and obesity among school-going children of Lucknow city.
AB - BACKGROUND: Childhood obesity is increasingly being observed with changing
lifestyles of families. The magnitude of overweight ranges from 9% to 27.5% and
obesity ranges from 1% to 12.9% among Indian children. OBJECTIVES: The present
study was undertaken to study the magnitude of overweight/obesity and its
determinants among children in Lucknow city. MATERIALS AND METHODS: A list of
government and private school was procured from Office of Basic Shiksha Adhikari.
Three government and three private schools were selected by Simple Random
Sampling. Students of 5th to 12th grades available at the time of study were
included as study unit. Predesigned and pretested questionnaire was used to
elicit the information on family characteristics and individual characteristics.
Height and weight were measured and BMI was calculated. Children with BMI of 25
and above were considered overweight and children with BMI more than 30 were
considered obese. RESULTS: Overweight and obesity was found to be 4.17% and
0.73%, respectively; they together constitute 4.91% for overweight/obesity. The
study revealed that the important correlates of overweight/obesity were father's
education, father's occupation, class, children playing outdoor games for less
than 30 min, and those consuming fast foods. CONCLUSIONS: Children of higher
classes (above 8th standard) belonging to higher socioeconomic group with less
outdoor activities and consuming fast foods were more predisposed to
overweight/obesity. As a preventive strategy, there is a need to apply health and
nutritional education programs for inculcating healthy life styles, and
incorporating more outdoor activities in Physical Education Department of school
curriculum.
PMID- 21897913
TI - Smoking pattern among female college students in Dammam, Saudi Arabia.
AB - BACKGROUND: Smoking is the most important avoidable cause of premature morbidity
and mortality in the world. The estimated annual death rate of 4.9 million people
in 1999 is expected to rise to 10 million by the 2020s and 2030s, 7 million of
which will occur in developing countries. AIM: The aim of the present study was
to estimate the prevalence of smoking and assess its pattern among non-medical
female college students in Dammam, Saudi Arabia. MATERIALS AND METHODS: A cross
sectional study was conducted of 1020 female students selected from the
literature and science colleges by multi-stage stratified random sampling
technique with proportional allocation. Data were collected using a self
administered modified WHO Global Youth Tobacco Survey questionnaire. RESULTS:
Results revealed that occurrence of smoking among female college students was
8.6%. It was significantly higher among literature college students (12.1%) than
among Science College students (3.4%). The mean age at which smoking started was
16 +/- 2.4 years, with a minimum of 11 years. More than half of the students who
smoked were cigarette smokers, while 43.2% were shisha smokers. There was a
strong relationship between parents who smoked and daughters who smoked. The main
motive for smoking was curiosity (44.3%), followed by relief of tension (26.1%).
CONCLUSIONS: It may be concluded that smoking is increasing among female college
students in Saudi Arabia. Accordingly, it is recommended that a preventive
comprehensive health education program on smoking be initiated for females in
middle schools, that stricter tobacco control measures be adopted by the
government, and that anti-smoking clinics be established in colleges.
PMID- 21897914
TI - Toward smoke-free homes: A community-based study on initiatives of rural Indian
women.
AB - CONTEXT: Since the home is the primary source of exposure of children to second
hand smoke (SHS), measures to restrict smoking at home should be introduced to
protect children from its adverse health consequences. AIMS: Objectives of the
study were to assess the level of awareness of rural Indian women on the health
impacts of SHS on children and to look into the strategies they used to reduce
children's exposure to SHS at home. MATERIALS AND METHODS: A community-based
cross-sectional study was conducted among 438 rural women using a survey
questionnaire. Information on socio-demographic characteristics, knowledge on
specific health effects of SHS on children, and attitude toward having a smoke
free home were collected. The perceived reasons that made it difficult to have
smoke-free homes were also explored. RESULTS: A total of 75.8% of women agreed
that SHS was a serious health risk for children. Knowledge on health impacts of
SHS on children identified asthma as the most common problem. Smoking by husbands
(89.7%) was the major source of exposure to SHS at home. While 67.6% of women
reported having taken measures to limit SHS exposure in their homes, only 12.8%
of them had tried to introduce a complete ban on smoking at home. On a five-point
evaluation scale, 73.3% of the women indicated a failure of their initiatives to
have smoke-free homes. CONCLUSIONS: Women's initiatives to introduce restrictions
on smoking at home had very limited success and did not produce an appreciable
change in smoking behavior at home. Lack of empowerment of women in rural India
probably rendered the interventional measures ineffective.
PMID- 21897915
TI - Breastfeeding practices: Positioning, attachment (latch-on) and effective
suckling - A hospital-based study in Libya.
AB - PURPOSE/OBJECTIVE: To assess the correct position, attachment and effective
suckling in the breastfeeding of infants as practiced by mothers attending
hospitals at Benghazi. MATERIALS AND METHODS: An observational, descriptive,
cross-sectional study was done at AlJamahiriya and AlFateh Hospital in Benghazi,
Libya, from November 2009 to February 2010. One hundred ninety-two mother-neonate
units were observed for mother's and baby's position, attachment and effective
suckling using WHO B-R-E-A-S-T- Feed observation form. Grading of positioning,
attachment and suckling was done according to the score of various
characteristics. Data thus collected were analyzed using software SPSS 11.5
version. RESULTS: About 15% of the infants were about a week old (early neonatal
period) and 85% were in the late neonatal period. There was poorer positioning
among primipara (24.0%) than multipara (8.9-12.5%)mothers. Poorer attachment was
also more evident among primipara (30.0%) compared to multipara (20.9%) mothers.
Parity was significantly associated with poor position (P = 0.028) and attachment
(P = 0.002). Poor attachment was related to cracked nipples and mastitis. Preterm
and low birth weight were significantly associated with poor attachment and poor
effective suckling. Poor suckling was more (42.8%) in the early neonatal period
than late neonatal period (32.9%). CONCLUSIONS AND RECOMMENDATIONS: Young (<20
years) and primipara mothers were more in need of support and guidance for
appropriate breastfeeding techniques. It is recommended that each mother should
be observed for mother's and infant's positioning and attachment at the onset of
breastfeeding and if needed subsequent counseling should be given on correct
positioning and attachment.
PMID- 21897916
TI - Clinical characteristics of patients with atrial fibrillation at a tertiary care
hospital in the central region of Saudi Arabia.
AB - OBJECTIVE: To report on the clinical presentation, etiology, and laboratory
features of acute and chronic atrial fibrillation (AF) in a tertiary hospital in
Riyadh, Saudi Arabia. MATERIALS AND METHODS: We retrospectively studied records
of 720 patients with AF seen in outpatients and inpatients departments at King
Abdulaziz Medical City, Riyadh, during the period of 1 January 2002 to 31 August
2008. RESULTS: Documented acute and chronic AF was present in 157 (21.8%) and 563
(78.1%) patients, respectively. Palpitations, dizziness and syncope were the most
frequent symptoms in acute AF, while dyspnea and palpitations were the most
common symptoms in the chronic type. Acute respiratory problems and acute
myocardial infarction were significantly more common in acute AF, while
congestive heart failure and acute respiratory problems (chest infection,
bronchial asthma, and pulmonary embolism) were significantly more common in
chronic AF. The most common causes of both types of AF were diabetes mellitus
(DM) in 68.8%, hypertension (HTN) in 59.3%, chronic lung diseases (bronchial
asthma, chronic obstructive pulmonary disease and interstitial lung disease) in
31.8%, valvular heart disease in 23.6%, and ischemic heart disease (IHD) in
23.1%. In 9 (1.3%) patients, no cause was detected. The echocardiographic
findings of left ventricular hypertrophy, valve lesions, and depressed left
ventricular function were significantly more common in chronic AF (P<0.01).
CONCLUSIONS: Nowadays, DM, HTN, and IHD are becoming the most common predisposing
factors for AF in the central region of Saudi Arabia and require prevention and
control.
PMID- 21897917
TI - Breast abscess caused by penicillin resistant Pneumococci.
AB - Breast abscess is mostly caused by Staphylococcus aureus. A 26-year-old
immunocompetent lady was admitted with breast abscess. Incision and drainage
(I/D) was done and Pneumococci were isolated from the drained pus. The patient
was earlier treated with Augmentin which was later changed to linezolid after
testing for antibiotic susceptibility. This strain showed a high level of
resistance to penicillin. It had been noticed that there was a slow increase in
the number of penicillin resistant Pneumococci isolated in our hospitals. The
increase in penicillin-resistant Pneumococci correlates with the intensive use of
beta-lactam antibiotics. Hence, antibiotics should be used judiciously, avoiding
their use particularly in mild self-limiting upper respiratory infections.
Attention therefore, should focus on monitoring resistance in Pneumococci to
prevent mortality and morbidity associated with this organism, which continues to
take a heavy toll on children and the elderly.
PMID- 21897918
TI - A cross-sectional study of the sociodemographic profile of juveniles under
institutional care in the city of Mumbai.
AB - OBJECTIVES: To study the sociodemographic profiles of children under
institutional care, identify the characteristic features of the families prone to
have destitute children, and suggest measures for prevention of destitution of
children in the community. MATERIAL AND METHODS: A questionnaire-based cross
sectional study was conducted in a population of 507 boys and girls from 6 to 18
years admitted to four different institutes for care and support. A sample of 170
children was selected using systematic random sampling technique. A survey was
done to study the health status of the children. Data was analyzed using SPSS
software. Frequency and proportion were calculated and chi square test was used.
P value of >0.05 was considered significant. RESULTS: 65.9% of children were in
the 6 to 12 age group. 63.5% were Hindu by religion. The majority i.e., 80.9% of
the boys and 80% of the girls were urban in origin, 82.4% of the juveniles were
from nuclear families, 40.0% of boys and 62.3% of the girl juveniles were from
lower socioeconomic status. 75% of boys and 25% of the girls had been child
laborers just before institutionalization. Only 12.7% of juveniles were from
large families, the rest, the majority (87.3%) were from medium to small sized
families. CONCLUSIONS: Nuclear families of medium to small size which belong to
the lower socioeconomic status and of urban origin were found to be unable to
provide care and support to their children putting them at the risk of becoming
destitute.
PMID- 21897919
TI - An epidemiological study of obesity in a rural area.
PMID- 21897920
TI - Mesoporous silica functionalized with an AIE luminogen for drug delivery.
AB - An aggregation-induced emission (AIE) luminogen, tetraphenylethene, has been
successfully grafted onto mesoporous silica SBA-15 for the first time. The
materials emit blue light upon UV irradiation, and are photostable for the
ibuprofen (IBU) drug loading and release process, indicating their great
potential for biomedical applications.
PMID- 21897921
TI - Facile and chemoselective rhodium-catalysed intramolecular hydroacylation of
alpha,alpha-disubstituted 4-alkylidenecyclopropanals.
AB - Mild intramolecular hydroacylation of alpha,alpha-disubstituted 4
alkylidenecyclopropanals has been developed, avoiding decarbonylation and
affording cycloheptenones in good yields. The reaction is chemoselective in
favour of the alkylidenecyclopropane moiety when potential alkene or alkyne
acceptors are tethered to the substrate.
PMID- 21897928
TI - Dark state vibronic coupling in the A(2Pi) <- X(2Sigma+) band of ethynyl radical
via high resolution infrared absorption spectroscopy.
AB - The high resolution infrared spectrum for the A ((2)Pi) <- X ((2)Sigma(+)) origin
band of jet-cooled ethynyl radical (C(2)H) in the gas phase is reported, which
exhibits a strong, parity-specific local perturbation in the upper (2)Pi(1/2)
state. Based on revised parity assignments of the levels, the perturbing state is
unambiguously determined to be (2)Sigma(+) symmetry, and thus coupled to the A
((2)Pi) state by DeltaK = +/-1 Coriolis interactions. By incorporating Sigma-Pi
Coriolis coupling into the unperturbed Hamiltonian (containing only rotational,
spin-rotational, spin-orbit, and lambda-doubling contributions), we are now able
to fit the observed (2)Pi-(2)Sigma(+) origin band to a sub Doppler experimental
uncertainty of 15 MHz (0.0005 cm(-1)). In addition, the observation of pairs of
transitions to mixed states permits determination of the band origin (nu(pert))
and rotational constant (B(pert)) for the "dark"(2)Sigma(+) state, which prove to
be in remarkably quantitative agreement with full vibronic predictions of Tarroni
and Carter as well as UV dispersed fluorescence studies of Hsu et al. This
represents an important benchmark in mapping out non-Born-Oppenheimer vibronic
interactions and energy level structure in a polyatomic combustion radical
system, an understanding of which will be key to modeling chemical reactions in
both terrestrial and astronomical environments.
PMID- 21897926
TI - Diastereoselective one-pot Wittig olefination-Michael addition and olefin cross
metathesis strategy for total synthesis of cytotoxic natural product (+)
varitriol and its higher analogues.
AB - A stereoselective route for the total synthesis of anticancer marine natural
product (+)-varitriol (1) is detailed herein. The impressive biological activity
and interesting structural features of natural (+)-varitriol fuelled us to
undertake the synthesis of some higher analogues (1a-j) of this molecule. The key
features of the synthetic strategy include one-pot Wittig olefination followed by
a highly diastereoselective oxa-Michael addition to assemble stereochemically
pure tetrasubstituted THF moiety of the natural varitriol and olefin cross
metathesis to couple the aromatic part with tetrasubstituted THF moiety. The
total synthesis of title natural product is efficient with 21.8% overall yield
for 9 linear steps from D-ribose and thus facilitates the more scaled-up
practical route for the synthesis of 1 and its analogues as well. The synthetic
(+)-varitriol (1) and its analogues were screened for their cytotoxicity. The
present synthetic approach paves the way for preparation of numerous analogues of
the title natural product for drug development.
PMID- 21897927
TI - J-coupling constants for a trialanine peptide as a function of dihedral angles
calculated by density functional theory over the full Ramachandran space.
AB - We present 13 (3)J, seven (2)J and four (1)J coupling constants (24 in all)
calculated using B3LYP/D95** as a function of the phi and psi Ramachandran
dihedral angles of the acetyl(Ala)(3)NH(2) capped trialanine peptide over the
entire Ramachandran space. With the exception of three of these J couplings, all
show significant dependence upon both dihedral angles. For each J coupling
considered, a two dimensional grid with respect to phi and psi angles can be used
to interpolate the values for any pair of phi and psi values. Such simple
interpolation is shown to be very accurate. Most of these calculated J couplings
should prove useful for improving the accuracy of the determination of peptide
and protein structures from NMR measurements in solution over that provided by
the common procedure of treating the J couplings as functions of a single
dihedral angle by means of Karplus-type fittings.
PMID- 21897929
TI - Chemical bonding and properties of "layered" quaternary antimonide oxide REOZnSb
(RE = La, Ce, Pr, Nd).
AB - An efficient route to construct a three-dimensional crystal structure is stacking
of two-dimensional building blocks (2D-BBs). The crystal structures of potential
thermoelectric compounds REOZnSb (RE = La, Ce, Pr, Nd) were virtually constructed
from insulating [REO] and conducting [ZnSb] layers. Further optimizations
performed by means of first-principles calculations show that REOZnSb should
exhibit semimetal or narrow band-gap semiconductor behaviors, which is a
prerequisite for high thermoelectric efficiency. The analysis of the electron
localizability indicator for LaOZnSb reveals mostly covalent polar interactions
between all four kinds of atoms. The electron density yields completely balanced
ionic-like electronic formula La(1.7+)O(1.2-)Zn(0.4+)Sb(0.9-). Furthermore, the
samples of REOZnSb have been synthesized via solid-state reaction, and their
crystal structures were confirmed by powder X-ray diffraction. The differences in
cell parameters between the theoretically optimized and the experimental values
are smaller than 2%. The temperature dependence of the magnetic susceptibility
shows that LaOZnSb is diamagnetic above 40 K, whereas CeOZnSb, PrOZnSb and
NdOZnSb are Curie-Weiss-type paramagnets. Electrical conductivity and Seebeck
effect measurements indicate that REOZnSb are p-type semiconductors. A
considerably high Seebeck coefficient and low thermal conductivity were obtained
for pure LaOZnSb, but its low electrical conductivity leads to a small ZT. The
high adjustability of the crystal structure as well as properties by optimization
of the chemical composition in the compounds REOZnSb provide good prospects for
achieving high thermoelectric efficiency.
PMID- 21897930
TI - Fine-tuning of lanthanide-monocarboxylate coordination networks through ligand
decoration.
AB - We report herein five new coordination polymers of general formula
[LnNa(C(6)H(5)CO(2))(4)] where Ln = Y (6), Tb (7), Er (8),
[DyNa(CH(3)CO(2))(4)(MeOH)] (9) and [DyNa(C(3)H(7)CO(2))(4)] (10). Single crystal
structure analyses revealed that all compounds have a repeating unit containing
one Ln(3+), one Na(+) and four monocarboxylate ligands (RCO(2)(-)) (R = -CH(3),
C(3)H(7), -C(6)H(5)). Compounds 6-8 and 10 possess helical polymeric structures
which are extended in three dimensions forming chiral srs networks whilst
compound 9 constitutes a two dimensional honeycomb network, illustrating that
variation in the alkyl group of the monocarboxylate can result in versatile and
variable polymeric networks. The magnetic properties of the reported compounds
have also been studied.
PMID- 21897931
TI - Can a meso-type dinuclear complex be chiral?: dinuclear beta-diketonato Ru(III)
complexes.
AB - Dinuclear Ru(III) complexes, [Ru(III)(acac)(2)(dabe)Ru(III)(acac)(2)] (acacH =
acetylacetone; dabeH(2) = 1, 2-diacetyl-1,2-dibenzoylethane) and
[Ru(III)(acac)(2)(tbet)Ru(III)(acac)(2)] (tbetH(2) = 1,1,2,2-tetrabenzoylethane)
were synthesized by reacting [Ru(acac)(2)(CH(3)CN)(2)]PF(6) with dabeH(2) and
tbetH(2) respectively, in toluene. The X-ray structural analysis of a meso-type
dinuclear Ru(III) complex, DeltaLambda-[Ru(III)(acac)(2)(dabe)Ru(III)(acac)(2)],
showed that the bridging part became chiral due to the orthogonal twisting of two
non-symmetrical beta-diketonato moieties. To confirm this conclusion, the complex
was resolved chromatographically to provide a pair of optical antipodes. Such
chirality in the bridging part was not generated for
[Ru(III)(acac)(2)(tbet)Ru(III)(acac)(2)], because the beta-diketonato moieties in
tbet(2-) are symmetrical.
PMID- 21897932
TI - Ionic liquid mediated Cu-catalyzed cascade oxa-Michael-oxidation: efficient
synthesis of flavones under mild reaction conditions.
AB - Flavonoids are a class of natural products, found in a wide range of vascular
plants and dietary components. Their low toxicity and extensive biological
activities, including anti-cancer and anti-bacterial, have made them attractive
candidates to serve as therapeutic agents for many diseases. Herein, we disclose
a highly efficient synthetic method of CuI-catalyzed cascade oxa-Michael
oxidation, using chalcones as substrates, mediated by the ionic liquid
[bmim][NTf2] at a low temperature. This efficient synthetic method has
demonstrated high synthetic utility and can afford flavones in good to high
yields (up to 98%).
PMID- 21897933
TI - Light-activated gating and permselectivity in interfacial architectures combining
"caged" polymer brushes and mesoporous thin films.
AB - We demonstrate that "caged" macromolecular building blocks can endow mesoporous
thin films with light responsiveness and lead to the creation of functional
hybrid ensembles with phototriggered permselective properties.
PMID- 21897934
TI - Metal flux through consuming interfaces in ligand mixtures: boundary conditions
do not influence the lability and relative contributions of metal species.
AB - In a mixture of metal ions and complexes, it is difficult to predict ecological
risk without understanding the contribution of each metal species to biouptake.
For microorganisms, the rate of uptake (internalization flux) has not only a
major influence on the total metal flux but also on the bioavailability of the
various metal species and their relative contributions to the total flux. In this
paper, the microorganism is considered as a consuming interface, which interacts
with the metal ion, M, via the Michaelis-Menten boundary conditions. The
contribution of each metal complex to the overall metal flux, in relation to its
lability, is examined for a number of important boundary parameters (the
equilibrium constant K(a) of metal with transport sites, internalization rate
constant k(int) and total transport sites concentration {R}(t)). Computations
were performed for Cu(II) complexes, in a multicomponent culture medium for
microoganisms. For a one-ligand system, results were acquired using rigorous
mathematical expressions, whereas approximate expressions, based on the reaction
layer approximation (RLA) and rigorous numerical computations (computer codes
MHEDYN and FLUXY), were employed for ligand mixtures. Under the condition of
ligand excess, as often found in the natural environment, the relative
contribution of each metal species to the total flux is shown to be independent
of the boundary conditions. This finding has important implications, including an
improved basis for relating the analytical signals of dynamic metal speciation
sensors to metal bioavailability.
PMID- 21897935
TI - The effect of lipid oxidation on the water permeability of phospholipids
bilayers.
AB - The effect of lipid oxidation on water permeability of phosphatidylcholine
membranes was investigated by means of both scattering stopped flow experiments
and atomistic molecular dynamics simulations. Formation of water pores followed
by a significant enhancement of water permeability was observed. The molecules of
oxidized phospholipids facilitate pore formation and subsequently stabilize water
in the membrane interior. A wide range of oxidation ratios, from 15 to 100 mol%,
was considered. The degree of oxidation was found to strongly influence the time
needed for the opening of a pore. In simulations, the oxidation ratio of 75 mol%
was found to be a threshold for spontaneous pore formation in the tens of
nanosecond timescale, whereas 15 mol% of oxidation led to significant water
permeation in the timescale of seconds. Once a pore was formed, the water
permeability was found to be virtually independent of the oxidation ratio.
PMID- 21897936
TI - Microsolvation of Co2+ and Ni2+ by acetonitrile and water: photodissociation
dynamics of M(2+)(CH3CN)n(H2O)m.
AB - The microsolvation of cobalt and nickel dications by acetonitrile and water is
studied by measuring photofragment spectra at 355, 532 and 560-660 nm. Ions are
produced by electrospray, thermalized in an ion trap and mass selected by time of
flight. The photodissociation yield, products and their branching ratios depend
on the metal, cluster size and composition. Proton transfer is only observed in
water-containing clusters and is enhanced with increasing water content. Also,
nickel-containing clusters are more likely to undergo charge reduction than those
with cobalt. The homogeneous clusters with acetonitrile M(2+)(CH(3)CN)(n) (n = 3
and 4) dissociate by simple solvent loss; n = 2 clusters dissociate by electron
transfer. Mixed acetonitrile/water clusters display more interesting dissociation
dynamics. Again, larger clusters (n = 3 and 4) show simple solvent loss. Water
loss is substantially favored over acetonitrile loss, which is understandable
because acetonitrile is a stronger ligand due to its higher dipole moment and
polarizability. Proton transfer, forming H(+)(CH(3)CN), is observed as a minor
channel for M(2+)(CH(3)CN)(2)(H(2)O)(2) and M(2+)(CH(3)CN)(2)(H(2)O) but is not
seen in M(2+)(CH(3)CN)(3)(H(2)O). Studies of deuterated clusters confirm that
water acts as the proton donor. We previously observed proton loss as the major
channel for photolysis of M(2+)(H(2)O)(4). Measurements of the photodissociation
yield reveal that four-coordinate Co(2+) clusters dissociate more readily than
Ni(2+) clusters whereas for the three-coordinate clusters, dissociation is more
efficient for Ni(2+) clusters. For the two-coordinate clusters, dissociation is
via electron transfer and the yield is low for both metals. Calculations of
reaction energetics, dissociation barriers, and the positions of excited
electronic states complement the experimental work. Proton transfer in photolysis
of Co(2+)(CH(3)CN)(2)(H(2)O) is calculated to occur via a (CH(3)CN)Co(2+)-OH(-)
H(+)(NCCH(3)) salt-bridge transition state, reducing kinetic energy release in
the dissociation.
PMID- 21897937
TI - Structure and cation ordering in spinel-type TcCo2O4. An example of a trivalent
technetium oxide.
AB - The structure of TcCo(2)O(4) has been determined using a combination of
synchrotron X-ray and neutron powder diffraction methods. It has an inverse
spinel structure where the Tc occupies the octahedral sites. Both the refined Tc
O distance and X-ray absorption spectra suggest the Tc is predominantly
trivalent.
PMID- 21897938
TI - Chemical engineering of Mycobacterium tuberculosis dodecin hybrids.
AB - The suitability for chemical engineering of the highly symmetrical Mycobacterium
tuberculosis dodecin was investigated, its inner cavity providing a large
compartment shields introduced compounds from bulk solvent. Hybrids were obtained
by S-alkylation of cysteine mutants and characterized by spectroscopic methods,
including the crystal structures of wild type and biohybrid dodecins.
PMID- 21897939
TI - Ruthenium(II) polypyridyl complexes as carriers for DNA delivery.
AB - Two novel water soluble ruthenium(II) complexes [Ru(bpy)(2)(bqbg)](2+) and
[Ru(phen)(2)(bqbg)](2+) have been structurally characterized and their DNA
condensation activity, cytotoxicity, and cellular uptake studies of DNA
condensates as potential non-viral DNA carriers were evaluated.
PMID- 21897940
TI - Solvent-dependent aggregation behavior of a new Ru(II)-polypyridyl based
metallosurfactant.
AB - Variation of the solvent polarity leads to the formation of vesicles and reverse
vesicles of a newly synthesized amphiphilic Ru(II)-polypyridyl complex.
PMID- 21897941
TI - Palladium-catalyzed reaction of 2-alkynylhalobenzene with 2-alkynylbenzamide: an
efficient approach to indeno[1,2-c]azepin-3(2H)-ones.
AB - A novel and efficient route for rapid access to indeno[1,2-c]azepin-3(2H)-ones is
described, which proceeds through a palladium-catalyzed tandem reaction of 2
alkynylhalobenzene with 2-alkynylbenzamide in the presence of PPh(3) or PCy(3).
The indeno[1,2-c]azepin-3(2H)-ones which incorporate both indene and unsaturated
seven-membered ring lactam skeletons are obtained in good to excellent yields.
PMID- 21897942
TI - Reactive uptake of NO3 radicals by unsaturated fatty acid particles.
AB - The reactive uptake of NO(3) by particles containing four unsaturated fatty acid
species was studied for the first time in a flow tube reactor coupled to a
chemical ionization mass spectrometer (CIMS). Using a mixed-phase relative rates
technique, the reactive uptake coefficients, gamma(part), were determined to be
(0.27 +/- 0.06) for oleic acid (OA), (0.53 +/- 0.12) for linoleic acid (LA),
(0.72 +/- 0.17) for linolenic acid (LNA), and (1.01 +/- 0.18) for conjugated
linoleic acid (CLA) in N(2) bath gas. In the presence of 20% O(2), their uptake
coefficients are (0.31 +/- 0.09) for OA, (0.55 +/- 0.12) for LA, (0.78 +/- 0.17)
for LNA, and (1.08 +/- 0.17) for CLA. All the uncertainties are 2sigma and
represent precision only. The products of the NO(3) reactions with these organic
particles were studied in the presence and absence of O(2) using CIMS. It was
found that the detected yields and compositions of the products were
substantially different with or without O(2). Based on the observed products and
general gas-phase chemistry of NO(3) radicals, a reaction mechanism is proposed
for NO(3) reactions with unsaturated organic particles. The atmospheric
implications of the heterogeneous chemical processes involving NO(3) are also
discussed.
PMID- 21897943
TI - Pyrene-cored dendrimer with carbazole derivatives as dendrons: synthesis,
properties and application in white light-emitting diode.
AB - A new dendrimer using pyrene as core and carbazole derivative as dendron has been
successfully prepared via Suzuki coupling reaction. Its chemical structure was
confirmed through (1)H NMR, elemental analysis and MALDI-TOF MS methods. The
dendrimer synthesized possessed excellent thermal stability with initial
decomposition temperature over 470 degrees C and high fluorescence quantum yield
of 86%. The luminescence spectra showed that, relative to the solution sample,
the emission peaks of the solid dendrimer film were apparently broadened and red
shifted, indicating the strong pi-pi stacking effect between the pyrene moieties.
By doping 1.5% of the dendrimer in 4,4'-bis[N-(1-naphthyl)-N-phenylamino]biphenyl
(NPB), a light-emitting diode device was fabricated in the ITO/NPB/NPB:dendrimer
(1.5%)/TPBI/Mg:Ag configuration, which emitted a white color with Commission
Internationale de L'Eclairage (CIE(x,y)) coordinates of (0.29, 0.34) and a
maximum brightness of 1300 cd m(-2), exhibiting promising potential in white
light-emitting diode application.
PMID- 21897944
TI - Non-monotonic dependence of water reorientation dynamics on surface
hydrophilicity: competing effects of the hydration structure and hydrogen-bond
strength.
AB - The reorientation dynamics of interfacial water molecules was recently shown to
change non-monotonically next to surfaces of increasing hydrophilicity, with
slower dynamics next to strongly hydrophobic (apolar) and very hydrophilic
surfaces, and faster dynamics next to surfaces of intermediate hydrophilicities.
Through a combination of molecular dynamics simulations and analytic modeling, we
provide a molecular interpretation of this behavior. We show that this non
monotonic dependence arises from two competing effects induced by the increasing
surface hydrophilicity: first a change in the hydration structure with an
enhanced population of water OH bonds pointing toward the surface and second a
strengthening of the water-surface interaction energy. The extended jump model,
including the effects due to transition-state excluded volume and transition
state hydrogen-bond strength, provides a quasi-quantitative description of the
non-monotonic changes in the water reorientation dynamics with surface
hydrophilicity.
PMID- 21897945
TI - Li mobility in Nasicon-type materials LiM2(PO4)3, M = Ge, Ti, Sn, Zr and Hf,
followed by 7Li NMR spectroscopy.
AB - Lithium mobility in LiM(2)(PO(4))(3) compounds, M = Ge and Sn, has been
investigated by (7)Li Nuclear Magnetic Resonance (NMR) spectroscopy, and deduced
information compared with that reported previously in Ti, Zr and Hf members of
the series in the temperature range 100-500 K. From the analysis of (7)Li NMR
quadrupole interactions (C(Q) and eta parameters), spin-spin T(2)(-1) and spin
lattice T(1)(-1) relaxation rates, structural sites occupancy and mobility of
lithium have been deduced. Below 250 K, Li ions are preferentially located at
M(1) sites in rhombohedral phases, but occupy intermediate M(12) sites between
M(1) and M(2) sites in triclinic ones. In high-temperature rhombohedral phases, a
superionic state is achieved when residence times at M(1) and M(12) sites become
similar and correlation effects on Li motion decrease. This state can be obtained
by large order-disorder transformations in rhombohedral phases or by sharp first
order transitions in triclinic ones. The presence of two relaxation mechanisms in
T(1)(-1) plots of rhombohedral phases has been associated with departures of
conductivity from the Arrhenius behavior. Long term mobility of lithium is
discussed in terms of the cation vacancy distribution along conduction paths.
PMID- 21897946
TI - Complexes in context: attempting to control the cellular uptake and localisation
of rhenium fac-tricarbonyl polypyridyl complexes.
AB - Transition metal lumophores are now well established as agents for cell imaging,
but we are still not able to predict generally and with confidence their cellular
localisation, or, for that matter, their uptake efficiencies. While many such
complexes have been shown to illuminate cells, genuine applications in biomedical
research will only be developed when their uptake and localisation are better
understood. This perspective is not a comprehensive review of luminescence, but
is an overview of attempts to control uptake and localisation, focussing on a
personal account of this group's development of imaging agents based on the
Re(CO)(3) bipyridine core, and our attempts to understand and control their
cellular behaviour.
PMID- 21897947
TI - Zirconia layer coated mesoporous silica microspheres as HILIC SPE materials for
selective glycopeptide enrichment.
AB - Characterization of protein glycosylation requires highly specific methods for
the enrichment of glycopeptides because of their sub-stoichiometric glycosylation
site occupancy. The hydrophilic affinity based strategy has attracted more
attention, owing to its broad glycan specificity, good reproducibility, and
compatibility with mass spectrometric (MS) analysis. Several polar matrices have
emerged for hydrophilic interaction chromatography (HILIC) approaches, including
sepharose, cellulose, ZIC-HILIC and titania. Here, we present the solid-phase
extraction (SPE) utility of zirconia coated mesoporous silica (ZrO(2)/MPS)
microspheres for glycopeptide isolation prior to MS analysis. The high
specificity of this SPE approach was demonstrated by the enrichment of
glycopeptides from the digests of model glycoproteins in HILIC mode. ZrO(2)/MPS
microspheres show superior selectivity and glycosylation heterogeneity coverage
for glycopeptide enrichment to conventional sepharose. Furthermore, digested
mixtures of the phosphoprotein alpha-casein and IgG were also treated with
ZrO(2)/MPS HILIC SPE materials, which exhibited that glycopeptides could be
effectively enriched with interference from phosphorylated peptides.
PMID- 21897948
TI - Sensitive detection of esculetin based on a CdSe nanoparticles-decorated
poly(diallyldimethylammonium chloride)-functionalized graphene nanocomposite
film.
AB - An electrochemical sensor based on a CdSe nanoparticles (NPs)-decorated
poly(diallyldimethylammonium chloride) (PDDA)-functionalized graphene (CdSe-PDDA
G) nanocomposite was fabricated for the sensitive detection of esculetin. The
nanocomposite was characterized by X-ray diffraction (XRD), ultraviolet/visible
spectra (UV-vis) and transmission electron microscopy (TEM). Cyclic voltammetry
(CV) and differential pulse voltammetry (DPV) were used to investigate the
electrochemical behaviors of esculetin on the CdSe-PDDA-G composite film-modified
glassy carbon electrode (GCE). The experimental results indicated that the
incorporation of CdSe NPs with PDDA-G greatly enhanced the electrochemical
response of esculetin. This electrochemical sensor displayed satisfactory
analytical performance for esculetin detection over a range from 1.0 * 10(-8) to
5.0 * 10(-5) mol L(-1) with a detection limit of 4.0 * 10(-9) mol L(-1) (S/N =
3). Moreover, the sensor also exhibited good reproducibility and stability, and
could be used for the detection of esculetin in real samples with satisfactory
results.
PMID- 21897949
TI - A novel microreactor approach for analysis of ketones and aldehydes in breath.
AB - We report a fabricated microreactor with thousands of micropillars in channels.
Each micropillar surface is chemically functionalized to selectively
preconcentrate gaseous ketones and aldehydes of exhaled breath and to enhance
ultra-trace, rapid analysis by direct-infusion Fourier transform-ion cyclotron
resonance (FT-ICR) mass spectrometry (MS). The micropillar reactive coating
contains the quaternary ammonium aminooxy salt 2-(aminooxy)ethyl-N,N,N
trimethylammonium iodide (ATM) for capturing trace carbonyl VOCs by means of an
oximation reaction. We demonstrate the utility of this approach for detection of
C(1) to C(12) aldehydes and ketones in exhaled breath, but the approach is
applicable to any gaseous sample.
PMID- 21897950
TI - Growth and restoration of a T-tile-based 1D DNA nanotrack.
AB - We designed an artificial one-dimensional DNA nanotrack that contains two T
motifs. It can be fabricated in a free solution and with a mica-assisted growth
process. Also, we introduced a dry and wet method for the restoration of DNA
nanostructures in order for them to be used in multiple applications.
PMID- 21897951
TI - Diverse organo-peptide macrocycles via a fast and catalyst-free oxime/intein
mediated dual ligation.
AB - Macrocyclic Organo-Peptide Hybrids (MOrPHs) can be prepared from genetically
encoded polypeptides via a chemoselective and catalyst-free reaction between a
trifunctional oxyamino/amino-thiol synthetic precursor and an intein-fusion
protein incorporating a bioorthogonal keto group.
PMID- 21897952
TI - Efficient and highly selective iron-catalyzed reduction of nitroarenes.
AB - Pyrolysis of iron-phenanthroline complexes supported on carbon leads to highly
selective catalysts for the reduction of structurally diverse nitroarenes to
anilines in 90-99% yields. Excellent chemoselectivity for the nitro group
reduction is demonstrated.
PMID- 21897953
TI - High adsorptive gamma-AlOOH(boehmite)@SiO2/Fe3O4 porous magnetic microspheres for
detection of toxic metal ions in drinking water.
AB - gamma-AlOOH(boehmite)@SiO(2)/Fe(3)O(4) porous magnetic microspheres with high
adsorption capacity toward heavy metal ions were found to be useful for the
simultaneous and selective electrochemical detection of five metal ions, such as
ultratrace zinc(II), cadmium(II), lead(II), copper(II), and mercury(II), in
drinking water.
PMID- 21897954
TI - Fluorescence detection of coralyne and polyadenylation reaction using an
oligonucleotide-based fluorogenic probe.
AB - This study describes the development of a simple, sensitive, and selective
detection system for coralyne and polyadenylation reaction based on the fact that
coralyne induces a conformational change of the polyadenosine [poly(A)]
oligonucleotide through A-coralyne-A coordination, thereby enhancing the
fluorescence of SYBR Green I.
PMID- 21897955
TI - Highly tunable arylated cinchona alkaloids as bifunctional catalysts.
AB - We report the design and evaluation of a library of chiral bifunctional
organocatalysts in which the distance between the catalytically active units can
be systematically varied.
PMID- 21897957
TI - Pillared graphene as a gas separation membrane.
AB - Graphene and carbon nanotubes are considered as future materials in various
fields, including adsorption, accumulation and separation processes, and so are
hybrid materials combining their properties. This paper reports our study on
separative abilities of 3-D network structures consisting of graphene planes
pillared with nanotube fragments. Results of molecular dynamics simulations
confirm that such materials can be successfully applied as membranes in relation
to noble gas mixtures. A simple explanation of the mechanism underlying the
process is proposed.
PMID- 21897958
TI - Protein coverage on polymer nanolayers leading to mesenchymal stem cell
patterning.
AB - Interactions of gelatin and albumin with a photo-reactive diphenylamino-s
triazine bridged p-phenylene vinylene polymer (DTOPV) were examined by using
surface plasmon resonance (SPR) spectroscopy to explore the effect of the polymer
structure on protein coverage of DTOPV nanofilms. The SPR data revealed a
significant increase of gelatin adsorption on UV-DTOPV nanofilms, while the
adsorption of albumin was decreased by UV exposure in the time frame of the
experiment. We also found that the selective adsorption of these proteins was
highly dependent on the protein concentration; the highest selectivity of protein
adsorption was obtained at the lowest concentration (3.5 MUg ml(-1)), while no
selective adsorption was confirmed at high concentrations (350 and 1000 MUg ml(
1)). The selective attachment of mesenchymal stem cells (MSCs) was directly
correlated with the selective adsorption of these proteins onto DTOPV nanofilms.
The MSCs attachment onto UV-DTOPV films was promoted with only small mass
coverage of gelatin, which led to MSC patterning onto the patterned DTOPV
nanofilms successfully. The role of cell adhesion proteins that we found in this
study will be a clue to elucidate the complex response of biomolecules on
functional polymer nanolayers, and contribute to build up biocompatible surfaces
on various advanced materials for the sake of cell engineering and medical
implants.
PMID- 21897959
TI - Amino acid-based ionic liquids: using XPS to probe the electronic environment via
binding energies.
AB - Here we report the synthesis and characterisation by X-ray photoelectron
spectroscopy (XPS) of eight high purity amino acid-based ionic liquids (AAILs),
each containing the 1-octyl-3-methylimidazolium, [C(8)C(1)Im](+), as a standard
reference cation. All expected elements were observed and the electronic
environments of these elements identified. A fitting model for the carbon 1s
region of the AAILs is reported; the C aliphatic component of the cation was used
as an internal reference to obtain a series of accurate and reproducible binding
energies. Comparisons are made between XP spectra of the eight AAILs and selected
non-functionalised ionic liquids. 1-octyl-3-methylimidazolium acetate was also
studied as a model of the carboxyl containing amino acid anion. The influence of
anionic substituent groups on the measured binding energies of all elements is
presented, and communication between anion and cation is investigated. This data
is interpreted in terms of hard and soft anions and compared to the Kamlet-Taft
hydrogen bond acceptor ability, beta, for the ionic liquids. A linear correlation
is presented which suggests that the functional side chain, or R group, of the
amino acid has little impact upon the electronic environment of the charge
bearing moieties within the anions and cations studied.
PMID- 21897960
TI - Role of electromechanical and mechanoelectric effects in protein hydration under
hydrostatic pressure.
AB - Recent measurements of lysozyme hydration water density under non-denaturing
pressure show that it is higher than that of bulk water in the same conditions.
High protein hydration layer density has earlier been observed at ambient
conditions and ascribed to electrostriction. We calculate the pressure-induced
protein mean surface charge density increment Deltasigma. Within the hydration
layer, the higher fields due to Deltasigma lead to an additional water
compression via electrostriction. The increment Deltasigma is considered as due
to a mechanoelectric effect in protein molecules. The mean value of the effective
mechanoelectric coefficient d is calculated and compared with piezoelectric
coefficients of amino acids and their compounds.
PMID- 21897961
TI - Quantitative analysis of high field liquid state Dynamic Nuclear Polarization.
AB - Dynamic Nuclear Polarization (DNP) in the liquid state has become the focus of
attention to improve the NMR sensitivity of mass limited samples. The Overhauser
model predicts a fast reduction in DNP enhancement at high magnetic fields where
the Electron Larmor frequency exceeds the typical inverse correlation time of the
magnetic interaction between a radical spin and proton spins of the water
molecules. Recent experiments have shown that an appreciable DNP enhancement in
the liquid state is possible also at magnetic fields of 3 to 9 Tesla. At present
it is not clear whether the Overhauser model needs to be adapted to explain these
results. In the present paper we aim to resolve this question by a combination of
in situ temperature dependent NMR relaxation measurements, EPR and DNP
experiments. Enhancement factors of up to -165 are obtained with microwave powers
below 500 mW. We conclude that at 3.4 Tesla (95 GHz) the various measurements are
consistent with each other and in quantitative agreement with Overhauser theory.
Microwave heating of the sample does play an important role to reduce the
correlation times and allow a substantial Overhauser DNP. The typical enhancement
factors may allow new applications in microfluidic NMR.
PMID- 21897962
TI - Formation of the second superhydrophobic shell around an encapsulated metal ion:
synthesis, X-ray structure and electrochemical study of the clathrochelate and
bis-clathrochelate iron(II) and cobalt(II, III) dioximates with ribbed
perfluoroarylsulfide substituents.
AB - The nucleophilic substitution of six chlorine atoms of the n-butylboron-capped
clathrochelate iron and cobalt(II) precursors with perfluoroarylthiolate anions
afforded the hexaperfluoroarylsulfide macrobicyclic iron and cobalt(II) tris
dioximates. The complexes obtained are soluble in aromatic and aliphatic
hydrocarbons as well as in polar aprotonic solvents due to the presence of the
superhydrophobic fluorine-containing molecular periphery. As it follows from the
X-ray data for five iron and cobalt mono- and bis-clathrochelates, the geometry
of their macrobicyclic frameworks is affected by both the nature of an
encapsulated metal ion and that of the ribbed substituents. Bis-capping fragment
Co(II)O(6) of the Co(III)Co(II)Co(III) bis-clathrochelate possesses a trigonal
antiprismatic geometry, all the Co(II)N(6) coordination polyhedra are trigonal
prismatic, and those of the encapsulated iron(II) and cobalt(III) ions are
intermediate between them. The wide range of Co-N distances as well as the
significant shifts of the encapsulated cobalt(II) ions from the centres of their
N(6)-coordination polyhedra were explained by the Jahn-Teller distortion. The EPR
and magnetometry data are also characteristic of the low-spin cobalt(II)
complexes with this distortion. The parameters of the (57)Fe Mossbauer spectra of
the iron macrobicycles are characteristic of the low-spin iron(II) complexes. The
cyclic voltammograms (CVs) for the complexes studied contain the one-electron
oxidation and reduction waves assigned to metal-centered redox-processes. The
Fe(2+/3+) and Co(2+/3+) oxidations are quasi-reversible or irreversible. The
anionic clathrochelate species resulting from the reversible Co(2+/+) reductions
are stable on the CV time scale, whereas their iron(I)-containing analogs are
unstable.
PMID- 21897963
TI - Octa- and hexametallic iron(III)-potassium phosphonate cages.
AB - Two new iron(III)-potassium phosphonate cage complexes with {K(2)Fe(6)} and
{K(2)Fe(4)} cores are reported. Magnetic studies reveal antiferromagnetic
interactions between the Fe(III) centres occur in these cages.
PMID- 21897964
TI - Theoretical study on intramolecular allene-diene cycloadditions catalyzed by
PtCl2 and Au(I) complexes.
AB - The intramolecular [4C+3C] cycloaddition reaction of allenedienes catalysed by
PtCl(2) and several Au(I) complexes has been studied by means of DFT
calculations. Overall, the reaction mechanism comprises three main steps: (i) the
formation of a metal allyl cation intermediate, (ii) a [4C(4pi)+3C(2pi)]
cycloaddition that produces a seven-membered ring and (iii) a 1,2-hydrogen
migration process on these intermediates. The reaction proceeds with complete
diastereochemical control resulting from a favoured exo-like cycloaddition.
Allene substituents have a critical influence in the reaction outcome and
mechanism. The experimental observation of [4C+2C] cycloadducts in the reaction
of substrates lacking substituents at the allene terminus can be explained
through a mechanism involving Pt(IV)-metallacycles. With gold catalysts it is
also possible to obtain [4C+2C] cycloaddition products, but only with substrates
featuring terminally disubstituted allenes, and employing pi-acceptor ligands at
gold. However the mechanism for the formation of these adducts is completely
different to that proposed with PtCl(2), and consists of the formation of a metal
allyl cation, subsequent [4C+3C] cycloaddition and a 1,2-alkyl shift (ring
contraction). Electronic analysis indicates that the divergent pathways are
mainly controlled by the electronic properties of the gold heptacyclic species (L
Au-C(2)), in particular, the backdonation capacity of the metal center to the
unoccupied C(2) (ppi-orbital) of the intermediate resulting from the [4C+3C]
cycloaddition. The less backdonation, (i.e. using P(OR)(3)Au(+) complexes), the
more favoured is the 1,2-alkyl shift.
PMID- 21897965
TI - Unprecedented heptacopper(II) cluster with body-centred anti-prismatic topology.
Structure, magnetism and density functional study.
AB - Using a (2-pyridyl)ethylamine-appended carboxylate ligand a new cluster
[Cu(II)(7)(L)(4)(MU(3)-OH)(2)(H(2)O)(2)(DMF)(2)][ClO(4)](4).4H(2)O (1) [L(2-): N
{CH(2)CH(2)(2-pyridyl)}(CH(2)CH(2)CO(2))(2)] is synthesized, as a result of
'coordination-driven self-assembly'. The structure of 1 is unique and consists of
a centrosymmetric carboxylato- and hydroxo-bridged heptanuclear copper(II)
cation, with body-centred anti-prismatic topology. The four crystallographically
independent copper(II) centres differ markedly in their coordination geometry. In
addition to establishing cluster authenticity, the structural analysis of 1
discloses two notable features. The existence of {Cu(II)(3)(MU(3)-OH)}(5+) core
and H-bonded metal-coordinated carboxylate and water unit, with water acting as a
proton donor. Both of these features have biological implications. Magnetic
measurements reveal that in this unprecedented cluster the net magnetic-exchange
is antiferromagnetic. The different types of magnetic-exchange coupling constants
(J values) considered for magnetic data analysis appear to adopt a variety of
values depending on the specific geometric parameters associated with two
interacting copper(II) centres. Notably, for 1 a good agreement between the J
values obtained from DFT calculations at the B3LYP level of theory and from the
experimental data is achieved.
PMID- 21897966
TI - Dietary chromones as antioxidant agents--the structural variable.
AB - This study reports an evaluation of the free radical scavenging ability of a
series of chromone derivatives, in the light of their structural features and
conformational behaviour. The 2,2-diphenyl-1-picrylhydrazyl radical (DPPH) test
for the assessment of radical scavenging properties was applied, and the
interpretation of the experimental results was assisted by ab initio theoretical
approaches that allowed relevant parameters, such as the enthalpy of formation of
the radical species, to be predicted. From the eighteen tested compounds, three
fisetin, luteolin and quercetin-are shown to act as effective antiradicals.
Consistent structure-activity relationships (SARs) were established regarding the
antioxidant role of this type of chromone-based system.
PMID- 21897967
TI - Fullerene C60 as a multifunctional system for drug and gene delivery.
AB - The fullerene family, and especially C(60), has delighted the scientific
community during the last 25 years with perspective applications in a wide
variety of fields, including the biological and the biomedical domains. Several
biomedical uses have been explored using water-soluble C(60)-derivatives.
However, the employment of fullerenes for drug delivery is still at an early
stage of development. The design and synthesis of multifunctionalized and
multimodal C(60) systems able to cross the cell membranes and efficiently deliver
active molecules is an attracting challenge that involves multidisciplinary
strategies. Promising results have emerged in the last years, bringing fullerenes
again to the front of interest. Herein, the state of the art of this emerging
field is presented and illustrated with some of the most representative examples.
PMID- 21897968
TI - Multifunctional nanoadditives for the thermodynamic and kinetic stabilization of
enzymes.
AB - Stabilization of enzymes has become a major focus in the quest to improve the
activity, sustainability and recyclability of enzymes for their successful
integration into both industry and medicine. Here, we describe the kinetic and
thermodynamic stabilization of a variety of enzymes in the presence of cationic
multifunctional polymeric nanoparticles.
PMID- 21897969
TI - Silent, fluorescent labeling of native neuronal receptors.
AB - We have developed a minimally-perturbing strategy that enables labeling and
subcellular visualization of endogenous dendritic receptors on live, wild-type
neurons. Specifically, calcium-permeable non-NMDA glutamate receptors expressed
in hippocampal neurons can be targeted with this novel synthetic tri-functional
molecule. This ligand-directed probe was targeted towards AMPA receptors and
bears an electrophilic group for covalent bond formation with an amino acid side
chain on the extracellular side of the ion channel. This molecule was designed in
such a way that the use-dependent, polyamine-based ligand accumulates the
chemically-reactive group at the extracellular side of these polyamine-sensitive
receptors, thereby allowing covalent bond formation between an electrophilic
moiety on the nanoprobe and a nucleophilic amino acid sidechain on the receptor.
Bioconjugation of this molecule results in a stable covalent bond between the
nanoprobe and the target receptor. Subsequent photolysis of a portion of the
nanoprobe may then be employed to effect ligand release allowing the receptor to
re-enter the non-liganded state, all the while retaining the fluorescent beacon
for visualization. This technology allows for rapid fluorescent labeling of
native polyamine-sensitive receptors and further advances the field of
fluorescent labeling of native biological molecules.
PMID- 21897970
TI - Synthesis and antiplasmodial activity of streptocyanine/peroxide and
streptocyanine/4-aminoquinoline hybrid dyes.
AB - Two series of streptocyanine dyes incorporating cyclic peroxide or 4
aminoquinoline moieties are prepared and X-ray diffraction structures for three
compounds are determined. All hybrid dyes show good antiplasmodial activity (0.06
to 0.66 MUM) and are not or are slightly cytotoxic, except 10a.
PMID- 21897971
TI - Magnetic iron oxide nanoparticle enrichment of phosphopeptides on a radiate
microstructure MALDI chip.
AB - Several methods can be used to improve the enrichment of phosphorylated proteins.
In this paper, phosphopeptides were enriched using magnetic iron(II,III) oxide
(magnetite, Fe(3)O(4)) nanoparticles (NPs) on a radiate microstructure silicon
chip and then analyzed using matrix-assisted laser desorption/ionization time-of
flight mass spectrometry (MALDI-TOFMS) without further purification processes. We
have developed a radiate microstructure chip on which samples can be concentrated
for analysis by MALDI-TOFMS. The phosphoprotein digests and magnetic iron oxide
NPs aqueous solution were deposited onto the central zone of the radiate
microstructure silicon chip and enabled the on-chip enrichment of
phosphopeptides. Microscopic analysis confirmed that the applied samples were
confined to the central zone. Sample spots focused on the chip were much smaller
than those on an unmodified plate with the same total volume. Different additives
were used and optimized processes were performed to minimize non-phosphopeptides
interference. These data collectively demonstrate that our on-chip phosphopeptide
enrichment protocol is a rapid and easy-to-use method for phosphoproteome
analysis.
PMID- 21897972
TI - Do all the protic ionic liquids exist as molecular aggregates in the gas phase?
AB - According to an EI-MS study of 1,1,3,3-tetramethylguanidium-based protic ionic
liquids (PILs), it has been concluded that not all PILs exist as molecular
aggregates in the gas phase. The detection of both ions of m/z 115.0 and m/z
116.0 for the 1,1,3,3-tetramethylguanidinium trifluoromethylsulfonate (TMGS)
protic ionic liquid indicates that both the molecular and ionic aggregates co
exist in the gas phase, which is to say that the TMGS may also evaporate via the
ionic aggregates just like aprotic ionic liquids. Furthermore, investigation on
triethylamine-based and 1-methylimidazole-based PILs confirmed that the gas phase
structure of PILs depends on both the acidity and basicity of the corresponding
acid and base.
PMID- 21897973
TI - Band gap engineering of bulk ZrO2 by Ti doping.
AB - It has been experimentally observed that Ti doping of bulk ZrO(2) induces a large
red-shift of the optical absorption edge of the material from 5.3 to 4.0 eV
[Livraghi et al., J. Phys. Chem. C, 2010, 114, 18553-18558]. In this work,
density functional calculations based on the hybrid functional B3LYP show that Ti
dopants in the substitutional position to Zr in the tetragonal lattice cause the
formation of an empty Ti 3d band about 0.5 eV below the bottom of the conduction
band. The optical transition level epsilon(opt)(0/-1) from the topmost valence
state to the lowest empty Ti impurity state is found at 4.9 eV in a direct band
gap of 5.7 eV. The calculated shift is consistent with the experimental
observation. The presence of Ti(3+) species in Ti-doped ZrO(2), probed by means
of electron paramagnetic resonance (EPR), is rationalized as the result of
electron transfers from intrinsic defect states, such as oxygen vacancies, to
substitutional Ti(4+) centers.
PMID- 21897974
TI - Fungal DNA in hotel rooms in Europe and Asia--associations with latitude,
precipitation, building data, room characteristics and hotel ranking.
AB - There is little information on the indoor environment in hotels. Analysis of
fungal DNA by quantitative PCR (qPCR) is a new method which can detect general
and specific sequences. Dust was collected through swab sampling of door frames
in 69 hotel rooms in 20 countries in Europe and Asia (2007-2009). Five sequences
were detected by qPCR: total fungal DNA, Aspergillus and Penicillium DNA (Asp/Pen
DNA), Aspergillus versicolor (A. versicolor DNA), Stachybotrys chartarum (S.
chartarum DNA) and Streptomyces spp. (Streptomyces DNA). Associations were
analysed by multiple linear regression. Total fungal DNA (GM = 1.08 * 10(8) cell
equivalents m(-2); GSD = 6.36) and Asp/Pen DNA (GM = 1.79 * 10(7) cell
equivalents m(-2); GSD = 10.12) were detected in all rooms. A. versicolor DNA, S.
chartarum DNA and Streptomyces DNA were detected in 84%, 28% and 47% of the
samples. In total, 20% of the rooms had observed dampness/mould, and 30% had
odour. Low latitude (range 1.5-64.2 degrees) was a predictor of Asp/Pen DNA.
Seaside location, lack of mechanical ventilation, and dampness or mould were
other predictors of total fungal DNA and Asp/Pen DNA. Hotel ranking (Trip
Advisor) or self-rated quality of the interior of the hotel room was a predictor
of total fungal DNA, A. versicolor DNA and Streptomyces DNA. Odour was a
predictor of S. chartarum DNA. In conclusion, fungal DNA in swab samples from
hotel rooms was related to latitude, seaside location, ventilation, visible
dampness and indoor mould growth. Hotels in tropical areas may have 10-100 times
higher levels of common moulds such as Aspergillus and Penicillium species, as
compared to a temperate climate zone.
PMID- 21897975
TI - Stereoselective vinylogous Mannich reaction of 2-trimethylsilyloxyfuran with N
gulosyl nitrones.
AB - Stereoselective vinylogous Mannich reaction of 2-trimethylsilyloxyfuran with L
gulose-derived chiral nitrones in the presence of a catalytic amount of
trimethylsilyl trifluoromethanesulfonate was investigated. The selectivity was
strongly influenced by the bulkiness of the C-substituent of the nitrone: for
example, C-benzyloxymethyl nitrone afforded four stereoisomers, whereas bulky C
[(4S)-2,2-dimethyl-1,3-dioxolan-4-yl]nitrone gave a single stereoisomer. The
latter product was elaborated to afford key synthetic intermediates for polyoxin
C and dysiherbaine.
PMID- 21897976
TI - Dynamics of individual rotational states in an electrostatic guide for neutral
molecules.
AB - The guiding properties of individual rotational states of deuterated ammonia
inside an electrostatic hexapole guide are presented. The guide is combined with
resonance enhanced multiphoton ionization detection to assess the guiding
probabilities and velocity distributions as a function of the rotational quantum
numbers J and K. Due to the differences in the effective dipole moment these
states are prepared at significantly different translational temperatures. A
model is presented that describes the velocity-distribution for individual M
sublevels, and this model is also used to determine a rotational-state dependent
translational temperature. Furthermore, the hexapole field has been replaced by a
dipole field in order to obtain a band-pass velocity filter. However, the
resulting change in the final velocity distribution is similar to that obtained
from a hexapole guide but with increased backing pressure, leading to collisional
acceleration of the slow molecules.
PMID- 21897977
TI - Development of electrochemical based sandwich enzyme linked immunosensor for
Cryptosporidium parvum detection in drinking water.
AB - Cryptosporidium parvum is one of the most important biological contaminants in
drinking water and generates significant risks to public health. Due to low
infectious dose of C. parvum, remarkably sensitive detection methods are required
for water and food industry analysis. This present study describes a simple,
sensitive, enzyme amplified sandwich form of an electrochemical immunosensor
using dual labeled gold nanoparticles (alkaline phosphatase and anti-oocysts
monoclonal antibody) in indium tin oxide (ITO) as an electrode to detect C.
parvum. The biosensor was fabricated by immobilizing the anti-oocysts McAb on a
gold nanoparticle functionalized ITO electrode, followed by the corresponding
capture of analytes and dual labeled gold nanoparticle probe to detect the C.
parvum target. The outcome shows the sensitivity of electrochemical immune sensor
enhanced by gold nanoparticles with a limit of detection of 3 oocysts/mL in a
minimal processing period. Our results demonstrated the sensitivity of the new
approach compared to the customary method and the immunosensors showed acceptable
precision, reproducibility, stability, and could be readily applied to multi
analyte determination for environmental monitoring.
PMID- 21897978
TI - Assessing the spatial resolution of cellular rigidity sensing using a
micropatterned hydrogel-photoresist composite.
AB - The biophysical machinery that permits a cell to sense substrate rigidity is
poorly understood. Rigidity sensing of adherent cells likely involves traction
forces applied through focal adhesions and measurement of resulting deformation.
However, it is unclear if this measurement takes place underneath single focal
adhesions, over local clusters of focal adhesions, or across the length of the
entire cell. To address this question, we developed a composite, chip-based
material containing many arrays of 6.5 MUm * 6.5 MUm rigid adhesive islands, with
an edge-edge distance of 8 MUm, grafted onto the surface of a non-adhesive
polyacrylamide hydrogel. This material is thus rigid within single islands while
long-range rigidity is determined by the hydrogel. On soft gels, most NIH 3T3
cells spread only across two islands in a given dimension forming small stress
fibers and focal adhesions. On stiff gels, cell spreading, stress fibers, and
focal adhesions were indistinguishable from those on regular culture surfaces. We
conclude that rigidity sensing is dictated by material compliance across the cell
length and that responses to rigidity may be inhibited at any point when large
substrate strain is encountered during spreading. Our finding may serve as a
guideline for the design of biomaterials for tissue engineering.
PMID- 21897979
TI - Microfluidics with aqueous two-phase systems.
AB - An overview is given about research activities in which aqueous two phase systems
(ATPSs) are utilized in microfluidic setups. ATPSs consist of two immiscible
aqueous phases and have traditionally been used for the separation and
purification of biological material such as proteins or cells. Microfluidic
implementations of such schemes are usually based on a number of co-flowing
streams of immiscible phases in a microchannel, thereby replacing the standard
batch by flow-through processes. Some aspects of the stability of such flow
patterns and the recovery of the phases at the channel exit are reviewed.
Furthermore, the diffusive mass transfer and sample partitioning between the
phases are discussed, and corresponding applications are highlighted. When
diffusion is superposed by an applied electric field normal to the liquid/liquid
interface, the transport processes are accelerated, and under specific conditions
the interface acts as a size-selective filter for molecules. Finally, the
activities involving droplet microflows of ATPSs are reviewed. By either forming
ATPS droplets in an organic phase or a droplet of one aqueous phase inside the
other, a range of applications has been demonstrated, extending from
separation/purification schemes to the patterning of surfaces covered with cells.
PMID- 21897980
TI - Monomer adsorption of indocyanine green to gold nanoparticles.
AB - NIR-dye encoded gold nanoparticles (GNP) are rapidly emerging as contrast agents
in many bio-imaging/sensing applications. The coding process is usually carried
out without control or a clear understanding of the metal-liquid interface
properties which, in contrast, are critical in determining the type and extension
of dye-metal interaction. In this paper, we investigated the effect of gold
surface composition on the adsorption of indocyanine green (ICG) on GNP,
simulating the surface conditions of gold nanorods on citrate-capped gold
nanospheres. These substrates allowed a careful control of the metal-liquid
interface composition and, thus, detailed absorption and fluorescence
concentration studies of the effects of each individual chemical in the colloidal
solution (i.e. bromide anions, cetyl trimethylammonium ions and Ag(+) ions) on
the ICG-gold interaction. This study reveals the drastic effect that these
experimental parameters can have on the ICG adsorption on GNP.
PMID- 21897981
TI - Incorporation of zinc(II) porphyrins in polyaniline in its perigraniline form
leading to polymers with the lowest band gap.
AB - Conjugated copolymers built upon quinone diimine-zinc(II) porphyrin units exhibit
a very low lying charge transfer band at 800 nm and are strongly emissive from
the S(2) and T(2) states.
PMID- 21897982
TI - Reactivity of Lewis pairs (R2PCH2AlMe2)2 with carbon dioxide.
AB - Species R(2)PCH(2)AlMe(2) (R = Me, Ph) are stable Lewis adducts but still react
with CO(2) both in solution and in the solid state. The CO(2) adducts undergo a
rearrangement unprecedented for ambiphilic molecules to form aluminium
carboxylates. A new spirocyclic compound was also obtained by double Lewis pair
activation of CO(2).
PMID- 21897983
TI - Multiple photosynthetic reaction centres using zinc porphyrinic oligopeptide
fulleropyrrolidine supramolecular complexes.
AB - Multiple charge-separation sites have successfully been constructed using
supramolecular complexes of multiporphyrinic oligopeptides [P(ZnP)(n), n = 2, 4,
8] with fulleropyrrolidine bearing a pyridine or imidazole coordinating ligand,
which are organized by utilizing pi-pi interaction in addition to the
coordination bond.
PMID- 21897984
TI - Plasmid DNA linearization in the antibacterial action of a new fluorescent Ag
nanoparticle-paracetamol dimer composite.
AB - Herein, we report the generation of a composite comprised of p-hydroxyacetanilide
dimer and Ag nanoparticles (NPs) by reaction of AgNO(3) and p-hydroxyacetanilide.
The formation of the composite was established by UV-vis, FTIR and NMR
spectroscopy, transmission electron microscopy and X-ray diffraction along with
substantiation by mass spectrometry. Interestingly, the composite exhibited an
emission spectrum with a peak at 435 nm when excited by light of wavelength 320
nm. The composite showed superior antimicrobial activity with respect to its
individual components against a wide range of Gram positive and Gram negative
bacteria at relatively low concentrations of Ag NPs and at which there was no
apparent cytotoxicity against mammalian cells. Our results suggest that the
composite strongly interacted with the bacterial cell walls leading to cell
bursting. Interestingly, enhancement in the reactive oxygen species (ROS)
generation in bacteria was observed in the presence of the composite. It is
proposed that the ROS generation led to oxidation of the dimer to N-acetyl-p
benzoquinone imine (NAPQI). The generated NAPQI acted as a DNA gyrase inhibitor
causing cell death following linearization of DNA.
PMID- 21897985
TI - Electronic and magnetic properties of pristine and chemically functionalized
germanene nanoribbons.
AB - We perform a spin polarized density-functional theory (DFT) study of the
electronic and magnetic properties of pristine and chemically doped germanene
nanoribbons (GeNRs) with different widths. It is found that the Ge atom at the
ribbon edge always prefers to be substituted by an impurity atom. Our study
reveals that a single N or B atom substitution induces a semiconducting-metal
transition in armchair oriented germanene nanoribbons (AGeNRs) as evidenced by
the appearance of a half-filled band with less dispersion; however, N and B co
doping at the ribbon edges only modifies their band gaps, due to the
accomplishment of an effective charge compensation. A single N or B atom
substitution usually turns antiferromagnetic (AFM) semiconducting zigzag
germanene nanoribbons (ZGeNRs) into ferromagnetic (FM) semiconductors. This AFM
FM transition is attributed mainly to the perturbation of pi and pi states
localized at the doped edge. Double atom substitutions (regardless of N-N, B-B or
N-B configurations) at the edges of ZGeNRs removes the spin-polarization at both
edges and transforms them into non-magnetic (NM) semiconductors. Moreover, it is
interesting that some single atom doped ZGeNRs can exhibit a FM half-metallic
character with 100% spin-polarization at the Fermi level. Our results suggest
that doped AGeNRs and ZGeNRs have potential applications in Ge-based
nanoelectronics, such as field effect transistors (FETs), negative differential
resistance (NDR) and spin filter (SF) devices.
PMID- 21897986
TI - 6.5% efficient perovskite quantum-dot-sensitized solar cell.
AB - Highly efficient quantum-dot-sensitized solar cell is fabricated using ca. 2-3 nm
sized perovskite (CH(3)NH(3))PbI(3) nanocrystal. Spin-coating of the equimolar
mixture of CH(3)NH(3)I and PbI(2) in gamma-butyrolactone solution (perovskite
precursor solution) leads to (CH(3)NH(3))PbI(3) quantum dots (QDs) on
nanocrystalline TiO(2) surface. By electrochemical junction with iodide/iodine
based redox electrolyte, perovskite QD-sensitized 3.6 MUm-thick TiO(2) film shows
maximum external quantum efficiency (EQE) of 78.6% at 530 nm and solar-to
electrical conversion efficiency of 6.54% at AM 1.5G 1 sun intensity (100 mW cm(
2)), which is by far the highest efficiency among the reported inorganic quantum
dot sensitizers.
PMID- 21897987
TI - Peroxide solvation by a toroidal lithium inverse crown ether complex assembled by
multidentate polyimido sulfonates.
AB - In this communication we present the synthesis of the inverse crown ether complex
[Li(2)O(2).Li(4){CH(2)(N(Me)CH(2)S(NtBu)(2))(2)}(2)] (1) which is able to
accommodate peroxide in a torus of lithium ions.
PMID- 21897988
TI - Nucleolipid nanovectors as molecular carriers for potential applications in drug
delivery.
AB - Novel thymidine- or uridine-based nucleolipids, containing one hydrophilic
oligo(ethylene glycol) chain and one or two oleic acid residues (called ToThy,
HoThy and DoHu), have been synthesized with the aim to develop bio-compatible
nanocarriers for drug delivery and/or produce pro-drugs. Microstructural
characterization of their aggregates has been determined in pure water and in
pseudo-physiological conditions through DLS and SANS experiments. In all cases
stable vesicles, with mean hydrodynamic radii ranging between 120 nm and 250 nm
have been revealed. Biological validation of the nucleolipidic nanocarriers was
ensured by evaluation of their toxicological profiles, performed by
administration of the nanoaggregates to a panel of different cell lines. ToThy
exhibited a weak cytotoxicity and, at high concentration, some ability to
interfere with cell viability and/or proliferation. In contrast, DoHu and HoThy
exhibited no toxicological relevance, behaving similarly to POPC-based liposomes,
widely used for systemic drug delivery. Taken together, these results show
nucleolipid-based nanocarriers as finely tunable, multi-functional self
assembling materials of interest for the in vivo transport of biomolecules or
drugs.
PMID- 21897989
TI - Destabilisation of the Li-N-H hydrogen storage system with elemental Si.
AB - A significant improvement in the dehydrogenation kinetics of the (LiNH(2) + LiH)
system was obtained upon doping with elemental Si. Whilst, complete
dehydrogenation of the (LiNH(2) + LiH) system requires more than 2 h, the time
required for full dehydrogenation was reduced to less than 30 min by doping with
elemental Si. It is observed that Si thermodynamically destabilises the system
through the formation of novel intermediate phases resulting from the reaction of
Si with both LiNH(2) and LiH. Such intermediate phases are also believed to
enhance reaction kinetics by providing a path for accelerated dehydrogenation and
the rapid release of hydrogen at the early stages of the reaction. It is believed
that the dehydrogenation kinetics of the (LiNH(2) + LiH) system, which is
controlled by the diffusion of H(-) from LiH and H(+) from LiNH(2), becomes
independent of diffusion upon Si addition due to an enhanced concentration
gradient in reactive ionic species.
PMID- 21897990
TI - Stopping paramagnetic supersonic beams: the advantage of a co-moving magnetic
trap decelerator.
AB - The long standing goal of chemical physics is finding a convenient method to
create slow and cold beams intense enough to observe chemical reactions in the
temperature range of a few Kelvin. We present an extensive numerical analysis of
our moving magnetic trap decelerator showing that a 3D confinement throughout the
deceleration process enables deceleration of almost all paramagnetic particles
within the original supersonic expansion to stopping velocities. We show that the
phase space region containing the decelerating species is larger by two orders of
magnitude as compared to other available deceleration methods.
PMID- 21897991
TI - Characterization of a synthetic peroxodiiron(III) protein model complex by
nuclear resonance vibrational spectroscopy.
AB - The vibrational spectrum of an eta(1),eta(1)-1,2-peroxodiiron(III) complex was
measured by nuclear resonance vibrational spectroscopy and fit using an empirical
force field analysis. Isotopic (18)O(2) labelling studies revealed a feature
involving motion of the {Fe(2)(O(2))}(4+) core that was not previously observed
by resonance Raman spectroscopy.
PMID- 21897992
TI - Silver catalyzed intramolecular cyclization for synthesis of 3
alkylideneoxindoles via C-H functionalization.
AB - A novel protocol for the preparation of various 3-alkylideneoxindoles via a
silver-catalyzed aromatic C-H functionalization has been developed. The process
is simple, environmentally conscious, and avoids the use of abundant bases,
oxidants, or other additives.
PMID- 21897993
TI - Nickel-catalyzed sp2 C-H bonds arylation of N-aromatic heterocycles with Grignard
reagents at room temperature.
AB - A novel protocol for nickel-catalyzed direct sp(2) C-H bond arylation of purines
has been developed. This new reaction proceeded efficiently at room temperature
using Grignard reagent as the coupling partner within 5 hours in good to high
yields. This approach provides a new access to a variety of C8-arylpurines which
are potentially of great importance in medicinal chemistry.
PMID- 21897994
TI - The photo-dehydro-Diels-Alder (PDDA) reaction.
AB - The photo-dehydro-Diels-Alder (PDDA) reaction is a valuable extension of the
classical Diels-Alder (DA) reaction. The PDDA reaction differs from the DA
reaction by the replacement of one of the C-C-double bonds of the diene moiety by
a C-C triple bond and by the photochemical triggering of the reaction. This
entails that, in contrast to the DA reaction, the PDDA reaction proceeds
according to a multistage mechanism with biradicals and cycloallenes as
intermediates. The PDDA reaction provides access to a considerable variety of
compound classes. For example, 1-phenylnaphthlenes, 1,1'-binaphthyls, N
heterocyclic biaryls, and naphthalenophanes could be obtained by this reaction.
PMID- 21897995
TI - An exploratory study of patient attitudes towards symptom reporting in a primary
care setting. Benefits for medical consultation and syndromic surveillance?
AB - OBJECTIVES: The aim of this study was to investigate people's attitude towards
providing symptom information electronically before a consultation. Specific
areas investigated include a) attitudes and experiences with regards to
acquisition of information related to symptoms, b) attitudes towards computer
based communication of symptoms to the general practitioner and how they
preferred to carry out such reporting, and c) attitudes towards storage, use and
presentation of symptom-data in general, and particularly in a symptom based
surveillance setting. METHODS: Data was collected from 83 respondents by use of
convenience sampling. RESULTS: The respondents were familiar with using the
Internet for health purposes, such as acquisition of information related to their
symptoms prior to a consultation. The majority of respondents had a positive
attitude towards providing information about their symptoms to the general
practitioner's office as soon as possible after falling ill. Over half of the
respondents preferred to use e-mail or a web-interface to perform this task.
Eighty four percent were willing to have their symptom data stored in their EPR
and 76 percent agreed that the general practitioner might access the symptoms
together with the prevalence of matching diseases in order to assist the
diagnostic process during the next consultation. CONCLUSIONS: The results of this
study support the applicability of electronically mediated pre-consultation
systems both for improving primary care consultation and for use in symptom based
surveillance, including real-time surveillance.
PMID- 21897996
TI - C-Reactive protein and outcomes in acute coronary syndromes: a systematic review
and meta-analysis.
AB - Despite the association between high-sensitivity C-reactive protein (CRP) and
recurrent events in non-ST elevation acute coronary syndromes (ACS), routine
determination of this marker has not been recommended. In order to verify whether
the current scientific evidence justifies the inclusion of CRP for risk
stratification at hospital admission of patients with ACS, we carried out a
systematic review and meta-analysis of the studies indexed in MEDLINE, SciELO or
LILACS, with the following inclusion criteria: prospective cohort design and
assessment of the prognostic value of CPR, as measured using a high-sensitivity
method at the moment of hospital admission of patients with ACS. Nineteen studies
met the inclusion criteria. In relation to the long-term follow-up, there was a
consistent association between CRP and cardiovascular events, with an overall
odds ratio (OR) of 4.6 (95% CI = 2.3 - 7.6) and overall multivariate OR of 2.5
(95% CI = 1.8-3.4). As for the short-term, nine studies were positive and six
were negative, with an overall OR of 1.65 (95% CI = 1.2-2.3). The overall
multivariate OR was not obtained for the short-term follow-up, because this
measurement was described only in three heterogeneous studies. Only two short
term studies analyzed the incremental predictive value of CRP in relation to
multivariate models, with contradicting results. In conclusion, the small number
of assessments of the incremental value of CRP, in conjunction with controversial
results regarding the independent predictive value of CRP for short-term events
does not support the recommendation of the routine use of CRP for risk
stratification at admission of patients with ACS.
PMID- 21898007
TI - A biopsy tool with integrated piezoceramic elements for needle tract
cauterization and cauterization monitoring.
AB - This paper reports the feasibility of biopsy needle tract cauterization and
cauterization monitoring using an embedded array of piezoceramic microheaters.
Circular heaters of lead zirconate titanate (PZT-5A), with 200 MUm diameter and
70-80 MUm thickness, are fabricated using a batch mode micro ultrasonic machining
process. These are then assembled into cavities in the walls of 20-gauge
stainless steel needles and sealed with epoxy. Experiments are performed by
inserting the proposed biopsy needle into porcine tissue samples. The needle
surface exceeds the minimum target temperature rise of 33 degrees C for either
radial or thickness mode vibrations. The corresponding input power levels are 236
mW and 325 mW, respectively. The tissue cauterization extends 1-1.25 mm beyond
the perimeter of the needle and is uniform in all directions. After
cauterization, the fundamental anti-resonance frequency and the corresponding
impedance magnitude of the PZT heater decrease by 4.1% and 42.6%, respectively,
thereby providing a method to monitor the extent of tissue cauterization. A
sensing interface circuit capable of measuring the resonance frequency shift of
the PZT elements is built and tested using discrete integrated circuit
components. The circuit detects the resonance frequency shift from 8.22 MHz to
7.96 MHz of the PZT elements when the biopsy needle is inserted into wax medium.
An interface circuit for actuation of the PZT elements for tissue cauterization
is also described.
PMID- 21898006
TI - Anal sphincter repair for fecal incontinence: effect on symptom severity, quality
of life, and anal sphincter squeeze pressures.
AB - INTRODUCTION AND HYPOTHESIS: The objective of this study was to determine the
effect of external anal sphincter repair on fecal incontinence symptoms, quality
of life, and anal sphincter squeeze pressures. METHODS: The fecal incontinence
symptoms and impact on quality of life, patient satisfaction, and anorectal
manometry were assessed pre- and post-operatively. RESULTS: One hundred four
women were eligible and 74/104 (71%) returned post-operative questionnaires.
Fifty-four of 74 (73%) had pre- and post-operative questionnaires. Twenty-five of
74 (34%) had pre- and post-operative anorectal manometry measures. Mean length of
follow-up for participants (n = 54) was 32 +/- 19 months. Modified Manchester
Health Questionnaire scores decreased from 47.3 +/- 21.9 to 28.4 +/- 24.3 (p <
0.01) and Fecal Incontinence Severity Index scores from 30.6 +/- 13.0 to 21.6 +/-
15.5 (p < 0.01). Seventy-seven percent of the participants was satisfied.
Sphincter squeeze pressures increased from 53.4 +/- 25.0 to 71.8 +/- 29.1 mmHg (p
< 0.01). CONCLUSIONS: External anal sphincter repair resulted in sustained
improvements in fecal incontinence severity and quality of life along with
improved anal sphincter squeeze pressures.
PMID- 21898008
TI - Electrospray deposition and direct patterning of polylactic acid nanofibrous
microcapsules for tissue engineering.
AB - Electrospun nanofibers composed of biodegradable polymers are attractive
candidates for cell culture scaffolds in tissue engineering. Their fine-meshed
structures, resembling natural extracellular matrices, effectively interact with
cell surfaces and promote cell proliferation. The application of electrospinning,
however, is limited to two-dimensional (2D) or single tube-like scaffolds, and
the fabrication of arbitrary three-dimensional (3D) scaffolds from electrospun
nanofibers is still very difficult due to the fibers' continuous and entangled
form. To address this issue, in this paper, we describe the use of phase
separation-assisted electrospray and electrostatic focusing to perform continuous
direct 3D patterning of nanofibrous microcapsules of biodegradable polylactic
acid (PLA). These microcapsules exhibit fiber-particle duality because they are
composed of nanofibers suitable for cell attachment while also being easy to
handle as particles for direct 3D patterning. By varying the flow rate of the
polymer solution and the humidity of the electrospray atmosphere during
electrospraying, the diameter of the microcapsule and its surface porosity can be
controlled. The utility of the direct-patterning process is demonstrated by
fabricating high-aspect-ratio microscaffolds and subsequent cell cultures. The
nanofibrous and hollow structure of the microcapsules combined with the direct 3D
patterning process offers a new approach for fabricating tailor-made scaffolds
for regenerative medicine.
PMID- 21898009
TI - Inertial migration of cancer cells in blood flow in microchannels.
AB - The circulating tumor cell test is used to evaluate the condition of breast
cancer patients by counting the number of cancer cells in peripheral blood
samples. Although microfluidic systems to detect or separate cells using the
inertial migration effect may be applied to this test, the hydrodynamic forces
acting on cancer cells in high hematocrit blood flow are incompletely understood.
In the present study, we investigated the inertial migration of cancer cells in
high hematocrit blood flow in microchannels. The maximum hematocrit used in this
study was about 40%. By measuring the cell migration probability, we examined the
effects of cell-cell interactions, cell deformability, and variations in cell
size on the inertial migration of cancer cells in blood. The results clearly
illustrate that cancer cells can migrate towards equilibrium positions up to a
hematocrit level of 10%. We also performed simple scaling analysis to explain the
differences in migration length between rigid particles and cancer cells as well
as the effect of hematocrit on cancer cell migration. These results will be
important for the design of microfluidic devices for separating cells from blood.
PMID- 21898010
TI - A review of the composition, characteristics, and effectiveness of barrier mesh
prostheses utilized for laparoscopic ventral hernia repair.
AB - BACKGROUND: The objective of this review was to provide an overview of the
components that comprise each of the eight barrier mesh prostheses commonly
utilized for LVHR and to review the current literature related to the
characteristics and effectiveness of these materials to guide the general surgeon
in selecting the most appropriate material for LVHR. METHODS: Composite
prostheses with permanent barriers (BardTM ComposixTM E/X, BardTM ComposixTM L/P,
and DUALMESH((r)) Biomaterial) were compared to composite prostheses with
absorbable barriers (C-QURTM Mesh, PROCEEDTM Surgical Mesh, BardTM SeprameshTM IP
Composite, ParietexTM Composite, and PHYSIOMESHTM) using scanning electron
microscopy and a review of the current preclinical and clinical literature.
RESULTS: Clinical studies and preclinical animal models have attempted to
determine the adhesion characteristics and effectiveness of barrier mesh
prostheses available for ventral hernia repair applications. However, it is
difficult to make any definitive statements about the adhesion characteristics
and effectiveness of these materials because all meshes were not included in all
studies and likewise not compared under identical conditions. Overall, ParietexTM
Composite and DUALMESH((r)) Biomaterial were cited most frequently for
improvement of adhesion characteristics, followed closely by BardTM SeprameshTM
IP Composite and C-QURTM Mesh. BardTM ComposixTM, PROCEEDTM Surgical Mesh, and
uncoated polypropylene were cited most frequently as having the most tenacious
and extensive adhesions. CONCLUSIONS: Differences observed between the various
barrier prostheses are likely attributable to the chemical composition of the
barrier or the conditions required for resorption and metabolism of the barrier
components. It is likely that the components of these barriers incite a wide
range of inflammatory responses resulting in the range of adhesion coverage and
tenacity observed in the preclinical and clinical studies reviewed. Clinical
trials are needed to more appropriately define the clinical effectiveness of
these barriers.
PMID- 21898011
TI - Lobectomy for early-stage lung carcinoma: a cost analysis of full thoracoscopy
versus posterolateral thoracotomy.
AB - BACKGROUND: Major pulmonary resections for early-stage non-small-cell lung cancer
(NSCLC) are increasingly being performed by thoracoscopy, but there are economic
concerns related to the use of many disposable items and increased operative
time. We evaluated and compared the costs of thoracoscopic lobectomy versus open
lobectomy. METHODS: Data from all patients who underwent lobectomy for clinical
stage I NSCLC from January 1, 2007, to December 31, 2009 were reviewed. Two
hundred eighty-seven major pulmonary resections (269 lobectomies and 18 anatomic
segmentectomies) for NSCLC were performed: 98 cases via a totally endoscopic
approach (TS) and 189 via a classical posterolateral thoracotomy (PLT). Direct
medical costs [hospital stay, intensive care unit (ICU) stay, disposables,
theatre time, laboratory, and radiology costs] were evaluated. RESULTS: Patient
demographics were similar in both groups. The two groups did not differ in
histology, pathologic stage, or type of lobectomy. There were no differences in
postoperative complications or readmissions during the 30-day postoperative
period; however, patients in the TS group had significantly fewer chest tube days
and shorter hospital length of stay (p < 0.001). Theatre costs were significantly
higher in the TS group [2,861 +/- 458 vs. 2,260 +/- 399 (p < 0.001)].
Mean cost for disposables for TS was 1,800 +/- 560.46 vs. 901 +/- 328
for PLT (p < 0.001). Thoracoscopic upper-right lobectomy and anatomic
segmentectomy were more expensive than other thoracoscopic lobectomies. Mean
costs for hospital stay, laboratory, and radiological services for TS were less
than for PLT (p < 0.001), although mean ICU stay was similar in both groups.
Finally, overall costs were significantly greater for the PLT group
(14,145.57 +/- 7,117.84) than for the TS group (11,934.13 +/-
6,690.25) (p < 0.001). CONCLUSION: Thoracoscopic lobectomy was less expensive
than open lobectomy for patients with early-stage NSCLC. Although thoracoscopic
lobectomy has a higher initial cost, overall cost is less expensive due to a
shorter hospital stay.
PMID- 21898012
TI - Laparoscopic Nissen versus Toupet fundoplication: objective and subjective
results of a prospective randomized trial.
AB - BACKGROUND: Although symptom outcomes following laparoscopic fundoplication have
been adequately evaluated in the past, comparative subjective data of
laparoscopic Nissen and Toupet fundoplications are scarce. Multichannel
intraluminal impedance monitoring (MII) has not been used so far for comparison
of objective data. METHODS: One hundred patients with documented chronic
gastroesophageal reflux disease (GERD) were randomly allocated to either floppy
Nissen fundoplication (group I, n = 50) or Toupet fundoplication (group II, n =
50). Gastrointestinal Quality of Life Index (GIQLI), symptom grading, esophageal
manometry, and MII data were documented preoperatively and 3 months after
surgery. Subjective and objective outcome data were compared to those of healthy
individuals. RESULTS: Symptom intensity was significantly more severe and GIQLI
showed impairment in the examined patient population compared to healthy
controls. Both procedures resulted in a significant improvement in GIQLI and GERD
symptoms (p < 0.01). Dysphagia improved significantly only in group II, while
cough, asthma, and distortion of taste improved significantly in both groups.
Hoarseness symptoms showed some degree of improvement in both groups but reached
statistical significance only in group I. Postoperatively, bowel symptoms partly
increased and the ability to belch decreased in both groups (p < 0.05).
Comparison of postoperative GIQLI and symptom scores showed no significant
difference between the two groups, except for the ability to belch, which was
more impaired after Nissen fundoplication. Both procedures resulted in a
significant improvement in lower esophageal sphincter (LES) pressure; however,
the improvement was greater in group I than in group II. MII data showed more
reflux control after Nissen, but the differences between the procedures were not
significant. CONCLUSIONS: Both procedures equally improve quality of life and
GERD symptoms. Bowel symptoms may increase after both procedures at the 3-month
follow-up. Manometry and MII data favor Nissen fundoplication, but dysphagia and
the inability to belch are more common compared to Toupet fundoplication.
PMID- 21898013
TI - The role of laparoscopy in the management of acute small-bowel obstruction: a
review of over 2,000 cases.
AB - BACKGROUND: Adhesive small-bowel obstruction (SBO) contributes significantly to
emergency surgical workload. Laparotomy remains the standard approach. Despite
published reports with high success rates and low morbidity, acute SBO is still
considered by many a relative contraindication to laparoscopy. Our aim was to
review the available literature and define important outcomes such as
feasibility, safety, iatrogenic bowel injury, and benefits to patients with acute
SBO who are approached laparoscopically. METHODS: A systematic literature search
was carried out using the Medline database and the search terms "laparoscopy" or
"laparoscopic approach" and "bowel obstruction." Only adult studies published in
English between 1990 and 2010 were included. Studies were excluded if data
specific to outcomes for laparoscopic management of acute SBO could not be
extracted. RESULTS: Twenty-nine studies were identified. A laparoscopic approach
was attempted in 2,005 patients with acute SBO. Adhesions were the most common
etiology (84.9%). Laparoscopy was completed in 1,284 cases (64%), 6.7% were lap
assisted, and 0.3% were converted to hernia repair. The overall conversion rate
to midline laparotomy was 29% (580/2,005). Dense adhesions, bowel resection,
unidentified pathology, and iatrogenic injury accounted for the majority of
conversions. When the etiology of SBO was a single-band adhesion, the success
rate was 73.4%. Morbidity was 14.8% (283/1,906) and mortality was 1.5%
(29/1,951). The enterotomy rate was 6.6% (110/1,673). The majority were
recognized and converted to laparotomy. Laparoscopy was associated with reduced
morbidity and length of stay. CONCLUSION: Laparoscopy is a feasible and effective
treatment for acute SBO with acceptable morbidity. Further studies are required
to determine its impact on recurrent SBO.
PMID- 21898014
TI - Effectiveness of combined thoracoscopic-laparoscopic esophagectomy: comparison of
postoperative complications and midterm oncological outcomes in patients with
esophageal cancer.
AB - BACKGROUND: During esophagectomy, laparoscopy can be used together with
thoracoscopy, but it is not known whether a combined thoracoscopic-laparoscopic
procedure is associated with fewer postoperative complications than open
esophagectomy, and without compromising oncological outcome. METHODS: This was a
longitudinal cohort study that included 185 esophageal cancer patients, including
72 who underwent combined thoracoscopic-laparoscopic esophagectomy (TLE), 34 who
underwent thoracoscopic esophagectomy (TE), and 79 who underwent open
esophagectomy (OE) between January 2002 and May 2010. The main outcome measures
were postoperative respiratory and overall complications. The secondary outcome
was 2-year relapse-free survival (RFS). RESULTS: Respiratory complications
occurred in 9 patients who underwent TLE, 13 who underwent TE, and 31 who
underwent OE. TLE was associated with fewer respiratory complications (TLE vs.
OE: odds ratio [OR], 0.22; 95% confidence interval [CI], 0.09-0.53 and TE vs. OE:
OR, 0.71; 95% CI 0.29-1.76). Overall complications occurred in 34 patients who
underwent TLE, 20 who underwent TE, and 54 who underwent OE. TLE was associated
with fewer overall complications (TLE vs. OE: OR, 0.47; 95% CI 0.23-0.94 and TE
vs. OE: OR, 0.51; 95% CI 0.21-1.25). The 2-year RFS rates were similar among the
three groups: 71.6% for TLE, 57.7% for TE, and 58.3% for OE (TLE vs. OE: hazard
ratio, 0.65; 95% CI 0.35-1.20 and TE vs. OE: hazard ratio, 0.91; 95% CI 0.45
1.82). CONCLUSION: Unlike TE, TLE was associated with fewer postoperative
complications than was OE, with no compromise of 2-year RFS. A randomized
controlled trial with longer follow-up is needed.
PMID- 21898016
TI - Robotic and laparoscopic gastric bypass: are they comparable?
PMID- 21898015
TI - Inflammatory impact of NOTES peritoneoscopy is not different from that of
laparoscopy: a randomized comparative study in a survival porcine model.
AB - BACKGROUND: Inflammatory changes of different NOTES approaches remain unknown.
The aim of this study was to compare the inflammatory effects of NOTES and
laparoscopy. METHODS: Forty female pigs were assigned to transgastric,
transrectal, and transvaginal NOTES and laparoscopic peritoneoscopy groups.
Antiseptic technique was utilized for NOTES whereas laparoscopy was performed
sterile. Intraperitoneal pressures were monitored and maintained below 15
mmH(2)O. Pneumoperitoneum was maintained with CO(2) in all groups. Pre- and
postoperative blood samples of IL-6, Il-1beta, and TNFalpha, and peritoneal fluid
collected at surgery were analyzed. Animals were followed daily for 14 days. At
necropsy, peritoneal fluid was collected for cytokine analysis. RESULTS: Thirty
nine peritoneoscopies were successfully completed. The median procedure time was
longer in the NOTES groups (57 min, range = 33-109) than in the laparoscopy group
(33 min, range 32-36; P < 0.001); this was related to longer incision time and
closure time. All 39 completed follow-up. Severe bleeding in the post-transrectal
approach required early sacrifice of the remaining animal. Besides this,
complications were similar among groups. At necropsy, adhesions were seen in four
animals in the gastric group, five in the rectal group, two in the vaginal group,
and two in the laparoscopic group (P = ns). There were no statistical differences
in serum levels of TNFalpha among the groups. When serum TNFalpha values were
expressed as the difference from the baseline, in the transvaginal group they
were significantly lower than in the transrectal at 2 h [0.5 pg/ml (range = -14
to 59) vs. 60 pg/ml (range = -8 to 303); P = 0.041] and at 8 h [-5.5 pg/ml (range
= -86 to 55] vs. 37 pg/ml (range = -30 to 62); P = 0.031]. The limitations of
this study were that the analyses of IL-6 and Il-1beta were not possible because
most of the samples were below detectable levels, it was an animal model, and the
sample size was small. CONCLUSION: Inflammatory parameters are similar between
NOTES and laparoscopic peritoneoscopy despite longer surgery time in the NOTES
group. The vaginal route seems to reduce the inflammatory stress.
PMID- 21898018
TI - The simple suture laparoscopic repair of peptic ulcer perforation without an
omental patch.
PMID- 21898017
TI - Robot-assisted thymectomy is superior to transsternal thymectomy.
AB - BACKGROUND: Complete thymectomy is the procedure of choice in the treatment of
thymomas and in treating selected patients with myasthenia gravis. Transsternal
thymectomy is the gold standard for most patients. Robot-assisted thymectomy has
emerged as an alternative to open transsternal surgery. The goal of this study
was to compare perioperative outcomes in patients who underwent transsternal or
robot-assisted thymectomy. METHODS: We performed a retrospective review of all
patients who underwent robot-assisted or transsternal thymectomy at our
institution from February 2001 to February 2010. Data are presented as mean +/-
SD. Significance was set as P < 0.05. RESULTS: Fifty patients underwent either
transsternal (n = 35) or robot-assisted (n = 15) thymectomy. Patient demographics
and the incidence of myasthenia gravis were similar between groups. There were no
intraoperative complications or conversions to open surgery in the robot-assisted
group. Intraoperative blood loss was significantly higher in the transsternal
group (151.43 vs. 41.67 ml, P = 0.01). There were 20 postoperative complications
and 1 postoperative death in the transsternal group and 1 postoperative
complication in the robot-assisted group (P = 0.001). Hospital length of stay was
4 days (range 2-27 days) in the transsternal group and 1 day (range 1-7 days) in
the robot-assisted group (P = 0.002). CONCLUSIONS: Robot-assisted thymectomy is
superior to transsternal thymectomy, reducing intraoperative blood loss,
postoperative complications, and hospital length of stay. Further investigation
of the long-term oncologic results in thymoma patients and long-term remission
rates in patients with myasthenia gravis who underwent robot-assisted thymectomy
is warranted.
PMID- 21898020
TI - The endoscopic approach to the neck: a review of the literature and an overview
of the various techniques.
PMID- 21898019
TI - Impact of the number of tacks on postoperative pain in laparoscopic repair of
ventral hernias: do more tacks cause more pain?
AB - BACKGROUND: The main source of postoperative pain after laparoscopic repair of
ventral hernia is thought to be fixation of implanted mesh. This study aimed to
analyze whether a relation exists between the number of tacks used for fixation
and postoperative pain. METHODS: To reduce the number of prognostic variables,
only patients with primary umbilical hernia who underwent laparoscopic repair
with double-crown mesh fixation were enrolled in this study. Two groups differing
only in the manner of tacking were compared. Group 1 (n = 40), collected from
previous studies, showed no specific efforts to minimize the number of tacks.
Group 2 was a cohort of 40 new patients who underwent double-crown fixation using
the minimal number of tacks considered to provide adequate mesh fixation. To
eliminate systematic and random errors, the study analyzed only for postoperative
pain. The severity of the patients' pain was assessed preoperatively and then 2,
6, and 12 weeks postoperatively using a visual analog scale (VAS) ranging from 0
to 100. RESULTS: The mean number of tacks used differed significantly between the
two groups: group 1 (45.4 +/- 9.6) vs group 2 (20.4 +/- 1.4) (p = 0.001).
Postoperative pain differed significantly only at the 3-month postoperative
assessment: group 1 VAS (5.78) vs group 2 VAS (1.80) (p = 0.002). CONCLUSIONS:
Although postoperative pain differed significantly at the 3-month follow-up
assessment, both VAS scores were so low that from a clinical point of view, this
difference seems irrelevant. Fewer tacks do not create less pain, nor do more
tacks create more pain. This absence of a correlation between the number of tacks
used and postoperative pain may indicate that pain after laparoscopic repair of
at least small ventral hernias possibly is generated according to some
"threshold" principle rather than according to a cumulative effect created by
more points of fixation.
PMID- 21898021
TI - Risk factors in patient safety: minimally invasive surgery versus conventional
surgery.
AB - BACKGROUND: This study aimed to identify the frequency of events in the different
patient safety risk domains during minimally invasive surgery (MIS) and
conventional surgery (CS). METHODS: A convenience sample of gynecologic MIS and
CS was observed. Events were observed and categorized into one of the predefined
patient safety risk domains. RESULTS: A total of 53 procedures were observed: 26
CS and 27 MIS procedures. The general characteristics were comparable between the
two groups. A large number of environmental events were observed, averaging one
every 2.5 min. Technical events and events of an organizational nature occurred
more often in MIS (P < 0.01) than in CS (P < 0.01). The relative risk for the
occurrence of one or more technical events in MIS compared with CS was 1.7, and
the risk for two or more technical events was 4.1. A time out according to
protocol showed no relationship to the occurrence of the different types of
patient safety-related events. CONCLUSION: The technological complexity inherent
in MIS makes this type of surgery more prone to technology-related problems than
CS, even in a specially designed minimally invasive surgical suite. A regular
time-out procedure developed for CS lacks the attention necessary for the complex
technology used in MIS and therefore is insufficient for MIS procedures briefing.
Incorporating a specially designed technology checklist in a regular briefing
protocol could be a solution to decrease the number of events in MIS.
PMID- 21898022
TI - A single-blind controlled study of electrocautery and ultrasonic scalpel smoke
plumes in laparoscopic surgery.
AB - BACKGROUND: Surgical smoke containing potentially carcinogenic and irritant
chemicals is an inevitable consequence of intraoperative energized dissection.
Different energized dissection methods have not been compared directly in human
laparoscopic surgery or against commonly encountered pollutants. This study
undertook an analysis of carcinogenic and irritant volatile hydrocarbon
concentrations in electrocautery and ultrasonic scalpel plumes compared with
cigarette smoke and urban city air control samples. METHODS: Once ethical
approval was obtained, gas samples were aspirated from the peritoneal cavity
after human laparoscopic intraabdominal surgery solely using either
electrocautery or ultrasonic scalpels. All were adsorbed in Tenax tubes and
concentrations of carcinogenic or irritant volatile hydrocarbons measured by gas
chromatography. The results were compared with cigarette smoke and urban city air
control samples. The analyzing laboratory was blinded to sample origin. RESULTS:
A total of 10 patients consented to intraoperative gas sampling in which only one
method of energized dissection was used. Six carcinogenic or irritant
hydrocarbons (benzene, ethylbenzene, styrene, toluene, heptene, and
methylpropene) were identified in one or more samples. With the exception of
styrene (P = 0.016), a nonsignificant trend toward lower hydrocarbon
concentrations was observed with ultrasonic scalpel use. Ultrasonic scalpel
plumes had significantly lower hydrocarbon concentrations than cigarette smoke,
with the exception of methylpropene (P = 0.332). No significant difference was
observed with city air. Electrocautery samples contained significantly lower
hydrocarbon concentrations than cigarette smoke, with the exception of toluene (P
= 0.117) and methyl propene (P = 0.914). Except for toluene (P = 0.028), city air
showed no significant difference. CONCLUSIONS: Both electrocautery and ultrasonic
dissection are associated with significantly lower concentrations of the most
commonly detected carcinogenic and irritant hydrocarbons than cigarette smoke. A
nonsignificant trend toward lower hydrocarbon concentrations was seen with
ultrasonic scalpel dissection compared with diathermy. The contamination levels
in city air were largely comparable with those seen after ultrasonic scalpel use.
Although hydrocarbon concentrations are low, cumulative exposures may increase
health risks. Where concerns arise, ultrasonic scalpel dissection may be
preferable.
PMID- 21898023
TI - Toll-like receptors in the inflammatory response during open and laparoscopic
colectomy for colorectal cancer.
AB - BACKGROUND: Surgical interventions activate a cascade of reactions that result in
an aseptic inflammatory reaction. This inflammatory response initiates the
organism's innate immunity. Laparoscopic surgery reduces the trauma, and patients
benefit from diminished surgical trauma and maintained immune function. Cytokine
levels and C-reactive protein (CRP) are related to the magnitude of surgical
trauma and surgical stress. Toll-like receptors (TLRs) 2 and 4 are the first
sensor-recognition receptors of the invading pathogens for the innate immune
response. This study aimed to compare the inflammatory response and then the
stress response during laparoscopic and open colectomy for cancer by calculating
TLR-2 and TLR-4 as the first sensor-recognition receptors together with
interleukin-6 (IL-6), tumor necrosis factor-alpha (TNF-alpha), and high
sensitivity CRP (hsCRP). METHODS: A total 40 patients with colorectal cancer were
randomized in two groups: group A (open colectomy, n = 20) and group B
(laparoscopic colectomy, n = 20). An epidural catheter was placed in all patients
1 h preoperatively. Rupivocaine was administered perioperatively and 48 h
postoperatively. Blood samples were taken for calculation of IL-6, TNF-alpha,
hsCRP, TLR-2, and TLR-4 preoperatively and 5 min after deflation of
pneumoperitoneum (group B) or 5 min after division of the colon (group A), then 6
and 24 h postoperatively. RESULTS: The mean operative time was 115 for group A
and 142 min for group B. The mean blood loss was respectively 240 and 105 ml (P <
0.001), and the mean hospital stay was respectively 8 and 5 days (P < 0.05). The
IL-6 level was significant higher in group A than in group B at 6 and 24 h
postoperatively (P < 0.0001), and the hsCRP level was significant higher in group
A than in group B at 24 h postoperatively (P < 0.001). The TNF-alpha values did
not differ between the two groups. The TLR-2 level was significantly higher in
group A than in group B at 5 min (P = 0.013) and 24 h (P = 0.007)
postoperatively. The TLR-4 level was significant higher in group A than in group
B at 5 min postoperatively (P = 0.03). CONCLUSION: The inflammatory response and
the resultant stress response are significantly less during laparoscopic
colectomy than during open colectomy for colorectal cancer. This is an obvious
short-term clinical benefit for the patient, providing tinder for further study
to investigate the long-term results of laparoscopic colectomy versus open
colectomy for colorectal cancer.
PMID- 21898024
TI - Endoscopic stenting versus operative gastrojejunostomy for malignant gastric
outlet obstruction.
AB - BACKGROUND: Malignant gastric outlet obstruction represents a terminal stage in
pancreatic cancer. Between 5% and 25% of patients with pancreatic cancer
ultimately experience malignant gastric outlet obstruction. The aim in palliating
patients with malignant gastric outlet obstruction is to reestablish an oral
intake by restoring gastrointestinal continuity. This ultimately improves their
quality of life in the advanced stages of cancer. The main drawback to operative
bypass is the high incidence of delayed gastric emptying, particularly in this
group of patients with symptomatic obstruction. This study aimed to compare
surgical gastrojejunostomy and endoscopic stenting in palliation of malignant
gastric outlet obstruction, acknowledging the diversity and heterogeneity of
patients with this presentation. METHODS: This retrospective study investigated
patients treated for malignant gastric outlet obstruction from December 1998 to
November 2008 at Nepean Hospital, Sydney, Australia. Endoscopic duodenal stenting
was performed under fluoroscopic guidance for placement of the stent. The
operative patients underwent open surgical gastrojejunostomy. The outcomes
assessed included time to diet, hospital length of stay (LOS), biliary drainage
procedures, morbidity, and mortality. RESULTS: Of the 45 participants in this
study, 26 underwent duodenal stenting and 19 had operative bypass. Comparing the
stenting and operative patients, the median time to fluid intake was respectively
0 vs. 7 days (P < 0.001), and the time to intake of solids was 2 vs. 9 days (P =
0.004). The median total LOS was shorter in the stenting group (11 vs. 25 days; P
< 0.001), as was the median postprocedure LOS (5 vs. 10 days; P = 0.07).
CONCLUSIONS: Endoscopic stenting is preferable to operative gastrojejunostomy in
terms of shorter LOS, faster return to fluids and solids, and reduced morbidity
and in-hospital mortality for patients with a limited life span.
PMID- 21898025
TI - Transanal endoscopic microsurgery: long-term experience, indication expansion,
and technical improvements.
AB - BACKGROUND: This study aimed to review the authors' 16-year experience with
transanal endoscopic microsurgery (TEM). Mortality, morbidity, recurrence rate,
and functional outcome were assessed. New indications and technical improvements
are presented. METHODS: From November 1991 to August 2008, 123 patients (72 men
and 51 women; median age, 68 years; range, 21-91 years) underwent TEM for
excision of 105 adenomas with low- or high-grade dysplasia, 9 invasive
adenocarcinomas (5 curative and 4 palliative resections), 2 neuroendocrine
tumors, and 2 extramucosal lesions. Five additional patients had excisional
biopsies, allowing staging after previous endoscopic resection. Most of the
resections were full-thickness rectal resections using electrocautery or, more
recently, the Harmonic scalpel. The latest mucosectomies were performed using the
endoscopic submucosal dissection (ESD) technique. In addition, nontumoral
indications included pelvic abscess (7 patients) and rectal strictures, which
were either anastomotic or chemical. Pelvic abscesses were drained transrectally,
whereas rectal stenoses were treated by strictureplasty. Foreign object retrieval
and collagen plug placement for anal fistulas were performed using TEM in three
patients. RESULTS: No mortality occurred. One intraoperative rectal perforation
required conversion to laparotomy. The postoperative complications included one
pneumoperitoneum, which was treated medically, and one rectal perforation
requiring Hartmann's procedure. In the polyp subgroup, six patients (6/91, 7%)
experienced local recurrence. Pelvic abscesses were successfully treated, and
stenosis did not recur after strictureplasty. Anorectal manometry showed
functional alterations without significant clinical impact. CONCLUSIONS: The
findings showed TEM to be a safe and effective procedure for local excision of
rectal lesions with a low recurrence rate and minimal consequences in terms of
anorectal function. In addition, TEM proved to be feasible and effective for
pelvic abscess drainage and rectal stenosis treatment. New technologies such as
the Harmonic scalpel and ESD increase the precision already offered by this
approach.
PMID- 21898026
TI - Reply to: doi:10.1007/s00464-009-0765-z: Laparoscopic correction of perforated
peptic ulcer: first choice? A review of literature.
PMID- 21898027
TI - Flexible CO2 laser and submucosal gel injection for safe endoluminal resection in
the intestines.
AB - BACKGROUND: The CO(2) laser's unique wavelength of 10.6 MUm has the advantage of
being readily absorbed by water but historically limited it to line-of-sight
procedures. Through recent technological advances, a flexible CO(2) laser fiber
has been developed and holds promise for endoluminal surgery. We examined whether
this laser, along with injection of a water-based gel in the submucosal space,
will allow safe dissection of the intestines and enhance the potential of this
tool for minimally invasive surgery. METHODS: Using an ex vivo model with porcine
intestines, spot ablation was performed with the flexible CO(2) laser at
different power settings until transmural perforation. Additionally, excisions of
mucosal patches were performed by submucosal dissection with and without
submucosal injection of a water-based gel. RESULTS: With spot ablation at 5 W,
none of the specimens was perforated by 5 min, which was the maximum recorded
time. The time to perforation was significantly shorter with increased laser
power, and gel pretreatment protected the intestines against spot ablation,
increasing the time to perforation from 6 to 37 s at 10 W and from 1 to 7 s at 15
W. During excision of mucosal patches, 56 and 83% of untreated intestines
perforated at 5 and 10 W, respectively. Gel pretreatment prior to excision
protected all intestines against perforation. These specimens were verified to be
intact by inflation with air to over 100 mmHg. Furthermore, excision of the
mucosal patch was complete in gel-pretreated specimens, whereas 22% of untreated
specimens had residual islands of mucosa after excision. CONCLUSION: The flexible
CO(2) laser holds promise as a precise dissection and cutting tool for
endoluminal surgery of the intestines. Pretreatment with a submucosal injection
of a water-based gel protects the intestines from perforation during ablation and
mucosal dissection.
PMID- 21898029
TI - Darbepoetin alfa (KRN321) is safe and effective when administered subcutaneously
once every 2 or 4 weeks to patients on peritoneal dialysis in Japan.
AB - BACKGROUND: Darbepoetin alfa (KRN321) is a recombinant protein that stimulates
erythropoiesis by the same mechanism as endogenous erythropoietin. Due to its
longer half-life and greater biological activity than recombinant human
erythropoietin (rHuEPO), KRN321 maintains an effective hemoglobin (Hb) level at
extended dose intervals compared with rHuEPO. The efficacy and safety of KRN321
administered subcutaneously to patients on peritoneal dialysis (PD) were tested.
METHODS: In a multicenter, open-label, single-arm study, KRN321 was administered
subcutaneously to patients on PD for 26-28 weeks. Ninety-six patients initially
were given a 60 MUg subcutaneous dose once every 2 weeks until a target of Hb
(11.0-13.0 g/dL) was achieved. Thereafter, their dose was every 2 or 4 weeks.
RESULTS: After the target of Hb was reached in most subjects (96.9%), it was
maintained with KRN321 administered every 2 or 4 weeks. On completion of (or
withdrawal from) study, 65 subjects (67.7%) maintained the target Hb. Although a
number of adverse event related to hypertension occurred, their incidence did not
appear to be related to Hb or its rate of increase. These events could be
controlled adequately by interrupting or reducing the dose, and/or treatment with
antihypertensives. CONCLUSIONS: The efficacy and safety of KRN321 when
administered subcutaneously for 28 weeks to PD patients were confirmed. It was
suggested that the quality of life of patients can be improved by treatment with
KRN321 due to the reduced frequency of administration.
PMID- 21898030
TI - Proposal for diagnostic criteria for IgG4-related kidney disease.
AB - BACKGROUND: IgG4-related disease has attracted wide attention recently. It is
characterized by a high level of serum IgG4 and dense infiltration of IgG4
positive plasma cells into multiple organs, with the kidney being one
representative target. Although several sets of diagnostic criteria for
autoimmune pancreatitis (AIP) are available and renal lesion is recognized as an
extra-pancreatic manifestation of AIP, it is difficult to differentiate IgG4
related tubulointerstitial nephritis (TIN) without AIP from other types of TIN.
To clarify the entity of IgG4-related kidney disease (IgG4-RKD) and support in
depth studies, the Japanese Society of Nephrology has established a working group
to prepare diagnostic criteria for IgG4-RKD. METHOD: The working group analyzed
41 patients with IgG4-RKD, and collected the following data to devise a
diagnostic algorithm and diagnostic criteria for IgG4-RKD: clinical features
including extra-renal organ involvement, urinalysis and serological features
including serum IgG4 levels, imaging findings demonstrated by computed tomography
(CT), renal histology with IgG4 immunostaining, and response to steroid therapy.
RESULTS: The conditions for criteria are as follows. (1) Presence of some kidney
damage, as manifested by abnormal urinalysis or urine marker(s) and/or decreased
kidney function with either elevated serum IgG level, hypocomplementemia, or
elevated serum IgE level. (2) Kidney imaging studies showing abnormal renal
imaging findings, i.e., multiple low density lesions on enhanced CT, diffuse
kidney enlargement, hypovascular solitary mass in the kidney, and hypertrophic
lesion of the renal pelvic wall without irregularity of the renal pelvic surface.
(3) Serum IgG4 level exceeding 135 mg/dl. (4) Renal histology showing two
abnormal findings: (a) dense lymphoplasmacytic infiltration with infiltrating
IgG4-positive plasma cells >10/high power field (HPF) and/or ratio of IgG4
positive plasma cells/IgG positive plasma cells >40%. (b) Characteristic
'storiform' fibrosis surrounding nests of lymphocytes and/or plasma cells. (5)
Extra-renal histology showing dense lymphoplasmacytic infiltration with
infiltrating IgG4-positive plasma cells >10/HPF and/or ratio of IgG4-positive
plasma cells/IgG-positive plasma cells >40%. The diagnosis is classified into 3
stages of definite, probable and possible according to the combinations of the
above conditions. Thirty-nine cases (95.1%) were diagnosed with IgG4-RKD
according to the criteria. CONCLUSION: The provisional criteria and algorithm
appear to be useful for clarifying the entity of IgG4-RKD and seeking underlying
IgG4-RKD cases; however, further experience is needed to confirm the validity of
these criteria.
PMID- 21898031
TI - Reversible posterior leukoencephalopathy syndrome after blood transfusion in a
patient with end-stage renal disease.
AB - A 42-year-old female end-stage renal disease (ESRD) patient with reversible
posterior leukoencephalopathy syndrome (RPLS) post-transfusion during initiation
of hemodialysis is reported. Eleven days after the onset of illness, we diagnosed
encephalopathy as a grand mal seizure resulting from diffuse cerebral edema. One
reason for the delayed diagnosis was that her symptom, a throbbing headache that
occurred during her first dialysis, indicated dialysis disequilibrium syndrome.
We must bear in mind that a small amount of transfusion could cause RPLS even
during the first dialysis. To our knowledge, this is the first case report on
RPLS after blood transfusion in an ESRD patient.
PMID- 21898033
TI - Brain-derived neurotrophic factor gene polymorphisms, neurotransmitter levels,
and depressive symptoms in an elderly population.
AB - A large number of studies have examined associations between brain-derived
neurotrophic factor (BDNF) gene polymorphisms and depressive symptoms. However,
results still remain controversial. Recent studies suggested a significant age
and gender effect on the heritability of depression. The potential
neurobiological pathways that could possibly mediate this relationship have not
been examined so far. Since BDNF is involved in the regulation of
neurotransmitter production, a mediating role of neurotransmitters seems
plausible. The present study aims to examine the association between three common
BDNF single-nucleotid polymorphisms (SNPs; rs7103411, rs7124442, and rs6265) and
depressive symptoms in a community-based elderly population taking into account
the serum levels of four neurotransmitters, serotonin, dopamine, adrenalin, and
noradrenalin, as potential mediating factors. We also examined whether age and
gender had a modifying effect on this association. We collected and analyzed the
genetic and laboratory data as well as Center for Epidemiologic Studies
Depression scores of 350 community-dwelling elderly individuals (aged 65+ years).
We found that the BDNF rs6265 polymorphism was related to the severity of
depressive symptoms, and that this association was independent of
neurotransmitter levels. Stratified analyses showed that this association was
restricted to older individuals (>=74 years) and men. The associations of SNPs
rs7103411 or rs7124442 SNP with depressive symptoms were not statistically
significant. This study importantly adds to the existing literature by affirming
previous assumptions on an age and gender difference in the relation between BDNF
genotype and depression. We moreover first-time report a missing mediating role
of neurotransmitters in this association.
PMID- 21898032
TI - Educational paper: ciliopathies.
AB - Cilia are antenna-like organelles found on the surface of most cells. They
transduce molecular signals and facilitate interactions between cells and their
environment. Ciliary dysfunction has been shown to underlie a broad range of
overlapping, clinically and genetically heterogeneous phenotypes, collectively
termed ciliopathies. Literally, all organs can be affected. Frequent cilia
related manifestations are (poly)cystic kidney disease, retinal degeneration,
situs inversus, cardiac defects, polydactyly, other skeletal abnormalities, and
defects of the central and peripheral nervous system, occurring either isolated
or as part of syndromes. Characterization of ciliopathies and the decisive role
of primary cilia in signal transduction and cell division provides novel insights
into tumorigenesis, mental retardation, and other common causes of morbidity and
mortality, including diabetes mellitus and obesity. New technologies ("Next
generation sequencing/NGS") have considerably improved genetic research and
diagnostics by allowing simultaneous investigation of all disease genes at
reduced costs and lower turn-around times. This is undoubtedly a result of the
dynamic development in the field of human genetics and deserves increased
attention in genetic counselling and the management of affected families.
PMID- 21898035
TI - Influence of menopause on adipose tissue clock gene genotype and its relationship
with metabolic syndrome in morbidly obese women.
AB - Menopausal women exhibit a loss of circadian coordination, a process that runs
parallel with a redistribution of adipose tissue. However, the specific genetic
mechanisms underlying these alterations have not been studied. Thus, the aim of
the present study was to determine whether the development of menopause induces
an alteration of the genes that control biological rhythms in human subcutaneous
(SAT) and visceral (VAT) adipose tissue, and whether changes in clock gene
expression are involved in the increased risk of developing metabolic syndrome
(MetS), which is frequently associated with menopause. To this end, VAT and SAT
biopsies were taken in pre- (n = 7) and postmenopausal (n = 7) women at similar
hours in the morning. RNA was extracted, and a microarray analysis was made. Data
were confirmed by quantitative real-time polymerase chain reaction. Western blot
and immunohistochemical analysis were also performed. When clock gene expression
was compared between both groups of women, data in SAT showed that expression of
the core clock gene period3 was significantly higher in postmenopausal women,
while casein kinase-1delta, E1A-binding protein and cAMP-responsive element were
preferentially expressed in the premenopausal group. In VAT, period2 (PER2) and v
myc myelocytomatosis viral oncogene expressions were significantly higher in the
postmenopausal group. Western blot analysis indicated that PER2 and PER3 protein
expression was also increased in postmenopausal women. In addition, several
genes, including PER2, were differentially expressed depending on whether or not
the patient met the MetS criteria. We conclude that menopause transition induces
several changes in the genotype of the adipose tissue chronobiological machinery
related to an increased risk of developing MetS.
PMID- 21898034
TI - Effect of aging on islet beta-cell function and its mechanisms in Wistar rats.
AB - Type 2 diabetes mellitus is characterized by islet beta-cell dysfunction and its
incidence increases with age. However, the mechanisms underlying the effect of
aging on islet beta-cell function are not fully understood. We characterized beta
cell function in 4-month-old (young), 14-month-old (adult), and 24-month-old
(old) male Wistar rats, and found that islet beta-cell function decreased
gradually with age. Old rats displayed oral glucose intolerance and exhibited a
decrease in glucose-stimulated insulin release (GSIR) and palmitic acid
stimulated insulin release (PSIR). Furthermore, total superoxide dismutase (T
SOD), CuZn superoxide dismutase (CuZn-SOD), and glutathione peroxidase (GSH-Px)
activity decreased, whereas serum malondialdehyde (MDA) levels increased in the
older rats. Moreover, we detected a significant reduction in beta-cell
proliferation and an increase in the frequency of apoptotic beta-cells in the
islets of rats in the old group. Finally, Anxa1 expression in the islets of old
rats was significantly upregulated. These data provide new insights into the
development of age-related beta-cell dysfunction in rats.
PMID- 21898036
TI - Role of MRI in the diagnosis and management of patients with clinical scaphoid
fracture.
AB - PURPOSE: The American College of Radiologists (ACR) recognises the value of
magnetic resonance imaging (MRI) as the investigation of choice in patients with
a clinically suspected scaphoid fracture but normal plain radiographs. The Royal
College of Radiologists (RCR) in the UK produces no similar guidelines, as
evidenced by the inconsistent management of such cases in hospitals around the
UK. In discussion with our musculoskeletal radiologists, we implemented new
guidelines to standardise management of our patients and now report our findings.
METHODS: A consecutive series of 137 patients referred to the orthopaedic
department with clinically suspected scaphoid fracture but normal series of plain
radiographs were prospectively followed up over a two-year period. We implemented
the use of early MRI for these patients and determined its incidence of detected
scaphoid injury in addition to other occult injuries. We then prospectively
examined results of these findings on patient management. RESULTS: Thirty-seven
(27%) MRI examinations were normal with no evidence of a bony or soft-tissue
injury. Soft-tissue injury was diagnosed in 59 patients (43.4%). Of those, 46
were triangular fibrocartilage complex (TFCC) tears (33.8%) and 18 were
intercarpal ligament injuries (13.2 %). Bone marrow oedema with no distinct
fracture was discovered in 55 cases (40.4%). In 17 (12.5%) cases, this involved
only the scaphoid. In the remainder, it also involved the other carpal bones or
distal radius. Fracture(s) were diagnosed on 30 examinations (22.0%).
CONCLUSIONS: MRI should be regarded as the gold standard investigation for
patients in whom a scaphoid fracture is suspected clinically. It allows the
diagnosis of occult bony and soft-tissue injuries that can present clinically as
a scaphoid fracture; it also helps exclude patients with no fracture. We believe
that there is a need to implement national guidelines for managing occult
scaphoid fractures.
PMID- 21898037
TI - Mentoring in complex surgery: minimising the learning curve complications from
peri-acetabular osteotomy.
AB - PURPOSE: The aim of this study was to determine whether a complex surgical
procedure such as peri-acetabular osteotomy could be safely learnt by using a
programme involving mentoring by a distant expert. To determine this, we examined
the incidence of intra-operative complications, the acetabulum correction
achieved, the late incidence of re-operation and progressive degenerative
arthritis. METHODS: Between 1992 and 2004, peri-acetabular osteotomy was
performed in 26 hips in 23 patients. The median follow-up was ten (5-17) years.
The median age of the patients at operation was 28 (14-41) years. Clinical
outcomes were reported and radiographic results were determined by an independent
expert. RESULTS: There were no intra-articular osteotomies, sciatic nerve
injuries, hingeing deformities or vascular injuries. There was one ischial
nonunion. The lateral centre-edge angle improved from a median 4 degrees pre
operatively to 25 degrees . One revision osteotomy, one osteectomy and three
total hip replacements were required, two for progression of osteoarthritis.
CONCLUSIONS: The programme of mentoring was successful in that there was a low
incidence of the major intra-operative complications that are often reported
during the learning curve period and the acetabular corrections achieved were
similar to the originators.
PMID- 21898038
TI - Knee function and prevalence of osteoarthritis after isolated anterior cruciate
ligament reconstruction using bone-patellar tendon-bone graft: long-term follow
up.
AB - PURPOSE: The aim of this investigation was to study patient-reported long-term
clinical outcome, instrumental stablitity and prevalence of radiological
osteoarthritis (OA) a minimum of ten years after isolated anterior cruciate
ligament (ACL) reconstruction. METHODS: An average of 13.5 years after ACL
reconstruction with bone-patellar tendon-bone (BTB) autograft, 73 patients were
evaluated. Inclusion criteria consisted of an isolated ACL rupture and
reconstruction with BPTB graft with no associated intra-articular lesions, in
particular, cartilage alterations or meniscal lesions. Clinical assessment was
performed using the International Knee Documentation Committee (IKDC) and Tegner
and Lysholm scores. Instrumental anterior laxity testing was carried out with the
KT-1000TM arthrometer. Degree of degenerative changes and prevalence of OA were
determined using the Kellgren- Lawrence scale. RESULTS: Mean follow-up was 13.5
years. Mean age was 43.8 years. About 75% of patients were graded A or B
according to the IKDC score. The Lysholm score was 90.2 +/- 4.8. Radiological
assessment reported degenerative changes of grade II OA in 54.2% of patients.
Prevalence of grades III or IV OA was found in 20%. The incidence of OA was
significantly correlated with stability and function at long-term follow-up.
CONCLUSION: Arthroscopic ACL reconstruction using BPTB autograft resulted in a
high degree of patient satisfaction and good clinical results on long-term follow
up. A higher degree of OA developed in 20% of patients and was significantly
correlated with increased anterior laxity at long-term follow-up.
PMID- 21898039
TI - Efficacy and safety of desmopressin for treatment of nocturia: a systematic
review and meta-analysis of double-blinded trials.
AB - PURPOSE: The purpose of this analysis was to evaluate the efficacy and safety of
desmopressin for the treatment of nocturia. METHODS: Databases including MEDLINE,
EMBASE, ISI web of knowledge, the Cochrane Controlled Trial Register of
Controlled Trials and Chinese Biological Medical Database were searched to
identify randomized controlled trials (RCTs) that referred to the efficacy and
safety of desmopressin for the treatment of nocturia. A systematic review and
meta-analysis were conducted. RESULTS: Five studies involving 619 participants
were included for the meta-analysis, and 8 RCTs of cross-over design were also
identified for the systematic review. The analysis revealed that desmopressin
might significantly decrease the frequency of nocturnal voids, nocturnal urine
volume and nocturnal diuresis, potentially resulting in an extended duration of
the first sleep period and improved sleep quality. The adverse effects of
desmopressin were similar to those observed in the placebo group. CONCLUSIONS:
Administered desmopressin was an effective and well-tolerated treatment for
nocturia.
PMID- 21898040
TI - Efficacy of pentoxifylline in prevention of contrast-induced nephropathy in
angioplasty patients.
AB - BACKGROUND: Contrast-induced nephropathy (CIN) is an adverse consequence of
contrast media use that results in significant morbidity and mortality and adds
significant costs to diagnostic and interventional cardiology procedures. Various
pathophysiological mechanisms have been proposed for CIN and various agents have
been tested for its prevention. There is currently a general agreement that
adequate pre-procedure hydration constitutes the cornerstone of prevention, yet
there are reports of the use of some other agents with various efficacies. We
prospectively tested pentoxifylline (PTX), an antioxidant, anti-inflammatory
drug, for CIN prevention in patients undergoing coronary angioplasty. MATERIALS
AND METHODS: In this prospective, randomized, single-blind, single-center
clinical trial, 286 consecutive patients were randomly assigned to the control
group (n = 146), with routine treatment and no PTX, or the study group (n = 140),
with routine treatment and PTX, 400 mg/tid from 24 h before to 24 h after
coronary angioplasty. Serum creatinine was measured before and 2 days after the
procedure. The primary end point was the occurrence of CIN within 48 h. RESULTS:
The control and PTX groups were comparable in the overall predicted risk of CIN.
Also, the type and volume of the contrast agent were not significantly different
between the two groups. Following angioplasty, CIN occurred in 20 (13.69%)
patients in the control group and in 12 (8.5%) patients in the study group; the
difference was not statistically significant (P = 0.17). Additionally, there was
no mortality and need for hemodialysis in either group. CONCLUSION: In
angioplasty patients, the prophylactic oral use of PTX could be recommended for
CIN prevention, although no statistically significant protective effect was
documented.
PMID- 21898041
TI - Psychometric evaluation of the IWQOL-Lite (Spanish version) when applied to a
sample of obese patients awaiting bariatric surgery.
AB - BACKGROUND: Obesity may have an impact on key aspects of health-related quality
of life (HRQOL). In this context, the Impact of Weight Quality of Life (IWQOL)
questionnaire was the first scale designed to assess HRQOL. The aim of the
present study was twofold: to assess HRQOL in a sample of Spanish patients
awaiting bariatric surgery and to determine the psychometric properties of the
IWQOL-Lite and its sensitivity to detect differences in HRQOL across groups.
METHODS: Participants were 109 obese adult patients (BMI >= 35 kg/m(2)) from
Barcelona, to whom the following measurement instruments were applied: IWQOL
Lite, Depression Anxiety Stress Scales, Brief Symptom Inventory, and self
perception items. RESULTS: Descriptive data regarding the IWQOL-Lite scores
obtained by these patients are reported. Principal components analysis revealed a
five-factor model accounting for 72.05% of the total variance, with factor
loadings being adequate for all items. Corrected item-total correlations were
acceptable for all items. Cronbach's alpha coefficients were excellent both for
the subscales (0.88-0.93) and the total scale (0.95). The relationship between
the IWQOL-Lite and other variables supports the construct validity of the scale.
Finally, sensitivity analysis revealed large effect sizes when comparing scores
obtained by extreme BMI groups. CONCLUSIONS: This is the first study to report
the application of the IWQOL-Lite to a sample of Spanish patients awaiting
bariatric surgery and to confirm that the Spanish version of the instrument has
adequate psychometric properties.
PMID- 21898042
TI - Laparoscopic gastric greater curvature plication: results and complications in a
series of 135 patients.
AB - Laparoscopic gastric greater curvature plication (LGGCP) is an emerging
restrictive bariatric procedure that successfully reduces the gastric volume by
plication of the gastric greater curvature. Its main advantages are the
reversibility of the technique as well as the lack of foreign materials or
gastrectomy. We present our results, focusing on the effectiveness and
complications, and on a new modification of the original technique. One hundred
and thirty-five patients underwent LGGCP between April 2008 and December 2009. A
five-trocar port technique was used, and following dissection of the greater
gastric curvature, single plication of the latter was performed under the
guidance of a 36-Fr bougie. Modification of the technique included multiple
gastric plications. One hundred and four obese women and 31 obese men (mean age
of 36 years) underwent LGGCP for weight reduction. Operative time was 40-50 min,
and mean hospital stay was 1.9 days (range 1-6 days). After a follow-up of 8-31
months (mean 22.59), the mean percentage of excess weight loss (%EWL) was 65.29.
Subgroup analyses based on BMI values showed that %EWL was significantly higher
for patients with BMI < 45 kg/m2 (group I) compared with patients with BMI > 45
kg/m2 (group II) (69.86 vs 55.49, respectively, p = 0.006). Similarly, inadequate
weight loss was significantly higher for group II, while the failure of the
technique and postoperative complications were comparable. On the other hand,
subgroup analysis based on the technique showed that the modification of the
technique did not affect the effectiveness or the operative time; however, it
reduced early complications dramatically, including prolonged postoperative
vomiting and late gastric obstruction, thus affecting the length of
hospitalization. Overall complication rate in our series was 8.8% (12/135). Cases
of prolonged postoperative vomiting, GI bleeding, and leak were treated
conservatively, while one case of portomesenteric thrombosis and three cases of
acute gastric obstruction were treated surgically. LGGCP is an emerging technique
sparing gastric resection, the use of foreign materials and intestinal bypass.
Its effectiveness is satisfactory for patients with BMI < 45 kg/m2, and the
complication rate is acceptable.
PMID- 21898043
TI - Influence of the metal center and linker on the intracellular distribution and
biological activity of organometal-peptide conjugates.
AB - Organometallic complexes conjugated to cell-penetrating peptides (CPPs) are
promising systems for diagnostic imaging and therapeutic applications in human
medicine. Recently, we reported on the synthesis of cymantrene(CpMn(CO)(3))-CPP
conjugates with biological activity on different cancer cell lines. However, the
precise mechanism of cytotoxicity remained elusive in these studies. To
investigate the role of the metal center and the linker between the CpM(CO)(3)
moiety and the peptide, a number of derivatives with manganese replaced by
rhenium and the keto linker originally used substituted by a methylene group were
prepared and fully characterized by (1)H NMR spectroscopy, infrared spectroscopy,
electrospray ionization mass spectrometry, and elemental analysis as well as X
ray structure determination. The organometal-peptide conjugates as well as
carboxyfluorescein-labeled derivatives thereof were prepared by solid-phase
peptide synthesis, purified by high-performance liquid chromatography, and
analyzed by mass spectrometry. Fluorescence microscopy studies of MCF-7 human
breast cancer cells revealed an efficient cellular uptake and pronounced nuclear
localization of the bioconjugates with the methylene linker compared with systems
with the keto group. In addition, the latter also showed a higher cytotoxicity.
In contrast, the variation of the metal center from manganese to rhenium had a
negligible effect. The structure-activity relationships determined in the present
work will aid in the further tuning of the biological activity of organometal
peptide conjugates.
PMID- 21898044
TI - Thermodynamic study of Cu2+ binding to the DAHK and GHK peptides by isothermal
titration calorimetry (ITC) with the weaker competitor glycine.
AB - The peptides Asp-Ala-His-Lys (DAHK) and Gly-His-Lys (GHK) are naturally occurring
Cu(II)-chelating motifs in human serum and cerebrospinal fluid. Here, the
sensitive thermodynamic technique isothermal titration calorimetry was used to
study the energetics of Cu(II) binding to DAHK and GHK peptides in the presence
of the weaker ligand glycine as a competitor. DAHK and GHK bind Cu(II)
predominantly in a 1:1 stoichiometry with conditional dissociation constants
[i.e., at pH 7.4, in the absence of the competing chelators glycine and 2-(4-(2
hydroxyethyl)-1-piperazinyl)ethanesulfonic acid buffer] of 2.6 +/- 0.4 * 10(-14)
M and 7.0 +/- 1.0 * 10(-14) M, respectively. Furthermore, the apparent DeltaH
values were measured and the number of protons released upon Cu(II) binding was
determined by performing experiments in different buffers. This allowed us to
determine the conditional DeltaG, DeltaH, and DeltaS, i.e., corrected for the
contributions of the weaker ligand glycine and the buffer at pH 7.4. We found
that the entropic and enthalpic contributions to the Cu(II) binding to GHK and
DAHK are distinct, with a enthalpic contribution for GHK. The thermodynamic
parameters obtained correspond well to those in the literature obtained by other
techniques, suggesting that the use of the weaker ligand glycine as a competitor
in isothermal titration calorimetry provides accurate data for Cu(II) binding to
high-affinity peptides, which cannot be accurately determined without the use of
a competitor ligand.
PMID- 21898046
TI - Genetic structure of farmer-managed varieties in clonally-propagated crops.
AB - The relative role of sexual reproduction and mutation in shaping the diversity of
clonally propagated crops is largely unknown. We analyzed the genetic diversity
of yam-a vegetatively-propagated crop-to gain insight into how these two factors
shape its diversity in relation with farmers' classifications. Using 15
microsatellite loci, we analyzed 485 samples of 10 different yam varieties. We
identified 33 different genotypes organized in lineages supported by high
bootstrap values. We computed the probability that these genotypes appeared by
sexual reproduction or mutation within and between each lineage. This allowed us
to interpret each lineage as a product of sexual reproduction that has evolved by
mutation. Moreover, we clearly noted a similarity between the genetic structure
and farmers' classifications. Each variety could thus be interpreted as being the
product of sexual reproduction having evolved by mutation. This highly structured
diversity of farmer-managed varieties has consequences for the preservation of
yam diversity.
PMID- 21898045
TI - Brain-derived neurotrophic factor and Alzheimer's disease: physiopathology and
beyond.
AB - Brain-derived neurotrophic factor (BDNF) is the most widely distributed
neurotrophin in the central nervous system where it plays several pivotal roles
in synaptic plasticity and neuronal survival. As a consequence, BDNF became a key
target in the physiopathology of several neurological and psychiatric diseases.
Recent studies have reported altered levels of BDNF in the circulation, i.e.
serum or plasma, of patients with Alzheimer's disease (AD), and low BDNF levels
in the CSF as predictor of future cognitive decline in healthy older subjects.
Altered BDNF circulating levels have also been reported in other
neurodegenerative and psychiatric disorders, hampering its use as a specific
biomarker for AD. Therefore, BDNF seems to be an unspecific biomarker of
neuropsychiatric disorders marked by neurodegenerative changes.
PMID- 21898047
TI - Multi-locus species tree of the chub genus Squalius (Leuciscinae: Cyprinidae)
from western Iberia: new insights into its evolutionary history.
AB - The phylogenetic relationships of the genus Squalius are believed to be well
established based on the mitochondrial cytochrome b gene. Here, we inferred the
phylogenetic relationships of all species inhabiting most of the western Iberia
river systems using a nuclear multi-locus approach and different species tree
methods: concatenation and coalescent-based methods (BEST and minimize-deep
coalescence). The dataset comprised sequences of seven coding and three non
coding regions belonging to seven nuclear genes, which were chosen to cover
multiple biological functions: amh, bmp4, ef1a, egr2, irbp, rh and rpl8. We
provide evidence for a conflicting topology between the nuDNA species tree and
the widely reported mtDNA gene tree. S. pyrenaicus is rendered paraphyletic in
all nuDNA species trees, with populations of the Tagus/Colares clustering with S.
carolitertii, while populations from the Guadiana, Sado and Almargem form a
separate clade. Although a larger sampling size encompassing the full spectrum of
Squalius populations in western Iberia is still needed to fully elucidate the
phylogeography and species delimitation of this genus, our results suggest that
the two S. pyrenaicus clades may represent different species.
PMID- 21898048
TI - Healing patterns of choroidal tubercles after antitubercular therapy : A
photographic and OCT study.
AB - A 28-year-old female patient with disseminated tuberculosis and choroidal
tubercles on a regimen of systemic antitubercular therapy underwent fundus
photography and optical coherence tomography (OCT). This was carried out monthly
until complete healing of the tubercle was seen. The tubercle consisted of a
central white-yellow core, consistent with choroiditis, with a faint
hyperpigmentation surrounding it. There was a surrounding diffuse rim of
inflammation. By the second month, the hyperpigmented rim was more prominent as
were the outer edges of both the central core and the outer rim. Over time, the
outer rim had largely faded with concurrent scar formation in the core. The
initial OCT analysis revealed a raised RPE-choriocapillaris complex. With
healing, there was a marked reduction in the choroidal lesional height suggesting
resolution.
PMID- 21898049
TI - 1H, 13C, and 15N backbone and side-chain chemical shift assignments for the 31
kDa human galectin-7 (p53-induced gene 1) homodimer, a pro-apoptotic lectin.
AB - Galectins are multifunctional proteins with carbohydrate/protein-binding
properties and distinct expression profiles. Homodimeric galectin-7 (p53-induced
gene 1) is a potent pro-apoptotic effector with clinical relevance. Here, we
report (1)H, (13)C, and (15)N chemical shift assignments for human galectin-7
dimer as determined by using heteronuclear, triple resonance NMR spectroscopy.
PMID- 21898050
TI - Chemical shift assignments of the catalytic domain from the yeast proline
isomerase Fpr4p.
AB - Yeast Fpr4p belongs to the FK506-binding protein (FKBP) class of peptidyl proline
isomerases (PPIases), and has been implicated in regulating the cis-trans
conversion of proline residues within histone tails. Here we report the (1)H,
(13)C and (15)N chemical shift assignments for the bacterially expressed C
terminal PPIase catalytic domain of Fpr4p. Prediction of secondary structure
reveals similarity to domains from other members of the FKBP proline isomerases,
including yeast Fpr1p and the prototypic PPIase region from human FKBP12.
PMID- 21898051
TI - Dissecting the genetic architecture of agronomic traits in multiple segregating
populations in rapeseed (Brassica napus L.).
AB - Detection of QTL in multiple segregating populations is of high interest as it
includes more alleles than mapping in a single biparental population. In
addition, such populations are routinely generated in applied plant breeding
programs and can thus be used to identify QTL which are of direct relevance for a
marker-assisted improvement of elite germplasm. Multiple-line cross QTL mapping
and joint linkage association mapping were used for QTL detection. We empirically
compared these two different biometrical approaches with regard to QTL detection
for important agronomic traits in nine segregating populations of elite rapeseed
lines. The plants were intensively phenotyped in multi-location field trials and
genotyped with 253 SNP markers. Both approaches detected several additive QTL for
diverse traits, including flowering time, plant height, protein content, oil
content, glucosinolate content, and grain yield. In addition, we identified one
epistatic QTL for flowering time. Consequently, both approaches appear suited for
QTL detection in multiple segregating populations.
PMID- 21898054
TI - Autography as auto-therapy: psychic pain and the graphic memoir.
AB - Over the last three decades, the graphic novel has developed both in
sophistication and cultural importance, now being widely accepted as a unique
form of literature (Versaci 2007). Autobiography has proved to be a successful
genre within comics (the word is used in the plural to denote both the medium and
the philosophy of the graphic form) and within this area a sub-genre, the memoir
of the artist's own disease or suffering, sometimes known as the graphic
pathology, has arisen (Green and Myers 2010). Storytelling and healing have been
linked since ancient times, and the disclosure of ones story forms part of the
psychotherapeutic treatment of trauma (Herman 1997). This paper will examine, in
both graphic and textual form, whether, among the myriad reasons that one might
embark upon the labour intensive work of making a graphic memoir, some artists
might be seeking some form of healing or catharsis through their work.
PMID- 21898052
TI - Effect of population size and unbalanced data sets on QTL detection using genome
wide association mapping in barley breeding germplasm.
AB - Over the past two decades many quantitative trait loci (QTL) have been detected;
however, very few have been incorporated into breeding programs. The recent
development of genome-wide association studies (GWAS) in plants provides the
opportunity to detect QTL in germplasm collections such as unstructured
populations from breeding programs. The overall goal of the barley Coordinated
Agricultural Project was to conduct GWAS with the intent to couple QTL detection
and breeding. The basic idea is that breeding programs generate a vast amount of
phenotypic data and combined with cheap genotyping it should be possible to use
GWAS to detect QTL that would be immediately accessible and used by breeding
programs. There are several constraints to using breeding program-derived
phenotype data for conducting GWAS namely: limited population size and unbalanced
data sets. We chose the highly heritable trait heading date to study these two
variables. We examined 766 spring barley breeding lines (panel #1) grown in
balanced trials and a subset of 384 spring barley breeding lines (panel #2) grown
in balanced and unbalanced trials. In panel #1, we detected three major QTL for
heading date that have been detected in previous bi-parental mapping studies.
Simulation studies showed that population sizes greater than 384 individuals are
required to consistently detect QTL. We also showed that unbalanced data sets
from panel #2 can be used to detect the three major QTL. However, unbalanced data
sets resulted in an increase in the false-positive rate. Interestingly, one-step
analysis performed better than two-step analysis in reducing the false-positive
rate. The results of this work show that it is possible to use phenotypic data
from breeding programs to detect QTL, but that careful consideration of
population size and experimental design are required.
PMID- 21898055
TI - Evaluation of weekly-reduction regimen of glucocorticoids in combination with
cyclophosphamide for anti-neutrophil cytoplasmic antibody (ANCA)-associated
vasculitis in Japanese patients.
AB - The current therapeutic regimen recommended by the European League against
Rheumatism (EULAR) for anti-neutrophil cytoplasmic antibody-associated vasculitis
(AAV) is continuation of initially administered doses of glucocorticoids (GCs) in
combination with cyclophosphamide (CYC) for 1 month followed by gradual tapering.
Considering the adverse effects of GCs, another tapering regimen of GCs with CYC,
which was characterized by tapering GCs weekly, was reported by the British
Society of Rheumatology (weekly-reduction regimen). The aim of the present study
is to evaluate the safety and efficacy of this weekly-reduction regimen for
Japanese AAV patients in comparison with the monthly-reduction regimen
recommended by the EULAR. We retrospectively reviewed medical records of adult
patients newly diagnosed with AAV during the period from April 2000 to December
2010. The outcome measures were rates of remission, relapse, infection, and GC
induced diabetes mellitus during the first 12 months. Clinical data in the two
groups and categorial variables with a possible relation to the outcomes were
compared by using the t test and chi-square test, respectively. Twenty-four
patients were enrolled in our study. All of the patients achieved remission, and
the rates of relapse during the first 12 months were not statistically different
between the two groups (P = 0.16). Patients treated with the weekly-reduction
regimen were less liable to have infection (P = 0.03) and impaired glucose
tolerance (P = 0.017), compared with those treated with the monthly-reduction
regimen. A therapeutic strategy using the weekly-reduction regimen of GCs would
be effective and would have fewer side effects than the monthly-reduction
regimen.
PMID- 21898056
TI - Antinuclear antibody positive autoimmune disorders in North India: an appraisal.
AB - The autoimmune disorders (AID) have since long been considered to be commoner in
Western world as compared to Asian countries. This, however, may not be true as
in developing countries, there are incomplete epidemiological data and lack of
advanced diagnostic facilities leading to under diagnosis in many cases. In this
study, we performed an 11-year retrospective analysis of medical records of all
clinically suspected and immunofluorescence antinuclear antibody test (IF-ANA)
positive cases. The IF-ANA-positive cases in the year 2006-2007 were further
analyzed to find out the morbidity contribution by IF-ANA-positive AID. A total
of 36,310 serum samples were screened for antinuclear antibody (ANA) between the
years 1996 and 2006. The mean positivity was 12.3%. A constant and statistically
significant increase in AID was noticed over the last 11 years. In the year 2006
2007, out of 3,435 suspected AID cases, 18.9% were ANA positive. Of these, 86.0%
were adult patients with age ranging from 21/4 to 88 years. A female
preponderance was also noted with a female-to-male ratio of 3:1. Among the ANA
positive connective tissue disorders (CTD), systemic lupus erythematosus was the
most common clinical diagnosis (4.6/10,000 cases) followed by scleroderma
(1.2/10,000) and overlap syndrome (0.7/10,000). Rheumatic, renal and
hematopoietic systems were commonly involved. The overall frequency of CTD was
21%. The report is the first and largest hospital-based study from India,
highlighting the rising incidence and clinical profile of ANA-positive AID.
PMID- 21898058
TI - Budd-Chiari syndrome as a rare complication of sarcoidosis.
PMID- 21898057
TI - Cytomegalovirus infection causes morbidity and mortality in patients with
autoimmune diseases, particularly systemic lupus: in a Chinese population in
Taiwan.
AB - To investigate the clinical outcome of cytomegalovirus (CMV) infection in febrile
hospitalized patients with autoimmune diseases, mostly systemic lupus
erythematosus (SLE). Fifty-four febrile patients were analyzed retrospectively.
Half were diagnosed as CMV infection, by positive CMV pp65 antigenemia assay.
Clinical and laboratory data between two groups were compared. Correlation
between laboratory data and SELENA-SLEDAI scores/mortality were analyzed in the
CMV infection group. Receiver operating characteristic analysis was performed to
determine the cutoff points of different parameters for predicting mortality or
morbidity. The CMV infection group received a higher corticosteroid dosage (mean
26.3 mg/day) and a higher percentage of azathioprine use before admission than
the non-CMV infection group. In the former, the deceased subgroup had a
significantly higher number of infected leukocytes for CMV (shortened as CMV
counts, P = 0.013), more cases of bacterial infection (P = 0.090), and a higher
SLE disease activity index score (P = 0.072) than the alive subgroup. The CMV
infection group had lower lymphocyte count and more positive bacterial infection
than the non-CMV infection group did (P = 0.013 and P = 0.027, respectively). A
level of 25 CMV particles/5 * 10(5) polymorphonuclear neutrophils (PMN) was the
best cutoff point for predicting CMV-associated mortality, with a sensitivity of
75.0% and specificity of 72.2%. Moderate dose (30 mg/day) of prednisolone or
azathioprine use predisposes patients with autoimmune diseases to CMV infection
with concurrent bacterial infection. In particular, peak CMV counts at 25/5 *
10(5) PMN or low lymphocyte counts predict mortality or morbidity, respectively.
PMID- 21898059
TI - Rheumatoid arthritis in patients with hemoglobinopathies.
AB - Rheumatoid arthritis (RA) in patients suffering from hemoglobinopathies is an
important clinical problem, but the correlation between these diseases is still
imperfectly known. The aim of this study was to analyze the clinical, serological
and radiological characteristics of RA occurring in patients with
hemoglobinopathies (thalassemia major, thalassemia intermedia and sickle-cell
disease). In a single institution, in an adult cohort of 90 patients with
hemoglobinopathies, we investigated retrospectively medical records of the
patients. We evaluated the clinical findings, the autoantibodies and the
radiological progression of patients who were diagnosed with RA according the
American College of Rheumatology (ACR) criteria for RA. There were found 4
patients, with thalassemia major, who fulfilling the ACR criteria for RA. The
clinical picture of the patients revealed a mild form of arthritis of the knees,
shoulders, wrist and hands, while one patient had episcleritis. All patients had
radiological damage compatible with RA (Larsen's score, 28.75 +/- 29). All had
positive rheumatoid factor, while anti-cyclic citrullinated peptide antibodies
were positive in 1 patient. Three patients received steroid treatment and one
immunosuppressive agent (methotrexate). True RA with low frequency of extra
articular manifestations is described. The diagnosis of RA must be suspected in
patients with hemoglobinopathies picture and chronic arthritis of small joints.
PMID- 21898060
TI - Repeat etanercept administration restores clinical response of patients with
rheumatoid arthritis.
AB - We determined whether repeated treatment with the tumor necrosis factor-alpha
(TNF-alpha) antagonist etanercept can be effective after an initial clinical
response to this drug is lost. We describe three female patients with active,
refractory rheumatoid arthritis who were administered with a second course of
etanercept after eventually becoming refractory to a first course. Disease
activity was high in all three patients before initial etanercept therapy, and
each of them had clinically responded by 24 weeks. However, the initial clinical
effect was lost between 1.5 and 3.5 years thereafter, and tocilizumab was
administered, but the effect was lost again between 3 and 18 months later. Two
patients did not respond to subsequent treatment with adalimumab and infliximab.
Etanercept administered once again reduced disease activity in all three
patients, none of whom developed any acute side effects. Etanercept re
administration significantly improved clinical disease activity and inflammatory
parameters in three patients with RA who were refractory to biological anti-TNF
agents.
PMID- 21898061
TI - The association of Raynaud's syndrome with carpal tunnel syndrome: a meta
analysis.
AB - Carpal tunnel syndrome (CTS) has traditionally been included among the diseases
associated with Raynaud's syndrome (RS). The prevalence of RS in patients
suffering from CTS is not well defined. The objective of this paper was to assess
the prevalence of RS in patients with CTS-a meta-analysis of published data was
performed. The PubMed database of the National Library of Medicine and ISI Web of
Knowledge was used for studies dealing with RS and CTS. The studies provided
sufficient data to estimate the prevalence of RS in patients of CTS. A forest
plot was determined by the revealed prevalence. Statistical analysis was based on
methods for a random effects meta-analysis and a finite mixture model for
proportions. Publication bias was investigated with the linear regression test
(Egger's method). A meta-regression was conducted by the year of publication.
Eight eligible studies, contributing data on 675 subjects, were included in this
meta-analysis. For CTS, a pooled prevalence of 15.5% and 95% CI (95% CI 0.043,
0.318) were obtained. Statistically publication bias was present (P value 0.143).
A mixture model analysis found five latent classes. The meta-regression indicated
that the estimated prevalence increased when the year of commencement increased,
too. Within the decade (1957-1967), the odds ratio increased from 1 (95% CI
1.065, 1.112) to 2.340 (95% CI 1.886, 2.903). Despite some heterogeneity, there
is a possible indication of an association between RS and patients with CTS.
PMID- 21898062
TI - Medical costs for Korean patients with rheumatoid arthritis based on the national
claims database.
AB - The purposes of this study are to investigate medical resource utilization and
medical costs of Korean rheumatoid arthritis (RA) patients and to analyze
predictors in relation to medical costs. National claims data on medical
treatment were analyzed for the 151,472 RA patients in 2009. For outpatients, the
mean annual number of visits was 32.5, and the mean annual total outpatient care
costs were 2.0 million KRW (US$1,594) per patient. On the other hand, the mean
annual length of stay of inpatients was 22.2 days, and the mean annual total
inpatient care costs were 3.8 million KRW (US$3,013). Average annual total
medical costs per patient for all of the RA patients were 2.9 million KRW
(US$2,310). Total medical costs consisted of 26.1% outpatients' costs, 25.4%
inpatient, and 48.6% medication costs, making medication costs a predominant cost
driver. In the multiple regression analysis, biologic use was an important cost
factor in relation to the annual total medical costs. This study provides
information on the cost of illness of RA with the population-based representative
RA patients in Korea, which had not been reported until now.
PMID- 21898063
TI - Isolated myositis as a sole presentation of familial Mediterranean fever.
PMID- 21898065
TI - The increasing disease duration of patients at the time of orthopaedic surgery
for rheumatoid arthritis.
PMID- 21898064
TI - A case of very late-onset systemic lupus erythematosus and updated pooled
analysis of late-onset cases in the literature.
AB - Systemic lupus erythematosus predominantly affects young women during
childbearing age. It is rare after the age of 90, and there is no report of very
late onset in persons over 90 in China. Here, we reported a case of a female
patient, the onset age of whom was 90, analyzing her clinical features and
treatments. And when conducting a pooled analysis of late-onset cases in the
literature, we found that the organ damages and severity of lupus disease of old
onset SLE were not benign, and considering their ages, comorbidities and high
rate of mortalities, appropriate interventions and close follow-up for this age
group are needed.
PMID- 21898066
TI - Effectiveness of microwave diathermy on pain, functional capacity, muscle
strength, quality of life, and depression in patients with subacromial
impingement syndrome: a randomized placebo-controlled clinical study.
AB - The aim of this clinical trial was to evaluate the effectiveness of therapeutic
MD on pain, functional capacity, muscle strength, quality of life, and depression
in patients with subacromial impingement syndrome (SIS). A total of 40 inpatient
subjects with definite SIS were included in this study. These patients were
sequentially randomized into 2 groups. Group 1 (n = 20) received therapeutic MD.
Group 2 (n = 20) was served as control group and received sham MD. Superficial
heat and exercise program were given to both groups. Both of the programs were
performed 5 times weekly for 3 weeks. Patients were assessed before treatment
(BT), after treatment (AT), and at a 1-month follow-up (F). Outcome measures
included visual analogue scale, goniometry, Shoulder Pain and Disability Index,
Shoulder Disability Questionnaire, shoulder isokinetic muscle testing, handgrip
strength, Short Form 36, and Beck Depression Index. The patients with SIS in each
group had significant improvements in pain, shoulder ROM, disability, shoulder
muscles and grip strength, quality of life, and depression AT and F when compared
with their initial status (P < 0.05). There was no statistically significant
difference between the groups according to all the parameters regarding the
change scores between AT-BT test and F-BT test (P > 0.05). A 2,450-MHz MD regimen
showed no beneficial effects in patients with SIS, so the superficial heat and
exercise program, as it is efficient, may be preferable for the treatment of SIS,
alone.
PMID- 21898068
TI - Evaluation of the clinical efficacy of Biotene Oral Balance in patients with
secondary Sjogren's syndrome: a pilot study.
AB - The objective of the present study was to evaluate the efficacy of Oral Balance
saliva substitute in alleviating dry mouth symptoms in a sample of patients with
secondary Sjogren's syndrome. Twenty-one consecutive secondary Sjogren's syndrome
patients with dry mouth complaints and hyposalivation were included in this
study. Patients used a lactoperoxidase-system-containing gel (Biotene Oral
Balance) for 4 weeks. The effects on subjective oral symptoms were recorded by
means of a 7-items questionnaire which contained questions regarding dry mouth
sensation and its effect on chewing, swallowing, taste, speech, burning sensation
and denture retention. The severity of symptoms was assessed using a visual
analogical scale. Oral symptom scores and unstimulated whole salivary flow were
recorded at baseline and after 4 weeks' use of the product. Two patients withdrew
from the study, because of nausea and unpleasant taste caused by the product.
Nineteen patients (all women, mean age 52.7 years) participated throughout the
entire study. Wilcoxon signed-ranked tests indicated significant improvements in
visual analogical scale scores posttreatment for 5 of the 7 items on the oral
dryness questionnaire, although no increase in salivary flow was found. However,
the improvement in certain variables did not take a positive course in all cases.
Patients with lower salivary flow at baseline tended to have greater improvement
in oral symptoms. The study suggests that the use of Oral Balance gel is
effective in alleviating the dry mouth symptoms in secondary Sjogren's syndrome
patients, but a randomized controlled trial is needed to assess the placebo
effect.
PMID- 21898067
TI - Gluococorticoid could influence extracellular matrix synthesis through Sox9 via
p38 MAPK pathway.
AB - Here, we used glucocorticoid as an apoptosis inducer to study how glucocorticoid
could accelerate cartilage degeneration and the responsible signal pathway. Human
chondrocytes were isolated from knee joints gave glucocorticoid of different
concentrations for 24 h, 48 h, 72 h, or 1 week, and cell viability was
determined. Next, Sox9, Collagen type II, Aggrecan protein expression and mRNA
transcription were detected by western blot analysis and quantitative real-time
PCR, respectively. Glucocorticoid could suppress chondrocyte growth at the
concentration of 100 MUM. When cultured with glucocorticoid, the expressions of
Sox9, Col II, and Aggrecan were depressed time-dependent and dose-dependent, as
well as the mRNA transcription. The glucocorticoid-induced p38 inactivation was
one mechanism that may response for the inhibition of extracellular matrix
synthesis, and these influences appeared earlier than the apoptosis effect.
PMID- 21898069
TI - Clinical features and outcome of microscopic polyangiitis under a new consensus
algorithm of ANCA-associated vasculitides in Korea.
AB - The classification system for antineutrophil cytoplasmic antibodies (ANCA)
associated vasculitis and polyarteritis nodosa had its limitations due to
numerous overlapping features of these disease entities. The aim of this study is
to investigate the clinical features and outcome of patients diagnosed with
microscopic polyangiitis (MPA) according to the newly proposed consensus
algorithm of ANCA-associated vasculitides and polyarteritis nodosa. Fifty-five
cases of MPA, comprised of 33 men and 22 women, diagnosed according to a new
consensus algorithm at a single tertiary hospital were identified for analysis.
The main clinical features were constitutional symptoms (78.2%), followed by
renal involvement (74.5%), musculoskeletal symptoms (67.3%), skin manifestations
(50.9%), neurologic involvement (43.6%), and lung involvement (41.8%). P-ANCA
and/or anti-myeloperoxidase antibody were present in 69.1%. Five Factor Score and
Birmingham Vasculitis Activity Score (BVAS) at diagnosis were 1.1 +/- 0.9 and
10.9 +/- 4.9, respectively. Forty-four patients were available for a long-term
follow-up, and six patients (13.6%) resulted in death. Mortality was associated
with BVAS > 9 at the time of diagnosis, age > 60 years, and presence of
cardiomyopathy and interstitial lung disease. The survival rate at 1 and 3 years
was 93.9 and 89.2%, respectively. Eight patients (14.5%) required dialysis at the
time of diagnosis. This is the first study to demonstrate the clinical features
in patients with MPA using a new consensus algorithm. Survival rate was higher
than previously reported, and interstitial lung disease was a new risk factor for
death in patients with MPA.
PMID- 21898070
TI - Temperature changes in rheumatoid hand treated with nitrogen vapors and cold air.
AB - The aim of the study was the thermovisual comparison of mean temperature of hand
surface changes after local cryotherapy with vapors of nitrogen (-160 degrees C)
and cold air (-30 degrees C). Forty-seven patients with rheumatoid arthritis (39
women and 8 men; average age 56.2 +/- 10.5 years) were included in the study.
They had the application of topic cryotherapy using nitrogen vapors or cold air
on one hand. Main outcome measure was surface temperature of dorsal sides of the
cooled and contralateral hands. Thermal images of both hands were taken before
and up to 3 h after the treatment. One minute after application, nitrogen vapors
induced decrease in surface skin temperature of the cooled hand from 28.9 +/- 1.8
degrees C to 17.9 +/- 2.2 degrees C, P < 0.05, whereas cold air from 29.4 +/- 2.4
degrees C to 23.1 +/- 2.2 degrees C, P < 0.05. However, significantly lower
temperature was obtained with vapors of nitrogen (P < 0.05). Just after the
treatment, a rapid rewarming occurred and hands reached baseline temperature in
15 min in both applications and they did not differ till the end of the
procedure. Both nitrogen vapors and cold air induce similar temperature changes
in hands with the exclusion of temperature obtained 1 min after the treatment.
Changes in non-cooled hands indicate contralateral reaction.
PMID- 21898071
TI - Dysregulated expression of dicer and drosha in breast cancer.
AB - Large-scale profiling approaches have revealed global down-regulation of
microRNAs (miRNAs) in several human cancer types including breast cancer. Altered
expression of Dicer and Drosha, two key enzymes in the miRNA maturation, is
believed to be one of the most important mechanisms. By using quantitative real
time RT-PCR (QT-PCR), we examined the expression of Dicer and Drosha in 49 pairs
of matched human breast cancer tissues. Decreased expression was observed in
53.1% (Dicer), 51.9% (Drosha) and 75.5% (Dicer plus Drosha) breast cancer
tissues. In conclusion, the decreased expression of Dicer and Drosha may play a
role in down-regulation of miRNAs in breast cancer.
PMID- 21898072
TI - Germ cells of male mice express genes for peroxisomal metabolic pathways
implicated in the regulation of spermatogenesis and the protection against
oxidative stress.
AB - Peroxisomes are organelles with main functions in the metabolism of lipids and of
reactive oxygen species. Within the testis, they have different functional
profiles depending on the cell types. A dysfunction of peroxisomes interferes
with regular spermatogenesis and can lead to infertility due to spermatogenic
arrest. However, so far only very little is known about the functions of
peroxisomes in germ cells. We have therefore analyzed the peroxisomal compartment
in germ cells and its alterations during spermatogenesis by fluorescence and
electron microscopy as well as by expression profiling of peroxisome-related
genes in purified cell populations isolated from mouse testis. We could show that
peroxisomes are present in all germ cells of the germinal epithelium. During late
spermiogenesis, the peroxisomes form large clusters that are segregated from the
spermatozoa into the residual bodies upon release from the germinal epithelium.
Germ cells express genes for proteins involved in numerous metabolic pathways of
peroxisomes. Based on the expression profile, we conclude that newly identified
functions of germ cell peroxisomes are the synthesis of plasmalogens as well as
the metabolism of retinoids, polyunsaturated fatty acids and polyamines. Thus,
germ cell peroxisomes are involved in the regulation of the homeostasis of
signaling molecules regulating spermatogenesis and they contribute to the
protection of germ cells against oxidative stress.
PMID- 21898073
TI - Link to slower access to care: what is the stigma?: an Indian perspective.
AB - Stigma and discrimination have been "bed fellows" of HIV and AIDS in India.
Perpetuated by lack of awareness, deep-rooted traditional beliefs, adherence to
harmful practices, and a moralistic tag associated with a condition connected
with sex (in India the method of HIV transmission being largely heterosexual in
nature) and high-risk individuals such as sex workers, it made it difficult for
the country to fight an epidemic that was hard to track, estimate, diagnose, and
treat. Various interventions under India's National AIDS Control Program (NACP)
have targeted stigma and discrimination among different groups. The program has
been fairly successful in its outreach programs, bringing about a reduction in
adult HIV prevalence and new infections. As the country transitions from NACP
Phase III (2007-2012) to IV (2012-2017), making treatment and longevity its top
priority, stigma is no longer such a terrifying word. This review discusses the
social and cultural context of HIV/AIDS-related stigma in general and highlights
various policies and intervention programs that have led India's campaign against
HIV/AIDS-driven stigma into the testing, care, support, and treatment ambit.
PMID- 21898074
TI - Effectiveness and safety of adalimumab in Japanese patients with rheumatoid
arthritis: retrospective analyses of data collected during the first year of
adalimumab treatment in routine clinical practice (HARMONY study).
AB - We retrospectively investigated the ability of adalimumab (ADA) to reduce disease
activity, improve physical function, and retard the progression of structural
damage in 167 patients with rheumatoid arthritis. Clinical and functional
outcomes were compared between patients with or without prior biologic treatment
and those with or without concomitant methotrexate (MTX) treatment. At week 52,
38.3% achieved clinical remission: 42.4 and 28.6% of patients achieved remission
in those without and with previous biologics, respectively, while 42.7 and 12.5%
of patients achieved remission in those with and without concomitant MTX,
respectively. ADA treatment significantly reduced the rate of radiographic
progression from 27.1 +/- 46.0 (median 13.6; 25th-75th percentiles 8.3 to 28.9)
at baseline to 0.8 +/- 5.0 (median 0.0; 25th-75th percentiles -0.9 to 2.0) at
week 52 (P < 0.0001). Radiographic progression was absent in 59.8% of patients.
Sixty adverse events (34.21/100 patient-years) were reported, 16 of which were
serious (9.12/100 patient-years). ADA therapy is highly effective for reducing
disease activity, improving physical function, and limiting radiographic
progression. It is generally safe and well tolerated by Japanese RA patients in
routine clinical practice.
PMID- 21898075
TI - Delayed treatment with tumor necrosis factor inhibitors in incomplete responders
to synthetic disease-modifying anti-rheumatic drugs shows an excellent effect in
patients with very early rheumatoid arthritis with poor prognosis factors.
AB - We aimed to investigate whether delayed treatment with tumor necrosis factor
(TNF) inhibitors in incomplete responders to synthetic disease-modifying anti
rheumatic drugs (DMARDs) was effective among patients with very early rheumatoid
arthritis (RA) with poor prognosis factors. We examined 22 patients with very
early RA who were positive for anti-cyclic citrullinated peptide antibodies or
IgM-rheumatoid factor. The mean disease duration at entry was 14.1 weeks. A treat
to-target strategy, aiming at simplified disease activity index (SDAI) remission,
was initiated with synthetic DMARDs. SDAI remission was not achieved in 9 of the
22 patients with synthetic DMARDs alone, and TNF inhibitors were added in these
patients. SDAI values in these 9 patients were further examined for the following
6 months. The TNF inhibitors (infliximab 8, etanercept 1) were added at a mean
interval of 34.1 weeks after the initiation of synthetic DMARDs. SDAI remission
was achieved in 4 of the 9 patients (44.4%) at 3 months and in 8 of the 9
patients (88.9%) at 6 months after the introduction of the TNF inhibitors.
Radiographic damage had not progressed in these patients. Delayed treatment with
TNF inhibitors is effective and tolerable for patients with very early RA with
poor prognosis factors.
PMID- 21898076
TI - Genotoxicity potential of a new natural formicide.
AB - BACKGROUND, AIM, AND SCOPE: Assessment of environmental impacts from pesticide
utilization should include genotoxicity studies, where the possible effects of
mutagenic/genotoxic substances on individuals are assessed. In this study, the
genotoxicity profile of the new formicide Macex(r) was evaluated with two
genotoxicity tests, namely, the micronucleus test with mouse bone marrow and
Vicia faba, and a mutagenicity test using the Ames Salmonella assay. MATERIALS
AND METHODS: The bacterial reverse mutation test (Salmonella typhimurium strains
TA97, TA98, TA100, TA102, and TA1535), the Vicia root tip and mouse micronucleus
tests were conducted according to published protocols. RESULTS: In the range of
the formicide Macex(r) concentrations tested from 0.06 to 1.0 g L-1 (or mgkg-1 in
the mouse test), no genotoxicity was observed in the prokaryotic or eukaryotic
test organisms. However, at Macex(r) concentrations of 0.5 g L-1 and above a
significant decrease in the mitotic index (P <= 0.05) in the V. faba was
observed. Micronucleus formation was likewise increased in the test organism at
concentrations starting at 2.0 g L-1. CONCLUSIONS: These data allow us to
classify this natural formicide preparation as a product with no geno
environmental-impact when applied at recommended concentrations.
PMID- 21898077
TI - Effect of aging biosolids with soils of contrasting pH on subsequent
concentrations of Cu and Zn in pore water and on their plant uptake.
AB - PURPOSE: The study examines if a short period of reaction after addition of
biosolids to soils can reduce the solubility and potential phytotoxicity of
biosolid-borne Zn and Cu. METHODS: The effects of period of aging (zero, 60, and
120 days) of biosolids (applied at 0, 10, 20, and 30 g kg-1) with an acid,
neutral, or alkaline soil on pH and concentrations of Zn, Cu, and dissolved
organic C in solution over a 60-day growth period of spinach were investigated
using Rhizon pore water samplers. RESULTS: In the acid and neutral soils,
increasing aging period markedly reduced the concentrations of Zn and Cu in
solution and there were concomitant increases in solution pH. The effect was much
less pronounced in the alkaline soil. Soluble Zn and Cu concentrations were
generally positively correlated with dissolved organic C concentrations,
negatively correlated with pH in the alkaline and neutral soils but positively
correlated with pH in the acid soil. Spinach yields were lower in the acid than
neutral and alkaline soils and tended to increase with increasing rates of
biosolids in all three soils. The concentrations of tissue Zn and Cu were notably
high in shoots of plants grown in the acid soil. For all biosolid-amended soils,
the concentrations of tissue Cu were lower in plants grown after 60 days rather
than no aging. CONCLUSIONS: Following biosolids applications to soils, an aging
period of only a few months is likely to lower the solubility, and potential
phytotoxicity, of biosolid-borne Zn and Cu particularly in acid and neutral
soils.
PMID- 21898078
TI - Predicting factors for emergency peripartum hysterectomy in women with placenta
previa.
AB - PURPOSE: The aim of the study was to identify predictive factors for peripartum
hysterectomy in women with placenta previa. METHODS: We retrospectively reviewed
all singleton pregnancies with a diagnosis of placenta previa, with the distance
between the lower placenta edge and the internal cervical os is <=2 cm, during
the period June 2006-May 2010. Antepartum characteristics of women who did and
did not undergo peripartum hysterectomy were compared: they include demographical
data, obstetrics history, clinical course of the index pregnancy and sonographic
findings. RESULTS: Two-hundred and forty-seven women were selected. peripartum
hysterectomy was required in 12 cases (4.9%). A statistically significant
increased risk emerged for a history of cesarean section (p < 0.001), major
placenta previa (p < 0.001), sonographic suspect of placenta accreta (p < 0.001)
and gestational age at delivery <34 weeks' gestation (p < 0.001). These four
variables were entered into an unconditioned logistic regression model. The
resulting adjusted ORs were 23.1 (95% CI 2.3-235.3, p = 0.008), 14.6 (95% CI 0.6
346.5, p = 0.097), 42.4 (95% CI 5.1-354.5, p = 0.001) and 9.3 (95% CI 1.1-76.9, p
= 0.037), respectively. CONCLUSIONS: This study confirms that placenta previa is
a condition at substantial risk of peripartum hysterectomy. A history of cesarean
section, the sonographic suspect of placenta accreta and gestational age at
delivery were found to be independently associated with this risk. Antepartum
ultrasonography in particular plays a crucial role in predicting hysterectomy in
these cases.
PMID- 21898079
TI - Application of 1.5 T magnetic resonance imaging in endometrial cancer.
AB - OBJECTIVE: To determine the diagnostic accuracy, sensitivity and specificity of
magnetic resonance imaging (MRI) in detecting myometrial invasion, cervical
involvement and disease stage in endometrial cancer. METHODS: 168 consecutive
patients with endometrial carcinoma underwent preoperative MRI. We classified
myometrial invasion as no invasion, <=50% invasion or >50% invasion and cervical
involvement as positive or negative. The preoperative MRI findings and stagings
were compared with final surgical and histological staging; the latter was taken
as the gold standard. Standard statistical calculations were used. RESULTS: The
sensitivity, specificity, and accuracy of MRI for the detection of myometrial
invasion >50% were 64.7, 92.5, and 86.9%, respectively. Positive and negative
predictive values were 68.8 and 91.2%, respectively. The sensitivity,
specificity, and accuracy of MRI for the detection of cervical invasion were
52.63, 93.08, and 83.93%, respectively. Positive and negative predictive values
were 68.97 and 87.05%, respectively. 102 cases were classified as stage IA (early
disease) by histology. MRI accurately predicted the degree of invasion in 88
cases and overestimated in 14, giving a sensitivity of 86.3% and specificity of
69.1%. However, MRI showed reduced sensitivity for predicting stage IB and
advanced endometrial cancer (stages III and IV) at 33.3 and 30.3%, respectively,
but was found to be specific for predicting these stages; the specificity were
94.7 and 85.1%, respectively. MRI also showed good accuracy for predicting stage
IA, IB, II and advanced endometrial cancer at 80.4, 86.9, 91.7, and 83.9,
respectively. CONCLUSION: MRI assists in planning the surgical treatment of
endometrial cancer with good accuracy and specificity, although sensitivity is
suboptimal.
PMID- 21898080
TI - Lymphocyte subpopulations in Chinese women with Turner syndrome.
AB - PURPOSE: Turner syndrome (TS) is associated with deficiency of cellular and
humoral immunity. However, the characteristics of lymphocyte subpopulations in
Chinese women with TS have not been reported. In this study, the percentage of
lymphocyte subpopulations and the mRNA expression of some transcription factors
were determined in patients with TS. The effect of the hormone substitution on
lymphocyte subpopulations was also analyzed. METHODS: Thirteen Chinese TS women
and eight age and sex-matched healthy volunteers were studied. The percentage and
mean fluorescence intensity (MFI) of lymphocyte subpopulations including
CD3+CD4+, CD3+CD8+, CD19-CD138+, CD4+CD25+FoxP3+ and CD4+CD8-IL17A+ cells were
determined by flow cytometry. The mRNA expression of some transcription factors
were detected by RT-PCR. RESULTS: Compared to control, the percentage of CD3+CD4+
cells was significantly reduced (p < 0.05), while the percentage of CD19-CD138+,
CD4+CD25+FoxP3+ and CD4+CD8-IL17A+ cells was significantly increased in TS
patients. No difference was observed in the percentage of CD3+CD8+, CD19+ B cells
between TS patients and healthy volunteers, with the similar changes in the mean
fluorescence intensity of these cells. The mRNA expression of some transcription
factors slightly enhanced in TS patients. Estrogen therapy did not affect the
percentage of lymphocyte subpopulations. CONCLUSION: These findings suggested
that Turner syndrome might be associated with changes of lymphocyte
subpopulations.
PMID- 21898081
TI - What is the impact of cervical invasion on lymph node metastasis in patients with
stage IIIC endometrial cancer?
AB - PURPOSE: The aim of this study was to evaluate whether the presence of cervical
invasion has altered the site of lymph node (LN) metastasis in stage IIIC
endometrial cancer (EC) patients. METHODS: Fourty-six patients who had systematic
pelvic and para-aortic lymphadenectomy surgery for EC and staged as IIIC were
included in the study. Patients with cervical invasion were defined as Group A
and patients without cervical invasion were defined as Group B. The groups were
compared according to surgical-pathologic characteristics. Chi-square and Annova
table test were used to examine the effect of cervical invasion on LN metastasis.
RESULTS: The mean age of patients was 59 years (range 38-81) and tumor size was
47 mm (range 10-80). Twenty-three patients had cervical involvement (Group A) and
23 had no cervical metastasis (Group B). Groups were not different with regard to
cell type, grade, depth of myometrial invasion, tumor size, adnexal involvement,
peritoneal metastasis and lymphovascular space invasion. Among 46 patients
obturator LN was the most involved site of LN metastasis, however, when there is
cervical metastasis external iliac LN was found to be the most involved LN site.
Patients without cervical invasion had 21.7% of external iliac LN metastasis
while patients with cervical invasion had 60.9% of external iliac LN metastasis.
Also, cervical invasion has increased the risk of pelvic LN and obturator LN
involvement from 82.6 to 95.7% and 39.1 to 52.2%, respectively. CONCLUSION:
Cervical invasion may have an effect on lymphatic spread and change the site of
metastatic LNs. Large prospective studies are needed to clarify the alteration of
LN metastasis in cervix invaded EC patients.
PMID- 21898082
TI - Calcified metastases from ovarian carcinoma highlighted by F-18 FDG PET/CT:
report of two cases.
AB - Two cases of postoperative female patients with ovarian serous papillary
carcinoma were referred for F-18 Fluorodeoxyglucose (F-18 FDG) PET/CT to evaluate
suspicious recurrence and/or metastasis. One patient presented with multiple
extensive calcified lesions with increased FDG uptake in the abdominopelvic
cavity and the series of PET/CT scans showed progression of disease after
chemotherapy. The other patient presented with three calcified masses with
intensive uptake of FDG located in the left pelvis, the right subphrenic region,
and the right supradiaphragmatic area, respectively. These suggest that F-18 FDG
PET/CT can be useful in identifying malignant calcification and assessing
therapeutic response of calcified malignancy.
PMID- 21898083
TI - Epidemiology, treatment and prevention of healthcare-associated urinary tract
infections.
AB - OBJECTIVES: Healthcare-associated urinary tract infections (HAUTIs) are the most
frequent healthcare-associated infections in general hospitals. They are almost
exclusively complicated UTIs, although complicating factors are very
heterogenous. HAUTIs are mainly catheter associated. Most of them are
asymptomatic and do not need antimicrobial therapy. However, cross-contamination
and cross-infection may contribute to distribution of resistant uropathogens. The
bacterial spectrum of HAUTI is broad, and antibiotic resistance is common.
METHODS: The authors reviewed the literature from 2000 to 2010 to determine the
epidemiology, prevention and best treatment strategies for HAUTI. The
recommendations were summarized by determining the level of evidence and grading
each recommendation. RESULTS: The treatment for HAUTI encompasses treatment for
complicating factors as well as antimicrobial chemotherapy. At least in serious
UTI, adequate initial antibiotic therapy results in lower mortality. Therefore,
the initial antibiotic regimen must provide sufficient antibiotic coverage. This
can only be achieved if the local or regional bacterial spectrum and antibiotic
resistance patterns of uropathogens are followed continuously. Provisional
microbiological findings, such as reports on Gram-stain or certain biochemical
results, can lead to early stratification of pathogens and allow a more tailored
empiric antibiotic therapy. Antibiotic therapy of HAUTI has to consider
therapeutic success in the individual patient and prevention of emergence of
antibiotic-resistant mutants. For both aspects, adequate drug selection and
dosing are paramount. DISCUSSION: Antibiotic treatment for HAUTI should follow
prudent antibiotic use to prevent emergence of antibiotic resistance.
PMID- 21898084
TI - Clinical characteristics, nocturnal antidiuretic hormone levels, and
responsiveness to DDAVP of school children with primary nocturnal enuresis.
AB - PURPOSE: Decreased nocturnal antidiuretic hormone (ADH) excretion has been
suggested to be a causative factor for PNE in children. We investigate the
demographic characteristics and nocturnal ADH levels of children with PNE who
attended a tertiary referral center and to determine their response to treatment
with desamino-D-arginine vasopressin (DDAVP). METHODS: We performed a
retrospective study in 90 PNE children aged 6-12 years. We recorded the gender,
height, weight, number of children per family, and psychosocial problems and
compared these findings with the corresponding data obtained from a national
survey. We also measured the nocturnal ADH levels and evaluated the response rate
to DDAVP. RESULTS: The number of PNE patients decreased with an increase in age.
Enuresis was significantly associated with male gender (P = 0.044) and more
number of children per family (P = 0.043). The rates of comorbidity with
defecation problems, obesity, attention-deficit hyperactivity disorder (ADHD),
and overweight were 36.7, 17.8, 12.2, and 10%, respectively. Although the
prevalence of obesity and ADHD was higher among children with PNE, there was no
significant difference between PNE patients and their prevalence in the
community. The ADH levels at 2 a.m. and 8 a.m. were 0.87 +/- 0.75 and 0.89 +/-
0.76 pg/ml, respectively. In the 50 (55.5%) patients who received DDAVP
treatment, the complete- and partial response rates were 86 and 14%,
respectively. CONCLUSIONS: Our data confirmed that PNE was predominant in boys
and larger family, and similar to the findings for disease prevalence, the number
of children seeking treatment tended to decrease with increasing age. Low ADH
levels were recognized as a possible cause of PNE, thereby explaining the good
response to DDAVP treatment in Taiwanese children with PNE.
PMID- 21898086
TI - Is it possible to measure peripheral vestibular function in a patient with
congenital nystagmus?
PMID- 21898085
TI - SlWRKY70 is required for Mi-1-mediated resistance to aphids and nematodes in
tomato.
AB - Plant resistance (R) gene-mediated defense responses against biotic stresses
include vast transcriptional reprogramming. In several plant-pathogen systems,
members of the WRKY family of transcription factors have been demonstrated to act
as both positive and negative regulators of plant defense transcriptional
networks. To identify the possible roles of tomato (Solanum lycopersicum) WRKY
transcription factors in defense mediated by the R gene Mi-1 against potato
aphid, Macrosiphum euphorbiae, and root-knot nematode (RKN), Meloidogyne
javanica, we used tobacco rattle virus (TRV)-based virus-induced gene silencing
and transcriptionally suppressed SlWRKY70, a tomato ortholog of the Arabidopsis
thaliana WRKY70 gene. Silencing SlWRKY70 attenuated Mi-1-mediated resistance
against both potato aphid and RKN showing that SlWRKY70 is required for Mi-1
function. Furthermore, we found SlWRKY70 transcripts to be inducible in response
to aphid infestation and RKN inoculation. Mi-1-mediated recognition of these
pests modulates this transcriptional response. As previously described for
AtWRKY70, we found SlWRKY70 transcript levels to be up-regulated by salicylic
acid and suppressed by methyl jasmonate. This indicates that some aspects of
WRKY70 regulation are conserved among distantly related eudicots.
PMID- 21898087
TI - The impact of prelaryngeal node metastases on early glottic cancer treatment
results.
AB - The aim of the study was to examine the impact of positive prelaryngeal node on
the outcome of early glottic cancer and to compare the rate of local and regional
recurrences and overall survival rates in patients with positive and negative
Delphian node (DN). In the years 1989-2008, a consecutive cohort of 212 patients
with T1b and T2 glottic cancer with anterior commisure involvement were treated
by means of supracricoid partial reconstructive laryngectomies. No adjuvant
radiotherapy was administered. Out of 212 patients, in 75 suspected prelaryngeal
tissue was found, harvested and separately sent for histological investigation
(16-thyroid, 11-fat, 14-connective tissue, 34-lymph nodes). In 137 remaining
cases, there were only muscles and fascia without even a small amount of tissue
to be taken. In 16 cases out of the whole group, metastases were found. 33
patients with positive ultrasound findings on the lateral neck underwent
selective neck dissection; in 4 cases metastases were confirmed. Local and
regional recurrence developed in 37 out of 212 patients (17.5%). There was
significant correlation between local relapse and prelaryngeal node metastases;
out of 20 cases with local recurrence, 13 had positive DN (P < 0.005). There was
also significant correlation between nodal relapses and DN metastases; out of 22
cases with nodal relapse, 12 had positive DN and 10 were DN negative (P < 0.005).
The organ preservation rates for DN positive and DN negative patients were 62.5
and 93.88%, respectively. There was noted a significant difference in the mean
survival between the groups with positive and negative DN (P = 0.004; 38.7 vs.
49.3 months, respectively). In conclusion, positive DN seems to be a strong
isolated factor influencing prognosis in patients with early glottic cancer. DN
metastases are responsible for the increased rates of local and nodal relapses,
decreased chances of organ preservation and poor overall survival rates.
PMID- 21898088
TI - Stage progression of congenital cholesteatoma in children.
AB - This study aimed to investigate the most prevalent stage in each age-group of
children with congenital cholesteatoma (CC) and verify the correlation between
the stage and the age of the patients for the type of CC ("closed" keratotic cyst
and "open" infiltrative types). Patients diagnosed with CC between 2004 and 2009
(n = 156; 116 boys and 40 girls; mean age, 5 years and 5 months; range, 12 months
16 years and 7 months) were enrolled retrospectively. Assessment of stage and
type of CC was performed with preoperative high-resolution temporal bone computed
tomography and intraoperative findings. The stage of CC was determined using
Potsic's staging system classified into four stages according to ossicular
involvement and mastoid extension. The patients consisted of groups divided on
the basis of a 2-year interval. The prevalence of stage I began to decline from
the age-group of 1-2 years. In contrast, the prevalence of stages III increased
from the age-group of 3-4 years and that of stage IV from the age-group 5-6
years. The prevalence of "open" infiltrative type CC increased from approximately
the age of 7 years, thus showing some correlation with age but not with stage.
The prevalence of CC with the advanced stage increased since the age of 2 years.
Therefore, the importance of early diagnosis and treatment of CC should be more
emphasized.
PMID- 21898089
TI - Human equilibrative nucleoside transporter 1 expression is a strong independent
prognostic factor in UICC T3-T4 pancreatic cancer patients treated with
preoperative gemcitabine-based chemoradiotherapy.
AB - BACKGROUND/PURPOSE: We aimed to determine the relationship between the
intratumoral expression of human equilibrative nucleoside transporter (hENT1),
the main gemcitabine transporter into cells, and the outcome of gemcitabine-based
chemoradiotherapy (Gem-CRT) in patients with International Union Against Cancer
(UICC) T3-T4 pancreatic adenocarcinoma. METHODS: The expressions of hENT1,
thymidylate synthase (TS), and dihydropyrimidine dehydrogenase were
immunohistochemically analyzed using the resected specimens from 55 patients (T3,
38 and T4, 17) who had received curative-intent resection after Gem-CRT. RESULTS:
The status of hENT1 expression (positive in 39 and negative in 16) was
significantly associated with "clinical efficacy" (defined as more than 50%
reduction of the serum carbohydrate antigen [CA] 19-9 level with stable disease
[SD] or partial response [PR] according to the Response Evaluation Criteria in
Solid Tumors [RECIST]) for Gem-CRT. The 1- and 3-year overall survival (OS) rates
were significantly higher in the positive hENT1 expression group (82.9, 39.5%)
than in the negative expression group (42.9, 14.3%) (p = 0.0037). According to
combination analysis of hENT1 and TS expressions, the 1- and 3-year OS rates were
significantly higher in the positive-low combination (89.1, 51.0%) group than in
the negative-high group (66.7, 0%) (p = 0.023). Multivariate analysis revealed
that positive hENT1 expression and R0 resection were significant prognostic
factors for OS. CONCLUSIONS: The hENT1 expression in pancreatic adenocarcinoma
strongly influences the outcome of preoperative Gem-CRT treatment. This biomarker
could become a useful predictor of therapeutic effect for gemcitabine-based
therapy in pancreatic cancer patients.
PMID- 21898090
TI - Gastrokine 1 functions as a tumor suppressor by inhibition of epithelial
mesenchymal transition in gastric cancers.
AB - PURPOSE: Gastrokine 1 (GKN1) plays an important role in the gastric mucosal
defense mechanism and also acts as a functional gastric tumor suppressor. The
specific aim of this study was to determine the molecular mechanisms underlying
GKN1 tumor suppressor activity in the progression of gastric cancers. METHODS: We
examined the effect of GKN1 on epithelial-mesenchymal transition (EMT) and cell
migration in GKN1-transfected and recombinant GKN1-treated AGS gastric cancer
cells using in vitro wound healing, microchemotaxis, and invasion assays.
RESULTS: In GKN1-transfected AGS cells, we observed inhibition of cell migration
and invasion in wound healing, transwell and Matrigel assay. Also, GKN1
transfected and recombinant GKN1-treated AGS cells showed decreased levels of ROS
and expression of phosphatidylinositol 3-kinase (PI3K)/Akt pathway proteins,
concomitant with re-expression of E-cadherin and decreased expression of
cytoplasmic and nuclear expression of beta-catenin, slug, snail, fibronectin, and
vimentin. CONCLUSIONS: These data suggest that the GKN1 gene may play an
important role in the progression of sporadic gastric cancers via inhibition of
EMT and cancer cell migration.
PMID- 21898091
TI - Wilms' tumor protein 1 (WT1) peptide vaccination in AML patients: predominant TCR
CDR3beta sequence associated with remission in one patient is detectable in other
vaccinated patients.
AB - BACKGROUND: Clinically effective T-cell responses can be elicited by single
peptide vaccination with Wilms' tumor 1 (WT1) epitope 126-134 in patients with
acute myeloid leukemia (AML). We recently showed that a predominant T-cell
receptor (TCR) beta chain was associated with vaccine-induced complete remission
in an AML patient (patient 1). In this study, we address the question of whether
this predominant clone or the accompanying Vbeta11 restriction could be found in
other AML patients vaccinated with the same WT1 peptide. MATERIALS AND METHODS:
For assessment of Vbeta usage, cytotoxic T lymphocytes (CTLs) from four
vaccinated patients were divided into specific and non-specific by epitope
specific enrichment. Vbeta families were quantified in both fractions using
reverse transcribed quantitative PCR. Vbeta11-positive 'complementary determining
region 3' (CDR3) sequences were amplified from these samples, from bone marrow
samples of 17 other vaccination patients, and from peripheral blood of six
healthy controls, cloned and sequenced. RESULTS: We observed a clear bias towards
Vbeta11 usage of the WT1-specific CTL populations in all four patients. The
predominant CDR3beta amino acid (AA) sequence of patient 1 was detected in two
other patients. CDR3beta loops with closely related AA sequences were only found
in patient 1. There were no CDR3beta AA sequences with side chains of identical
chemical properties detected in any patient. CONCLUSION: We provide the first
data addressing TCR Vbeta chain usage in WT1-specific T-cell populations after
HLA A*0201-restricted single peptide vaccination. We demonstrate both shared
Vbeta restriction and the sharing of a TCR beta transcript with proven clinical
impact in one patient.
PMID- 21898092
TI - Topiramate and visual loss in a patient carrying a Leber hereditary optic
neuropathy mutation.
AB - We describe a 43-year-old patient who experienced visual loss 4 years after
beginning antiepileptic therapy with topiramate. Ophthalmological and
neurological examinations led to a preliminary diagnosis of bilateral toxic optic
neuritis. Mitochondrial genome sequence analysis detected a Leber hereditary
optic neuropathy 11778G>A mutation. The possibility that topiramate might favor a
conversion disease, alerts physicians to seek a history of blindness in patients
undergoing chronic antiepileptic therapy.
PMID- 21898093
TI - Intermittent Broca's aphasia management in an emergency unit: from theory to
practice.
AB - Although Broca's aphasia (BA) may mimic different neurological illness, its
sudden onset often requires an emergency approach. In this paper, the management
of a case of intermittent BA occurred in a young woman without history of
neurological, cardiovascular and arrhythmic diseases is discussed. Diffusion
weighted magnetic resonance imaging showed two areas of hypoperfusion in the
terminal branches of the left medial cerebral artery not previously diagnosed by
computed tomography. Although there were no eligibility criteria for
thrombolysis, patient received intravenous treatment with recombinant tissue-type
plasminogen activator (rt-PA) over 1 h and at the end of rt-PA infusion aphasia
completely disappeared without neurological sequelae. Transesophageal
echocardiography revealed a thrombus in the left atrial appendage not previously
detected by transthoracic echocardiography. In the month following the
cardioembolic stroke, heart rhythm was monitored for 30 days by an external loop
recorder and during this test two episodes of silent lone atrial fibrillation
were collected.
PMID- 21898094
TI - Primary B-cell lymphoma of the cauda equina, successfully treated with high-dose
methotrexate plus high-dose cytarabine: a case report with MRI findings.
AB - Primary malignant lymphoma of the cauda equina is an extremely rare disease.
Previously, there have been only 12 reported cases of malignant lymphoma of the
cauda equina, and most cases relapsed early in the clinical course. So, the
optimal treatment strategy for this condition has not been established yet and
the prognosis is thought to be poor. We experienced a case of B-cell malignant
lymphoma of the cauda equina, with rapid progression of the muscle weakness of
the bilateral lower extremities, successfully treated with high-dose methotrexate
plus high-dose cytarabine (Ara-C) chemotherapy, followed by radiotherapy and in
complete remission without any recurrence signs, 1.5 years after the initial
diagnosis. Intrathecal chemotherapy with MTX, Ara-C, and predonisolone was
simultaneously performed. We should carefully continue to monitor the clinical
course of our case, with the examinations of magnetic resonance imaging and
cerebrospinal fluid in order not to overlook any subtle neurological changes or
other clinical symptoms.
PMID- 21898095
TI - History of Chiari type I malformation.
AB - Chiari type I malformation (CIM) was first described in the late 19th Century.
However, it still raises a great interest among the scientific Community because
of the increasing number of diagnosed cases, the still unclear pathogenesis and
natural history and the different options in the surgical management. The present
review aims at analyzing the centenary history of CIM, starting from the first
description done by Hans Chiari to the more recent classification, in order to
introduce such a complex disease and to show the way followed for its assessment
over the time.
PMID- 21898096
TI - Natural history of Chiari type I malformation in children.
AB - The decision on whether or not to operate children with Chiari type I
malformation (CIM) is difficult and controversial, because of the lack of
information about the natural evolution of such a disease. Herein, we report on
the evolution of 16 asymptomatic children with incidentally diagnosed CIM (mean
age: 6.7 years; mean follow-up: 5.8 years). No patients required suboccipital
decompression. Thirteen children remained asymptomatic, with stable or improved
radiological picture (worsening in 2 cases). Three cases showed appearance of
symptoms: one did not require any treatment; the remaining two underwent
endoscopic third ventriculostomy because of hydrocephalus, which is a possible
consequence of CIM. This analysis shows a favorable natural outcome of CIM in
children, thus suggesting a conservative management in asymptomatic cases.
However, multicentric studies are required to validate this data.
PMID- 21898097
TI - One night's CPAP withdrawal in otherwise compliant OSA patients: marked driving
impairment but good awareness of increased sleepiness.
AB - PURPOSE: Obstructive sleep apnoea (OSA) patients effectively treated by and
compliant with continuous positive air pressure (CPAP) occasionally miss a
night's treatment. The purpose of this study was to use a real car interactive
driving simulator to assess the effects of such an occurrence on the next day's
driving, including the extent to which these drivers are aware of increased
sleepiness. METHODS: Eleven long-term compliant CPAP-treated 50-75-year-old male
OSA participants completed a 2-h afternoon, simulated, realistic monotonous drive
in an instrumented car, twice, following one night: (1) normal sleep with CPAP
and (2) nil CPAP. Drifting out of road lane ('incidents'), subjective sleepiness
every 200 s and continuous electroencephalogram (EEG) activities indicative of
sleepiness and compensatory effort were monitored. RESULTS: Withdrawal of CPAP
markedly increased sleep disturbance and led to significantly more incidents, a
shorter 'safe' driving duration, increased alpha and theta EEG power and greater
subjective sleepiness. However, increased EEG beta activity indicated that more
compensatory effort was being applied. Importantly, under both conditions, there
was a highly significant correlation between subjective and EEG measures of
sleepiness, to the extent that participants were well aware of the effects of nil
CPAP. CONCLUSIONS: Patients should be aware that compliance with treatment every
night is crucial for safe driving.
PMID- 21898098
TI - Hypoxemia correlates with attentional dysfunction in patients with obstructive
sleep apnea.
AB - PURPOSE: Obstructive sleep apnea is associated with cognitive impairment, but
whether hypoxemia or repeated arousals and sleepiness are the underlying
mechanism is controversial. Studies using a wide range of attention and executive
functions tests in patients with severe sleep apnea are lacking. METHODS: In a
prospective cohort study, 40 patients aged 30-70 years, diagnosed with
obstructive sleep apnea (apnea-hypopnea index >=5) were recruited. Patients with
known cardiovascular, pulmonary, psychiatric, or neurological disease, and or
patients receiving anti-psychotic, sedatives, or stimulant medications were
excluded. Patients underwent full overnight polysomnography including continuous
oxygen saturation measurements followed by extensive neuropsychological testings
in attention and executive function domains. The correlation between sleep apnea
severity and patients' performance on the neuropsychological tests was examined.
RESULTS: The patients' performance on measures of attention and executive
function was significantly worse compared to the average in a normal population.
Attention, as reflected by the number of omissions and by the reaction time on
the Conners' Continuous Performance Test correlated significantly with the apnea
hypopnea index (r = 0.6, p < 0.001 and r = 0.48, p = 0.003, respectively) and
with parameters of hypoxemia, namely the average SpO(2) (r = -0.51, p = 0.002 and
r = -0.39, p = 0.02, respectively) and the percent time spent with SpO(2) < 90%
(r = 0.57, p < 0.001 and r = 0.39, p = 0.02, respectively), but not with the
degree of sleepiness. Executive dysfunction did not correlate with sleep
parameters. DISCUSSION: Attention is the predominant cognitive function affected
in patients with obstructive sleep apnea and correlates primarily with nocturnal
hypoxemia rather than daytime sleepiness or sleep fragmentation. Executive
functions, while below average in some patients, do not correlate with
polysomnographic parameters.
PMID- 21898099
TI - Use of micro-CT-based finite element analysis to accurately quantify peri-implant
bone strains: a validation in rat tibiae.
AB - Although research has been addressed at investigating the effect of specific
loading regimes on bone response around the implant, a precise quantitative
understanding of the local mechanical response close to the implant site is still
lacking. This study was aimed at validating micro-CT-based finite element (MUFE)
models to assess tissue strains after implant placement in a rat tibia. Small
implants were inserted at the medio-proximal site of 8 rat tibiae. The limbs were
subjected to axial compression loading; strain close to the implant was measured
by means of strain gauges. Specimen-specific MUFE models were created and
analyzed. For each specimen, 4 different models were created corresponding to
different representations of the bone-implant interface: bone and implant were
assumed fully osseointegrated (A); a low stiffness interface zone was assumed
with thickness of 40 MUm (B), 80 MUm (C), and 160 MUm (D). In all cases, measured
and computational strains correlated highly (R (2) = 0.95, 0.92, 0.93, and 0.95
in A, B, C, and D, respectively). The averaged calculated strains were 1.69,
1.34, and 1.15 times higher than the measured strains for A, B, and C,
respectively, and lower than the experimental strains for D (factor = 0.91). In
conclusion, we demonstrated that specimen-specific FE analyses provide accurate
estimates of peri-implant bone strains in the rat tibia loading model. Further
investigations of the bone-implant interface are needed to quantify implant
osseointegration.
PMID- 21898100
TI - Time-dependent behavior of cartilage surrounding a metal implant for full
thickness cartilage defects of various sizes: a finite element study.
AB - Recently, physiological and biomechanical studies on animal models with metal
implants filling full-thickness cartilage defects have resulted in good clinical
outcomes. The knowledge of the time-dependent macroscopic behavior of cartilage
surrounding the metal implant is essential for understanding the joint function
after treating such defects. We developed a model to investigate the in vivo time
dependent behavior of the tibiofemoral cartilages surrounding the metal implant,
when the joint is subjected to an axial load for various defect sizes. Results
show that time-dependent effects on cartilage behavior are significant, and can
be simulated. These effects should be considered when evaluating the results from
an implant. In particular, the depth into the cartilage where an implant is
positioned and the mechanical sealing due to solidification of the poroelastic
material need a time aspect. We found the maximal deformations, contact pressures
and contact forces in the joint with time for the implant positioned in flush and
sunk 0.3 mm into the cartilage. The latter position gives the better joint
performance. The results after 60 s may be treated as the primary results,
reflecting the effect of accumulation in the joint due to repeated short-time
loadings. The wedge-shaped implant showed beneficial in providing mechanical
sealing of cartilages surrounding the implant with time.
PMID- 21898101
TI - Lansoprazole precipitated QT prolongation and torsade de pointes associated with
disopyramide.
PMID- 21898102
TI - Environmental controls on microbial abundance and activity on the greenland ice
sheet: a multivariate analysis approach.
AB - Microbes in supraglacial ecosystems have been proposed to be significant
contributors to regional and possibly global carbon cycling, and quantifying the
biogeochemical cycling of carbon in glacial ecosystems is of great significance
for global carbon flow estimations. Here we present data on microbial abundance
and productivity, collected along a transect across the ablation zone of the
Greenland ice sheet (GrIS) in summer 2010. We analyse the relationships between
the physical, chemical and biological variables using multivariate statistical
analysis. Concentrations of debris-bound nutrients increased with distance from
the ice sheet margin, as did both cell numbers and activity rates before reaching
a peak (photosynthesis) or a plateau (respiration, abundance) between 10 and 20
km from the margin. The results of productivity measurements suggest an overall
net autotrophy on the GrIS and support the proposed role of ice sheet ecosystems
in carbon cycling as regional sinks of CO(2) and places of production of organic
matter that can be a potential source of nutrients for downstream ecosystems.
Principal component analysis based on chemical and biological data revealed three
clusters of sites, corresponding to three 'glacier ecological zones', confirmed
by a redundancy analysis (RDA) using physical data as predictors. RDA using data
from the largest 'bare ice zone' showed that glacier surface slope, a proxy for
melt water flow, accounted for most of the variation in the data. Variation in
the chemical data was fully explainable by the determined physical variables.
Abundance of phototrophic microbes and their proportion in the community were
identified as significant controls of the carbon cycling-related microbial
processes.
PMID- 21898103
TI - Soil and cultivar type shape the bacterial community in the potato rhizosphere.
AB - The rhizospheres of five different potato cultivars (including a genetically
modified cultivar) obtained from a loamy sand soil and two from a sandy peat
soil, next to corresponding bulk soils, were studied with respect to their
community structures and potential function. For the former analyses, we
performed bacterial 16S ribosomal RNA gene-based PCR denaturing gradient gel
electrophoresis (PCR-DGGE) on the basis of soil DNA; for the latter, we extracted
microbial communities and subjected these to analyses in phenotype arrays (PM1,
PM2, and PM4, Biolog), with a focus on the use of different carbon, sulfur and
phosphorus sources. In addition, we performed bacterial PCR-DGGE on selected
wells to assess the structures of these substrate-responsive communities. Effects
of soil type, the rhizosphere, and cultivar on the microbial community structures
were clearly observed. Soil type was the most determinative parameter shaping the
functional communities, whereas the rhizosphere and cultivar type also exerted an
influence. However, no genetically modified plant effect was observed. The
effects were imminent based on general community analysis and also single
compound analysis. Utilization of some of the carbon and sulfur sources was
specific per cultivar, and different microbial communities were found as defined
by cultivar. Thus, both soil and cultivar type shaped the potato root-associated
bacterial communities that were responsive to some of the substrates in phenotype
arrays.
PMID- 21898104
TI - Effects of cumulus cells removal after 6 h co-incubation of gametes on the
outcomes of human IVF.
AB - PURPOSE: To investigate the effects of cumulus cells removal after 6 h co
incubation of gametes on the fertilization, polyspermy, multinucleation and
clinical pregnancy rates in human IVF. METHODS: A total of 1,200 IVF-ET cycles
undergoing 6 h co-incubation of gametes in 2009 were included in this study.
Inclusion criteria were: female age <38 years, first IVF treatment, with bi-ovary
and normal ovarian response, e.g., 4 ~ 20 oocytes could be obtained. A 6 h period
of co-incubation was applied in all IVF cycles. According to the history of
infertility, cumulus cells were mechanically removed either 6 h post-insemination
or 20 h post-insemination. For couples with primary infertility, or unexplained
infertility, or mild oligospermia or asthenospermia, the cumulus cells were
removed at 6 h of insemination for the polar body observation (6 h group, n =
565). Of these, 80 cycles received early rescue ICSI due to fertilization failure
or low fertilization rate at 6 h of insemination. For couples with secondary
infertility and normal semen analysis, the cumulus cells were removed at 20 h of
insemination as routine (20 h group, n = 635). Of these, three cycles received
late rescue ICSI due to fertilization failure at 20 h of insemination. Normal
fertilization, polyspermy (>=3PN), multinucleation and clinical pregnancy rates
were compared between the two groups (rescue ICSI cycles were not included in the
comparison in both groups). RESULTS: Significant difference (P < 0.05) was
observed between the groups regarding polyspermy rates (7.48% in 6 h group and
9.22% in 20 h group). No difference was observed between the groups regarding
normal fertilization rates (2PN rate) (64.89% in 6 h group and 65.74% in 20 h
group). No difference was observed between the groups regarding multinucleation
and clinical pregnancy rates (11.01% and 65.15% in 6 h group, 10.75% and 66.93%
in 20 h group, respectively). The clinical pregnancy rate was 51.43% in cycles
receiving early rescue ICSI, while no clinical pregnancy was obtained in cycles
receiving late rescue ICSI. CONCLUSION: The present results indicate that cumulus
cells removal at 6 h of insemination is a relatively safe operation, which
yielded comparable normal fertilization rate, multinucleation and clinical
pregnancy rates compared with 20 h group. This protocol may be beneficial for
early obsevation of fertilization failure and make early rescue ICSI possible.
PMID- 21898105
TI - Genome instability in AZFc region on Y chromosome in leukocytes of fertile and
infertile individuals following exposure to gamma radiation.
AB - PURPOSE: Men are exposed to various doses of ionizing radiation due to living in
regions with high natural background radiation, accidentally, occupationally or
for cancer treatment. To study genomic instability of AZFc region to gamma
radiation, blood samples from normal, oligozoospermia, and azoospermia
individuals were irradiated by a Co-60 source. METHODS: Irradiated cells were
kept for 48 h in order to repair initial DNA damages. Real time PCR was performed
for three markers (SY 1206, SY 1197, SY 579) for testing copy number variation
before and after irradiation. Copy number variations were compared by calculation
of cycle threshold comparative method. RESULTS: Copy number variations of studied
markers in AZFc region (microdeletion and duplication) in all samples after
exposure to radiation increased with a dose dependent fashion. The frequency of
instability was significantly higher in samples from infertile men in comparison
with fertile ones (p < 0.001). CONCLUSION: No significant difference was seen
between the two infertile groups (p > 0.05). This observation might be a possible
explanation for induction of azoospermia and oligozoospermia after radiotherapy.
Increased frequency of induced microdeletion and duplication in infertile men
compared with normal might be attributed to the deficiency in repair systems and
the genetic factors involved in incomplete spermatogenesis of infertile men.
PMID- 21898106
TI - Permissible value for vanadium in allitic udic ferrisols based on physiological
responses of green Chinese cabbage and soil microbes.
AB - Greenhouse experiments were conducted to study the permissible value of vanadium
(V) based on the growth and physiological responses of green Chinese cabbage
(Brassica chinensis L.), and effects of V on microbial biomass carbon (MBC) and
enzyme activities in allitic udic ferrisols were also studied. The results showed
that biomass of cabbage grown on soil treated with 133 mg V kg(-1) significantly
decreased by 25.1% compared with the control (P < 0.05). Vanadium concentrations
in leaves and roots increased with increasing soil V concentration. Contents of
vitamin C (Vc) increased by 10.3%, while that of soluble sugar in leaves
significantly decreased by 54.0% when soil V concentration was 133 mg kg(-1),
respectively. The uptake of essential nutrient elements by cabbage was disturbed
when soil V concentration exceeded 253 mg kg(-1). Soil MBC was significantly
stimulated by 15.5%, while dehydrogenase activity significantly decreased by
62.8% and urease activity slightly changed at treatment of 133 mg V kg(-1) as
compared with the control, respectively. Therefore, the permissible value of V in
allitic udic ferrisols is proposed as 130 mg kg(-1).
PMID- 21898107
TI - Serum selenium concentration in liver cirrhotic patients suffering from hepatitis
B and C in Pakistan.
AB - High rates of hepatitis B and C are present in Pakistan. Epidemiological surveys
demonstrated an inverse association between selenium (Se) level and regional
cancer incidence, as well as viral infection. In this cross-sectional descriptive
analytical study, serum selenium concentration of 150 patients suffering from
hepatitis B and C patients, along with 26 healthy controls, was determined by
atomic absorption spectrophotometer equipped with hydride generation system. The
mean and standard deviation of serum selenium concentration observed in male and
female patients with hepatitis C were 101.60 +/- 0.55 and 77.43 +/- 0.47 MUg/l,
respectively, while the mean and standard deviation of serum selenium
concentration observed in male and female patients with hepatitis B was 107.58 +/
0.44 and 137.8 +/- 0.36 MUg/l. Analysis of t test showed significant difference
between hepatitis C and B (P < 0.001) patients in serum selenium concentration
when compared with control.
PMID- 21898108
TI - P-wave dispersion in children with acute rheumatic fever.
AB - As a new and simple electrocardiographic marker, P-wave dispersion is reported to
be associated with inhomogeneous and discontinuous propagation of sinus impulses.
The current study aimed to investigate P-wave dispersion in children with acute
rheumatic fever. The study population consisted of 47 children with acute
rheumatic fever (29 patients with carditis and 18 patients without carditis) and
31 healthy control subjects. Maximum and minimum P-wave durations were measured
from the 12-lead surface electrocardiogram. The P-wave dispersion was calculated
as the difference between maximum and minimum P-wave durations. The maximum P
wave duration and the P-wave dispersion of the patients with and without carditis
were significantly greater than those of the control subjects. The P-wave
dispersion of the patients with carditis was significantly greater than that of
the patients without carditis. In conclusion, the P-wave dispersion was higher in
the children with acute rheumatic fever than in the healthy control subjects.
PMID- 21898109
TI - Anti-tumor effects of all-trans retinoic acid are enhanced by genistein.
AB - The effects of all-trans retinoic acid (ATRA) on cancer are complex. ATRA has
anti-cancer effects as it promotes cancer cell differentiation. However, ATRA
also up-regulates expression of vascular endothelial growth factor (VEGF) in
cancer cells, which leads to angiogenesis and can, thus, facilitate cancer
growth. Genistein, a crucial non-nutrient component in soybean, exhibits anti
cancer effects by inhibiting protein tyrosine kinase that is involved in up
regulation of VEGF. We hypothesized that genistein, applied simultaneously with
ATRA, would counter its undesired angiogenic effects and, thus, enhance the anti
cancer effects of ATRA. The purpose of this study was to document potential
synergistic effects of genistein and ATRA in A549 lung adenocarcinoma cells. We
further explored the role of genistein on countering the ATRA-induced VEGF
expression. We demonstrate that genistein enhances the ATRA-induced growth
inhibition of A549 cells by promoting apoptosis. Further, the combined use of
ATRA and genistein leads to cancer cell arrest in G0/G1 and G2/M cell cycle
phases. Finally, expression of VEGF (both mRNA and protein) was diminished in
A549 cells exposed to both ATRA and genistein. In conclusion, our results
demonstrate that genistein effectively enhances anti-cancer effects of ATRA,
particularly, by countering the ATRA-induced up-regulation of VEGF. Our study
provides an experimental basis for combined use of ATRA and genistein in the
treatment of lung cancer.
PMID- 21898110
TI - Bifurcation of orbits and synchrony in inferior olive neurons.
AB - Inferior olive neurons (IONs) have rich dynamics and can exhibit stable,
unstable, periodic, and even chaotic trajectories. This paper presents an
analysis of bifurcation of periodic orbits of an ION when its two key parameters
(a, MU) are varied in a two-dimensional plane. The parameter a describes the
shape of the parabolic nonlinearity in the model and MU is the extracellular
stimulus. The four-dimensional ION model considered here is a cascade connection
of two subsystems (S(a) and S(b)). The parameter plane (a - MU) is delineated
into several subregions. The ION has distinct orbit structure and stability
property in each subregion. It is shown that the subsystem S(a) or S(b) undergoes
supercritical Poincare-Andronov-Hopf (PAH) bifurcation at a critical value
MU(c)(a) of the extracellular stimulus and periodic orbits of the neuron are
born. Based on the center manifold theory, the existence of periodic orbits in
the asymptotically stable S(a), when the subsystem S(b) undergoes PAH
bifurcation, is established. In such a case, both subsystems exhibit periodic
orbits. Interestingly when S(b) is under PAH bifurcation and S(a) is unstable,
the trajectory of S(a) exhibits periodic bursting, interrupted by periods of
quiescence. The bifurcation analysis is followed by the design of (i) a linear
first-order filter and (ii) a nonlinear control system for the synchronization of
IONs. The first controller uses a single output of each ION, but the nonlinear
control system uses two state variables for feedback. The open-loop and closed
loop responses are presented which show bifurcation of orbits and synchronization
of oscillating neurons.
PMID- 21898111
TI - Incorporating chemical signalling factors into cell-based models of growing
epithelial tissues.
AB - In this paper we present a comprehensive computational framework within which the
effects of chemical signalling factors on growing epithelial tissues can be
studied. The method incorporates a vertex-based cell model, in conjunction with a
solver for the governing chemical equations. The vertex model provides a natural
mesh for the finite element method (FEM), with node movements determined by force
laws. The arbitrary Lagrangian-Eulerian formulation is adopted to account for
domain movement between iterations. The effects of cell proliferation and
junctional rearrangements on the mesh are also examined. By implementing
refinements of the mesh we show that the finite element (FE) approximation
converges towards an accurate numerical solution. The potential utility of the
system is demonstrated in the context of Decapentaplegic (Dpp), a morphogen which
plays a crucial role in development of the Drosophila imaginal wing disc. Despite
the presence of a Dpp gradient, growth is uniform across the wing disc. We make
the growth rate of cells dependent on Dpp concentration and show that the number
of proliferation events increases in regions of high concentration. This allows
hypotheses regarding mechanisms of growth control to be rigorously tested. The
method we describe may be adapted to a range of potential application areas, and
to other cell-based models with designated node movements, to accurately probe
the role of morphogens in epithelial tissues.
PMID- 21898112
TI - Characterization of Candida sp. NY7122, a novel pentose-fermenting soil yeast.
AB - Yeasts that ferment both hexose and pentose are important for cost-effective
ethanol production. We found that the soil yeast strain NY7122 isolated from a
blueberry field in Tsukuba (East Japan) could ferment both hexose and pentose (D
xylose and L-arabinose). NY7122 was closely related to Candida subhashii on the
basis of the results of molecular identification using the sequence in the D1/D2
domains of 26S rDNA and 5.8S-internal transcribed spacer region. NY7122 produced
at least 7.40 and 3.86 g l-1 ethanol from 20 g l-1 D-xylose and L-arabinose
within 24 h. NY7122 could produce ethanol from pentose and hexose sugars at 37
degrees C. The highest ethanol productivity of NY7122 was achieved under a low pH
condition (pH 3.5). Fermentation of mixed sugars (50 g l-1 glucose, 20 g l-1 D
xylose, and 10 g l-1 L-arabinose) resulted in a maximum ethanol concentration of
27.3 g l-1 for the NY7122 strain versus 25.1 g l-1 for Scheffersomyces stipitis.
This is the first study to report that Candida sp. NY7122 from a soil environment
could produce ethanol from both D-xylose and L-arabinose.
PMID- 21898113
TI - Catechol-O-methyltransferase genotype (Val158met) modulates cancer-related
fatigue and pain sensitivity in breast cancer survivors.
AB - Cancer-related fatigue and pain after surgery are the most frequent and most
incapacitating cancer-related symptoms after breast cancer treatment. Genetic
influence of cancer-related fatigue and pain has not been previously
investigated. Our aim was to examine the influence of catechol-O
methyltransferase (COMT) Val158Met genotypes on cancer-related fatigue, post
mastectomy pain, and pressure pain hypersensitivity in breast cancer survivors.
One-hundred and twenty-eight (n = 128) breast cancer survivors who were treated
with radiotherapy and chemotherapy participated in this study. After amplifying
Val158Met polymorphisms by polymerase chain reaction, COMT genotype was divided
into Val/Val, valine/methionine (Val/Met), or Met/Met. The Piper fatigue scale
(PFS) was used to assess cancer-related fatigue. Neck and shoulder/axillary pain
intensity was assessed with a numerical pain rate scale (0-10). Finally, pressure
pain thresholds (PPT) were assessed bilaterally over the C5-C6 zygapophyseal
joints, deltoid muscles, second metacarpal, and tibialis anterior muscles. Breast
cancer survivors carrying the Met/Met genotype reported higher levels of fatigue
(all subscales, P < 0.001), higher neck pain intensity, and lower PPT over C5-C6
joints and deltoid muscles (all, P < 0.001) relative to those with Val/Met or
Val/Val genotypes. The results suggest that breast cancer survivors carrying the
Met/Met genotype exhibit higher fatigue, neck pain, and pressure pain
hypersensitivity over the neck and shoulder area. This study is important because
it strives to understand the factors that predispose some breast cancer survivors
to more cancer-related fatigue and increased pain sensitivity.
PMID- 21898114
TI - Phase II trial of a novel capecitabine dosing schedule in combination with
lapatinib for the treatment of patients with HER2-positive metastatic breast
cancer.
AB - Our group applied mathematical modeling to capecitabine dosing and predicted 7
days of treatment followed by 7 days of rest (7-7) would improve efficacy and
minimize toxicity. The conventional schedule of capecitabine limits full dosing
in combination with other agents due to toxicity. Lapatinib inhibits the tyrosine
kinase of HER2 and has activity when added to conventionally scheduled
capecitabine for the treatment of patients with trastuzumab-refractory, HER2
positive, metastatic breast cancer (MBC). We performed this study to evaluate the
activity and tolerability of capecitabine 7-7 with lapatinib in patients with
trastuzumab-refractory MBC. Eligible patients had measurable, HER2-positive, MBC
that progressed following exposure to trastuzumab. Treatment consisted of
capecitabine 2,000 mg orally twice daily, 7-7 and lapatinib 1,250 mg orally
daily. The primary endpoint was response rate. Secondary endpoints included
toxicity, progression-free survival, and stable disease >= 6 months. Twenty-three
patients were treated on study. More than 60% had prior chemotherapy for MBC and
all had prior trastuzumab. After a median of 23 weeks (range 2-96+), five
patients had partial responses (23; 95 CI, 7-44%) and six (27; 95 CI, 10-48%) had
stable disease >= 6 months. Median progression-free survival was 9.4 months. The
most common treatment-related toxicities >= grade (gr) 2 were hand-foot syndrome
(gr 2 43%; gr 3 4% gr 4 0%), diarrhea (gr 2 26%; gr 3/4 0%), elevated liver
chemistries (gr 2 17%; gr 3/4 0%), and anemia (gr 2 13%; gr 3 4%; gr 4 4%). No
grade >= 3 nausea, vomiting, or diarrhea events occurred. This study demonstrated
feasibility and after meeting biostatistical requirements for continued accrual
was terminated in anticipation of slow enrollment. Capecitabine 7-7 with
lapatinib was well tolerated with minimal gastrointestinal toxicity. Antitumor
activity was observed in patients with trastuzumab-refractory MBC.
PMID- 21898115
TI - Aspirin use and breast cancer risk: a meta-analysis.
AB - Animal and in vitro studies suggest that the use of aspirin may be associated
with reduced risk for breast cancer, but results from these studies of the
association have been inconsistent. The objective of this meta-analysis was to
quantitatively summarize the current evidence for such a relationship. We
searched MEDLINE for studies of aspirin use and breast cancer risk that were
published in any language, from January 1, 1966, to July 1, 2011. A total of 33
studies (19 cohort studies, 13 case-control studies, and 1 randomized controlled
trial [RCT]) that included 1,916,448 subjects were identified. We pooled the
relative risks from individual studies using a random-effects model,
heterogeneity, and publication bias analyses. In a pooled analysis of all
studies, aspirin use was associated with reduced risk for breast cancer (odds
ratio [OR] = 0.86, 95% confidence interval [CI] = 0.81, 0.92). In the subgroup
analysis by study design, results were similar except for RCT (OR = 0.98, 95% CI
= 0.87, 1.09). In conclusion, this meta-analysis indicated that regular use of
aspirin may be associated with reduced risk of breast cancer. More RCT were
needed to confirm this association in the future.
PMID- 21898117
TI - Relationship between the trochlear groove angle and patellar cartilage morphology
defined by 3D spoiled gradient-echo imaging.
AB - OBJECTIVE: To examine whether the femoral trochlear groove angle (TGA) is a
determinant of the patellar cartilage volume and patellar cartilage damage.
MATERIALS AND METHODS: Patellar cartilage was evaluated by MR imaging in 66
patients (22 males and 44 females) with knee pain. Fat-suppressed 3D spoiled
gradient-echo images were used to calculate the cartilage volume and to grade the
cartilage damage. The proximal and distal TGAs were measured from axial PD
weighted FSE MR images with fat suppression. RESULTS: For every increase in the
TGA at the distal femur, the patellar cartilage volume was significantly
increased by 6.07 * 10(-3) cm(3) (95% CI: 1.27 * 10(-3), 10.9 * 10(-3)) after
adjustment for age, gender, and patellar bone volume (P < 0.05). The MR grade of
medial patellar cartilage damage progressed as the distal TGA became narrower,
although there was no significant correlation between the distal TGA and the MR
grading of patellar cartilage damage. CONCLUSION: A more flattened distal TGA was
associated with increased patellar cartilage volume. However, there was no
association between TGA and patellar cartilage defects.
PMID- 21898116
TI - Should beta blockers no longer be considered first-line therapy for the treatment
of essential hypertension without comorbidities?
AB - Although most guidelines committees historically recommended initial diuretics
and/or beta blockers for uncomplicated hypertension, clinical trial outcomes
analyzed in the last 5 to 7 years have been suboptimal with atenolol, the world's
most popular beta blocker. Several meta-analyses have suggested that despite
lowering blood pressure, any and all beta blockers inadequately protect
hypertensive patients from cardiovascular events. These phenomena have been
attributed to ineffective lowering of central aortic or inter-visit blood
pressures, or adverse metabolic effects (particularly when combined with
diuretics). Although there has never been a head-to-head comparison of atenolol
with any other beta blocker in hypertensive patients, indirect comparisons can be
done with network and Bayesian meta-analyses, which suggest that heterogeneity of
beta-blockers' pharmacology also extends to outcomes. Although once-daily
atenolol as initial antihypertensive therapy may be unwise, whether initial beta
blockers newer than atenolol reduce cardiovascular risk to the same extent as
other antihypertensive drugs should be answered with more clinical trials.
PMID- 21898118
TI - Evaluation of surfactants as solubilizing agents in microsomal metabolism
reactions with lipophilic substrates.
AB - Solubilizing agents are routinely added when investigating the biotransformation
of lipophilic substrates using hepatic microsomes. For highly lipophilic
compounds, the concentration of solvent or surfactant necessary for dissolution
can be detrimental to enzyme activity. This study evaluates the effect of 12
surfactants on microsomal metabolism and the ability of the same surfactants to
improve the aqueous solubility of the pentabrominated diphenyl ether BDE-100, a
lipophilic environmental contaminant previously found to be recalcitrant to in
vitro metabolism. Of the surfactants investigated, Cremophor EL and Tween 80
displayed the best combination of increased BDE-100 solubility and minimal
inhibition of microsomal metabolism. However, a comparison of the in vitro
metabolism products of BDE-100 in the presence of the two surfactants revealed
varying amounts of metabolites depending on the surfactant used.
PMID- 21898119
TI - Use of parenteral colistin for the treatment of multiresistant Gram-negative
organisms in major burn patients in South Korea.
AB - PURPOSE: To assess the therapeutic effect and toxicity of intravenous colistin in
the treatment of multidrug-resistant (MDR) Gram-negative bacteria in patients
with severe burns. METHODS: The medical records of 930 patients admitted to the
Burn Intensive Care Unit (ICU) at Hallym University Hangang Sacred Heart
Hospital, Seoul, South Korea between April 2007 and December 2009 were
retrospectively reviewed. Of these, the 104 patients who had received intravenous
colistin treatments (104 courses) during this period were enrolled in the study.
Changes in creatinine level were analyzed in three groups: all patients receiving
colistin (n = 104), patients with undergoing continuous renal replacement therapy
(CRRT group; n = 38), and patients not undergoing CRRT (non-CRRT group; n = 66).
RESULTS: Among these patients, the burnt body surface area ranged from 5 to 96%
(mean 49.7%). Thirty-five patients (33.7%) suffered inhalation injury, and CRRT
was administered to 38 patients. The mean duration of colistin treatment was 14.7
(range 4-71) days. The total dose of colistin was 3,045.7 mg (range 100-13,800).
The length of ICU stay was 48.9 (range 7-154) days. Forty patients (38.5%) died.
The mean pre-colistin creatinine level of all patients was 1.04 mg/dL, and the
mean post-colistin level was 1.34 mg/dL. The mean pre-colistin creatinine level
of the CRRT group and non-CRRT group was 1.68 and 0.66 mg/dL, and the mean post
colistin level was 1.68 and 1.14 mg/dL, respectively. CONCLUSIONS: Colistin
appears to be a relatively safe and effective treatment for major burn patients
with infections caused by MDR Gram-negative bacteria when no other drug is
available. Additionally, we found no statistically significant impairment of
creatinine levels.
PMID- 21898120
TI - Combination therapy with an aminoglycoside for Staphylococcus aureus endocarditis
and/or persistent bacteremia is associated with a decreased rate of recurrent
bacteremia: a cohort study.
AB - PURPOSE: Although limited data exist on the efficacy and potential risk of
synergistic aminoglycoside therapy for persistent Staphylococcus aureus
bacteremia and endocarditis, aminoglycosides are frequently used in clinical
practice. METHODS: As our study population, we included subjects fulfilling the
modified Duke criteria for S. aureus endocarditis and/or having greater than 72 h
of S. aureus bacteremia. Among these subjects, we compared patients who did and
did not receive aminoglycoside therapy for their S. aureus bloodstream infection.
These groups were compared for the primary outcome of recurrent bacteremia, as
well as for the duration of bacteremia, mortality, complication rate, and
incident renal failure. RESULTS: Eighty-seven subjects fulfilled the inclusion
criteria. Of these, 49 received aminoglycoside therapy, whereas 38 did not. There
were no significant differences in the baseline characteristics when comparing
groups who did or did not receive aminoglycoside therapy. Four (8.2%) subjects
treated with aminoglycoside therapy experienced recurrent bacteremia versus nine
(23.7%) who did not receive aminoglycoside therapy [relative risk and 95%
confidence interval [RR (95%CI)] = 0.51 (0.22-1.17), p = 0.04]. In multivariable
analyses, aminoglycoside use remained significantly associated with a decrease in
recurrent bacteremia [adjusted odds ratio (OR) (95%CI) = 0.26 (0.07-0.98), p =
0.046]. No significant differences were seen between groups treated with and
without an aminoglycoside in terms of the 6-month all-cause mortality (51.0 vs.
42.1%, p = 0.41), complication rate (71.4 vs. 73.7%, p = 0.82), or incident renal
failure (54.5 vs. 46.9%, p = 0.54). CONCLUSIONS: The use of combination therapy
with an aminoglycoside in persistent S. aureus bacteremia and/or endocarditis may
be associated with a lower rate of recurrent bacteremia without significant
differences in the incident renal failure.
PMID- 21898122
TI - Expression, localization, and phosphorylation of Akt1 in benign and malignant
thyroid lesions.
AB - The serine/threonine protein kinase Akt is a key molecule in the phosphatidyl
inositol 3-kinase pathway that is often overactivated in human cancers. Three Akt
isoforms (Akt1, Akt2, Akt3) have been identified in human cells and they show
different distribution and have non-redundant functions. The aim of this study
was to determine whether the expression, phosphorylation, and localization of
Akt1 isoform in human thyroid malignant lesions are different from those in
benign lesions. Nuclear and cytoplasmic fractions were isolated from tissue
samples and Western blot method was used to detect Akt1 presence in both cellular
fractions. Akt1 expression was also assessed by ELISA method. To estimate Akt1
phosphorylation, kinase was immunoprecipitated from cell lysates and tested with
anti-phospho-Akt antibodies. The Akt1 expression in majority of thyroid cancer
samples was significantly higher than in benign lesions (p < 0.05). Akt1 both in
differentiated cancers (follicular and papillary) and benign lesions was
localized mainly in cytoplasmic fraction. In two of three anaplastic cancer
samples Akt1 was predominantly localized in nucleus. The ratio of phosphorylated
Akt1 to total Akt1 was lower in cancers than in non-neoplastic lesions and
adenomas. Thus, although Akt1 seems to be overexpressed in thyroid neoplasms, its
high phosphorylation is not characteristic for thyroid cancers.
PMID- 21898121
TI - Under-notification of cryptosporidiosis by routine clinical and laboratory
practices among non-hospitalised children with acute diarrhoea in Southern Spain.
AB - INTRODUCTION: In a prevalence study of 400 stool samples from non-hospitalised
children under 7 years of age with diarrhoea, the presence of Cryptosporidium was
evaluated. METHODS: In addition to standard microbiological analyses used for
testing for bacteria, parasites, adenoviruses and reoviruses, all samples were re
evaluated for the presence of Cryptosporidium by means of microscopy using a
modified acid-fast staining technique, a rapid immunoassay for the qualitative
detection of C. parvum and Giardia lamblia, the ImmunoCard STAT! test, and nested
polymerase chain reaction (PCR). For identifying the genotypes of
Cryptosporidium, the gene 18S ssu rRNA was amplified and sequenced. RESULTS:
Thirty-two samples were positive by microscopy, 26 by immunoassay and 61 by
nested PCR. Twenty-seven of these organisms were identified as Cryptosporidium
hominis, 31 as Cryptosporidium parvum and, in four samples, it was impossible to
identify the species. C. parvum was significantly more frequent in girls and C.
hominis was significantly more frequent in boys (Fisher's exact test, p = 0.034).
Although Cryptosporidium is only notified in a very small number of patients (1
4%) with diarrhoea in Spain, the microorganism was identified by nested PCR in
15.1% of the samples. CONCLUSION: This study, therefore, highlights the under
notification of infections caused by Cryptosporidium in Southern Spain and poses
the question of whether its routine testing should be carried out in cases of
gastroenteritis in children.
PMID- 21898123
TI - The age at motor symptoms onset in LRRK2-associated Parkinson's disease is
affected by a variation in the MAPT locus: a possible interaction.
AB - The current paradigm on Parkinson's disease (PD) pathogenesis and course suggests
the involvement of multiple genes and the interaction between them. Recently, it
was reported that a variation (rs2435207) in the MAPT gene region influenced the
age of motor symptoms onset (AO) in 44 PD patients from 19 families, carriers of
leucine-rich repeat kinase 2 (LRRK2) mutations, all of European and North
American origin. To examine whether genetic factors within the MAPT locus exert a
similar effect on AO in a different population of LRRK2-associated PD patients,
99 unrelated Ashkenazi patients with the LRRK2 p.G2019S mutation were analyzed.
Three SNPs in the MAPT region were studied, rs393152, rs2435207, and rs11079727;
the latter is located in the first intron of MAPT. Among carriers of the single
LRRK2 p.G2019S mutation that did not carry a founder Ashkenazi GBA mutation too
(n = 84), the AO in minor rs11079727 A allele carriers (C/A genotype) was
significantly older (62.5 +/- 10.6 years) compared to the AO (55.7 +/- 11.6)
among carriers of the C/C genotype (p = 0.025). Our results further support a
possible interaction between genetic factors in the MAPT region and the LRRK2
gene, which influence the clinical course of PD patients.
PMID- 21898124
TI - Pituitary adenylate cyclase-activating polypeptide causes increased tyrosine
phosphorylation of focal adhesion kinase and paxillin.
AB - The effects of pituitary adenylate cyclase-activating polypeptide (PACAP) on
tyrosine phosphorylation of focal adhesion kinase (FAK) and paxillin were
investigated using lung cancer cells. Addition of PACAP-27 or PACAP-38 but not
vasoactive intestinal peptide to NCI-H838 or NCI-H1299 human lung cancer cells
significantly increased the tyrosine phosphorylation of FAK or paxillin. The
increase in FAK or paxillin tyrosine phosphorylation caused by addition of PACAP
27 to NCI-H838 cells was inhibited by PACAP(6-38), a PAC1-receptor (R)
antagonist. The increase in FAK or paxillin tyrosine phosphorylation caused by
100 nM PACAP-27 was maximal 2 min after addition to NCI-H838 cells. The effects
of PACAP at stimulating FAK and paxillin tyrosine phosphorylation were reversed
by cytochalasin D and genistein which inhibit actin polymerization and tyrosine
kinase activity, respectively. The effects of PACAP at stimulating FAK and
paxillin tyrosine phosphorylation were reversed by U-73122 but not H89 which
inhibit phospholipase C and protein kinase A, respectively. The results show that
PAC1-R regulates FAK and paxillin tyrosine phosphorylation in lung cancer cells
as a result of increased phosphatidylinositol turnover but not adenylyl cylase
stimulation.
PMID- 21898127
TI - Characterization of a beta-glucosidase from Sulfolobus solfataricus for
isoflavone glycosides.
AB - The specific activity of a recombinant beta-glucosidase from Sulfolobus
solfataricus for isoflavones was: daidzin > glycitin > genistin > malonyl
genistin > malonyl daidzin > malonyl glycitin. The hydrolytic activity of this
enzyme for daidzin was highest at pH 5.5 and 90 degrees C with a half-life of 18
h, a K (m) of 0.5 mM, and a k (cat) of 2532 s(-1). The enzyme converted 1 mM
daidzin to 1 mM daidzein after 1 h with a molar yield of 100% and a productivity
of 1 mM h(-1). Among beta-glucosidases, that from S. solfataricus beta had the
highest thermostability, k (cat), k (cat)/K (m), conversion yield, and
productivity in the hydrolysis of daidzin.
PMID- 21898126
TI - Miglitol administered before breakfast increased plasma active glucagon-like
peptide-1 (GLP-1) levels after lunch in patients with type 2 diabetes treated
with sitagliptin.
AB - We recently reported that the administration of miglitol alone just before
breakfast improved postprandial hyperglycemia and increased active glucagon-like
peptide-1 (GLP-1) levels after lunch in men without diabetes. Miglitol and
dipeptidyl peptidase-4 inhibitors, such as sitagliptin, enhance plasma active GLP
1 concentrations via different mechanisms; therefore, combined therapy with these
agents was more effective than monotherapy. In this study, we compared the
effectiveness of the administration of miglitol alone just before breakfast on
the plasma glucose, serum insulin and glucagon, and plasma incretin levels in
sitagliptin-treated patients with type 2 diabetes. We measured the plasma
glucose, serum insulin and glucagon, plasma active GLP-1, and total glucose
dependent insulinotropic polypeptide levels before breakfast, at 120 min after
breakfast, before lunch, and 60 and 120 min after lunch in patients with diabetes
who are receiving sitagliptin. This trial was performed for the following 2 days
on each subject (Day 1: no miglitol, Day 2: miglitol alone [50 mg] administered
just before breakfast). The area under the curve (AUC) of the plasma glucose
levels after lunch in the miglitol-treated group tended to be lower than that in
the miglitol-untreated group, but the difference was not statistically
significant. Miglitol alone administered at breakfast increased the AUC of the
active plasma GLP-1 levels after lunch in sitagliptin-treated patients with
diabetes. Our results suggest that the once-daily administration of miglitol as a
"GLP-1 enhancer" in combination with sitagliptin was effective for the treatment
for patients with diabetes.
PMID- 21898125
TI - Frontotemporal dementia: from Mendelian genetics towards genome wide association
studies.
AB - Frontotemporal lobar degeneration is the most common cause of dementia of non
Alzheimer's type worldwide. It manifests, clinically, with behavioural changes
and language impairment and is pathologically associated with tau- or ubiquitin
positive inclusions detected in neurons and glial cells of the frontal and
temporal lobes in the brain. Genetic variations in the microtubule-associated
protein tau and progranulin genes explain almost 50% of familial cases, whilst
variations in TAR DNA-binding protein, charged multivescicular body protein 2B,
valosin-containing protein and fused in sarcoma genes contribute to <5% of cases.
The rapidly developing investigative techniques available to geneticists such as
genome-wide association studies, whole-exome sequencing and, soon, whole-genome
sequencing promise to contribute to the unravelling of the genetic architecture
of this complex disease and, in the future, to the development of more sensitive,
accurate and effective diagnostic and treatment measures.
PMID- 21898129
TI - Regioselectivity-reversal in acylation of 6-azauridine catalyzed by Burkholderia
cepacia lipase.
AB - 3'-O-stearoylation of 6-azauridine was achieved enzymatically for the first time.
Among eight commercially available lipases, that from Burkholderia cepacia
displayed a 3'-regioselectivity of 80% towards the acylation of 3-hydroxyl of 6
azauridine. Using an immobilized lipase from Burkholderia cepacia, the 3'
regioselectivities of the acylations could be reversed by lengthening the
aliphatic chain of the acyl donors (C2-C18). The possible reason might be the
presence of the interaction between the base moiety and the acyl group.
PMID- 21898128
TI - Isolation, characterization and docking studies of 2,3-dihydroxybiphenyl 1,2
dioxygenase from an activated sludge metagenome.
AB - A 2,3-dihydroxybiphenyl-1,2-dioxygenase gene (designated as bphC_meta) was
identified in activated sludge metagenome by PCR. This gene shared 99% sequence
identity with BphC from Burkholderia xenovorans LB400. The enzyme was purified
from recombinant Escherichia coli with a subunit molecular mass of 32 +/- 1 kDa.
It was optimally active at pH 9.0 and 40 degrees C, using 2,3-dihydroxybiphenyl
as a substrate. Activity toward substituted catechols was: 2,3-dihydroxybiphenyl
> 3-methylcatechol > catechol > 4-chlorocatechol (4-methylcatechol). The
prediction made by molecular docking was consistent with the kinetic experimental
data, and further explained the substrate preference of BphC_meta. The present
study could pave the way for the improved understanding and application of BphCs
derived from metagenomes.
PMID- 21898130
TI - Cell recycling during repeated very high gravity bio-ethanol fermentations using
the industrial Saccharomyces cerevisiae strain PE-2.
AB - A very high gravity (VHG) repeated-batch fermentation system using an industrial
strain of Saccharomyces cerevisiae PE-2 (isolated from sugarcane-to-ethanol
distillery in Brazil) and mimicking industrially relevant conditions (high
inoculation rates and low O(2) availability) was successfully operated during
fifteen consecutive fermentation cycles, attaining ethanol at 17.1 +/- 0.2% (v/v)
with a batch productivity of 3.5 +/- 0.04 g l(-1) h(-1). Moreover, this
innovative operational strategy (biomass refreshing step) prevented critical
decreases on yeast viability levels and promoted high accumulation of
intracellular glycerol and trehalose, which can provide an adaptive advantage to
yeast cells under harsh industrial environments. This study contributes to the
improvement of VHG fermentation processes by exploring an innovative operational
strategy that allows attaining very high ethanol titres without a critical
decrease of the viability level thus minimizing the production costs due to
energy savings during the distillation process.
PMID- 21898131
TI - Cholesterol assimilation and biotransformation by Lactobacillus helveticus.
AB - Lactobacillus helveticus, grown at 37 degrees C in MRS medium supplemented with 3
mM cholesterol, assimilated all the cholesterol in 42 h having 68 U mg(-1) of
intracellular cholesterol oxidase activity. The strain transformed 1 g
cholesterol to 0.05 g of androsta-1, 4-diene-3, 17-dione and 0.04 g of androst-4
ene-3, 17 dione within 48 h at 37 degrees C with extracellular cholesterol
oxidase activity at 12 U mg(-1) and intracellular oxidase at 0.5 U mg(-1).
PMID- 21898132
TI - H2 production from CO, formate or starch using the hyperthermophilic archaeon,
Thermococcus onnurineus.
AB - The hyperthermophilic archaeon, Thermococcus onnurineus, was grown in media
supplemented with either CO, formate, or starch. H(2) was produced with each
substrate with respective maximum rates of 1.55, 3.83 and 2.66 mmol H(2)/l h. The
yields (mol H(2)/mol substrate) were 0.98, 1 and 3.13, respectively. This microbe
is the first example where a single microorganism can grow and produce H(2) using
CO, formate or starch as substrate.
PMID- 21898133
TI - Solalyratins A and B, new anti-inflammatory metabolites from Solanum lyratum.
AB - A new coumestan (solalyratin A, 1) and a novel cyclic eight-membered alpha,beta
unsaturated ketone (solalyratin B, 3), together with three known compounds,
puerariafuran (2), coumestrol (4) and 9-hydroxy-2',2'-dimethylpyrano[5',6':2,3]
coumestan (5), were isolated from the whole plant of Solanum lyratum. Their
structures were elucidated on the basis of spectroscopic analyses. In vitro,
compounds 1-5 showed anti-inflammatory activities, with IC(50) values in the
range 6.3-9.1 MUM.
PMID- 21898134
TI - Accuracy of the Hospital Anxiety and Depression Scale as a screening tool in
cancer patients: a systematic review and meta-analysis.
AB - PURPOSE: The Hospital Anxiety and Depression Scale (HADS) is the most extensively
validated scale for screening emotional distress in cancer patients. However,
thresholds for clinical decision making vary widely across studies. A meta
analysis was conducted with the aim of identifying optimal, empirically derived
cut-offs. METHODS: PubMed, Embase, and PsycINFO databases were searched for
studies that compared the HADS total and its subscale scores against a semi
structured or structured clinical interview as a reference standard with regard
to its screening efficacy for any mental disorders and depressive disorders
alone. Separate pooled analyses were conducted for single or two adjacent
thresholds. A total of 28 studies (inter-rater agreement, kappa = 0.86) were
included. RESULTS: The best thresholds for screening for mental disorders were 10
or 11 on the HADS total (sensitivity 0.80; specificity 0.74), 5 on the HADS
depression subscale (sensitivity 0.84; specificity 0.50), and 7 or 8 on the HADS
anxiety subscale (sensitivity 0.73; specificity 0.65). Respective thresholds for
depression screening were 15 for the HADS total (sensitivity 0.87; specificity
0.88), 7 for the HADS depression subscale (sensitivity 0.86; specificity 0.81),
and 10 or 11 for the HADS anxiety subscale (sensitivity 0.63; specificity 0.83).
CONCLUSIONS: The HADS anxiety subscale performed worse than the total and the
depression subscales for both indicators. Diagnostic accuracy varied widely by
threshold but was consistently superior for depression screening than for
screening of any mental disorder.
PMID- 21898135
TI - Correction of susceptibility-induced GRE phase shift for accurate PRFS
thermometry proximal to cryoablation iceball.
AB - INTRODUCTION: The susceptibility contrast between frozen and unfrozen tissue
disturbs the local magnetic field in the proximity of the ice-ball during
cryotherapy. This effect should be corrected for in real time to allow PRFS-based
monitoring of near-zero temperatures during intervention. MATERIAL AND METHODS:
Susceptibility artifacts were corrected post-processing, using a rapid numerical
algorithm. The difference in bulk magnetic susceptibility between frozen and non
frozen tissue was approximated to be uniform over the ice-ball volume and was
determined from the isothermal principle applied to the phase-transition frontier
of compartments. Subsequently, the magnetic perturbation field was calculated
rapidly in 3D using a Fourier-convolution. Experimental studies were performed
for two scenarios: tissue defrosting in a water bath and induction of an ice-ball
by a MR-compatible cryogenic probe. RESULTS: The susceptibility artifacts yielded
PRFS temperature errors as high as 10-12 degrees C proximal to the ice-ball,
positive or negative depending on the relative orientation of the position vector
from the B(o) direction. These effects were fully corrected for to within the
noise range. The susceptibility-corrected PRFS temperature values were consistent
with the phase-transition isothermal condition, irrespective of the local
orientation of the position vector. CONCLUSION: By implementing on-line the post
processing algorithm, PRFS MRT may be used as a safety tool for non-invasive and
accurate monitoring of near-zero temperatures during MR-guided clinical
cryotherapy.
PMID- 21898136
TI - Anti-NMDA receptor antibodies in a case of MELAS syndrome.
PMID- 21898137
TI - Levetiracetam versus lorazepam in status epilepticus: a randomized, open labeled
pilot study.
AB - For the management of status epilepticus (SE), lorazepam (LOR) is recommended as
the first and phenytoin or fosphenytoin as the second choice. Both these drugs
have significant toxicity. Intravenous levetiracetam (LEV) has become available,
but its efficacy and safety has not been reported in comparison to LOR. We report
a randomized, open labeled pilot study comparing the efficacy and safety of LEV
and LOR in SE. Consecutive patients with convulsive or subtle convulsive SE were
randomized to LEV 20 mg/kg IV over 15 min or LOR 0.1 mg/kg over 2-4 min. Failure
to control SE within 10 min of administration of one study drug was treated by
the other study drug. The primary endpoint was clinical seizure cessation and
secondary endpoints were 24 h freedom from seizure, hospital mortality, and
adverse events. Our results are based on 79 patients. Both LEV and LOR were
equally effective. In the first instance, the SE was controlled by LEV in 76.3%
(29/38) and by LOR in 75.6% (31/41) of patients. In those resistant to the above
regimen, LEV controlled SE in 70.0% (7/10) and LOR in 88.9% (8/9) patients. The
24-h freedom from seizure was also comparable: by LEV in 79.3% (23/29) and LOR in
67.7% (21/31). LOR was associated with significantly higher need of artificial
ventilation and insignificantly higher frequency of hypotension. For the
treatment of SE, LEV is an alternative to LOR and may be preferred in patients
with respiratory compromise and hypotension.
PMID- 21898138
TI - Patterns of dietary and herbal supplement use by multiple sclerosis patients.
AB - To assess the patterns of dietary (DS) and herbal supplement (HS) use in MS
patients, compare use between MS patients and healthy controls and to identify
potential interactions with drugs used to treat MS. This study included 279 MS
subjects and 161 controls from a study of risk factors in MS. All patients
received a neurological examination. All subjects provided responses to a
standardized questionnaire administered during an in-person interview. A larger
proportion of MS patients (82.1%) compared to controls (60.1%) used one or more
DS regularly for at least 3 months (p < 0.001). There was a trend toward a higher
proportion of MS patients (26.6%) versus controls (17.8%) who used HSs for more
than 1 month (p = 0.038). The most common DS used after MS onset was a
multivitamin (78.1%), followed by vitamin D (65.8%). Use of the majority of
specific DSs increased significantly after MS onset compared to before. The
proportion of controls and MS patients after MS onset who reported using an
individual HS was generally similar. The most commonly used HS in patients after
MS was evening primrose oil (40.4%) followed by cranberry fruit extract (35.2%).
There was no evidence for associations with progressive disease course or with
choice of disease-modifying treatment. Dietary supplements are used more
frequently by MS patients than controls. Use tends to increase after MS onset
compared to before, especially for DS. The use of HS by MS patients is only
modestly greater than by controls.
PMID- 21898139
TI - Cerebrospinal fluid findings in adults with acute Lyme neuroborreliosis.
AB - Presence of BB-specific antibodies in the cerebrospinal fluid (CSF) with evidence
of their intrathecal production in conjunction with the white cell count in the
CSF and typical clinical symptoms is the traditional diagnostic gold standard of
Lyme neuroborreliosis (LNB). Few data are available on the CSF lactate
concentration in European adults with the diagnosis of acute LNB. The objective
of the study was to investigate the CSF changes during acute LNB. Routine CSF
parameters [leukocyte count, protein, lactate and albumin concentrations,
CSF/serum quotients of albumin (Q(Alb)), IgG, IgA and IgM, and oligoclonal IgG
bands] and the Borrelia burgdorferi (BB)-specific antibody index were
retrospectively studied in relation to the clinical presentation in patients
diagnosed with acute LNB. A total of 118 patients with LNB were categorized into
the following groups according to their symptoms at presentation; group 1:
polyradiculoneuritis (Bannwarth's syndrome), group 2: isolated facial palsy and
group 3: predominantly meningitic course of the disease. In addition to the CSF
of patients with acute LNB, CSF of 19 patients with viral meningitis (VM) and 3
with neurolues (NL) were analyzed. There were 97 patients classified with
definite LNB, and 21 as probable LNB. Neck stiffness and fever were reported by
15.3% of patients. Most of these patients were younger than 50 years.
Polyradiculoneuritis was frequently found in patients older than 50 years.
Lymphopleocytosis was found in all patients. Only 5 patients had a CSF lactate
>=3.5 mmol/l, and the mean CSF lactate level was not elevated (2.1 +/- 0.6
mmol/l). The patients with definite LNB had significantly higher lactate levels
than patients with probable LNB. Elevated lactate levels were accompanied by
fever and headache. In the Reiber nomograms, intrathecal immunoglobulin synthesis
was found for IgM in 70.2% followed by IgG in 19.5%. Isoelectric focussing
detected an intrathecal IgG synthesis in 83 patients (70.3%). Elevated BB AIs in
the CSF were found in 97 patients (82.2%). Patients with VM showed lower CSF
protein concentration and CSF/serum quotients of albumin than LNB patients. In
acute LNB, all patients had elevated cerebrospinal fluid (CSF) leukocyte counts.
In contrast to infections by other bacteria, CSF lactate was lower than 3.5
mmol/l in all but 5 patients. The CSF findings did not differ between
polyradiculoneuritis, facial palsy, and meningitis. The CSF in LNB patients
strongly differed from CSF in VM patients with respect to protein concentration
and the CSF/serum albumin quotient.
PMID- 21898140
TI - Evaluation of false positive rate based on exposure-response analyses for two
compounds in fixed-dose combination products.
AB - We explored the type I error rate (false positive rate) associated with exposure
response (ER) analyses for two compounds in a fixed-dose combination product
through simulations. In the simulations, at least one compound was assumed to be
inactive, whereas the active compound followed E(max) model at different
concentration ranges. The simulated data were independently evaluated by pre
specified univariate or multivariate linear, log-linear models, and mixed linear
log-linear models. The type I error rate was evaluated by comparing the total
number of falsely identified significant slope estimates with the total number of
models with successful convergence. We demonstrated that ER analyses results
based on data from fixed-dose combination products at various dose levels should
be interpreted with caution. A univariate analysis, even though is appropriate to
guide dose selection, is inadequate to identify the active compound. Multivariate
analyses can be applied to determine the active compound only when the underlying
ER relationship for each compound (especially for the active compound) has been
adequately defined or approximated. The false positive rate in determining a
significant ER relationship is elevated, when the underlying ER relationship
(especially for the active compound) is erroneously or inadequately defined.
Without the assurance of the correct structural models, the identified
significant ER relationship does not necessarily indicate that the compound
associated with the significant slope estimate is pharmacologically active.
PMID- 21898141
TI - IL-9 contributes to immunosuppression mediated by regulatory T cells and mast
cells in B-cell non-hodgkin's lymphoma.
AB - It has been known that regulatory T (Treg) cells and mast cells (MCs) are
involved in tumor immunity regulation, but the exact roles and mechanisms of Treg
cells and MCs in B-cell non-Hodgkin's lymphoma (NHL) are incompletely defined. In
the present study, we found that the number of Foxp3(+) Treg cells and CD117(+)
MCs increased in B-cell NHL patients. Concomitantly, a high level of interleukin
(IL)-9 was observed in the sera from B-cell NHL patients. Neutralizing IL-9
significantly inhibited tumor growth in the lymphoma model of murine, and this
process was associated with down-regulation of Treg cells and MCs. Furthermore,
IL-9 was also demonstrated to induce expression of MC-related genes and
proliferation of MCs from the bone marrow stem cells. Collectively, our results
indicate that Treg cell and MCs are involved in immunosuppression in B-cell NHL,
and IL-9 is a key mediator of Treg cells and MCs in that process. These findings
provide novel insight for the pathogenesis and possible therapeutic strategy of B
cell NHL.
PMID- 21898144
TI - Strength and hypertrophy with resistance training: chasing a hormonal ghost.
PMID- 21898142
TI - Association of IRF5 polymorphisms with susceptibility to hemophagocytic
lymphohistiocytosis in children.
AB - INTRODUCTION: Hemophagocytic lymphohistiocytosis (HLH) is a hyperinflammatory
syndrome and has a varied genetic background. The polymorphism of interferon
regulatory factor 5 gene (IRF5) was reported to be associated with susceptibility
to macrophage activation syndrome. IRF5 acts as a master transcription factor in
the activation of pro-inflammatory cytokines. We assessed associations of IRF5
gene polymorphisms with susceptibility to secondary HLH. METHODS: Three IRF5
single nucleotide polymorphisms (rs729302, rs2004640, and rs2280714) were
genotyped using TaqMan assays in 82 secondary HLH patients and 188 control
subjects. RESULTS: There was a significant association of the GT/TT genotype at
rs2004640 with secondary HLH susceptibility (p < 0.01). The IRF5 haplotype
(rs729302 A, rs2004640 T, and rs2280714 T) was associated with secondary HLH
susceptibility (p < 0.01). CONCLUSIONS: These findings indicate that IRF5 is a
genetic factor influencing the susceptibility to secondary HLH and that the IRF5
associated immune response contributes to the pathogenesis of HLH.
PMID- 21898145
TI - Skeletal muscle reoxygenation after high-intensity exercise in mitochondrial
myopathy.
AB - This study addressed whether O(2) delivery during recovery from high-intensity,
supra-gas exchange threshold exercise would be matched to O(2) utilization at the
microvascular level in patients with mitochondrial myopathy (MM). Off-exercise
kinetics of (1) pulmonary O(2) uptake VO(2P) (2) an index of fractional O(2)
extraction by near-infrared spectroscopy (Delta[deoxy-Hb + Mb]) in the vastus
lateralis and (3) cardiac output (Q'(T)) by impedance cardiography were assessed
in 12 patients with biopsy-proven MM (chronic progressive external
ophthalmoplegia) and 12 age- and gender-matched controls. Kinetics of VO(2P) were
significantly slower in patients than controls (tau = 53.8 +/- 16.5 vs. 38.8 +/-
7.6 s, respectively; p < 0.05). Q'(T), however, declined at similar rates (tau =
64.7 +/- 18.8 vs. 73.0 +/- 21.6 s; p > 0.05) being typically slower than
[Formula: see text] in both groups. Importantly, Delta[deoxy-Hb + Mb] dynamics
(MRT) were equal to, or faster than, tauVO(2P) in patients and controls,
respectively. In fact, there were no between-group differences in
tauVO(2P)MRTDelta[deoxy-Hb + Mb] (1.1 +/- 0.4 vs. 1.0 +/- 0.2, p > 0.05) thereby
indicating similar rates of microvascular O(2) delivery. These data indicate that
the slower rate of recovery of muscle metabolism after high-intensity exercise is
not related to impaired microvascular O(2) delivery in patients with MM. This
phenomenon, therefore, seems to reflect the intra-myocyte abnormalities that
characterize this patient population.
PMID- 21898147
TI - Constructing productive engagement: pre-engagement tools for emerging
technologies.
AB - Engagement with stakeholders and civil society is increasingly important for new
scientific and technological developments. Preparation of such engagements sets
the stage for engagement activities and thus contributes to their outcomes.
Preparation is a demanding task, particularly if the facilitating agent aims for
timely engagement related to emerging technologies. Requirements for such
preparation include understanding of the emerging science & technology and its
dynamics. Multi-level analysis and socio-technical scenarios are two
complementary tools for constructing productive engagement. Examination of the
emergence of nanotechnologies in the food packaging sector demonstrates how these
tools work. In light of recent policy demands for responsible innovation, but
also more generally, the role of organizers of engagement activities is one that
deserves reflection insofar as it can extend beyond that of preparation and
facilitation.
PMID- 21898146
TI - Unravelling secretion in Cryptococcus neoformans: more than one way to skin a
cat.
AB - Secretion pathways in fungi are essential for the maintenance of cell wall
architecture and for the export of a number of virulence factors. In the fungal
pathogen, Cryptococcus neoformans, much evidence supports the existence of more
than one route taken by secreted molecules to reach the cell periphery and
extracellular space, and a significant degree of crosstalk between conventional
and non-conventional secretion routes. The need for such complexity may be due to
differences in the nature of the exported cargo, the spatial and temporal
requirements for constitutive and non-constitutive protein secretion, and/or as a
means of compensating for the extra burden on the secretion machinery imposed by
the elaboration of the polysaccharide capsule. This review focuses on the role of
specific components of the C. neoformans secretion machinery in protein and/or
polysaccharide export, including Sec4, Sec6, Sec14, Golgi reassembly and stacking
protein and extracellular exosome-like vesicles. We also address what is known
about traffic of the lipid, glucosylceramide, a target of therapeutic antibodies
and an important regulator of C. neoformans pathogenicity, and the role of
signalling pathways in the regulation of secretion.
PMID- 21898148
TI - Associations between hurtful weight-related comments by family and significant
other and the development of disordered eating behaviors in young adults.
AB - Research has found that weight-teasing is associated with disordered eating in
adolescents. This study expands on the existing research by examining
associations between hurtful weight comments by family and a significant other
and disordered eating in young adults. Data come from 1,902 young adults (mean
age 25) who completed surveys in 1998, 2003 and 2009. Correlations were examined
between receiving hurtful comments from family and significant others, and four
disordered eating behaviors in young adulthood, adjusting for prior disordered
eating and prior teasing. Disordered eating behaviors were common in young
adulthood, and were associated with hearing hurtful weight-related comments from
family members and a significant other, for both females and males. Disordered
eating prevention activities, which include messages about the potential harm
associated with hurtful weight-related comments, should be expanded to address
young adults, and programs may want to target relationship partners.
PMID- 21898149
TI - Cellulase production from agricultural residues by recombinant fusant strain of a
fungal endophyte of the marine sponge Latrunculia corticata for production of
ethanol.
AB - Several fungal endophytes of the Egyptian marine sponge Latrunculia corticata
were isolated, including strains Trichoderma sp. Merv6, Penicillium sp. Merv2 and
Aspergillus sp. Merv70. These fungi exhibited high cellulase activity using
different lignocellulosic substrates in solid state fermentations (SSF). By
applying mutagenesis and intergeneric protoplast fusion, we have obtained a
recombinant strain (Tahrir-25) that overproduced cellulases (exo-beta-1,4
glucanase, endo-beta-1,4-glucanase and beta-1,4-glucosidase) that facilitated
complete cellulolysis of agricultural residues. The process parameters for
cellulase production by strain Tahrir-25 were optimized in SSF. The highest
cellulase recovery from fermentation slurries was achieved with 0.2% Tween 80 as
leaching agent. Enzyme production was optimized under the following conditions:
initial moisture content of 60% (v/w), inoculum size of 10(6) spores ml(-1),
average substrate particle size of 1.0 mm, mixture of sugarcane bagasse and
corncob (2:1) as the carbon source supplemented with carboxymethyl cellulose
(CMC) and corn steep solids, fermentation time of 7 days, medium pH of 5.5 at 30
degrees C. These optimized conditions yielded 450, 191, and 225 units/gram dry
substrate (U gds(-1)) of carboxylmethyl cellulase, filter-paperase (FPase), and
beta-glucosidase, respectively. Subsequent fermentation by the yeast,
Saccharomyces cerevisiae NRC2, using lignocellulose hydrolysates obtained from
the optimized cellulase process produced the highest amount of ethanol (58 g l(
1)). This study has revealed the potential of exploiting marine fungi for cost
effective production of cellulases for second generation bioethanol processes.
PMID- 21898150
TI - Fusarium proliferatum, an endophytic fungus from Dysoxylum binectariferum Hook.f,
produces rohitukine, a chromane alkaloid possessing anti-cancer activity.
AB - Rohitukine is a chromane alkaloid possessing anti-inflammatory, anti-cancer and
immuno-modulatory properties. The compound was first reported from Amoora
rohituka (Meliaceae) and later from Dysoxylum binectariferum (Meliaceae) and
Schumanniophyton problematicum (Rubiaceae). Flavopiridol, a semi-synthetic
derivative of rohitukine is a potent CDK inhibitor and is currently in Phase III
clinical trials. In this study, the isolation of an endophytic fungus, Fusarium
proliferatum (MTCC 9690) from the inner bark tissue of Dysoxylum binectariferum
Hook.f (Meliaceae) is reported. The endophytic fungus produces rohitukine when
cultured in shake flasks containing potato dextrose broth. The yield of
rohitukine was 186 MUg/100 g dry mycelial weight, substantially lower than that
produced by the host tissue. The compound from the fungus was authenticated by
comparing the LC-HRMS and LC-HRMS/MS spectra with those of the reference standard
and that produced by the host plant. Methanolic extract of the fungus was
cytotoxic against HCT-116 and MCF-7 human cancer cell lines (IC(50) = 10 MUg/ml
for both cancer cell lines).
PMID- 21898153
TI - MRI contrast enhancement of malignant liver tumours following successful
cryoablation.
AB - OBJECTIVES: To assess the incidence and degree of MRI contrast enhancement in
liver tumours following successful percutaneous cryoablation. METHODS: Thirty
eight patients with liver metastases (n = 29) or hepatocellular carcinoma (n = 9)
underwent percutaneous cryoablation of 45 tumours between March 2004 and June
2009, with complete ablation zone coverage of the tumour and no local recurrence
on follow-up imaging to date (range 3-60 months, mean 16). Contrast-enhanced MRI
was used to assess 45 tumours at 24 h, 32 tumours at 2-4 months, and 21 tumours
at 5-7 months. Percentage of tumours with contrast enhancement was assessed using
dynamic spoiled gradient echo T1-weighted images. RESULTS: Twenty-four hours post
cryoablation, 23 out of 45 tumours (51%) enhanced compared with 42 out of 43
(98%) pre-ablation (p < 0.001). Mean percentage tumour enhancement decreased from
157% (range 26-745%) pre-ablation, to 107% (27-260%) at 24 h (p = 0.003), and 43%
(24-103%) at 2-4 months (p < 0.001). The incidence and degree of tumour
enhancement decreased through 5-7 months. CONCLUSIONS: Unlike previously reported
studies of radiofrequency ablation, successful cryoablation of liver tumours is
often associated with persistent tumour contrast enhancement on MRI performed at
24 h and decreasing over 2-7 months. KEY POINTS: * Liver neoplasms often
demonstrate MRI contrast enhancement following successful percutaneous
cryoablation. * This differs from radiofrequency ablation techniques where
contrast enhancement suggests residual tumour * This difference could potentially
lead to important errors in follow up strategies.
PMID- 21898151
TI - Non-cancer endpoints in BRCA1/2 carriers after risk-reducing salpingo
oophorectomy.
AB - Risk-reducing salpingo-oophorectomy (RRSO) significantly reduces the risk of
ovarian cancer and breast cancer in pre-menopausal women with BRCA1 and BRCA2
(B1/2) mutations. Despite its clear benefits, little is known about non-cancer
endpoints in this population. Medical records were examined in 226 B1/2 mutation
carriers, who had previously undergone RRSO with a focus on bone health as well
as the frequency of hypertension, hyperlipidemia, coronary artery disease (CAD),
myocardial infarction (MI), diabetes, hypothyroidism and depression. From the
medical records, DEXA scans, medications and medical conditions were recorded. Of
the 226 patient records examined, 16% (36/226) had hypertension, 17% (39/226)
hyperlipidemia, 2% (5/226) CAD or MI, 2% (4/226) diabetes, 13% (29/226)
hypothyroidism and 14% (31/226) depression. DEXA results were available in 152
women. Of those DEXA scans, 71% (108/152) were abnormal (57% osteopenia and 14%
osteoporosis). Among women who underwent RRSO prior to age 50, 71% (62/88) had
osteopenia/osteoporosis. Although there was no difference in
osteopenia/osteoporosis in women with RRSO prior to age 50 compared to those RRSO
> 50, the age at follow up in these two groups differs greatly (mean age 44.7 vs.
60.6), suggesting that both current age and age at RRSO contribute to bone health
assessment. In summary, here, we report the prevalence of non-cancer endpoints in
a cohort of B1/2 mutation carriers and note a particularly high rate of
osteopenia and osteoporosis in B1/2 with breast cancer undergoing RRSO prior to
50. Despite the risk reduction RRSO offers, attention should be paid to non
cancer endpoints, particularly bone health, in this population.
PMID- 21898154
TI - An IUPAC-based approach to estimate the detection limit in co-extraction-based
optical sensors for anions with sigmoidal response calibration curves.
AB - An approach based on IUPAC methodology to estimate the limit of detection of bulk
optode-based analytical methods for anions has been developed. The traditional
IUPAC methodology for calculating the detection limit was modified to be adapted
to particular cases where the calibration curves have a sigmoidal profile.
Starting from the different full theoretical models for every co-extraction
mechanism of the analyte in the membrane in bulk optodes, several particular
simplified models at low analyte concentration were obtained and validated. The
slope of the calibration curve at low analyte concentration was calculated from
the first derivative of the simplified equation and, subsequently, the detection
limit was estimated. This fitted-for-purpose estimation strategy was applied to
anion quantification for in-house bulk optode-based analytical methods, and the
estimated limits of detection were compared with those obtained by applying
classical geometrical methodology. This way of establishing the detection limit
yields values that maintain their true statistical and probabilistic aspects. It
can be easily applied to any analytical system which yields non-linear
calibration curves at low analyte concentration.
PMID- 21898152
TI - Regulation of immune cell function and differentiation by the NKG2D receptor.
AB - NKG2D is one of the most intensively studied immune receptors of the past decade.
Its unique binding and signaling properties, expression pattern, and functions
have been attracting much interest within the field due to its potent antiviral
and anti-tumor properties. As an activating receptor, NKG2D is expressed on cells
of the innate and adaptive immune system. It recognizes stress-induced MHC class
I-like ligands and acts as a molecular sensor for cells jeopardized by viral
infections or DNA damage. Although the activating functions of NKG2D have been
well documented, recent analysis of NKG2D-deficient mice suggests that this
receptor may have a regulatory role during NK cell development. In this review,
we will revisit known aspects of NKG2D functions and present new insights in the
proposed influence of this molecule on hematopoietic differentiation.
PMID- 21898155
TI - AFM imaging of ALYGNSA polymer-protein surfaces: evidence of antibody
orientation.
AB - Previous investigations found the combination of recombinant bacterial protein G
(rProG) and poly(methyl methacrylate) (PMMA) to produce a greater proportion of
oriented antibodies. PMMA-rProG yielded a sixfold greater availability of
antibody Fab regions compared with other bacterial affinity linker protein and
polymer pairings, including commercially available polystyrene (PS) high-binding
96-well microplates. Given the name ALYGNSA, the PMMA-rProG combination was
developed into a fluorescence assay and evaluated in conjunction with
commercially available cancer biomarker enzyme-linked immunosorbent assays
(ELISAs). In each study, a lower limit of detection was seen with the ALYGNSA
assay. The purpose of this investigation was to examine the ALYGNSA substrate in
contrast with a commonly used ELISA substrate and analyze the affinity
immobilized antibodies for additional evidence of orientation. Non-contact atomic
force microscopy is a logical method as it operates in ambient conditions, can be
used directly on biological samples without modification, and offers the
resolution necessary to identify the position of the antibody on the surface.
Dynamic contact angle studies were employed to examine untreated PMMA and PS
samples and revealed important differences in their surface characters.
Comparative height threshold grain analysis of the prepared ALYGNSA surface, a
similarly treated mica surface, and a gold colloid sizing standard evaluated and
confirmed the antibody orientation of the ALYGNSA system.
PMID- 21898157
TI - High-throughput mass finger printing and Lewis blood group assignment of human
milk oligosaccharides.
AB - The structural diversity of human milk oligosaccharides (HMOs) strongly depends
on the Lewis (Le) blood group status of the donor which allows a classification
of these glycans into three different groups. Starting from 50 MUL of human milk,
a new high-throughput, standardized, and widely automated mass spectrometric
approach has been established which can be used for correlation of HMO structures
with the respective Lewis blood groups on the basis of mass profiles of the
entire mixture of glycans together with selected fragment ion spectra. For this
purpose, the relative abundance of diagnostically relevant compositional species,
such as Hex(2)Fuc(2) and Hex(3)HexNAc(1)Fuc(2), as well as the relative
intensities of characteristic fragment ions obtained thereof are of key
importance. For each Lewis blood group, i.e., Le(a-b+), Le(a+b-), and Le(a-b-),
specific mass profile and fragment ion patterns could be thus verified. The
described statistically proven classification of the derived glycan patterns may
be a valuable tool for analysis and comparison of large sets of milk samples in
metabolic studies. Furthermore, the outlined protocol may be used for rapid
screening in clinical studies and quality control of milk samples donated to milk
banks.
PMID- 21898156
TI - Solution-phase detection of dual microRNA biomarkers in serum.
AB - A strategy for the simultaneous detection of multiple microRNA (miRNA) targets
was developed utilizing fluorophore/quencher-labeled oligonucleotide probe sets.
Two miRNA targets (miR-155 and miR-103), whose misregulation has afforded them
status as putative biomarkers in certain types of cancer, were detected using our
assay design. In the absence of target, the complementary fluorophore-probe and
quencher-probe hybridize, resulting in a fluorescence resonance energy transfer
based quenching of the fluorescence signal. In the presence of unlabeled target,
however, the antisense quencher-probe can hybridize with the target, resulting in
increased fluorescence intensity as the quencher-probe is sequestered beyond the
Forster radius of the fluorescent-probe. The assay design was tested in multiple
matrices of buffer, cellular extract, and serum; and detection limits were found
to be matrix-dependent, ranging from 0.34 to 8.89 pmol (3.4-59.3 nM) for miR-155
and 2.90-11.8 pmol (19.3-79.0 nM) for miR-103. Single, double, and triple
nucleotide selectivity was also tested. Additionally, miR-155 concentrations were
assessed in serum samples obtained directly from breast cancer patients without
the need for RNA extraction. This assay is quantitative, possesses a low
detection limit, can be applied in multiple complex matrices, and can obtain
single-nucleotide selectivity. This method can be employed for the multiplex
detection of solution-phase DNA or RNA targets and, more specifically, for the
direct detection of serum miRNA biomarkers.
PMID- 21898158
TI - GazeAlyze: a MATLAB toolbox for the analysis of eye movement data.
AB - This article presents GazeAlyze, a software package, written as a MATLAB
(MathWorks Inc., Natick, MA) toolbox developed for the analysis of eye movement
data. GazeAlyze was developed for the batch processing of multiple data files and
was designed as a framework with extendable modules. GazeAlyze encompasses the
main functions of the entire processing queue of eye movement data to static
visual stimuli. This includes detecting and filtering artifacts, detecting
events, generating regions of interest, generating spread sheets for further
statistical analysis, and providing methods for the visualization of results,
such as path plots and fixation heat maps. All functions can be controlled
through graphical user interfaces. GazeAlyze includes functions for correcting
eye movement data for the displacement of the head relative to the camera after
calibration in fixed head mounts. The preprocessing and event detection methods
in GazeAlyze are based on the software ILAB 3.6.8 Gitelman (Behav Res Methods
Instrum Comput 34(4), 605-612, 2002). GazeAlyze is distributed free of charge
under the terms of the GNU public license and allows code modifications to be
made so that the program's performance can be adjusted according to a user's
scientific requirements.
PMID- 21898159
TI - Introducing LexTALE: a quick and valid Lexical Test for Advanced Learners of
English.
AB - The increasing number of experimental studies on second language (L2) processing,
frequently with English as the L2, calls for a practical and valid measure of
English vocabulary knowledge and proficiency. In a large-scale study with Dutch
and Korean speakers of L2 English, we tested whether LexTALE, a 5-min vocabulary
test, is a valid predictor of English vocabulary knowledge and, possibly, even of
general English proficiency. Furthermore, the validity of LexTALE was compared
with that of self-ratings of proficiency, a measure frequently used by L2
researchers. The results showed the following in both speaker groups: (1) LexTALE
was a good predictor of English vocabulary knowledge; 2) it also correlated
substantially with a measure of general English proficiency; and 3) LexTALE was
generally superior to self-ratings in its predictions. LexTALE, but not self
ratings, also correlated highly with previous experimental data on two word
recognition paradigms. The test can be carried out on or downloaded from
www.lextale.com .
PMID- 21898160
TI - Optimization of Toxoplasma gondii DNA extraction from amniotic fluid using
NucliSENS easyMAG and comparison with QIAamp DNA minikit.
AB - Antenatal diagnosis of congenital toxoplasmosis relies on PCR in amniotic fluid.
Because parasitic load is often low, DNA extraction must be optimized. Manual
methods remain widespread although automated methods appear more effective. This
study aimed at optimizing an automated method and at comparing it with a
widespread manual method: QIAamp DNA minikit. To optimize NucliSens easyMAG, we
evaluated the addition of proteinase K pre-treatment and the increase of the
amount of silica particles used for the extraction. The optimized method was then
compared to QIAamp DNA minikit on samples containing less than 25 tachyzoites/ml.
NucliSens easyMAG DNA yield was improved after proteinase K pre-treatment (p <
0.01), but not with a higher silica particle input. The optimized method yielded
more positive PCRs than the manual method, especially for samples containing 5
tachyzoites/ml or less (71% vs 26%, p < 10(-4)). The DNA amount in samples found
positive by PCR was higher after optimized automated extraction than after manual
extraction (p < 10(-4)). Proteinase K pre-treatment should be added to extract
DNA from amniotic fluid using NucliSens easyMAG. Using this optimized automated
method rather than manual methods would improve the sensitivity of Toxoplasma PCR
and simplify the daily workflow.
PMID- 21898161
TI - Bone substitute biomedical material of multi-(amino acid) copolymer: in vitro
degradation and biocompatibility.
AB - Degradable polymers with good mechanical strength as bone repair biomaterials
have been paid more attention in biomedical application. In this study, a multi
(amino acid) copolymer consisting of 6-aminocaproic acid and five natural amino
acids was prepared by a reaction of acid-catalyzed condensation. The results
revealed that the copolymer could be slowly degradable in Tris-HCl solution, and
lost its initial weight of 31.9 wt% after immersion for 12 weeks, and the changes
of pH value of Tris-HCl solution were in range from 6.9 to 7.4 during soaking.
The compressive strength of the copolymer decreased from 107 to 68 MPa after
immersion for 12 weeks. The proliferation and differentiation of MG-63 cells on
the copolymer significantly increased with time, and the cells with normal
phenotype extended and spread well on the copolymer surfaces. When the copolymer
was implanted in muscle and bone defects of femoral cortex of dogs for 12 weeks,
the histological evaluation confirmed that the copolymer exhibited excellent
biocompatibility and more effective osteogenesis in vivo. When implanted into
cortical bone defects of dogs, the copolymer could be combined directly with the
natural bone without fibrous capsule tissue between implants and host bone. The
results indicated that the multi-(amino acid) copolymer with sufficient strength,
good biocompatibility and osteoconductivity had clinical potential for load
bearing bone repair or substitution.
PMID- 21898162
TI - Mixed learning algorithms and features ensemble in hepatotoxicity prediction.
AB - Drug-induced liver injury, although infrequent, is an important safety concern
that can lead to fatality in patients and failure in drug developments. In this
study, we have used an ensemble of mixed learning algorithms and mixed features
for the development of a model to predict hepatic effects. This robust method is
based on the premise that no single learning algorithm is optimum for all
modelling problems. An ensemble model of 617 base classifiers was built from a
diverse set of 1,087 compounds. The ensemble model was validated internally with
five-fold cross-validation and 25 rounds of y-randomization. In the external
validation of 120 compounds, the ensemble model had achieved an accuracy of
75.0%, sensitivity of 81.9% and specificity of 64.6%. The model was also able to
identify 22 of 23 withdrawn drugs or drugs with black box warning against
hepatotoxicity. Dronedarone which is associated with severe liver injuries,
announced in a recent FDA drug safety communication, was predicted as hepatotoxic
by the ensemble model. It was found that the ensemble model was capable of
classifying positive compounds (with hepatic effects) well, but less so on
negatives compounds when they were structurally similar. The ensemble model built
in this study is made available for public use.
PMID- 21898163
TI - Conformational analysis of the MUBetaRho83-99 (Phe91) and MUBetaRho83-99 (Tyr91)
peptide analogues and study of their interactions with the HLA-DR2 and human TCR
receptors by using molecular dynamics.
AB - The two new synthetic analogues of the MBP(83-99) epitope substituted at Lys(91)
(primary TCR contact) with Phe [MBP(83-99) (Phe(91))] or Tyr [MBP(83-99)
(Tyr(91))], have been structurally elucidated using 1D and 2D high resolution NMR
studies. The conformational analysis of the two altered peptide ligands (APLs)
has been performed and showed that they adopt a linear and extended conformation
which is in agreement with the structural requirements of the peptides that
interact with the HLA-DR2 and TCR receptors. In addition, Molecular Dynamics (MD)
simulations of the two analogues in complex with HLA-DR2 (DRA, DRB1*1501) and TCR
were performed. Similarities and differences of the binding motif of the two
analogues were observed which provide a possible explanation of their biological
activity. Their differences in the binding mode in comparison with the MBP(83-99)
epitope may also explain their antagonistic versus agonistic activity. The
obtained results clearly indicate that substitutions in crucial amino acids (TCR
contacts) in combination with the specific conformational characteristics of the
MBP(83-99) immunodominant epitope lead to an alteration of their biological
activity. These results make the rational drug design intriguing since the
biological activity is very sensitive to the substitution and conformation of the
mutated MBP epitopes.
PMID- 21898164
TI - Blunt cerebrovascular injuries in acute trauma care: a screening protocol.
AB - INTRODUCTION: Blunt cerebrovascular injuries (BCVI) of the extra- or
intracerebral vessels are frequently observed lesions which may lead to
thrombembolic events with focal neurological deficits, stroke or death
particularly in patients <60 years. However, a comprehensive standardised
clinical algorithm for screening and management of these secondary injuries is
still lacking. MATERIALS AND METHODS: We developed a standardised screening
protocol applicable for mild as well as severely injured patients. In this
prospective cohort study, we evaluated the feasibility of this diagnostic
algorithm in a level 1 trauma centre setting. Trauma patients who met the
inclusion criteria underwent a computed tomographic angiography (CTA) as part of
standard diagnostic procedure at admission. All suspicions or positive findings
were reevaluated by a conventional four-vessel catheter angiography within the
first 72 h after trauma. Within this period, anticoagulation with low-dose
heparin was started. BCVI confirmation indicated a shift to systemic
heparinisation with overlapping phenprocoumon therapy for at least 6 months. All
patients were reevaluated after 6 months by another four-vessel angiography.
Depending on the diagnostic findings, oral anticoagulation may be discontinued or
continued for another 6 months. RESULTS: A total of 44 patients (8 male, 6
female, age range 19-95 years) were included in the study. 20 BCVIs were detected
in 16 patients (36.3%). The most common injuries identified were Biffl Type II
(40%) and Type IV lesions (30%). 86.4% of the patients received a CTA upon
admission, 93.2% of which were conducted within 12 h posttrauma. None of the
patients had a secondary thrombembolic neurological event during the hospital
stay or within 3 months postdischarge. CONCLUSION: Our results indicate that
implementation of the screening protocol can prevent strokes in patients without
primary thrombembolic neurological deficits.
PMID- 21898165
TI - Change in Cobb angle of each segment of the major curve after posterior vertebral
column resection (PVCR): a preliminary discussion of correction mechanisms of
PVCR.
AB - INTRODUCTION: Posterior vertebral column resection (PVCR) is an effective
technique for treating severe rigid spinal deformities, and no other osteotomy is
capable for such an excellent corrective effects. The purpose of this study was
to discuss the correction mechanisms of PVCR. MATERIALS AND METHODS: Forty-six
patients with severe rigid spinal deformities undergoing PVCR were
retrospectively analyzed. According to a routine posteroanterior supine entire
spine radiograph performed before and after surgery, the major curve at coronal
plane was divided into three segments factitiously: upper segment (from the
superior endplate of the upper vertebra of the major curve to the inferior
endplate of the upper vertebra adjacent to the resected vertebra), middle segment
(from the inferior endplate of the upper vertebra adjacent to the resected
vertebra to the superior endplate of the lower vertebra of the resected
vertebra), and lower segment (from the superior endplate of the lower vertebra of
the resected vertebra to the inferior endplate of the lower end vertebra of the
major curve). Cobb method was used to measure the curvature of the major curve
and each segment. We analyzed the changes of the Cobb angle in the major curve
and each segment. We also analyzed the correlation between the placement of
pedicle screws and deformity correction. RESULTS: The Cobb angle of the major
curve decreased from 110.1 +/- 18.1 degrees to 51.0 +/- 17.3 degrees (p < 0.05)
after surgery (decreased by 59.1 +/- 16.4 degrees ), the mean correction rate was
54.1 +/- 12.2% (p < 0.05). The Cobb angle of the middle segment decreased by 28.1
+/- 14.7 degrees (p < 0.05), the contribution rate was 49.1 +/- 27.3%. The upper
and lower segments decreased by 15.7 +/- 13.1 degrees and 15.3 +/- 12.4 degrees
, respectively (p < 0.05). There were no significant differences in the
contribution rate between upper and lower segments (25.2 +/- 16.6% vs. 26.3 +/-
22.6%) (p > 0.05). 22 patients were instrumented with at least one pedicle screw
in the adjacent upper and lower vertebras of the resected vertebra and gained a
better corrective effect in comparison with the others (p < 0.05). The data also
indicated that deformity correction was closely related to the numbers of the
pedicle screws (r = 0.82, p < 0.05). CONCLUSION: In conclusion, the middle
segment offered the highest contribution rate to the deformity correction of the
major curve, but at the same time the spinal cord was angulated in this segment.
So, it is dangerous to gain too much deformity correction in the middle segment.
Because spine would shorten and the tension in spinal cord would decrease after
vertebral column resection, a better correction effect could be gained in upper
and lower segments at a low risk of spinal cord injury. But it was actually too
hard for such rigid spinal deformity. It could gain a better corrective effect
and stability by placing more pedicle screws at major curve, especially at the
upper and lower vertebras adjacent to the resected vertebra, but sometimes it was
difficult to place enough pedicle screws in severe rigid spinal deformities.
PMID- 21898166
TI - Endovascular treatment of multiple HIV-related aneurysms using multilayer stents.
AB - Complex peripheral aneurysm anatomy with major artery branches in the immediate
vicinity and mycotic aneurysm often impede endovascular management using covered
stent grafts. The Cardiatis Multilayer Stent (Cardiatis, Isnes, Belgium) is a
recently approved innovative stent system for peripheral aneurysm management. Its
multilayer design aims at decreasing mean velocity and vorticity within the
aneurysm sac to cause thrombus formation while maintaining patency of branching
vessels due to laminar flow. We present a case of bilateral subclavian artery
aneurysms and perivisceral aortic aneurysms in an AIDS patient successfully
treated with the Cardiatis Multilayer Stent at 18 months' follow-up.
PMID- 21898167
TI - No evidence for a role of xenotropic murine leukaemia virus-related virus and BK
virus in prostate cancer of German patients.
AB - Prostate cancer is one of the most prevalent types of cancer in men.
Controversial data exist concerning the role of BKPyV and the xenotropic murine
leukaemia virus-related gammaretrovirus (XMRV) in prostate cancer development. We
therefore assessed the association between prostate cancer and viral infections.
We could detect BKPyV in only 1 out of 85 prostate cancer samples, whereas none
of the tissue samples showed evidence for XMRV positivity. Lack of detection of
BKPyV and XMRV in prostate cancer tissues suggests that these viruses do not play
a role in the pathogenesis of this type of cancer.
PMID- 21898168
TI - Face off: searching for truth and beauty in the clinical encounter. Based on the
memoir, autobiography of a face by Lucy Grealy.
AB - Based on Lucy Grealy's memoir, Autobiography of a Face, this article explores the
relationship between gender and illness in our culture, as well as the paradox of
"intimacy without intimacy" in the clinical encounter. Included is a brief review
of how authenticity, vulnerability, and mutual recognition of suffering can
foster the kind of empathic doctor-patient relationship that Lucy Grealy sorely
needed, but never received. As she says at the end of her memoir, "All those
years I'd handed my ugliness over to people, and seen only the different ways it
was reflected back to me."
PMID- 21898169
TI - Tasty but protected--first evidence of chemical defense in oribatid mites.
AB - Oribatid mites (Acari, Oribatida) represent one of the most abundant and speciose
groups of microarthropods in the decomposer food webs of soils, but little is
known of their top-down regulation by predators. Oribatids are relatively long
lived and have numerous morphological defensive adaptations, and so have been
proposed to live in 'enemy-free space'. Most also possess a pair of large
exocrine oil glands that produce species-specific mixtures of hydrocarbons,
terpenes, aromatics, and alkaloids with presumably allomonal functions, although
their adaptive value has never been tested empirically. We developed a protocol
that discharges the oil glands of the model oribatid species, Archegozetes
longisetosus. and offered 'disarmed' individuals as prey to polyphagous Stenus
beetles (Staphylinidae), using untreated mites as controls. Stenus juno fed on
disarmed mites with behavioral sequences and success rates similar to those
observed when they prey on springtails, a common prey. In contrast, mites from
the control group with full glands were almost completely rejected; contact with
the gland region elicited a strong reaction and cleaning behavior in the beetle.
This is the first evidence of an adaptive value of oribatid mite oil gland
secretions for chemical defense. The protocol of discharging oil glands should
facilitate future studies on top-down control of oribatid mites that aim to
differentiate between morphological and chemical aspects of defensive strategies.
PMID- 21898170
TI - Central giant cell lesion.
AB - A classic case of central giant cell lesion (CGCL) is presented with emphasis on
clinical, radiologic, and histologic features. The differential is discussed
including peripheral giant cell granuloma, brown tumor of hyperparathyroidism,
and giant cell tumor of bone. The molecular pathway of osteoclastogenesis is
selectively reviewed and applied to suggest possible etiologies of the giant cell
lesions. CGCL syndromes and treatment are also discussed.
PMID- 21898171
TI - Prevalence and psychosocial correlates of perinatal depression: a cohort study
from urban Pakistan.
AB - Depression around childbirth is common in low income countries. The aim of this
study was to examine the factors associated with persistence of depression from
the antenatal to the postnatal period in urban Pakistan. A total of 1,357
pregnant women in their third trimester attending the antenatal clinic were
included in the study. From these, 763 mothers who delivered at the study
maternity home were reassessed after 3 months of childbirth. Edinburgh Postnatal
Depression Scale (EPDS) was administered to measure depression in both the
antenatal and the postnatal periods. Psychological distress, disability and life
events experienced by mothers were also measured by using the Self-Reporting
Questionnaire (SRQ-20), Brief Disability Questionnaire (BDQ), and Life Events
Checklist, respectively. We found 25.8% prevalence rate of antenatal depression
and 38.3% persistent depression in a private clinic. Persistently depressed
mothers had significantly high psychological distress, more disability, and
experienced more stressful life events than the resolved group. Our findings
confirm the high rates of depression during pregnancy but we found low rates of
persistent depression in this urban population as compared to the previous
report. There is a need for further investigation of factors associated with
persistent depression in order to develop appropriate interventions.
PMID- 21898172
TI - A model for dynamics of primer extension by eukaryotic DNA primase.
AB - A mathematical model is proposed for processive primer extension by eukaryotic
DNA primase. The model uses available experimental data to predict rate constants
for the dynamic behavior of primase activity as a function of NTP concentration.
The model also predicts some data such as the binding affinities of the primase
for the DNA template and for the RNA primer.
PMID- 21898173
TI - Differential effects of the adenosine A2A agonist CGS-21680 and haloperidol on
food-reinforced fixed ratio responding in the rat.
AB - RATIONALE: Previous studies have shown that adenosine A(2A) receptors are
colocalized with dopamine D(2) receptors on striatal neurons. Activation of these
two receptors has antagonistic effects under a number of conditions suggesting
that stimulation of adenosine A(2A) receptors may have behavioral effects
resembling those produced by blockade of dopamine D(2) receptors, but this
possibility has been investigated in a limited number of situations. OBJECTIVE:
We compared the effects of the adenosine A(2A) agonist CGS-21680 and the
preferential D(2) dopamine antagonist haloperidol in a situation in which
dopamine blockade produces a distinctive pattern of behavioral effects. MATERIALS
AND METHODS: Six rats were trained to lever press for food reward on a fixed
ratio 15 schedule of reinforcement and then tested after being injected with
various doses of CGS-21680 (0.064, 0.128, and 0.25 mg/kg) and haloperidol (0.25
and 0.1 mg/kg). RESULTS: Haloperidol produced a dose-dependent suppression of
lever pressing with mean response rates declining across the duration of the test
session. CGS-21680 also produced a dose-dependent suppression of responding, but
this effect was not temporally graded, and responding was equivalently suppressed
across the duration of the session. Additionally, CGS-21680 increased post
reinforcement pause duration to a much greater extent than did haloperidol.
CONCLUSIONS: On this task, the behavioral effects of CGS-21680 do not resemble
those produced by haloperidol. Several explanations of this discrepancy are
possible, the most likely being that the observed behavioral effects of CGS-21680
result from an action at a site other than D(2) receptor-expressing striatal
neurons.
PMID- 21898174
TI - Methotrexate dose delivery is more important than ciclosporin level in graft
versus-host disease prophylaxis following T-replete reduced-intensity sibling
allogeneic stem cell transplant.
AB - We investigated the contributions of methotrexate (MTX) and ciclosporin (CsA)
prophylaxis to acute/chronic graft-versus-host disease (a/cGvHD) prevention
following reduced-intensity conditioned allogeneic haematopoietic stem cell
transplant (HSCT). Ninety-two fludarabine-melphalan sibling allo-SCT received
CsA. Nine, 30 and 47 patients received no MTX, 2-3 doses and 4 doses,
respectively. Cumulative CsA blood level to day 21 (CsA(21)) was calculated.
Grades II-IV aGvHD incidence was 37.2%. In multivariate analysis, MTX omission
and increasing donor age significantly associated with aGvHD incidence whilst MTX
reduction and CsA(21) did not. Median duration of first immunosuppressive therapy
(IST) for aGvHD was 68 days; duration of first IST was significantly longer in
older patients but was not associated with MTX or CsA(21). Extensive cGvHD
incidence was 60.6% at 1 year. Reduction of MTX to 2-3 doses, but not MTX
omission or CsA(21), was associated with greater incidence of cGvHD affecting >=3
organs. Median IST duration was 22 months; neither MTX nor CsA(21) influenced
this. IST duration was significantly greater in patients receiving a CD34 dose
below median. Neither MTX nor CsA(21) altered survival or relapse outcomes. MTX
influences GvHD following T-replete RIC sibling HSCT.
PMID- 21898175
TI - Laparoscopic excision of esophageal leiomyoma.
AB - Esophageal leiomyoma is the most common benign tumor of the esophagus. The lower
and middle thirds of the esophagus are the most frequent locations of these
tumors and in about one-third of the patients they occur at the level of the
gastroesophageal junction. They are less than 5 cm in size in 50% of the
patients. A left thoracotomy, thoracoscopy or a laparoscopic approach can be used
for lesions in the lower third of the esophagus. Esophageal leiomyomas should be
considered for resection when symptomatic. Preoperative evaluation allows precise
characterization of the diagnosis and location. Minimally invasive surgery is
considered today the treatment of choice with the laparoscopic approach used for
distal tumors. We present the case of a 63-year-old woman with an esophageal
leiomyoma located above the gastroesophageal junction who underwent a successful
laparoscopic excision of the tumor.
PMID- 21898178
TI - Evaluation of a new automated chemiluminescence immunoassay for FGF23.
AB - Fibroblast growth factor 23 (FGF23) is a hormone regulating phosphate and vitamin
D metabolism. We have previously established a sandwich enzyme-linked
immunosorbent assay (ELISA) for FGF23 and reported that FGF23 values are useful
for the differential diagnosis of chronic hypophosphatemia. However, this ELISA
has a rather narrow assay range of 3-800 pg/ml, and it was pointed out that the
assay performance is not satisfactory when automatic washing is used. Here we
evaluated a new automated chemiluminescence immunoassay for FGF23. This assay
uses 10 MUl sera or plasma samples and requires 20 min to obtain the first
result. The assay was linear up to about 15,000 pg/ml and had a detection limit
of 1 pg/ml. In addition, this assay showed coefficients of variation of less than
5% using samples with average FGF23 levels of 43.2-2,454.0 pg/ml. When FGF23
levels in 210 samples from chronic hypophosphatemic patients were evaluated by
both the previous ELISA and this new assay, there was a good correlation of R (2)
= 0.96. However, FGF23 levels by the new assay showed lower values, especially in
samples with high FGF23 levels. Given that the lowest FGF23 level in patients
with FGF23-related hypophosphatemia was 30.8 pg/ml and that the highest FGF23
levels in patients with non-FGF23-related hypophosphatemia was 20.8 pg/ml by this
novel assay, the sensitivity and specificity were 100% when the cutoff was set
between 20.8 and 30.8 pg/ml. From the aspect of convenience and the coefficients
of variation of this assay, we propose that the cutoff be 25 pg/ml. There results
indicate that this new assay is ideal for both clinical use and clinical studies,
especially when measuring many samples with high FGF23 levels.
PMID- 21898177
TI - Harmful lifestyles on orthopedic implantation surgery: a descriptive review on
alcohol and tobacco use.
AB - Alcohol abuse and smoking habits have adverse effects on bone health and are a
risk factor for osteoporosis, fractures and impaired fracture repair.
Osteointegration processes around implanted biomaterials involve a coordinated
cascade of complex events that are very similar to those occurring during
fracture repair and require a suitable microenvironment and the coordinated
action of cells and signal molecules. Therefore, diseases and harmful lifestyles
that impair the normal bone healing process can reduce the success of implant
surgery and may negatively influence the osteointegration of prostheses and
implant devices for fracture fixation such as screws, nails and plates.
Understanding the effects of harmful lifestyles on bone implant osteointegration
is important for successful implant therapy, orthopedic reconstructive surgery
and tissue-engineered-based therapies. However, the mechanisms by which smoking
and alcoholism affect bone metabolism, bone mass and the balance of bone
resorption and formation, also in the presence of an orthopedic implant, are not
completely understood and remain inadequately elucidated. This review aims to
analyze in vitro and in vivo studies regarding orthopedic implant integration in
the presence of tobacco smoking and alcohol consumption with a focus on
pathophysiology and local or systemic mechanisms of action on bone.
PMID- 21898179
TI - Relevance of selenoprotein transcripts for selenium status in humans.
AB - The most commonly used methods for assessing the selenium (Se) status in humans
involve analysis of Se concentration, selenoprotein activity, and concentration
in the blood and its compartments. Recently, it has been suggested that the
expression of selenoprotein mRNA in circulating blood leukocytes could
differently reflect Se status, due to prioritization of specific selenoprotein
synthesis in response to dietary Se supply. Whereas the Se levels required for
optimization of selenoprotein P level and plasma glutathione peroxidise activity
are well known, estimation of Se level that is required for maximal mRNA
expression of selenoprotein in humans is the subject of current investigations.
Studies on rats suggest that whole blood selenoprotein mRNA level can be used as
the relevant molecular biomarker for assessing Se status, and suboptimal Se
intake may be sufficient to achieve effective expression. Human studies, however,
did not confirm this hypothesis. According to studies on rodents and humans
discussed in this review, it appears that suboptimal Se intake may be sufficient
to satisfy molecular requirements of Se and it is lower than current recommended
dietary intake in humans. The use of selenoprotein transcripts as a molecular
biomarker of Se status requires further studies on a large group of healthy
individuals with different baseline Se, including data regarding genetic
polymorphism of selenoproteins and data regarding potential modifiers of Se
metabolism.
PMID- 21898180
TI - Risk stratification of survival by lymphovascular invasion, pathological stage,
and surgical margin in patients with bladder cancer treated with radical
cystectomy.
AB - BACKGROUND: The aim of this study was to investigate prognostic factors and
develop a prognostic factor-based risk stratification model for disease-specific
survival (DSS) in a radical cystectomy (RC) series. METHODS: The patient cohort
comprised 194 consecutive patients with bladder cancer treated with RC.
Univariate and multivariate Cox proportional hazard model analyses were performed
to identify significant prognostic factors for DSS. A risk stratification model
was developed based on the relative risks (RRs) of DSS. RESULTS: Median follow-up
period was 26.8 months. The 1-, 3-, and 5-year DSS were 88.0, 74.0, and 64.9%,
respectively. In the univariate analysis, pathological T (pT) (>= pT2),
lymphovascular invasion (LVI), non-urothelial carcinoma component, surgical
margin (SM), and lymph node metastases (pN) were significantly associated with
poor prognosis. In the multivariate analysis, pT (>= pT2), LVI, and SM were
independent factors for predicting poor prognosis. Based on these results,
patients were stratified into three risk groups: low (RR = 1.00-3.626),
intermediate (5.860-9.826), and high (21.24). The 1-, 3-, and 5-year survival
rates were 96.9, 85.1, and 85.1% in the low-risk group, 83.0, 63.4, and 43.8% in
the intermediate group, and 51.0, 19.4, and 19.4% in the high-risk group,
respectively. The differences among these groups were significant. CONCLUSIONS:
In our RC series, pT (>= pT2), LVI, and SM were independent prognostic factors.
This information may be useful to identify patients with poor prognosis, who
might be good candidates for innovative treatment.
PMID- 21898181
TI - Prognostic significance of breast cancer subtype and p53 overexpression in
patients with locally advanced or high-risk breast cancer treated using upfront
modified radical mastectomy with or without post-mastectomy radiation therapy.
AB - BACKGROUND: Although post-mastectomy radiation therapy (PMRT) has shown benefits,
its effects in patient subpopulations remain uncertain. Therefore, we assessed
whether breast cancer subtype and p53 overexpression were associated with outcome
after modified radical mastectomy (MRM), with or without PMRT. METHODS: We
retrospectively analyzed the records of patients who underwent MRM, with or
without PMRT, between January 1991 and December 2008. Patients were considered
eligible if they had T3 or T4 stage disease; any T stage with N2 or N3 stage; any
T or N stage with positive, close (<1 mm) resection margins; or skin, nipple, or
pectoral muscle invasion. We used immunohistochemistry and/or fluorescent in situ
hybridization to determine breast cancer subtypes and p53 overexpression status.
RESULTS: We found that 104 patients were eligible, including 59 (56.7%) who
underwent PMRT and 45 (43.3%) who did not. Median follow-up duration was 61.3
months (range 16.1-232.7). Overall survival (OS) was significantly longer in
patients who underwent PMRT (P = 0.029). This trend was evident in the subgroup
of luminal type A breast cancer (P = 0.017) and non-p53 overexpression (P =
0.026) patients. However, there was no significant survival benefit from PMRT in
the subgroup of triple negative (TN) breast cancer (P = 0.528) and p53
overexpression (P = 0.189) patients. CONCLUSIONS: The benefit of PMRT differed
among subgroups with different breast cancer subtype and p53 overexpression. More
efficacious systemic treatment strategies are needed, especially in patients at
high risk for distant metastasis, to obtain optimal therapeutic gain.
PMID- 21898182
TI - Leptospirosis as the most frequent infectious disease impairing productivity in
small ruminants in Rio de Janeiro, Brazil.
AB - Despite the importance of small ruminants breeding in developing countries,
milk/meat productivity remains unsatisfactory. Infectious diseases, such as
leptospirosis, brucellosis, and small ruminant lentiviruses (SRLVs), contribute
to this scenario. The objective of the present study was to determine the role of
each of these diseases in the productivity of small ruminants breeding in Rio de
Janeiro, Brazil. In goats, 343 samples were tested for leptospirosis, 560 for
Brucella abortus, and 506 for caprine arthritis-encephalitis (CAE), whereas in
sheep, 308 samples were tested for leptospirosis, 319 for B. abortus, 374 for
Brucella ovis, and 278 for Maedi-Visna (MV). Regarding leptospirosis, 25.9% of
goats and 47.4% sheep were seroreactive, with serovar Hardjo the most prevalent
in both species. Anti-B. abortus agglutinins were found in 0.7% of all samples,
exclusively in goats. In relation to SRLVs, 8.6% of goats and 3.2% of sheep
samples were positive for CAE and MV, respectively. Leptospirosis was the major
infectious problem in the small ruminants sampled and may contribute to impaired
productivity of these animals.
PMID- 21898183
TI - First report of Neospora caninum infection in cattle in Sudan.
AB - A cross-sectional survey was conducted in Sudan to determine sero-prevalence and
risk factors associated with Neospora caninum infection in non-vaccinated dairy
herds and to assess importance of the disease. Blood samples were collected from
a total of 262 animals from 25 herds. Sera were tested for antibodies against N.
caninum using ELISA test. The prevalence rates of N. caninum antibodies in cattle
were high both at herd level (44%) and at individual animal level (10.7%). Herd
level infection rates were similar in Khartoum State (43.7%) and at Gazira States
(44.4%). The overall prevalence rates were higher (16.1%) in Gazira State than in
Khartoum State (9%) but with no significant variation. The sero-prevalence at
individual animal level was significantly higher (p < 0.05) in animals with
history of abortion (12.8%) than in apparently healthy animal (11.3%), animal
with history of infertility (8.1%), or neonatal death of calves (4.3%). In
addition, significantly higher (P < 0.05) sero-prevalence was observed in samples
collected during the rainy season (6.87%) than winter (3.05%) or summer (0.76%).
However, no significant differences in sero-prevalence due to locality, animal
breed, sex, and age were observed (p > 0.05). This preliminary study reveals for
the first time the existence of natural N. caninum infection in Sudan. Also, the
findings of the present study indicated that this disease is highly prevalent in
two major areas of dairy production in the country, and this calls for control
strategy to be implemented.
PMID- 21898184
TI - Cluvenone induces apoptosis via a direct target in mitochondria: a possible
mechanism to circumvent chemo-resistance?
AB - The synthetic caged Garcinia xanthone, cluvenone, has potent and selective
cytotoxicity against numerous cancer cell lines including those that are multi
drug resistant. The direct target of this structurally and functionally unique
agent is unknown and that of the parent natural product, gambogic acid (GA),
presently in clinical trials, is not yet entirely clear. For the first time,
using fluorescently labeled GA (GA-Bodipy), we determined that GA-Bodipy
localized in mitochondria and was effectively displaced by cluvenone in
competition experiments indicating that the direct target of cluvenone resided in
mitochondria and was shared by GA. In agreement with these findings, treatment of
HeLa cells with cluvenone or GA resulted in disruption of mitochondrial
morphology within 4 h. Furthermore, experiments using the potential sensitive JC
1 dye demonstrated that cells treated with 1 MUM cluvenone for 1 h had
significant loss of MMP compared to control cells. Examination of Cyt c levels in
leukemia cells treated with 1 MUM cluvenone resulted in a 4-fold increase in
levels of both cytosolic and mitochondrial Cyt c. In agreement with Cyt c
release, caspase 9 activity was increased 2.6-fold after treatment of cells for 5
h with 1 MUM cluvenone. Remarkably, the caspase-9 inhibitor, Z-LEHD-FMK, blocked
cluvenone-induced apoptosis in a dose-dependent manner with apoptosis being
completely blocked by 10 MUM of the inhibitor. In conclusion, cluvenone, an agent
with potent cytotoxicity against multi-drug resistant tumor cells, has direct
targets in mitochondria thus setting precedence for drug discovery efforts
against these targets in the treatment of refractory cancers.
PMID- 21898185
TI - [Surgery in the sitting position : anesthesiological considerations].
AB - Surgical interventions in the sitting position are intended to optimize surgical
conditions by reducing bleeding in the operation field and improving the surgical
approach. There are, however, some potentially life-threatening risks associated
with surgery in the sitting position. Of these risks, air embolism is one of the
most serious complications and should be detected immediately in order to
initiate specific countermeasures. In addition to standard monitoring procedures,
transthoracic Doppler ultrasound and transesophageal echocardiography are
valuable methods used to detect the presence of air in the vasculature. If an air
embolism becomes apparent, further targeted measures are needed to prevent or
aggressively treat the progression of potentially life-threatening consequences.
PMID- 21898186
TI - Improved aortic enhancement in CT angiography using slope-based triggering with
table speed optimization: a pilot study.
AB - To assess whether a scan triggering technique based on the slope of the time
attenuation curve combined with table speed optimization may improve arterial
enhancement in aortic CT angiography compared to conventional threshold-based
triggering techniques. Measurements of arterial enhancement were performed in a
physiologic flow phantom over a range of simulated cardiac outputs (2.2-8.1
L/min) using contrast media boluses of 80 and 150 mL injected at 4 mL/s. These
measurements were used to construct computer models of aortic attenuation in CT
angiography, using cardiac output, aortic diameter, and CT table speed as input
parameters. In-plane enhancement was calculated for normal and aneurysmal aortic
diameters. Calculated arterial enhancement was poor (<150 HU) along most of the
scan length using the threshold-based triggering technique for low cardiac
outputs and the aneurysmal aorta model. Implementation of the slope-based
triggering technique with table speed optimization improved enhancement in all
scenarios and yielded good- (>200 HU; 13/16 scenarios) to excellent-quality (>300
HU; 3/16 scenarios) enhancement in all cases. Slope-based triggering with table
speed optimization may improve the technical quality of aortic CT angiography
over conventional threshold-based techniques, and may reduce technical failures
related to low cardiac output and slow flow through an aneurysmal aorta.
PMID- 21898187
TI - Molecular characterization and complete genome analysis of human enterovirus 71
and coxsackievirus A16 from children with hand, foot and mouth disease in
Thailand during 2008-2011.
AB - Hand, foot and mouth disease (HFMD) has mostly been caused by enterovirus 71
(EV71) and coxsackievirus A16 (CA16). CA 16 was the most common cause of HFMD in
2010. EV71 had a high prevalence in 2008-2009 and has been identified with a
higher frequency since 2011. Nearly complete genome sequences of three EV71
strains (2008-2009 strains) and two CA16 strains (2010 strains) obtained from
outbreaks in Thailand in 2008 to 2010 were characterized. Based on a phylogenetic
tree of the complete VP1 region, three EV71 strains grouped into the B5, C1 and
C4 genotypes, and two CA16 strains grouped into the C genotype. Based on sequence
analysis, nucleotide changes were found to cluster in the internal ribosome entry
site (IRES) element of the 5'-untranslated region (5'-UTR). Amino acid
differences identified in all strains were located in the non-structural protein.
These data also provide the molecular epidemiology of EV71 and CA16 outbreaks in
Thailand.
PMID- 21898188
TI - Surgical clipping as the preferred treatment for aneurysms of the middle cerebral
artery.
AB - OBJECTIVE: In recent years the endovascular treatment of intracranial aneurysms
(coiling) has progressively gained recognition, particularly after the
publication of the International Subarachnoid Aneurysm Trial (ISAT) in 2002.
Despite the fact that in ISAT middle cerebral artery (MCA) aneurysms were clearly
underrepresented, the study is often used as an argument to favor coiling above
surgery in MCA aneurysms. Taken into account that MCA aneurysms are very well
accessible for surgery, a contemporary assessment of the benefits of a preferred
surgical strategy for MCA aneurysms was performed in a tertiary neurovascular
referral center. METHODS: A prospectively kept single-center database of 151
consecutive patients with an MCA aneurysm was reviewed over a 6-year period (2001
2006). Long-term follow-up after surgical treatment of a ruptured MCA aneurysm
was obtained in 74 out of 77 (96%) patients. The outcome was compared with
relevant series in the literature. RESULTS: After a mean follow-up of 4.7 years,
59 out of 74 surgically treated patients (80%) with a ruptured MCA aneurysm had a
good outcome (mRankin 0-2). All patients with an unruptured MCA aneurysm also had
a good outcome after clipping. This is well-matched with the findings of the
literature search, and competitive with the endovascular results. CONCLUSION:
Surgical clipping is recommended as the principal treatment strategy for MCA
aneurysms. This is not only ethically defendable in view of the surgical results
but also in line with a strategy to maintain surgical experience within
centralized neurovascular centers.
PMID- 21898189
TI - KIR genotypic diversity can track ancestries in heterogeneous populations: a
potential confounder for disease association studies.
AB - Killer cell immunoglobulin-like receptors (KIR) are encoded by highly polymorphic
genes that regulate the activation of natural killer (NK) cells and other
lymphocyte subsets and likely play key roles in innate and adaptive immunity.
Association studies increasingly implicate KIR in disease predisposition and
outcome but could be confounded by unknown KIR genetic structure in heterogeneous
populations. To examine this, we characterized the diversity of 16 KIR genes in
712 Northern Californians (NC) stratified by self-assigned ethnicities and
compared the profiles of KIR polymorphism with other US and global populations
using a reference database. Sixty-eight distinct KIR genotypes were
characterized: 58 in 457 Caucasians (NCC), 17 in 47 African Americans (NCAA), 21
in 80 Asians (NCA), 20 in 74 Hispanics (NCH), and 18 in 54 "other" ethnicities
(NCO). KIR genotype patterns and frequencies in the 4 defined ethnicities were
compared with each other and with 34 global populations by phylogenetic analysis.
Although there were no population-specific genotypes, the KIR genotype frequency
patterns faithfully traced the ancestry of NCC, NCAA, and NCA but not of NCH
whose ancestries are known to be more heterogeneous. KIR genotype frequencies can
therefore track ethnic ancestries in modern urban populations. Our data emphasize
the importance of selecting ethnically matched controls in KIR-based studies to
avert spurious associations.
PMID- 21898190
TI - [Continuous local wound infusion with local anesthetics : For thoracotomy and
major abdominal interventions].
AB - Wound infusion with local anesthetics is a nearly 100 years old proven and secure
analgesic method. Recently special wound infusion catheters have become available
which can be placed intraoperatively into the wound under direct supervision of
the surgeon to infuse local anesthetics and optimize postoperative analgesia. For
thoracotomy this method was modified to improve its efficacy and the catheters
are used to establish a continuous paravertebral intercostal nerve block (PVB).
Many studies have confirmed the analgesic power of PVB which results in a pain
reduction comparable to thoracic epidural analgesia (TEA) but without TEA
specific side-effects, in particular hypotension. The efficacy of continuous
local wound infusion (CLWI) is less obvious for laparotomy. If fundamental
preconditions for this loco-regional method are considered (indications, choice
of catheter, local anesthetic dose) the laparotomy wound could also be suitable
for the use of CLWI. According to the literature currently available CLWI is not
associated with an increased risk of wound infections.
PMID- 21898191
TI - Non-verbal number acuity correlates with symbolic mathematics achievement: but
only in children.
AB - The process by which adults develop competence in symbolic mathematics tasks is
poorly understood. Nonhuman animals, human infants, and human adults all form
nonverbal representations of the approximate numerosity of arrays of dots and are
capable of using these representations to perform basic mathematical operations.
Several researchers have speculated that individual differences in the acuity of
such nonverbal number representations provide the basis for individual
differences in symbolic mathematical competence. Specifically, prior research has
found that 14-year-old children's ability to rapidly compare the numerosities of
two sets of colored dots is correlated with their mathematics achievements at
ages 5-11. In the present study, we demonstrated that although when measured
concurrently the same relationship holds in children, it does not hold in adults.
We conclude that the association between nonverbal number acuity and mathematics
achievement changes with age and that nonverbal number representations do not
hold the key to explaining the wide variety of mathematical performance levels in
adults.
PMID- 21898192
TI - Worldwide distribution of type II diabetes-associated TCF7L2 SNPs: evidence for
stratification in Europe.
AB - Type II diabetes is a multifactorial disease with a complex etiology. Numerous
genes have been implicated in disease pathogenesis. In particular, SNPs at the
TCF7L2 locus have consistently shown strong associations with type II diabetes.
This study characterizes the global distribution of type II diabetes-associated
TCF7L2 SNPs utilizing HapMap, HGDP-CEPH, and Alfred databases and the literature.
High frequencies of rs7903146(T), rs12255372(T), and rs7901695(C) SNPs are
observed in Africa, Europe, and the Middle East, but they are reduced and almost
absent in Southeast Asian and Native American populations. In contrast,
rs11196218(A) has the highest frequency in Eurasia but is reduced in sub-Saharan
African and Native American populations. Regional variations in rs7903146(T)
follow a gradient of decreasing frequency from southern into northeastern Europe.
These findings demonstrate extensive global and regional variations in the
frequencies of TCF7L2 SNPs, which may contribute to differences in the incidence
of type II diabetes worldwide.
PMID- 21898194
TI - What's new on the dental scene? Browsing through the dental literature.
PMID- 21898196
TI - Effects of fixed appliances in correcting Angle Class II on the depth of the
posterior airway space: FMA vs. Herbst appliance--a retrospective cephalometric
study.
AB - OBJECTIVE: The objective of this retrospective study based on the metric
evaluation of lateral cephalograms was to investigate the extent to which
treatment with two different fixed appliances for the correction of Angle Class
II influenced the morphology of the extrathoracic airway space (the posterior
airway space, PAS). PATIENTS AND METHODS: A total of 43 patients with Angle Class
II malocclusion were classified into two groups according to the appliance used
for treatment: the functional mandibular advancer (FMA; n = 18) or the Herbst
appliance (n = 25). Lateral cephalograms were taken of each patient at the start
of functional jaw orthopedic treatment (time point T1) and at its completion
(time point T2). Specific distances and angles were measured and analyzed in a
cephalometric analysis. RESULTS: We observed major differences among the 43
patients in the depth of the posterior airway space during treatment with fixed
appliances for Angle Class II correction. Regression analysis revealed that
changes in sagittal and vertical positions had different effects on the depth of
specific PAS sections: increases in anterior facial height are associated
proportionately with increases in PAS width, particularly in the upper region. On
the other hand, increases in posterior facial height and in the mandible's
forward displacement correlated inversely to the decreases in depth, particularly
in the central and lower PAS regions. The two treatment appliances (FMA, Herbst
appliance) had the same effects on extrathoracic airway depth. CONCLUSIONS:
Analyses of lateral cephalograms indicate that Angle Class II treatment with
fixed appliances does not prevent sleep apnea in patients at risk. Nevertheless,
this study does not permit absolutely reliable conclusions about the dimensions
of the pharyngeal airway space. As the lateral cephalogram provides good images
of structures in the midsagittal plane but is incapable of imaging the transverse
dimension, there is an automatic lack of information concerning the precise width
and volume of the extrathoracic airway space.
PMID- 21898195
TI - Accuracy of anatomical landmark identification using different CBCT- and MSCT
based 3D images: an in vitro study.
AB - OBJECTIVE: The aim of this study was to evaluate the reproducibility of
anatomical landmarks and the accuracy of different cone-beam CTs (CBCTs/DVTs) and
a multislice spiral CT (MSCT) scanner. METHODS: A human, fresh-frozen cadaver
head was scanned with four CBCTs (Accuitomo 3D, 3D eXam, Pax Reve 3D, Pax Zenith
3D) and one MSCT (SOMATOM Sensation 64) scanner. The three-dimensional (3D)
reconstruction of the volume data sets and location of the anthropometric
landmarks (n=11), together with linear (n=5) and angular (n=1) measurements were
carried out by three examiners using the program VoXim(r) 6.1. The measurements
were taken twice at a 14-day interval. Descriptive analyses were made and the
standard deviations were used to compare differences in the accuracy of landmark
identification. RESULTS: The descriptive statistics showed distinct differences
in the reference points in the three axes of the coordinate system. Because of
anatomical and morphological factors, the pogonion and gnathion reference points
displayed higher standard deviations when set on the transverse plane (SD(CBCT)
Pog: 0.66-1.57 mm; SD(MSCT) Pog: 0.14-1.09 mm; SD(CBCT) Gn: 1.05-1.77 mm;
SD(MSCT) Gn: 0.20-0.85 mm), thus showing less accuracy. However, standard
deviations on the sagittal and vertical planes were smaller. Genion, anterior
nasal spine and infradentale had very low standard deviations on all three
planes. The distance (Mfl-Mfr) and angle (Krl-Krr-Ge) revealed significantly
smaller standard deviations in the MSCT (SD(CBCT) Krl-Krr-Ge: 0.51-0.75 mm;
SD(MSCT) Krl-Krr-Ge: 0.22 mm). CONCLUSION: The CBCT devices evaluated in this
study are suitable for taking exact 3D measurements of anatomical structures and
meet all requirements for 3D cephalometric analysis.
PMID- 21898197
TI - Severe external apical root resorption--local cause or genetic predisposition?
AB - BACKGROUND: Current data suggest that a large extent of the variation in
orthodontic root resorption may be explained by differences in individual genetic
predisposition. This would imply, however, a similar root resorption reaction in
all teeth. OBJECTIVE: To determine the incidence and extent of severe external
apical root resorptions (SEARR) in maxillary incisors during multibracket (MB)
appliance treatment. PATIENTS AND METHODS: Of the whole sample of patients having
completed MB treatment at the University of Giessen between 1991 and 2010
(P(Total)=3198), all subjects exhibiting severe root resorptions on at least one
maxillary incisor were selected. SEARR were defined according to Malmgren et al.
[39] (grade-IV RR=resorption >1/3 root length). Evaluation was performed using
orthopantomograms from before and after MB treatment. The crown and root length
of the affected teeth were measured. The extent of SEARR was assessed taking
pretreatment crown length into consideration. RESULTS: SEARR was detected in 16
patients. Thus, the incidence of SEARR on maxillary incisors during MB treatment
totalled 0.5%. The median of SEARR of the affected incisors was 38.6% of the
initial root length (minimum=33.4%, maximum=61.0%). Most subjects exhibited only
single affected teeth. Only two subjects (0.06% absolute/12.5% relative)
presented four maxillary incisors with SEARR. CONCLUSIONS: The incidence of SEARR
on maxillary incisors during MB treatment (0.5%) was very low compared to the
literature. With only 12.5% of SEARR patients presenting four affected teeth,
local rather than systemic/genetic factors seem to have predisposed the present
subjects to SEARR.
PMID- 21898199
TI - Synopsis of the species of Myxidium Butschli, 1882 (Myxozoa: Myxosporea:
Bivalvulida).
AB - A synopsis of the species of Myxidium Butschli, 1882 (Myxozoa: Myxosporea:
Bivalvulida) is presented. It includes a total of 232 nominal species, whose
principal morphological and morphometric characteristics, site of infection
within the host, and original hosts and locality are indicated in a tabulated
format. A diagrammatic illustration of a spore of most of the species is also
provided.
PMID- 21898201
TI - Acanthocephaloides irregularis n. sp. (Acanthocephala: Arhythmacanthidae) from
marine fishes off the Ukrainian Black Sea coast.
AB - Acanthocephaloides irregularis n. sp. (Arhythmacanthidae) is described from four
species of marine fishes in the Gulf of Odessa and Sukhyi Lyman, Ukrainan Black
Sea waters, making it the tenth species of the genus. The hosts are the combtooth
blenny Parablennius zvonimiri (Kolombatovic) (Blenniidae), the mushroom goby
Ponticola eurycephalus (Kessler) (Gobiidae), the tubenose goby Proterorhinus
marmoratus (Pallas) (Gobiidae) and the black-striped pipefish Syngnathus abaster
Risso (Syngnathidae). The new species is most similar to its closest relative,
Acanthocephaloides propinquus (Dujardin, 1845), in proboscis shape and armature
(12 longitudinal rows of 5 hooks) and the shape of the trunk, reproductive system
and lemnisci, but differs in having randomly distributed trunk spines. These
trunk spines are organised in circular rings of individual spines separated by
aspinose zones. The new species is also unique in having an anterior trunk
collar, a very large triangular cephalic ganglion, nucleated pouches at the
posterior end of the proboscis receptacle, and hooks and spines with roots
bearing anterior manubria. Valid and invalid species of Acanthocephaloides Meyer,
1932 are listed and a key to all ten species is included.
PMID- 21898200
TI - Morphological and genetic analysis of three new species of Ceratomyxa Thelohan,
1892 (Myxozoa: Myxosporea) from carcharhinid sharks off Australia.
AB - Three new species of Ceratomyxa Thelohan, 1892 are described from the gall
bladders of two species of carcharhinid sharks collected off Heron and Lizard
Islands on the Great Barrier Reef, Australia. Ceratomyxa carcharhini n. sp. and
C. melanopteri n. sp. are described from Carcharhinus melanopterus (Quoy &
Gaimard), and Ceratomyxa negaprioni n. sp. is described from Negaprion acutidens
(Ruppell). These species are the first ceratomyxids reported from Australian
elasmobranchs, and this is the first paper to formally characterise a novel
Ceratomyxa species from an elasmobranch using both morphology and small subunit
ribosomal DNA sequence data. Maximum parsimony and Bayesian inference analyses of
the SSU rDNA dataset revealed that ceratomyxids from elasmobranchs form a sister
clade to that of species infecting marine teleosts and Palliatus indecorus
Schulman, Kovaleva & Dubina, 1979. Furthermore, the only sequenced freshwater
ceratomyxid, Ceratomyxa shasta Noble, 1950, fell outside the overall marine
ceratomyxid clade. These data show that Ceratomyxa, as currently recognised, is
polyphyletic and ignites discussion on whether Ceratomyxa should be split.
However, further taxon sampling, particularly in freshwater systems, is required
to establish relevant biological divisions within the genus.
PMID- 21898202
TI - First record of the avian ectoparasite Philornis downsi Dodge & Aitken, 1968
(Diptera: Muscidae) in Argentina.
AB - Species of Philornis Meinert, 1890 (Diptera, Muscidae) are Neotropical dipterans
that include species with parasitic larvae which feed on nestling birds. To date,
all Philornis species that have been recorded from Argentina have parasitic
subcutaneous larvae. Here, for the first time for Argentina, we report the
finding of Philornis downsi Dodge & Aitken, 1968, a fly with a nest-dwelling,
semi-haematophagous larva. This record, from the humid Chaco ecoregion of
Argentina in the nest of a saffron finch Sicalis flaveola pelzelni Sclater,
substantially extends the known distribution of this species. We also report the
consensus sequences of the internal transcribed spacer 1 (ITS1) and ITS2 regions
of three of the specimens for future reference and comparison. Further
investigation is needed to determine whether Argentina is part of the historical
range of P. downsi or, alternatively, represents a recent expansion of its range,
perhaps due to climatic changes or other factors of global environmental
variation.
PMID- 21898203
TI - Species of Taeniacanthus Sumpf, 1871 (Crustacea: Copepoda: Taeniacanthidae)
parasitic on boxfishes (Tetraodontiformes: Aracanidae and Ostraciidae) from the
Indo-West Pacific region, with descriptions of two new species.
AB - Two new copepod species of the genus Taeniacanthus Sumpf, 1871 (Cyclopoida:
Taeniacanthidae) are described from boxfishes (Aracanidae and Ostraciidae) caught
in the Indo-West Pacific region: T. larsonae n. sp. from Ostracion nasus Bloch in
the Arafura Sea and off Australia and Tetrosomus concatenatus (Bloch) off Japan;
and T. thackerae n. sp. from O. immaculatus Temminck & Schlegel off Palau, O.
rhinorhynchos Bleeker off Australia, Lactoria cornuta (Linnaeus) and Ostracion
sp. off Japan, and Kentrocapros aculeatus (Houttuyn) in the East China Sea. T.
larsonae n. sp. differs from its congeners by having several rows of spinules on
the large pectinate process of the antenna and by differences in the shape of the
sclerotised plates on the rostral area and structure of the maxilliped. T.
thackerae n. sp. can be distinguished from its congeners by differences in the
shape of the sclerotised plates on the rostral area, the structure of the
maxilliped and ornamentation pattern of legs 1-4. Supplemental information for
the female of Taeniacanthus ostracionis (Richiardi, 1870) and T. moa (Lewis,
1967), as well as the first description of the male of T. moa, are also provided
based on new material collected from ostraciid hosts caught in the Arafura Sea
and off Australia, Indonesia and Japan. The four taeniacanthid species reported
from boxfishes exhibit variable levels of host-specificity and have broad
geographical ranges within the Indo-West Pacific region.
PMID- 21898204
TI - Selective fluoroalkylation of organic compounds by tackling the "negative
fluorine effect".
AB - The presence of fluorine on a carbanion center will dramatically influence the
nucleophilic alkylation reactions. Based on our own experience, we noticed that
the fluorine substitution on the carbanionic carbon poses a negative effect in
many nucleophilic fluoroalkylation reactions [we propose this effect as "negative
fluorine effect (NFE)"]. Two factors were believed to contribute to the NFE: (1)
thermal instability of fluorinated carbanions caused by alpha-elimination (self
decomposition) and (2) the intrinsic nucleophilicity of fluorinated carbanion
influenced by the fluorine atoms (such as hard/soft nature of the fluorinated
carbanions). By tackling the NFE, our research group has attempted to design
nucleophilic fluoroalkylation reactions with fluorinated sulfones and related
reagents. These results were summarized as four methods to modulate the
fluoroalkylation reactions: (1) changing the number of fluorine atoms, (2)
slightly changing the neighboring groups, (3) changing the metal counterion,
including using carbon-metal covalent bond to tune the reactivity, and (4)
enhancing the generation of carbene species.
PMID- 21898205
TI - Site-directed spin labeling of membrane proteins.
AB - EPR spectroscopy of site-directed spin labeled membrane proteins is at present a
common and valuable biophysical tool to study structural details and
conformational transitions under conditions relevant to function. EPR is
considered a complementary approach to X-ray crystallography and NMR because it
provides detailed information on (1) side chain dynamics with an exquisite
sensitivity for flexible regions, (2) polarity and water accessibility profiles
across the membrane bilayer, and (3) distances between two spin labeled side
chains during protein functioning. Despite the drawback of requiring site
directed mutagenesis for each new piece of information to be collected, EPR can
be applied to any complex membrane protein system, independently of its size.
This chapter describes the state of the art in the application of site-directed
spin labeling (SDSL) EPR to membrane proteins, with specific focus on the
different types of information which can be obtained with continuous wave and
pulsed techniques.
PMID- 21898206
TI - Recent developments in (15)N NMR relaxation studies that probe protein backbone
dynamics.
AB - Nuclear Magnetic Resonance (NMR) relaxation is a powerful technique that provides
information about internal dynamics associated with configurational energetics in
proteins, as well as site-specific information involved in conformational
equilibria. In particular, (15)N relaxation is a useful probe to characterize
overall and internal backbone dynamics of proteins because the relaxation mainly
reflects reorientational motion of the N-H bond vector. Over the past 20 years,
experiments and protocols for analysis of (15)N R (1), R 2, and the heteronuclear
(15)N-{(1)H} NOE data have been well established. The development of these
methods has kept pace with the increase in the available static-magnetic field
strength, providing dynamic parameters optimized from data fitting at multiple
field strengths. Using these methodological advances, correlation times for
global tumbling and order parameters and correlation times for internal motions
of many proteins have been determined. More recently, transverse relaxation
dispersion experiments have extended the range of NMR relaxation studies to the
milli- to microsecond time scale, and have provided quantitative information
about functional conformational exchange in proteins. Here, we present an
overview of recent advances in (15)N relaxation experiments to characterize
protein backbone dynamics.
PMID- 21898207
TI - Molecular simulations of retention in chromatographic systems: use of biased
Monte Carlo techniques to access multiple time and length scales.
AB - The use of configurational-bias Monte Carlo simulations in the Gibbs ensemble
allows for the sampling of phenomena that occur on vastly different time and
length scales. In this review, applications of this simulation approach to probe
retention in gas and reversed-phase liquid chromatographic systems are discussed.
These simulations provide an unprecedented view of the retention processes at the
molecular-level and show excellent agreement with experimental retention data.
PMID- 21898208
TI - Solid-state NMR of inorganic semiconductors.
AB - Studies of inorganic semiconductors by solid-state NMR vary widely in terms of
the nature of the samples investigated, the techniques employed to observe the
NMR signal, and the types of information obtained. Compared with the NMR of
diamagnetic non-semiconducting substances, important differences often result
from the presence of electron or hole carriers that are the hallmark of
semiconductors, and whose theoretical interpretation can be involved. This review
aims to provide a broad perspective on the topic for the non-expert by providing:
(1) a basic introduction to semiconductor physical concepts relevant to NMR,
including common crystal structures and the various methods of making samples;
(2) discussions of the NMR spin Hamiltonian, details of some of the NMR
techniques and strategies used to make measurements and theoretically predict NMR
parameters, and examples of how each of the terms in the Hamiltonian has provided
useful information in bulk semiconductors; (3) a discussion of the additional
considerations needed to interpret the NMR of nanoscale semiconductors, with
selected examples. The area of semiconductor NMR is being revitalized by this
interest in nanoscale semiconductors, the great improvements in NMR detection
sensitivity and resolution that have occurred, and the current interest in
optical pumping and spintronics-related studies. Promising directions for future
research will be noted throughout.
PMID- 21898209
TI - Real-time PCR and multiplex approaches.
AB - Analysis of RNA expression levels by real-time reverse-transcription (RT) PCR has
become a routine technique in diagnostic and research laboratories. Monitoring of
DNA amplification can be done using fluorescent sequence-specific probes, which
generate signal only upon binding to their target. Numerous fluorescent dyes with
unique emission spectra are available and can be used to differentially label
probes for various genes. Such probes can be added to the same PCR amplification
reaction for simultaneous detection of multiple targets in a single assay. Such
multiplexing is advantageous, since it markedly increases throughput and
decreases costs and labor. Here, we describe application of multiplex real-time
RT-PCR using TaqMan probes in the analysis of relative expression levels of a
novel tumor-associated gene CUG2 in cell lines and tissue samples.
PMID- 21898210
TI - Reverse-transcriptase polymerase chain reaction to detect extracellular mRNAs.
AB - The presence of extracellular nucleic acids has been reported in serum/plasma
from cancer and diabetes patients that may help in disease diagnosis. Taking
insulin-producing cells as examples here, RT-PCR was used to investigate a
correlation between the presence and amounts of extracellular mRNA(s) and cell
mass and/or function. RT-PCR was performed on a range of mRNAs, including Pdx1,
Npy, Egr1, Pld1, Chgb, InsI, InsII, and Actb in biological triplicate
analyses.Reproducible amplification of these mRNAs from MIN6, MIN6 B1, and Vero
PPI cells and their CM suggests that beta cells transcribe and release these
mRNAs into their environment. mRNAs secreted from insulin-producing cells into
their extracellular environment may have potential as extracellular biomarkers
for assessing beta cell mass and function.
PMID- 21898211
TI - Microarray analysis of mRNAs: experimental design and data analysis fundamentals.
AB - Microarray technology has made it possible to quantify gene expression of
thousands of genes in a single experiment. With the technological advancement, it
is now possible to quantify expression of all known genes using a single
microarray chip. With this volume of data and the possibility of improper
quantification of expression beyond our control, the challenge lies in
appropriate experimental design and the data analysis.This chapter describes the
different types of experimental design for experiments involving microarray
analysis (with their specific advantages and disadvantages). It considers the
optimum number of replicates for a particular type of experiment. Additionally,
this chapter describes the fundamentals of data analysis and the data analysis
pipeline to be followed in most common types of microarray experiment.
PMID- 21898212
TI - Software and tools for microarray data analysis.
AB - A typical microarray experiment results in series of images, depending on the
experimental design and number of samples. Software analyses the images to obtain
the intensity at each spot and quantify the expression for each transcript. This
is followed by normalization, and then various data analysis techniques are
applied on the data. The whole analysis pipeline requires a large number of
software to accurately handle the massive amount of data. Fortunately, there are
large number of freely available and commercial software to churn the massive
amount of data to manageable sets of differentially expressed genes, functions,
and pathways. This chapter describes the software and tools which can be used to
analyze the gene expression data right from the image analysis to gene list,
ontology, and pathways.
PMID- 21898213
TI - Analysis of gene expression as relevant to cancer cells and circulating tumour
cells.
AB - Current literature provides significant evidence to support the concept that
there are limited subpopulations of cells within a solid tumour that have
increased tumour-initiating potential relative to the total tumour population.
Such tumour-initiating cells have been identified in leukaemia and in a variety
of solid tumours using different combinations of cell surface markers, suggesting
that a tumour-initiating cell heterogeneity exists for each specific tumour.
These studies have been extended to endometrial cancer; and herein we present
several experimental approaches, both in vitro and in vivo, that can be used to
determine whether such populations exist, and if so, to characterize them. These
methods are adaptable to the investigation of tumour-initiating cells from other
tumour types.
PMID- 21898214
TI - Gene expression profiling in formalin-fixed, paraffin-embedded tissues using the
whole-genome DASL assay.
AB - Here, we provide a detailed technical description of a gene expression assay
(Whole-Genome DASL (WG-DASL)), which not only enables whole-genome
transcriptional profiling of degraded material, such as formalin-fixed, paraffin
embedded tissues, but is also capable of generating robust profiles with low
input intact RNA. The WG-DASL assay combines target-specific annealing,
extension, and ligation events followed by universal PCR and labeling steps to
generate highly multiplexed Cy3-labeled products. These short products, which are
single-stranded, are directly hybridized to a whole-genome expression BeadChip
(HumanRef-8) containing probe content corresponding to ~24 K RefSeq transcripts.
After washing and imaging, fluorescence emissions are quantitatively recorded for
each probe using high-resolution confocal scanners and imaging software.
GenomeStudio software allows direct analysis of mRNA expression data and provides
results in standard file formats that can be readily exported and analyzed with
most standard gene expression analysis software programs. This technology is
particularly useful for genome-wide expression profiling in degraded, archived
material, including limited quantities of clinical samples, such as
microdissected and biopsied materials.
PMID- 21898215
TI - MicroRNA expression analysis: techniques suitable for studies of intercellular
and extracellular microRNAs.
AB - MicroRNAs, the class of small ribo-regulators, have been implicated in the
regulation of a range of different biological processes, including development
and differentiation, proliferation, and cell death. Only for a small fraction of
identified microRNAs has a function been elucidated; therefore, a great deal of
research remains to be performed to fully understand the role and implications of
microRNAs.This chapter discusses protocols for the isolation of microRNAs,
reverse transcription, PCR, and large scale profiling using TaqMan low density
miRNA arrays for analysis of microRNA expression levels.
PMID- 21898216
TI - Western blotting analysis as a tool to study receptor tyrosine kinases.
AB - Receptor tyrosine kinases (RTKs) are involved in critical aspects of cell
physiology ranging from cell -survival, proliferation, growth, migration, and
differentiation. A tight control of the extent and duration of signals elicited
by activated RTKs is crucial for preventing over-stimulation, which can
ultimately lead to unrestrained proliferative ability and neoplastic growth.
Ligand-induced downregulation of RTKs has emerged as a key negative regulatory
mechanism that can accomplish signaling attenuation, by removing activated
receptors from the cell surface and committing them to degradation. The ability
of RTKs to escape from ligand-induced downregulation has been reported as a
recurrent mechanism of oncogenic deregulation in cancer.Western blotting
procedures have been extensively proven as straightforward assays to evaluate
protein expression levels and have been widely applied to study RTKs
downregulation.
PMID- 21898217
TI - 2D gel electrophoresis and mass spectrometry identification and analysis of
proteins.
AB - Analysis of the protein expression patterns in clinical samples and cells by
proteomic technologies offers opportunities to discover potentially new
biomarkers for early detection and diagnosis of disease. One of the most widely
used techniques to study the proteome of a biological system is two-dimensional
polyacrylamide gel electrophoresis (2D-PAGE). In particular, a modified version
of 2D-PAGE, two-dimensional difference gel electrophoresis (2D-DIGE), which uses
differential labelling of protein samples with up to three fluorescent tags,
offers greater sensitivity and reproducibility over conventional 2D-PAGE. In this
chapter, we will introduce methods for the analysis of whole cell lysates from
human cancer cell lines using 2D-DIGE and identification of differentially
expressed proteins using liquid chromatography mass spectrometry, i.e. LC-MS/MS.
PMID- 21898218
TI - Design, construction, and analysis of cell line arrays and tissue microarrays for
gene expression analysis.
AB - Cell line array (CMA) and tissue microarray (TMA) technologies are high
throughput methods for analysing both the abundance and distribution of gene
expression in a panel of cell lines or multiple tissue specimens in an efficient
and cost-effective manner. The process is based on Kononen's method of extracting
a cylindrical core of paraffin-embedded donor tissue and inserting it into a
recipient paraffin block. Donor tissue from surgically resected paraffin-embedded
tissue blocks, frozen needle biopsies or cell line pellets can all be arrayed in
the recipient block. The representative area of interest is identified and
circled on a haematoxylin and eosin (H&E)-stained section of the donor block.
Using a predesigned map showing a precise spacing pattern, a high density array
of up to 1,000 cores of cell pellets and/or donor tissue can be embedded into the
recipient block using a tissue arrayer from Beecher Instruments. Depending on the
depth of the cell line/tissue removed from the donor block 100-300 consecutive
sections can be cut from each CMA/TMA block. Sections can be stained for in situ
detection of protein, DNA or RNA targets using immunohistochemistry (IHC),
fluorescent in situ hybridisation (FISH) or mRNA in situ hybridisation (RNA-ISH),
respectively. This chapter provides detailed methods for CMA/TMA design,
construction and analysis with in-depth notes on all technical aspects including
tips to deal with common pitfalls the user may encounter.
PMID- 21898219
TI - Immunohistochemical and immunofluorescence procedures for protein analysis.
AB - Immunohistochemistry (IHC) and immunofluorescence (IF) involve the binding of an
antibody to a cellular or tissue antigen of interest and then visualisation of
the bound product by fluorescence/with the 3,3'-diaminobenzidine (DAB) chromogen
detection system. With increasing numbers of available antibodies against
cellular epitopes, IHC and IF are very useful diagnostic tools as well as a means
to guide specific therapies that target a particular antigen on cell/tissue
samples.There are several IHC and IF staining methods that can be employed
depending on the type of specimen under study, the degree of sensitivity
required, and the cost considerations. The following is a basic "generic" method
for localising proteins and other antigens by direct, indirect, IHC and IF. The
method relies on proper fixation of tissue/cells to retain cellular distribution
of antigen and to preserve cellular morphology. Details of reagents required are
outlined. Consideration is also given to artefacts and other potential pitfalls
and thus means to avoid them.
PMID- 21898220
TI - Advanced microscopy: laser scanning confocal microscopy.
AB - Fluorescence microscopy is an important and fundamental tool for biomedical
research. Optical microscopy is almost non-invasive and allows highly spatially
resolved images of organisms, cells, macromolecular complexes, and biomolecules
to be obtained. Generally speaking, the architecture of the observed structures
is not significantly modified and the environmental conditions can be kept very
close to physiological reality. The development of fluorescence microscopy was
revolutionized with the invention of laser scanning confocal microscopy (LSCM).
With its unique three-dimensional representation and analysis capabilities, this
technology gives us a more real view of the world.This chapter introduces the
reader to the methodology of setting up basic experiments for use with a laser
scanning confocal microscope. There are practical guidelines about sample
preparation for both fixed and living specimens, as well as examples of some of
the applications of confocal microscopy.
PMID- 21898221
TI - Isolation of exosomes for subsequent mRNA, MicroRNA, and protein profiling.
AB - Exosomes are nano-sized, cell membrane surrounded structures that are released
from many cell types. These exosomes are believed to transport a range of
molecules, including mRNAs, miRNAs, and proteins; the contents depending on their
cell of origin. The physiological and pathological relevance of exosomes has yet
to be fully elucidated. Exosomes have been implicated in cell-to-cell
communication. For example, in relation to the immune system, such exosomes may
enable exchange of antigen or major histocompatibility complex-peptide complexes
between antigen-bearing cells and antigen-presenting cells; in cancer, they may
contain molecules that not only have relevance as biomarkers, but may also be
taken up and cause adverse effects on secondary cells. Furthermore, exosomes have
been proposed as autologous delivery systems that could be exploited for
personalised delivery of therapeutics. In order to explore the contents and
functional relevance of exosomes from medium conditioned by culture cells or from
other biological fluids, prior to extensive molecular profiling, they must be
isolated and purified. Here, we describe differential centrifugation methods
suitable for isolating exosomes from conditioned medium and from other biological
fluids, including serum, saliva, tumour ascites, and urine. We also detail
Western blotting and transmission electron microscopy methods suitable for basic
assessment of their presence, size, and purity, prior to progressing to global
mRNA, miRNA, or protein profiling.
PMID- 21898222
TI - Atomic force microscopy and high-content analysis: two innovative technologies
for dissecting the relationship between epithelial-mesenchymal transition-related
morphological and structural alterations and cell mechanical properties.
AB - Epithelial-mesenchymal transition (EMT) is a complex series of cellular
reprogramming events culminating in striking alterations in morphology towards an
invasive mesenchymal phenotype. Increasingly, evidence suggests that EMT exerts a
pivotal role in pathophysiological situations including fibrosis and cancer. Core
to these dynamical changes in cellular polarity and plasticity is discrete
modifications in cytoskeletal structure. In particular, newly established actin
stress fibres supplant a preceding system of highly organised cortical actin.
Although cumulative studies have contributed to elucidation of the detailed
signalling pathways that underpin this elaborate molecular process, there remains
a deficiency regarding its precise contribution to cellular biomechanics. The
advent of atomic force microscopy (AFM) and high-content analysis (HCA) provides
two innovative technologies for dissecting the relationship between EMT-related
morphological and structural alterations and cell mechanical properties. AFM
permits acquisition of high resolution topographical images and detailed analysis
of cellular viscoelasticity while HCA facilitates a comprehensive and
perspicacious assessment of morphological changes. In combination, they offer the
possibility of novel insights into the dynamic traits of transitioning cells.
Herein, a detailed protocol describing AFM and HCA techniques for evaluation of
transforming growth factor-beta1-induced EMT of alveolar epithelial cells is
provided.
PMID- 21898223
TI - Targeted deletion of Hsf1, 2, and 4 genes in mice.
AB - Heat-shock transcription factors (Hsfs) regulate transcription of heat-shock
proteins as well as other genes whose promoters contain heat-shock elements.
There are at least five Hsfs in mammalian cells, Hsf1, Hsf2, Hsf3, Hsf4, and
Hsfy. To understand the physiological roles of Hsf1, Hsf2, and Hsf4 in vivo, we
generated knockout mouse lines for these factors. In this chapter, we describe
the design of the targeting vectors, the plasmids used, and the successful
generation of mice lacking the individual genes. We also briefly describe what we
have learned about the physiological functions of these genes in vivo.
PMID- 21898224
TI - The role of heat shock factors in stress-induced transcription.
AB - Heat shock proteins (HSPs) are rapidly induced after stresses, such as heat
shock, and accumulate at high concentrations in cells. HSP induction involves a
family of heat shock transcription factors that bind the heat shock elements of
the HSP genes and mediate transcription in trans. We discuss methods for the
study of HSP binding to HSP promoters and the consequent increases in HSP gene
expression in vitro and in vivo.
PMID- 21898226
TI - The role of p23, Hop, immunophilins, and other co-chaperones in regulating Hsp90
function.
AB - Molecular chaperones are a diverse group of highly conserved proteins that
transiently interact with partially folded polypeptide chains during normal
cellular processes, such as protein translation, translocation, and disassembly
of protein complexes (1). Prior to folding or after denaturation, hydrophobic
residues that are normally sequestered within a folded protein are exposed to the
aqueous environment and are prone to aggregation or misfolding. Multiple classes
of molecular chaperones, such as Hsp70s and Hsp40s, recognize and transiently
bind polypeptides with exposed hydrophobic stretches in order to prevent
misfolding. Other types of chaperones, such as Hsp90, have more specialized
functions in that they appear to interact with only a subset of cellular
proteins. This chapter focuses on the role of Hsp90 and partner co-chaperones in
promoting the folding and activation of a diverse group of proteins with critical
roles in cellular signaling and function.
PMID- 21898225
TI - Hsp90 and client protein maturation.
AB - Heat-shock protein 90 (Hsp90) is a molecular chaperone that assists in the
maturation of a limited set of substrate proteins that are collectively referred
to as clients. The majority of identified Hsp90 clients are involved in signal
transduction, including many steroid hormone receptors and kinases. A handful of
Hsp90 clients can be classified as nonsignal transduction proteins, including
telomerase, cystic fibrosis transmembrane conductance regulator, and antigenic
peptides destined for major histocompatibility complex. Because Hsp90 clients are
causative agents in cancer and cystic fibrosis, research on Hsp90 has intensified
in recent years. We review the historical path of Hsp90 research within each
class of client (kinase, hormone receptor, and nonsignal transduction clients)
and highlight current areas of active investigation.
PMID- 21898227
TI - Detecting HSP90 phosphorylation.
AB - Heat-shock protein 90 (HSP90) is an essential molecular chaperone in eukaryotes.
It is important for chaperoning proteins that are important determinants of
multistep carcinogenesis. HSP90's ATPase activity is associated with its
chaperone function. Co-chaperones as well as posttranslational modifications
(phosphorylation, acetylation, and S-nitrosylation) are important for regulating
its ATPase activity. Yeast can be used to express and purify HSP90 and also
detect its phosphorylation by pan-phosphoserine or phosphothreonine antibodies.
PMID- 21898228
TI - Role of molecular chaperones in biogenesis of the protein kinome.
AB - Molecular chaperones promote polypeptide folding in cells by protecting newly
made and otherwise misfolded proteins against aggregation or degradation by the
ubiquitin proteasome pathway. The roles of Saccharomyces cerevisiae Cdc37 and
Ydj1 molecular chaperones are described in this chapter. We focus on biogenesis
of protein kinases that require several different molecular chaperones for their
proper folding. Specific among these is Cdc37, which binds directly to its kinase
clients either during or shortly after translation and protects them against
rapid proteasomal degradation. Ydj1 has a similar role, but is less specific for
protein kinases in its role as a molecular chaperone. The method that we describe
uses pulse chase and immunoprecipitation to analyze the fate of newly made
proteins. Two kinetically distinct pathways of degradation can be discerned using
this methodology that is dependent on the presence of an Hsp90 inhibitor or
occurs in mutants of the molecular chaperones under study. The first is "zero
point" degradation that occurs either during or immediately after translation.
The second is a slower pathway, where the half-life of kinase is approximately 20
min after translation.
PMID- 21898229
TI - Nucleotide exchange factors for Hsp70 chaperones.
AB - The ATPase cycle of Hsp70 chaperones controls their transient association with
substrate and, thus, governs their function in protein folding. Nucleotide
exchange factors (NEFs) accelerate ADP release from Hsp70 which results in
rebinding of ATP and release of the substrate. This chapter describes several
methods suitable to study NEFs of Hsp70 chaperones. On the one hand, steady-state
ATPase assays provide information on how the NEF influences progression of the
Hsp70 through the entire ATPase cycle. On the other hand, nucleotide release can
be measured directly using labeled nucleotides, which enables identification and
further characterization of NEFs.
PMID- 21898230
TI - Reconstitution of CHIP E3 ubiquitin ligase activity.
AB - CHIP, the carboxyl-terminus of Hsp70 interacting protein, is both an E3 ubiquitin
ligase and an Hsp70 co-chaperone and is implicated in the degradation of
cytosolic quality control and numerous disease substrates. CHIP has been shown to
monitor the folding status of the CFTR protein, and we have successfully
reconstituted this activity using a recombinant CFTR fragment consisting of the
cytosolic NBD1 and R domains. We have found that efficient ubiquitination of
substrates requires chaperone activity to either deliver the substrate to CHIP or
to maintain the substrate in a ubiquitination-competent conformation. This
chaperone activity can be provided by the Hsp70/Hsp40 molecular chaperone system
as seen in the NBD1-R ubiquitination assay. Alternatively, heat treatment of CHIP
can activate its own innate substrate-binding activity and allow for efficient
ubiquitination of model substrates, such as denatured luciferase. Here, we
describe methods for purifying the recombinant proteins necessary for in vitro
reconstitution of CHIP ubiquitin ligase activity, as well as two methods used to
monitor CHIP ligase activity. One method allows for the measurement of the Hsp70-
and Hsp40-dependent CHIP activity while the other measures the Hsp40- and Hsp70
independent activity of heat-activated CHIP.
PMID- 21898231
TI - Structure-functions of HspB1 (Hsp27).
AB - Human HspB1 (also denoted Hsp27) is a well-known member, together with alphaB
crystallin, of the small heat-shock (or stress) proteins (sHsps) (20-40 kDa). In
this chapter, I describe procedures for testing the oligomeric and
phosphorylation patterns of HspB1 as well as its interaction with specific
partner/client polypeptides using tissue culture cells genetically modified to
express different levels of this protein. The procedures have been developed in
my laboratory and could be used in any well-established cellular laboratory. In
addition, the different procedures presented here could be extended to test the
nine other human sHsp members as well as sHsps from other species.
PMID- 21898232
TI - Combined lentiviral and RNAi technologies for the delivery and permanent
silencing of the hsp25 gene.
AB - Elevated heat shock protein 27 (Hsp27) expression has been found in a number of
tumors, including breast, prostate, gastric, uterine, ovarian, head and neck, and
tumor arising from the nervous system and urinary system, and determined to be a
predictor of poor clinical outcome. Although the mechanism of action of Hsp27 has
been well documented, there are currently no available inhibitors of Hsp27 in
clinical trials. RNA interference (RNAi) has the potential to offer more
specificity and flexibility than traditional drugs to silence gene expression.
Not surprisingly, RNAi has become a major focus for biotechnology and
pharmaceutical companies, which are now in the early stages of developing RNAi
therapeutics, mostly based on short interfering RNA (siRNAs), to target viral
infection, cancer, hypercholesterolemia, cardiovascular disease, macular
degeneration, and neurodegenerative diseases. However, the critical issues
associated with RNAi as a therapeutic are delivery, specificity, and stability of
the RNAi reagents. To date, the delivery is currently considered the biggest
hurdle, as the introduction of siRNAs systemically into body fluids can result in
their degradation, off-target effects, and immune detection. In this chapter, we
discuss a method of combined lentiviral and RNAi-based technology for the
delivery and permanent silencing of the hsp25 gene.
PMID- 21898233
TI - Quantification of HSP27 and HSP70 molecular chaperone activities.
AB - Stress-inducible heat-shock proteins (HSPs, like HSP70 and HSP27) are molecular
chaperones that -protect cells from stress damage by keeping cellular proteins in
a folding competent state and preventing them from irreversible aggregation.
HSP27 and HSP70 chaperone activities are useful indicators to test chemical
products and physical stress impact on protein denaturation, to select HSP
inhibitors, or to -determine the implication of the chaperone function in other
HSP activities, such as apoptosis. We have developed two simple and fast
chaperone activity tests for HSP27 and HSP70 that we initially set up to test the
effect of potential HSP inhibitors obtained after screening of chemical and small
molecule libraries. These chaperone quantification tests are based on the
capacity of HSP to counteract chemical or thermal protein aggregation.
PMID- 21898234
TI - Measuring Hsp72 (HSPA1A) by indirect sandwich ELISA.
AB - The enzyme-linked immunosorbent assay (ELISA) is an immunological technique which
is used to determine the presence or quantity of an antigen within a sample.
ELISAs rely on the use of at least one antibody (Ab) specific for the antigen
being measured. This antibody is covalently linked to an enzyme which is detected
through the use of an enzymatic substrate, which can be colorimetric,
fluorogenic, or chemiluminescent. The ELISA for Hsp72 described here is a typical
indirect sandwich ELISA, which can be used for measuring Hsp72 from
cellular/tissue extracts, tissue culture supernatant, and serum. Typically, a 96
well ELISA plate is coated with a specific antibody which captures Hsp72 from the
sample, and another antibody specific for a different Hsp72 epitope is used to
detect Hsp72. An enzyme-labelled species-specific antibody conjugate is then
applied which is consequently detected using a colorimetric enzyme substrate. The
quantity of Hsp72 present in the samples is interpolated using a standard curve
of known amounts of pure Hsp72.
PMID- 21898235
TI - Analysis of heat-shock protein localisation using flow cytometry.
AB - The use of flow cytometry in heat-shock protein (HSP) research is increasing
rapidly due to the high sensitivity and versatility of the technique. The method
allows the simultaneous analysis of multiple proteins within numerous cell types
in a heterogeneous sample, providing advantages over alternative techniques, such
as ELISA and Western blotting. As a result, flow cytometry is becoming the
leading technique used in this area of research. The current chapter describes
the methodology for preparing samples for this technique and outlines two
protocols for the analysis of surface- and intracellular-localised HSPs.
PMID- 21898236
TI - Quantitation of heat-shock proteins in clinical samples using mass spectrometry.
AB - Mass spectrometry (MS) is a powerful analytical tool for proteomics research and
drug and biomarker discovery. MS enables identification and quantification of
known and unknown compounds by revealing their structural and chemical
properties. Proper sample preparation for MS-based analysis is a critical step in
the proteomics workflow because the quality and reproducibility of sample
extraction and preparation for downstream analysis significantly impact the
separation and identification capabilities of mass spectrometers. The highly
expressed proteins represent potential biomarkers that could aid in diagnosis,
therapy, or drug development. Because the proteome is so complex, there is no one
standard method for preparing protein samples for MS analysis. Protocols differ
depending on the type of sample, source, experiment, and method of analysis.
Molecular chaperones play significant roles in almost all biological functions
due to their capacity for detecting intracellular denatured/unfolded proteins,
initiating refolding or denaturation of such malfolded protein sequences and more
recently for their role in the extracellular milieu as chaperokines. In this
chapter, we describe the latest techniques for quantitating the expression of
molecular chaperones in human clinical samples.
PMID- 21898237
TI - Bioinformatic approach to identify chaperone pathway relationship from large
scale interaction networks.
AB - We describe a computational protocol to identify functional modules and pathway
relationship of chaperones based on physical interaction data derived from high
throughput proteomic experiments. The protocol first identifies interacting
proteins shared by the different chaperone systems to organize the chaperones
into functional modules. The chaperone functional modules represent groups of
chaperones that are involved in mediating the folding of the shared interacting
proteins. Either the chaperones in a module can function along a single folding
pathway of a given substrate protein or the substrate protein might have two or
more different folding pathways that the chaperones act on independently. As
described in our computational protocol, probabilities of these pathway
relationships between two chaperones in a two-component chaperone module can be
determined using whole-genome expression and cellular pathways as reference. This
protocol is potentially useful for identifying functional modules and pathway
relationships in other biological systems that involve multiple proteins with
many identified interactions.
PMID- 21898238
TI - Hsp70: anti-apoptotic and tumorigenic protein.
AB - Heat shock protein 70 (Hsp70) is a powerful chaperone whose expression is induced
in response to a wide variety of physiological and environmental insults,
including anticancer chemotherapy, thus allowing the cell to survive to lethal
conditions. Hsp70 cytoprotective properties may be explained by its anti
apoptotic function. Indeed, this protein can inhibit key effectors of the
apoptotic machinery at the pre- and postmitochondrial level. In cancer cells, the
expression of Hsp70 is abnormally high, and Hsp70 may participate in oncogenesis
and in resistance to chemotherapy. In rodent models, Hsp70 overexpression
increases tumor growth and metastatic potential. Depletion or inhibition of Hsp70
frequently reduces the size of the tumors and even can cause their complete
involution. But Hsp70 can also be found in the extracellular medium. Its role is
then immunogenic and the term chaperokine to define the extracellular chaperones
has been advanced. Hsp70 tumorigenic functions as well as the strategies that are
being developed in cancer therapy in order to inhibit Hsp70 are commented in this
chapter.
PMID- 21898239
TI - Determination of cell survival or death.
AB - Cell death (in particular, apoptosis and necrosis) is accompanied by appearance
of certain hallmarks that are manifested as specific alterations in cellular
membranes, cytoplasm, nucleus and mitochondria. Some of those hallmarks are
easily detectable in situ and, therefore, they can be applied for the assessment
of dying or dead cells. In turn, there are also signs of viable cells that
include a set of features, such as normal functioning of their membranes and
organelles, ability to proliferate, etc. The present chapter provides
descriptions of several convenient methods for quantitative determination of dead
(apoptotic and necrotic) cells and also methods for determination of survived and
viable cells. Here, we describe in details the methods of annexin V/propidium
iodide (PI) staining, TUNEL assay, Hoechst/PI staining, MTS tetrazolium assay,
and colony formation assay, with the principles, advantages, and drawbacks of
each technique.
PMID- 21898240
TI - Immunohistochemistry of human Hsp60 in health and disease: from autoimmunity to
cancer.
AB - Hsp60 (also called Cpn60) is a chaperonin with essential functions for cell
physiology and survival. Additionally, its involvement in the pathogenesis of a
number of diseases (e.g., some autoimmune disorders and cancer) is becoming
evident with new research. For example, the distribution and levels of Hsp60 in
cells and tissues have been found altered in many pathologic conditions, and the
significance of these alterations is being investigated in a number of
laboratories. The aim of this ongoing research is to determine the meaning of
these Hsp60 alterations with regard to pathogenetic mechanisms, diagnosis,
classification of lesions, and assessing of prognosis and response to treatment.
Hsp60 occurs in the mitochondria, i.e., its typical residence according to
classic knowledge, and also in other locales, such as the cytosol, the cell
membrane, the intercellular space, and biological fluids (e.g., blood and
cerebrospinal fluid). Detection and quantitative determinations in all these
locations are becoming essential components of laboratory pathology in clinics
and research. Consequently, immunohistochemistry targeting Hsp60 is also becoming
essential for pathologists and researchers interested in disorders involving this
chaperonin. In this chapter, we briefly summarize some recent discoveries on the
participation of Hsp60 in the pathogenesis of human diseases and describe in
detail how to perform immunohistochemical reactions for detecting the chaperonin,
determining its location, and measuring its levels of expression.
PMID- 21898241
TI - Preparation of a heat-shock protein 70-based vaccine from DC-tumor fusion cells.
AB - We have developed an enhanced molecular chaperone-based vaccine through rapid
isolation of heat-shock protein 70 peptide complexes (Hsp70.PC) after the fusion
of tumor and dendritic cells (DCs) (Hsp70.PC-F). In this approach, the tumor
antigens are introduced into the antigen-processing machinery of dendritic cells
through the cell fusion process and, thus, we can obtain antigenic tumor peptides
or their intermediates that have been processed by dendritic cells. Our results
show that Hsp70.PC-F has increased immunogenicity compared to preparations from
tumor cells alone and, therefore, constitutes an improved formulation of
chaperone protein-based tumor vaccine.
PMID- 21898242
TI - Isolation of heat shock protein complexes.
AB - Heat shock proteins (Hsp) are molecular chaperones with the capability to
interact with a wide range of other proteins and are thus often found coupled
with other heat shock and non-heat shock proteins. This can be an advantage to
study specific interactions between a chaperone and other proteins and to
generate an antitumoral immune response. In this chapter, we present two
protocols to isolate Hsp. One involves column chromatography with hydroxyapatite
and the other employs immunoprecipitation with antibodies coupled to magnetic
beads. In both cases, we specifically want to isolate Hsp coupled with other
proteins and use the Hsp complexes as intermediaries to present the coupled
peptides/proteins to the immune system, or to explore the associations of a
particular Hsp with other proteins.
PMID- 21898243
TI - Enhancing antigen cross-presentation and T-cell priming by complexing protein
antigen to recombinant large heat-shock protein.
AB - Large heat-shock proteins (HSPs), including hsp110 and grp170, are unique
immunochaperones capable of carrying and introducing antigens into professional
antigen-presenting cells for efficient cross-presentation. Therefore,
reconstituted chaperone complexes of large HSPs and protein antigen may be
exploited for augmentation of an antigen-specific immune response. The methods
for the preparation of the recombinant protein antigen chaperone complex and
characterization of its T-cell priming capability in both in vitro and in vivo
settings are described.
PMID- 21898245
TI - Analysis of cellular migration using a two-chamber methodology.
AB - Directed cell migration is fundamental to both physiological and
pathophysiological processes such as embryogenesis, wound healing, and cancer
metastasis. A complex series of events are required for directional cell
migration, which is initiated by a migration-promoting or chemotactic stimulus,
resulting in cellular polarization and entry into a cyclical pattern of leading
edge protrusion, adhesion, and retraction of the trailing edge allowing cell
movement. Heat shock proteins such as Hsp27, Hsp90, alphaB-crystallin, as well as
heat shock transcription factors, are important players in both physiological and
pathophysiological cell migration. A variety of techniques are currently
available to assess cell migration, and among the most commonly utilized are
those that employ a two-chamber methodology, such as that developed by Stephen
Boyden in the early 1960s. Herein, we describe the use of a multiwell
microchemotaxis migration assay that has the advantages of being reusable,
inexpensive, highly reproducible, and requiring only a small volume of reagents.
PMID- 21898244
TI - Investigating receptors for extracellular heat shock proteins.
AB - Extracellular heat shock proteins (HSP) play important roles in cell signaling
and immunity. Many of these effects are mediated by cell surface receptors
expressed on a wide range of cell types. We have investigated the nature of such
proteins by cloning candidate receptors into cells (CHO-K1) with the rare
property of being null for HSP binding. Using this approach, we have discovered
that Hsp70 binds to a least two classes of receptor: c-type lectin receptors
(CLR) and scavenger receptors (SR). However, the nature of the receptor-ligand
interactions is not yet clear. Hsp70 can bind to LOX-1 (a member of both the CLR
and SR), with the c-type lectin binding domain (CTLD) as well as the SR family
members SREC-I and FEEL-1/CLEVER-1/STABILIN-1, which by contrast have arrays of
EGF-like repeats in their extracellular domains. In this chapter, we discuss (1)
methods for determining HSP receptors, (2) approaches to study of individual
receptors in cells that contain multiple such receptors, and (3) methods for
investigating HSP receptor function in vivo.
PMID- 21898246
TI - Challenges facing the forest industry in relation to seed dormancy and seed
quality.
AB - Artificial regeneration of forests through planting requires high quantities of
quality seeds for growing vigorous seedlings. These seedlings are raised in
nurseries, where germination capacity (GC) and speed are the most important
germination parameters. Germination performance is enhanced by prescribing
species-specific dormancy-breaking treatments to individual seedlots in bare-root
and container nurseries. For most conifer species in British Columbia, the
dormancy-breaking treatments and germination conditions have been worked out, but
fine-tuning and optimization could improve germination capacity and speed of
germination. Implications of inter- and intra-species variations in germination
behaviour and seed quality and their influence on the development of
unintentional directional selection of specific genotypes are discussed. The
potential of molecular and genomics approaches to understand the underlying
biology of seed germination-related problems is also discussed.
PMID- 21898247
TI - Challenges facing seed banks and agriculture in relation to seed quality.
AB - Seeds form a convenient vehicle for storage of germplasm, both for agricultural
purposes and conservation of wild species. When required, seeds can be taken from
storage and germinated, and plants can be propagated for the desired purpose,
e.g., crop production or biome restoration. However, seed dormancy often
interferes with stand establishment or industrial utilization in crops and
germination of wild species. An anticipated termination of dormancy (i.e., before
crop harvest) also occurs, with preharvest sprouting as a consequence. In order
to overcome these problems, a better understanding of dormancy is required. This
chapter is devoted to discuss the achievement of such understanding in
problematic species.
PMID- 21898248
TI - Standardizing seed dormancy research.
AB - Seeds are very attractive and convenient for molecular genetic studies that
challenge principal biological phenomena related to the initiation and
suppression of growth (e.g., germination and dormancy, respectively). The number
of reports in this field is rapidly expanding. Seed dormancy is a widely
misinterpreted biological attribute. One of the main reasons is the general
neglect of reliable dormancy assays; often, the sole criterion of current
dormancy assays is the total germination of a seed population after a defined
period of time. This is a very insensitive and inaccurate method, particularly
when comparing dormancy levels of seeds from different genotypes, seeds subjected
to different treatments, or seeds originating from different environments. Other
seed parameters are far more useful. Furthermore, before undertaking
comprehensive molecular and biochemical studies to elucidate the underlying
mechanisms of dormancy of a given species, it is pivotal to determine the general
types or categories of dormancy that are imposed and whether these are influenced
by the external environment. Research strategies should be adjusted to this. In
order to distinguish dead from dormant seeds, a viability test should be
developed. This chapter addresses in a very general way these pitfalls in
dormancy research with a focus on current plant model systems in molecular
genetics, such as Arabidopsis thaliana and Medicago truncatula.
PMID- 21898249
TI - Eyeing emergence: modified treatments for terminating dormancy of conifer seeds.
AB - Many seeds of coniferous species display a deep primary dormancy at maturity and
require several weeks of pretreatment to produce seed populations that germinate
in a vigorous and timely manner. Facilitating an efficient transition from
dormancy to germination by devising improved protocols for dormancy breakage is
not only important to conifer seed research, aiding in the study of the dormancy
process itself, but is also of interest and applicability to commercial forest
nursery operations. In the forests of British Columbia, Canada, several conifer
species are well-adapted to their environment, with seeds needing to experience
long durations in the moist state at cool or fluctuating temperatures. These
include yellow-cedar (Callitropsis nootkatensis), western white pine (Pinus
monticola), and true fir species, such as Pacific silver fir and subalpine fir
(Abies amabilis and A. lasiocarpa, respectively). In this chapter, we discuss the
development of new dormancy-breaking protocols for the aforementioned species
that centre on the balance of several key aspects: (1) reducing the time needed
to terminate dormancy in the seed population; (2) synchronicity of germination;
(3) ease of use; (4) cost-effectiveness; and (5) repeatability. Where possible,
any new or modified protocol should be further tested in relationship to
promoting rapid seedling growth in a forest nursery greenhouse setting and after
planting at natural stands. Based on the five criteria listed above, very
significant improvements compared to traditional dormancy-breaking methods have
been achieved for the targeted conifer species. Where tested (e.g. yellow-cedar),
the modified dormancy-breaking treatments result in vigorous growth in the
greenhouse and after planting at natural stands.
PMID- 21898250
TI - Production of seed samples for the effective molecular analysis of dormancy
cycling in Arabidopsis.
AB - Most often, the samples used for molecular analysis of dormancy are populations
of seeds. An essential survival characteristic of seed populations inhabiting the
variable surface layers of the soil is that individuals in the population do not
behave uniformly. In addition, seed dormancy (SD) status of the whole population
constantly changes even in the dry state. For these and other reasons, production
of appropriate and adequately characterized seed samples is the key to the
correct and most informative interpretation of molecular studies. This is
particularly important when the aim is to describe and explain seed behaviour in
the natural environment. Molecular studies of seed dormancy, and especially
ecologically relevant behaviour, such as dormancy cycling, should therefore
involve characterization of dormancy status based on a sound understanding of
seed physiology. This chapter discusses the problems and pitfalls of using
Arabidopsis and provides protocols devised for use with the Arabidopsis ecotype
Cape Verde Islands for the production and characterization of samples to be used
in molecular analysis of dormancy transitions and cycling.
PMID- 21898251
TI - Approaches to the identification of ABAR as an abscisic acid receptor.
AB - Abscisic acid (ABA) is a vital phytohormone that regulates seed maturation and
germination, seedling growth, and adaptation to environmental stresses. ABA
functions through a complex network of signaling pathways, where the cell
response is initiated by an ABA receptor which triggers downstream signaling
cascades to induce the final physiological effects. Two classes of technologies
may be used for the isolation of ABA receptors. One is the genetic screening for
ABA receptor mutants, and another is the biochemical isolation of ABA-binding
proteins that are putative ABA receptors. We implemented biochemical approaches,
namely, the purification of ABA-binding proteins to identify a putative ABA
receptor; this protein was further characterized by a combination of biochemical
and reverse genetic approaches. The identified ABA receptor, called ABAR,
mediates the responses of plants to ABA in seed germination, postgerminative
growth, and stomatal movement. This protein is the H subunit (CHLH) of the
magnesium protoporphyrin-IX chelatase (Mg-chelatase) that also plays a key role
in both chlorophyll biosynthesis and plastid-to-nucleus signaling. Here, we
describe the experimental procedures for the purification of ABA-binding proteins
and the identification of the ABA-binding protein, ABAR/CHLH, as an ABA receptor.
PMID- 21898252
TI - Profiling of hormones and related metabolites in seed dormancy and germination
studies.
AB - Seed dormancy and germination are regulated by several plant hormones, such as
abscisic acid, gibberellin, auxin (indole-3-acetic acid), ethylene, and
brassinosteroid. Endogenous concentrations of a hormone are determined by the
balance between biosynthesis and deactivation, and contribute to the regulation
of physiological responses. Therefore, profiling of all hormones and their
metabolites (hormonome) is a powerful approach to elucidate the regulatory
networks of hormone metabolism. The methods involved in the use of liquid
chromatography-electrospray ionization-tandem mass spectrometry to develop a high
sensitive and high-throughput hormonome platform are described in this chapter.
PMID- 21898253
TI - In vitro assay for ABA 8'-hydroxylase: implications for improved assays for
cytochrome P450 enzymes.
AB - In vitro assays for cytochrome P450 enzymes developed from plant-derived
microsomal extracts have not been used extensively for the characterization and
quantification of enzyme activities in plant tissues. We describe here an in
vitro assay for abscisic acid (ABA) 8'-hydroxylase that was developed using
microsomes extracted from (+)-ABA-induced corn suspension cultures. This assay
may be useful for further characterization and monitoring of ABA 8'-hydroxylase
activities in germinating seeds, seedlings, and other tissues. Additionally, the
optimization protocols provided here may be adapted towards improving in vitro
enzyme assays for other cytochrome P450 enzymes expressed in plants.
PMID- 21898254
TI - Functional analysis of abscisic acid 8'-hydroxylase.
AB - Abscisic acid (ABA) plays an important role in the control of seed dormancy and
germination. Identification of hormone metabolism genes from a particular plant
species of interest is an essential step in hormone research. The function of
these gene products is validated by biochemical analysis using heterologous
expression systems, such as E. coli and yeast. ABA 8'-hydroxylase is a subfamily
of P450 monooxygenases and is encoded by CYP707A genes. CYP707A catalyzes the
committed step in the major ABA catabolic pathway. In this chapter, we describe
the methods for RNA extraction from seeds, cloning the CYP707A cDNAs, protein
expression in yeast, and biochemical analysis of their gene products.
PMID- 21898255
TI - Isolation of genetic material from Arabidopsis seeds.
AB - Here, we describe a series of methods suitable for the reproducible and abundant
isolation of total RNA, genomic DNA, and total protein from dry or imbibed
Arabidopsis seeds. The resulting material is suitable for most standard molecular
biology procedures.
PMID- 21898256
TI - Identification and characterization of quantitative trait loci that control seed
dormancy in Arabidopsis.
AB - Seed dormancy is a trait that is under multigenic control and affected strongly
by environmental factors. Thus, seed dormancy is a typical quantitative trait.
Natural accessions of Arabidopsis thaliana exhibit a great deal of genetic
variation for seed dormancy. This natural variation can be used to identify genes
controlling this trait by means of quantitative trait loci (QTL) mapping. In this
chapter, we describe how QTL mapping for seed dormancy in Arabidopsis thaliana
can be performed and how QTL analyses can be used to eventually identify the
causal gene. Methods and recourses available specifically for Arabidopsis are
described or referred to.
PMID- 21898257
TI - Identification of seed dormancy mutants by activation tagging.
AB - Activation tagging is an important tool for gene discovery in plants. This method
utilizes a T-DNA sequence that contains four tandem copies of the cauliflower
mosaic virus 35S enhancer sequence or promoters oriented outward to the T-DNA
border sequences. These elements enhance the expression of genes neighboring on
either side of the randomly integrated T-DNA, resulting in gain-of-function
phenotypes. Activation tagging has identified a number of genes, including those
fundamental to plant development, such as the floral inducer gene, FLOWERING
LOCUS T (FT ). The methods surrounding activation-tagging approaches are
described in this chapter. While seeds have generally not been the targets of
these methods in the past, activation tagging provides a powerful approach to
uncover genes involved in seed dormancy and germination, including those that
mediate hormone signal transduction.
PMID- 21898258
TI - Isolation of microRNAs that regulate seed dormancy and germination.
AB - MicroRNAs (miRNAs) play an important role in gene regulation in many plant
tissues and organs during various developmental stages. Previous studies have
suggested the importance of gene regulation by miRNA in seeds. Characterizing the
expression of miRNAs and their target genes in dormant and germinating seeds
helps to gain a better understanding of the regulatory role of miRNAs during seed
dormancy and germination. This can be achieved by implementing a simple miRNA
extraction method using fractionation with isopropanol and Northern blot analysis
using nonradioactive miRNA probes. Functional analysis of miRNA target genes
potentially associated with seed dormancy and germination can be examined using
mutant seeds in which specific miRNAs are deregulated by introducing silent
mutations in the miRNA target sites of these genes.
PMID- 21898259
TI - Proteomics and posttranslational proteomics of seed dormancy and germination.
AB - The seed is the dispersal unit of plants and must survive the vagaries of the
environment. It is the object of intense genetic and genomic studies because
processes related to seed quality affect crop yield and the seed itself provides
food for humans and animals. Presently, the general aim of postgenomics analyses
is to understand the complex biochemical and molecular processes underlying seed
quality, longevity, dormancy, and vigor. Due to advances in functional genomics,
the recent past years have seen a tremendous progress in our understanding of
several aspects of seed development and germination. Here, we describe the
proteomics protocols (from protein extraction to mass spectrometry) that can be
used to investigate several aspects of seed physiology, including germination and
its hormonal regulation, dormancy release, and seed longevity. These techniques
can be applied to the study of both model plants (such as Arabidopsis) and crops.
PMID- 21898260
TI - Chromatin dynamics during seed dormancy.
AB - The chromatin structure determines gene expression and thereby regulates
developmental processes in the plant. The molecular mechanisms regulating the
induction and release of seed dormancy are still largely unknown and the
underlying changes in chromatin organization have hardly been analyzed. Most
chromatin studies in plants have been performed on vegetative tissues and have
focused on seedlings. The composition of seeds hampers molecular analyses and
requires adaptation of the methods that are used for other tissues. Here, we give
an overview of the current methods that are used to study different aspects of
chromatin organization in seeds. Cytogenetic methods, like fluorescence in situ
hybridization and immunolocalization, are used to study chromatin at the
microscopic level. Changes in DNA methylation and histone modifications can be
studied with molecular methods, like bisulfite sequencing, immunoblotting, and
chromatin immunoprecipitation.
PMID- 21898261
TI - Emerging mass spectrometry-based technologies for analyses of chromatin changes:
analysis of histones and histone modifications.
AB - Mass spectrometry (MS) is rapidly becoming an indispensable tool for the analysis
of posttranslational modifications (PTMs) of proteins, and particularly histone
PTMs that regulate physiological processes. The more traditional bottom-up
approach of searching for modifications on peptides rather than intact proteins
(top-down) has proven useful for finding phosphorylation, acetylation, and
ubiquitination sites. With the use of modern instrumentation and various MS-based
techniques, peptides and their PTMs can be characterized in a high-throughput
manner while still maintaining high sensitivity and specificity. In complement to
bottom-up MS, recent advances in MS technology, such as high-field Fourier
transform ion cyclotron resonance (FTICR)-mass spectrometry, have permitted the
study of intact proteins and their modifications. On-line and off-line protein
separation instruments coupled to FTICR-MS allow the characterization of PTMs
previously undetectable with bottom-up approaches. The use of unique
fragmentation techniques in FTICR-MS provides a viable option for the study of
labile modifications. In this chapter, we provide a detailed description of the
analytical tools - mass spectrometry in particular - that are used to
characterize modifications on peptides and proteins. We also examine the
applicability of these mass spectrometric techniques to the study of PTMs on
histones via both the bottom-up and top-down proteomics approaches.
PMID- 21898262
TI - In vivo nuclear magnetic resonance metabolite profiling in plant seeds.
AB - Nuclear magnetic resonance (NMR) spectroscopy has been successfully applied to
profile a variety of primary and secondary metabolites in whole intact plant
seeds in vivo. The nondestructive nature of NMR spectroscopy allows direct
metabolic studies to be performed on the same seed throughout a given physio
logical process or key lifecycle transition, such as dormancy breakage,
germination, and early postgerminative growth. Multinuclear NMR is capable of
evaluating seed quality by assessing nondestructively nutrient reserves and seed
protectants at seed maturity and to further monitor reserve mobilization
following germination, which is critical for seedling emergence. In this chapter,
we illustrate the use of several in vivo NMR techniques for metabolite profiling
in seeds. Importantly, some of these methods have potential for the screening of
single seeds or seed populations to identify seedlots with compromised viability
either due to developmental problems or as a result of deterioration during
prolonged storage.
PMID- 21898263
TI - In vivo 1H-NMR microimaging during seed imbibition, germination, and early
growth.
AB - Magnetic resonance imaging (MRI) is a superior noninvasive diagnostic tool widely
used in clinical medicine, with more than 60 million MRI tests performed each
year worldwide. More specialized high-resolution MRI systems capable of a
resolution that is 100-1,000 times higher than standard MRI instruments are used
primarily in materials science, but are used with increasing frequency in plant
physiology. We have shown that high-resolution (1)H-nuclear magnetic resonance
(NMR) microimaging can provide a wealth of information about the internal anatomy
of plant seeds as small as 1 mm or even smaller. This chapter covers the methods
associated with these imaging techniques in detail. We also discuss the
application of (1)H-NMR microimaging to study in vivo seed imbibition,
germination, and early seedling growth.
PMID- 21898264
TI - Tissue-printing methods for localization of RNA and proteins that control seed
dormancy and germination.
AB - A number of genes and proteins are expressed in a tissue- or cell layer-specific
manner. Spatial patterns of gene expression are critical to understanding gene
function. Tissue printing provides a simple and rapid method to analyze
localization of mRNA and protein at the tissue and cellular levels. This is
especially convenient for gene expression analysis in hard tissues, such as seeds
that are often difficult to section. Seed RNA or protein can be transferred onto
a suitable membrane by printing the cut surface of a bisected seed. This method
has been used successfully to determine mRNA and protein localization in seed
research. The resolution of printed seed images and RNA and protein signals in
tissue printing is sufficient to identify embryo- or endosperm-specific
expression of various genes and proteins. In some cases, these studies have
contributed to elucidating the spatial characteristics of hydrolytic enzymes
putatively involved in the completion of germination and/or early postgerminative
growth. By the same principle, tissue-printing methods could also be valuable for
elucidating the spatial characteristics of genes/proteins that control the
inception, maintenance, and termination of seed dormancy.
PMID- 21898265
TI - Analyses of reactive oxygen species and antioxidants in relation to seed
longevity and germination.
AB - Evidence is emerging that reactive oxygen species (ROS) and antioxidants,
together with plant hormones and other reactive species, such as reactive
nitrogen species, are part of signalling networks pertinent to plant stress
responses, cell division, and cell death. Consequently, they play pivotal roles
in the regulation of seed development and maturation, germination and dormancy,
seedling establishment, and seed ageing. Importantly, ROS, although essentially
required at low concentrations, must be kept under stringent control by
antioxidants. If the balance between pro- and antioxidative processes is lost and
ROS production prevails, oxidative stress is the result, which can induce cell
death and ultimately seed death. This chapter offers a variety of protocols for
the determination of ROS, antioxidants, and stress markers aimed at enabling the
reader to quantify these compounds. Protocols are also described to visualize ROS
and localize the sites of ROS production, hoping to stimulate more research into
ROS signalling and antioxidant control in key physiological and biochemical
processes in seeds.
PMID- 21898266
TI - Protein damage and repair controlling seed vigor and longevity.
AB - The formation of abnormal isoaspartyl residues derived from aspartyl or
asparaginyl residues is a major source of spontaneous protein misfolding in
cells. The repair enzyme protein L: -isoaspartyl methyltransferase (PIMT)
counteracts such damage by catalyzing the conversion of abnormal isoaspartyl
residues to their normal aspartyl forms. Thus, this enzyme contributes to the
survival of many organisms, including plants. Analysis of the accumulation of
isoaspartyl-containing proteins and its modulation by the PIMT repair pathway,
using germination tests, immunodetection, enzymatic assays, and HPLC analysis,
gives new insights in understanding controlling mechanisms of seed longevity and
vigor.
PMID- 21898267
TI - Nitric oxide methods in seed biology.
AB - The ubiquitous signaling molecule nitric oxide (NO) plays an important role in
seed biology. Experiments with this biologically important gas require special
provisions because NO in aerobic environments is readily converted into other
oxides of nitrogen. In this chapter, we describe methods for the application of
NO as a gas, and through the use of NO-donor compounds. We included information
on the removal or reduction of NO with NO scavengers. Methods for detecting NO
using NO-reactive fluorescent probes, and an apparatus incorporating an oxidizer
column are also described.
PMID- 21898268
TI - Seed bioinformatics.
AB - Analysis of gene expression data sets is a potent tool for gene function
prediction, cis-element discovery, and hypothesis generation for the model plant
Arabidopsis thaliana, and more recently for other agriculturally relevant
species. In the case of Arabidopsis thaliana, experiments conducted by individual
researchers to document its transcriptome have led to large numbers of data sets
being made publicly available for data mining by the so-called "electronic
northerns," co-expression analysis and other methods. Given that approximately
50% of the genes in Arabidopsis have no function ascribed to them by
"conventional" homology searches, and that only around 10% of the genes have had
their function experimentally determined in the laboratory, these analyses can
accelerate the identification of potential gene function at the click of a mouse.
This chapter covers the use of bioinformatic data mining tools available at the
Bio-Array Resource ( http://www.bar.utoronto.ca ) and elsewhere for hypothesis
generation in the context of seed biology.
PMID- 21898269
TI - Anti-inflammation effects of corn silk in a rat model of carrageenin-induced
pleurisy.
AB - Pleurisy is an inflammation of the pleural layers that surround the lungs.
Despite much research into inflammatory diseases, no drugs with favorable safety
profiles are available yet for their treatment. Corn silk has been used in many
parts of the world for the treatment of edema, cystitis, gout, kidney stones
nephritis, and prostitutes. However, no scientific reports on the anti
inflammatory effects of corn silk were so far available. To test the anti
inflammatory efficacy of corn silk extract (CSEX) in a rat model of carrageenin
(Cg)-induced pleurisy, exudate formation, and cellular infiltration, tumor
necrosis factor alpha (TNF-alpha), interleukin 1 beta (IL-1beta), vascular
endothelial growth factor alpha (VEGF-alpha), interleukin-17 (IL-17), C3 and C4
complement protein levels, adhesion molecule (ICAM-1) and inducible nitric oxide
synthase (iNOS) levels, nuclear factor kappa B (NF-kappaB) activation, and total
antioxidant activity were studied, respectively. Pretreatment with CSEX reduced
Cg-induced pleurisy exudate, number of leukocytes, oxidative stress, C3 protein
level, and O (2)(-) levels at the inflammatory site. Pretreatment with CSEX also
inhibited TNF-alpha, IL-1beta, VEGF-alpha, and IL-17A and blocked inflammation
related events (ICAM-1 and iNOS) by activation of NF-kappaB. Supplementation with
CSEX may be a promising treatment for inflammatory diseases that involve
oxidative stress.
PMID- 21898270
TI - IL-33 is induced by amyloid-beta stimulation and regulates inflammatory cytokine
production in retinal pigment epithelium cells.
AB - Age-related macular degeneration (AMD) is the predominant cause of irreversible
blindness in the elderly population. Despite intensive basic and clinical
research, its pathogenesis remains unclear. However, evidence suggests that
immunological and inflammatory factors contribute to the pathogenesis of AMD. A
newly identified cytokine, IL-33, appears to be an important pro-inflammatory
cytokine promoting tissue inflammation. In this study, IL-33 was increased
through amyloid-beta(1-40) (Abeta(1-40)) stimulation and regulated inflammatory
cytokines including IL-6, IL-8, IL-1beta, and TNF-alpha secretion using different
signaling pathways in retinal pigment epithelium (RPE) cells. Furthermore, ST2L,
the important component of the IL-33 receptor, was significantly increased
following recombinant human IL-33 stimulation in RPE cells. These findings
suggest that IL-33-mediated inflammatory responses in RPE cells are involved in
the pathogenesis of AMD. Greater understanding of the inflammatory effect of IL
33 and its role in RPE cells should aid the development of future clinical
therapeutics and enable novel pharmacological approaches towards the prevention
of AMD.
PMID- 21898271
TI - Assessment of the influence of field size on maize gene flow using SSR analysis.
AB - One of the factors that may influence the rate of cross-fertilization is the
relative size of the pollen donor and receptor fields. We designed a spatial
distribution with four varieties of genetically-modified (GM) yellow maize to
generate different sized fields while maintaining a constant distance to
neighbouring fields of conventional white kernel maize. Samples of cross
fertilized, yellow kernels in white cobs were collected from all of the adjacent
fields at different distances. A special series of samples was collected at
distances of 0, 2, 5, 10, 20, 40, 80 and 120 m following a transect traced in the
dominant down-wind direction in order to identify the origin of the pollen
through SSR analysis. The size of the receptor fields should be taken into
account, especially when they extend in the same direction than the GM pollen
flow is coming. From collected data, we then validated a function that takes into
account the gene flow found in the field border and that is very useful for
estimating the % of GM that can be found in any point of the field. It also
serves to predict the total GM content of the field due to cross fertilization.
Using SSR analysis to identify the origin of pollen showed that while changes in
the size of the donor field clearly influence the percentage of GMO detected,
this effect is moderate. This study demonstrates that doubling the donor field
size resulted in an approximate increase of GM content in the receptor field of
7%. This indicates that variations in the size of the donor field have a smaller
influence on GM content than variations in the size of the receptor field.
PMID- 21898272
TI - [250 years "Inventum novum": Leopold Auenbrugger].
PMID- 21898273
TI - [Current value of endobronchial ultrasound (EBUS)].
PMID- 21898274
TI - [Tuberculosis in 22 Au-pairs in Germany and Austria - rapid diagnosis reduces
risk of infection for host families].
AB - BACKGROUND AND OBJECTIVES: The aim of this study was to investigate tuberculosis
(TB) in au-pairs in Germany and Austria and to assess the risk of infection for
the host families. METHODS: Reports from local health authorities were obtained
between 2002 and 2010 (Bavaria, 12 cases) and from 2006 to 2010 (Baden
Wuerttemberg 6, North Rhine-Westphalia and Hesse, 1 each, additionally 2 from
Austria). RESULTS: 22 cases of tuberculosis were reported to the local health
authorities, all of them concerning young female au-pairs, age 19 - 27 years.
Countries of origin were: Kenya (9), Georgia (4), Mongolia (3), Indonesia (2),
Nepal, Russia, Romania, and Peru (1 each). In 17 au-pairs, sputum-smear positive
pulmonary tuberculosis was diagnosed. Three au-pairs presented with
extrapulmonary tuberculosis without or only with minor pulmonary involvement. In
two asymptomatic cases, sputum-smear negative tuberculosis was diagnosed by
screening. The time between entry and the beginning of symptoms was 7.5 +/- 5.8
months (0 - 19.3). 10.0 +/- 6.1 weeks (range 3 - 20 weeks) elapsed between the
first symptoms and the diagnosis. No infection of the host families was caused by
5 au-pairs who had no or only minor pulmonary involvement. In 17 au-pairs with
high mycobacterial burden, the infection rate increased with the duration of time
between symptoms and diagnosis (1 - 11 infections per au-pair). A total of 46
contacts (21 children, 25 adults) were infected. 17 children received
chemoprophylaxis with isoniazid (INH); none of them developed active disease. One
child out of four who did not get INH was diagnosed with pulmonary TB. In
addition, 5 out of 24 adults without chemoprevention developed active TB. 4 TB
strains were drug-resistant strains, one of them multidrug-resistant.
CONCLUSIONS: In au-pairs from countries with high burden of tuberculosis, long
lasting cough and weariness should prompt diagnostics for tuberculosis. By
screening, the disease can be detected before it gets infectious. If infection
has occurred, chemoprevention with INH for nine months can prevent overt
tuberculosis.
PMID- 21898275
TI - [Autoimmune pancreatitis associated with rheumatoid arthritis: successful
combination therapy with steroids and methotrexate].
AB - MEDICAL HISTORY AND CLINICAL FINDINGS: A 70-year-old female patient suffered from
steatorrhea and upper abdominal discomfort for 8 weeks combined with new onset of
arthralgia in both hands. Additionally she reported elevated fasting blood
glucose levels. The physical examination was without pathological findings except
for mild upper abdominal pressure pain. INVESTIGATIONS: Imaging studies,
including MRI and ultrasound examinations showed diffuse pancreatic enlargement
without peripancreatic vessel involvement. Serological examinations showed
elevated Cancer Associated Antigen 19 - 9 (1289 U/ml) and hyperglobulinemia with
an IgG level of 170 mg/dl. The inflammatory markers were within normal ranges
other than a slightly elevated erythrocyte sedimentation rate (35mm/1 h).
Subsequent pancreatic biopsy showed lymphoplasmocellular, neutrophile and
eosinophile granulocyte infiltration causing damage of the acinar pancreatic
cells, typical for autoimmune pancreatitis (AIP). Magnetic resonance imaging
(MRI) confirmed arthritis of both hands. TREATMENT AND COURSE: Medical treatment
was started with oral prednisolone (50 mg/day) for one week, tapered to 25 mg/day
for another 2 weeks, followed by dose reductions of 5 mg/day every 2 weeks with a
final maintenance dose of 5 mg/day for 8 months. After the first week of steroid
therapy methotrexate (MTX) was started with an initial dose of 10 mg/week. Dose
was raised until a final dosage of 30 mg/week. After 8 months without relapse,
the maintenance therapy was reduced to 20 mg/week MTX and corticosteroids were
stopped. CONCLUSION: With this treatment regimen the patient has showed complete
remission of AIP and arthritis for 36 months. MTX may be successful as an initial
basic treatment to reach better control of autoimmune-related extrapancreatic
manifestations.
PMID- 21898276
TI - [79-year-old patient with dyspnoea and blue skin colour].
PMID- 21898277
TI - [Chronic obstructive pulmonary disease: pathophysiology, diagnosis, and therapy].
AB - Chronic obstructive pulmonary disease (COPD), a complex disease triggered mostly
by exposure to cigarette smoke, is a leading cause of morbidity and mortality
worldwide, leading not only to pulmonary damage but also to systemic impairment.
There is growing awareness of systemic inflammation and cardiovascular,
neurologic, psychiatric, and endocrine comorbidities associated with COPD. The
diagnosis of CODP is based upon the clinical presentation, measurement of the
pulmonary function, investigation of comorbidities and exclusion of differential
diagnoses. COPD is a heterogeneous disease including various phenotypes. A number
of drugs reduce or alleviate symptoms, increase exercise capacity, or reduce the
number and severity of exacerbations. Non-pharmacologic measures such as smoking
cessation, nutritional support, long term oxygen therapy, physiotherapy,
rehabilitation, lung volume reduction and lung transplantation may be available
for appropriate patients and can improve health status.
PMID- 21898278
TI - [The model organism zebrafish in biomedical research: applications and
perspectives in vascular biology and medicine].
PMID- 21898279
TI - [New concepts for varicolele treatment in male infertility: the current
situation].
AB - There is no question that therapy for a varicocele in cases of male infertility
improves the ejaculate quality. New data provide hints for an additional
influence on the pregnancy rates in infertile partnerships.
PMID- 21898280
TI - [Validation of a pelvic floor questionnaire with improvement and satisfaction
scales to assess symptom severity, bothersomeness and quality of life before and
after pelvic floor therapy].
AB - BACKGROUND: The aim of this study was to integrate and validate an additional
post-treatment module including improvement and satisfaction scales to the
validated German pelvic floor questionnaire. METHODS: The questionnaire contained
the domains bladder, bowel prolapse, and sexual symptoms. It was completed by 55
patients before and after specific pelvic floor rehabilitation. A post-therapy
module with improvement and satisfaction scales was integrated and tested
psychometrically. RESULTS: Missing data did not exceed 4%. Improvement and
satisfaction correlated significantly with bladder and bowel domain scores. Test
retest reliability showed moderate to good agreement. The questionnaire was
sensitive to change with a large effect size. The improvement of bladder symptoms
in 91% of the women correlated with the domain score. CONCLUSION: The validated
German pelvic floor questionnaire including a post-treatment module assesses
symptoms, improvement and satisfaction in a reliable and reproducible fashion.
PMID- 21898281
TI - [Reimplantation of the ureter in psoas hitch technique and Boari-plasty].
PMID- 21898309
TI - [Geriatric psychiatry in the low countries, by young and old].
PMID- 21898310
TI - [Prevention of depression and anxiety in older people].
AB - BACKGROUND: In older people, sub-threshold symptom levels of depression or
anxiety are characterised by a high prevalence and an unfavourable prognosis.
They are the best predictors of the onset of full-blown disorders. There is an
urgent need to develop effective preventative strategies. AIM: To determine the
effectiveness and feasibility of an indicated depression- and anxiety- prevention
programme for older people. METHODS: 170 individuals (75+) with sub-threshold
symptom levels of depression or anxiety were randomized between a preventive
intervention programme consisting of watchful waiting, bibliotherapy, problem
solving treatment, and re-referral to primary care to discuss medication, or
usual care. RESULTS: The intervention halved the incidence of (DSM-IV) depressive
and anxiety disorders. CONCLUSION: A stepped-care approach to the prevention of
depression and anxiety in later life was successful in halving the incidence of
depressive and anxiety disorders after one year, were cost-effective, and the
favourable effects were sustained for up to 24 months.
PMID- 21898311
TI - [Prevention is coming of age].
PMID- 21898312
TI - [Treatment of anxiety disorders in the elderly].
AB - AIM: To collect empirical evidence concerning the effectiveness of the treatment
of anxiety disorders in the elderly. METHOD: Meta-analysis and randomised
controlled trials. RESULTS: Meta-analysis showed that cognitive behavioural
therapy (CBT) was more successful than waiting-list control or active control as
a treatment for older persons with anxiety disorders. In a direct comparison of
the treatment of older persons with panic disorder (PD) both CBT an paroxetine
were found to be more effective than waiting-list control. CBT was more
successful in reducing avoidance behaviours in older persons with PD. Older
persons with reported fewer and less severe agoraphobic cognitions than younger
patients, but there was no difference between the severity of agoraphobic
avoidance in older patients with PD and younger patients with PD. CONCLUSION:
There is sufficient empirical evidence to support the effectiveness of CBT in the
treatment of older persons with anxiety disorders. In assessing the severity of
PD in the elderly, one should carefully consider the severity of avoidance
behaviours. Because no difference was found between the effectiveness of CBT and
paroxetine for the treatment of PD in the elderly and because was more effective
in reducing avoidance behaviours in older patients with PD than in younger
patients with pd, there seems to be a slight preference for CBT in the case of
older patients with PD. Older age is not associated with a poorer outcome of
treatment with CBT.
PMID- 21898313
TI - [Anxiety in the elderly: from a lack of recognition to improved diagnosis and
suitable treatment].
PMID- 21898314
TI - [Cognitive deficits in late-life depression].
AB - BACKGROUND: Depression in later life is often accompanied by cognitive deficits
that can mimic those of (beginning) dementia. These deficits are expected to
vanish when the depression lifts, but this does not always happen. AIM: To
provide an overview of recent research into cognitive deficits in older patients
with depression. METHOD: The recent literature was reviewed selectively. RESULTS:
The cognitive deficits of older persons with depression often persist and are not
related to the severity of the symptoms, remission status or the use of
antidepressants. Imaging research in clinical and epidemiological populations
strongly suggests that these cognitive deficits are due to neuropathological
changes of microvascular origin. Some people with depression run an increased
risk of developing dementia. CONCLUSION: The triad 'depression-cognitive
impairment-vascular disease' may identify individuals at increased risk of
dementia and is sometimes, accompanied by neurodegenerative and neuroinflammatory
processes. The treatment of vascular disease in older adults with depression is
therefore a promising starting-point for the selective prevention of dementia.
PMID- 21898315
TI - [The link between vascular disease, depression and cognitive deterioration in the
elderly. Has the vascular depression hypothesis proofed to be correct?].
PMID- 21898316
TI - [Depressive symptoms, cortisol, visceral fat and metabolic syndrome].
AB - BACKGROUND: Metabolic syndrome might explain the relationship between depression
and cardiovascular disease. AIM: To examine the (longitudinal) relationship
between depressive symptoms, cortisol and metabolic syndrome, especially visceral
fat. METHODS: Our study derives data on depressive symptoms, cortisol, visceral
fat and metabolic syndrome obtained from three different cohorts of older persons
(Inchianti, lasa, Health abc). RESULTS: Metabolic dysregulation, especially in
relation to fat occurs mainly in depressed persons with hypercortisolemia. There
seems to be a vicious cycle between depressive symptoms and visceral fat.
CONCLUSION: Early detection of metabolic disturbances in depressed patients might
prevent cardiovascular outcomes. Future research should investigate whether the
treatment of depression could positively influence metabolic syndrome, and
whether, conversely, the treatment of metabolic syndrome could relieve
depression.
PMID- 21898317
TI - [Depression as a physical disorder].
PMID- 21898318
TI - [Imaging of language and communication in dementia].
AB - BACKGROUND: Social interaction in patients with dementia is compromised by
language problems and impairment of other cognitive domains involved in
communication. AIM: To describe language and communication problems in patients
with dementia and to provide insight into the neurological basis of these
problems. METHOD: Our study is based on some of our own research findings and on
relevant literature concerning the imaging of language and communication in
patients with Alzheimer's disease and frontotemporal degeneration. RESULTS:
Imaging revealed that the clinical expression of communicative disorders in
patients with cortical neurodegeneration depends on regional brain atrophy and a
possible functional reorganisation triggered by neuropathological changes.
CONCLUSION: Brain imaging increases our knowledge about the pathogenesis of
communicative disorders in dementia.
PMID- 21898319
TI - [The many faces of our language].
PMID- 21898320
TI - [The immune system and Alzheimer's disease].
AB - BACKGROUND: It has still not been established unequivocally whether vascular risk
factors and inflammatory reactions, determined by heredity, are a cause or a
result of Alzheimer's disease AIM: If the offspring of parents with AD have more
risk factors and more frequent and severe inflammatory reactions than the
offspring of parents without AD , this argues strongly in favor of a causal
relationship between vascular risk factors, a pro-inflammatory cytokine response
and AD. AIM: To determine whether the offspring of parents with ad have more risk
factors and more frequent and severe inflammatory reactions than the offspring of
parents without ad. method Vascular risk-factors, pro-inflammatory cytokines and
the apoe genotype were determined in 206 offspring of parents with ad and in 200
offspring of parents without AD. RESULTS: Offspring of parents with ad carried
more apoe epsilon4 than offspring of parents without ad (47% vs 21%). Middle-aged
offspring of parents with a history of ad also had higher blood pressure and a
greater atherosclerotic burden than the offspring of parents without AD. Also
their response to the pro-inflammatory cytokine was significantly higher.
CONCLUSION: Hypertension and an inherited pro-inflammatory cytokine profile in
middle age are early risk factors that contribute to the development of ad in old
age. Offspring with a parental history of AD should therefore be offered
screening and treatment for hypertension and have their blood pressure checked so
that the development of AD in old age can be prevented.
PMID- 21898321
TI - [What do we really mean by Alzheimer's?].
PMID- 21898322
TI - [The role of CSF markers in the early diagnosis of Alzheimer's disease].
AB - BACKGROUND: Biomarkers in cerebrospinal fluid (CSF) are being used increasingly
to diagnose early Alzheimer's disease (AD). A CSF profile that is suggestive of
ad is an abnormal ratio of the proteins Ab1-42 to total tau. AIM: To describe the
prevalence and prognosis of a CSF profile in patients without dementia but with
subjective memory problems and mild cognitive impairments (MCI) at a memory
clinic. METHOD: A multi-centre study. RESULTS: A European multi-centre study
showed that a CSF AD profile was often present in patients with subjective
complaints and patients with MCI . The CSF AD profile predicted a decline in
cognition and daily functioning over a period of 3 years in patients with MCI.
Patients with amnestic MCI and a CSF AD profile developed AD more often within
this period than patients without this profile. CONCLUSION: CSF markers
suggestive of ad are common in persons without dementia. It may be possible to
use these markers for the prognosis of patients who have MCI .
PMID- 21898323
TI - [Searched for and found: non-demented patients with Alzheimer's disease].
PMID- 21898324
TI - [The costs and benefits of an integrated approach to dementia].
AB - BACKGROUND: An integrated approach to dementia is generally recommended because
no one discipline is adequately equipped it deal with the complex psychic,
physical and social problems that are inherent in dementia. A multidisciplinary
approach, however, leads inevitably to higher costs. It is not known what the
cost/benefit ratio will be. AIM: To describe our research into the costs and
benefits of an integrated approach to dementia involving the use of a diagnostic
research centre for psycho-geriatrics and thereafter to compare our findings with
the results of other studies of the costs and benefits of an integrated approach.
METHOD: We performed a prospective and randomised efficiency study and we
compared our findings with the results of other studies of the costs and benefits
of an integrated approach. We reviewed recent literature. RESULTS: The DRC-PG was
more effective than normal care as far as the patients' quality of life was
concerned, but was not more expensive. It can therefore be regarded as a cost
effective facility for ambulatory patients with dementia. Three other studies
provided additional empirical evidence of the success of a similar integrated
approach in various sectors involved in the care of patients with dementia.
CONCLUSION: An integrated approach with regard to the diagnosis, treatment and
management of dementia produces favourable results. More research is needed into
the efficacy and cost-effectiveness of integrated care programmes. This should
result in improvements in the care and treatment of patients with dementia.
PMID- 21898325
TI - [Collaborative care works].
PMID- 21898326
TI - [Elderly patients with schizophrenia: prevalence and distribution of age at onset
in a psychiatric catchment area in Amsterdam].
AB - BACKGROUND: Elderly patients with schizophrenia are underrepresented in the
literature on schizophrenia, although they constitute a rapidly growing group
with specific characteristics. The Amsterdam-based study entitled 'Schizophrenia
in Old Age' is currently examining the clinical and psychosocial functioning of a
cohort of older patients with schizophrenia. AIM: To ascertain the one-year
prevalence of schizophrenia and the distribution according to age at onset and
gender in patients aged 60 years or over within a specific psychiatric catchment
area. METHOD: The study is based on the case register of a Mental Health
Organisation during 1-7-2007 to 30-6-2008. RESULTS: The one-year prevalence of
schizophrenia was 0.55 % (95% ci: 0.46-0.64). In more than one in three patients
the age of onset was 40 years or over. Women outnumbered men, even in the early
onset schizophrenia subgroup. CONCLUSION: We found the prevalence of
schizophrenia among older persons to be comparable to the prevalence among
younger populations. The considerable number of patients who developed
schizophrenia at an advanced age and the preponderance of women are
distinguishing features of older persons with schizophrenia.
PMID- 21898327
TI - [Elderly with late-onset schizophrenia].
PMID- 21898328
TI - [Diagnosis and assessment of psychopathological symptoms in Parkinson's disease].
AB - BACKGROUND: Parkinson's disease(PD) is a multidimensional disorder characterized
primarily by motor symptoms, but often accompanied by non-motor symptoms,
including psychopathological and autonomic symptoms. AIM: To provide an overview
of current knowledge concerning the diagnosis, assessment and epidemiology of a
number of psychopathological syndromes in PD. METHODS: Relevant literature is
discussed. RESULTS: Depressive disorders, apathy, anxiety, cognitive impairment
and hallucinations are all common in PD . For most of these syndromes, there is
consensus regarding diagnostic criteria, and reliable rating scales are
available. In general, an inclusive approach is recommended, which means that
without interpretation or attribution, all symptoms present contribute to a
psychopathological diagnosis. All psychopathological syndromes are more common in
the hypokinetic rigid subtype of the disease. CONCLUSION: The recognition and
treatment of psychopathological symptoms in PD require specific expertise. In the
treatment of pd patients, therefore, it is essential that there should be
multidisciplinary collaboration between the neurologist, the neuropsychologist
and the psychiatrist.
PMID- 21898329
TI - [Cooperation between neurologist and psychiatrist improves care].
PMID- 21898330
TI - The fentanyl/etomidate-anesthetized beagle (FEAB) model in safety pharmacology
assessment.
AB - This unit describes a procedure for performing safety studies in the anesthetized
beagle dog. Detailed are the anesthetic regime, the surgical procedure, and all
materials needed to perform cardiovascular, central nervous system, and
respiratory safety studies in these animals. An overview of all parameters that
can be measured and calculated is provided, as are experimental protocols.
Endpoints discussed include hemodynamic, electrocardiological, respiratory,
arterial blood, and electroencephalogical parameters. Also presented are a
formula to correct QT interval for changes in core body temperature and an
overview of changes in ECG, MAP, and EEG traces that may occur during safety
studies. The information provided yields a multiparametric model for performing
reliable safety studies in anesthetized dogs.
PMID- 21898331
TI - Preparation of HCV NS3 and NS5B proteins to support small-molecule drug
discovery.
AB - Production of high-quality, well-characterized recombinant proteins facilitates
screening of compound libraries. The protocols detailed in this unit are used to
purify three recombinant enzymes that are widely used in HCV research: the HCV
NS3 protease domain, the helicase domain as an NS3+NS4A complex, and the NS5B RNA
dependent RNA polymerase. The active enzymes are purified to homogeneity by two
column chromatography to support a screening program for HCV inhibitors.
PMID- 21898332
TI - Biochemical evaluation of HCV NS3 protease inhibitors.
AB - This unit describes assays for characterizing the potency and mechanism of action
of NS3 protease inhibitors. Determination of IC(50) values is described using in
vitro expressed and purified NS3 protease. This assay can also be used for the
rapid exploration of structure-activity relationships. Another protocol describes
using the full-length NS3/4A complexes expressed in HCV replicon cell lines for a
rapid alternative method for assessing protease activity without requiring
conventional protein expression and purification. A method is then provided for
determination of inhibitor K(i), which more accurately assesses the potency of
inhibitors compared to the IC(50) assay, particularly for potent inhibitors that
reach the sensitivity limit for the basic IC(50) assay. The final protocol
describes how to determine the reversibility of inhibitor binding to the enzyme,
an important parameter that can affect the pharmacodynamic properties of a
compound.
PMID- 21898333
TI - Orthotopic models of esophageal carcinoma and their use in drug discovery.
AB - The protocol detailed in this unit is for the establishment of an orthotopic
model of human esophageal adenocarcinoma in NMRI/nu mice. The resultant tumor has
high metastatic potential, spreading readily to liver, lungs, and lymph nodes.
This model is useful for studying primary esophageal carcinoma, tumor biology,
pathogenesis, tumor progression, metastatic homing, and the efficacy of
therapeutic approaches for treating this condition. The practical use of this
preclinical model for drug discovery is illustrated with data from a study on the
chemotherapeutic effects of HER2-targeted therapy.
PMID- 21898334
TI - Pre-clinical mouse models of primary and metastatic pleural cancers of the lung
and breast and the use of bioluminescent imaging to monitor pleural tumor burden.
AB - Malignant pleural disease (MPD) results in an estimated 150,000 cases of
malignant pleural effusions (MPE) annually. The most common malignancies
associated with MPD are primary malignant pleural mesothelioma (MPM) and
metastatic lung cancer, breast cancer, and lymphoma. MPM is a rare, regionally
aggressive malignancy whose incidence is increasing secondarily to the latency of
disease progression. MPD is characteristic of advanced-stage pleural disease and
portends a grave clinical prognosis with a median survival between 3 and 12
months. Preclinical investigations conducted in flank and intraperitoneal tumor
models do not fully recapitulate the pleural tumor microenvironment, and the
results are not directly translatable to the clinical setting. The protocol
described herein provides a mouse model of MPM and MPD from nonhematogenous
tumors, resulting in reproducible tumor location, tumor progression, animal
survival, and histopathology. Pleural tumor growth in this model resembles the
regionally aggressive clinical course and tumor microenvironment of human pleural
cancers and provides an optimal animal model to investigate MPD biology and
therapies.
PMID- 21898335
TI - The colorimetric detection and quantitation of total protein.
AB - Protein quantification is an important step for handling protein samples for
isolation and characterization; it is a prerequisite step before submitting
proteins for chromatographic, electrophoretic, or immunochemical analysis and
separation. Colorimetric methods are fast, simple, and not laborious. This unit
describes a number of assays able to detect protein concentrations in the low
microgram to milligram per milliliter ranges in a variety of formats.
PMID- 21898336
TI - Transfection by electroporation.
AB - Electroporation--the use of high-voltage electric shocks to introduce DNA into
cells--can be used with most cell types, yields a high frequency of both stable
transformation and transient gene expression, and, because it requires fewer
steps, can be easier than alternate techniques. This unit describes
electroporation of mammalian cells, including ES cells for the preparation of
knock-out, knock-in, and transgenic mice. Protocols are described for the use of
electroporation in vivo to perform gene therapy for cancer therapy and DNA
vaccination. Also described are modifications for preparation and transfection of
plant protoplasts.
PMID- 21898337
TI - A dual-chamber model of the female genital tract to evaluate epithelial toxicity
of candidate anti-HIV microbicides.
AB - Heterosexual transmission of human immunodeficiency virus (HIV) is the
predominant mode of infection worldwide. The early steps of transepithelial
infection are crucial, but how exactly infection is established in the female
genital tract (FGT) is still under debate. Using epithelial cells originating
from the FGT and primary cells as subepithelial HIV target cells, an in vitro
dual-chamber model of the FGT was developed. Here we describe how this in vitro
model can be used to assess the cellular toxicity and anti-HIV activity of
antiretrovirals and formulations thereof that are intended to be used as
microbicides.
PMID- 21898338
TI - Quantitative colocalization analysis of confocal fluorescence microscopy images.
AB - Colocalization is an important finding in many cell biological studies. This unit
describes a protocol for quantitative evaluation of images with colocalization
based on the calculation of a number of specialized coefficients. First, images
of double-stained sections are subjected to background correction. Then, various
coefficients are calculated. Meanings of the coefficients and a guide to
interpretation of their results indicating either presence or absence of
colocalization are given. Success in colocalization studies depends on the
quality of analyzed images, proper preparation of the images for coefficients
calculations, and correct interpretation of obtained results. This protocol helps
to ensure reliability of colocalization coefficients calculations.
PMID- 21898339
TI - Immunoblotting and immunodetection.
AB - Immunoblotting (western blotting) is used to identify specific antigens
recognized by polyclonal or monoclonal antibodies. This unit provides protocols
for all steps, starting with solubilization of the protein samples, usually by
means of SDS and reducing agents. Following solubilization, the material is
separated by SDS-PAGE and the antigens are electrophoretically transferred to a
membrane, a process that can be monitored by reversible staining with Ponceau S.
The transferred proteins are bound to the surface of the membrane, providing
access to immunodetection reagents. After nonspecific binding sites are blocked,
the membrane is probed with the primary antibody and washed. The antibody-antigen
complexes are tagged with horseradish peroxidase or alkaline phosphatase coupled
to a secondary anti-IgG antibody, and detected using appropriate chromogenic or
luminescent substrates. Finally, membranes may be stripped and reprobed.
PMID- 21898340
TI - Symptomatic effects of chondroitin 4 and chondroitin 6 sulfate on hand
osteoarthritis: a randomized, double-blind, placebo-controlled clinical trial at
a single center.
AB - OBJECTIVE: To evaluate the symptomatic effects of highly purified chondroitin 4
and chondroitin 6 sulfate (CS) therapy in patients with osteoarthritis (OA) of
the hand. METHODS: This investigator-initiated, single-center, randomized, double
blind, placebo-controlled clinical trial included 162 symptomatic patients with
radiographic evidence of hand OA (American College of Rheumatology criteria).
Inclusion criteria included patient's assessment of global spontaneous hand pain
of at least 40 mm on a 0-100-mm visual analog scale (VAS) and functional
impairment of at least 6 (0-30 scale) on the Functional Index for Hand OA (FIHOA)
in the most symptomatic hand. Patients received either 800 mg of CS (n = 80
patients) or placebo (n = 82 patients) once daily for 6 months and were analyzed
in an intent-to-treat approach. The two primary outcomes were the change in the
patient's assessment of global spontaneous hand pain and in hand function (by
FIHOA score) from baseline to month 6. Secondary outcomes were improvement in
grip strength, duration of morning stiffness, acetaminophen consumption, and the
investigator's global impression of treatment efficacy. RESULTS: There was a
significantly more pronounced decrease in the patient's global assessment of hand
pain in the CS group than in the placebo group (difference VAS scores -8.7 mm; P
= 0.016). Hand function improved significantly more in the CS group than in the
placebo group (difference in FIHOA scores -2.14; P = 0.008). There was a
statistically significant between-group difference in favor of CS for the
duration of morning stiffness and for the investigator's global impression of
treatment efficacy. Changes in grip strength, acetaminophen consumption, and
safety end points were not significantly different between the two groups.
CONCLUSION: This study demonstrates that CS improves hand pain and function in
patients with symptomatic OA of the hand and shows a good safety profile.
PMID- 21898341
TI - Nongenomic glucocorticoid signaling: new targets for immunosuppressive therapy?
PMID- 21898342
TI - Immune responses against domain I of beta(2)-glycoprotein I are driven by
conformational changes: domain I of beta(2)-glycoprotein I harbors a cryptic
immunogenic epitope.
AB - OBJECTIVE: The presence of autoantibodies against a cryptic epitope in domain I
of beta(2)-glycoprotein I (beta(2)GPI) is strongly associated with thrombotic
events in patients with the antiphospholipid syndrome. We hypothesized that a
conformational change could be a trigger for the formation of antibodies against
domain I of beta(2)GPI. Therefore, we investigated whether immune responses
against beta(2)GPI are related to its conformation. METHODS: Conformational
changes in beta(2)GPI were studied using various techniques, either upon binding
to cardiolipin or after disruption of the internal disulfide bonds. The
immunogenicity of beta(2)GPI in different conformations as well as the individual
domains of beta(2)GPI were studied in vivo by monitoring the generation of
antibodies after intravenous administration of beta(2)GPI to mice. Furthermore,
plasma samples from these mice were assessed for lupus anticoagulant activity and
thrombin-antithrombin complex levels. RESULTS: We observed that the interaction
of beta(2)GPI with cardiolipin induced a conformational change in beta(2)GPI:
electron microscopy revealed that beta(2)GPI assembled into polymeric meshworks.
We next investigated the immunogenicity of both human and murine beta(2)GPI in
mice. Both human and murine beta(2)GPI combined with cardiolipin and misfolded
beta(2)GPI triggered antibody formation against the native protein as well as
against domain I of beta(2)GPI, while native beta(2)GPI was not immunogenic. In
addition, we observed that anti-domain I antibodies developed in mice injected
with domain I of beta(2)GPI, and that antibodies did not develop in mice injected
with domains II-V. The induced anti-domain I antibodies prolonged the dilute
Russell's viper venom plasma clotting time. The plasma of mice with anti-domain I
antibodies had increased levels of circulating thrombin-antithrombin complexes.
CONCLUSION: The results of our studies indicate that the exposure of cryptic
epitopes due to conformational changes in beta(2)GPI can induce autoantibody
formation.
PMID- 21898343
TI - Origin and functional activity of the membrane-bound glucocorticoid receptor.
AB - OBJECTIVE: Glucocorticoids (GCs) exert their antiinflammatory and
immunosuppressive effects in humans primarily via the cytosolic GC receptor (cGR)
but also via rapid, nongenomic mechanisms. Most likely, membrane-bound GRs (mGR)
are involved in nongenomic GC signaling. The aim of this study was to investigate
the origin and functional activity of mGR. METHODS: We analyzed the origin of mGR
using mGR-expressing HEK 293T cells, by transient and stable RNA interference
mediated GR reduction. GR messenger RNA (mRNA) and cGR and mGR protein levels
were analyzed by real-time quantitative polymerase chain reaction,
immunoblotting, and high-sensitivity immunofluorescence staining. Furthermore, we
analyzed the functional activity of mGR, using membrane-impermeable bovine serum
albumin (BSA)-bound dexamethasone (DEX-BSA) in human monocytes. Membrane-bound GR
expressing monocytes were treated with DEX, DEX-BSA, or BSA. Cell lysates were
analyzed using PepChip arrays in order to identify kinases triggered by DEX-BSA,
with validation using Bio-Plex assays and immunoblotting. RESULTS: Our data
showed that transient reduction of GR mRNA in HEK 293T cells decreased cGR
protein levels but not mGR protein levels. However, stably transfected cells
showed reduced cGR protein expression and significantly reduced mGR protein
expression. Furthermore, 51 kinase substrates were identified for which
phosphorylation was either reduced or increased. We observed p38 MAP kinase
(MAPK) as one possible upstream kinase. Validation of these data by Bio-Plex
phosphoprotein assay and immunoblotting showed increased phosphorylation of p38
MAPK after treatment with DEX-BSA. CONCLUSION: Our data demonstrate that the
human GR gene encodes for both cGR and mGR. Membrane-bound GR retains functional
activity, as indicated by induced phosphorylation of p38 MAPK due to DEX-BSA
treatment. Membrane-bound GR-mediated cellular signaling needs to be investigated
further in order to clarify its therapeutic potential.
PMID- 21898344
TI - Treatment of pediatric Erdheim-Chester disease with interleukin-1-targeting
drugs.
PMID- 21898345
TI - Evidence of the contribution of the X chromosome to systemic sclerosis
susceptibility: association with the functional IRAK1 196Phe/532Ser haplotype.
AB - OBJECTIVE: Several autoimmune disorders, including systemic sclerosis (SSc), are
characterized by a strong sex bias. To date, it is not known whether genes on the
sex chromosomes influence SSc susceptibility. Recently, an IRAK1 haplotype that
contains the 196Phe functional variant (rs1059702), located on Xq28, was found to
confer susceptibility to systemic lupus erythematosus (SLE). This study was
undertaken to test for an association between SSc and the IRAK1 SLE risk
haplotype. METHODS: We tested for an association with the IRAK1 SLE risk
haplotype in a discovery set of 849 SSc patients and 625 controls. IRAK1
rs1059702 was further genotyped in a replication set, which included Caucasian
women from Italy (493 SSc patients and 509 controls) and Germany (466 SSc
patients and 1,083 controls). RESULTS: An association between the IRAK1 haplotype
and SSc was detected in the discovery set. In both the discovery and replication
sets, the rs1059702 TT genotype was found to be associated with specific SSc
subsets, highlighting a potential contribution to disease severity. A meta
analysis provided evidence of an association of both the T allele and TT genotype
with the overall disease, with an odds ratio (OR) of 1.20 and 95% confidence
interval (95% CI) of 1.06-1.35 for the T allele (P = 0.003) and an OR of 1.49 and
95% CI of 1.06-2.10 for the TT genotype (P = 0.023). However, the most notable
associations were observed with the diffuse cutaneous, anti-topoisomerase I
antibody positive, and SSc-related fibrosing alveolitis subsets (OR 2.35 [95% CI
1.51-3.66], P = 1.56 * 10(-4), OR 2.84 [95% CI 1.87-4.32], P = 1.07 * 10(-6), and
OR 2.09 [95% CI 1.35-3.24], P = 9.05 * 10(-4), respectively). CONCLUSION: Our
study provides the first evidence of an association between IRAK1 and SSc,
demonstrating that a sex chromosome gene directly influences SSc susceptibility
and its phenotypic heterogeneity.
PMID- 21898346
TI - Independent association of joint space narrowing and osteophyte formation at the
knee with health-related quality of life in Japan: a cross-sectional study.
AB - OBJECTIVE: To clarify the individual associations of joint space narrowing (JSN)
and osteophytosis at the knee with quality of life (QOL) in Japanese men and
women using a large-scale population-based cohort from the Research on
Osteoarthritis Against Disability (ROAD) study. METHODS: The associations of
minimum joint space width (JSW) and osteophyte area in the medial compartment of
the knee with QOL parameters, such as the Western Ontario and McMaster
Universities Osteoarthritis Index (WOMAC), were examined. Minimum JSW and
osteophyte area in the medial compartment of the knee were measured using a
computer-aided system for the diagnosis of knee osteoarthritis. RESULTS: Of the
3,040 participants in the ROAD study, the present study included 2,039
participants age 40 years or older who completed the questionnaires (741 men and
1,298 women with a mean +/- SD age of 68.6 +/- 10.9 years). Multiple regression
analysis after adjustment for age and body mass index showed that minimum JSW was
significantly associated with scores on the pain domains of the WOMAC in men and
women, while osteophyte area was significantly associated with scores on the
physical function domains of the WOMAC in men and women. CONCLUSION: The findings
of this cross-sectional study using a large-scale population from the ROAD study
indicate that JSN and osteophytosis are independently associated with QOL.
PMID- 21898347
TI - Clinical images: epidural hematoma in ankylosing spondylitis.
PMID- 21898348
TI - Distinguishing the proapoptotic and antiresorptive functions of risedronate in
murine osteoclasts: role of the Akt pathway and the ERK/Bim axis.
AB - OBJECTIVE: Nitrogen-containing bisphosphonates are one of the most successful
therapeutics for osteoporosis. The aim of this study was to elucidate the
functional mechanism of one of the typical nitrogen-containing bisphosphonates,
risedronate. METHODS: Osteoclasts generated from murine bone marrow macrophages
were treated with risedronate in vitro, and its effects on apoptosis and bone
resorbing activity were examined. The mechanism of action of risedronate was
examined by gene induction of constitutively active Akt-1 and constitutively
active MEK-1, and by gene deletion of Bim. Bim(-/-) mice, in which osteoclasts
were resistant to apoptosis, were treated with risedronate and analyzed
radiographically, biochemically, and histologically. RESULTS: Risedronate induced
osteoclast apoptosis through the mitochondria-dependent pathway with an increased
expression of Bim, and the proapoptotic effect of risedronate was suppressed by
Bim deletion and constitutively active MEK-1 introduction. In contrast, the
risedronate-induced suppression of bone resorption was completely reversed by
inducing constitutively active Akt-1, but not by Bim deletion or constitutively
active MEK-1 introduction. These results suggested that apoptosis and bone
resorbing activity of osteoclasts were regulated through the ERK/Bim axis and the
Akt pathway, respectively, both of which were suppressed by risedronate. Although
osteoclast apoptosis in response to risedronate administration was suppressed in
the Bim(-/-) mice, risedronate treatment increased bone mineral density in Bim(
/-) mice at a level equivalent to that in wild-type mice. CONCLUSION: Our
findings indicate that the antiresorptive effect of risedronate in vivo is mainly
mediated by the suppression of the bone-resorbing activity of osteoclasts and not
by the induction of osteoclast apoptosis.
PMID- 21898350
TI - Rheumatoid factor: the end of the term as we know it? Comment on the editorial by
Liao et al.
PMID- 21898349
TI - Localized expression of tenascin in systemic sclerosis-associated pulmonary
fibrosis and its regulation by insulin-like growth factor binding protein 3.
AB - OBJECTIVE: To determine the role of insulin-like growth factor binding protein 3
(IGFBP-3) in mediating the effects of transforming growth factor beta (TGFbeta)
on tenascin-C (TN-C) production and to assess the levels of TN-C in vivo in
patients with systemic sclerosis (SSc)-associated pulmonary fibrosis. METHODS:
Human primary lung fibroblasts were stimulated with TGFbeta or IGFBP-3 in the
presence or absence of specific small interfering RNAs and chemical inhibitors of
the signaling cascade. TN-C levels in lung tissue specimens obtained from
patients with SSc-associated pulmonary fibrosis were assessed using
immunohistochemical analysis and were compared with the levels in specimens
obtained from normal donors. TN-C levels were quantified in sera from normal
donors and patients with SSc with or without pulmonary fibrosis, using an enzyme
linked immunosorbent assay. RESULTS: IGFBP-3 mediated the induction of TN-C by
TGFbeta. Direct induction of TN-C by IGFBP-3 occurred in a p38 MAP kinase
dependent manner. TN-C levels were abundant in lung tissues from patients with
SSc and were localized to subepithelial layers of the distal airways. No TN-C was
detectable around the proximal airways. Patients with SSc-associated pulmonary
fibrosis had significantly higher levels of circulating TN-C compared with SSc
patients without pulmonary fibrosis. Longitudinal samples obtained from patients
with SSc before and after the onset of pulmonary fibrosis showed increased levels
of TN-C after the onset of pulmonary fibrosis. CONCLUSION: IGFBP-3, which is
overexpressed in fibrotic lungs, induces production of TN-C by subepithelial
fibroblasts. The increased lung tissue levels of TN-C parallel the levels
detected in the sera of SSc patients with pulmonary fibrosis, suggesting that TN
C may be a useful biomarker for SSc-related pulmonary fibrosis.
PMID- 21898351
TI - A two-stage approach to the treatment of hyperuricemia in gout: the "dirty dish"
hypothesis.
AB - OBJECTIVE: It is commonly accepted that the target serum urate level in patients
receiving urate-lowering therapy for dissolution of urate crystals in
hyperuricemia of gout is <6 mg/dl, and that patients with gout should continue
urate-lowering therapy for the rest of their lives. This study was undertaken to
reevaluate whether this stringent therapeutic target to dissolve crystals must be
maintained lifelong to prevent new crystal formation. METHODS: In a prospective
cohort of 211 patients with gout, urate-lowering therapy was withdrawn after 5
years if no tophus was present at baseline, or 5 years after resolution of the
last tophus. Data on recurrence of gout and on serum urate levels and other
potentially associated variables were analyzed. RESULTS: Multivariate regression
analysis of time to crystal-proven recurrence of gout showed that serum urate
levels during urate-lowering treatment and after its withdrawal were
independently related to gout recurrence. None of the patients who had average
serum urate levels of <7 mg/dl after urate-lowering therapy withdrawal developed
a crystal-proven recurrence of gout. Post hoc analysis showed that weight loss
and use of drugs that lower serum urate, such as losartan or fenofibrate, were
associated with serum urate levels of <7 mg/dl during followup after urate
lowering therapy withdrawal; use of diuretics was associated with failure to
achieve serum urate levels of <7 mg/dl during followup. CONCLUSION: Our data
support the hypothesis that after appropriate long-term treatment of
hyperuricemia in gout with urate crystal dissolution being the therapeutic
target, lifelong treatment can be targeted to achieve serum urate levels just
below the threshold for saturation to avoid new crystal formation, similar to
cleaning a dirty dish: more is required to get it clean than to keep it clean.
PMID- 21898352
TI - What do microRNAs mean for rheumatoid arthritis?
PMID- 21898353
TI - Dimethylarginine dimethylaminohydrolase 2, a newly identified mitochondrial
protein modulating nitric oxide synthesis in normal human chondrocytes.
AB - OBJECTIVE: The mitochondrion is known to be important to chondrocyte survival.
This study was undertaken to analyze protein expression profiles in chondrocyte
mitochondria that are affected by interleukin-1beta (IL-1beta). METHODS: Normal
human chondrocytes were isolated from knee cartilage obtained at autopsy from
subjects with no history of joint disease. Cells were incubated for 48 hours with
or without IL-1beta (5 ng/ml). Proteins were separated by 2-dimensional
electrophoresis and stained with Sypro Ruby, Coomassie brilliant blue, or silver.
Qualitative and quantitative analyses were carried out using PDQuest software.
Proteins were identified by mass spectrometry using matrix-assisted laser
desorption ionization-time-of-flight/time-of-flight technology. The proteomic
results were validated by real-time polymerase chain reaction, Western blotting,
and microscopy. Nitric oxide (NO) was quantified using Griess reagent. RESULTS:
Comparative analysis revealed differential expression of signal transduction
proteins that regulate cytoskeleton, transcription, metabolic, and stress-related
pathways. In total extracts, dimethylarginine dimethylaminohydrolase 2 (DDAH-2)
did not show any change in expression after stimulation with IL-1beta. However,
in mitochondrial extracts, DDAH-2 expression was significantly increased after
exposure to IL-1beta. Conventional immunofluorescence and confocal microscopy
revealed the presence of DDAH-2 in the mitochondria of IL-1beta-stimulated
chondrocytes. These results were reproducible in cartilage explants treated with
IL-1beta. In addition, we demonstrated that inhibition of the expression of DDAH
2, as well as interruption of its translocation to the mitochondria, reduced the
NO production induced by IL-1beta. DDAH-2 protein expression was higher in
osteoarthritic (OA) cartilage than in normal cartilage. CONCLUSION: In the
present study, the presence of DDAH-2 in normal human chondrocytes and cartilage
was identified for the first time. DDAH-2 could play an important role in IL
1beta-induced NO production and in OA pathogenesis.
PMID- 21898354
TI - Observational studies on the risk of cancer associated with tumor necrosis factor
inhibitors in rheumatoid arthritis: a review of their methodologies and results.
PMID- 21898355
TI - Treatment with tumor necrosis factor inhibitors and the risk of acute coronary
syndromes in early rheumatoid arthritis.
AB - OBJECTIVE: Rheumatoid arthritis (RA) is associated with an increased risk of
ischemic heart disease, in both early and established RA. Data on the risk of
ischemic heart disease in relation to therapy with tumor necrosis factor (TNF)
antagonists (anti-TNF) are conflicting in patients with established RA and
essentially lacking in those with early RA. In established RA, the risk of
myocardial infarction has been linked to the response to anti-TNF therapies. The
aim of this study was to determine the risk of acute coronary syndromes (ACS) in
patients with early RA in relation to treatment with, and response to, anti-TNF.
METHODS: A cohort consisting of patients in whom RA was diagnosed between 1999
and 2007 was identified from the Swedish Rheumatology Register (n=6,000), from
which information on disease activity and pharmacologic treatments was extracted.
In a cohort study, the risk of first occurrence of an ACS was compared between
patients treated with anti-TNF and those without exposure to anti-TNF, using
hazard ratios (HRs). In a nested case-control study, the relationship between
response to anti-TNF according to the European League Against Rheumatism (EULAR)
response criteria and the risk of ACS was investigated. RESULTS: In the cohort
study, treatment with anti-TNF was not related to any statistically significant
alteration in the risk of ACS (HR 0.80, 95% confidence interval [95% CI] 0.52
1.24). In the nested case-control study, a good or moderate EULAR treatment
response at 3 months and at 6 months was not associated with a risk of ACS (odds
ratio [OR] 1.7, 95% CI 0.5-5.1 and OR 1.5, 95% CI 0.3-6.9, respectively), when
adjusted for disease activity before treatment start. CONCLUSION: In this study
of patients treated with anti-TNF within the first years of RA, neither treatment
with, nor response to, anti-TNF therapy could be linked to any statistically
significant decrease in the risk of ACS.
PMID- 21898356
TI - Macrophage positron emission tomography imaging as a biomarker for preclinical
rheumatoid arthritis: findings of a prospective pilot study.
AB - OBJECTIVE: To conduct a prospective pilot study to determine whether macrophage
targeting by 11C-(R)-PK11195 positron emission tomography (PET) can visualize
subclinical synovitis in arthralgia patients who have anti-citrullinated protein
antibodies (ACPAs). METHODS: Twenty-nine arthralgia patients who were positive
for ACPAs but did not have clinical arthritis were studied. High (spatial)
resolution 11C-(R)-PK11195 PET scans of the hands and wrists were performed. For
all metacarpophalangeal, proximal interphalangeal, and wrist joints (i.e., 22
joints per patient), tracer uptake was scored semiquantitatively (0-3 scale) by 2
observers who were blinded with regard to the clinical data. Patients were
followed up prospectively for 24 months to investigate the development of
clinical arthritis. RESULTS: Overall agreement and kappa values for the readings
of the 2 observers were, respectively, 97% and 0.91 (95% confidence interval [95%
CI] 0.74-1) at the patient level and 99% and 0.81 (95% CI 0.65-0.96) at the joint
level. In 4 patients, at least 1 and as many as 5 PET-positive joints (score>=1)
were found at baseline. Within 2 years of followup, 9 patients had developed
clinical arthritis. This included all 4 patients with positive findings on the
11C-(R)-PK11195 scan, who developed clinical arthritis in the hand/wrist region,
as identified on PET scans. Of the 5 remaining arthritis patients with negative
findings on PET scans, 2 developed arthritis in the hand joints and 3 developed
arthritis at locations outside the field of view of the PET scanner. CONCLUSION:
Subclinical arthritis in ACPA-positive arthralgia patients could be visualized by
11C-(R)-PK11195 PET scanning and was associated with development of arthritis
within 2 years of followup. This indicates that 11C-(R)-PK11195 PET may be useful
in determining arthritis activity in the preclinical phase of RA.
PMID- 21898357
TI - Prostaglandin D2 regulates joint inflammation and destruction in murine collagen
induced arthritis.
AB - OBJECTIVE: Prostaglandin D2 (PGD2) may exert proinflammatory or antiinflammatory
effects in different biologic systems. Although this prostanoid and the enzymes
responsible for its synthesis are up-regulated by interleukin-1beta (IL-1beta) in
human chondrocytes in vitro, the role of PGD2 in arthritis remains unclear. This
study was undertaken to investigate the role of PGD2 in the inflammatory response
and in joint destruction during the development of collagen-induced arthritis
(CIA) in mice. METHODS: PGD2 and cytokine levels in mice with CIA were determined
by enzyme-linked immunosorbent assay. Expression of hematopoietic PGD synthase (h
PGDS), lipocalin-type PGD synthase (l-PGDS), and DP1 and DP2 receptors was
analyzed by immunohistochemical methods. PGE2 levels were determined by
radioimmunoassay. RESULTS: The arthritic process up-regulated the expression of h
PGDS, l-PGDS, DP1, and DP2 in articular tissue. PGD2 was produced in the joint
during the early phase of arthritis, and serum PGD2 levels increased
progressively throughout the arthritic process, reaching a maximum during the
late stages of CIA. Treatment of arthritic mice with the DP1 antagonist MK0524
soon after the onset of disease increased the incidence and severity of CIA as
well as the local levels of IL-1beta, CXCL-1, and PGE2, whereas IL-10 levels were
reduced. The administration of the DP2 antagonist CAY10595 did not modify the
severity of arthritis. The injection of PGD2 into the paw, as well as the
administration of the DP1 agonist BW245C, significantly lowered the incidence of
CIA, the inflammatory response, and joint damage. CONCLUSION: Our findings
indicate that PGD2 is produced in articular tissue during the development of CIA
and plays an antiinflammatory role, acting through the DP1 receptor.
PMID- 21898358
TI - The relationship of vibratory perception to dynamic joint loading, radiographic
severity, and pain in knee osteoarthritis.
AB - OBJECTIVE: Patients with knee osteoarthritis (OA) have been shown to have
somatosensory deficits of the lower extremity. This study was designed to assess
the association of these deficits with dynamic joint loading and their
relationship to the structural and symptomatic severity of knee OA. METHODS:
Subjects with symptomatic knee OA underwent evaluation of the vibratory
perception threshold (VPT) using a biothesiometer at 5 sites at the lower
extremity. Dynamic joint loading was assessed through gait analyses. Knee pain
was evaluated using a visual analog scale score for pain based on the Western
Ontario and McMaster Universities OA Index. Radiographic severity of knee OA was
assessed using the Kellgren/Lawrence (K/L) grading scale on radiographs obtained
with the knee in a standing position. RESULTS: Dynamic knee joint loading was
directly associated with the VPT at the metatarsophalangeal (MTP) joint
(Spearman's rho=0.384, P=0.033), indicating that the worse the vibratory sense,
the higher the knee load during gait. The K/L severity grade was directly
associated with the VPT at the MTP joint and lateral femoral condyle, after
adjustment for age, sex, body mass index, and knee pain. After adjustment for
confounders, there were no significant associations observed between the VPT and
pain at any of the sites tested. CONCLUSION: These findings demonstrate an
association between greater somatosensory deficits and higher dynamic loads in
OA. They also demonstrate structural consequences associated with somatosensory
deficits in OA, since the extent of sensory loss directly correlated with the
radiographic severity of knee OA. However, there was no relationship observed
between vibratory sense and symptomatic knee OA pain.
PMID- 21898360
TI - Th2 and regulatory immune reactions contribute to IgG4 production and the
initiation of Mikulicz disease.
AB - OBJECTIVE: Mikulicz disease has been considered to be a subtype of Sjogren's
syndrome (SS). However, recent studies have suggested that Mikulicz disease is an
IgG4-related disease and is distinguishable from SS. In addition, it has been
reported that both interleukin-4 (IL-4) and IL-10 induce IgG4 production and
inhibit IgE. This study was undertaken to examine the expression of these
cytokines in patients with Mikulicz disease and patients with SS. METHODS: Labial
salivary gland (LSG) sections from 15 patients with Mikulicz disease and 18
patients with SS were examined for subsets of the infiltrating lymphocytes,
expression patterns of messenger RNA (mRNA) for cytokines/chemokines, and
relationships between the IgG4:IgG ratio and the expression of mRNA for IL-4 or
IL-10. RESULTS: Immunohistochemical analysis showed lymphocyte infiltration of
various subsets in the LSGs of SS patients, and the selective infiltration of
IgG4-positive plasma cells and Treg cells in the LSGs of Mikulicz disease
patients. The levels of mRNA for both Th1 and Th2 cytokines and chemokines in
LSGs from patients with SS were significantly higher than in controls, while the
expression of both Th2 and Treg cells was significantly higher in the patients
with Mikulicz disease than in controls. Furthermore, the expression of IL-4 or IL
10 in the LSGs was correlated with the IgG4:IgG ratio. CONCLUSION: These results
suggest that the pathogenesis of Mikulicz disease is different from that of SS.
Mikulicz disease is a unique inflammatory disorder characterized by Th2 and
regulatory immune reactions that might play key roles in IgG4 production.
PMID- 21898361
TI - Investigating diproline segments in proteins: occurrences, conformation and
classification.
AB - The covalent linkage between the side-chain and the backbone nitrogen atom of
proline leads to the formation of the five-membered pyrrolidine ring and hence
restriction of the backbone torsional angle phi to values of -60 degrees +/- 30
degrees for the L-proline. Diproline segments constitute a chain fragment with
considerably reduced conformational choices. In the current study, the
conformational states for the diproline segment (( L) Pro-( L) Pro) found in
proteins has been investigated with an emphasis on the cis and trans states for
the Pro-Pro peptide bond. The occurrence of diproline segments in turns and other
secondary structures has been studied and compared to that of Xaa-Pro-Yaa
segments in proteins which gives us a better understanding on the restriction
imposed on other residues by the diproline segment and the single proline
residue. The study indicates that P(II) -P(II) and P(II) -alpha are the most
favorable conformational states for the diproline segment. The analysis on Xaa
Pro-Yaa sequences reveals that the Xaa-Pro peptide bond exists preferably as the
trans conformer rather than the cis conformer. The present study may lead to a
better understanding of the behavior of proline occurring in diproline segments
which can facilitate various designed diproline-based synthetic templates for
biological and structural studies.
PMID- 21898359
TI - Cyclin-dependent kinase inhibitor p21, via its C-terminal domain, is essential
for resolution of murine inflammatory arthritis.
AB - OBJECTIVE: The mechanism responsible for persistent synovial inflammation in
rheumatoid arthritis (RA) is unknown. Previously, we demonstrated that expression
of the cyclin-dependent kinase inhibitor p21 is reduced in synovial tissue from
RA patients compared to osteoarthritis patients and that p21 is a novel
suppressor of the inflammatory response in macrophages. The present study was
undertaken to investigate the role and mechanism of p21-mediated suppression of
experimental inflammatory arthritis. METHODS: Experimental arthritis was induced
in wild-type or p21-/- (C57BL/6) mice, using the K/BxN serum-transfer model. Mice
were administered p21 peptide mimetics as a prophylactic for arthritis
development. Lipopolysaccharide-induced cytokine and signal transduction pathways
in macrophages that were treated with p21 peptide mimetics were examined by
Luminex-based assay, flow cytometry, or enzyme-linked immunosorbent assay.
RESULTS: Enhanced and sustained development of experimental inflammatory
arthritis, associated with markedly increased numbers of macrophages and severe
articular destruction, was observed in p21-/- mice. Administration of a p21
peptide mimetic suppressed activation of macrophages and reduced the severity of
experimental arthritis in p21-intact mice only. Mechanistically, treatment with
the p21 peptide mimetic led to activation of the serine/threonine kinase Akt and
subsequent reduction of the activated isoform of p38 MAPK in macrophages.
CONCLUSION: These are the first reported data to reveal that p21 has a key role
in limiting the activation response of macrophages in an inflammatory disease
such as RA. Thus, targeting p21 in macrophages may be crucial for suppressing the
development and persistence of RA.
PMID- 21898362
TI - Structure and properties of camptothecin derivatives, their protonated forms, and
model interaction with the topoisomerase I-DNA complex.
AB - The structure and properties of the 11 Camptothecin derivatives (CPTs) and their
different mono-, di-, and triprotonated forms, depending on the number of proton
accepting centers in the molecules are studied both theoretically and
experimentally by quantum chemical approaches, electronic absorption, and CD
spectroscopy. The study of the protonated forms of the CPTs and search of the
electron-withdrawing groups is crucial of the water-solubility of the novel
medications. Thus, the model interaction of the different protonated molecular
species with the Topoisomerase I-DNA complex are elucidated and discussed with a
view to understand the mode of binding of the CPTs depending on the type of the
substituents and pH of the medium.
PMID- 21898363
TI - Invited review current progress and limitations of spider silk for biomedical
applications.
AB - Spider silk is a fascinating material combining remarkable mechanical properties
with low density and biodegradability. Because of these properties and historical
descriptions of medical applications, spider silk has been proposed to be the
ideal biomaterial. However, overcoming the obstacles to produce spider silk in
sufficient quantities and in a manner that meets regulatory demands has proven to
be a difficult task. Also, there are relatively few studies of spider silk in
biomedical applications available, and the methods and materials used vary a lot.
Herein we summarize cell culture- and in vivo implantation studies of natural and
synthetic spider silk, and also review the current status and future challenges
in the quest for a large scale production of spider silk for medical
applications.
PMID- 21898364
TI - Effects of ring contraction on the conformational preferences of alpha
substituted proline analogs.
AB - The structural consequences derived from the incorporation of either a methyl or
a phenyl group at the alpha carbon of proline were recently investigated by
quantum mechanical calculations (J Org Chem 2008, 73, 3418). In this work, the
effect produced by contraction of the pyrrolidine ring on such alpha-substituted
proline analogs has been explored using the same computational methods.
Specifically, the intrinsic conformational preferences of the N-acetyl-N'
methylamide derivatives of the lower proline homolog L-azetidine-2-carboxylic
acid (Aze), characterized by a four- instead of a five-membered ring, and its
alpha-methyl (alphaMeAze) and alpha-phenyl (alphaPhAze) derivatives have been
determined using quantum mechanical calculations and compared to those observed
before for the proline counterparts. Replacement of the pyrrolidine ring by an
azetidine cycle leads to a reduction of the conformational flexibility,
especially for the Aze and alphaMeAze derivatives, which should be attributed to
the quasi-planar geometry of the four-membered ring. Furthermore, the azetidine
nitrogen shows pyramidalization, which depending on the peptide backbone
conformation favors the formation of an attractive N-H...N interaction or
alleviates a severe steric hindrance. Calculations on different environments
predict that the tendency of alphaMeAze to adopt gamma-turns is higher than that
of unsubstituted Aze and alpha-methylproline, this feature being in full
agreement with the experimental observations available.
PMID- 21898365
TI - Structure and pH-induced alterations of recombinant and natural spider silk
proteins in solution.
AB - The spinning process of spiders can modulate the mechanical properties of their
silk fibers. It is therefore of primary importance to understand what are the key
elements of the spider spinning process to develop efficient industrial spinning
processes. We have exhaustively investigated the native conformation of major
ampullate silk (MaS) proteins by comparing the content of the major ampullate
gland of Nephila clavipes, solubilized MaS (SolMaS) fibers and the recombinant
proteins rMaSpI and rMaSpII using (1) H solution NMR spectroscopy. The results
indicate that the protein secondary structure is basically identical for the
recombinant protein rMaSpI, SolMaS proteins, and the proteins in the dope, and
corresponds to a disordered protein rich in 3(1) -helices. The data also show
that glycine proton chemical shifts of rMaSpI and SolMaS are affected by pH, but
that this change is not due to a modification of the secondary structure. Using a
combination of NMR and dynamic light scattering, we have found that the spectral
alteration of glycine is concomitant to a modification of the hydrodynamical
diameter of recombinant and solubilized MaS. This led us to suggest new potential
roles for the pH acidification in the spinning process of MaS proteins.
PMID- 21898366
TI - Using FTIR to predict saccharification from enzymatic hydrolysis of alkali
pretreated biomasses.
AB - Fourier transform infrared, attenuated total reflectance (FTIR-ATR) spectroscopy
combined with partial least squares (PLS) regression accurately predicted 72-h
glucose and xylose conversions (g sugars/100 g potential sugars) and yields (g
sugars/100 g dry solids) from cellulase-mediated hydrolysis of alkali-pretreated
lignocellulose. Six plant biomasses that represent a variety of potential biofuel
feedstocks--two switchgrass cultivars, big bluestem grass, a low-impact, high
diversity mixture of 32 species of prairie biomasses, mixed hardwood, and corn
stover--were subjected to four levels of low-temperature NaOH pretreatment to
produce 24 samples with a wide range of potential digestibility. PLS models were
constructed by correlating FTIR spectra of pretreated samples to measured values
of gluose and xylose conversions and yields. Variable selection, based on 90%
confidence intervals of regression-coefficient matrices, improved the predictive
ability of the models, while simplifying them considerably. Final models
predicted sugar conversions with coefficient of determination for cross
validation (Q(2)) values of 0.90 for glucose and 0.89 for xylose, and sugar
yields with Q(2) values of 0.92 for glucose and 0.91 for xylose. The sugar-yield
models are noteworthy for their ability to predict enzymatic saccharification per
mass dry solids without a priori knowledge of the composition of the solids. All
peaks retained in the final regression coefficient matrices were previously
assigned to chemical bonds and functional groups in lignocellulose, demonstrating
that the models were based on real chemical information. This study demonstrates
that FTIR spectroscopy combined with PLS regression can be used to rapidly
estimate sugar conversions and yields from enzymatic hydrolysis of pretreated
plant biomass.
PMID- 21898367
TI - Kinetic and growth parameters of Arthrospira (Spirulina) platensis cultivated in
tubular photobioreactor under different cell circulation systems.
AB - Arthrospira platensis was cultivated in tubular photobioreactor in order to
evaluate growth and biomass production at variable photosynthetic photon flux
density (PPFD = 60, 120, and 240 umol photons m(-2)s(-1)) and employing three
different systems for cell circulation, specifically an airlift, a motor-driven
pumping and a pressurized system. The influence of these two independents
variables on the maximum cell concentration (X(m)), cell productivity (P(x)),
nitrogen-to-cell conversion factor (Y(X/N) ), photosynthetic efficiency (PE), and
biomass composition (total lipids and proteins), taken as responses, was
evaluated by analysis of variance. The statistical analysis revealed that the
best combination of responses' mean values (X(m) = 4,055 mg L(-1), P(x) = 406 mg
L(-1)day(-1), Y(X/N) = 5.07 mg mg(-1), total lipids = 8.94%, total proteins =
30.3%, PE = 2.04%) was obtained at PPFD = 120 umol photons m(-2)s(-1); therefore,
this light intensity should be considered as the most well-suited for A.
platensis cultivation in this photobioreactor configuration. The airlift system
did not exert any significant positive statistical influence on the responses,
which suggests that this traditional cell circulation system could successfully
be substituted by the others tested in this work.
PMID- 21898368
TI - Growth and productivity impacts of periplasmic nuclease expression in an
Escherichia coli Fab' fragment production strain.
AB - Host cell engineering is becoming a realistic option in whole bioprocess
strategies to maximize product manufacturability. High molecular weight (MW)
genomic DNA currently hinders bioprocessing of Escherichia coli by causing
viscosity in homogenate feedstocks. We previously showed that co-expressing
Staphylococcal nuclease and human Fab' fragment in the periplasm of E. coli
enables auto-hydrolysis of genomic DNA upon cell disruption, with a consequent
reduction in feedstock viscosity and improvement in clarification performance.
Here we report the impact of periplasmic nuclease expression on stability of DNA
and Fab' fragment in homogenates, host-strain growth kinetics, cell integrity at
harvest and Fab' fragment productivity. Nuclease and Fab' plasmids were shown to
exert comparable levels of growth burden on the host W3110 E. coli strain.
Nuclease co-expression did not compromise either the growth performance or
volumetric yield of the production strain. 0.5 g/L Fab' fragment (75 L scale) and
0.7 g/L (20 L scale) was achieved for both unmodified and cell-engineered
production strains. Unexpectedly, nuclease-modified cells achieved maximum Fab'
levels 8-10 h earlier than the original, unmodified production strain. Scale-down
studies of homogenates showed that nuclease-mediated hydrolysis of high MW DNA
progressed to completion within minutes of homogenization, even when homogenates
were chilled on ice, with no loss of Fab' product and no need for additional co
factors or buffering.
PMID- 21898369
TI - Enzyme precipitate coatings of glucose oxidase onto carbon paper for biofuel cell
applications.
AB - Enzymatic biofuel cells (BFC) have a great potential as a small power source, but
their practical applications are being hampered by short lifetime and low power
density. This study describes the direct immobilization of glucose oxidase (GOx)
onto the carbon paper in the form of highly stable and active enzyme
precipitation coatings (EPCs), which can improve the lifetime and power density
of BFCs. EPCs were fabricated directly onto the carbon paper via a three-step
process: covalent attachment (CA), enzyme precipitation, and chemical
crosslinking. GOx-immobilized carbon papers via the CA and EPC approaches were
used as an enzyme anode and their electrochemical activities were tested under
the BFC-operating mode. The BFCs with CA and EPC enzyme anodes produced the
maximum power densities of 50 and 250 uW/cm(2) , respectively. The BFC with the
EPC enzyme anode showed a stable current density output of >700 uA/cm(2) at 0.18
V under continuous operation for over 45 h. When a maple syrup was used as a fuel
under ambient conditions, it also produced a stable current density of >10
uA/cm(2) at 0.18 V for over 25 h. It is anticipated that the direct
immobilization of EPC on hierarchical-structured electrodes with a large surface
area would further improve the power density of BFCs that can make their
applications more feasible.
PMID- 21898370
TI - Mobile phase modifier effects in multimodal cation exchange chromatography.
AB - This study examines protein adsorption behavior and the effects of mobile phase
modifiers in multimodal chromatographic systems. Chromatography results with a
diverse protein library indicate that multimodal and ion exchange resins have
markedly different protein binding behavior and selectivity. NMR results
corroborate the stronger binding observed for the multimodal system and provide
insight into the structural basis for the observed binding behavior. Protein
binding affinity and selectivity in multimodal and ion exchange systems are then
examined using a variety of mobile phase modifiers. Arginine and guanidine are
found to have dramatic effects on protein adsorption, yielding changes in
selectivity in both chromatographic systems. While sodium caprylate leads to
slightly weaker chromatographic retention for most proteins, certain proteins
exhibit significant losses in retention in both systems. The presence of a
competitive binding mechanism between the multimodal ligand and sodium caprylate
for binding to ubiquitin is confirmed using STD NMR. Polyol mobile phase
modifiers are shown to result in increased retention for weakly bound proteins
and decreased retention for strongly bound proteins, indicating that the overall
retention behavior is determined by a balance between changes in electrostatic
and hydrophobic interactions. This work provides an improved understanding of
protein adsorption and mobile phase modifier effects in multimodal
chromatographic systems and sets the stage for future work to develop more
selective protein separation systems.
PMID- 21898371
TI - Smoking cessation telephone quitlines effective regardless of recruitment method.
PMID- 21898372
TI - Exemestane is effective for the chemoprevention of breast cancer.
PMID- 21898373
TI - Determining the optimal dose and schedule of sunitinib: some answers, more
questions.
PMID- 21898374
TI - Combination of targeted agents in metastatic renal cell carcinoma: a path forward
or a dead-end street?
PMID- 21898375
TI - Phase 1 trial of everolimus plus sunitinib in patients with metastatic renal cell
carcinoma.
AB - BACKGROUND: Simultaneous inhibition of the vascular epithelial growth factor
(VEGF) and the mammalian target of rapamycin (mTOR) pathway may improve treatment
response in advanced renal cell carcinoma (RCC). Everolimus, an oral mTOR
inhibitor, and sunitinib, an oral tyrosine kinase inhibitor targeting VEGF, are
standard agents in the management of metastatic RCC. METHODS: Sequential cohorts
of 3 to 6 patients with advanced RCC received dose-escalated combinations of
sunitinib (37.5 or 50 mg daily, 4 weeks on/2 weeks off) with everolimus (2.5-5 mg
daily or 20-30 mg weekly). Dose-limiting toxicities (DLTs) were assessed in the
first 6-week cycle to determine maximum tolerated dose (MTD). Pharmacokinetic
profiles were obtained. RESULTS: Twenty patients (13 clear cell and 7 nonclear
cell RCC) were enrolled in 5 cohorts. Daily everolimus was not tolerated when
combined with sunitinib; the first 2 patients on the second cohort suffered DLTs.
With weekly everolimus, the MTD was 30 mg everolimus on days 7, 14, 21, and 28,
plus 37.5 mg sunitinib on days 1 to 28 of a 42-day cycle; however, chronic
treatment was associated with grade 3 and 4 toxicities. A schedule of 20 mg
everolimus weekly/37.5 mg sunitinib was tolerated as chronic therapy. Five
patients (25%) had confirmed partial responses, and 3 had nonclear cell RCC. No
unexpected accumulation of everolimus, sunitinib, or N-desethyl sunitinib was
observed. CONCLUSIONS: The combination of everolimus and sunitinib is associated
with significant acute and chronic toxicities and is only tolerated at attenuated
doses. Responses were observed in nonclear cell and clear cell RCC.
PMID- 21898376
TI - Phase II trial of continuous once-daily dosing of sunitinib as first-line
treatment in patients with metastatic renal cell carcinoma.
AB - BACKGROUND: Sunitinib at 50 mg/day on the 4-weeks-on-2-weeks-off schedule is the
current approved regimen for advanced/metastatic renal cell carcinoma (mRCC).
Escudier et al reported that continuous, once-daily dosing with sunitinib 37.5 mg
had a manageable safety profile and significant antitumor activity as second-line
mRCC therapy. In this prospective, multicenter, phase II study, we evaluated the
activity of continuous once-daily dosing with sunitinib 37.5 mg as first-line
mRCC treatment. METHODS: One hundred nineteen treatment-naive patients with
measurable mRCC received sunitinib. The primary endpoint was objective response;
secondary endpoints included progression-free survival (PFS), safety,
pharmacokinetic measurements, exploration of response biomarkers, and patient
reported outcomes (PRO). RESULTS: Objective response rate (ORR) was 35.3%; median
response duration was 10.4 months; 36% of patients had stable disease >=12 weeks.
Median PFS at 1 year was 9 months, and 1-year survival probability was 67.8%. The
most common any-grade treatment-related adverse events (AEs) were diarrhea (50%)
and hand-foot syndrome (43%); the most common grade 3-4 treatment-related AEs
were hand-foot syndrome (13%), neutropenia (11%), and diarrhea (9%). Steady-state
pharmacokinetics were reached within 3 weeks, with no disproportionate
accumulation of sunitinib or its active metabolite throughout the study. No
significant correlations between trough drug, active metabolite, or soluble
protein levels and clinical response were observed. PRO was largely maintained,
although fatigue appeared to worsen after treatment started, with improvement
over time. CONCLUSIONS: Continuous once-daily dosing with sunitinib 37.5 mg was
active with a manageable safety profile as first-line mRCC therapy, making this a
feasible alternative dosing regimen.
PMID- 21898377
TI - Dysfunctional transforming growth factor-beta signaling with constitutively
active notch signaling in Barrett's esophageal adenocarcinoma.
PMID- 21898379
TI - Improvement of racial disparities with respect to the utilization of minimally
invasive radical prostatectomy in the United States.
AB - BACKGROUND: Race represents an established barrier to health care access in the
United States and elsewhere. We examined whether race affects the utilization
rate of minimally invasive radical prostatectomy (MIRP) in a population-based
sample of individuals from the United States. METHODS: Within the Healthcare Cost
and Utilization Project Nationwide Inpatient Sample (NIS), we focused on patients
in whom MIRP and open radical prostatectomy (ORP) were performed between 2001 and
2007. We assessed the proportions and temporal trends in race distributions
between MIRP and ORP. Multivariable logistic regression analyses further adjusted
for age, year of surgery, baseline Charlson Comorbidity Index, annual hospital
caseload tertiles, hospital region, insurance status, and median zip code income.
RESULTS: Of 65,148 radical prostatectomies, 3581 (5.5%) were MIRPs. African
Americans accounted for 11.4% of patients versus 78.8% for Caucasians versus 9.9%
for others. Between 2001 and 2007, the annual proportions of Caucasian patients
treated with MIRP were 2.2%, 0.9%, 2.6%, 7.2%, 4.7%, 9.3%, and 11.6%,
respectively (chi-square trend p<0.001). For the same years in African American
patients, the proportions were 0.8, 0.3, 1.4, 4.4, 3.5, 9.0 and 8.4% (chi-square
trend P < .001). In multivariable analyses relative to Caucasian patients,
African American patients were 14% less likely to undergo MIRP (P = .01). After
period stratification between years 2001-2005 versus 2006-2007, African Americans
were 22% less likely to undergo a MIRP in the early period (P = .007) versus 11%
less likely to have a MIRP in the contemporary period (P = .1). CONCLUSIONS: The
racial discrepancies in MIRP utilization rates are gradually improving.
PMID- 21898380
TI - Visualizing the dynamic of adoptively transferred T cells during the rejection of
large established tumors.
AB - Adoptive T-cell therapy (ATCT) can result in tumor rejection, yet the behavior
and fate of the introduced T cells remain unclear. We developed a novel
bioluminescence mouse model, which enabled highly sensitive detection of T-cell
signals at the single-cell level. Transferred T cells preferentially accumulated
within antigen-positive tumors, relative to the unaffected areas in each mouse,
and remarkably, expanded within both lymphopenic and P14 mice. This expansion was
controlled and efficient, as evaluated by bioluminescence imaging (BLI) of the T
cell signals and by tumor rejection respectively. Analysis of the population
dynamics of transferred T cells in ATCT of large tumors revealed that
proliferation did not always follow a simple linear pattern of expansion, but
showed an oscillating pattern of expansion and contraction that was often
followed by a rebound, until full tumor rejection was achieved. Furthermore,
visualizing the recall response showed that the transferred T cells responded
expeditiously, indicating the ability of these cells to survive, establish memory
and compete with endogenous T cells for as long as 1 year after rejecting the
tumor.
PMID- 21898381
TI - Bone morphogenetic proteins inhibit CD40L/IL-21-induced Ig production in human B
cells: differential effects of BMP-6 and BMP-7.
AB - Bone morphogenetic proteins (BMPs) are members of the TGF-beta superfamily. TGF
beta can affect class switch recombination in human B cells, but whether BMPs
also play a role have not been tested. We investigated the functional effects of
exogenously added BMPs on CD27(-) naive and CD27(+) memory B cells from healthy
donors. BMP-2, -4, -6 and -7 inhibited CD40L/IL-21-induced production of IgM, IgG
and IgA. BMP-6 reduced Ig production by 70% in memory B cells and more than 55%
in naive B cells, whereas the other BMPs were slightly less potent. We observed a
striking difference in functional effects between the structurally similar BMP-6
and BMP-7, as BMP-6 mainly inhibited plasmablast differentiation, and BMP-7
mainly induced apoptosis. In memory B cells, BMP-6 upregulated expression of DNA
binding protein inhibitor genes, but potently inhibited CD40L/IL-21-induced
upregulation of the transcription factor XBP1, necessary for the late stages of
plasmacytic differentiation. Expression of transcription factors regulating
earlier stages (IRF4, PRDM1) was not affected by BMP-6. Taken together, these
results show that BMPs are potent suppressors of naive and memory B cells.
PMID- 21898382
TI - Peptide transporter TAP mediates between competing antigen sources generating
distinct surface MHC class I peptide repertoires.
AB - We recently described a category of TAP-independent peptide-epitopes that are
selectively presented by cells with processing defects in the classical MHC class
I (MHC-I) pathway. Here, we studied the ER-resident ceramide synthase Trh4 as a
prototypic example of these neo-antigens and found that moderate inhibition of
TAP permits cell surface presentation of the Trh4 peptide. The absence of this
peptide from WT cells was not related to the binding or stability of the
Trh4/D(b) complexes, or to the availability of MHC-I heavy chains, but rather to
the limited expression of the antigen. Strongly elevated antigen levels were
needed to reach comparable peptide display on WT as on TAP-deficient cells. Our
data suggest that the normal influx of TAP-transported peptides in the ER during
routine processing creates an efficient barrier for peptides from alternative
processing routes. Impairment of TAP function, as commonly found in cancers and
virus-infected cells, lowers this resistance allowing for MHC-I presentation of
other peptide sources.
PMID- 21898384
TI - Surveillance of women at increased risk of breast cancer using mammography and
clinical breast examination: further evidence of benefit.
AB - Women with a significant family history of breast cancer are generally offered
early surveillance by mammography and often clinical breast examination (CBE).
The evidence base for surveillance has been questioned. We reviewed its
effectiveness in terms of tumour size, lymph node status and survival in 7,475
women seen over a 22-year period in the Manchester Family History Clinic. We
diagnosed 139 invasive and 26 in situ breast cancers. Seventy-six percent of the
invasive cancers were screen detected, 65% node negative and 71% <2 cm in
diameter at diagnosis. Twenty-one tumours were BRCA1 positive and were
significantly more likely to be grade 3, ER/PR negative (p < 0.0001) and have a
poorer survival. CBE contributed to 30% of cancer diagnoses and was responsible
for discovery of nine mammographically occult tumours. The cost per quality life
year was estimated at L13,080 for tumours detected by CBE and not by mammography.
We conclude that screening by annual mammography and CBE between age 35-50 years
and 18 monthly from 50 to 60 years may diagnose breast cancer in a less advanced
state in terms of size and node status compared with symptomatic cancers and,
apart from BRCA1 carriers, is likely to contribute to improved long-term outcome
compared with no surveillance.
PMID- 21898383
TI - Increase in circulating levels of IGF-1 and IGF-1/IGFBP-3 molar ratio over a
decade is associated with colorectal adenomatous polyps.
AB - High levels of circulating insulin-like growth factor-1 (IGF-1) have been
associated with increased risk of several cancers. Regarding colorectal cancer,
these associations are generally weak. We hypothesized that an increase in IGF-1
over time would be a stronger risk factor for cancer-related outcomes than the
actual levels. In this analysis we utilized existing data from the Insulin
Resistance and Atherosclerosis Study (IRAS). Circulating IGF-1 levels and molar
ratios of IGF-1 to IGF binding protein 3 (IGFBP-3) were measured at three time
points, within a 10-year follow-up period. We examined the associations of
increase of the two variables with the presence of colorectal adenoma at the end
of follow-up among participants with normal glucose tolerance at baseline. This
included 143 individuals, from which 24 were diagnosed with adenomatous polyps.
Although the mean levels of IGF-1 and IGF-1/IGFBP-3 decline with age, ~ 30% of
the participants showed an increase of at least fifteen percent ("ever increase")
in one or both of these variables, compared to baseline. We found a positive
association between "ever increase" in IGF-1 or IGF-1/IGFBP-3 and the presence of
colorectal adenoma: ORs were 3.81 (95% CI: 1.30-10.8) and 2.83 (95% CI: 1.00
8.22), respectively. No association was found when analyzing the actual levels of
both variables at any time point. Our data suggest that an increase in
circulating IGF-1 or IGF-1/IGFBP-3 may represent a disturbed GH/IGF1 homeostasis,
which could favor the development of precancerous lesions such as colorectal
adenoma.
PMID- 21898385
TI - Morbidity and mortality in gynecological cancers among first- and second
generation immigrants in Sweden.
AB - We studied the effect of new environment on the risk in and mortality of
gynecological cancers in first- and second-generation immigrants in Sweden. We
used the nationwide Swedish Family-Cancer Database to calculate standardized
incidence/mortality ratios (SIRs/SMRs) of cervical, endometrial and ovarian
cancers among immigrants in comparison to the native Swedes. Risk of cervical
cancer increased among first-generation immigrants with Danish (SIR = 1.64),
Norwegian (1.33), former Yugoslavian (1.21) and East European (1.35) origins,
whereas this risk decreased among Finns (0.88) and Asians (SIRs varies from 0.11
in Iranians to 0.54 in East Asians). Risk of endometrial (SIRs varies from 0.28
in Africans to 0.86 in Finns) and ovarian (SIRs varies from 0.23 in Chileans to
0.82 in Finns) cancers decreased in first-generation immigrants. The overall
gynecological cancer risk for the second-generation immigrants, independent of
the birth region, was almost similar to that obtained for the first generations.
The birth region-specific SMRs of gynecological cancers in first- and second
generation immigrants co-varied with the SIRs. Risk of gynecological cancers
among the first-generation immigrants is similar to that in their original
countries, except for cervical cancer among Africans and endometrial cancer among
North Americans and East Europeans. Our findings show that risk and mortality of
gynecological cancers observed in the first-generation immigrants remain in the
second generation. We conclude that the risk and protective factors of
gynecological cancers are preserved upon immigration and through generations,
suggesting a role for behavioral factors or familial aggregation in the etiology
of these diseases.
PMID- 21898386
TI - mTOR as a therapeutic target in patients with gastric cancer.
AB - The poor long-term outcomes associated with current chemotherapy treatment of
patients with advanced gastric cancer suggest a need for novel targeted agents
that may confer a better survival benefit. Evidence of mammalian target of
rapamycin (mTOR) activation has been demonstrated in patient-derived gastric
cancer cells and tumors. This review explores the relevance of the mTOR pathway
to gastric cancer pathogenesis and its potential as a therapeutic target in
patients with gastric cancer as well as presenting the first available clinical
data on mTOR inhibitors in this disease setting. Preclinical data suggest that
suppression of the mTOR pathway inhibited the proliferation of gastric cancer
cells and delayed tumor progression in in vitro and animal models. In the
clinical setting, the mTOR inhibitor everolimus has been active and well
tolerated in phase I/II studies of patients with chemotherapy-refractory
metastatic gastric cancer. Based on these promising results, everolimus currently
is being investigated as a monotherapy or in combination with chemotherapeutic
agents in ongoing phase II/III clinical studies.
PMID- 21898387
TI - Validation of tumor-associated macrophage ferritin light chain as a prognostic
biomarker in node-negative breast cancer tumors: A multicentric 2004 national
PHRC study.
AB - Novel prognostic biomarkers are imperatively needed to help direct treatment
decisions by typing subgroups of node-negative breast cancer patients. Large
screening of different biological compartments, such as the proteome, by means of
high throughput techniques may greatly help scientists to find such markers. The
present retrospective multicentric study included 268 node-negative breast cancer
patients. We used a proteomic approach of SELDI-TOF-MS screening to identify
differentially expressed cytosolic proteins with prognostic impact. The screening
cohort was composed of 198 patients. Seventy supplementary patients were included
for validation. Immunohistochemistry (IHC) and immunoassay (IA) were run to
confirm the prognostic role of the marker identified by SELDI-TOF-MS screening.
IHC was also used to explore links between selected marker and epithelial
mesenchymal transition (EMT)-like, proliferation and macrophage markers. Ferritin
light chain (FTL) was identified as an independent prognostic marker (HR = 1.30
95% CI: 1.10-1.50, p = 0.001). Validation step by means of IHC and IA confirmed
the prognostic value of FTL level. CD68 IHC showed that FTL was stored in tumor
associated macrophages (TAM), which exhibit an M2-like phenotype. We report here,
first, the validation of FTL as a breast tumor prognostic biomarker in node
negative patients, and second, the fact that FTL is stored in TAM.
PMID- 21898388
TI - Microsatellite instability in sporadic gastric cancer: its prognostic role and
guidance for 5-FU based chemotherapy after R0 resection.
AB - This study investigated whether MSI status can be used as a prognostic biomarker
and whether it is helpful for predicting which patients will benefit from 5-FU
based adjuvant chemotherapy. Between 2005 and 2008, an MSI status examination was
performed in 1,990 gastric cancer patients who had undergone curative gastrectomy
for gastric adenocarcinoma. MSI was analyzed by PCR amplification with
fluorescent dye-labeled primers of mononucleotide markers (BAT25 and BAT26) and
dinucleotide markers (D5S346, D2S123 and D17S250) specific to the microsatellite
loci. Patients with MSI-H tumors accounted for 8.5% (n = 170) of the total study
population. They tended to be older and female and to have distal tumor location,
lower tumor stage, intestinal type of Lauren classification and differentiated
histological type. The disease-free survival curves showed no significant
differences between MSS/MSI-L and MSI-H patients at each stage of I, II, III and
IV. In gastric cancer patients with stage II and III, 5-FU-based adjuvant
chemotherapy showed better disease-free survival in the MSS/MSI-L group, but
showed no benefits in the MSI-H group. By multivariate analysis, patients with
MSS/MSI-L tumors benefited from 5-FU-based adjuvant chemotherapy in terms of
tumor disease-free survival. MSI status in gastric cancer is not itself a
prognostic indicator. However, it appears to be a possible guidance for the use
of 5-FU-based chemotherapy in stage II and III gastric cancers after R0
resection.
PMID- 21898389
TI - Cost-effectiveness of KRAS testing in metastatic colorectal cancer patients in
the United States and Germany.
AB - The objective of this study was to determine the cost-effectiveness of testing
for KRAS mutations before administering EGFR inhibitors such as cetuximab and
panitumumab for patients with advanced metastatic colorectal cancer (mCRC) in the
United States and Germany. We developed a lifetime Markov model of costs and
survival associated with treating mCRC patients to assess the impact of KRAS
testing before administering EGFR inhibitor-containing chemotherapy regimens.
Overall, combination therapies involving cetuximab plus irinotecan/FOLFIRI had a
better life expectancy (25.83 weeks) than cetuximab or panitumumab alone. Use of
KRAS testing (assuming KRAS mutant patients receive only irinotecan) was equally
effective and saved $12,428 per patient in the United States. When KRAS mutant
patients received best supportive care, the life expectancy decreased slightly
(24.26 weeks vs. 25.83 weeks) and the costs decreased by $13,501 in the United
States and ?9,560 in Germany. For patients treated with cetuximab alone, use of
KRAS testing to identify mutations lowered costs by $8,040 per patient in the
U.S. analysis and ?3,856 per patient in the German analysis. For patients treated
with panitumumab alone, use of KRAS testing to identify mutations lowered costs
by $7,546 per patient in the U.S. analysis and ?4,612 per patient in the German
analysis. Model results were sensitive to the cost of chemotherapy regimens and
the prevalence of KRAS mutations in the population. Under most scenarios, using
KRAS testing to select patients for EGFR inhibitor therapy saved $7,500-$12,400
per patient in the United States and ?3,900-?9,600 per patient in Germany with
equivalent clinical outcomes.
PMID- 21898390
TI - A prospective, population-based study of 40,000 women regarding host factors, UV
exposure and sunbed use in relation to risk and anatomic site of cutaneous
melanoma.
AB - Prospective cohort studies about cutaneous melanoma (CM) risk are still few. Host
factor- and UVR exposure data were collected prospectively by questionnaire in
this population-based cohort study including 40,000 Swedish born women, aged 25
64 years at enrolment (1990). Risk for CM (Cox regression and Stepwise Cox
regression [SCR], hazard ratios [HRs] with 95% Confidence Intervals [CI]) in
relation to risk factors, age groups (older or younger than 40 years) and primary
site, were analyzed. In 29,520 women with complete follow-up through 2007, 155
invasive and 60 in situ CM were recorded. High numbers of nevi (HR, 2.9; 95% CI,
1.7-5.0) and heredity (HR, 3.7; 95% CI, 2.0-6.8) were associated with risk for
CM. SCR analysis added red hair as a risk factor. Sunbed use >10 times/year
increased risk for women <40 years (HR, 2.5; 95% CI, 1.0-6.2) and a trend for
risk associated with sunbathing vacations (HR, 1.4; 95% CI, 1.0-2.0) was shown
for women >40 years. Trunk melanoma showed correlations with high numbers of nevi
(HR, 3.0; 95% CI, 1.2-7.3) and heredity (HR, 3.2; 95% CI, 1.1-9.4). Head/neck
site was correlated to sunbathing vacations (HR, 2.5; 95% CI, 1.2-5.3) and
heredity (HR, 7.6; 95% CI, 1.8-31.8). Our study supports divergent etiologic
pathways to CM, with high numbers of nevi correlated to increased risk for trunk
CM. Furthermore, it confirms that high numbers of nevi, red hair and heredity for
CM are the most important risk factors and frequent sunbed use might be a risk
factor for younger women.
PMID- 21898391
TI - Tumor lactic acidosis suppresses CTL function by inhibition of p38 and JNK/c-Jun
activation.
AB - Lactic acidosis is common to most solid tumors and has been found to affect
infiltrating immune cells. Here we document effector phase inhibition of
cytotoxic T cells (CTLs) involving complete blockage of cytokine production and
partial impairment of lytic granule exocytosis. Lactic acidosis impaired TCR
triggered phosphorylation of JNK, c-Jun and p38, while not affecting MEK1 and
ERK. The select targeting of signaling proteins involved in IFNgamma production
(JNK/c-Jun, p38) without affecting those jointly used in cytokine regulation and
granule exocytosis (MEK1/ERK) explains the observed split effect of lactic
acidosis on the CTL responses. CTL inhibition by lactic acidosis showed fast
dynamics with immediate onset and reversion. Functional recovery by neutralizing
the extracellular pH despite continuous presence of lactate holds promise that
CTL activity can be improved in the milieu of solid tumors with appropriate anti
acidosis treatment, thereby increasing the efficacy of adoptive T cell therapy.
PMID- 21898392
TI - The restoration of myeloid-derived suppressor cells as functional antigen
presenting cells by NKT cell help and all-trans-retinoic acid treatment.
AB - Myeloid-derived suppressor cells (MDSCs), which accumulate during tumor
progression, have been shown to function as important suppressor cells. In a
previous study, we showed that immunosuppressive MDSCs could function as
immunogenic antigen-presenting cells (APCs) with the help of activated natural
killer T (NKT) cells. In the current study, however, we found that MDSCs
harvested at a late time point after tumor injection (late MDSCs) were poorly
immunogenic even when stimulated with activated NKT cells. As tumor growth
progressed, the expression of MHC and costimulatory molecules on MDSCs was
gradually down-regulated. Late MDSCs also had innate defects in activation and
differentiation mediated by cytokine stimuli. Although late MDSCs treated only
with all-trans-retinoic acid (ATRA), a stimulating agent for MDSC
differentiation, could not become immunogenic, NKT ligand-loaded, ATRA-treated
late MDSCs could be converted into immunogenic APCs to induce incremental immune
responses. Furthermore, these effects were mediated by NKT cells secreting
IFNgamma, and ATRA-mediated increases in glutathione (GSH) levels. Thus, combined
treatment with differentiating and activating agents is a prerequisite for the
conversion of late MDSCs into immunogenic APCs. Collectively, these results
suggest that combined treatments are required for the differentiation and
activation of late MDSCs in late stage cancer.
PMID- 21898393
TI - Eradication of large tumors expressing human papillomavirus E7 protein by
therapeutic vaccination with E7 fused to the extra domain a from fibronectin.
AB - Cervical carcinoma is one of the most common cancers in women worldwide. It is
well established that chronic infection of the genital tract by various
mucosatropic human papillomavirus (HPV) types causes cervical cancer. Cellular
immunity to E7 protein from HPV (HPVE7) has been associated with clinical and
cytologic resolution of HPV-induced lesions. Thus, we decided to test if
targeting of HPVE7 to dendritic cells using a fusion protein containing the extra
domain A (EDA) from fibronectin, a natural ligand for TLR4, and HPVE7 (EDA-HPVE7)
might be an efficient vaccine for the treatment of cervical carcinoma. We found
that EDA-HPVE7 fusion protein was efficiently captured by bone marrow derived
dendritic cells in vitro and induced their maturation, with the upregulation of
maturation markers and the production of IL-12. Immunization of mice with EDA
HPVE7 fusion protein induced antitumor CD8(+) T cell responses in the absence of
additional adjuvants. Repeated intratumoral administration of EDA-HPVE7 in saline
was able to cure established TC-1 tumors of 5-7 mm in diameter. More importantly,
intravenous injection with EDA-HPVE7 in combination with the TLR ligand
polyinosinic-polycytidylic acid (pIC), or with low doses of cyclophosphamide and
the TLR9 ligand CpG-B complexed in cationic lipids, were able to eradicate large
established TC-1 tumors (1.2 cm in diameter). Thus, therapeutic vaccination with
EDA-HPVE7 fusion protein may be effective in the treatment of human cervical
carcinoma.
PMID- 21898394
TI - Autocrine abscisic acid mediates the UV-B-induced inflammatory response in human
granulocytes and keratinocytes.
AB - UV-B is an abiotic environmental stress in both plants and animals. Abscisic acid
(ABA) is a phytohormone regulating fundamental physiological functions in plants,
including response to abiotic stress. We previously demonstrated that ABA is an
endogenous stress hormone also in animal cells. Here, we investigated whether
autocrine ABA regulates the response to UV-B of human granulocytes and
keratinocytes, the cells involved in UV-triggered skin inflammation. The
intracellular ABA concentration increased in UV-B-exposed granulocytes and
keratinocytes and ABA was released into the supernatant. The UV-B-induced
production of NO and of reactive oxygen species (ROS), phagocytosis, and cell
migration were strongly inhibited in granulocytes irradiated in the presence of a
monoclonal antibody against ABA. Moreover, presence of the same antibody strongly
inhibited release of NO, prostaglandin E2 (PGE(2)), and tumor necrosis factor
alpha (TNF-alpha) by UV-B irradiated keratinocytes. Lanthionine synthetase C-like
protein 2 (LANCL2) is required for the activation of the ABA signaling pathway in
human granulocytes. Silencing of LANCL2 in human keratinocytes by siRNA was
accompanied by abrogation of the UV-B-triggered release of PGE(2), TNF-alpha, and
NO and ROS production. These results indicate that UV-B irradiation induces ABA
release from human granulocytes and keratinocytes and that autocrine ABA
stimulates cell functions involved in skin inflammation.
PMID- 21898395
TI - Selective cleavage of ErbB4 by G-protein-coupled gonadotropin-releasing hormone
receptor in cultured hypothalamic neurons.
AB - Gonadotropin-releasing hormone (GnRH) is secreted from hypothalamic neurons (GnRH
neurons). GnRH neurons have a GnRH receptor belonging to the G-protein-coupled
receptors. The stimulation of this receptor activates extracellular signal
regulated kinase (ERK). In the present study, we found that epidermal growth
factor receptor (EGFR) and ErbB4 were expressed in immortalized GnRH neurons (GT1
7 cells). AG1478, a relatively specific inhibitor of the ErbB family, and small
interfering RNA (siRNA) for ErbB4 inhibited the GnRH-induced activation of ERK in
GT1-7 cells, suggesting that EGFR and ErbB4 were necessary for the activation. In
addition, GnRH induced the cleavage of ErbB4 and accumulation of an 80-kDa
fragment. After treatment of the cells with 50 nM GnRH for 5 min, about 80% of
ErbB4 was cleaved. Biotinylation of cell surface proteins revealed that more than
70% of the cell surface ErbB4 was cleaved by GnRH treatment. A higher
concentration and longer treatment were necessary for GnRH to induce ErbB4
cleavage than ERK activation. TAPI-2, an inhibitor of tumor necrosis factor-alpha
converting enzyme (TACE), and siRNA for TACE inhibited the cleavage of ErbB4,
suggesting that TACE was involved. After ErbB4 cleavage, the activation of ERK by
neuregulin 1 was almost completely inhibited. These results suggest that the down
regulation of ErbB4 expression is induced by G-protein-coupled receptor
stimulation.
PMID- 21898396
TI - Effects of moderate electrical stimulation on reactive species production by
primary rat skeletal muscle cells: cross talk between superoxide and nitric oxide
production.
AB - The effects of a moderate electrical stimulation on superoxide and nitric oxide
production by primary cultured skeletal muscle cells were evaluated. The
involvement of the main sites of these reactive species production and the
relationship between superoxide and nitric oxide production were also examined.
Production of superoxide was evaluated by cytochrome c reduction and
dihydroethidium oxidation assays. Electrical stimulation increased superoxide
production after 1 h incubation. A xanthine oxidase inhibitor caused a partial
decrease of superoxide generation and a significant amount of mitochondria
derived superoxide was also observed. Nitric oxide production was assessed by
nitrite measurement and by using 4,5-diaminofluorescein diacetate (DAF-2-DA)
assay. Using both methods an increased production of nitric oxide was obtained
after electrical stimulation, which was also able to induce an increase of iNOS
content and NF-kappaB activation. The participation of superoxide in nitric oxide
production was investigated by incubating cells with DAF-2-DA in the presence or
absence of electrical stimulation, a superoxide generator system (xanthine
xanthine oxidase), a mixture of NOS inhibitors and SOD-PEG. Our data show that
the induction of muscle contraction by a moderate electrical stimulation protocol
led to an increased nitric oxide production that can be controlled by superoxide
generation. The cross talk between these reactive species likely plays a role in
exercise-induced maintenance and adaptation by regulating muscular glucose
metabolism, force of contraction, fatigue, and antioxidant systems activities.
PMID- 21898397
TI - Estrogen controls embryonic stem cell proliferation via store-operated calcium
entry and the nuclear factor of activated T-cells (NFAT).
AB - Embryonic stem cells (ESCs) can self-renew indefinitely and differentiate into
all cell lineages. Calcium is a universal second messenger which regulates a
number of cellular pathways. Previous studies showed that store-operated calcium
channels (SOCCs) but not voltage-operated calcium channels are present in mouse
ESCs (mESCs). In this study, store-operated calcium entry (SOCE) was found to
exist in mESCs using confocal microscopy. SOCC blockers lanthanum, 2
aminoethoxydiphenyl borate (2-APB) and SKF-96365 reduced mESC proliferation in a
concentration-dependent manner, suggesting that SOCE is important for ESC
proliferation. Pluripotent markers, Sox-2, Klf-4, and Nanog, were down-regulated
by 2-APB, suggesting that self-renewal property of mESCs relies on SOCE. 17beta
estradiol (E2) enhanced mESC proliferation. This enhanced proliferation was
associated with an increment of SOCE. Both stimulated proliferation and increased
SOCE could be reversed by SOCC blockers suggesting that E2 mediates its
stimulatory effect on proliferation via enhancing SOCE. Also, cyclosporin A and
INCA-6, inhibitors of calcineurin [phosphatase that de-phosphorylates and
activates nuclear factor of activated T-cells (NFAT)], reversed the proliferative
effect of E2, indicating that NFAT is involved in E2-stimulated proliferation.
Interestingly, E2 caused the nuclear translocation of NFATc4, and this could be
reversed by 2-APB. These results suggested that NFATc4 is the downstream target
of E2-induced SOCE. The present investigation provides the first line of evidence
that SOCE and NFAT are crucial for ESCs to maintain their unique characteristics.
In addition, the present investigation also provides novel information on the
mechanisms of how E2, an important female sex hormone, affects ESC proliferation.
PMID- 21898398
TI - CCN3 increases BMP-4 expression and bone mineralization in osteoblasts.
AB - The nephroblastoma overexpressed (NOV) gene, also called CCN3, regulates
differentiation of skeletal mesenchymal cells. Bone morphogenetic proteins (BMPs)
play important roles in osteoblast differentiation and bone formation, but the
effects of CCN3 on BMP expression and bone formation in cultured osteoblasts are
largely unknown. Here we found that CCN3 increased BMP-4 expression and bone
nodule formation in cultured osteoblast. Monoclonal antibodies for alpha5beta1
and alphavbeta5 integrins, and inhibitors of integrin-linked kinase (ILK), p38,
and JNK, all inhibited CCN3-induced bone nodule formation and BMP-4 up-regulation
of osteoblasts. CCN3 stimulation increased the kinase activity of ILK and
phosphorylation of p38 and JNK. Inhibitors of activator protein-1 (AP-1) also
suppressed bone nodule formation and BMP-4 expression enhanced by CCN3. Moreover,
CCN3-induced c-Jun translocation into the nucleus, and the binding of c-Jun to
the AP-1 element on the BMP-4 promoter were both inhibited by specific inhibitors
of the ILK, p38, and JNK cascades. Taken together, our results provide evidence
that CCN3 enhances BMP-4 expression and bone nodule formation in osteoblasts, and
that the integrin receptor, ILK, p38, JNK, and AP-1 signaling pathways may be
involved.
PMID- 21898399
TI - Niflumic acid blocks native and recombinant T-type channels.
AB - Voltage-dependent calcium channels are widely distributed in animal cells,
including spermatozoa. Calcium is fundamental in many sperm functions such as:
motility, capacitation, and the acrosome reaction (AR), all essential for
fertilization. Pharmacological evidence has suggested T-type calcium channels
participate in the AR. Niflumic acid (NA), a non-steroidal anti-inflammatory drug
commonly used as chloride channel blocker, blocks T-currents in mouse
spermatogenic cells and Cl(-) channels in testicular sperm. Here we examine the
mechanism of NA blockade and explore if it can be used to separate the
contribution of different Ca(V)3 members previously detected in these cells.
Electrophysiological patch-clamp recordings were performed in isolated mouse
spermatogenic cells and in HEK cells heterologously expressing Ca(V)3 channels.
NA blocks mouse spermatogenic cell T-type currents with an IC(50) of 73.5 uM,
without major voltage-dependent effects. The NA blockade is more potent in the
open and in the inactivated state than in the closed state of the T-type
channels. Interestingly, we found that heterologously expressed Ca(V)3.1 and
Ca(V)3.3 channels were more sensitive to NA than Ca(V)3.2 channels, and this drug
substantially slowed the recovery from inactivation of the three isoforms.
Molecular docking modeling of drug-channel binding predicts that NA binds
preferentially to the extracellular face of Ca(V)3.1 channels. The biophysical
characteristics of mouse spermatogenic cell T-type currents more closely resemble
those from heterologously expressed Ca(V)3.1 channels, including their
sensitivity to NA. As Ca(V)3.1 null mice maintain their spermatogenic cell T
currents, it is likely that a novel Ca(V)3.2 isoform is responsible for them.
PMID- 21898400
TI - miR-520c and miR-373 upregulate MMP9 expression by targeting mTOR and SIRT1, and
activate the Ras/Raf/MEK/Erk signaling pathway and NF-kappaB factor in human
fibrosarcoma cells.
AB - MicroRNA 520c and 373 (miR-520c and miR-373) have been characterized as oncogenes
and play critical roles in cancer cell metastasis. However, the relationship
between these two microRNAs and matrix metalloproteinases (MMPs), which are
important in cancer cell metastasis, remains unknown. Here, we report new
evidence in which miR-520c and miR-373 effects in human fibrosarcoma HT1080 cells
are associated with MMP9 activity, and this upregulation of MMP9 is not only at
the activity and protein levels, but also at that of its mRNA. Our experimental
data demonstrate that these effects occur not by direct binding to the MMP9
promoter, but by miR-520c and miR-373 directly targeting the 3'-untranslational
region (UTR) of mRNAs of mTOR and SIRT1 (negative regulators of expression of
MMP9 via inactivating the Ras/Raf/MEK/Erk signaling pathway and transcription
factor NF-kappaB activity); and thus suppressing translation levels of SIRT1 and
mTOR. Moreover, inhibition of key kinases of the Ras/Raf/MEK/Erk signaling
pathway and Western blots for selected proteins further identified miR-520c and
miR-373 as activating this signaling pathway and NF-kappaB. In conclusion, miR
520c and miR-373 increased the expression of MMP9 by directly targeting the 3'
UTRs of mRNAs of mTOR and SIRT1 and suppressing their translation; resulting in
activation of the Ras/Raf/MEK/Erk signaling pathway and NF-kappaB; and, finally,
increasing the mRNA, protein, and activity of MMP9 and enhancing cell migration
and cell growth in 3D type I collagen gels.
PMID- 21898401
TI - Glycogen synthase kinase-3beta is critical for interferon-alpha-induced serotonin
uptake in human Jurkat T cells.
AB - Dysregulation of glycogen synthase kinase (GSK)-3beta contributes to the
pathophysiology of mood disorders. However, how its regulation is responsible for
the functioning of serotonin (5-HT) requires further investigation. Although
enhancement of T-cell function may present an alternative strategy to treat
depression, the precise mechanisms have yet to be established. Our previous
studies have found that interferon-alpha (IFN-alpha) up-regulates serotonin
transporter (5-HTT) expression and induces 5-HT uptake in T cells. The present
study is to examine GSK-3beta regulation on IFN-alpha-induced 5-HTT functions.
GSK-3beta short hairpin RNAs (shRNAs) or GSK-3beta inhibitors decreased IFN-alpha
induced 5-HT uptake and 5-HTT expression. Src activation and calcium/calcium
activated calmodulin kinase II (CaMKII) were involved in IFN-alpha-induced
phosphorylation of proline-rich tyrosine kinase 2 (Pyk2) (Tyr402) and GSK-3beta
(Tyr216), which regulated 5-HT uptake. GSK-3beta knockdown blocked the IFN-alpha
induced phosphorylation of extracellular signal-regulated kinase (ERK) 1/2
(Thr202/Tyr204) and signal transducer and transactivator (STAT) 1. In addition to
inhibiting ERK, a selective 5-HTT inhibitor fluoxetine blocked IFN-alpha-induced
activations of Src, CaMKII-regulated Pyk2/GSK-3beta cascade, as well as STAT1
activation and translocation. These results indicated that calcium/CaMKII- and
Src-regulated Pyk2 participated in IFN-alpha-induced GSK-3beta activation and GSK
3beta-regulated 5-HT uptake. GSK-3beta signaling facilitated IFN-alpha-activated
STAT1 by regulating ERK1/2, which controlled 5-HT uptake. Fluoxetine interfered
with the Pyk2/GSK-3beta cascade, thereby inhibiting IFN-alpha-induced 5-HT
uptake.
PMID- 21898402
TI - Differential effect of CCL2 on constitutive neutrophil apoptosis between normal
and asthmatic subjects.
AB - In this study, we investigated the effects of CCL2 on constitutive apoptosis of
normal and asthmatic neutrophils. CCL2 blocked the constitutive apoptosis of
normal neutrophils through CCR2. CCL2 also induced elevation of the cytosolic
Ca(2+) concentration but had no effect on normal neutrophil chemotaxis.
Constitutive apoptosis, calcium influx, and cell migration of asthmatic
neutrophils were not affected by CCL2 stimulation. Supernatant collected from
CCL2-treated normal neutrophils inhibited the constitutive apoptosis of normal
neutrophils. Anti-apoptotic signaling mediated by CCL2 was found to be associated
with the PI3K/Akt/ERK/NF-kappaB cascade in normal neutrophils. Both the cleavage
of procaspase 3 and procaspase 9 and the decrease of in Mcl-1 expression were
delayed by CCL2 stimulation. Inhibition of NF-kappaB blocked constitutive
apoptosis of neutrophils from asthmatic patients via inhibition of the cleavage
of procaspase 3 and procaspase 9, in contrast to normal neutrophils. NF-kappaB
was involved in CCL2-induced anti-apoptotic signaling in normal neutrophils,
whereas NF-kappaB functioned as a basal pro-apoptotic factor in asthmatic
neutrophils. A better understanding of the difference in the regulation of
neutrophil apoptosis due to CCL2 between normal individuals and asthmatics will
enable elucidation of the role of CC chemokine in neutrophils and a framework for
understanding the pathogenesis of asthma.
PMID- 21898403
TI - MC1R expression in HaCaT keratinocytes inhibits UVA-induced ROS production via
NADPH oxidase- and cAMP-dependent mechanisms.
AB - Ultraviolet A (UVA) radiations are responsible for deleterious effects, mainly
due to reactive oxygen species (ROS) production. Alpha-melanocyte stimulating
hormone (alpha-MSH) binds to melanocortin-1 receptor (MC1R) in melanocytes to
stimulate pigmentation and modulate cutaneous inflammatory responses. MC1R may be
induced in keratinocytes after UV exposure. To investigate the effect of MC1R
signaling on UVA-induced ROS (UVA-ROS) production, we generated HaCaT cells that
stably express human MC1R (HaCaT-MC1R) or the Arg151Cys (R(151)C) non-functional
variant (HaCaT-R(151)C). We then assessed ROS production immediately after UVA
exposure and found that: (1) UVA-ROS production was strongly reduced in HaCaT
MC1R but not in HaCaT-R(151)C cells compared to parental HaCaT cells; (2) this
inhibitory effect was further amplified by incubation of HaCaT-MC1R cells with
alpha-MSH before UVA exposure; (3) protein kinase A (PKA)-dependent NoxA1
phosphorylation was increased in HaCaT-MC1R compared to HaCaT and HaCaT-R(151)C
cells. Inhibition of PKA in HaCaT-MC1R cells resulted in a marked increase of ROS
production after UVA irradiation; (4) the ability of HaCaT-MC1R cells to produce
UVA-ROS was restored by inhibiting epidermal growth factor receptor (EGFR) or
extracellular signal-regulated kinases (ERK) activity before UVA exposure. Our
findings suggest that constitutive activity of MC1R in keratinocytes may reduce
UVA-induced oxidative stress via EGFR and cAMP-dependent mechanisms.
PMID- 21898404
TI - IFNbeta impairs extracellular matrix formation leading to inhibition of
mineralization by effects in the early stage of human osteoblast differentiation.
AB - Osteoimmunology is an emerging field of research focused on the interaction of
the immune system and bone. In this study we demonstrate that human osteoblasts
are sensitive to the immune cytokine interferon (IFN)beta. Osteoblasts respond to
IFNbeta as shown by the induction of several known IFN target genes such as
interferon-induced (IFI) proteins (IFIT1, IFI44L), interferon-stimulated gene
factor 3 (ISGF3) complex and the induction of IFNbeta itself. We demonstrated
that IFNbeta has severe inhibitory effects on mineralization of osteoblast
derived extracellular matrix (ECM). Analysis of the timing of the IFNbeta effects
revealed that committed osteoblasts in early stage of differentiation are most
sensitive to IFNbeta inhibition of mineralization. A single IFNbeta treatment was
as effective as multiple treatments. During the progress of differentiation
osteoblasts become desensitized for IFNbeta. This pinpoints to a complex pattern
of IFNbeta sensitivity in osteoblasts. Focusing on early osteoblasts, we showed
that IFNbeta decreased gene expression of ECM-related genes, such as type I
Collagen (COL1A1), fibronectin (FN1), fibullin (FBLN1), fibrillin (FBN2), and
laminin (LAMA1). Additionally, ECM produced by IFNbeta-treated osteoblasts
contained less collagen protein. IFNbeta stimulated gene expression of
osteopontin (OPN), annexin2 (ANXA2), and hyaluronan synthase 1 (HAS1), which are
important factors in the adhesion of hematopoietic stem cells (HSC) in the HSC
niche. In conclusion, IFNbeta directly modifies human osteoblast function by
inhibiting ECM synthesis eventually resulting in delayed bone formation and
mineralization and induces a HSC niche supporting phenotype. These effects are
highly dependent on timing of treatment in the early phase of osteoblast
differentiation.
PMID- 21898405
TI - Mechanism of T-oligo-induced cell cycle arrest in Mia-PaCa pancreatic cancer
cells.
AB - DNA oligonucleotides with sequence homology to human telomeric DNA (T-oligo)
induce cell cycle arrest, followed by apoptosis, senescence, or autophagy in a
human cancer cell type-specific manner. T-oligo has potential as a new
therapeutic strategy in oncology because of its ability to target certain types
of tumor cells while sparing normal ones. In the present study, we demonstrate
the T-oligo-induced S-phase cell cycle arrest in four pancreatic cancer cell
lines. To further contribute to the mechanistic understanding of T-oligo, we also
identify cyclin dependent kinase 2 (cdk2) as a functional mediator in the T-oligo
induced cell cycle arrest of pancreatic cancer cells. Ectopic expression of a
constitutively active cdk2 mutant abrogates T-oligo-induced cell cycle arrest in
these tumor cells while knockdown of cdk2 expression alone recapitulates the T
oligo effect. Finally, we demonstrate the dispensability of T-oligo-induced
ATM/ATR-mediated DNA damage response-signaling pathways, which have long been
considered functional in the T-oligo signaling mechanism.
PMID- 21898407
TI - RKIP and cellular motility.
PMID- 21898406
TI - The transcription factor osterix (SP7) regulates BMP6-induced human osteoblast
differentiation.
AB - The transcription factor osterix (Sp7) is essential for osteoblastogenesis and
bone formation in mice. Genome wide association studies have demonstrated that
osterix is associated with bone mineral density in humans; however, the molecular
significance of osterix in human osteoblast differentiation is poorly described.
In this study we have characterized the role of osterix in human mesenchymal
progenitor cell (hMSC) differentiation. We first analyzed temporal microarray
data of primary hMSC treated with bone morphogenetic protein-6 (BMP6) using
clustering to identify genes that are associated with osterix expression. Osterix
clusters with a set of osteoblast-associated extracellular matrix (ECM) genes,
including bone sialoprotein (BSP) and a novel set of proteoglycans, osteomodulin
(OMD), osteoglycin, and asporin. Maximum expression of these genes is dependent
upon both the concentration and duration of BMP6 exposure. Next we overexpressed
and repressed osterix in primary hMSC using retrovirus. The enforced expression
of osterix had relatively minor effects on osteoblastic gene expression
independent of exogenous BMP6. However, in the presence of BMP6, osterix
overexpression enhanced expression of the aforementioned ECM genes. Additionally,
osterix overexpression enhanced BMP6 induced osteoblast mineralization, while
inhibiting hMSC proliferation. Conversely, osterix knockdown maintained hMSC in
an immature state by decreasing expression of these ECM genes and decreasing
mineralization and hMSC proliferation. Overexpression of the osterix regulated
gene OMD with retrovirus promoted mineralization of hMSC. These results suggest
that osterix is necessary, but not sufficient for hMSC osteoblast
differentiation. Osterix regulates the expression of a set of ECM proteins which
are involved in terminal osteoblast differentiation.
PMID- 21898408
TI - Depression and type 2 diabetes: cortisol pathway implication and investigational
needs.
AB - Depression and type 2 diabetes (T2D) are clinically associated and the causes of
the association are still under investigation. We aimed at identifying what is
known about the stress response and cortisol pathway and the clinical association
of depression and T2D, and at hypothesizing the link of the association. In this
review, we report independent studies on stress response, cortisol pathway,
depression, T2D, and independent studies on stress and cortisol pathway in
depression, and in T2D. We focus and integrate the stress and cortisol pathway
hypothesis to explain the clinical association of depression and T2D. We
hypothesize that the corticotropin-releasing hormone receptors are one of the
missing linking factor of the cortisol pathway underlying the clinical
association of depression and T2D. We state what studies are still needed to
confirm or rule out our hypothesis.
PMID- 21898409
TI - CD133 and CD44 cell surface markers do not identify cancer stem cells in primary
human gastric tumors.
AB - Emerging evidence suggests that tumors contain and are driven by a cellular
component that displays stem cell properties, the so-called cancer stem cells
(CSCs). CSCs have been identified in several solid human cancers; however, there
are no data about CSCs in primary human gastric cancer (GC). By using CD133 and
CD44 cell surface markers we investigated whether primary human GCs contain a
cell subset expressing stem-like properties and whether this subpopulation has
tumor-initiating properties in xenograft transplantation experiments. We examined
tissues from 44 patients who underwent gastrectomy for primary GC. The
tumorigenicity of the cells separated by flow cytometry using CD133 and CD44
surface markers was tested by subcutaneous or intraperitoneum injection in
NOD/SCID and nude mice. GCs included in the study were intestinal in 34 cases and
diffuse in 10 cases. All samples contained surface marker-positive cells:
CD133(+) mean percentage 10.6% and CD133(+)/CD44(+) mean percentage 27.7%,
irrespective of cancer phenotype or grade of differentiation. Purified CD133(+)
and CD133(+)/CD44(+) cells, obtained in sufficient number only in 12 intestinal
type GC cases, failed to reproduce cancer in two mice models. However, the
unseparated cells produced glandular-like structures in 70% of the mice
inoculated. In conclusion, although CD133(+) and CD133(+)/CD44(+) were detectable
in human primary GCs, they neither expressed stem-like properties nor exhibited
tumor-initiating properties in xenograft transplantation experiments.
PMID- 21898410
TI - Role of ecto-NTPDases on UDP-sensitive P2Y(6) receptor activation during
osteogenic differentiation of primary bone marrow stromal cells from
postmenopausal women.
AB - This study aimed at investigating the expression and function of uracil
nucleotide-sensitive receptors (P2Y(2), P2Y(4), and P2Y(6)) on osteogenic
differentiation of human bone marrow stromal cells (BMSCs) in culture. Bone
marrow specimens were obtained from postmenopausal female patients (68 +/- 5
years old, n = 18) undergoing total hip arthroplasty. UTP and UDP (100 uM)
facilitated osteogenic differentiation of the cells measured as increases in
alkaline phosphatase (ALP) activity, without affecting cell proliferation. Uracil
nucleotides concentration-dependently increased [Ca(2+)](i) in BMSCs; their
effects became less evident with time (7 > 21 days) of the cells in culture.
Selective activation of P2Y(6) receptors with the stable UDP analog, PSB 0474,
mimicked the effects of both UTP and UDP, whereas UTPgammaS was devoid of effect.
Selective blockade of P2Y(6) receptors with MRS 2578 prevented [Ca(2+)](i) rises
and osteogenic differentiation caused by UDP at all culture time points. BMSCs
are immunoreactive against P2Y(2), P2Y(4), and P2Y(6) receptors. While the
expression of P2Y(6) receptors remained fairly constant (7~21 days), P2Y(2) and
P2Y(4) became evident only in less proliferative and more differentiated cultures
(7 < 21 days). The rate of extracellular UTP and UDP inactivation was higher in
less proliferative and more differentiated cell populations. Immunoreactivity
against NTPDase1, -2, and -3 rises as cells differentiate (7 < 21 days). Data
show that uracil nucleotides are important regulators of osteogenic cells
differentiation predominantly through the activation of UDP-sensitive P2Y(6)
receptors coupled to increases in [Ca(2+)](i) . Endogenous actions of uracil
nucleotides may be balanced through specific NTPDases determining whether
osteoblast progenitors are driven into proliferation or differentiation.
PMID- 21898411
TI - Modulation of functional responses of endothelial cells linked to angiogenesis
and inflammation by shear stress: differential effects of the mechanotransducer
CD31.
AB - We investigated the roles of the "mechanotransducer" CD31 in the effects of shear
stress on endothelial gene expression and functional responses relevant to
angiogenesis and inflammation. Human or murine endothelial cells (hEC or mEC)
were exposed to different levels of shear stress, while expression of CD31 was
modified using siRNA in the hEC, or mEC from CD31(-/-) mice. Quantitation of
expression of genes linked to inflammation or angiogenesis showed several were
sensitive to shear. In a "wound" assay, exposure of endothelial cells (EC) to
shear stress tended to align migration with the direction of flow and decrease
the rate of closure compared to static cultures. When EC were cultured on
filters, shear stress promoted migration away from the luminal surface. EC
conditioned by shear stress recruited fewer flowing neutrophils, and showed
reduced up-regulation of E-selectin after stimulation with tumor necrosis factor
alpha (TNF). Use of siRNA against CD31 in the hEC, or testing of mEC from mice
lacking CD31, indicated that expression of CD31 was not required for the shear
induced modification of wound closure. However, shear modulation of response to
TNF was less effective in the absence of CD31, while reduction of CD31 reduced
shear-sensitivity in some genes (e.g., eNOS), but not others (e.g., KLF-2). Thus,
CD31 played a role in shear-sensitivity of some genes and of neutrophil
recruitment, but not in modulation of endothelial migration. Different
mechanotransducers may mediate different functional effects of shear stress.
Hence, identification of the specific pathways may provide targets for
therapeutic manipulation of angiogenesis or inflammation.
PMID- 21898412
TI - RhoA/ROCK, cytoskeletal dynamics, and focal adhesion kinase are required for
mechanical stretch-induced tenogenic differentiation of human mesenchymal stem
cells.
AB - Human bone marrow mesenchymal stem cells (hMSCs) have the potential to
differentiate into tendon/ligament-like lineages when they are subjected to
mechanical stretching. However, the means through which mechanical stretch
regulates the tenogenic differentiation of hMSCs remains unclear. This study
examined the role of RhoA/ROCK, cytoskeletal organization, and focal adhesion
kinase (FAK) in mechanical stretch-induced tenogenic differentiation
characterized by the up-regulation of tendon-related marker gene expression. Our
findings showed that RhoA/ROCK and FAK regulated mechanical stretch-induced
realignment of hMSCs by regulating cytoskeletal organization and that RhoA/ROCK
and cytoskeletal organization were essential to mechanical stretch-activated FAK
phosphorylation at Tyr397. We also demonstrated that this process can be blocked
by Y-27632 (a specific inhibitor of RhoA/ROCK), cytochalasin D (an inhibitor of
cytoskeletal organization) or PF 573228 (a specific inhibitor of FAK). The
results of this study suggest that RhoA/ROCK, cytoskeletal organization, and FAK
compose a "signaling network" that senses mechanical stretching and drives
mechanical stretch-induced tenogenic differentiation of hMSCs. This work provides
novel insights regarding the mechanisms of tenogenesis in a stretch-induced
environment and supports the therapeutic potential of hMSCs.
PMID- 21898413
TI - 4.1G promotes arborization and tight junction formation of oligodendrocyte cell
line OLN-93.
AB - 4.1G belongs to the membrane-associated band 4.1 protein family, which plays
important roles in establishing and maintaining the links between transmembrane
proteins and the cytoskeleton. Till date, expression and functions of 4.1G in the
central nervous system (CNS) have not been fully elucidated. We investigated
expression, cellular/subcellular distribution, and biological roles of 4.1G in
the rat CNS and in cultured oligodendrocyte cell line OLN-93. Immunoblotting (IB)
and immunoprecipitation revealed CNS 4.1G protein isoforms with molecular weights
ranging from ~80 to ~180 kDa. In subconfluent OLN-93 cell culture, overexpression
of full-length 4.1G and C-terminal-domain-deleted 4.1G, but not the FERM-domain
deleted 4.1G, promoted cellular arborization. In confluent cells, endogenous 4.1G
was upregulated and clustered in the cytoplasmic periphery together with tight
junction protein ZO-1. FERM domain seemed essential for this recruitment of 4.1G
to OLN-93 cell periphery. Calcium switch experiment demonstrated that
overexpressed 4.1G promoted tight junction reassembly, whereas siRNA knockdown of
endogenous 4.1G inhibited tight junction formation among confluent OLN-93 cells.
Together, these results suggest functional roles of 4.1G in cellular arborization
and tight junction formation. In the CNS, 4.1G might be involved in maturation of
host cells as well as in interaction among neurons/neuroglia.
PMID- 21898414
TI - Heparin-integrin interaction in endothelial cells: downstream signaling and
heparan sulfate expression.
AB - Endothelial cells (ECs) are a source of physiologically important molecules that
are synthesized and released to the blood and/or to the subendothelial
extracellular matrix such as a heparan sulfate proteoglycan (HSPG) with
antithrombotic properties. Previously, we have shown that heparin stimulates the
synthesis and modifies the sulfation pattern of this HSPG. Here the molecular
mechanisms involved in the up-regulation of HSPG synthesis by heparin in
endothelial cells were decoded. The cells were stimulated with heparin and the
expression of HSPG and intracellular pathways were evaluated by a combination of
methods involving confocal microscopy, flow cytometry, Western blotting analyses,
and [(35) S]-sulfate metabolically labeling of the cells. We observed that the up
regulation of HSPG synthesis evoked by heparin is dependent on the interaction of
heparin with integrin since RGD peptide abolishes the effect. The activation of
integrin leads to tyrosine-phosphorylation of focal adhesion-associated proteins
such as FAK, Src, and paxillin. In addition, heparin induces ERK1/2
phosphorylation and inhibitors of Ras and MEK decreased heparin-dependent HSPG
synthesis. Moreover, heparin also induced intracellular Ca(2+) release, PLCgamma1
(phospholipase Cgamma1) and CaMKII (calcium calmodulin kinase II) activation, as
well as an increase in nitric oxide (NO) production. Finally, an intracellular
Ca(2+) chelator, Ca(2+) signaling inhibitors, and an endothelial NO synthase
inhibitor were all able to abolish the effect in heparan sulfate synthesis. In
conclusion, the heparin-induced up-regulation of HSPG expression is associated
with the phosphorylation of focal adhesion proteins and Ras/Raf/MEK/ERK MAP and
Ca(2+) /NO pathways.
PMID- 21898415
TI - Survival analysis of gastric cancer patients with tumor thrombus in the portal
vein.
AB - BACKGROUND: A tumor thrombus in the portal vein originating from gastric cancer
is a rare condition. Little is known about the development of portal vein tumor
thrombus (PVTT) and its effect on the survival of gastric cancer patients.
METHODS: PVTT originating from gastric cancer was identified retrospectively with
computed tomography in 51 patients, from January 2002 to June 2007. PVTT was
classified by metastatic routes as follows: lymph node (LN), hepatic mass, and
hematogenous type. The median survival from PVTT, and the factors affecting the
survival of gastric cancer patients with PVTT were analyzed. RESULTS: The median
survival of gastric cancer patients with PVTT (N = 51) was 5.4 months. The median
survival was compared according to clinical characteristics, and multivariate
analysis proved that female and hepatic mass type were independent risk factors
for poor prognosis. The characteristics of the hepatic mass type were old age,
higher proportion of tumors located in the upper-third of the stomach, and liver
metastasis, compared to the LN or the hematogeneous type. CONCLUSIONS: PVTT
originating from gastric cancer was a poor prognostic factor and the median
survival from PVTT was different according to several clinical factors.
PMID- 21898416
TI - Long-term results and prognostic factors of gastric cancer patients with only
positive peritoneal lavage cytology.
AB - BACKGROUND AND OBJECTIVES: The purpose of the present study was to investigate
clinicopathologic features of gastric cancer patients with only positive
peritoneal cytology in the absence of overt peritoneal metastases, and which
might distinguish patients with poorer prognosis. METHODS: Between September 1994
and August 2006, clinicopathological features were retrospectively evaluated in
37 consecutive patients with gastric cancer who underwent a curative resection
and had positive peritoneal cytology in the absence of overt peritoneal
metastases. Survival including disease-free survival (DFS) and overall survival
(OS), and recurrence patterns were analyzed according to clinicopathologic
characteristics. RESULTS: The median follow-up period was 16.1 months (range, 3
45 months). The median DFS and OS of patients after curative resection were 10
months (range, 1-33 months) and 15 months (range, 2-45 months), respectively. All
patients had recurred, in 34 (92%) with peritoneal dissemination. The overall 1-,
2-, 3-, and 5-year survival rates for the 37 consecutive patients were 43.2%,
45.9%, 5.4%, and 0%, respectively. Multiple linear regression analysis revealed
that Borrmann type IV was an independent predictor for poorer prognosis.
CONCLUSIONS: A Borrmann type IV carcinoma may be the candidates for
intraperitoneal chemotherapy among advanced gastric cancer patients.
PMID- 21898417
TI - B lymphocytes as effector cells in the immunotherapy of cancer.
AB - Over the years, the role of B cells in the host immune response to malignancy has
been overshadowed by our focus on T cells. Nevertheless, B cells play important
roles as antigen-presenting cells and in the production of antibodies.
Furthermore, B cells can function as effector cells that mediate tumor
destruction on their own. This review will highlight the various functions of B
cells that are involved in the host response to tumor.
PMID- 21898418
TI - Large needle suction aspiration of permanent fillers.
AB - Temporary injectable fillers have become so widely accepted within the cosmetic
medical industry that permanent fillers with longer lasting effects are fast
gaining popularity. Both patients and physicians alike have eagerly sought a
product to minimize the inconvenience and cost of repeated injections. However,
the fear is that the use of permanent fillers may lead to permanent problems. We
describe here an in-office technique to remove permanent injectable fillers that
achieves consistent, natural results with minimal risk of scarring.
PMID- 21898420
TI - In reference to Acute airway obstruction in cervical spinal procedures with bone
morphogenetic proteins.
PMID- 21898419
TI - Medialization versus reinnervation for unilateral vocal fold paralysis: a
multicenter randomized clinical trial.
AB - PURPOSE: Vocal fold medialization laryngoplasty (ML) and laryngeal reinnervation
(LR) as treatments for unilateral vocal fold paralysis (UVFP) were compared in a
multicenter, prospective, randomized clinical trial. METHODS: Previously
untreated patients with UVFP were randomized to undergo either ML or LR. Voice
results were compared pretreatment and at 6 and 12 months posttreatment using
perceptual ratings by untrained listeners (RUL), blinded speech pathologist GRBAS
scores, and voice-related quality of life (VRQOL) scores. Other secondary data
included maximum phonation time (MPT), cepstral analysis, and electromyography
(EMG) findings. RESULTS: Twenty-four patients from nine sites completed the
study, 12 in each group. There were no significant intergroup differences in
pretreatment variables. At 12 months, both study groups showed significant
improvement in RUL, total GRBAS (grade, roughness, breathiness, asthenia, and
strain) scores, and VRQOL scores, but no significant differences were found
between the two groups. However, patient age significantly affected the LR, but
not the ML, group results. The age less than 52 LR subgroup had significantly (P
< .05) better scores than the age more than 52 LR subgroup, and had better RUL
and GRBAS scores than the age less than 52 ML subgroup. The age more than 52 ML
subgroup results were significantly better than the age more than 52 LR subgroup.
The secondary data generally followed the primary data, except that the MPTs for
the ML patients were significantly longer than for the LR patients. CONCLUSIONS:
ML and LR are both effective surgical options for patients with UVFP. Laryngeal
reinnervation should be considered in younger patients, whereas medialization
laryngoplasty should be favored in older patients.
PMID- 21898421
TI - Effect of temporary vocal fold injection medialization on the rate of permanent
medialization laryngoplasty in unilateral vocal fold paralysis patients.
AB - OBJECTIVES/HYPOTHESIS: To determine whether temporary vocal fold injection
affects the need for permanent medialization laryngoplasty in patients with
unilateral vocal fold paralysis (UVFP). STUDY DESIGN: Retrospective chart review.
METHODS: A total of 175 patients with dysphonia resulting from UVFP were
identified. Patients with documented recovery of vocal fold mobility, <9 months
of follow-up after diagnosis of UVFP, previous treatment at other institutions,
neoplastic disease involving the larynx, or history of radiation to the larynx
were excluded. Fifty-four patients met all inclusion/exclusion criteria. Rates of
permanent medialization laryngoplasty in patients undergoing vocal fold injection
were compared with those of patients who chose observation or voice therapy.
RESULTS: A total of 35% of patients underwent temporary injection medialization,
and the remaining 65% chose conservative management. Five of 19 of the temporary
injection medialization patients subsequently underwent permanent intervention
compared to 23 of 35 of the conservative management group (P = .0131).
CONCLUSIONS: UVFP patients who underwent vocal fold injection with an agent
intended to provide temporary medialization were statistically significantly less
likely to undergo permanent medialization laryngoplasty compared to those
patients who were treated with conservative management only.
PMID- 21898422
TI - Evidence for distinct histologic profile of nasal polyps with and without
eosinophilia.
AB - OBJECTIVE/HYPOTHESIS: To evaluate the histology, RNA, and protein signatures of
nasal polyps (NPs) in order to demonstrate specific subtypes of disease and
differentiate "idiopathic" NPs based on tissue eosinophilia. STUDY DESIGN:
Prospective laboratory-based study. METHODS: NP tissue was obtained from patients
referred to the University of Virginia Health System for sinus surgery. Histology
analyses included hematoxylin-eosin, Gomori's trichrome, toluidine blue, and
chloroacetate staining. RNA and protein were extracted from tissue and cytokine
transcript or protein concentrations determined. RESULTS: Idiopathic NPs can be
divided into distinct subsets characterized by absence (NE) and presence (E) of
prominent eosinophilia. The validity of this distinction is supported by the
demonstration that NE polyps are further distinguished by glandular hypertrophy,
dense collagen deposition, and mononuclear cellular infiltrate. In contrast, E-NP
display edema, rare glandularity, and minimal collagen deposition except within
the basement membrane. Total mast cell numbers were reduced in E-NP, whereas
connective tissue mast cells were increased in NE-NP. Consistent with the
distinctive pattern of increased fibrosis, NE-NP displayed increased transforming
growth factor-beta and vascular endothelial growth factor transcripts. Similarly,
NE-NPs had higher concentrations of transforming growth factor-beta, fibroblast
growth factor-beta, and platelet-derived growth factor protein. CONCLUSIONS:
Idiopathic NPs can be distinguished by NE and E and are supported by the
observations that these display distinct histologic, gene, and protein expression
patterns. The findings suggest that as unique diseases, idiopathic NPs will
require distinct therapeutic interventions.
PMID- 21898423
TI - Cultured vestibular ganglion neurons demonstrate latent HSV1 reactivation.
AB - OBJECTIVES/HYPOTHESIS: Vestibular neuritis is a common cause of both acute and
chronic vestibular dysfunction. Multiple pathologies have been hypothesized to be
the causative agent of vestibular neuritis; however, whether herpes simplex type
I (HSV1) reactivation occurs within the vestibular ganglion has not been
demonstrated previously by experimental evidence. We developed an in vitro system
to study HSV1 infection of vestibular ganglion neurons (VGNs) using a cell
culture model system. STUDY DESIGN: basic science study. RESULTS: Lytic infection
of cultured rat VGNs was observed following low viral multiplicity of infection
(MOI). Inclusion of acyclovir suppressed lytic replication and allowed latency to
be established. Upon removal of acyclovir, latent infection was confirmed with
reverse-transcription polymerase chain reaction and by RNA fluorescent in situ
hybridization for the latency-associated transcript (LAT). A total of 29% cells
in latently infected cultures were LAT positive. The lytic ICP27 transcript was
not detected by reverse-transcription polymerase chain reaction (RT-PCR).
Reactivation of HSV1 occurred at a high frequency in latently infected cultures
following treatment with trichostatin A (TSA), a histone deactylase inhibitor.
CONCLUSIONS: VGNs can be both lytically and latently infected with HSV1.
Furthermore, latently infected VGNs can be induced to reactivate using TSA. This
demonstrates that reactivation of latent HSV1 infection in the vestibular
ganglion can occur in a cell culture model, and suggests that reactivation of
HSV1 infection a plausible etiologic mechanism of vestibular neuritis.
PMID- 21898424
TI - T-cadherin in the mammalian cochlea.
AB - OBJECTIVES/HYPOTHESIS: Cadherins are a superfamily of transmembrane
glycoproteins, which mediate calcium-dependent intercellular adhesions. T
cadherin is an atypical member of the cadherin family in regard to its structure;
it acts as a signalling receptor rather than an adhesion molecule. In this study
we examine the role of T-cadherin in the mammalian cochlea. STUDY DESIGN: This
study investigated the expression of T-cadherin in the inner ear under
physiologic and pathologic conditions. METHODS: Expression of T-cadherin in the
rat cochlea was analyzed by reverse-transcriptase polymerase chain reaction (RT
PCR), real-time RT-PCR, Western blot, and immunohistochemistry. RESULTS: We
detected T-cadherin mRNA expression in three different components in the cochlea
of postnatal mouse, namely the organ of Corti (OC), the spiral ganglion (SG), and
the stria vascularis (SV). The SG and SV showed a higher T-cadherin mRNA level
than the OC. T-cadherin protein was detected by Western blotting in the OC, SG,
and SV. Immunofluorescence microscopy of adult mouse cochlea revealed the
presence of T-cadherin in the apical parts of the inner and outer hair cells as
well as in the SV and SG. OCs treated with gentamicin for 3, 6, or 12 hours did
not show any change in T-cadherin gene expression compared to control explants
maintained in culture medium alone. CONCLUSIONS: T-cadherin is expressed within
the cochlea. T-cadherin seems to have a wide variety of functions in the inner
ear, ranging from mechanical functions to functions in response to hair cell
damage and loss.
PMID- 21898425
TI - Ventilatory techniques for central airway obstruction.
PMID- 21898426
TI - Clinical experience in diagnosis and management of superior semicircular canal
dehiscence in children.
AB - OBJECTIVES/HYPOTHESIS: To identify clinical characteristics of pediatric superior
semicircular canal dehiscence (SSCD) and explore suitable options of management.
STUDY DESIGN: Retrospective review. METHODS: The study comprised 10 patients with
auditory and/or vestibular symptoms suspicious for SSCD. One patient pursued care
at another institution, and two did not return for follow-up. Subsequently, seven
patients (11 ears, 6 females and 1 male, aged 5-11 years) were included. Patients
were evaluated using high-resolution temporal bone computed tomography. Those
suspected of having SSCD underwent vestibular evoked myogenic potential testing
for confirmation in addition to routine audiologic tests. RESULTS: All seven
patients had auditory and/or vestibular impairment. Auditory symptoms included
autophony, tinnitus, and conductive or mixed hearing loss. Bone conduction
responses were occasionally better than 0 dB HL. Vestibular dysfunction included
vertigo, often in response to loud noises, and chronic disequilibrium. One
patient underwent surgical repair for disabling vestibular symptoms with dramatic
improvement in both auditory and vestibular symptoms postoperatively. The
remaining six were closely monitored with routine exams. CONCLUSIONS: In contrast
to adults, children with SSCD usually present with auditory symptoms first,
although they share some similarities with adults in clinical manifestations of
SSCD. Our study shows that SSCD syndrome, a well-accepted clinical entity, exists
in the pediatric population. Conservative management is preferred for children
with SSCD; nevertheless, surgical intervention is necessary for those with
disabling vestibular symptoms. To date, this is the first clinical case series of
symptomatic pediatric patients with SSCD.
PMID- 21898427
TI - Toward safer practice in otology: a report on 15 years of clinical negligence
claims.
AB - OBJECTIVES/HYPOTHESIS: To determine the characteristics of medical negligence
claims arising from otological practice. STUDY DESIGN: Retrospective analysis of
medical negligence claims contained in the National Health Service Litigation
Authority (NHSLA) database. METHODS: Claims relating to otology and neurotology
between 1995 and 2010 were obtained from the NHSLA database and analyzed for
cause of injury, type of injury, outcome of claim and costs. RESULTS: Over 15
years there were 137 claims in otology, representing 26% of all the claims in
otolaryngology. Of these, 116 have been closed, and 84% of closed claims resulted
in payment. Of the 97 successful claims, 63 were related to operative
complications. This included six cases of wrong side/site surgery, and 15 cases
of inadequate informed consent. The most common injuries claimed were hearing
loss, facial paralysis, and additional/unnecessary surgery. Middle ear
ventilation and mastoid surgery were the procedures most commonly associated with
a successful claim. There were 15 successful claims of misdiagnosis/delayed
diagnosis, with chronic suppurative otitis media the condition most frequently
missed. There were nine successful claims related to outpatient procedures, of
which seven were for aural toilet and six claims of medical mismanagement,
including three cases of ototoxicity from topical medications. There were also
four successful claims for morbidity due to delayed surgery. CONCLUSIONS: This is
the first study to report outcomes of negligence claims in otology. Claims in
otology are associated with a high success rate. A significant proportion of
claims are not related to surgery and represent areas where safety should also be
addressed.
PMID- 21898428
TI - Partial cricotracheal resection with tracheal intussusception and cricoarytenoid
joint mobilization: early experience in a new technical variant.
PMID- 21898429
TI - Central segment harvest of costal cartilage in rhinoplasty.
AB - OBJECTIVES/HYPOTHESIS: Our objective was to review our experience with a
conservative central boat harvest of costal cartilage in patients undergoing
rhinoplasty. It involves taking only the central portion of the rib for
reconstruction. When cartilage harvest is performed in the above manner, donor
site morbidity is minimized without limiting aesthetic results. The key seems to
be preservation of intact costal cartilage on three sides, limiting harvest to
the central portion only. This central portion is straight and much less prone to
warping than the cartilage toward the periphery. STUDY DESIGN: A retrospective
review of a single surgeon's experience. METHODS: All rhinoplasty operations
performed by the senior author (Y.D.) from January 2000 to August 2009 that
required the harvest of rib cartilage were reviewed. RESULTS: A total of 322
cases were identified in which rib cartilage was harvested via the described
technique. In all cases sufficient cartilage volume was obtained for the intended
purpose. Average operation time was 10 minutes. No drains were used, and only one
patient developed seroma formation. Postoperative pain and scar were minimal. No
major complications were noted, and on postoperative analysis there was no
evidence of cartilage warping or displacement. CONCLUSIONS: When harvesting
costal cartilage for rhinoplasty, the above technique allows for sufficient graft
tissue while decreasing donor site morbidity and minimizing warping.
PMID- 21898430
TI - Auditory brainstem response morphology and analysis in very preterm neonatal
intensive care unit infants.
AB - OBJECTIVES/HYPOTHESIS: Analysis of auditory brainstem response (ABR) in very
preterm infants can be difficult owing to the poor detectability of the various
components of the ABR. We evaluated the ABR morphology and tried to extend the
current assessment system. STUDY DESIGN: Prospective cohort study. METHODS: We
included 28 preterm very low birth weight infants admitted to the neonatal
intensive care unit of Sophia Children's Hospital. ABRs were measured between 26
and 34 weeks postconceptional age. The presence of the following ABR parameters
was recorded: the ipsilateral peaks I, III and V, the contralateral peaks III and
V, and the response threshold. RESULTS: In 82% of our population, a typical "bow
tie" response pattern was present as a sign of early auditory development. This
bow tie pattern is the narrowest part of the response wave and is predominantly
characterized by the ipsilateral negative peak III. This effect may be emphasized
by the contralateral peak III. The bow tie pattern is seen approximately 0.1
milliseconds before the ipsilateral peak III. From 30 weeks postconceptional age
onward, a more extensive morphologic pattern is recorded in 90% of the infants. A
flow chart was designed to analyze the ABR morphology of preterm infants in an
unambiguous stepwise fashion. CONCLUSIONS: A typical bow tie pattern preceding
peak III seems to be the earliest characteristic of the developing ABR morphology
in preterm infants. As ABR characteristics will improve with increasing age,
neonatal hearing screening should be postponed until after 34 weeks.
PMID- 21898431
TI - Evolution in the management of facial nerve schwannoma.
AB - OBJECTIVE: To design a treatment algorithm based on experience with facial nerve
schwannomas (FNS) over a 30-year period. STUDY DESIGN: Retrospective chart
review. METHOD: Seventy-nine patients with facial nerve schwannomas seen from
1979 through 2009 at a tertiary referral private otologic practice were
categorized by treatment modality. Interventions included surgical resection with
grafting, bony decompression, observation, or stereotactic radiation. Outcome
measures included House-Brackmann facial nerve grade before and after
intervention as well as change in facial nerve grade, tumor size, involved
segments of nerve, time to intervention. RESULTS: Thirty-seven patients (46.8%)
ultimately underwent surgical excision with grafting or primary anastomosis, 21
(26.6%) underwent bony decompression alone, 15 (19.0%) were managed with
observation only, and 6 (7.6%) had stereotactic radiation. Through 1995, 85% of
cases had surgical resection and none had observation only. Of the 52 patients
seen after 1995, 27% had surgical resection and grafting, 33% had bony
decompression, 29% were managed with observation alone, and 11% had radiotherapy.
Facial nerve grade was maintained or improved over the follow-up period (mean
time = 3.9 years) in 78.9% of the decompression group and 100% of the observation
and radiation groups compared to 54.8% of the resection group (P <= .012).
CONCLUSIONS: Surgical resection and grafting, once widely accepted and practiced,
has in many cases given way to observation, bony decompression, or stereotactic
radiation. A wide armamentarium of options is available to the neurotologist
treating facial nerve schwannomas with the ability to preserve facial function
for a longer period of time.
PMID- 21898432
TI - Injection of human mesenchymal stem cells improves healing of vocal folds after
scar excision--a xenograft analysis.
AB - OBJECTIVES: Using a xenograft model the aim was to analyze if injection of human
mesenchymal stem cells (hMSC) into the rabbit vocal fold (VF), after excision of
an established scar, can improve the functional healing of the VF. STUDY DESIGN:
Prospective design with an experimental xenograft model. METHODS: The VFs of 12
New Zealand rabbits were injured by a bilateral localized resection. After 9
weeks the scar after the resection was excised and hMSC were injected into the
VFs. After another 10 weeks 10 VFs were dissected and stained for histology.
Lamina propria thickness and relative content of collagen type I were measured.
Viscoelasticity of 14 VFs at phonatory frequencies was quantified by a simple
shear rheometer. The hMSC survival was determined using a human DNA specific
reference probe, that is, FISH analysis. RESULTS: The viscoelastic measurements,
that is, dynamic viscosity and elastic shear modulus for the hMSC-treated VFs,
were found to be similar to those of normal controls and were significantly lower
than those of untreated controls (P < .05). A significant reduction in lamina
propria thickness was also shown for the hMSC treated VFs compared with the
untreated VFs (P < .05). This histologic finding corresponded with the
viscoelastic results. No hMSC survived 10 weeks after the injection. CONCLUSIONS:
Human mesenchymal stem cells injected into the rabbit VF following the excision
of a chronic scar, were found to enhance the functional healing of the VF with
reduced lamina propria thickness and restored viscoelastic shear properties.
PMID- 21898434
TI - Cochlear implantation has a positive influence on quality of life, tinnitus, and
psychological comorbidity.
AB - OBJECTIVES: To determine the effect of cochlear implantation (CI) on health
related quality of life (HRQoL), tinnitus, and psychological comorbidity in
patients with severe to profound postlingual hearing loss and to analyze the
relationship between these parameters. STUDY DESIGN: Prospective study. METHODS:
Using six validated questionnaires, we evaluated the pre-CI and post-CI scores of
HRQoL, tinnitus, perceived stress, symptoms of depression and anxiety, and coping
strategies in 43 patients implanted unilaterally with a multichannel implant for
at least 6 months. RESULTS: In addition to improvements in hearing, speech
understanding, and disease-specific HRQoL, psychological comorbidity was reduced
and coping strategies were improved following CI. In the 39 tinnitus patients,
their tinnitus was reduced. We found negative correlations between HRQoL and
stress, depression, and anxiety. Pre-CI, tinnitus severity did not correlate with
HRQoL and psychological comorbidity. However, patients with a high-level tinnitus
had lower HRQoL as well as a higher level of perceived stress and anxiety
symptoms than patients with a low-level tinnitus and no/incidental tinnitus
before CI. Moreover, patients with severe hearing loss had a higher level of
perceived symptoms of stress and depression than patients with profound hearing
loss before CI. CONCLUSIONS: The present study provides evidence that tinnitus
and psychological comorbidity may play an important role in the rehabilitation of
CI patients, and that there is a correlation between HRQoL and these parameters.
In addition to hearing tests, tinnitus, stress, and psychological comorbidity
should be assessed using validated questionnaires before and after CI. This will
help to improve the rehabilitation process.
PMID- 21898433
TI - Analysis of eIF4E and 4EBP1 mRNAs in head and neck cancer.
AB - OBJECTIVES/HYPOTHESIS: The eukaryotic translation initiation factor 4E (eIF4E) in
conjunction with its binding protein, 4EBP1, regulates the translation of cap
dependent mRNAs. An aberrant increase in eIF4E shifts the balance in favor of
translation of transcripts that promote cell proliferation and malignancy. eIF4E
protein is commonly elevated in head and neck squamous cell carcinomas (HNSCC),
and its overexpression is associated with increased recurrence. An underlying
mechanism for eIF4E overexpression is gene amplification, and we wanted to
determine whether eIF4E mRNA could serve as a prognostic maker of HNSCC. METHODS:
Tumor specimens from 26 HNSCC patients and oral tissues from 17 control subjects
were examined for eIF4E and 4EBP1 by semiquantitative RT-PCR and correlated with
clinical and pathologic findings. RESULTS: Unlike eIF4E mRNA alone, expression of
eIF4E relative to 4EBP1 was a more precise predictor of HNSCC and its progression
(P < .01, Wilcoxon rank sum test). Eight of 26 patients (31%) had elevated
eIF4E:4EBP1 (4E:4EBP1; >25), and 7 of these (87.5%) had recurrence. Alternately,
from 18 patients with low 4E:4EBP1 (<25; 69%), only 5 patients had recurrence
(30.1%). To determine the probability of no recurrence, Kaplan-Meier analysis
showed significantly poor disease-free survival in patients with elevated
4E:4EBP1 than those with low ratios (P < .01, log rank test). CONCLUSIONS:
Elevated 4E:4EBP1 significantly correlated with increased disease recurrence.
Because 4EBP1 modulates eIF4E activity, our results highlight the importance of
incorporating a joint analysis of eIF4E and 4EBP1 mRNAs in HNSCC patient care
decisions.
PMID- 21898435
TI - ORL emergencies boot camp: using simulation to onboard residents.
AB - OBJECTIVES/HYPOTHESIS: Incoming otolaryngology residents are expected to triage
and manage airway, bleeding, and other emergencies with little prior experience.
Simulation-based education has become increasingly important as it provides tools
to develop psychomotor skills and judgment early in residency, using realistic
experiences while eliminating patient risk. We hypothesize that a Boot Camp
course emphasizing basic otolaryngology management will increase participants'
confidence and be perceived as useful in developing their knowledge, technical
skills, self-confidence, and improving clinical performance, both immediately and
6 months following the course. STUDY DESIGN: Survey. METHODS: A 1-day Boot Camp
was developed consisting of six technical skills stations (mask ventilation,
intubation, flexible laryngoscopy, microlaryngoscopy/bronchoscopy, epistaxis
control, and cricothyroidotomy); a session involving telephone inquiry triage,
and two complex airway scenarios addressing medical management and emphasizing
team leadership. Residents completed questionnaires before, immediately, and 6
months following course completion. RESULTS: Thirty residents enrolled, 27
participated in the course, and 24 completed all three surveys. Previous
experiences and confidence levels were variable; 26 of 30 (87%) identified
emergency airway management as a concern before attending the course. A Fisher's
exact test demonstrated improved confidence (P < .05) for every skill. An
overwhelming majority of participants agreed or strongly agreed the intervention
was useful in developing their knowledge, technical skills, self-confidence, and
improving clinical performance. CONCLUSIONS: An intensive, simulation-based Boot
Camp addressing airway, bleeding, and other otolaryngology emergencies was
successful in improving junior otolaryngology residents' confidence and was
perceived as useful in developing knowledge, technical skills, self-confidence,
and improving clinical performance.
PMID- 21898436
TI - Acute supraglottitis in adults in Finland: review and analysis of 308 cases.
AB - OBJECTIVE: The aim of this article is to study the clinical features, management,
and outcome in adult patients with acute supraglottitis. STUDY DESIGN:
Retrospective review. METHODS: We searched the medical records from our database
from the years 1989 to 2009 using codes of international statistical
classification of diseases and related health problems for acute epiglottitis or
supraglottitis. In total, 308 patients were identified. RESULTS: Incidence of
acute supraglottitis increased from 1.88 (first decade) to 4.73 per 100,000 cases
(second decade) (P = .05). The mean age of the patients was 49 years old with a
slightly male predominance. Sore throat and odynophagia were the most common
symptoms. Concomitant disease were common among the patients. Isolated
inflammation of epiglottis without involvement of other supraglottic tissue was
detected only in 51 patients. Intravenous cephalosporins were the most common
empiric antibiotic treatment regimen. Intravenous corticosteroids were
administered to half of the cases. Streptococcus was the most common organism in
throat cultures. In total, 45 patients needed airway intervention. Complications
were rare and mortality was 0.6% in our series. CONCLUSIONS: Acute supraglottitis
in adults seems to be a different entity than epiglottitis in children, and
inflammation does not usually exclusively involve the epiglottis. Early diagnosis
seems to decrease the need for airway intervention and to permit the successful
treatment of the patient with intravenous antibiotics and corticosteroids.
Streptococcus appears as the dominant causative microorganism. However systemic
diseases and other local infections that compromise the regional supraglottic
immunity may increase the risk for acute supraglottitis.
PMID- 21898438
TI - Endoscopic laser-assisted diverticulotomy versus open surgical approach in the
treatment of Zenker's diverticulum.
AB - OBJECTIVES/HYPOTHESIS: This study aimed to evaluate the results of endoscopic
laser-assisted diverticulotomy and the transcervical approach in treating
Zenker's diverticulum. STUDY DESIGN: Retrospective clinical study. METHODS:
Results of 155 cases after endoscopic laser-assisted diverticulotomy and the
transcervical approach were retrospectively compared. RESULTS: Primary treatment
consisted of endoscopic laser-assisted diverticulotomy in 65.2%, and 34.8% were
treated by a transcervical approach. Average follow-up time was 61.8 months.
Surgical time, duration of hospitalization, and occurrence of minor complications
were significantly lower after endoscopic approach. Recurrence rate showed a
statistically significant difference in favor of open approach. Including the
recurrences, 38.7% could be cured only by transcervical techniques. Patient
perception of success was found to be similar for the compared treatment
modalities. CONCLUSIONS: Endoscopic approach proved to be the treatment of first
choice. However, the fact that open techniques were necessary in nearly 40% of
our cases suggests that this operative technique retains a substantial role in
treatment of this disease.
PMID- 21898437
TI - Otologic and audiologic manifestations of Hutchinson-Gilford progeria syndrome.
AB - OBJECTIVES/HYPOTHESIS: To define the audiologic and otologic phenotype of
Hutchinson-Gilford progeria syndrome (HGPS). STUDY DESIGN: Prospective case
series. METHODS: Fifteen patients with HGPS were enrolled in a prospective
natural history study; 14 were evaluated in the neurotology clinic, and 11
received audiologic evaluations. The physical exam and audiologic findings of
these patients were reviewed to define an otologic and audiologic phenotype for
HGPS in the largest series of subjects in the literature. RESULTS: All patients
were noted to have stiff auricular cartilages, small or absent lobules, and
hypoplasia of the lateral soft-tissue portion of the external ear canal leading
to a shortened canal. Ten of 14 patients (71%) had dry cerumen impaction, and
four of 14 patients (29%) reported a history of recurrent otitis media. Nineteen
of 22 ears (86.4%) demonstrated low-frequency conductive hearing loss in the 250
to 500 Hz range. Sixteen of 22 ears (73%) had type A tympanograms; three of 22
ears (14%) displayed bimodal or "W" peaked tympanograms; two of 22 ears (9%) had
type B tympanograms; one of 22 ears (4%) had a type C tympanogram. Nine of 10
patients had distortion product otoacoustic emissions consistent with normal
peripheral hearing sensitivity. CONCLUSIONS: HGPS is caused by a mutation in the
LMNA gene resulting in the production of an abnormal nuclear protein; this in
turn affects nuclear structure and function. Patients with HGPS have
characteristic otologic features due to cartilaginous and subcutaneous tissue
abnormalities and typically demonstrate low-frequency conductive hearing loss
despite largely normal tympanometry. It is important to be aware of these
conditions in managing these patients.
PMID- 21898439
TI - Augmented image guidance improves skull base navigation and reduces task workload
in trainees: a preclinical trial.
AB - OBJECTIVES/HYPOTHESIS: Our group has developed an augmented image guidance system
that incorporates intraoperative cone-beam computed tomography (CBCT), virtual or
augmented displays, and image registration. We assessed the potential benefits of
augmented endoscopy derived from this system for use during skull base
navigation. Specifically, we wished to evaluate target localization accuracy and
the effect on task workload and confidence. STUDY DESIGN: Prospective,
sequential, paired preclinical trial. METHODS: A single cadaver head underwent
computed tomography, and critical structures were contoured. The specimen was
reimaged after endoscopic dissection and deformable registration allowed contours
to be displayed on postablation CBCT imaging. A real-time virtual view including
anatomical contours was provided parallel to the real endoscopic image. Twelve
subjects were asked to endoscopically localize seven skull base landmarks in a
conventional manner. The same exercise was then performed with augmented
endoscopy. Precise three-dimensional (3D) localization was recorded with a
tracked probe. The NASA task load index was completed after each exercise. A
short questionnaire was also administered. RESULTS: The real-time augmented image
guidance system aided localization in 85% of responses and increased confidence
in 97%. There was a significant reduction in mental demand, effort, and
frustration when the technology was employed, with an increase in perceived
performance (P < .05). Three dimensional navigational precision was improved for
all landmarks. CONCLUSIONS: Real-time augmented image-guided surgery increases
accuracy and confidence in trainee surgeons and decreases task workload during
skull base navigation. This technology shows great promise in assisting in skull
base surgery even for experienced surgeons.
PMID- 21898440
TI - Nasal endoscopy in children with suspected allergic rhinitis.
AB - OBJECTIVES/HYPOTHESIS: Ear, nose, and throat assessment may be frequently
requested for children with allergic rhinitis (AR). Nasal endoscopy allows a
thorough evaluation of the nose. The aim of the study was to investigate whether
there are endoscopic signs predictive for AR diagnosis in a cohort of children
with suspected AR. STUDY DESIGN: Cohort of observational study. METHODS: There
were 176 children (99 males; mean age, 7.5 years) studied. Clinical visit, nasal
endoscopy, and skin prick test were performed in all patients. Nasal endoscopic
signs were pale turbinates, middle turbinate contact, and inferior turbinate
contact. The AR diagnosis was made when nasal symptom history was concordant with
sensitization. RESULTS: AR was diagnosed in 141 children. Inferior and middle
turbinate contact were reliable predictive factors for AR (odds ratio 5.38 and
3.42, respectively), whereas pale turbinates did not predict it. CONCLUSIONS:
This study suggests that nasal endoscopy may reveal signs predictive for AR
diagnosis in children.
PMID- 21898442
TI - Anterior laryngofissure approach to an airway foreign body after migration into
the paraglottic space.
PMID- 21898441
TI - Acute stress to excised vocal fold epithelium from reactive oxygen species.
AB - OBJECTIVES/HYPOTHESIS: Vocal fold epithelium is exposed to reactive oxygen
species from the inhaled environment and from tissue inflammation. The objective
of this study was to explore the functional and structural consequences of
reactive oxygen species exposure on vocal fold epithelium. STUDY DESIGN: In
vitro, prospective study design. METHODS: Hydrogen peroxide (H(2)O(2)), a common
reactive oxygen species, was utilized in this study. Freshly excised, viable
porcine vocal fold epithelia (N = 32) were exposed to H(2) O(2) or sham challenge
for 2 hours. Electrophysiology, western blotting, and light microscopy were used
to quantify the functional and structural effects of reactive oxygen species on
vocal fold epithelia. RESULTS: Exposure to reactive oxygen species did not
significantly alter transepithelial resistance. There was a small, nonsignificant
trend for decreased concentration of epithelial junctional complex protein with
reactive oxygen species challenge. Minimal changes to the gross structural
appearance of vocal fold epithelia were also noted. CONCLUSIONS: The stratified
squamous epithelia of the vocal folds effectively defend against an acute
reactive oxygen species challenge. The current study lays the groundwork for
future investigations on the effects of reactive oxygen species on vocal fold
epithelia that are compromised from phonotrauma.
PMID- 21898443
TI - Endoscopic transvestibular paramandibular exploration of the infratemporal fossa
and parapharyngeal space: a minimally invasive approach to the middle cranial
base.
AB - OBJECTIVES/HYPOTHESIS: To describe a novel transvestibular endoscopic approach
for the exposure, exploration, and resection of lesions in the infratemporal
fossa (ITF) and parapharyngeal space (PPS). STUDY DESIGN: Surgical technique and
clinical feasibilty of a novel approach to the middle cranial base. METHODS: The
transvestibular endoscopic approach was applied to four patients with lesions
involving the ITF and PPS. Through a vertical oral mucosal incision along the
ascending ramus of the mandible, an optical corridor to the ITF and PPS was
created and maintained with the aid of a Hardy speculum. The contents of the ITF
and PPS were explored with the aid of a 0-degree 4-mm rigid endoscope. RESULTS:
Four patients underwent exploration of their right-sided ITF and PPS. The
approach provided exposure and access from the middle cranial base at the level
of the foramen ovale to the mid-PPS. Branches of the trigeminal nerve in the ITF
were safely explored and preserved. Exposure and visualization of the internal
maxillary artery and branches were achieved. Of the four patients, two underwent
resection of a primary and a recurrent pleomorphic adenoma, one had chronic pain
relief from a large synovial chondromatosis, and one had debulking of a recurrent
mucoepidermoid carcinoma. The only complications were self-limiting hypoesthesia
of the lip in one patient and transient dysphagia in another patient.
CONCLUSIONS: The transvestibular endoscopic approach to the ITF and PPS offers
direct and minimally invasive access to select lesions within this region.
Further use of this approach will allow us to determine its potential and
limitations.
PMID- 21898444
TI - Neck mass due to pedicle ossification after oromandibular reconstruction.
AB - OBJECTIVES/HYPOTHESIS: To determine the radiographic incidence of heterotopic
ossification and the clinical incidence of neck masses secondary to heterotopic
ossification in a series of patients who underwent fibula free flap oromandibular
reconstruction. STUDY DESIGN: Retrospective review at a university medical
center. METHODS: Patient database of 520 consecutive fibula free flaps from 1995
to 2010 was reviewed to identify patients who had postoperative computed
tomography (CT) scans of the neck to further investigate the radiologic presence
of heterotopic ossification. Patient chart review was also performed to identify
patients who had clinical evidence of neck masses consistent with heterotopic
ossification. RESULTS: Of the 66 patients who had postoperative CT scans
available for radiologic assessment, 43 (65%) showed heterotopic ossification of
the fibula periosteum. Clinically, 14 of 520 patients (2.6%) presented with firm,
level I or II neck masses that proved to be secondary to heterotopic
ossification. CONCLUSIONS: Development of a firm neck mass after treatment of
head and neck cancer often indicates recurrent tumor. Heterotopic ossification
has not been previously reported as a potential etiology of neck masses after
fibula free flap oromandibular reconstruction in the head and neck surgery
literature. The radiographic incidence of this phenomenon is high, and the
clinical incidence of neck masses secondary to heterotopic ossification is low.
Heterotopic ossification can be distinguished from recurrent tumor on the basis
of physical examination, radiographic assessment, and/or fine-needle aspiration
biopsy. Awareness of heterotopic ossification should be included in the
differential diagnosis of patients with a neck mass who have undergone fibula
free flap reconstructions.
PMID- 21898445
TI - Incidence of revision adenoidectomy in children.
AB - OBJECTIVE/HYPOTHESIS: Adenoidectomy is a frequently performed procedure in the
pediatric population. Revision rates and indications for a second procedure in
children are scarce. STUDY DESIGN: Retrospective cohort study. METHODS: Patient
records at a multistate pediatric healthcare system were searched for all CPT
codes that included adenoidectomy in children less than 12 years of age for a 5
year period (2005-2010). A subset of patients was identified for whom the same
CPT codes appeared more than once in this 5-year period. The indication, age,
gender, adenoid size, and technique of adenoidectomy were recorded. RESULTS: A
total of 23,612 occurrences of the CPT codes were identified. The subset of
patients with multiple CPT codes, indicating revision adenoidectomy, included 304
records (1.3%). Mean age at first procedure was 2.8 years (SD = 1.7 years). Mean
age at second procedure was 4.7 years (SD = 1.99 years). Mean interval between
procedures was 1.8 years (SD = 1.1 years). CONCLUSIONS: Revision adenoidectomy
occurs at a rate of 1.3%. Reasons for revision include persistence symptoms
ranging from adenoiditis to recurrent otitis to obstructive sleep apnea.
PMID- 21898446
TI - Optimal timing of surgical intervention following adult laryngeal trauma.
AB - OBJECTIVE: Laryngeal trauma is an infrequent diagnosis with a scarcity of
published data. We aim to further define the factors associated with positive
surgical outcomes of adult laryngeal trauma. STUDY DESIGN: Multi-institution
database analysis. METHODS: Of the 1.9 million trauma cases from the National
Trauma Database (NTDB), 564 adult trauma events were selected with ICD-9 codes
specific to laryngeal trauma. RESULTS: Laryngeal trauma was seen predominately in
white (61.5%), middle-aged (40.6 years), male (83.7%) patients experiencing blunt
(70.7%) laryngeal injury with multiorgan system (92.2%) trauma. There was an
overall 17.9% mortality rate. Within the 564 cases, 133 direct laryngoscopies,
185 tracheostomies, 53 laryngeal suturing, and 60 laryngeal fracture repairs were
performed. In univariate negative binomial regression models, trauma severity (P
<= .01), placement of tracheostomy (P lt; .01), and delayed tracheostomy
placement (P = .04, .03, .048) were associated with increased ventilator
dependence, intensive care unit (ICU) stay, and overall hospital admission
duration. Multivariate regression models demonstrated significant associations
between tracheostomy performed within 24 hours and shortened ICU stay (P = .03,
beta = -.28, SE = 1.7) and overall hospital stay (P = .009, beta = -.23, SE =
3.1). CONCLUSIONS: The NTDB allows study of the largest laryngeal trauma cohort
in modern literature. Although complexities arise in the treatment of laryngeal
traumas, when indicated, surgical airway should be placed within 24 hours of
presentation to improve the overall hospital course.
PMID- 21898447
TI - Endoscopic endonasal transpterygoid nasopharyngectomy.
AB - OBJECTIVE: Describe our technique for endoscopic transpterygoid nasopharyngectomy
and support its feasibility with our early clinical outcomes. METHODS: Our
endoscopic technique comprises an extended inferomedial maxillectomy,
mobilization of the pterygopalatine fossa, removal of the pterygoid plates and
Eustachian tube to access the posterolateral nasopharynx. Control of the
parapharyngeal and petrous segments of the internal carotid artery is the
keystone of the approach. RESULTS: Various histopathologies were treated,
including epidermoid carcinomas (n = 9), lymphoepithelioma (n = 1), adenoid
cystic carcinoma (n = 5), adenocarcinoma (n = 2), mucoepidermoid carcinoma (n =
2), and sarcoma (n = 1). Negative microscopic margins were obtained in 95%
(19/20) of patients. No perioperative mortality, cerebral spinal fluid (CSF)
leak, meningitis, or cerebrovascular accident was encountered; however, one
patient suffered an internal carotid artery (ICA) injury, without permanent
sequelae. All but one patient received adjuvant therapy (external and/or
stereotactic radiotherapy with or without chemotherapy). Follow-up ranged from 15
to 68 months (mean = 33). Overall survival was 45% (9/20) and local control was
65% (13/20). CONCLUSIONS: Endoscopic transpterygoid nasopharyngectomy for primary
and recurrent nasopharyngeal malignancies is feasible and safe in properly
selected patients. Preliminary outcomes compare to that of conventional
techniques. Endoscopic resections, however, are demanding; they require
specialized equipment and a team versed in endoscopic oncologic surgery. Long
term follow-up and reproducibility remain undefined.
PMID- 21898448
TI - Patient perceptions of factors leading to spasmodic dysphonia: a combined
clinical experience of 350 patients.
AB - PURPOSE: Spasmodic dysphonia (SD) is an idiopathic voice disorder that is
characterized by either a strained, strangled voice quality or a breathy voice
with aphonic segments of connected speech. It has been suggested that
environmental factors play a role in triggering the onset. Clinical observation
suggests that some patients associate onset with specific events or factors while
others do not. The purpose of this study was to examine a large database of SD
patients to determine if specific triggers are associated with the onset of SD.
PROCEDURES: Retrospective chart review. RESULTS: A total of 350 charts of
patients with SD were identified and were categorized as either "sudden onset" or
"gradual onset." One hundred sixty-nine recalled their circumstances surrounding
onset. Forty-five percent of these patients described the onset as sudden.
Patient perceptions of inciting events in the sudden onset group were identified
77% of the time and 2% of the time in the gradual onset group. The most common
factors identified were stress (42%), upper respiratory infection (33%), and
pregnancy and parturition (10%). CONCLUSIONS: Thirty-five percent of SD patients
perceive their disorder to have a sudden onset with identified inciting events.
This prevalence raises questions regarding possible behavioral and environmental
factors surrounding the onset of this disorder.
PMID- 21898449
TI - Thyroid Tubercle of Zuckerkandl: importance in thyroid surgery.
AB - OBJECTIVE: The Tubercle of Zuckerkandl (TZ), which is the remant of the lateral
thyroid process, is an important anatomic structure that serves as a reliable
landmark for the recurrent laryngeal nerve in thyroid surgery. Furthermore,
removal of the TZ is critical for the adequate performance of a total
thyroidectomy. However, there is little mention of the TZ in surgical textbooks
or papers. METHODS: Prospective observational study of 138 consecutive thyroid
surgeries. The presence of the TZ, its size, and relationship to the recurrent
laryngeal nerve, were recorded. RESULTS: A total of 211 thyroid lobes were
included in the study. The TZ was identified in 61.1% of all thyroid lobes. The
median size was 8 mm (range = 3-40 mm). A TZ was more commonly identified on the
right (69.6%) than on the left side (53.2%) (P = .02). The recurrent laryngeal
nerve was found deep to the TZ in 98.4% of cases. CONCLUSIONS: A TZ is present in
the majority of thyroid lobes. Awareness of the TZ is critical in performing an
adequate total thyroidectomy, and is very useful as a landmark for the recurrent
laryngeal nerve.
PMID- 21898450
TI - Kymographic characterization of vibration in human vocal folds with nodules and
polyps.
AB - OBJECTIVES/HYPOTHESIS: Digital kymography (DKG) can provide objective
quantitative data about vocal fold vibration, which may help distinguish normal
from pathological vocal folds as well as nodules from polyps. STUDY DESIGN: Case
control study. METHODS: There were 87 subjects who were separated into three
groups: control, nodules, and unilateral polyps, and examined using a high-speed
camera attached to an endoscope. Videos were analyzed using a custom MATLAB
program, and three DKG line-scan positions (25%, 50%, and 75% of vocal fold
length) were used in statistical analyses to compare vocal fold vibrational
frequency, amplitude symmetry index (ASI), amplitude order, and vertical and
lateral phase difference (VPD and LPD, respectively). RESULTS: Significant
differences among groups were found in all vibrational parameters except
frequency. Polyps and nodules groups exhibited greater ASI values (less amplitude
symmetry) than the control group. Although the control group consistently showed
its largest amplitudes at the midline, the polyps group showed larger amplitudes
toward the posterior end of the vocal folds. A significant anterior-posterior
pattern in amplitude was not found in the nodules group. LPD values were usually
largest (most symmetrical) in the control group, followed by nodules and polyps.
LPD at the 25% position allowed for differentiation between polyp and nodule
groups. The largest VPD (more pronounced mucosal wave) values were usually found
in the control group. CONCLUSIONS: Vibratory characteristics of normal and
pathological vocal folds were quantitatively examined and compared using
multiline DKG. These findings may allow for better characterization of
pathologies and eventually assist in improving the clinical utility of DKG.
PMID- 21898451
TI - Physical punishment and childhood aggression: the role of gender and gene
environment interplay.
AB - A large body of research has linked spanking with a range of adverse outcomes in
children, including aggression, psychopathology, and criminal involvement.
Despite evidence concerning the association of spanking with antisocial behavior,
not all children who are spanked develop antisocial traits. Given the
heterogeneous effects of spanking on behavior, it is possible that a third
variable may condition the influence of corporal punishment on child development.
We test this possibility using data drawn from a nationally representative
dataset of twin siblings. Our findings suggest that genetic risk factors
condition the effects of spanking on antisocial behavior. Moreover, our results
provide evidence that the interaction between genetic risk factors and corporal
punishment may be particularly salient for males.
PMID- 21898452
TI - More than military sexual trauma: interpersonal violence, PTSD, and mental health
in women veterans.
AB - Military sexual trauma (MST) is reported by 20-40% of female veterans. The
purpose of this study of female veterans referred for MST treatment was to
examine the relationships between lifetime trauma (physical, sexual, and
psychological) and posttraumatic stress disorder (PTSD), depression, physical
health, and quality of life using retrospective cross-sectional data from medical
records. Of the 135 participants, 95.4% reported at least one trauma in addition
to MST, most notably sexual abuse as adult civilians (77.0%) and as children
(52.6%). PTSD, depression, and sleep difficulty rates were clinically
significant. Chronic pain (66.4%) was associated with childhood abuse, physical
health, sleep difficulties, and coping. Integrating mental and physical health
treatment is necessary to treat MST and PTSD in female veterans.
PMID- 21898453
TI - Public house patrons' engagement in hypothetical sexual assault: a test of
Alcohol Myopia Theory in a field setting.
AB - Previous research has found that drinking establishments are often antecedent to
sexual aggression outcomes. In this study, male participants were randomly
selected from public houses (i.e., "pubs") and asked to imagine themselves in a
hypothetical intimate encounter in which the female in the scenario stops
consenting to sexual contact. Participants were given the option to continue
making sexual advances up to and including sexual intercourse against the woman's
will. It was hypothesized based on Alcohol Myopia Theory that participant blood
alcohol concentration (BAC) levels would be associated with hypothetical sexual
aggression when stereotypical cues of a woman's sexual availability (revealing
clothing and alcohol use) were present in the scenario. Men's engagement in
hypothetical sexual aggression was associated with BAC levels, but only when the
woman was wearing revealing clothing. The sobriety of the female actor was not
associated with sexual aggression. Results indicate that Alcohol Myopia Theory
generalizes to a field setting.
PMID- 21898455
TI - Modified hermeneutic phenomenological approach toward individuals who have
autism: a response to Newman, Cashin and Waters.
PMID- 21898454
TI - Patients' experiences of seeking health care for lower urinary tract symptoms.
AB - A gap between experiencing symptoms and receiving effective treatment persists
for people with lower urinary tract symptoms (LUTS), even for those who seek
health care. In order to better understand how patients experience treatment
seeking for LUTS, we interviewed a racially diverse sample of 90 men and women
with a range of LUTS about their experiences seeking care. Thematic analysis
revealed that patients often disclosed urinary symptoms first to primary care
providers during a general examination or a visit for another health problem.
Patients seek provider assistance typically when symptoms have intensified or are
causing worry, and a desire for treatment trumps potential embarrassment; among
women patients, feeling comfortable with a provider also is important for
disclosing LUTS.
PMID- 21898456
TI - Effect of glutaraldehyde fixation on bacterial cells observed by atomic force
microscopy.
AB - Atomic force microscopy (AFM) is a promising microscopy technique that can
provide high-resolution images of bacterial cells without fixation. Three species
of bacteria, Xanthomonas campestris, Pseudomonas syringae, and Bacillus subtilis,
were used in this study. AFM images were obtained from unfixed and glutaraldehyde
fixed cells, and cell height was measured. The mean height of bacterial cells
prepared by fixation was higher than that of those prepared by nonfixation.
However, the height changes were different between Gram-negative and Gram
positive bacteria: the mean height of two fixed Gram-negative bacteria, X.
campestris and P. syringae, increased by 112.31 and 84.08%, respectively, whereas
Gram-positive bacterium, B. subtilis, increased only by 38.79%. The results above
indicated that glutaraldehyde fixation could affect the measured height of cells
imaged by AFM; further more, the effect of glutaraldehyde fixation on the
measured height of Gram-negative bacterial cells imaged by AFM seemed much more
than on that of Gram-positive bacterial cells.
PMID- 21898457
TI - The study on the atomic force microscopy base nanoscale electrical discharge
machining.
AB - This study proposes an innovative atomic force microscopy (AFM) based nanoscale
electrical discharge machining (AFM-based nanoEDM) system which combines an AFM
with a self-produced metallic probe and a high-voltage generator to create an
atmospheric environment AFM-based nanoEDM system and a deionized water (DI water)
environment AFM-based nanoEDM system. This study combines wire-cut processing and
electrochemical tip sharpening techniques on a 40-um thick stainless steel sheet
to produce a high conductive AFM probes, the production can withstand high
voltage and large current. The tip radius of these probes is approximately 40 nm.
A probe test was executed on the AFM using probes to obtain nanoscales morphology
of Si wafer surface. The silicon wafer was as a specimen to carry out AFM-base
nanoEDM process in atmospheric and DI water environments by AFM-based nanoEDM
system. After experiments, the results show that the atmospheric and DI water
environment AFM-based nanoEDM systems operate smoothly. From experimental
results, it can be found that the electric discharge depth of the silicon wafer
at atmospheric environments is a mere 14.54 nm. In a DI water environment, the
depth of electric discharge of the silicon wafer can reach 25.4 nm. This
indicates that the EDM ability of DI water environment AFM-based nanoEDM system
is higher than that of atmospheric environment AFM-based nanoEDM system. After
multiple nanoEDM process, the tips become blunt. After applying electrochemical
tip sharpening techniques, the tip radius can return to approximately 40 nm.
Therefore, AFM probes produced in this study can be reused.
PMID- 21898458
TI - A statistical model of signal-noise in scanning electron microscopy.
AB - A statistical model describing signal-noise generation and development along the
signal formation process in a standard scanning electron microscope (SEM) using
an Everhart-Thornley secondary electron detector is derived. Noise in the
detector signal is modeled to originate from a cascade of five signal conversion
stages. Based on the derived model, general conclusions are drawn concerning the
total signal-to-noise ratio (SNR) at each stage, and the influence of each stage
on the total SNR of the detector signal. The model is furthermore applied to a
real-world SEM, and verified by experimental data.
PMID- 21898459
TI - Holographic otoscope for nanodisplacement measurements of surfaces under dynamic
excitation.
AB - We describe a novel holographic otoscope system for measuring nanodisplacements
of objects subjected to dynamic excitation. Such measurements are necessary to
quantify the mechanical deformation of surfaces in mechanics, acoustics,
electronics, biology, and many other fields. In particular, we are interested in
measuring the sound-induced motion of biological samples, such as an eardrum. Our
holographic otoscope system consists of laser illumination delivery (IS), optical
head (OH), and image processing computer (IP) systems. The IS delivers the object
beam (OB) and the reference beam (RB) to the OH. The backscattered light coming
from the object illuminated by the OB interferes with the RB at the camera sensor
plane to be digitally recorded as a hologram. The hologram is processed by the IP
using the Fresnel numerical reconstruction algorithm, where the focal plane can
be selected freely. Our holographic otoscope system is currently deployed in a
clinic, and is packaged in a custom design. It is mounted in a mechatronic
positioning system to increase its maneuverability degrees to be conveniently
positioned in front of the object to be measured. We present representative
results highlighting the versatility of our system to measure deformations of
complex elastic surfaces in the wavelength scale including a copper foil membrane
and postmortem tympanic membrane. SCANNING 33: 342-352, 2011. (c) 2011 Wiley
Periodicals, Inc.
PMID- 21898460
TI - Comparison of approaches for microscopic imaging of skin lymphatic vessels.
AB - Assessment of skin lymphatic vessels is of great significance in understanding
their roles in many pathological conditions. Our aim was to identify the optimal
approach for investigation of cutaneous lymphatic system. We performed
comparative studies on skin lymphatic vessels using immunohistochemistry of
tissue sections, computer graphic reconstruction method together with
immunohistochemically stained serial sections and whole mount fluorescence in
human lower limb. Lymphatic vessels were identified with podoplanin antibody. The
relative merits and drawbacks of each method in evaluation of structure, spatial
organization, and distribution of cutaneous lymphatic vessels were described.
Immunohistology of tissue sections enabled the investigation of the structure and
distribution of the whole cutaneous lymphatic system in two-dimensional slices,
whereas three-dimensional morphology of only the most superficial lymph capillary
network immediately under the epidermis could be evaluated with the whole mount
technique. Meanwhile, only little segmentation of skin lymphatic vessel from five
immunohistochemically stained serial sections was reconstructed and evaluated due
to expense and special skills required using computer graphic three-dimensional
reconstruction. Furthermore, a great number of artifacts and special skills
required in its processes leaded to less accurate structure of skin lymphatic
vessels. Our findings demonstrated that the use of either of the proposed
techniques alone could not allow a comprehensive analysis of the skin lymphatic
system due to their relative drawbacks. Combination of immunohistology of tissue
sections and three-dimensional whole-mount preparations appears to be the best
candidate for comprehensive evaluation of skin lymphatic system.
PMID- 21898461
TI - Real-time noninvasive optical diagnosis for colorectal cancer using multiphoton
microscopy.
AB - In contrast to colonoscopy biopsy, which contains several disadvantages such as
bleeding, sampling error, crush artifact, and time-consuming pathological
procedure, multiphoton microscopy (MPM) enables direct noninvasive visualization
of tissue architecture and cell morphology in live tissues without the
administration of exogenous contrast agents. We performed a proof-of-principle
study to evaluate the feasibility of using MPM to make real-time noninvasive
optical diagnosis of colorectal cancer by investigating 30 fresh, unfixed, and
unstained full-thickness colorectal specimens. We found that MPM images
demonstrated irregular tubular structures, reduced stroma, and cellular and
nuclear pleomorphism in the cancerous tissues. Cancer cells, characterized by
irregular size and shape, enlarged nuclei, and increased nuclear-cytoplasmic
ratio, were clearly observed in MPM images, which were comparable to golden
standard hematoxylin-eosin staining images. Our findings showed that MPM had the
potential to make real-time noninvasive optical diagnosis of colorectal cancer.
With miniaturization and integration of colonoscopy, MPM has a promising future
in real-time noninvasive "optical biopsy" for colorectal cancer.
PMID- 21898462
TI - Updating a chiral separation strategy for non-acidic drugs with capillary
electrochromatography applicable for both chlorinated and non-chlorinated
polysaccharide selectors.
AB - A generic strategy for the chiral separation of non-acidic pharmaceuticals was
updated to complete an approach defined earlier. The selected chiral stationary
phases are all polysaccharide selectors, chlorinated, and non-chlorinated, namely
Lux((r)) Amylose 2, Chiralcel((r)) OD-RH, Lux((r)) Cellulose 4, and
Chiralpak((r)) AD-RH. In this study, the screening step of a strategy defined
earlier was updated and the optimization steps were re-evaluated for the applied
chiral stationary phases. These screening and optimization conditions were
studied by analyzing 20 pharmaceuticals at different organic modifier contents,
temperatures, or applied voltages. The proposed chiral separation strategy was
then evaluated with a test set of 19 non-acidic drugs. Seventeen compounds
(89.5%) of the latter set could be resolved of which eight (42%) were baseline
separated. The strategy thus proved to be applicable on compounds different from
those used for its development.
PMID- 21898463
TI - Enantioselective fungal biotransformation of risperidone in liquid culture medium
by capillary electrophoresis and hollow fiber liquid-phase microextraction.
AB - Knowing that microbial transformations of compounds play vital roles in the
preparation of new derivatives with biological activities, risperidone and its
chiral metabolites were determined by capillary electrophoresis and hollow fiber
liquid-phase microextraction after a fungal biotransformation study in liquid
culture medium. The analytes were extracted from 1 mL liquid culture medium into
1-octanol impregnated in the pores of the hollow fiber, and into an acid acceptor
solution inside the polypropylene hollow fiber. The electrophoretic separations
were carried out in 100 mmol/L sodium phosphate buffer pH 3.0 containing 2.0% w/v
sulfated-alpha-CD and carboxymethyl-beta-CD 0.5% w/v with a constant voltage of
10 kV. The method was linear over the concentration range of 100-5000 ng/mL for
risperidone and 50-5000 ng/mL for each metabolite enantiomer. Within-day and
between-day assay precisions and accuracies for all the analytes were studied at
three concentration levels, and the values of relative standard deviation and
relative error were lower than 15%. The developed method was applied in a pilot
biotransformation study employing risperidone as the substrate and the
filamentous fungus Mucor rouxii. This study showed that the filamentous fungus
was able to metabolize risperidone enantioselectively into its chiral active
metabolite, (-)-9-hydroxyrisperidone.
PMID- 21898465
TI - Modeling the interactions between polyoxometalates and their environment.
AB - To develop a force field suitable both for polyoxometalates (POMs) and organic
cations, the Merck molecular force field 94x (MMFF94x) has been selected to
describe the counterions used in POMs synthesis and has been combined with our
force field optimized for type-II POMs with electrostatic and Van der Waals
interactions included in the potential. Nontransferability of force fields is
well-known and, to overcome this limitation, a charge-scaling factor (SF) has
been introduced and optimized to tune the POMs force field parameters and adapt
them to MMFF94x. The mixed MMFF94x/POMFF-II force field has been optimized and
tested on different clusters based on hepta-molybdate. To validate our mixed
force field comparison of the results obtained after molecular mechanics (MM),
geometry optimizations with density-functional (DFT) calculations have been
performed on the smallest system of interest. This has enabled a study of the
accuracy of different functionals, especially on the description of hydrogen
bonding, to be made. Results are promising in terms of structural accuracy. MM
geometry optimization can be used on small POM clusters, competing reasonably
well with DFT. When quantum approaches increase considerably the computational
cost because of the size of the system studied, MM can be used, with the small
reservation that even if the charge SF introduced improves the performance of the
force field, further optimizations of the nonbonded term and the model used for
the atomic charges may be necessary in further studies.
PMID- 21898464
TI - Solvation properties of N-acetyl-beta-glucosamine: molecular dynamics study
incorporating electrostatic polarization.
AB - N-Acetyl-beta-glucosamine (NAG) is an important moiety of glycoproteins and is
involved in many biological functions. However, conformational and dynamical
properties of NAG molecules in aqueous solution, the most common biological
environment, remain ambiguous due to limitations of experimental methods.
Increasing efforts are made to probe structural properties of NAG and NAG
containing macromolecules, like peptidoglycans and polymeric chitin, at the
atomic level using molecular dynamics simulations. In this work, we develop a
polarizable carbohydrate force field for NAG and contrast simulation results of
various properties using this novel force field and an analogous nonpolarizable
(fixed charge) model. Aqueous solutions of NAG and its oligomers are
investigated; we explore conformational properties (rotatable bond geometry),
electrostatic properties (dipole moment distribution), dynamical properties (self
diffusion coefficient), hydrogen bonding (water bridge structure and dynamics),
and free energy of hydration. The fixed-charge carbohydrate force field exhibits
deviations from the gas phase relative rotation energy of exocyclic hydroxymethyl
side chain and of chair/boat ring distortion. The polarizable force field
predicts conformational properties in agreement with corresponding first
principles results. NAG-water hydrogen bonding pattern is studied through radial
distribution functions (RDFs) and correlation functions. Intermolecular hydrogen
bonding between solute and solvent is found to stabilize NAG solution structures
while intramolecular hydrogen bonds define glycosidic linkage geometry of NAG
oligomers. The electrostatic component of hydration free energy is highly
dependent on force field atomic partial charges, influencing a more favorable
free energy of hydration in the fixed-charge model compared to the polarizable
model.
PMID- 21898466
TI - Density functional theory-based electrochemical models for the oxygen reduction
reaction: comparison of modeling approaches for electric field and solvent
effects.
AB - A series of density functional theory (DFT) based electrochemical models are
applied to systematically examine the effect of solvent, local electric field,
and electrode potential on oxygen reduction reaction (ORR) kinetics.
Specifically, the key elementary reaction steps of molecular oxygen dissociation,
molecular oxygen protonation, and reduction of a hydroxyl adsorbate to water over
the Pt(111) surface were considered. The local electric field has slight
influence on reaction energetics at the vacuum interface. Solvent molecules
stabilize surface adsorbates, assisting oxygen reduction. A collective solvation
potential coupled effect is identified by including long range solvent-solvent
interactions in the DFT model. The dominant path of the ORR reaction varies with
electrode potential and among the modeling approaches considered. The potential
dependent reaction path determined from the solvated model qualitatively agrees
with experiment ORR kinetics.
PMID- 21898467
TI - Site specificity of OH alpha-H abstraction reaction for a beta-hairpin peptide:
an ab initio study.
AB - A beta-hairpin peptide (PDB ID 1UAO) was modeled to explore the backbone
oxidation of a protein by an OH radical to abstract one alpha-H atom with ab
initio calculation at the B3LYB/6-31G(d) without any constraint. Three glycine
residues located at three different sites in 1UAO were used to examine the
possible site specificity of this backbone oxidation. The pre- and post-reactive
complexes along with their associated transition states were located and verified
by the intrinsic reaction coordinate method. The reaction profile of these alpha
H abstraction reactions was constructed. The effects of the aqueous solution were
estimated by the conductor-like polarizable continuum model (CPCM) model. Rate
constants were calculated with transition state theory. The reaction rate of the
OH alpha-H abstraction varies among these three different sites. The differences
among these three sites were rationalized in terms of the molecular and
electronic structures of the reactive complexes along the reaction pathway. The
explicit solvation effect was estimated through the similar abstraction of a
zwitterionic glycine with the combination of microsolvation and a CPCM model. Our
results indicate that the alpha-H abstraction at certain sites requires explicit
salvation to obtain accurate results. A replica exchange molecular dynamics
simulation was performed to demonstrate the structural change due to this type of
abstraction.
PMID- 21898468
TI - Kinetic isotope effects calculated with the instanton method.
AB - The ring-opening reaction of the cyclopropylcarbinyl radical proceeds via heavy
atom tunneling at low temperature. We used instanton theory to calculate
tunneling rates and kinetic isotope effects with on-the-fly calculation of
energies by density functional theory (B3LYP). The accuracy was verified by
explicitly correlated coupled-cluster calculations (UCCSD(T)-F12). At cryogenic
temperatures, we found protium/deuterium KIEs up to 13 and inverse KIEs down to
0.2. We also studied an intramolecular tautomerization reaction. A simple and
computationally efficient method is proposed to calculate KIEs with the instanton
method: the instanton path is assumed to be independent of the atomic masses.
This results in surprisingly good estimates of the KIEs for the
cyclopropylcarbinyl radical and for the secondary KIEs of the tautomerization.
Challenges and capabilities of the instanton method for calculating KIEs are
discussed.
PMID- 21898469
TI - The 2010 Malcolm Ferguson-Smith Young Investigator Award.
PMID- 21898470
TI - Prenatal identification of an accessory lower limb.
PMID- 21898471
TI - Combined effects of 60 Hz electromagnetic field exposure with various stress
factors on cellular transformation in NIH3T3 cells.
AB - Epidemiological studies have suggested that extremely low-frequency magnetic
fields (ELF-MF) are associated with an increased incidence of cancer. Studies
using in vitro systems have reported mixed results for the effects of ELF-MF
alone, and the World Health Organization (WHO) Research Agenda published in 2007
suggested that high priority research should include an evaluation of the co
carcinogenic effects of ELF-MF exposure using in vitro models. Here, the
carcinogenic potential of ELF-MF exposure alone and in combination with various
stress factors was investigated in NIH3T3 mouse fibroblasts using an in vitro
cellular transformation assay. NIH3T3 cells were exposed to a 60 Hz ELF-MF (1 mT)
alone or in combination with ionizing radiation (IR), hydrogen peroxide (H2O2),
or c-Myc overexpression, and the resulting number of anchorage-independent
colonies was counted. A 4 h exposure of NIH3T3 cells to ELF-MF alone produced no
cell transformation. Moreover, ELF exposure did not influence the transformation
activity of IR, H2O2, or activated c-Myc in our in vitro assay system, suggesting
that 1 mT ELF-MF did not affect any additive or synergistic transformation
activities in combination with stress factors such as IR, H2O2, or activated c
Myc in NIH3T3 cells.
PMID- 21898472
TI - Incorporation of phylogeny in biological diversity measurement: drawbacks of
extensively used indices, and advantages of quadratic entropy.
PMID- 21898473
TI - Salivary peptidome in type 1 diabetes mellitus.
AB - Diabetic patients show a high susceptibility to oral diseases of inflammatory,
catabolic and chronic nature with potential impact on saliva composition. In this
study, our purpose was to characterize type 1 diabetes-induced alterations in the
salivary peptidome aiming to find prospective biomarkers for type 1 diabetes oral
health evaluation. Peptidomic analysis of saliva from controls (n = 5) and type 1
diabetic patients (n = 5) were performed by liquid chromatography followed by
mass spectrometry. The proteolytic activity and metalloproteinases expression was
accessed by zymography and slot blot analysis, respectively. Data evidenced a
significant increase in the percentage of peptides in diabetic patients
paralleled by a higher proteolytic activity, compared with healthy individuals.
The nonsalivary gland protein fragments identified in saliva were mainly derived
from collagen and extracellular matrix proteins, namely collagen type I. The
cleavage site frequency analysis showed significant differences between healthy
and type 1 diabetic individuals, highlighting the activity of proteases such as
matrix metalloproteinase-9 and cathepsin D. Our results highlight salivary
collagen fragments as potential biomarkers to follow up diabetes-related oral
damage.
PMID- 21898474
TI - Determination of therapeutic oligonucleotides using capillary gel
electrophoresis.
AB - Oligonucleotides have developed into highly versatile and selective therapeutics
over the past 20 years. More than five discrete mechanisms of action have been
reported and more than 10 different chemical modifications have been used to
extend their in vivo half-life and reduce their toxicity. Capillary gel
electrophoresis (CGE) has been used extensively for the quantitative analysis of
oligonucleotide therapeutics in both preclinical and clinical studies since the
1990s. The success of CGE is based on its extraordinary resolving power, which
allows for the simultaneous determination of the parent drug and its metabolites.
More recently, capillary gel electrophoresis has seen renewed interest with the
emergence of replaceable gels with single-base resolving power and new capillary
electrophoresis-mass spectrometry interfaces. This review discusses the
bioanalysis of therapeutic oligonucleotides showing the evolution of the field
over the past two decades leading to the current new approaches. Included in this
review are topics such as different gel types, sample introduction modes, sample
extraction procedures, separation conditions and detection methods used in CGE,
along with discussions of the successes and limitations associated with each.
PMID- 21898475
TI - High-performance liquid chromatographic analysis of lacosamide in canine serum
using ultraviolet detection: application to pre-clinical pharmacokinetics in
dogs.
AB - A method for analysis of lacosamide [(R)-2-acetamido-N-benzyl-3
methoxypropionamide] is needed for both human and veterinary pharmacokinetic
investigations. While lacosamide is currently used to manage partial-onset
seizures in humans suffering from epilepsy, it is also presently being
investigated for use in the treatment of canine epilepsy in veterinary medicine.
Currently, no dosing regimen for the drug exists in dogs. A novel and simple high
performance liquid chromatography method was developed for determination of
lacosamide in dog serum. Serum proteins (0.1 mL) were precipitated with -20.0
degrees C acetonitrile after addition of the internal standard, daidzein.
Separation was achieved with a Phenomenex(r) Luna(r) C18 (2) (5 um, 250 * 4.60
mm) column with ultraviolet detection at 210 nm. The calibration curves were
linear ranging from 0.5 to 25 ug/mL. Precision of the assay was <13% (RSD) and
was within 12% for all points in the calibration curve. The limit of quantitation
for this method was 0.5 ug/mL. The assay was applied successfully to a pre
clinical study of lacosamide pharmacokinetics in dogs.
PMID- 21898476
TI - Dendritic cell regulation of carbon tetrachloride-induced murine liver fibrosis
regression.
AB - Although hepatic fibrosis typically follows chronic inflammation, fibrosis will
often regress after cessation of liver injury. In this study, we examined whether
liver dendritic cells (DCs) play a role in liver fibrosis regression using carbon
tetrachloride to induce liver injury. We examined DC dynamics during fibrosis
regression and their capacity to modulate liver fibrosis regression upon
cessation of injury. We show that conditional DC depletion soon after
discontinuation of the liver insult leads to delayed fibrosis regression and
reduced clearance of activated hepatic stellate cells, the key fibrogenic cell in
the liver. Conversely, DC expansion induced either by Flt3L (fms-like tyrosine
kinase-3 ligand) or adoptive transfer of purified DCs accelerates liver fibrosis
regression. DC modulation of fibrosis was partially dependent on matrix
metalloproteinase (MMP)-9, because MMP-9 inhibition abolished the Flt3L-mediated
effect and the ability of transferred DCs to accelerate fibrosis regression. In
contrast, transfer of DCs from MMP-9-deficient mice failed to improve fibrosis
regression. CONCLUSION: Taken together, these results suggest that DCs increase
fibrosis regression and that the effect is correlated with their production of
MMP-9. The results also suggest that Flt3L treatment during fibrosis resolution
merits evaluation to accelerate regression of advanced liver fibrosis.
PMID- 21898477
TI - Intensive care of the patient with cirrhosis.
AB - Acute deterioration of patients with cirrhosis manifests as multiple organ
failure requiring admission to an intensive care unit. Precipitating events may
be viral hepatitis, typically in Asia, and drug or alcoholic hepatitis and
variceal hemorrhage in the West. Patients with cirrhosis in the intensive care
unit have a high mortality, and each admission is associated with a mean charge
of US $116,200. Prognosis is determined by the number of organs failing
(sequential organ failure assessment [SOFA] score), the presence of infection,
and the degree of liver dysfunction (Child-Turcotte-Pugh or Model for End-Stage
Liver Disease scores). The most common organ failing is the kidney; sepsis is
associated with further deterioration in liver function by compromise of the
microcirculation. Care of these critically ill patients with impending multiple
organ failure requires a team approach with expertise in both hepatology and
critical care. Treatment is aimed at preventing further deterioration in liver
function, reversing precipitating factors, and supporting failing organs. Liver
transplantation is required in selected patients to improve survival and quality
of life. Treatment is futile in some patients, but it is difficult to identify
these patients a priori. Artificial and bioartificial liver support systems have
thus far not demonstrated significant survival benefit in these patients.
PMID- 21898478
TI - Association of gene expression involving innate immunity and genetic variation in
interleukin 28B with antiviral response.
AB - Innate immunity plays an important role in host antiviral response to hepatitis C
viral (HCV) infection. Recently, single nucleotide polymorphisms (SNPs) of IL28B
and host response to peginterferon alpha (PEG-IFNalpha) and ribavirin (RBV) were
shown to be strongly associated. We aimed to determine the gene expression
involving innate immunity in IL28B genotypes and elucidate its relation to
response to antiviral treatment. We genotyped IL28B SNPs (rs8099917 and
rs12979860) in 88 chronic hepatitis C patients treated with PEG-IFNalpha-2b/RBV
and quantified expressions of viral sensors (RIG-I, MDA5, and LGP2), adaptor
molecule (IPS-1), related ubiquitin E3-ligase (RNF125), modulators (ISG15 and
USP18), and IL28 (IFNlambda). Both IL28B SNPs were 100% identical; 54 patients
possessed rs8099917 TT/rs12979860 CC (IL28B major patients) and 34 possessed
rs8099917 TG/rs12979860 CT (IL28B minor patients). Hepatic expressions of viral
sensors and modulators in IL28B minor patients were significantly up-regulated
compared with that in IL28B major patients (~ 3.3-fold, P < 0.001). However,
expression of IPS-1 was significantly lower in IL28B minor patients (1.2-fold, P
= 0.028). Expressions of viral sensors and modulators were significantly higher
in nonvirological responders (NVR) than that in others despite stratification by
IL28B genotype (~ 2.6-fold, P < 0.001). Multivariate and ROC analyses indicated
that higher RIG-I and ISG15 expressions and RIG-I/IPS-1 expression ratio were
independent factors for NVR. IPS-1 down-regulation in IL28B minor patients was
confirmed by western blotting, and the extent of IPS-1 protein cleavage was
associated with the variable treatment response. CONCLUSION: Gene expression
involving innate immunity is strongly associated with IL28B genotype and response
to PEG-IFNalpha/RBV. Both IL28B minor allele and higher RIG-I and ISG15
expressions and RIG-I/IPS-1 ratio are independent factors for NVR.
PMID- 21898479
TI - Feasibility and diagnostic performance of the FibroScan XL probe for liver
stiffness measurement in overweight and obese patients.
AB - Failure of liver stiffness measurement (LSM) by transient elastography (TE,
FibroScan) and unreliable results occur in ~ 5% and 15% of patients,
respectively, mainly due to obesity. In this multicenter study, we evaluated the
feasibility and performance of the novel FibroScan XL probe in 276 patients with
chronic liver disease (42% viral hepatitis, 46% nonalcoholic fatty liver disease
[NAFLD]) and a body mass index (BMI) >= 28 kg/m(2) . Patients underwent liver
biopsy and TE with the standard M and XL probes. TE failure was defined as no
valid LSMs and unreliable examinations as <10 valid LSMs or an interquartile
range (IQR)/LSM >30% or success rate <60%. Probe performance for diagnosing >= F2
fibrosis and cirrhosis (F4) versus biopsy were examined using areas under
receiver operating characteristic curves (AUROC). FibroScan failure was less
frequent with the XL probe than the M probe (1.1% versus 16%) and the XL probe
was more often reliable (73% versus 50%; both P < 0.00005). Reliable results with
the XL probe were obtained in 61% of patients in whom the M probe was unreliable.
Among 178 patients with >= 10 valid LSMs using both probes, liver stiffness was
highly correlated between probes (rho = 0.86; P < 0.0005); however, median liver
stiffness was lower using the XL probe (6.8 versus 7.8 kPa; P < 0.00005). The
AUROC of the XL and M probes were similar for >= F2 fibrosis (0.83 versus 0.86; P
= 0.19) and cirrhosis (0.94 versus 0.91; P = 0.28). CONCLUSION: Compared with the
M probe, the FibroScan XL probe reduces TE failure and facilitates reliable LSM
in obese patients. Although the probes have comparable accuracy, lower liver
stiffness cutoffs will be necessary when the XL probe is used to noninvasively
assess liver fibrosis.
PMID- 21898480
TI - Toll-like receptor 2-mediated innate immune response in human nonparenchymal
liver cells toward adeno-associated viral vectors.
AB - Adeno-associated viral vectors (rAAV) are frequently used in gene therapy trials.
Although rAAV vectors are of low immunogenicity, humoral as well as T cell
responses may be induced. While the former limits vector reapplication, the
expansion of cytotoxic T cells correlates with liver inflammation and loss of
transduced hepatocytes. Because adaptive immune responses are a consequence of
recognition by the innate immune system, we aimed to characterize cell autonomous
immune responses elicited by rAAV in primary human hepatocytes and nonparenchymal
liver cells. Surprisingly, Kupffer cells, but also liver sinusoidal endothelial
cells, mounted responses to rAAV, whereas neither rAAV2 nor rAAV8 were recognized
by hepatocytes. Viral capsids were sensed at the cell surface as pathogen
associated molecular patterns by Toll-like receptor 2. In contrast to the Toll
like receptor 9-mediated recognition observed in plasmacytoid dendritic cells,
immune recognition of rAAV in primary human liver cells did not induce a type I
interferon response, but up-regulated inflammatory cytokines through activation
of nuclear factor kappaB. CONCLUSION: Using primary human liver cells, we
identified a novel mechanism of rAAV recognition in the liver, demonstrating that
alternative means of sensing rAAV particles have evolved. Minimizing this
recognition will be key to improving rAAV-mediated gene transfer and reducing
side effects in clinical trials due to immune responses against rAAV.
PMID- 21898481
TI - Shedding of syndecan-1 from human hepatocytes alters very low density lipoprotein
clearance.
AB - We recently showed that the heparan sulfate proteoglycan syndecan-1 mediates
hepatic clearance of triglyceride-rich lipoproteins in mice based on systemic
deletion of syndecan-1 and hepatocyte-specific inactivation of sulfotransferases
involved in heparan sulfate biosynthesis. Here, we show that syndecan-1 expressed
on primary human hepatocytes and Hep3B human hepatoma cells can mediate binding
and uptake of very low density lipoprotein (VLDL). Syndecan-1 also undergoes
spontaneous shedding from primary human and murine hepatocytes and Hep3B cells.
In human cells, phorbol myristic acid induces syndecan-1 shedding, resulting in
accumulation of syndecan-1 ectodomains in the medium. Shedding occurs through a
protein kinase C-dependent activation of ADAM17 (a disintegrin and
metalloproteinase 17). Phorbol myristic acid stimulation significantly decreases
DiD (1,1'-dioctadecyl-3,3,3',3'-tetramethylindodicarbocyanine perchlorate)-VLDL
binding to cells, and shed syndecan-1 ectodomains bind to VLDL. Although mouse
hepatocytes appear resistant to induced shedding in vitro, injection of
lipopolysaccharide into mice results in loss of hepatic syndecan-1, accumulation
of ectodomains in the plasma, impaired VLDL catabolism, and hypertriglyceridemia.
CONCLUSION: These findings suggest that syndecan-1 mediates hepatic VLDL turnover
in humans as well as in mice and that shedding might contribute to
hypertriglyceridemia in patients with sepsis.
PMID- 21898482
TI - Amiodarone hepatotoxicity.
PMID- 21898483
TI - Early changes in interferon signaling define natural killer cell response and
refractoriness to interferon-based therapy of hepatitis C patients.
AB - Natural killer (NK) cells exhibit a polarized phenotype with increased
cytotoxicity and decreased interferon gamma (IFN-gamma) production in chronic
hepatitis C virus (HCV) infection. Here, we asked whether this is caused by type
I interferon (IFN)-induced expression and phosphorylation levels of signal
transducer and activator of transcription (STAT) molecules in NK cells and
whether it affects the response and refractoriness of NK cells to IFN-alpha-based
therapy of HCV. STAT1 levels in NK cells were significantly higher in patients
with chronic HCV infection than in uninfected controls. STAT1 levels and
induction of phosphorylated STAT1 (pSTAT1) increased further during IFN-alpha
based therapy with preferential STAT1 over STAT4 phosphorylation. Induction of
pSTAT1 correlated with increased NK cytotoxicity (tumor necrosis factor-apoptosis
inducing ligand [TRAIL] expression and degranulation) and decreased IFN-gamma
production. NK cells from patients with a greater than 2 log(10) first-phase HCV
RNA decline to IFN-alpha-based therapy (>99% IFN effectiveness) displayed strong
pSTAT1 induction in vivo and were refractory to further stimulation in vitro. In
contrast, NK cells from patients with a less than 2 log(10) first-phase HCV RNA
decline exhibited lower pSTAT1 induction in vivo (P = 0.024), but retained
greater IFN-alpha responsiveness in vitro (P = 0.024). NK cells of all patients
became refractory to in vivo and in vitro stimulation by IFN-alpha during the
second-phase virological response. CONCLUSION: These data show that IFN-alpha
induced modulation of STAT1/4 phosphorylation underlies the polarization of NK
cells toward increased cytotoxicity and decreased IFN-gamma production in HCV
infection, and that NK cell responsiveness and refractoriness correlate to the
antiviral effectiveness of IFN-alpha-based therapy.
PMID- 21898484
TI - Immunization with aspartate-beta-hydroxylase-loaded dendritic cells produces
antitumor effects in a rat model of intrahepatic cholangiocarcinoma.
AB - Dendritic cells (DCs) capture and process proteins and present peptides on the
cell surface in the context of major histocompatibility complex I and II
molecules to induce antigen-specific T cell immune responses. The aims of this
study were to (1) employ an expanded and purified DC population and load them
with aspartate-beta-hydroxylase (ASPH), a highly expressed tumor-associated cell
surface protein, and (2) to determine if immunization induced antitumor effects
in an orthotopic rat model of intrahepatic cholangiocarcinoma. Splenocytes were
incubated with ASPH-coated beads and passed through a magnetic field to yield an
80% pure DC OX62+ population. This DC subset was stimulated with granulocyte
macrophage colony-stimulating factor, interleukin-4, CD40L, and interferon-gamma,
resulting in a 40-fold increase in interleukin-12A messenger RNA expression to
subsequently generate a T helper 1-type immune response. After incubation with
the cytokine cocktail, DCs were found to have matured, as demonstrated by
increased expression of CD40, CD80, and CD86 costimulatory molecules.
Immunization with ASPH-loaded DCs induced antigen-specific immunity. A clone of
the parental tumorigenic rat BDEneu cholangiocyte cell line, designated BDEneu
CL24, was found to have the highest number of cells expressing this surface
protein (97%); it maintained the same phenotypic characteristics of the parental
cell line and was used to produce intrahepatic tumors in immunocompetent
syngeneic Fisher-344 rats. Immunization with ASPH-loaded DCs generated
cytotoxicity against cholangiocarcinoma cells in vitro and significantly
suppressed intrahepatic tumor growth and metastasis, and was associated with
increased CD3+ lymphocyte infiltration into the tumors. CONCLUSION: These
findings suggest that immunization with ASPH-loaded DCs may constitute a novel
therapeutic approach for intrahepatic cholangiocarcinoma, because this protein
also appears to be highly conserved and expressed on human hepatobiliary tumors.
PMID- 21898485
TI - Immunoglobulin M levels inversely correlate with CD40 ligand promoter methylation
in patients with primary biliary cirrhosis.
AB - The cross-talk of cluster of differentiation (CD)40/CD40 ligand (CD40L) plays a
key role in CD4(+) T-cell priming, B-cell terminal maturation, and immunoglobulin
(Ig) class-switch recombination. Genetic defects in the CD40L lead to a disorder
characterized by elevated concentrations of serum IgM and immunodeficiency.
Patients with primary biliary cirrhosis (PBC) characteristically show circulating
antimitochondrial antibodies (AMAs), liver-infiltrating autoreactive T
lymphocytes against mitochondrial antigens, and high levels of IgM. We
hypothesized that CD40L may play a key role in the pathogenesis of the elevated
serum IgM and analyzed genetic and epigenetic modifications of the gene coding
for CD40L in CD4(+) and CD8(+) T cells isolated from circulating mononuclear
cells from PBC patients and healthy controls. We herein demonstrate significantly
lower levels of DNA methylation of the CD40L promoter in CD4(+) T cells from PBC
patients, as compared with controls, and this decreased methylation was inversely
correlated with levels of serum IgM in PBC patients. CONCLUSION: The findings of
an absence of genetic modifications of the CD40L gene, in concert with decreased
DNA methylation of the CD40L promoter in PBC patients, suggests that
environmental factors, rather than genetics, must play a major role in the
pathogenesis of elevated serum IgM in PBC.
PMID- 21898486
TI - Genetic interactions between hepatocyte nuclear factor-6 and Notch signaling
regulate mouse intrahepatic bile duct development in vivo.
AB - Notch signaling and hepatocyte nuclear factor-6 (HNF-6) are two genetic factors
known to affect lineage commitment in the bipotential hepatoblast progenitor cell
(BHPC) population. A genetic interaction involving Notch signaling and HNF-6 in
mice has been inferred through separate experiments showing that both affect BHPC
specification and bile duct morphogenesis. To define the genetic interaction
between HNF-6 and Notch signaling in an in vivo mouse model, we examined the
effects of BHPC-specific loss of HNF-6 alone and within the background of BHPC
specific loss of recombination signal binding protein immunoglobulin kappa J (RBP
J), the common DNA-binding partner of all Notch receptors. Isolated loss of HNF-6
in this mouse model fails to demonstrate a phenotypic variance in bile duct
development compared to control. However, when HNF-6 loss is combined with RBP-J
loss, a phenotype consisting of cholestasis, hepatic necrosis, and fibrosis is
observed that is more severe than the phenotype seen with Notch signaling loss
alone. This phenotype is associated with significant intrahepatic biliary system
abnormalities, including an early decrease in biliary epithelial cells, evolving
to ductular proliferation and a decrease in the density of communicating
peripheral bile duct branches. In this in vivo model, simultaneous loss of both
HNF-6 and RBP-J results in down-regulation of both HNF-1beta and Sox9 (sex
determining region Y-related HMG box transcription factor 9). CONCLUSION: HNF-6
and Notch signaling interact in vivo to control expression of downstream
mediators essential to the normal development of the intrahepatic biliary system.
This study provides a model to investigate genetic interactions of factors
important to intrahepatic bile duct development and their effect on cholestatic
liver disease phenotypes.
PMID- 21898487
TI - End-stage liver disease candidates at the highest model for end-stage liver
disease scores have higher wait-list mortality than status-1A candidates.
AB - Candidates with fulminant hepatic failure (Status-1A) receive the highest
priority for liver transplantation (LT) in the United States. However, no studies
have compared wait-list mortality risk among end-stage liver disease (ESLD)
candidates with high Model for End-Stage Liver Disease (MELD) scores to those
listed as Status-1A. We aimed to determine if there are MELD scores for ESLD
candidates at which their wait-list mortality risk is higher than that of Status
1A, and to identify the factors predicting wait-list mortality among those who
are Status-1A. Data were obtained from the Scientific Registry of Transplant
Recipients for adult LT candidates (n = 52,459) listed between September 1, 2001,
and December 31, 2007. Candidates listed for repeat LT as Status-1 A were
excluded. Starting from the date of wait listing, candidates were followed for 14
days or until the earliest occurrence of death, transplant, or granting of an
exception MELD score. ESLD candidates were categorized by MELD score, with a
separate category for those with calculated MELD > 40. We compared wait-list
mortality between each MELD category and Status-1A (reference) using time
dependent Cox regression. ESLD candidates with MELD > 40 had almost twice the
wait-list mortality risk of Status-1A candidates, with a covariate-adjusted
hazard ratio of HR = 1.96 (P = 0.004). There was no difference in wait-list
mortality risk for candidates with MELD 36-40 and Status-1A, whereas candidates
with MELD < 36 had significantly lower mortality risk than Status-1A candidates.
MELD score did not significantly predict wait-list mortality among Status-1A
candidates (P = 0.18). Among Status-1A candidates with acetaminophen toxicity,
MELD was a significant predictor of wait-list mortality (P < 0.0009).
Posttransplant survival was similar for Status-1A and ESLD candidates with MELD >
20 (P = 0.6). CONCLUSION: Candidates with MELD > 40 have significantly higher
wait-list mortality and similar posttransplant survival as candidates who are
Status-1A, and therefore, should be assigned higher priority than Status-1A for
allocation. Because ESLD candidates with MELD 36-40 and Status-1A have similar
wait-list mortality risk and posttransplant survival, these candidates should be
assigned similar rather than sequential priority for deceased donor LT.
PMID- 21898488
TI - Serum ferritin concentration and transferrin saturation before liver
transplantation predict decreased long-term recipient survival.
AB - Serum ferritin (SF) concentration is a widely available parameter used to assess
iron homeostasis. It has been described as a marker to identify high-risk
patients awaiting liver transplantation (LT) but is also elevated in systemic
immune-mediated diseases, metabolic syndrome, and in hemodialysis where it is
associated with an inferior prognosis. This study analyzed whether SF is not only
a predictor of liver-related mortality prior to LT but also an independent marker
of survival following LT. In a dual-center, retrospective study, a cohort of 328
consecutive first-LT patients from Hannover Medical School, Germany (2003-2008,
follow-up 1260 days), and 82 consecutive LT patients from Regensburg University
Hospital, Germany (2003-2007, follow-up 1355 days) as validation cohort were
analyzed. In patients exhibiting SF >=365 MUg/L versus <365 MUg/L prior to LT, 1
, 3-, and 5-year post-LT survival was 73.3% versus 81.1%, 64.4% versus 77.3%, and
61.1% versus 74.4%, respectively (overall survival P = 0.0097), which was
confirmed in the validation cohort (overall survival of 55% versus 83.3%, P =
0.005). Multivariate analyses identified SF >=365 MUg/L combined with transferrin
saturation (TFS) <55%, hepatocellular carcinoma, and the survival after LT (SALT)
score as independent risk factors for death. In patients with SF concentrations
>=365 MUg/L and TFS <55%, overall survival was 54% versus 74.8% in the remaining
group (P = 0.003). In the validation cohort, it was 28.6% versus 72% (P = 0.017),
respectively. CONCLUSION: SF concentration >=365 MUg/L in combination with TFS
<55% before LT is an independent risk factor for mortality following LT. Lower
TFS combined with elevated SF concentrations indicate that acute phase mechanisms
beyond iron overload may play a prognostic role. SF concentration therefore not
only predicts pre-LT mortality but also death following LT.
PMID- 21898489
TI - Ribavirin pharmacokinetics and interleukin 28B plus cytochrome P450 27B1 single
nucleotide polymorphisms as predictors of response to pegylated
interferon/ribavirin treatment in patients infected with hepatitis C virus
genotype 1/4.
PMID- 21898490
TI - Apoptosis: a barrier against cancer no more?
PMID- 21898491
TI - Tenascin-C: a novel mediator of hepatic ischemia and reperfusion injury.
AB - Hepatic ischemia/reperfusion (IRI) injury remains a major challenge in clinical
orthotopic liver transplantation (OLT). Tenascin-C (Tnc) is an extracellular
matrix protein (ECM) involved in various aspects of immunity and tissue injury.
Using a Tnc-deficient mouse model, we present data that suggest an active role
for Tnc in liver IRI. We show that Tnc-deficient mice have a reduction in liver
damage and a significant improvement in liver regeneration after IRI. The
inability of Tnc(-/-) mice to express Tnc significantly reduced the levels of
active caspase-3/transferase-mediated dUTP nick end-labeling (TUNEL) apoptotic
markers and enhanced the expression of the proliferation cell nuclear antigen
(PCNA) after liver IRI. The lack of Tnc expression resulted in impaired leukocyte
recruitment and decreased expressions of interleukin (IL)-1beta, IL-6, and CXCL2
after liver reperfusion. Tnc-deficient livers were characterized by altered
expression patterns of vascular adhesion molecules, such as vascular cell
adhesion molecule-1 and platelet endothelial cell adhesion molecule-1 post-IRI.
Moreover, matrix metalloproteinase-9 (MMP-9) synthesis, which facilitates
leukocyte transmigration across vascular barriers in liver IRI, was markedly down
regulated in the absence of Tnc. We also show that Tnc is capable of inducing MMP
9 expression in isolated neutrophils through Toll-like receptor 4. Therefore, our
data suggest that Tnc is a relevant mediator of the pathogenic events underlying
liver IRI. The data also support the view that studies aimed at further
understanding how newly synthesized ECM molecules, such as Tnc, participate in
inflammatory responses are needed to improve therapeutic approaches in liver IRI.
PMID- 21898493
TI - An update on treatment of genotype 1 chronic hepatitis C virus infection: 2011
practice guideline by the American Association for the Study of Liver Diseases.
PMID- 21898494
TI - Where are we in the search for noninvasive nonalcoholic steatohepatitis
biomarkers?
PMID- 21898495
TI - Metabolic syndrome is also a risk factor for primary liver cancer in patients
younger than 65 years of age?
PMID- 21898496
TI - Field-practice study of sorafenib therapy for hepatocellular carcinoma: a
prospective multicenter study in Italy.
AB - A multicenter randomized controlled trial established sorafenib as a standard of
care for patients with advanced hepatocellular carcinoma (HCC). Because the study
was prematurely interrupted due to survival benefits in the sorafenib arm, we
conducted an observational study to adequately assess risks and benefits of this
regimen in field practice. Starting in 2008, all clinically compensated patients
with advanced HCC and those with an intermediate HCC who were unfit or failed to
respond to ablative therapies were consecutively evaluated in six liver centers
in Italy, for tolerability as well as radiologic and survival response to 800
mg/d sorafenib therapy. Treatment was down-dosed or interrupted according to drug
label. Two hundred ninety-six patients (88% Child-Pugh A, 75% Barcelona Clinic
Liver Cancer [BCLC]-C, and 25% BCLC-B) received sorafenib for 3.8 months (95% CI
3.3-4.4). Two hundred sixty-nine (91%) patients experienced at least one adverse
event (AE), whereas 161 (54%) had to reduce dosing. Treatment was interrupted in
103 (44%) for disease progression, in 95 (40%) for an AE, and in 38 (16%) for
liver deterioration. The median survival was 10.5 months in the overall cohort,
8.4 months in BCLC-C versus 20.6 months in BCLC-B patients (P < 0.0001), and 21.6
months in the 77 patients treated for >70% of the time with a half dose versus
9.6 months in the 219 patients treated for >70% of the time with a full dose. At
month 2 of treatment, the overall radiologic response was 8%. Eastern Cooperative
Oncology Group performance status, macrovascular invasion, extrahepatic spread of
the tumor, radiologic response at month 2, and sorafenib dosing were independent
predictors of shortened survival. CONCLUSION: Overall, safety, effectiveness, and
generalizability of sorafenib therapy in HCC was validated in field practice. The
effectiveness of half-dosed sorafenib may have implications for tailored therapy.
PMID- 21898497
TI - Alteration of hepatic nuclear receptor-mediated signaling pathways in hepatitis C
virus patients with and without a history of alcohol drinking.
AB - The current study tests a hypothesis that nuclear receptor signaling is altered
in chronic hepatitis C patients and that the altered pattern is specific to
alcohol drinking history. The expression of a panel of more than 100 genes
encoding nuclear receptors, coregulators, and their direct/indirect targets was
studied in human livers. Gene expression pattern was compared between 15 normal
donor livers and 23 hepatitis C virus (HCV) genotype 1-positive livers from
patients without a drinking history (matched for age, sex, and body mass index).
HCV infection increased the expression of nuclear receptors small heterodimer
partner and constitutive androstane receptor (CAR) as well as genes involved in
fatty acid trafficking, bile acid synthesis and uptake, and inflammatory
response. However, the expression of retinoid X receptor (RXR) alpha, peroxisomal
proliferator-activated receptor (PPAR) alpha and beta as well as steroid
regulatory element-binding protein (SREBP)-1c was decreased in HCV-infected
livers. Gene expression pattern was compared in chronic hepatitis C patients with
and without a drinking history. Alcohol drinking increased the expression of
genes involved in fatty acid uptake, trafficking, and oxidation, but decreased
the expression of genes responsible for gluconeogenesis. These changes were
consistent with reduced fasting plasma glucose levels and altered expression of
upstream regulators that include RXRalpha, PPARalpha, and CAR. The messenger RNA
levels of fibroblast growth factor 21, interleukin-10, and fatty acid synthase,
which are all regulated by nuclear receptors, showed independent correlation with
hepatic HCV RNA levels. CONCLUSION: Our findings suggest that those genes and
pathways that showed altered expression could potentially be therapeutic targets
for HCV infection and/or alcohol drinking-induced liver injury.
PMID- 21898498
TI - Activation of liver X receptor increases acetaminophen clearance and prevents its
toxicity in mice.
AB - Overdose of acetaminophen (APAP), the active ingredient of Tylenol, is the
leading cause of drug-induced acute liver failure in the United States. As such,
it is necessary to develop novel strategies to prevent or manage APAP toxicity.
In this report, we reveal a novel function of the liver X receptor (LXR) in
preventing APAP-induced hepatotoxicity. Activation of LXR in transgenic (Tg) mice
or by an LXR agonist conferred resistance to the hepatotoxicity of APAP, whereas
the effect of LXR agonist on APAP toxicity was abolished in LXR-deficient mice.
The increased APAP resistance in LXR Tg mice was associated with increased APAP
clearance, increased APAP sulfation, and decreased formation of toxic APAP
metabolites. The hepatoprotective effect of LXR may have resulted from the
induction of antitoxic phase II conjugating enzymes, such as Gst and Sult2a1, as
well as the suppression of protoxic phase I P450 enzymes, such as Cyp3a11 and
Cyp2e1. Promoter analysis suggested the mouse Gst isoforms as novel
transcriptional targets of LXR. The suppression of Cyp3a11 may be accounted for
by the inhibitory effect of LXR on the PXR-responsive transactivation of Cyp3a11.
The protective effect of LXR in preventing APAP toxicity is opposite to the
sensitizing effect of pregnane X receptor, constitutive androstane receptor, and
retinoid X receptor alpha. CONCLUSION: We conclude that LXR represents a
potential therapeutic target for the prevention and treatment of Tylenol
toxicity.
PMID- 21898499
TI - Recombinant adenovirus carrying the hepatocyte nuclear factor-1alpha gene
inhibits hepatocellular carcinoma xenograft growth in mice.
AB - Hepatocyte nuclear factor-1alpha (HNF1alpha) is one of the key transcription
factors of the HNF family, which plays a critical role in hepatocyte
differentiation. Substantial evidence has suggested that down-regulation of
HNF1alpha may contribute to the development of hepatocellular carcinoma (HCC).
Herein, human cancer cells and tumor-associated fibroblasts (TAFs) were isolated
from human HCC tissues, respectively. A recombinant adenovirus carrying the
HNF1alpha gene (AdHNF1alpha) was constructed to determine its effect on HCC in
vitro and in vivo. Our results demonstrated that HCC cells and HCC tissues
revealed reduced expression of HNF1alpha. Forced reexpression of HNF1alpha
significantly suppressed the proliferation of HCC cells and TAFs and inhibited
the clonogenic growth of hepatoma cells in vitro. In parallel, HNF1alpha
overexpression reestablished the expression of certain liver-specific genes and
microRNA 192 and 194 levels, with a resultant increase in p21 levels and
induction of G(2)/M arrest. Additionally, AdHNF1alpha inhibited the expression of
cluster of differentiation 133 and epithelial cell adhesion molecule and the
signal pathways of the mammalian target of rapamycin and transforming growth
factor beta/Smads. Furthermore, HNF1alpha abolished the tumorigenicity of
hepatoma cells in vivo. Most interestingly, intratumoral injection of AdHNF1alpha
significantly inhibited the growth of subcutaneous HCC xenografts in nude mice.
Systemic delivery of AdHNF1alpha could eradicate the orthotopic liver HCC nodules
in nonobese diabetic/severe combined immunodeficiency mice. CONCLUSION: These
results suggest that the potent inhibitive effect of HNF1alpha on HCC is attained
by inducing the differentiation of hepatoma cells into mature hepatocytes and
G(2)/M arrest. HNF1alpha might represent a novel, promising therapeutic agent for
human HCC treatment. Our findings also encourage the evaluation of
differentiation therapy for tumors of organs other than liver using their
corresponding differentiation-determining transcription factor.
PMID- 21898500
TI - Commentary on emergence of hepatitis B virus S gene mutants in patients
experiencing HBsAg seroconversion after peginterferon therapy.
PMID- 21898501
TI - Human immunodeficiency virus and liver disease forum 2010: conference
proceedings.
AB - Liver disease continues to represent a critical mediator of morbidity and
mortality in those with human immunodeficiency virus (HIV) infection. The
frequent presence and overlap of concomitant injurious processes, including
hepatitis C virus and hepatitis B virus infections, hepatoxicity associated with
antiretroviral therapeutic agents, alcohol, and other toxins, in the setting of
immunosuppression lead to rapid fibrotic progression and early development of end
stage liver disease. This conference summary describes the proceedings of a state
of-the-art gathering of international experts designed to highlight the status of
current research in epidemiology, natural history, pathogenesis, and treatment of
HIV and liver disease.
PMID- 21898502
TI - Regression of established hepatocellular carcinoma is induced by
chemoimmunotherapy in an orthotopic murine model.
AB - The high rate of mortality and frequent incidence of recurrence associated with
hepatocellular carcinoma (HCC) reveal the need for new therapeutic approaches. In
this study we evaluated the efficacy of a novel chemoimmunotherapeutic strategy
to control HCC and investigated the underlying mechanism that increased the
antitumor immune response. We developed a novel orthotopic mouse model of HCC
through seeding of tumorigenic hepatocytes from SV40 T antigen (Tag) transgenic
MTD2 mice into the livers of syngeneic C57BL/6 mice. These MTD2-derived
hepatocytes form Tag-expressing HCC tumors specifically within the liver. This
approach provides a platform to test therapeutic strategies and antigen-specific
immune-directed therapy in an immunocompetent murine model. Using this model we
tested the efficacy of a combination of oral sunitinib, a small molecule
multitargeted receptor tyrosine kinase (RTK) inhibitor, and adoptive transfer of
tumor antigen-specific CD8(+) T cells to eliminate HCC. Sunitinib treatment alone
promoted a transient reduction in tumor size. Sunitinib treatment combined with
adoptive transfer of tumor antigen-specific CD8(+) T cells led to elimination of
established tumors without recurrence. In vitro studies revealed that HCC growth
was inhibited through suppression of STAT3 signaling. In addition, sunitinib
treatment of tumor-bearing mice was associated with suppression of STAT3 and a
block in T-cell tolerance. CONCLUSION: These findings indicate that sunitinib
inhibits HCC tumor growth directly through the STAT3 pathway and prevents tumor
antigen-specific CD8(+) T-cell tolerance, thus defining a synergistic
chemoimmunotherapeutic approach for HCC.
PMID- 21898503
TI - Transforming growth factor-beta signaling promotes hepatocarcinogenesis induced
by p53 loss.
AB - Hepatocellular carcinoma (HCC) results from the accumulation of deregulated tumor
suppressor genes and/or oncogenes in hepatocytes. Inactivation of TP53 and
inhibition of transforming growth factor-beta (TGF-beta) signaling are among the
most common molecular events in human liver cancers. Thus, we assessed whether
inactivation of TGF-beta signaling, by deletion of the TGF-beta receptor, type II
(Tgfbr2), cooperates with Trp53 loss to drive HCC formation. Albumin-cre
transgenic mice were crossed with floxed Trp53 and/or floxed Tgfbr2 mice to
generate mice lacking p53 and/or Tgfbr2 in the liver. Deletion of Trp53 alone
(Trp53(KO) ) resulted in liver tumors in approximately 41% of mice by 10 months
of age, whereas inactivation of Tgfbr2 alone (Tgfbr2(KO) ) did not induce liver
tumors. Surprisingly, deletion of Tgfbr2 in the setting of p53 loss (Trp53(KO)
;Tgfbr2(KO) ) decreased the frequency of mice with liver tumors to around 17% and
delayed the age of tumor onset. Interestingly, Trp53(KO) and Trp53(KO)
;Tgfbr2(KO) mice develop both HCC and cholangiocarcinomas, suggesting that loss
of p53, independent of TGF-beta, may affect liver tumor formation through effects
on a common liver stem cell population. Assessment of potential mechanisms
through which TGF-beta signaling may promote liver tumor formation in the setting
of p53 loss revealed a subset of Trp53(KO) tumors that express increased levels
of alpha-fetoprotein. Furthermore, tumors from Trp53(KO) mice express increased
TGF-beta1 levels compared with tumors from Trp53(KO) ;Tgfbr2(KO) mice. Increased
phosphorylated Smad3 and ERK1/2 expression was also detected in the tumors from
Trp53(KO) mice and correlated with increased expression of the TGF-beta
responsive genes, Pai1 and Ctgf. CONCLUSION: TGF-beta signaling paradoxically
promotes the formation of liver tumors that arise in the setting of p53
inactivation.
PMID- 21898505
TI - Glucocorticoids increase interleukin-6-dependent gene induction by interfering
with the expression of the suppressor of cytokine signaling 3 feedback inhibitor.
AB - Glucocorticoids are known to be potent regulators of inflammation and have been
used pharmacologically against inflammatory, immune, and lymphoproliferative
diseases for more than 50 years. Due to their possible and well-documented side
effects, it is crucial to understand the molecular mechanisms and targets of
glucocorticoid action in detail. Several modes of action have been discussed;
nevertheless, none of them fully explain all the functions of glucocorticoids.
Therefore, we analyzed the cross-talk between glucocorticoids and interleukin-6
(IL-6) in the liver. IL-6 exerts pro-inflammatory as well as anti-inflammatory
properties and is a main inducer of the acute-phase response. The balance between
the proinflammatory and anti-inflammatory activities of IL-6 is tightly regulated
by suppressor of cytokine signaling 3 (SOCS3), a well-known feedback inhibitor of
IL-6 signaling. Here, it is demonstrated that glucocorticoids enhance IL-6
dependent gamma-fibrinogen expression. Studying of the underlying mechanism
revealed prolonged activation of signal transducer and activator of transcription
3 (STAT3) caused by down-regulation of SOCS3 protein expression. Consequently, in
SOCS3-deficient cells glucocorticoids do not affect IL-6-induced signal
transduction. Moreover, in hepatocytes lacking the SOCS3 recruiting motif within
gp130, IL-6-dependent gamma-fibrinogen expression is not influenced by
glucocorticoid treatment. CONCLUSION: Glucocorticoids interfere with IL-6-induced
expression of the feedback inhibitor SOCS3, thereby leading to enhanced
expression of acute-phase genes in hepatocytes. This mechanism contributes to the
explanation of how glucocorticoids affect inflammation and acute-phase gene
induction.
PMID- 21898504
TI - Comparison of eight diagnostic algorithms for liver fibrosis in hepatitis C: new
algorithms are more precise and entirely noninvasive.
AB - The sequential algorithm for fibrosis evaluation (SAFE) and the Bordeaux
algorithm (BA), which cross-check FibroTest with the aspartate aminotransferase
to-platelet ratio index (APRI) or FibroScan, are very accurate but provide only a
binary diagnosis of significant fibrosis (SAFE or BA for Metavir F >= 2) or
cirrhosis (SAFE or BA for F4). Therefore, in clinical practice, physicians have
to apply the algorithm for F >= 2, and then, when needed, the algorithm for F4
("successive algorithms"). We aimed to evaluate successive SAFE, successive BA,
and a new, noninvasive, detailed classification of fibrosis. The study included
1785 patients with chronic hepatitis C, liver biopsy, blood fibrosis tests, and
FibroScan (the latter in 729 patients). The most accurate synchronous combination
of FibroScan with a blood test (FibroMeter) provided a new detailed (six classes)
classification (FM+FS). Successive SAFE had a significantly (P < 10(-3) ) lower
diagnostic accuracy (87.3%) than individual SAFE for F >= 2 (94.6%) or SAFE for
F4 (89.5%), and required significantly more biopsies (70.8% versus 64.0% or 6.4%,
respectively, P < 10(-3) ). Similarly, successive BA had significantly (P <= 10(
3) ) lower diagnostic accuracy (84.7%) than individual BA for F >= 2 (88.3%) or
BA for F4 (94.2%), and required significantly more biopsies (49.8% versus 34.6%
or 24.6%, respectively, P < 10(-3) ). The diagnostic accuracy of the FM+FS
classification (86.7%) was not significantly different from those of successive
SAFE or BA. However, this new classification required no biopsy. CONCLUSION: SAFE
and BA for significant fibrosis or cirrhosis are very accurate. However, their
successive use induces a significant decrease in diagnostic accuracy and a
significant increase in required liver biopsy. A new fibrosis classification that
synchronously combines two fibrosis tests was as accurate as successive SAFE or
BA, while providing an entirely noninvasive (0% liver biopsy) and more precise
(six versus two or three fibrosis classes) fibrosis diagnosis.
PMID- 21898506
TI - Cost-effectiveness of hepatitis C virus antiviral treatment for injection drug
user populations.
AB - Injecting drug use is the main risk of hepatitis C virus (HCV) transmission in
most developed countries. HCV antiviral treatment (peginterferon-alpha +
ribavirin) has been shown to be cost-effective for patients with no reinfection
risk. We examined the cost-effectiveness of providing antiviral treatment for
injecting drug users (IDUs) as compared with treating ex/non-IDUs or no
treatment. A dynamic model of HCV transmission and disease progression was
developed, incorporating: a fixed number of antiviral treatments allocated at the
mild HCV stage over 10 years, no retreatment after treatment failure, potential
reinfection, and three baseline IDU HCV chronic prevalence scenarios (20%, 40%,
and 60%). We performed a probabilistic cost-utility analysis estimating long-term
costs and outcomes measured in quality adjusted life years (QALYs) and
calculating the incremental cost-effectiveness ratio (ICER) comparing treating
IDUs, ex/non-IDUs, or no treatment. Antiviral treatment for IDUs is the most cost
effective option in the 20% and 40% baseline chronic prevalence settings, with
ICERs compared with no treatment of L 521 and L 2,539 per QALY saved,
respectively. Treatment of ex/non-IDUs is dominated in these scenarios. At 60%
baseline prevalence, treating ex/non-IDUs is slightly more likely to be the more
cost-effective option (with an ICER compared with no treatment of L 6,803), and
treating IDUs dominated due to high reinfection. A sensitivity analysis indicates
these rankings hold even when IDU sustained viral response rates as compared with
ex/non-IDUs are halved. CONCLUSION: Despite the possibility of reinfection, the
model suggests providing antiviral treatment to IDUs is the most cost-effective
policy option in chronic prevalence scenarios less than 60%. Further research on
how HCV treatment for injectors can be scaled up and its impact on prevalence is
warranted.
PMID- 21898507
TI - Persistent elevation of hepatocyte growth factor activator inhibitors in
cholangiopathies affects liver fibrosis and differentiation.
AB - Alteration of cell surface proteolysis has been proposed to play a role in liver
fibrosis, a grave complication of biliary atresia (BA). In this study we
investigated the roles of hepatocyte growth factor activator inhibitor (HAI)-1
and -2 in the progression of BA. The expression levels of HAI-1 and -2 were
significantly increased in BA livers compared with those in neonatal hepatitis
and correlated with disease progression. In BA livers, HAI-1 and -2 were
coexpressed in cells involved in ductular reactions. In other selective
cholangiopathies, ductular cells positive for HAI-1 or HAI-2 also increased in
number. Inflammatory cytokines, growth factors, and bile acids differentially up
regulated expression of HAI-1 and -2 transcripts in fetal liver cells and this
induction could be antagonized by a cyclooxygenase-2 inhibitor. Conditioned media
from cell lines stably overexpressing HAI-1 or HAI-2 enhanced the fibrogenic
activity of portal fibroblasts and stellate cells, suggesting that both proteins
might be involved in liver fibrosis. Because HAI-1 and -2 colocalized in ductular
reactions sharing similar features to those observed during normal liver
development, we sought to investigate the role of HAI-1 and -2 in
cholangiopathies by exploring their functions in fetal liver cells. Knockdown of
HAI-1 or HAI-2 promoted bidirectional differentiation of hepatoblast-derived
cells. In addition, we showed that the hepatocyte growth factor activator,
mitogen-activated protein kinase kinase 1, and phosphatidylinositol 3-kinase
signaling pathways were involved in hepatic differentiation enhanced by HAI-2
knockdown. CONCLUSION: HAI-1 and -2 are overexpressed in the liver in
cholangiopathies with ductular reactions and are possibly involved in liver
fibrosis and hepatic differentiation; they could be investigated as disease
markers and potential therapeutic targets.
PMID- 21898508
TI - Genetic variant in PNPLA3 is associated with nonalcoholic fatty liver disease in
China.
PMID- 21898509
TI - Drug therapy: telaprevir.
PMID- 21898510
TI - White monkey syndrome and presumptive copper deficiency in wild savannah baboons.
AB - In immature wild savannah baboons (Papio cynocephalus), we observed symptoms
consistent with copper (Cu) deficiency and, more specifically, with a disorder
referred to as white monkey syndrome (WMS) in laboratory primates. The objectives
of this study were to characterize this pathology, and test three hypotheses that
(1) Cu deficiency may have been induced by zinc (Zn) toxicity, (2) it may have
been induced by molybdenum (Mo) toxicity, and (3) cumulative rainfall during the
perinatal period and particularly during gestation is an ecological factor
distinguishing infants afflicted with WMS from non-WMS infants. During 2001-2009,
we observed 22 instances of WMS out of a total 377 live births in the study
population. Visible symptoms exhibited by WMS infants included whitening of the
animal's fur and/or impaired mobility characterized by an apparent "stiffening"
of the hindlimbs. Occurrence of WMS did not vary significantly by gender.
However, among individuals that survived at least 180 days, WMS males had a
significantly lower survivorship probability than non-WMS males. Zn/Cu ratios
assessed from hair samples of adult female baboons were higher in females who had
produced at least one WMS offspring relative to females who had not had a WMS
offspring. This was true even when the hair sample was collected long after the
birth of the female's afflicted infant. We consider this potentially indicative
of a robust tendency for low Cu levels induced by elevated Zn intake in some
individuals. No significant differences of Mo/Cu ratios were observed. Cumulative
rainfall during gestation (~179 days) was 50% lower for WMS infants relative to
non-WMS infants. In contrast, rainfall for the two classes of infants did not
differ in the 180 days before conception or in the 180 days following birth. This
finding highlights the importance of prenatal ecological conditions in healthy
fetal development with regard to WMS.
PMID- 21898511
TI - Aggression in pigtailed macaque (Macaca nemestrina) breeding groups affects
pregnancy outcome.
AB - Past research has shown that aggressive behaviors can affect female reproductive
outcome in nonhuman primate captive breeding programs. In this study, aggressive
behaviors were recorded in a colony of pigtailed macaque monkeys (Macaca
nemestrina) and related to pregnancy outcome. For 22 weeks, behavioral data were
collected from nine breeding groups, consisting of zero to one male (some males
were removed after a cycle of conceptions for husbandry reasons) and four to
eight females. Observations included all occurrences of 11 aggressive behaviors
during 15 min observation sessions, 1-3 times a week. Mean weekly aggression
levels during the study period were determined for each group as well as for each
pregnancy. Aggression data were summarized with Principal Components Analyses.
Results indicate that pigtailed macaque aggression falls into five distinctive
categories: warn, engage, threaten, pursue, and attack. Breeding groups differed
in their levels of aggression, even after controlling for group size, presence of
a sire, and group stability. Levels of the five aggression categories were found
to affect the probability that a pregnancy ended in either a natural birth of a
live infant, a clinical intervention producing a live infant, or a nonviable
outcome. The predictive value of aggression was significant when clinical
interventions were included as possible reproductive outcomes. Behavioral
observation of captive groups could identify "risk" conditions affecting
pregnancy outcome and the requirement for clinical intervention.
PMID- 21898512
TI - Influence of climatic variables, forest type, and condition on activity patterns
of Geoffroyi's spider monkeys throughout Mesoamerica.
AB - Understanding how species cope with variations in climatic conditions, forest
types and habitat amount is a fundamental challenge for ecologists and
conservation biologists. We used data from 18 communities of Mesoamerican spider
monkeys (Ateles geoffroyi) throughout their range to determine whether their
activity patterns are affected by climatic variables (temperature and rainfall),
forest types (seasonal and nonseasonal forests), and forest condition (continuous
and fragmented). Data were derived from 15 published and unpublished studies
carried out in four countries (Mexico, El Salvador, Costa Rica, and Panama),
cumulatively representing more than 18 years (221 months, >3,645 hr) of
behavioral observations. Overall, A. geoffroyi spent most of their time feeding
(38.4 +/- 14.0%, mean +/- SD) and resting (36.6 +/- 12.8%) and less time
traveling (19.8 +/- 11.3%). Resting and feeding were mainly affected by rainfall:
resting time increased with decreasing rainfall, whereas feeding time increased
with rainfall. Traveling time was negatively related to both rainfall and maximum
temperature. In addition, both resting and traveling time were higher in seasonal
forests (tropical dry forest and tropical moist forest) than in nonseasonal
forests (tropical wet forest), but feeding time followed the opposite pattern.
Furthermore, spider monkeys spent more time feeding and less time resting (i.e.,
higher feeding effort) in forest fragments than in continuous forest. These
findings suggest that global climate changes and habitat deforestation and
fragmentation in Mesoamerica will threaten the survival of spider monkeys and
reduce the distributional range of the species in the coming decades.
PMID- 21898513
TI - Seasonal versatility in the feeding ecology of a group of titis (Callicebus
coimbrai) in the northern Brazilian Atlantic Forest.
AB - The feeding behavior of a group of titis (Callicebus coimbrai) was monitored over
an annual cycle at a site in northeastern Brazil. Behavioral data were collected
in scan samples (1-min scan at 5-min intervals), and complementary data on fruit
availability and new leaf cover were collected. Feeding time accounted for 28.9%
of daily activity. Fruit was the principal item of the diet (61.2% of records)
and the primary category in all months except September, when it was surpassed by
leaves. Young leaves were the second most important category (20.0%). The
consumption of seeds and insects was prominent in November and December. Fifty
two plant species were exploited, and the Elaeocarpaceae, Myrtaceae, Sapotaceae,
and Passifloraceae provided the vast majority (86.0%) of plant feeding records.
The phenological record did not provide a good measure of fruit availability, but
a strong correlation (r(s) =0.902, P<0.0001, n=12) was found between the
consumption of leaves and the exploitation of lianas each month. Lianas accounted
for 28.2% of plant feeding records, and predominated between August and December.
This suggests that lianas may represent a key factor in the ability of the
species to tolerate the intense habitat fragmentation found throughout its
geographic range.
PMID- 21898514
TI - Sex ratio affects sex-specific innovation and learning in captive ruffed lemurs
(Varecia variegata and Varecia rubra).
AB - Recent years have witnessed extensive research into problem solving and
innovation in primates, yet lemurs have not been subjected to the same level of
attention as apes and monkeys, and the social context in which novel behavior
appears has rarely been considered. We gave novel foraging puzzlebox devices to
seven groups of ruffed lemurs (Varecia variegata and Varecia rubra) to examine
the factors affecting rates of innovation and social learning. We found, across a
range of group sex ratios, that animals of the less-represented sex were more
likely to contact and solve the puzzlebox sooner than those of the more
represented sex. We established that while some individuals were able to solve
the puzzleboxes there was no evidence of social learning. Our findings are
consistent with previously reported male deference as a sexual strategy, but we
conclude that the need for male deference diminishes when, within a group, males
are rare.
PMID- 21898515
TI - Protozoan parasites in group-living primates: testing the biological island
hypothesis.
AB - A series of articles by W.J. Freeland published in the 1970s proposed that social
organization and behavioral processes were heavily influenced by parasitic
infections, which led to a number of intriguing hypotheses concerning how natural
selection might act on social factors because of the benefits of avoiding
parasite infections. For example, Freeland [1979] showed that all individuals
within a given group harbored identical gastrointestinal protozoan faunas, which
led him to postulate that social groups were akin to "biological islands" and
suggest how this isolation could select specific types of ranging and dispersal
patterns. Here, we reexamine the biological island hypothesis by quantifying the
protozoan faunas of the same primate species examined by Freeland in the same
location; our results do not support this hypothesis. In contrast, we quantified
two general changes in protozoan parasite community of primates in the study area
of Kibale National Park, Uganda, over the nearly 35 years between sample
collections: (1) the colobines found free of parasites in the early 1970s are now
infected with numerous intestinal protozoan parasites and (2) groups are no
longer biological islands in terms of their protozoan parasites. Whatever the
ultimate explanation for these changes, our findings have implications for
studies proposing selective forces shaping primate behavior and social
organization.
PMID- 21898516
TI - Sleeping site selection and presleep behavior in wild pigtailed macaques.
AB - Several factors are likely to control sleeping site selection and presleep
behavior in nonhuman primates, including predation risk and location of food
resources. We examined the effects of these factors on the sleeping behavior of
northern pigtailed macaques (Macaca leonina). While following a troop living in
the surroundings of the Visitor Center of Khao Yai National Park (Thailand), we
recorded the physical characteristics and location of each sleeping site, tree,
the individuals' place in the tree, posture, and behavior. We collected data for
154 nights between April 2009 and November 2010. The monkeys preferred tall
sleeping trees (20.9 +/- SD 4.9 m) and high sleeping places (15.8 +/- SD 4.3 m),
which may be an antipredator strategy. The choice of sleeping trees close to the
last (146.7 +/- SD 167.9 m) or to the first (150.4 +/- SD 113.0 m) feeding tree
of the day may save energy and decrease predation risk when monkeys are searching
for food. Similarly, the choice of sleeping sites close to human settlements
eases the access to human food during periods of fruit scarcity. Finally, the
temporal pattern of use of sleeping sites, with a preference for four of the
sleeping sites but few reuses during consecutive nights, may be a trade-off
between the need to have several sleeping sites (decreasing detection by
predators and travel costs to feeding sites), and the need to sleep in well-known
sites (guaranteeing a faster escape in case of predator attack).
PMID- 21898517
TI - The response of the frugivorous lion-tailed macaque (Macaca silenus) to a period
of fruit scarcity.
AB - Tropical rainforests show seasonal fluctuations in the abundance of fruits
resulting in periods of resource scarcity for frugivores. We examined the
response of an obligate frugivore, the lion-tailed macaque (LTM) (Macaca
silenus), to a period of fruit scarcity in a rainforest in the Western Ghats,
India. We estimated the abundance and distribution of fruit resources from food
tree densities obtained from 348 point centered quadrats, and fruit availability
from phenological monitoring of 195 trees of 15 reported major food species.
Macronutrient content was estimated for fruits of 15 major food species. We
estimated time spent feeding on different food items from 1,853 individual scans
spanning 120 hr of observation of one habituated study group. There was a
distinct period of fruit scarcity during the drier months of February to mid
March (Period 1) compared with late March and April (Period 2), separated by
summer showers. Fruits available in Period 1 had lower soluble carbohydrate and
lipid content and overall caloric value compared with Period 2. During the lean
period, the LTM fed more on fruits of Drypetes wightii, which had the highest
carbohydrate content, than on nectar of Palaquium ellipticum or Ficus spp., which
had low carbohydrate content. During this period, the resource availability in a
location significantly influenced the occurrence of feeding there. In Period 2,
the group fed most on the seeds of Cullenia exarillata, the most abundant tree in
the home-range and with the highest content of soluble carbohydrates. During this
period, the abundance of food trees in fruit in a location did not seem to
influence the occurrence of feeding. Low abundance, stochastic fruiting and, low
quality might make Ficus spp. a poor fallback option for the LTM.
PMID- 21898518
TI - Male dispersal in a provisioned multilevel group of Rhinopithecus roxellana in
Shennongjia Nature Reserve, China.
AB - Most Old World monkeys show male-biased dispersal. We present the first
systematic data on male dispersal in a provisioned multilevel group of
Rhinopithecus roxellana, based on 4.5 years of field observations in Shennongjia
National Nature Reserve, China. We evaluated both ultimate (inbreeding avoidance
and male mating competition) and proximate (food availability and predation risk)
factors influencing male dispersal. The focal group contained 34-53 individuals,
in 3-4 one-male units (OMUs) and 1 all-male unit (AMU). We observed 37 dispersal
events involving 10 of 11 adults, 7 of 8 subadults, and 7 of 15 juveniles. Most
interunit transfers within the focal group occurred around the months of mating
season. Adult males competed for the leader positions of OMUs mainly through
aggressive takeovers, and young males transferred from the OMUs to the AMU at the
median age of 41 months, forced out by leader males. No young males older than 4
years remained in natal or non-natal OMUs. The male mating competition hypothesis
was supported. The young males emigrated voluntarily from the focal group at the
average age of 58.6 months, and no young emigrating male was observed to return,
suggesting inbreeding avoidance also played a role in the dispersal of young
males. Most emigration/immigration events were parallel dispersal and occurred
during intergroup encounters, suggesting increased predation risk during the
dispersal period. Males were more likely to emigrate/immigrate during the months
when preferred foods were most available. We compared the dispersal patterns in
R. roxellana with those in gelada baboons and hamadryas baboons, both living in
multilevel societies. Similar to R. roxellana, young male geladas disperse at
puberty, but they may return and breed in their natal groups. Males in hamadryas
also disperse, but much less commonly than in R. roxellana. Provisioning may have
influenced results, and confirming studies on unprovisioned groups would be
valuable.
PMID- 21898519
TI - Respiratory functions in adolescents hospitalized for anorexia nervosa: a
prospective study.
AB - OBJECTIVE: To examine the effects of malnourishment on the respiratory system of
adolescents with anorexia nervosa (AN) hospitalized for medical stabilization.
METHOD: Prospective study. STUDY GROUP: hospitalized adolescents with recent
onset (<1 year) AN. CONTROL GROUP: adolescents hospitalized for other diagnoses.
Excluded: participants with lung disease. RESULTS: Patients' characteristics
(mean +/- SD) for the AN (n = 16) and the control group (n = 13) on admission
were: age: 15.0 +/- 1.7 vs. 15.2 +/- 1.4 years, p = 0.7; body mass index (BMI):
15.5 +/- 2.3 vs. 19.8 +/- 2.9 kg/m2, p < 0.001; venous pH 7.34 +/- 0.02 vs. 7.38
+/- 0.03, p < 0.001; PCO2 53.3 +/- 4.1 vs. 42.5 +/- 3.1 mm Hg, p < 0.001; and
HCO3 28.7 +/- 2.0 vs. 25.3 +/- 2.4 meq/L, p < 0.001, respectively. There were no
significant differences in nocturnal respiratory rates, pulse-oximetry oxygen
saturations, or end-tidal CO2. Pulmonary function tests (PFTs) in adolescents
with AN revealed no obstructive, restrictive, or significant pulmonary vascular
disease except for lower peak expiratory flow rates (PEFRs). During
hospitalization (12.3 +/- 3.8 days), their weight, BMI, mean nocturnal heart
rate, and respiratory rate increased significantly, while their venous PCO2 and
HCO3 decreased significantly without significant changes in PFTs. DISCUSSION:
Adolescents with recent onset AN, admitted for medical stabilization, demonstrate
hypercapnia despite normal PFTs, except for decreased PEFRs. These could result
from decreased respiratory muscle strength and/or abnormal control of breathing.
PMID- 21898520
TI - On the association between variables with lower detection limits.
AB - In this paper, we define a modified version tau(b) of Kendall's tau to measure
the association in a pair (X,Y) of random variables subject to fixed left
censoring due to known lower detection limits. We provide a nonparametric
estimator of tau(b) and investigate its asymptotic properties. We then assume an
Archimedean copula for (X,Y) and express tau(b) in terms of the copula parameter
alpha and the censoring fractions. We deduce estimators for alpha and for the
global Kendall's tau. We develop a goodness-of-fit test for the assumed copula.
We evaluate the finite-sample performance of the proposed methods by simulations
and illustrate their use with a real data set on plasma and saliva viral loads.
PMID- 21898521
TI - Estimating treatment effect via simple cross design synthesis.
AB - Randomized controlled trials (RCTs) are the traditional gold standard evidence
for medical decision-making. However, protocols that limit enrollment eligibility
introduce selection error that severely limits a RCT's applicability to a wide
range of patients. Conversely, high quality observational data can be
representative of entire populations, but freedom to choose treatment can bias
estimators based on this data. Cross design synthesis (CDS) is an approach to
combining both RCT and observational data in a single analysis that capitalizes
on the RCT's strong internal validity and the observational study's strong
external validity. We proposed and assessed a simple estimator of effect size
based on the CDS approach. We evaluated its properties within a formal framework
of causal estimation and compared our estimator with more traditional estimators
based on single sources of evidence. We show that under ideal conditions the
simple CDS estimator is unbiased whenever the observational data-based
estimators' treatment selection error is constant across those who are and are
not eligible for RCT participation. Whereas this assumption may not often hold in
practice, assumptions required for the unbiasedness of usual single-source
estimators may also be implausible. We show that, under some reasonable data
assumptions, our simple CDS estimator has smaller bias and better coverage than
commonly used estimates based on randomized or observational studies alone.
PMID- 21898522
TI - Conditional predictive inference for online surveillance of spatial disease
incidence.
AB - This paper deals with the development of statistical methodology for timely
detection of incident disease clusters in space and time. The increasing
availability of data on both the time and the location of events enables the
construction of multivariate surveillance techniques, which may enhance the
ability to detect localized clusters of disease relative to the surveillance of
the overall count of disease cases across the entire study region. We introduce
the surveillance conditional predictive ordinate as a general Bayesian model
based surveillance technique that allows us to detect small areas of increased
disease incidence when spatial data are available. To address the problem of
multiple comparisons, we incorporate a common probability that each small area
signals an alarm when no change in the risk pattern of disease takes place into
the analysis. We investigate the performance of the proposed surveillance
technique within the framework of Bayesian hierarchical Poisson models using a
simulation study. Finally, we present a case study of salmonellosis in South
Carolina.
PMID- 21898523
TI - Time-to-event analysis with treatment arm selection at interim.
AB - This paper discusses the application of an adaptive design for treatment arm
selection in an oncology trial, with survival as the primary endpoint and disease
progression as a key secondary endpoint. We carried out treatment arm selection
at an interim analysis by using Bayesian predictive power combining evidence from
the two endpoints. At the final analysis, we carried out a frequentist
statistical test of efficacy on the survival endpoint. We investigated several
approaches (Bonferroni approach, 'Dunnett-like' approach, a conditional error
function approach and a combination p-value approach) with respect to their power
and the precise conditions under which type I error control is attained.
PMID- 21898525
TI - Inference for cumulative incidence quantiles via parametric and nonparametric
approaches.
AB - In survival analysis, a point estimate and confidence interval for median
survival time have been frequently used to summarize the survival curve. However,
such quantile analyses on competing risks data have not been widely investigated.
In this paper, we propose parametric inferences for quantiles from the cumulative
incidence function and develop parametric confidence intervals for quantiles. In
addition, we study a simplified method of inference for the nonparametric
approach. We compare the parametric and nonparametric inferences in empirical
studies. Simulation studies show that the procedures perform well, with
parametric analyses yielding smaller mean square error when the model is not too
badly misspecified. We illustrate the methods with data from a breast cancer
clinical trial.
PMID- 21898524
TI - Analysis of non-ignorable missing and left-censored longitudinal data using a
weighted random effects tobit model.
AB - In a longitudinal study with response data collected during a hospital stay,
observations may be missing because of the subject's discharge from the hospital
prior to completion of the study or the death of the subject, resulting in non
ignorable missing data. In addition to non-ignorable missingness, there is left
censoring in the response measurements because of the inherent limit of
detection. For analyzing non-ignorable missing and left-censored longitudinal
data, we have proposed to extend the theory of random effects tobit regression
model to weighted random effects tobit regression model. The weights are computed
on the basis of inverse probability weighted augmented methodology. An extensive
simulation study was performed to compare the performance of the proposed model
with a number of competitive models. The simulation study shows that the
estimates are consistent and that the root mean square errors of the estimates
are minimal for the use of augmented inverse probability weights in the random
effects tobit model. The proposed method is also applied to the non-ignorable
missing and left-censored interleukin-6 biomarker data obtained from the Genetic
and Inflammatory Markers of Sepsis study.
PMID- 21898526
TI - Characterization of proteins by ambient mass spectrometry.
AB - Proteins play important roles in living systems and are topics of many
fundamental and applied research projects. With the introduction of electrospray
ionization and matrix-assisted laser desorption/ionization for analysis of
biomacromolecules in the late 1980s, mass spectrometry has become an important
tool for characterization of proteins. Characterization of proteins in raw
samples by these mass spectrometric techniques, however, usually requires
extensive sample pretreatment. Ambient ionization techniques are new mass
spectrometric techniques that allow direct analysis of samples with no or little
sample preparation. Can these techniques facilitate or even eliminate sample
preparation for mass spectrometric analysis of proteins? Apart from sample
preparation, do these techniques offer any new features for characterization of
proteins as compared with conventional ESI or MALDI? Recent advances in
characterization of proteins by ambient mass spectrometry are summarized and
commented in this article.
PMID- 21898527
TI - Antileukaemia effect of rapamycin alone or in combination with daunorubicin on
Ph+ acute lymphoblastic leukaemia cell line.
AB - The translocation (9;22) (q34;q11), known as the Philadelphia (Ph) chromosome and
bcr-abl fusion gene, is the common cytogenetic abnormality and an unfavourable
prognosis in adult acute lymphoblastic leukaemia (ALL). Although chemotherapeutic
treatment produced high rates of complete response in approximately 70%-80% of
newly diagnosed Ph+ ALL, the onset of resistance and clinical relapse is rapid.
Therefore, the efficacy of treatment in Ph+ ALL is still to be determined. In
this study, we aimed to assess the antileukemic activity of rapamycin (RAPA)
(Sigma-Aldrich Corporation, MO, USA), a mammalian target of rapamycin inhibitor,
alone and in combination with daunorubicin (DNR) (Pharmacia & Upjohn Company,
Germany) in a Ph+ acute lymphoblastic cell line SUP-B15 and a primary Ph+ ALL
sample in vitro. Here, we demonstrated that 50 nmol/L of RAPA significantly
intensified the inhibition induced by DNR on both Ph+ ALL cell line and a primary
Ph+ ALL sample. Notably, we reported that the consequence of DNR treatment
induced the over expression of the components of mammalian target of rapamycin
signalling pathway, whereas RAPA effectively eliminated this deleterious side
effect of DNR, which might enhance DNR's ability to kill drug-resistant cancer.
The synergistic effect was also associated with the increase in autophagy,
blockage of cell cycle progression in the G1 phase. Altogether, our results
suggest that DNR in combination with RAPA is more effective in the treatment of
Ph+ ALL compared with DNR alone.
PMID- 21898528
TI - Bone marrow trephine biopsy findings in myeloma with small-lymphoid cells and
CCND1 translocation.
PMID- 21898529
TI - Cancer-testis antigen expression and its epigenetic modulation in acute myeloid
leukemia.
AB - Cancer-testis antigens (CTA) represent attractive targets for tumor
immunotherapy. However, a broad picture of CTA expression in acute myeloid
leukemia (AML) is missing. CTA expression was analyzed in normal bone marrow (BM)
as well as in AML cell lines before and after treatment with demethylating agents
and/or histone acetylase inhibitors. Presence of selected CTA with a strictly
tumor-restricted expression was then determined in samples of patients with AML
before and after demethylating therapy. Screening AML cell lines for the
expression of 20 CTA, we identified six genes (MAGE-A3, PRAME, ROPN1, SCP-1,
SLLP1, and SPO11) with an AML-restricted expression. Analyzing the expression of
these CTA in blast-containing samples from AML patients (N = 64), we found all
samples to be negative for MAGE-A3 and SPO11 while a minority of patients
expressed ROPN1 (1.6%), SCP-1 (3.1%), or SLLP1 (9.4%). The only CTA expressed in
substantial proportion of patients (53.1%) was PRAME. Following demethylating
treatment with 5'-aza-2'-deoxycytidine, we observed an increased or de novo
expression of CTA, in particular of SSX-2, in AML cell lines. In AML patients, we
detected increased expression of PRAME and induction of SSX-2 after demethylating
therapy with 5-azacytidine. With the exception of PRAME, CTA are mostly absent
from AML blasts. However, demethylating treatment induces strong expression of
CTA, particularly of SSX-2, in vitro and in vivo. Therefore, we propose that CTA
specific immunotherapy for AML should preferentially target PRAME and/or should
be combined with the application of demethylating agents opening the perspective
for alternative targets like CTA SSX-2.
PMID- 21898530
TI - Stroke recurrence in children with sickle cell disease treated with hydroxyurea
following first clinical stroke.
AB - Chronic transfusion therapy is the treatment of choice for preventing stroke
recurrence in children with sickle cell disease (SCD). The majority of children
affected by this devastating complication live in the developing world where
access to regular blood transfusions may be impractical. Since 2000, in the
absence of regular blood supplies, all children at the Sickle Cell Unit who had
experienced a first clinical stroke were offered hydroxyurea (HU) as the only
intervention to prevent stroke recurrence. Forty-four children were identified as
having experienced a first clinical stroke between January 1, 2000 and September
30, 2009; one died at that presentation. Forty-three children were therefore
followed for 111 person-years, of whom 10 (23.3%) agreed to start HU. Only one
child in the HU group, incidence rate 2/100 person-years, had clinical stroke
recurrence, compared to 20/33 in the non-HU group, incidence rate 29/100 person
years (Hazard ratio (HR) 9.4 [95% Confidence interval (CI): 1.3-70.6]; P = 0.03).
When the groups were compared, in the non-HU group, four died (vs. zero), 13 (53%
vs. 10%) had moderate-severe physical disability (P = 0.017), and 12 (44% vs.
20%) required special education or were too disabled to attend school. Our data
support the role of HU as a useful intervention for prevention of stroke
recurrence in SCD when transfusion programs are not available or practical.
PMID- 21898531
TI - Non-Hodgkin lymphoma of the prostate.
PMID- 21898532
TI - Efficacy and toxicity of a rituximab and methotrexate based regimen (GMALL B
ALL/NHL 2002 protocol) in Burkitt's and primary mediastinal large B-cell
lymphoma.
AB - There have been several attempts to improve treatment and outcome of patients
with primary mediastinal B-cell lymphoma (PMBL) and Burkitt's lymphoma (BL). In
recent years, chemotherapy dose intensification and the addition of rituximab
have led to a remarkable progress and have developed into integral parts of
treatment for both entities of lymphoma [1-4]. Here, we report our monocenter
results of a high-dose methotrexate based alternating regimen with rituximab (B
ALL/NHL 2002 protocol) in 15 patients with PMBL and 28 patients with sporadic BL.
Since the early 1980s, protocols of GMALL have been continuously adapted and in
the meantime they have become reference treatment for BL and B-ALL in Germany.
The latest changes comprised the additional use of rituximab, standardized G-CSF
support,implementation of high-dose cytarabine, intrathecal triple therapy,and
age-adjusted stratification. Furthermore, we additionally amended supportive care
with palifermin as it reduced severity and prevalence of mucositis [5].
PMID- 21898533
TI - The source of chilopod sensory information: external structure and distribution
of antennal sensilla in Scutigera coleoptrata (chilopoda, Scutigeromorpha).
AB - The investigation of the antennae of Scutigera coleoptrata (Linnaeus, 1758) by
scanning electron microscopy (SEM) revealed the presence of five types of
sensilla: sensilla trichodea, beak-like sensilla, cone-shaped sensilla
brachyconica on the terminal article, sensory cones at the antennal nodes, and
the shaft organ. Alongside the presence and absence of antennal sensillar types,
three unique characters were found in the Scutigeromorpha: the presence of long
antennae with nodes bearing sensory cones, the presence of a bipartite shaft
including the shaft organ, and the presence of beak-like sensilla.
Neuroanatomical data showed that the animals' brains are equipped with well
developed primary olfactory and mechanosensory centers, suggesting that the
antennae must be equipped with specialized sensilla to perceive chemosensory and
mechanosensory cues. With the evidence provided in this article for the
Scutigeromorpha, SEM data are available at last on the antennal sensilla for all
five chilopod taxa, allowing a comparative discussion of antennal morphology in
Chilopoda.
PMID- 21898534
TI - Trends in stroke hospitalizations and associated risk factors among children and
young adults, 1995-2008.
AB - OBJECTIVE: The aim of this study was to determine acute stroke hospitalization
rates for children and young adults and the prevalence of stroke risk factors
among children and young adults hospitalized for acute stroke. METHODS: The study
population consisted of 1995-2008 hospitalizations from the Nationwide Inpatient
Sample of the Healthcare Cost and Utilization Project. Subarachnoid hemorrhage,
intracerebral hemorrhage, and ischemic stroke hospitalizations were identified by
the primary International Classification of Diseases, 9th ed, Clinical
Modification (ICD-9-CM) code. Seven consecutive 2-year time intervals were
selected. Three age groups were utilized: 5 to 14 years, 15 to 34 years, and 35
to 44 years. Stroke risk factors and comorbidities among those hospitalized with
acute stroke were identified by secondary ICD-9-CM codes. RESULTS: During the
period of study, the prevalence of hospitalizations of acute ischemic stroke
increased among all age and gender groups except females aged 5 to 14 years.
Females aged 15 to 34 years and males and females aged 35 to 44 years showed a
decrease in the prevalence of hospitalizations for subarachnoid hemorrhage,
whereas females aged 5 to 14 years showed increases for subarachnoid hemorrhage.
Hypertension, diabetes, obesity, lipid disorders, and tobacco use were among the
most common coexisting conditions, and their prevalence increased from 1995 to
2008 among adolescents and young adults (aged 15-44 years) hospitalized with
acute ischemic stroke. INTERPRETATION: Increases in the prevalence of ischemic
stroke hospitalizations and coexisting traditional stroke risk factors and health
risk behaviors were identified among acute ischemic stroke hospitalizations in
young adults. Our results from national surveillance data accentuate the need for
public health initiatives to reduce risk factors for stroke among adolescents and
young adults.
PMID- 21898535
TI - LPS induces phosphorylation of actin-regulatory proteins leading to actin
reassembly and macrophage motility.
AB - Upon bacterial infection lipopolysaccharide (LPS) induces migration of
monocytes/macrophages to the invaded region and production of pro-inflammatory
mediators. We examined mechanisms of LPS-stimulated motility and found that LPS
at 100 ng/ml induced rapid elongation and ruffling of macrophage-like J774 cells.
A wound-healing assay revealed that LPS also activated directed cell movement
that was followed by TNF-alpha production. The CD14 and TLR4 receptors of LPS
translocated to the leading lamella of polarized cells, where they transiently
colocalized triggering local accumulation of actin filaments and
phosphatidylinositol 4,5-bisphosphate. Fractionation of Triton X-100 cell lysates
revealed that LPS induced polymerization of cytoskeletal actin filaments by 50%,
which coincided with the peak of cell motility. This microfilament population
appeared at the expense of short filaments composing the plasma membrane skeleton
of unstimulated cells and actin monomers consisting prior to the LPS stimulation
about 60% of cellular actin. Simultaneously with actin polymerization, LPS
stimulated phosphorylation of two actin-regulatory proteins, paxillin on tyrosine
118 by 80% and N-WASP on serine 484/485 by 20%, and these events preceded
activation of NF-kappaB. LPS-induced protein phosphorylation and reorganization
of the actin cytoskeleton were inhibited by PP2, a drug affecting activity of
tyrosine kinases of the Src family. The data indicate that paxillin and N-WASP
are involved in the reorganization of actin cytoskeleton driving motility of LPS
stimulated cells. Disturbances of actin organization induced by cytochalasin D
did not inhibit TNF-alpha production suggesting that LPS-induced cell motility is
not required for TNF-alpha release.
PMID- 21898537
TI - Xanthohumol-supplemented beer modulates angiogenesis and inflammation in a skin
wound healing model. Involvement of local adipocytes.
AB - Angiogenesis and inflammation are two intermingled processes that play a role in
wound healing. Nevertheless, whenever exacerbated, these processes result in
nonhealing wounds. Xanthohumol (XN), a beer-derived polyphenol, inhibits these
processes in many physiopathological situations. This study aimed at examining
whether XN ingestion affects wound healing. Wistar rats drinking water, 5%
ethanol, stout beer (SB) or stout beer supplemented with 10 mg/L XN (Suppl SB)
for 4 weeks, were subjected to a 1.5 cm full skin-thickness longitudinal
incision, and further maintained under the same beverage conditions for another
week. No differences in beverage consumption or body weight were found throughout
the study but food intake decreased in every group relative to controls.
Consumption of Suppl SB resulted in decreased serum VEGF levels (18.42%), N
acetylglucosaminidase activity (27.77%), IL1beta concentration (9.07%), and NO
released (77.06%), accompanied by a reduced redox state as observed by increased
GSH/GSSG ratio (to 198.80%). Also, the number of blood vessels within the wound
granulation tissue seems to reduce in animals drinking Suppl SB (23.08%).
Interestingly, SB and primarily Suppl SB showed a tendency to increase adipocyte
number (to 194.26% and 156.68%, respectively) and reduce adipocyte size (4.60%
and 24.64%, respectively) within the granuloma. Liver function and metabolism did
not change among the animal groups as analyzed by plasma biochemical parameters,
indicating no beverage toxicity. This study shows that XN intake in its natural
beer context reduced inflammation, oxidative stress, and angiogenesis,
ameliorating the wound healing process, suggesting that this polyphenol may exert
beneficial effect as a nutritional supplement.
PMID- 21898536
TI - Oophorectomy-induced bone loss is attenuated in MAGP1-deficient mice.
AB - Microfibril-associated glycoprotein-1 (MAGP1), together with the fibrillins, are
constitutive components of vertebrate microfibrils. Mice deficient in MAGP1
(murine MAGP1 knockout animals (Mfap2(-/-)); MAGP1Delta) is appropriate develop
progressive osteopenia and reduced whole bone strength, and have elevated numbers
of osteoclasts lining the bone surface. Our previous studies suggested that the
increased osteoclast population was associated with elevated levels of receptor
activator of NF-kappaB ligand (RANKL), a positive regulator of osteoclast
differentiation. To explore the relationship between RANKL expression and
osteoclast differentiation in MAGP1 deficiency, oophorectomy (OVX) was used to
stimulate RANKL expression in both WT and MAGP1Delta animals. Bone loss following
OVX was monitored using whole body DEXA and in vivo uCT. While WT mice exhibited
significant bone loss following OVX, percent bone loss was reduced in MAGP1Delta
mice. Further, serum RANKL levels rose significantly in OVX WT mice, whereas,
there was only a modest increase in RANKL following OVX in the mutant mice due to
already high baseline levels. Elevated RANKL expression was normalized when
cultured MAGP1Delta osteoblasts were treated with a neutralizing antibody
targeting free TGFbeta. These studies provide support for increased RANKL
expression associated with MAGP1 deficiency and provide a link to altered TGF
beta signaling as a possible causative signaling pathway regulating RANKL
expression in MAGP1Delta osteoblasts.
PMID- 21898538
TI - The box A domain of high mobility group box-1 protein as an efficient siRNA
carrier with anti-inflammatory effects.
AB - High mobility group box-1 (HMGB-1) is a DNA binding nuclear protein and pro
inflammatory cytokine. The box A domain of HMGB-1 (rHMGB-1A) exerts an anti
inflammatory effect, inhibiting wild-type HMGB-1 (wtHMGB-1). In this study, HMGB
1A was evaluated as an siRNA carrier with anti-inflammatory effects. HMGB-1A was
expressed and purified by consecutive nickel chelate chromatography, cationic
exchange chromatography, and polymixin B chromatography. Purified rHMGB-1A
demonstrated an anti-inflammatory effect, reducing tumor necrosis factor-alpha
(TNF-alpha) in wtHMGB-1 or lipopolysaccharide (LPS) activated macrophages. In gel
retardation assay, rHMGB-1A formed a stable complex with siRNA at or above a 1:2
weight ratio (siRNA:rHMGB-1A). A heparin competition assay showed that an
siRNA/rHMGB-1A complex released siRNA more easily than an siRNA/polyethylenimine
(PEI, 25 kDa) complex. Luciferase siRNA/rHMGB-1A reduced firefly luciferase
expression at a similar level as luciferase siRNA/PEI complex. Furthermore, TNF
alpha siRNA/rHMGB-1A synergistically reduced TNF-alpha expression in LPS
activated macrophages. Therefore, rHMGB-1A may be useful as an siRNA carrier with
anti-inflammatory effects in siRNA therapy for various inflammatory diseases.
PMID- 21898539
TI - Regulation of anti-apoptotic BCL2-proteins by non-canonical interactions: the
next step forward or two steps back?
AB - All aspects of cellular biology affect the process of regulated cell death, or
apoptosis, and disruption of this process is a causative event in many diseases.
Therefore, a comprehensive understanding of all pathways that regulate apoptosis
would increase our knowledge of basic cellular functions, as well as the
etiologies of many diseases. In turn, we may be able to use this knowledge to
better treat patients with diseases, including cancer. Although the basic
signaling pathway that regulates apoptosis has been known for over 10 years, we
still have much to learn about the upstream signaling components that can
directly regulate the core apoptosis machinery. The focus of this review will be
to direct attention to non-canonical regulators of the BCL2-family of proteins,
especially our void of understanding of such interactions, and the controversy
that surrounds some such interactions.
PMID- 21898540
TI - Broader utilization of origins of DNA replication in cancer cell lines along a 78
kb region of human chromosome 2q34.
AB - Human DNA replication depends on the activation of thousands of origins
distributed within the genome. The actual distribution of origins is not known,
nor whether this distribution is unique to a cell type, or if it changes with the
proliferative state of the cell. In this study, we have employed a real-time PCR
based nascent strand DNA abundance assay, to determine the location of origins
along a 78 kb region on Chr2q34. Preliminary studies using nascent DNA strands
isolated from either HeLa and normal skin fibroblast cells showed that in both
cell lines peaks of high origin activity mapped in similar locations. However,
the overall origin profile in HeLa cells corresponded to broad origin activation
zones, whereas in fibroblasts a more punctuated profile of origin activation was
observed. To investigate the relevance of this differential origin profile, we
compared the origin distribution profiles in breast cancer cell lines MDA-MB-231,
BT-474, and MCF-7, to their normal counterpart MCF-10A. In addition, the CRL7250
cell line was also used as a normal control. Our results validated our earlier
observation and showed that the origin profile in normal cell lines exhibited a
punctuated pattern, in contrast to broader zone profiles observed in the cancer
cell lines. A quantitative analysis of origin peaks revealed that the number of
activated origins in cancer cells is statistically larger than that obtained in
normal cells, suggesting that the flexibility of origin usage is significantly
increased in cancer cells compared to their normal counterparts.
PMID- 21898541
TI - Hedgehog signaling and osteoblast gene expression are regulated by purmorphamine
in human mesenchymal stem cells.
AB - Several biological events are controlled by Hedgehog (Hh) signaling, including
osteoblast phenotype development. This study aimed at evaluating the gene
expression profile of human mesenchymal stem cells (hMSCs) treated with the Hh
agonist, purmorphamine, focusing on Hh signaling and osteoblast differentiation.
hMSCs from bone marrow were cultured in non-osteogenic medium with or without
purmorphamine (2 uM) for periods of up to 14 days. Purmorphamine up-regulated
gene expression of the mediators of Hh pathway, SMO, PTCH1, GLI1, and GLI2. The
activation of Hh pathway by purmorphamine increased the expression of several
genes (e.g., RUNX2 and BMPs) related to osteogenesis. Our results indicated that
purmorphamine triggers Hh signaling pathway in hMSCs, inducing an increase in the
expression of a set of genes involved in the osteoblast differentiation program.
Thus, we conclude that Hh is a crucial pathway in the commitment of
undifferentiated cells to the osteoblast lineage.
PMID- 21898542
TI - Cyclin D3 promotes myogenic differentiation and Pax7 transcription.
AB - Differentiation of skeletal muscle myoblasts involves activation of muscle
specific markers such as MyoD, Myf5, MRF4, and myogenin, followed by exit from
the cell cycle, expression of structural proteins, and fusion into multinucleated
myotubes. Cyclin D3 is upregulated during muscle differentiation, and expression
of cyclin D3 in proliferating myoblasts causes early activation of myogenesis. In
this study, we have identified the genes activated by cyclin D3 expression in
C2C12 myoblasts and differentiated cells by real-time PCR analysis. Cyclin D3
expression induced faster differentiation kinetics and increase in levels of
myogenic genes such as MyoD, Myf5, and myogenin at an early stage during the
differentiation process, although long-term myogenic differentiation was not
affected. Transcript levels of the transcription factor Pax7 that is expressed in
muscle progenitors were enhanced by cyclin D3 expression in myoblasts. Components
of a histone methyltransferase complex recruited by Pax7 to myogenic gene
promoters were also regulated by cyclin D3. Further, the Pax7 promoter was
upregulated in myoblasts expressing cyclin D3. Myoblasts that expressed cyclin D3
showed moderately higher levels of the cyclin-dependent kinase inhibitor p21 and
were stalled in G2/M phase of the cell cycle. Our findings suggest that cyclin D3
primes myoblasts for differentiation by enhancing muscle specific gene expression
and cell cycle exit.
PMID- 21898544
TI - The role of Kupffer cells in rat liver regeneration revealed by cell-specific
microarray analysis.
AB - Liver regeneration after partial hepatectomy is a process with various types of
cells involved. The role of Kupffer cells (KCs) in liver regeneration is still
controversial. In this study we isolated KCs from regenerating liver and
conducted cell-specific microarray analysis. The results demonstrated that the
controversial role of KCs in liver regeneration could be explained with the
expression patterns of TGF-alpha, IL-6, TNF, and possibly IL-18 during liver
regeneration. IL-18 may play an important role in negative regulation of liver
regeneration. The functional profiles of gene expression in KCs also indicated
that KC signaling might play a negative role in cell proliferation: signaling
genes were down regulated before cell division. Immune response genes in KCs were
also down regulated during liver regeneration, demonstrating similar expression
profiles to that of hepatocytes. The expression patterns of key genes in these
functional categories were consistent with the temporal functional profiles.
PMID- 21898543
TI - Erythropoietin mediated bone formation is regulated by mTOR signaling.
AB - The role of erythropoietin (Epo) and Epo/Epo receptor (EpoR) signaling pathways
for production of red blood cells are well established. However, little is known
about Epo/EpoR signaling in non-hematopoietic cells. Recently, we demonstrated
that Epo activates JAK/STAT signaling in hematopoietic stem cells (HSCs), leading
to the production of bone morphogenetic protein 2 (BMP2) and bone formation and
that Epo also directly activates mesenchymal cells to form osteoblasts in vitro.
In this study, we investigated the effects of mTOR signaling on Epo-mediated
osteoblastogenesis and osteoclastogenesis. We found that mTOR inhibition by
rapamycin blocks Epo-dependent and -independent osteoblastic phenotypes in human
bone marrow stromal cells (hBMSCs) and ST2 cells, respectively. Furthermore, we
found that rapamycin inhibits Epo-dependent and -independent osteoclastogenesis
in mouse bone marrow mononuclear cells and Raw264.7 cells. Finally, we
demonstrated that Epo increases NFATc1 expression and decreases cathepsin K
expression in an mTOR-independent manner, resulting in an increase of osteoclast
numbers and a decrease in resorption activity. Taken together, these results
strongly indicate that mTOR signaling plays an important role in Epo-mediated
bone homeostasis.
PMID- 21898545
TI - CD109-mediated degradation of TGF-beta receptors and inhibition of TGF-beta
responses involve regulation of SMAD7 and Smurf2 localization and function.
AB - Transforming growth factor-beta (TGF-beta) is a multifunctional cytokine that
regulates a wide variety of cellular processes including proliferation,
differentiation, and extracellular matrix deposition. Dysregulation of TGF-beta
signaling is associated with several diseases such as cancer and tissue fibrosis.
TGF-beta signals through two transmembrane proteins known as the type I (TGFBR1)
and type II (TGFBR2) receptors. The levels of these receptors at the cell surface
are tightly regulated by several mechanisms, including degradation following
recruitment of the E3 ubiquitin ligase SMAD ubiquitination regulatory factor
(Smurf) 2 by SMAD7. In addition, TGF-beta co-receptors can modulate TGF-beta
signaling receptor activity in a cell-specific manner. We have previously
identified a novel TGF-beta co-receptor, CD109, a glycosyl phosphatidylinositol
(GPI)-anchored protein that negatively regulates TGF-beta signaling. Despite
CD109's potential relevance as a regulator of TGF-beta action in vivo, the
mechanisms by which CD109 regulates TGF-beta signaling are still incompletely
understood. Previously, we have shown that CD109 downregulates TGF-beta signaling
by promoting TGF-beta receptor localization into the lipid raft/caveolae
compartment and by enhancing TGF-beta receptor degradation. Here, we demonstrate
that CD109 enhances SMAD7/Smurf2-mediated degradation of TGFBR1 in a ligand
dependent manner. Moreover, we show that CD109 regulates the localization and the
association of SMAD7/Smurf2 with TGFBR1. Finally, we demonstrate that CD109's
inhibitory effect on TGF-beta signaling and responses require SMAD7 expression
and Smurf2 ubiquitin ligase activity. Taken together, these results suggest that
CD109 is an important regulator of SMAD7/Smurf2-mediated degradation of TGFBR1.
PMID- 21898546
TI - The Wnt/beta-catenin signaling pathway: a potential therapeutic target in the
treatment of triple negative breast cancer.
AB - Breast cancer continues to be a serious health problem particularly in developed
countries. Of particular concern is triple negative breast cancer (TNBC) which
does not respond well to standard hormone therapy and is associated with poor
overall patient prognosis. Recent studies indicate that Wnt/beta-catenin
signaling is particularly activated in TNBC, such that the Wnt receptor frizzled
7 (FZD7) and the Wnt co-receptor LRP6 were found to be up regulated in TNBC. In
addition, it has been demonstrated that transcriptional knockdown of LRP6 or FZD7
in TNBC cells suppressed tumor growth in vivo. Furthermore, salinomycin, a
selective breast cancer stem cell killer, was recently demonstrated to be an
inhibitor of Wnt/beta-catenin signaling by inducing LRP6 degradation. Therefore,
the Wnt/beta-catenin signaling pathway and particularly the Wnt receptors on the
cell surface may serve as novel therapeutic targets for the treatment of TNBC.
PMID- 21898547
TI - Osteoblastogenesis and osteoprotection enhanced by flavonolignan silibinin in
osteoblasts and osteoclasts.
AB - Bone-remodeling imbalance induced by decreased osteoblastogenesis and increased
bone resorption is known to cause skeletal diseases such as osteoporosis.
Silibinin is the major active constituent of silymarin, the mixture of
flavonolignans extracted from blessed milk thistle (Silybum marianum). Numerous
studies suggest that silibinin is a powerful antioxidant and has anti-hepatotoxic
properties and anti-cancer effects against carcinoma cells. This study
investigated that silibinin had bone-forming and osteoprotective effects in in
vitro cell systems of murine osteoblastic MC3T3-E1 cells and RAW 264.7 murine
macrophages. MC3T3-E1 cells were incubated in osteogenic media in the presence of
1-20 uM silibinin up to 15 days. Silibinin accelerated cell proliferation and
promoted matrix mineralization by enhancing bone nodule formation by calcium
deposits. In addition, silibinin furthered the induction of osteoblastogenic
biomarkers of alkaline phosphatase, collagen type 1, connective tissue growth
factor, and bone morphogenetic protein-2. Differentiated MC3T3-E1 cells enhanced
secretion of receptor activator of nuclear factor-kappaB ligand (RANKL) essential
for osteoclastogenesis, which was reversed by silibinin. On the other hand, RAW
264.7 cells were pre-incubated with 1-20 uM silibinin for 5 days in the presence
of RANKL. Non-toxic silibinin markedly attenuated RANK transcription and
intracellular adhesion molecule-1 expression elevated by RANKL, thereby
suppressing the differentiation of macrophages to multi-nucleated osteoclasts. It
was also found that silibinin retarded tartrate-resistant acid phosphatase and
cathepsin K induction and matrix metalloproteinase-9 activity elevated by RANKL
through disturbing TRAF6-c-Src signaling pathways. These results demonstrate that
silibinin was a potential therapeutic agent promoting bone-forming
osteoblastogenesis and encumbering osteoclastic bone resorption.
PMID- 21898548
TI - T cell-mediated increased osteoclast formation from peripheral blood as a
mechanism for Crohn's disease-associated bone loss.
AB - The pathophysiology of osteoporosis in patients with Crohn's disease (CD) is
still not completely elucidated. In this study, we evaluated osteoclastogenesis
from peripheral blood cells of CD patients and studied the role of lymphocytes
and inflammatory cytokines in this process. Peripheral blood mononuclear cells
from seven patients with quiescent CD and matched healthy controls were isolated,
and separated into T cells, B cells, and a T- and B-cell depleted fraction. In
various culture combinations, osteoclast formation in the absence of the
osteoclastogenic factors RANKL and M-CSF was assessed by scoring the number of
tartrate-resistant acid phosphatase (TRACP) positive multinucleated cells (MNCs).
Cytokine levels in culture supernatants were measured. Formation of heterogeneous
cell clusters in culture was noticed; a process that was inhibited by anti-LFA-1.
In CD cultures, mean cluster area was up to threefold higher than in control
cultures, and shown to be induced by T cells. Over tenfold higher numbers of
TRACP(+) MNCs were found in CD cultures, but exclusively in cultures containing T
cells. Formation of cell clusters correlated strongly with formation of TRACP(+)
MNCs. Both cell cluster formation and osteoclast formation were related to IL-17
levels in vitro. In conclusion, osteoclastogenesis, preceded by cell cluster
formation, is T cell-mediated and increased in patients with quiescent CD. Our
findings suggest heterotypic interactions between osteoclast precursors and T
cells to be a triggering step in osteoclast formation in CD. Furthermore, our
results propose a possible role for IL-17 in osteoclastogenesis in CD patients,
and as such in CD-associated bone loss.
PMID- 21898550
TI - Amphibians at risk? Susceptibility of terrestrial amphibian life stages to
pesticides.
AB - Current pesticide risk assessment does not specifically consider amphibians.
Amphibians in the aquatic environment (aquatic life stages or postmetamorphic
aquatic amphibians) and terrestrial living juvenile or adult amphibians are
assumed to be covered by the risk assessment for aquatic invertebrates and fish,
or mammals and birds, respectively. This procedure has been evaluated as being
sufficiently protective regarding the acute risk posed by a number of pesticides
to aquatic amphibian life stages (eggs, larvae). However, it is unknown whether
the exposure and sensitivity of terrestrial living amphibians are comparable to
mammalian and avian exposure and sensitivity. We reviewed the literature on
dermal pesticide absorption and toxicity studies for terrestrial life stages of
amphibians, focusing on the dermal exposure pathway, that is, through treated
soil or direct overspray. In vitro studies demonstrated that cutaneous absorption
of chemicals is significant and that chemical percutaneous passage, P (cm/h), is
higher in amphibians than in mammals. In vivo, the rapid and substantial uptake
of the herbicide atrazine from treated soil by toads (Bufo americanus) has been
described. Severe toxic effects on various amphibian species have been reported
for field-relevant application rates of different pesticides. In general,
exposure and toxicity studies for terrestrial amphibian life stages are scarce,
and the reported data indicate the need for further research, especially in light
of the global amphibian decline.
PMID- 21898549
TI - p38 MAPK activation, JNK inhibition, neoplastic growth inhibition, and increased
gap junction communication in human lung carcinoma and Ras-transformed cells by 4
phenyl-3-butenoic acid.
AB - Human lung neoplasms frequently express mutations that down-regulate expression
of various tumor suppressor molecules, including mitogen-activated protein
kinases such as p38 MAPK. Conversely, activation of p38 MAPK in tumor cells
results in cancer cell cycle inhibition or apoptosis initiated by
chemotherapeutic agents such as retinoids or cisplatin, and is therefore an
attractive approach for experimental anti-tumor therapies. We now report that 4
phenyl-3-butenoic acid (PBA), an experimental compound that reverses the
transformed phenotype at non-cytotoxic concentrations, activates p38 MAPK in
tumorigenic cells at concentrations and treatment times that correlate with
decreased cell growth and increased cell-cell communication. H2009 human lung
carcinoma cells and ras-transformed rat liver epithelial cells treated with PBA
showed increased activation of p38 MAPK and its downstream effectors which
occurred after 4 h and lasted beyond 48 h. Untransformed plasmid control cells
showed low activation of p38 MAPK compared to ras-transformed and H2009 carcinoma
cells, which correlates with the reduced effect of PBA on untransformed cell
growth. The p38 MAPK inhibitor, SB203580, negated PBA's activation of p38 MAPK
downstream effectors. PBA also increased cell-cell communication and connexin 43
phosphorylation in ras-transformed cells, which were prevented by SB203580. In
addition, PBA decreased activation of JNK, which is upregulated in many cancers.
Taken together, these results suggest that PBA exerts its growth regulatory
effect in tumorigenic cells by concomitant up-regulation of p38 MAPK activity,
altered connexin 43 expression, and down-regulation of JNK activity. PBA may
therefore be an effective therapeutic agent in human cancers that exhibit down
regulated p38 MAPK activity and/or activated JNK and altered cell-cell
communication.
PMID- 21898551
TI - Validation of a chronic dietary cadmium bioaccumulation and toxicity model for
Hyalella azteca exposed to field-contaminated periphyton and lake water.
AB - A model previously developed in the laboratory to predict chronic bioaccumulation
and toxicity of cadmium to Hyalella azteca from a diet of periphyton was
validated by comparing predictions with measurements of Cd in two exposure
scenarios: laboratory-cultured H. azteca exposed for 28 d to field-contaminated
water and periphyton, and Cd measured in field-collected H. azteca. In both
exposure scenarios, model predictions of bioaccumulation were shown to be robust;
however, effects on Cd bioaccumulation from complexation with dissolved organic
carbon (DOC) and inhibition of Cd bioaccumulation by Ca2+ must be incorporated
into the model to permit its wider application. The model predicted that 80 to
84% of Cd in H. azteca came from periphyton when H. azteca were chronically
exposed to dissolved Cd in lake water at 2.63 to 3.01 nmol/L and periphyton at
1,880 to 2,630 nmol/g ash-free dry mass. Dietary Cd contributed markedly to the
model-predicted decrease in 28-d survival to 74% at environmental Cd
concentrations in food and water. In reality, survival decreased to 10%. The
lower than predicted survival likely was due to the higher nutritional quality of
periphyton used to develop the model in the laboratory compared with the field
collected periphyton. Overall, this research demonstrated that Cd in a periphyton
diet at environmental concentrations can contribute to chronic toxicity in H.
azteca.
PMID- 21898552
TI - Reproductive changes in American kestrels (Falco sparverius) in relation to
exposure to technical hexabromocyclododecane flame retardant.
AB - Recently, the ban of hexabromocyclododecane (HBCD), a high-production-volume
flame retardant, was announced in Europe and North America. However, the effects
of HCBD remain understudied in birds. The objectives of the present comparative
effects study were to determine whether exposure to an HBCD technical mixture
(HBCD-TM) altered avian reproductive measures at an environmentally relevant
concentration. American kestrels were exposed daily by food to HBCD-TM, i.e.,
0.51 ug HBCD/g kestrel/d; exposed kestrels laid eggs that had alpha-HBCD
concentrations (163.5 +/- 75.1 ng/g wet wt) tenfold greater than beta- and gamma
HBCD isomers, an isomer profile and concentrations similar to those of eggs of
wild peregrine falcons (Falco peregrinus). Concentrations of HBCD were not
detected in the control kestrel eggs. In comparison with controls, the kestrels
exposed to HBCD began to lay their eggs 6 d earlier and laid larger clutches of
smaller eggs. The size of the eggs was inversely correlated with the in ovo alpha
HBCD concentrations. The smaller eggs of the HBCD exposed kestrels also lost more
weight by midincubation, suggesting increased eggshell porosity since eggshell
thickness was comparable. Generally birds that lay more eggs and lay earlier in
the breeding season gain the advantage of better hatching and fledging success,
yet the kestrels exposed to HBCD failed to have better reproductive success than
the control birds. These reproductive changes were a function of HBCD exposure,
likely through changes in food consumption, with possible impacts on, for
example, reproductive behavior and/or alterations in thyroid hormones.
PMID- 21898553
TI - Identifying the causes of oil sands coke leachate toxicity to aquatic
invertebrates.
AB - A previous study found that coke leachates (CL) collected from oil sands field
sites were acutely toxic to Ceriodaphnia dubia; however, the cause of toxicity
was not known. Therefore, the purpose of this study was to generate CL in the
laboratory to evaluate the toxicity response of C. dubia and perform chronic
toxicity identification evaluation (TIE) tests to identify the causes of CL
toxicity. Coke was subjected to a 15-d batch leaching process at pH 5.5 and 9.5.
Leachates were filtered on day 15 and used for chemical and toxicological
characterization. The 7-d median lethal concentration (LC50) was 6.3 and 28.7%
(v/v) for pH 5.5 and 9.5 CLs, respectively. Trace element characterization of the
CLs showed Ni and V levels to be well above their respective 7-d LC50s for C.
dubia. Addition of ethylenediaminetetraacetic acid significantly (p <= 0.05)
improved survival and reproduction in pH 5.5 CL, but not in pH 9.5 CL. Cationic
and anionic resins removed toxicity of pH 5.5 CL only. Conversely, the toxicity
of pH 9.5 CL was completely removed with an anion resin alone, suggesting that
the pH 9.5 CL contained metals that formed oxyanions. Toxicity reappeared when Ni
and V were added back to anion resin-treated CLs. The TIE results combined with
the trace element chemistry suggest that both Ni and V are the cause of toxicity
in pH 5.5 CL, whereas V appears to be the primary cause of toxicity in pH 9.5 CL.
Environmental monitoring and risk assessments should therefore focus on the fate
and toxicity of metals, especially Ni and V, in coke-amended oil sands
reclamation landscapes.
PMID- 21898554
TI - Characterization of lead induced metal-phytochelatin complexes in Chlamydomonas
reinhardtii.
AB - Accumulation of Pb and induction of phytochelatin synthesis were observed in
Chlamydomonas reinhardtii upon Pb(II) exposure. Our aim was to examine whether
Pb(II) is bound by phytochelatins (PCs) in C. reinhardtii and to examine formed
complexes for their stoichiometry and composition. Metal-phytochelatin (Me-PC)
complexes induced by Pb were isolated by size-exclusion chromatography in 13
collected fractions, which were analyzed for their PC and metal content by high
performance liquid chromatography and inductively coupled plasma mass
spectrometry. A recovery of more than 90% of Pb from standard Pb-PC2 complexes
within the total volume of the size-exclusion column indicated the adequacy of
the method for Pb-PC(n) complex separation and characterization. Phytochelatins
were detected mainly in a molecular weight ranging from 1,000 to 5,300 daltons
(Da), indicating the formation of complexes with various stoichiometries.
Approximately 72% of total PC2 eluted in the range from 1,000 to 1,600 Da, and
80% of total PC3 eluted in the molecular weight range from 1,600 to 2,300 Da. The
distribution of Cu, Zn, and Pb showed that more than 70% of these metals were
associated with the high-molecular-weight fractions. Copper, zinc, and lead were
also observed in PC-containing fractions, suggesting the formation of various Me
PC complexes. The results of the present study indicate that the role of PCs in
Pb detoxification is minor, because only 13% of total Pb was associated with PCs.
PMID- 21898555
TI - Photodegradation of lambda-cyhalothrin and cypermethrin in aqueous solution as
affected by humic acid and/or copper: intermediates and degradation pathways.
AB - The influence of coexisting humic acids (HA) or Cu2+ on the photodegradation of
pesticides lambda-cyhalothrin (lambda-CHT) and cypermethrin (CPM) in aqueous
solution was studied under xenon lamp irradiation. The removal efficiency of
pesticides lambda-CHT and CPM were enhanced in the presence of either Cu2+ or HA
but restrained in the presence of both Cu2+ and HA. The photodegradation of
lambda-CHT and CPM followed first-order reaction kinetics. The photodegradation
intermediates of lambda-CHT and CPM were determined using gas chromatography/mass
spectrometry. Possible photodegradation pathways included decarboxylation, ester
bond cleavage, dechlorination, and phenyl group removal.
PMID- 21898556
TI - Environmental concentrations of agricultural-use pesticide mixtures evoke primary
and secondary stress responses in rainbow trout.
AB - The present study sought to determine whether environmentally realistic mixtures
of agriculturally important pesticides are stressful to fish. Juvenile rainbow
trout were exposed for 96 h to concentrations of a pesticide mixture found in a
waterway that is the focus of salmon restoration efforts (Nicomekl River, BC,
Canada). This mixture contained organochlorine, organophosphorus, phenylurea, and
triazine classes of pesticides. Fish given a realistic mixture exposure (total
concentration, 1.01 ug/L) had increased plasma cortisol concentration, packed red
cell volume, hematocrit (Hct), as well as decreased white cell volume, leukocrit
(Lct). Similar changes in Hct and Lct were apparent after exposure to a lower
concentration (0.186 ug/L). Interestingly, no changes in plasma cortisol
concentration, Hct, or Lct were noted after exposure to a higher concentration
(13.9 ug/L). This suggests that the exposure likely impaired the mechanisms
enabling the stress response. Across all exposures, plasma glucose concentration
was related to plasma cortisol concentration, not to pesticide mixture
concentration. This suggests that a secondary stress response may be more related
to variability in individual primary stress response than to differences in
pesticide exposure concentrations. In summary, the present study indicates that
salmon living in agrichemical-contaminated waterways may be experiencing stress,
and this may pose a threat to their survival.
PMID- 21898557
TI - Uptake and toxicity of spiked nickel to earthworm Eisenia fetida in a range of
Chinese soils.
AB - Bioavailability and toxicity of metals to soil organisms varies among different
soils, and knowledge of this variance is useful for the development of soil
environmental quality guidelines. In the present study, laboratory experiments
were performed to investigate the effects of variations in nickel (Ni) uptake and
toxicity on growth, cocoon output, and juvenile production in the earthworm
Eisenia fetida in 13 Chinese soils spiked with nickel chloride. Body weight
development of E. fetida was rather insensitive to Ni, and significant inhibition
of growth was observed only at high Ni concentrations, such as 560 and 1000
mg/kg. The 50% inhibition effect concentrations (EC50s) for cocoon and juvenile
production, based on measured Ni concentrations in soils, varied from 169 to 684
mg/kg and from 159 to 350 mg/kg, respectively. The EC50s represented
approximately fourfold variation for cocoon output and twofold variation for
juvenile production among 13 Chinese soils. Juvenile production, compared to
cocoon output, was a more sensitive endpoint parameter to Ni. Nickel uptake in E.
fetida increased as simple linear functions of increasing soil Ni concentrations.
Tissue Ni-based EC50s (based on Ni concentrations in earthworm tissues) for
cocoon production varied from 37 to 121 mg/kg (threefold variation) in 12 of 13
soils, suggesting a similar variation to that of soil Ni-based EC50s.
Relationship analysis between soil properties and Ni toxicity showed that neither
the EC50s for cocoon output nor those for juvenile production presented
significant correlation with soil properties (pH, organic matter content, cation
exchange capacity, clay content, Ca2+ and Mg2+). This may be ascribed to the
narrow range of properties of selected soils. The soil factors that determined Ni
toxicity to earthworm reproduction remain undetermined in the present study, and
these data should be used cautiously when developing toxicity prediction models
because of the narrow selection of soil properties.
PMID- 21898558
TI - Can avoidance behavior of the mite Oppia nitens be used as a rapid toxicity test
for soils contaminated with metals or organic chemicals?
AB - Survival and reproduction soil toxicity tests for a new mite test species, Oppia
nitens, have recently been developed for boreal ecosystems; however, the tests
require 28 to 35 d. Avoidance tests have the potential to allow for rapid
preliminary screening assessments of soils. The objective of this investigation
was to determine the relevance of the avoidance test with the oribatid mite O.
nitens as a short screening test in lower-tier environmental risk assessment. We
assessed the effects of soil properties and chemicals on O. nitens avoidance
behavior as well as the minimum time required to obtain a significant avoidance
response from the mite. Specimens of this mite were exposed in Organisation for
Economic Co-Operation and Development (OECD) artificial soils that had been
adjusted to achieve varying soil properties as well as to a range of
concentrations of the following contaminants: Cu, Zn, Cd, Pb, phenanthrene,
benzo[a]pyrene, geraniol, and boric acid over 1, 2, or 5 d. The results were then
compared with those of parallel life-cycle toxicity studies. The results showed
that 24 h was adequate to obtain a significant response of the mites and that the
soil properties tested (moisture, pH, organic matter, and clay content) had
little influence on mite avoidance. The median effective concentration (EC50) for
avoidance response was lower than or in the same range as the reproduction EC50
values for the organic compounds (phenanthrene and geraniol) and metals (Cu and
Zn) or the median lethal concentration (LC50) values for Pb. The 24-h mite
avoidance test is a suitable screening method across a range of soil properties
and chemicals.
PMID- 21898559
TI - Nutrient loss with runoff from fairway turf: an evaluation of core cultivation
practices and their environmental impact.
AB - The presence of excess nutrients in surface waters can result in undesirable
environmental and economic consequences, including nuisance algal blooms and
eutrophication. Fertilizer use in highly managed turf systems has raised
questions concerning the contribution of nutrients to surrounding surface waters.
Experiments were designed to quantify phosphorus and nitrogen transport with
runoff from turf plots maintained as a golf course fairway to identify which
cultural practice, solid tine (ST) or hollow tine (HT) core cultivation,
maximized phosphorus and nitrogen retention at the site of fertilizer
application. Simulated precipitation and collection of resulting runoff were
completed 26 +/- 13 h following granular fertilizer application (18-3-18: N-P2O5
K2O) and 63 d and 2 d following core cultivation. Runoff volumes were reduced in
fairway turf plots aerated with HT relative to ST (63 d: 10%, 2 d: 55%
reduction). Analysis of the runoff revealed a reduction in soluble phosphorus,
ammonium nitrogen, and nitrate nitrogen losses with runoff from plots managed
with HT; a 5 to 27% reduction after 63 d; and a 39 to 77% reduction at 2 d. Golf
course runoff-to-surface water scenarios were used to calculate estimated
environmental concentrations (EECs) of nitrogen and phosphorus in surface water
receiving runoff from turf managed with ST or HT core cultivation. Surface water
concentrations of phosphorus remained above the U.S. Environmental Protection
Agency's water quality criteria to limit eutrophication, with the exception of
concentrations associated with HT core cultivation at 2 d. Regardless of
management practice (ST or HT) and time between core cultivation and runoff (63 d
or 2 d), all EECs of nitrogen were below levels associated with increased algal
growth. Understanding nutrient transport with runoff and identifying strategies
that reduce off-site transport will increase their effectiveness at intended
sites of application and minimize undesirable effects to surrounding surface
water resources.
PMID- 21898560
TI - Vitellogenin induction by 17beta-estradiol and 17alpha-ethynylestradiol in male
Murray rainbowfish (Melanotaenia fluviatilis).
AB - Hepatic vitellogenin (VTG) mRNA and plasma protein assays were developed for the
Murray rainbowfish (Melanotaenia fluviatilis), a native freshwater fish species
in Australia. Adult male Murray rainbowfish were exposed to 17beta-estradiol (E2)
or 17alpha-ethynylestradiol (EE2) at 0, 1, 5, 10, 50, or 100 ng/L in a semistatic
system for 7 d. Vitellogenin mRNA was quantified by quantitative polymerase chain
reaction, and VTG protein was semiquantified in plasma using an enzyme-linked
immunosorbent assay. Water concentrations were quantified by liquid
chromatography coupled with tandem mass spectrometry and were on average 110% and
85% nominal concentrations for E2 and EE2, respectively. Vitellogenin transcripts
and protein were upregulated in male Murray rainbowfish exposed to either E2 or
EE2 in a dose-responsive manner, with calculated 10% effective concentration
(EC10) values for E2 of 3.71 ng/L and 11.6 ng/L for VTG mRNA and protein,
respectively, and for EE2 of 2.77 ng/L and 8.47 ng/L for VTG mRNA and protein,
respectively. Comparisons of these responses with responses of commonly used test
species, including zebrafish (Danio rerio), fathead minnow (Pimephales promelas),
and Japanese medaka (Oryzias latipes), revealed the Murray rainbowfish to be a
sensitive test species for estrogenic effects via the estrogen receptor pathway.
The present study suggests that the Murray rainbowfish would be a suitable
candidate for future field studies designed for assessing estrogenic effects of
effluent discharges in the Australian freshwater environment.
PMID- 21898561
TI - Comparison of element concentrations in fir and rhododendron leaves and twigs
along an altitudinal gradient.
AB - Concentrations of 23 elements (Ca, K, Mg, P, Al, Cu, Fe, Mn, Mo, Na, Ni, Zn, Ag,
Ba, Be, Cd, Co, Cr, Pb, Sb, Th, Tl, and V) in leaf and twig samples of a fir
(Abies fabri) and a rhododendron (Rhododendron williamsianum) collected along an
altitudinal gradient on Mount Gongga, China, are reported in the present study.
Most of the macronutrients (K and P), micronutrients (Fe, Zn, Cu, Na, Ni, Mo, and
Al), and trace elements (Pb, Tl, Ag, Cd, Ba, Co, V, Be, and Cr) are significantly
enriched in fir when compared to rhododendron; however, Ca, Mg, Mn, Ba, and Cd
are more enriched in rhododendron than in fir. Most of the elements in both
plants are more significantly enriched in twigs than in leaves. The relationship
between element concentration in plants and altitudinal gradient is nonlinear.
Altitudes of 3,200 and 3,400 m are turning points for fir and rhododendron
growth, respectively. Concentrations of all trace elements in the two plants
along the altitudinal gradient are well below the toxic level in plants. No known
industrial sources of the elements investigated exist in the Mount Gongga area,
China. Element concentrations in the present study are higher than those found in
mosses collected from the same area, indicating that the area is not
contaminated. The element concentrations that we observed in plant samples were
due to soil uptake. The pronounced differences between the two species are due to
the different uptake characteristics of fir and rhododendron.
PMID- 21898562
TI - Flesh residue concentrations of organochlorine pesticides in farmed and wild
salmon from British Columbia, Canada.
AB - The present study reports measured levels of organochlorine pesticides (OCPs) in
commercial salmon feed (n = 8) and farmed Atlantic, coho, and chinook salmon (n =
110), as well as wild coho, chinook, chum, sockeye, and pink salmon (n = 91).
Flesh residue concentrations (ng/g wet weight) of
dichlorodiphenyltrichloroethanes (DDTs), hexachlorocyclohexanes (HCHs),
chlordanes, chlorobenzenes (CBz) and cyclodiene pesticides (e.g., dieldrin,
mirex) were 2 to 11 times higher (p < 0.05) in farmed salmon compared with wild
salmon. Concentrations were positively correlated with flesh lipid levels. Farmed
Atlantic salmon (12-15% lipid) typically exhibited the greatest OCP burdens
compared with other salmon species. However, when expressed on a lipid weight
basis, concentrations of OCPs (ng/g lipid weight) in wild salmon, in many cases,
exceeded those levels in farmed salmon. Observed interspecies and site-specific
variations of OCP concentrations in farmed and wild salmon may be attributed to
divergent life history, prey/feed characteristics and composition, bioenergetics,
or ambient environmental concentrations. Calculated biomagnification factors (BMF
= C(F)/C(D), lipid wt) of OCPs in farmed salmon typically ranged between two and
five. Biomagnification of chemicals such as DDTs, chlordanes, and mirex was
anticipated, because those compounds tend to exhibit high dietary uptake and slow
depuration rates in fish because of relatively high octanol-water partition
coefficients (K(OW)s > 105). Surprisingly, less hydrophobic pesticides such as
hexachlorocyclohexanes and endosulfans (K(OW) s < 105) consistently exhibited a
high degree of biomagnification in farmed salmon species (BMFs > 5). This is
contrary to previous laboratory and field observations demonstrating fish BMFs
less than 1 for low K(OW) chemicals, because of efficient respiratory elimination
of those compounds via gills. The results suggest that ambient seawater
concentrations and bioconcentration-driven accumulation may play a key role in
the bioaccumulation of these relatively more water-soluble contaminants in farmed
salmon. Finally, OCP exposure through consumption of British Columbian salmon is
found to be low relative to United States national average per capita total
exposure levels and provisional tolerable daily intakes.
PMID- 21898563
TI - Bioaccumulation dynamics and exposure routes of Cd and Cu among species of
aquatic mayflies.
AB - Consumption of periphyton is a potentially important route of metal exposure to
benthic invertebrate grazers. The present study examined the bioaccumulation
kinetics of dissolved and dietary Cd and Cu in five species of mayflies (class
Insecta). Artificial stream water and benthic diatoms were separately labeled
with enriched stable metal isotopes to determine physiological rate constants
used by a biokinetic bioaccumulation model. The model was employed to simulate
the effects of metal partitioning between water and food, expressed as the
bioconcentration factor (BCF), as well as ingestion rate (IR) and metal
assimilation efficiency of food (AE), on the relative importance of water and
food to metal bioaccumulation. For all test species, the contribution of dietary
uptake of Cd and Cu increased with BCF. For a given BCF, the contribution of food
to the body burden increased with k(uf) , the metal uptake rate constant from
food that combined variation in IR and AE. To explore the relative importance of
water and diet exposure routes under field conditions, we used estimated site
specific aqueous free-ion concentrations to model Cd and Cu accumulation from
aqueous exposure, exclusively. The predicted concentrations accounted for less
than 5% of the observed concentrations, implying that most bioaccumulated metal
was acquired from food. At least for the taxa considered in this study, we
conclude that consumption of metal-contaminated periphyton can result in elevated
metal body burdens and potentially increase the risk of metal toxicity.
PMID- 21898564
TI - Legacy and contemporary persistent organic pollutants in North Pacific albatross.
AB - Here we report the first measurements of polybrominated diphenyl ethers (PBDE 47,
99, and 153) alongside 11 organochlorine pesticides (OCPs) and 28 polychlorinated
biphenyls (PCBs) in the plasma of albatross from breeding colonies distributed
across a large spatial east-west gradient in the North Pacific Ocean. North
Pacific albatross are wide-ranging, top-level consumers that forage in pelagic
regions of the North Pacific Ocean, making them an ideal sentinel species for
detection and distribution of marine contaminants. Our work on contaminant
burdens in albatross tissue provides information on transport of persistent
organic pollutants (POPs) to the remote North Pacific and serves as a proxy for
regional environmental quality. We sampled black-footed (Phoebastria nigripes; n
= 20) and Laysan albatross (P. immutabilis; n = 19) nesting on Tern Island,
Hawaii, USA, and Laysan albatross (n = 16) nesting on Guadalupe Island, Mexico.
Our results indicate that North Pacific albatross are highly exposed to both PCBs
and OCPs, with levels ranging from 8.8 to 86.9 ng/ml wet weight and 7.4 to 162.3
ng/ml wet weight, respectively. A strong significant gradient exists between
Laysan albatross breeding in the Eastern Pacific, having approximately 1.5-fold
and 2.5-fold higher levels for PCBs and OCPs, respectively, compared to those
from the Central Pacific. Interspecies levels of contaminants within the same
breeding site also showed high variation, with Tern black-footed albatross having
approximately threefold higher levels of both PCBs and OCPs than Tern Laysan
albatross. Surprisingly, while PBDEs are known to travel long distances and
bioaccumulate in wildlife of high trophic status, we detected these three PBDE
congeners only at trace levels ranging from not detectable (ND) to 0.74 ng/ml wet
weight in these albatross.
PMID- 21898565
TI - Quantification of perchloroethylene residues in dry-cleaned fabrics.
AB - We have used a novel gas chromatography/mass spectrometry (GC/MS)-based approach
to quantify perchloroethylene (PCE) residues in dry-cleaned fabrics. Residual PCE
was extracted from fabric samples with methanol and concentration was calculated
by the gas chromatographic peak area, standardized using PCE calibration data.
Extracts examined were from samples of 100% wool, polyester, cotton, or silk,
which were dry cleaned from one to six times in seven different Northern Virginia
dry-cleaning establishments. Additional experiments were conducted to investigate
the kinetics of PCE release in the extraction solvent and to the open air. We
found that polyester, cotton, and wool retained >= uM levels of PCE, that these
levels increased in successive dry-cleaning cycles, and that PCE is slowly
volatilized from these fabrics under ambient room air conditions. We found that
silk does not retain appreciable PCE. Measured differences across dry-cleaning
establishments and fabric type suggest more vigorous monitoring of PCE residues
may be warranted. Environ. Toxicol. Chem. 2011;30:2481-2487. (c) 2011 SETAC.
PMID- 21898566
TI - Biodegradation of triclosan in biosolids-amended soils.
AB - Land application of biosolids can constitute an important source of triclosan
(TCS) input to soils, with uncertain effects. Several studies have investigated
the degradation potential of TCS in biosolids-amended soils, but the results vary
widely. We conducted a laboratory degradation study by mixing biosolids spiked
with [14C]-TCS (final concentration = 40 mg/kg) with Immokalee fine sand and
Ashkum silty clay loam soils at an agronomic application rate (22 Mg/ha).
Biosolids-amended soils were aerobically incubated in biotic and inhibited
conditions for 18 weeks. Subsamples removed at 0, 2, 4, 6, 9, 12, 15, and 18
weeks were sequentially extracted with an operationally defined extraction scheme
to determine labile and nonlabile TCS fractions. Over the 18-week incubation, the
proportion of [14C] in the nonlabile fraction increased and the labile fraction
decreased, suggesting decreasing availability to biota. Partitioning of TCS into
labile and nonlabile fractions depended on soil characteristics. Less than 0.5%
of [14C]-TCS was mineralized to carbon dioxide (14CO2) in both soils and all
treatments. A degradation metabolite, methyl triclosan (Me-TCS), was identified
in both soils only in the biotic treatment, and increased in concentration over
time. Even under biotic conditions, biosolids-borne TCS is persistent, with a
primary degradation (TCS to Me-TCS) half-life of 78 d in the silty clay loam and
421 d in the fine sand. A half-life of approximately 100 d would be a
conservative first approximation of TCS half-life in biosolids-amended soils for
risk estimation.
PMID- 21898567
TI - Comparative toxicity of two glyphosate formulations (original formulation of
Roundup(r) and Roundup WeatherMAX(r)) to six North American larval anurans.
AB - The toxicity of two glyphosate formulations (the original formulation of
Roundup(r) and Roundup WeatherMAX(r)) to six species of North American larval
anurans was evaluated by using 96-h static, nonrenewal aqueous exposures. The 96
h median lethal concentration values (LC50) ranged from 1.80 to 4.22 mg acid
equivalent (ae)/L and 1.96 to 3.26 mg ae/L for the original formulation of
Roundup and Roundup WeatherMAX, respectively. Judged by LC50 values, four species
were more sensitive to Roundup WeatherMAX exposures, and two species were more
sensitive to the original formulation. Two of six species, Bufo fowleri (p <
0.05, F = 14.89, degrees of freedom [df] = 1) and Rana clamitans (p < 0.05, F =
18.46, df = 1), had significantly different responses to the two formulations
tested. Increased sensitivity to Roundup WeatherMAX likely was due to differences
in the surfactants or relative amounts of the surfactants in the two
formulations. Potency slopes for exposures of the original formulation ranged
from 24.3 to 92.5% mortality/mg ae/L. Thresholds ranged from 1.31 to 3.68 mg
ae/L, showing an approximately three times difference in the initiation of
response among species tested. For exposures of Roundup WeatherMAX, slopes ranged
from 49.3 to 84.2% mortality/mg ae/L. Thresholds ranged from 0.83 to 2.68 mg
ae/L. Margins of safety derived from a simulated direct overspray were above 1,
except for one species in exposures of Roundup WeatherMAX. Laboratory data based
on aqueous exposures are conservative because of the lack of environmental
ligands; however, these tests provide information regarding the relative toxicity
between these two Roundup formulations.
PMID- 21898568
TI - Current-use pesticide transport to Costa Rica's high-altitude tropical cloud
forest.
AB - To gain insight into the atmospheric transport and deposition of organic
contaminants in high-altitude forests in the humid tropics, pesticides were
analyzed in air, water, and soil samples from Costa Rica. Passive samplers
deployed across the country revealed annually averaged air concentrations of
chlorothalonil, endosulfan, and pendimethalin that were higher in areas with
intensive agricultural activities than in more remote areas. Atmospheric
concentrations were particularly high in the intensively cultivated central
valley. Only endosulfan and its degradation products were found in soils sampled
along an altitudinal transect on the northern side of Volcano Turrialba, which is
facing heavily cultivated coastal plains. Consistent with calculations of cold
trapping in tropical mountains, concentrations of endosulfan sulfate increased
with altitude. Pesticide levels in lake, creek, fog, and arboreal water samples
from high-elevation cloud forests were generally below 10 ng . L(-1). Endosulfan
sulfate was the most abundant pesticide in water, with concentrations ranging
from 0.4 to 9.4 ng . L(-1). Its levels were highest in water sampled from
bromeliads. Levels of total endosulfan in water are much lower than the reported
median lethal concentration (LC50) value for acute toxicity of alpha-endosulfan
to tadpoles. Although this suggests that the presence of pesticide might not have
a direct impact on amphibian populations, the possibility of effects of chronic
exposure to a mixture of substances cannot be excluded. Fog was relatively
enriched in some of the analyzed pesticides, such as dacthal and chlorothalonil,
and may constitute an important deposition pathway to high-altitude tropical
cloud forest.
PMID- 21898569
TI - Improved understanding of tributyltin sorption on natural and biochar-amended
sediments.
AB - A poor understanding of tributyltin (TBT) sorption on sediments has hindered an
accurate evaluation of its environmental fate. The present study determined TBT
sorption by a freshwater sediment (BH) and a coastal marine sediment (TZ) as
influenced by pH, salinity, and biochar (BC) amendment into TZ. The isotherms
were essentially linear, with K(OC) values in the range of 10(4) to 10(5) L/kg.
Tributyltin sorption at pH 3.56 and 8.00 occurred mainly via partitioning. It
reached maxima at pH equal to its pK(a) (=6.25) because of added ion exchange. A
salinity increase from 5 to 35 practical salinity units enhanced TBT sorption at
pH 3.56 and 8.00 on TZ by approximately 30% and on BH by approximately 80%,
ascribed to the salting-out effect that reduced the solubilities of tributyltin
hydroxide (TBTOH) and tributyltin chloride (TBTCl). At pH 6.25, the same salinity
increase reduced TBT sorption on TZ by approximately 20% but enhanced TBT
sorption on BH by approximately 35%. This was attributed to the enhancing role of
salting out and the reducing role of metal competition for ion exchange.
Tributyltin was two orders of magnitude more effectively sorbed by BC than by
total organic carbon of TZ, mainly because of the high level of surface area of
the BC. Although BC affinity for TBT may be significantly diminished when present
in TZ, it was considered to be the primary contributor to TBT sorption from
water. Biochar may thus be used to immobilize TBT in sediment for potential
remediation.
PMID- 21898570
TI - Review of the reproductive biology of amphipods and their endocrine regulation:
identification of mechanistic pathways for reproductive toxicants.
AB - The reproductive biology of amphipods is reviewed to update the knowledge of the
male and female reproductive processes of oogenesis and spermatogenesis as well
as the endocrine systems of amphipods with the aim of advancing studies of
reproductive toxicology. The ovarian and reproduction cycles of female
gammaridean amphipods are closely correlated with the molt cycle, which is under
direct control by the steroid hormone 20-hydroxyecdysone. The ability of males to
copulate and subsequently for females to ovulate is restricted to the early
postmolt period of the females. New developments in our understanding of the molt
cycle and the endocrine regulatory pathways for reproduction using genomics
techniques on other crustacean species are also discussed. The arthropod sterol
ponasterone A or xenobiotics such as the fungicide fenarimol have been shown to
elicit endocrine disruption in some crustaceans by acting as an agonist for 20
hydroxyecdysone at the ecdysone receptor or by inhibiting the synthesis of 20
hydroxyecdysone, respectively, resulting in disruption of molting and
reproduction. Recent studies suggest that cadmium can inhibit secondary
vitellogenesis in amphipods. Experimental approaches for examining the metabolic
pathways associated with ecdysteroid hormonal signaling or metabolism,
exoskeleton maintenance and molting, and the regulation of vitellogenin in
amphipods are discussed. This information should aid in the identification of
useful biomarkers for reproductive toxicity.
PMID- 21898571
TI - Therapeutic apheresis before and after kidney transplantation.
AB - Kidney transplantation is considered the treatment of choice for most individuals
with end-stage kidney disease, as well as the most cost-effective renal
replacement therapy for the health care system that serves them. Immunologic
sensitization, defined by the presence of antibodies directed against foreign HLA
(or so called, donor specific antibodies, or DSA), is a significant barrier to
kidney transplantation. Further, the presence of DSA is associated with an
increase in the incidence of antibody-mediated rejection and decreased graft
survival following transplantation. Therapeutic plasma exchange, an
extracorporeal therapy directed at removing plasma proteins, including DSA, has
proven to be an important part of a comprehensive strategy to minimize the effect
of sensitization before, and following kidney transplantation. As such, it offers
the promise of increasing access to transplantation, as well as improving
outcomes following transplantation. In this concise narrative review, we describe
more specifically the benefits of kidney transplantation, the epidemiology of
kidney transplantation in the United States, the clinical significance of anti
HLA antibodies, and the evidence supporting a role for therapeutic plasma
exchange before and after kidney transplantation.
PMID- 21898572
TI - Extracorporeal photopheresis: how, when, and why.
AB - Extracorporeal photopheresis (ECP) is a well-tolerated procedure that suppresses
T-lymphocyte activity in a clonally-specific way. It is an effective therapy that
has established indications in the management of cutaneous T-cell lymphoma, graft
versus-host disease and some scenarios of solid-organ transplant rejection. It is
being used increasingly around the world. Its applications are evolving,
including exploration of its potential for treating autoimmune diseases where
cytotoxic T-cell-mediated mechanisms appear to be involved, such as Crohn's
disease. This article reviews scientific insights into its mechanism of action on
the immune system, details of the clinical procedure, its clinical applications
in various diseases, and the current evidence for its efficacy and place in
medical therapeutics.
PMID- 21898573
TI - Therapeutic apheresis: a review of complications and recommendations for
prevention and management.
AB - Therapeutic apheresis procedures are a form of extracorporeal therapy that use
different techniques to separate blood into the different components out of which
the part containing the etiological agent in a disease process is discarded and
the rest of the components of blood are re-infused into the patient, frequently
with the addition of a replacement fluid or volume. These complex procedures have
inherent risks of adverse events and factors that may impact on the incidence
these events include the underlying disease state, anticoagulation techniques,
replacement fluid type including the volume, issues related to the vascular
access used, and the therapeutic apheresis procedure type and technique. We
present a representative case based review of common complications of therapeutic
apheresis and suggestions about how to prevent or manage these as presented at
the 2010 Therapeutic Apheresis Academy.
PMID- 21898574
TI - Selected questions and answers given by apheresis medicine experts at TAA 2010.
PMID- 21898575
TI - The potential role of plasma exchange as a treatment for bilateral diffuse uveal
melanocytic proliferation: a report of two cases.
AB - Bilateral diffuse uveal melanocytic proliferation (BDUMP) is a rare
paraneoplastic syndrome associated with gynecologic malignancies in women and
pancreatic or lung carcinomas in men. The clinical presentation consists of the
rapid onset of decreased visual acuity due to bilateral serous retinal detachment
and cataracts. Pathologically, there is diffuse uveal thickening and
proliferation of uveal melanocytes. The onset of blindness is often rapid, with
some patients presenting with blindness. We describe the cases of two women with
gynecologic malignancies who were treated with plasma exchange (PE) for BDUMP.
After a course of five to seven procedures, their ocular disease stabilized. One
patient has maintained her vision more than 1 year following the completion of
the course of PE. The other patient, who also received treatment with
corticosteroids, in addition to the PE, reported stable vision on telephone
follow-up 9 months after presentation. These cases suggest that PE may be a
treatment option in this rare paraneoplastic syndrome which has otherwise been
reported to invariably result in vision loss.
PMID- 21898576
TI - Therapeutic plasma exchange for the treatment of anti-NMDA receptor encephalitis.
AB - Anti-N-methyl-D-aspartate receptor (NMDA-R) encephalitis is thought to be one of
the common paraneoplastic-associated encephalitides. Between February 2001 and
February 2011, nine patients were diagnosed with this disorder at Columbia
University Medical Center: eight females (mean age 23 years) and one male (3
years of age). Four female patients had ovarian teratomas, which were removed as
part of their treatment. Therapeutic plasma exchange (TPE) was used as one of the
treatment modalities in addition to immunosuppressive therapy, including
corticosteroids, intravenous immunoglobulin (IVIG), and/or rituximab. A total of
56 TPE procedures were performed in these patients on alternate days (range, 5-14
procedures/patient). Approximately 1 plasma volume (PV) was processed for all
patients; 5% albumin and 0.9% normal saline were used as replacement fluid.
Complications occurred in 20% of TPE procedures; 9% were possibly due to
underlying disease. The remaining 11% of complications were hypotensive episodes
that rapidly responded to either a fluid bolus or a vasopressor treatment. One
patient demonstrated immediate clinical improvement after three TPE treatments,
and four patients had significant improvement at time of discharge from the
hospital. Long-term follow-up showed that early initiation of TPE appears to be
beneficial, and patients who received IVIG after TPE did better than those who
received IVIG before TPE. However, the number of patients in this series is too
small to provide statistically significant conclusions. Overall, TPE is a
relatively safe treatment option in patients with anti-NMDA-R encephalitis.
Further studies are needed to elucidate the benefit of TPE in this disease.
PMID- 21898577
TI - A suite of microsatellite markers optimized for amplification of DNA from Addax
(Addax nasomaculatus) blood preserved on FTA cards.
AB - The addax (Addax nasomaculatus) is a critically endangered antelope that is
currently maintained in zoos through regional, conservation breeding programs. As
for many captive species, incomplete pedigree data currently impedes the ability
of addax breeding programs to confidently manage the genetics of captive
populations and to select appropriate animals for reintroduction. Molecular
markers are often used to improve pedigree resolution, thereby improving the long
term effectiveness of genetic management. When developing a suite of molecular
markers, it is important to consider the source of DNA, as the utility of markers
may vary across DNA sources. In this study, we optimized a suite of
microsatellite markers for use in genotyping captive addax blood samples
collected on FTA cards. We amplified 66 microsatellite loci previously described
in other Artiodactyls. Sixteen markers amplified a single product in addax, but
only 5 of these were found to be polymorphic in a sample of 37 addax sampled from
a captive herd at Fossil Rim Wildlife Center in the US. The suite of
microsatellite markers developed in this study provides a new tool for the
genetic management of captive addax, and demonstrates that FTA cards can be a
useful means of sample storage, provided appropriate loci are used in downstream
analyses.
PMID- 21898578
TI - Violence risk assessment and women: predictive accuracy of the HCR-20 in a civil
psychiatric sample.
AB - Research to date has not adequately demonstrated whether the HCR-20 Violence Risk
Assessment Scheme (HCR-20; Webster, Douglas, Eaves, & Hart, 1997), a structured
violence risk assessment measure with a robust literature supporting its validity
in male samples, is a valid indicator of violence risk in women. This study
utilized data from the MacArthur Study of Mental Disorder and Violence to
retrospectively score an abbreviated version of HCR-20 in 827 civil psychiatric
patients. HCR-20 scores and predictive accuracy of community violence were
compared for men and women. Results suggested that the HCR-20 is slightly, but
not significantly, better for evaluating future risk for violence in men than in
women, although the magnitude of the gender differences was small and was largely
limited to historical factors. The results do not indicate that the HCR-20 needs
to be tailored for use in women or that it should not be used in women, but they
do highlight that the HCR-20 should be used cautiously and with full awareness of
its potential limitations in women.
PMID- 21898579
TI - A longitudinal examination of sex offender recidivism prior to and following the
implementation of SORN.
AB - The goals of the present study were to examine the recidivism rates of two
matched samples of sexual offenders, those released prior to and after sex
offender registration and notification (SORN) in New Jersey. The pre-SORN group
(1990-1994) included 247 offenders, while the post-SORN group (1996-2000)
included 248 offenders. The longitudinal analysis demonstrated that for sex
offenders released from prison both prior to and after implementation of SORN,
there are clearly two distinguishable groups of sex offenders in relation to
patterns of recidivism. More than three-quarters of sex offenders were identified
as at low risk of recidivism, with low rates of repeat criminal offenses. By
contrast, the high-risk group of offenders was not only more likely to commit
future criminal offenses, including sex offenses, but they were also more likely
to commit significantly more offenses and to do so fairly quickly following
release. Analyses also include an examination of the influence of demographics,
substance abuse and mental health issues, treatment history, sex offense incident
characteristics, and criminal history on recidivism. Finally, SORN status was not
a significant predictor of sex or general recidivism. The study limitations and
policy implications are discussed.
PMID- 21898580
TI - Biomechanical and histological analysis after tenotomy of the long head of the
biceps in the rabbit shoulder model.
AB - Tenotomy of the long head of the biceps tendon (LHBT) is gaining popularity in
shoulder surgery. We evaluated biomechanical and histological changes after
tenotomy in a rabbit LHBT tenotomy model to confirm that autotenodesis is a
phenomenon that occurs after the procedure. Twenty-three rabbits were included.
The right shoulder was harvested from 10 randomly selected rabbits. The shoulders
were tested to determine the pullout strength of LHBT at the bicipital groove
immediately after being tenotomized. The left shoulder of three of these rabbits
also underwent histological analysis. The other 13 rabbits underwent LHBT
tenotomy (tenotomy model). Six weeks post-operatively, 10 were assigned for
biomechanical study, and three underwent histological analysis. The pullout
strength and histology were compared with the immediate post-tenotomy data. The
pullout strength of the immediate post-tenotomy (5.53 +/- 2.22 N) was
significantly (p < 0.001) less than the pullout strength of the 6 weeks post
tenotomy model (44.07 +/- 7.75 N). On histological analysis, marked fibrosis was
noted around the LHBT at the bicipital groove in the 6 weeks post-tenotomy model.
Adhesion of the LHBT at the bicipital groove after tenotomy, which is called
"autotenodesis," is a definite phenomenon that could help the tendon resist
distal migration of the LHBT after tenotomy. These results support execution of
biceps tenotomy in shoulder surgery.
PMID- 21898581
TI - The response of the rabbit subsynovial connective tissue to a stress-relaxation
test.
AB - The subsynovial connective tissue (SSCT) in the carpal tunnel may play a role in
the etiology of carpal tunnel syndrome (CTS), yet the material properties of the
SSCT remain unclear. Thus, we investigated the mechanical response of the SSCT in
a rabbit model. Twenty-four rabbit cadaver paws were used for mechanical testing;
two paws were used for scanning electron microscopy (SEM) imaging. After testing
normal tendon excursion, the divided third digit flexor digitorum superficialis
(FDS) tendon was pulled to displacements of 2, 3.5, 5, or 8 mm, maintained at
that position until force decay, and then the process was repeated. Normal
excursion of the FDS averaged 4.8 mm. The ratio of the second peak force to the
first peak force in the 2 mm group was 0.98 (SD = 0.16), which was significantly
higher than the other groups (3.5 mm: 0.74, 5 mm, 0.63, and 8 mm: 0.59; p <
0.05). SEM showed ruptured fibrils in the displaced specimen. The declining force
ratio with displacements >2 mm suggests damage to the SSCT within the
physiological tendon excursion. These data may be useful in understanding SSCT
mechanics in CTS, which is associated with SSCT fibrosis.
PMID- 21898582
TI - Investigations of the origin of phase differences seen with ultrashort TE imaging
of short T2 meniscal tissue.
AB - Ultrashort echo time MRI requires specialized pulse sequences with nominal echo
times as low as a few microseconds to detect signals from the short T(2) tissues
frequently encountered in the musculoskeletal system. Usually, magnitude images
are reconstructed and these often show low tissue contrast. Ultrashort echo time
phase images of the meniscus show surprisingly high contrast despite their very
short echo time. In this article, we investigated the source of this contrast
using the Bloch equations, simulations, phantom experiments, and tissue studies.
Phase evolution was shown to occur in ultrashort echo time sequences during the
finite radiofrequency pulse and readout periods, and previously unrecognized
susceptibility differences between fiber groups were observed in the meniscus.
PMID- 21898583
TI - Interaction of hydrated protons with octyl-phenyl-N,N-diisobutylcarbamoylmethyl
phosphine oxide (CMPO): NMR and theoretical study.
AB - Interaction of octyl-phenyl-N,N-diisobutylcarbamoylmethylphosphine oxide (CMPO,
the 'classical' rare metal extraction agent) with fully ionized hydrated protons
(HP) was studied in acetonitrile-d(3) using (1)H, (13)C, (31)P NMR, PFG NMR and
magnetic relaxation. The experimental results were confronted with high-precision
ab initio DFT calculations. Relative chemical shifts of NMR signals of CMPO (0.01
mol/L) under the presence of HP in the molar ratio beta = 0-2.0 mol/mol show
binding between CMPO and HP. Self-diffusion measurements using (1)H PFG NMR
demonstrate that larger complexes with higher content of CMPO are generally
formed at beta < 0.75. Analyzing the collective dependence of (13)C and (31)P NMR
chemical shifts on beta by the use of program LETAGROP, we obtained very good
fitting for the assumed coexistence of two complexes (CMPO)(2).HP (C(2)) and
CMPO.HP (C(1)). The logarithms of the respective stabilization constants log K(i)
were found to be 7.518 (C(2)) and 4.581 (C(1)). The system dynamics was studied
by measuring the transverse (1)H NMR relaxation using CPMG sequence with varying
delays t(p) between the pi pulses in the mixtures with beta = 0.4-0.8. The
following exchange correlation times were obtained: tau(10) = 2.35 * 10(-5),
tau(20) = 0.82 * 10(-4), tau(21) = 0.45 * 10(-3) s. The DFT calculations support
the conclusion that the complexes C(1) and C(2) are the main species in the
mixtures of CMPO with HP. They also agree with the NMR and FTIR observation that
the main site to which H(3) O(+) is bound is the P=O group, whereas the amide
group does not form a strong bond with the ion when excess water molecules are
present.
PMID- 21898584
TI - Magnetic resonance analysis of capillary formation reaction front dynamics in
alginate gels.
AB - The formation of heterogeneous structures in biopolymer gels is of current
interest for biomedical applications and is of fundamental interest to
understanding the molecular level origins of structures generated from disordered
solutions by reactions. The cation-mediated physical gelation of alginate by
calcium and copper is analyzed using magnetic resonance measurements of spatially
resolved molecular dynamics during gel front propagation. Relaxation time and
pulse-field gradient methods are applied to determine the impact of ion front
motion on molecular translational dynamics. The formation of capillaries in
alginate copper gels is correlated to changes in translational dynamics.
PMID- 21898585
TI - Alkaloids from Hippeastrum morelianum Lem. (Amaryllidaceae).
AB - The Amaryllidaceae family has proven to be a rich source of active molecules. As
part of an ongoing project, we report a phytochemical study of Hippeastrum
morelianum (Amaryllidaceae), from which we have isolated two homolycorine-type
alkaloids, the new 2alpha,7-dimethoxyhomolycorine (1) and the poorly described
candimine (2), as well as six known alkaloids: tazettine, pretazettine, 3
epimacronine, haemanthamine, hamayne and trisphaeridine. For reference purposes,
the NMR of the isolated compounds was unequivocally described, based on 2D NMR
measurements including (1)H-(1)H COSY, (1)H-(1)H NOESY, HSQC and HMBC.
PMID- 21898586
TI - Structure elucidation of two new unusual monoterpene glycosides from Euphorbia
decipiens, by 1D and 2D NMR experiments.
AB - Two new unusual monoterpene glycosides, (Z)-3,6-dimethyl-3-(beta-D-O
glucosylmethylene)cyclohept-4-ene-1-one (1) and 3,6-dimethyl-3-(beta-D-O
glucosylmethylene)cycloheptanone (2) have been isolated along with five known
compounds, 3-hydroxy-4-methoxybenzoic acid, 6,7-dihydroxycoumarin, luteolin,
apigenin 5-O-alphal-L-rhamnoside, and pinocembrin-7-O-rutinoside from ethyl
acetate extract of Euphorbia decipiens. The structures of the isolated compounds
were elucidated by extensive 1D- and 2D-NMR, and mass spectroscopic analyses.
PMID- 21898587
TI - Formyl migration product of chanoclavine-I aldehyde in the presence of the old
yellow enzyme FgaOx3 from Aspergillus fumigatus: a NMR structure elucidation.
AB - A previous study showed that together with the festuclavine synthase FgaFS, the
old yellow enzyme FgaOx3 from Aspergillus fumigatus catalyzed the conversion of
chanoclavine-I aldehyde to festuclavine in the biosynthesis of ergot alkaloids.
In the absence of FgaFS, a mixture containing two compounds with a ratio of 7:3
was detected in the enzyme assay of FgaOx3. NMR experiments including (DQF)-COSY,
HSQC, HMBC and NOESY identified their structures as E/Z isomers of N-methyl-N
[(5R,10R)-10-(2-oxo-propyl)-2,4,5,10-tetrahydrobenzo[cd]indol-5-yl]formamide and
proved the migration of the formyl group at C-8 in chanoclavine I-aldehyde to N-6
in the identified products.
PMID- 21898588
TI - Lineage-committed osteoclast precursors circulate in blood and settle down into
bone.
AB - Osteoclasts are derived from the monocyte/macrophage lineage, but little is known
about osteoclast precursors in circulation. We previously showed that cell cycle
arrested quiescent osteoclast precursors (QOPs) were detected along bone surfaces
as direct osteoclast precursors. Here we show that receptor activator of NF
kappaB (RANK)-positive cells isolated from bone marrow and peripheral blood
possess characteristics of QOPs in mice. RANK-positive cells expressed c-Fms
(receptors of macrophage colony-stimulating factor) at various levels, but
scarcely expressed other monocyte/granulocyte markers. RANK-positive cells failed
to exert phagocytic and proliferating activities, and differentiated into
osteoclasts but not into dendritic cells. To identify circulating QOPs, collagen
disks containing bone morphogenetic protein-2 (BMP disks) were implanted into
mice, which were administered bromodeoxyuridine daily. Most nuclei of osteoclasts
detected in BMP-2-induced ectopic bone were bromodeoxyuridine-negative. RANK
positive cells in peripheral blood proliferated more slowly and had a much longer
lifespan than F4/80 (a macrophage marker)-positive macrophages. When BMP disks
and control disks were implanted in RANK ligand-deficient mice, RANK-positive
cells were observed in the BMP disks but not in the controls. F4/80-positive
cells were distributed in both disks. Administration of FYT720, a sphingosine 1
phosphate agonist, promoted the egress of RANK-positive cells from hematopoietic
tissues into bloodstream. These results suggest that lineage-determined QOPs
circulate in the blood and settle in the bone.
PMID- 21898589
TI - Heterogeneity of fracture pathogenesis in urban South African children: the birth
to twenty cohort.
AB - South African black children fracture less than white children. Differences in
bone mass, body composition, and physical activity may be contributing risk
factors. This study aimed to investigate the association between fracture
prevalence, bone mass, and physical activity in South African children. Using the
Bone Health cohort of the Birth to Twenty longitudinal study, we retrospectively
obtained information of lifetime fractures until age 15 years in 533 subjects.
Whole-body bone mineral content (BMC), bone area (BA), fat mass (FM), and lean
mass (LM) (measured by dual-energy X-ray absorptiometry [DXA]), anthropometric
data, physical activity scores, and skeletal maturity were obtained at ages 10
and 15 years. Nonfracturing black females were used as the control group and
comparisons were made between those who did and did not fracture within the same
sex and ethnic groups. Of the 533 subjects, 130 (24%) reported a fracture (black,
15%; white, 41.5%; p < 0.001). White males who fractured were significantly
taller (10 years, p < 0.01), more physically active (15 years, p < 0.05) and
had higher LM (10 years, p = 0.01; 15 years, p < 0.001), whereas white
females who fractured were fatter (10 and 15 years, p = 0.05 and p < 0.05,
respectively), than their nonfracturing peers. White males who fractured had
greater BA and BMC at all sites at 10 and 15 years compared to their
nonfracturing peers after adjusting for differences in height and weight; BA and
BMC were similar in each of the other sex and ethnic groups. No anthropometric or
bone mass differences were found between black children with and without
fractures. The factor associated with fractures in white males appears to be
participation in sports activities, while in white females obesity appears to
play a role. No contributing factors in black males and females were found, and
needs further elucidation.
PMID- 21898590
TI - Denosumab and changes in bone turnover markers during androgen deprivation
therapy for prostate cancer.
AB - Androgen deprivation therapy (ADT) for prostate cancer increases fracture risk,
decreases bone mineral density, and increases bone turnover markers (BTMs)
including serum type 1 C-telopeptide (sCTX), tartrate-resistant alkaline
phosphatase 5b (TRAP-5b), and procollagen-1 N-terminal telopeptide (P1NP). In a
prespecified exploratory analysis of a phase 3, multicenter, double-blind study,
we evaluated the effects of denosumab (60 mg subcutaneously every 6 months for 3
years) versus placebo (1468 patients, 734 in each group) on BTM values. BTMs were
measured at baseline, month 1, and predose at months 6, 12, 24, and 36 in the
overall population. BTMs at month 1 are also reported for subgroups based on age
(< 70 years versus >= 70 years), prior duration of ADT (<= 6 months versus > 6
months), and baseline BTM (<= median versus > median BTM values). Treatment
with denosumab provided a rapid and sustained decrease of BTM values compared
with placebo. The median change in sCTX levels at month 1 was -90% in the
denosumab group and -3% in the placebo group (p < 0.0001). The median change in
TRAP-5b levels at month 1 was -55% in the denosumab group and -3% in the placebo
group (p < 0.0001). The maximal median change in P1NP was -64% in the denosumab
group and -11% in the placebo group, (p < 0.0001). Significantly greater
decreases in BTM for denosumab were also seen in subgroup analyses based on age,
prior ADT treatment, and baseline BTM values. Suppression of bone turnover
markers was consistent with marked increases in bone mineral density reported
previously.
PMID- 21898591
TI - 1,25-dihydroxyvitamin D3 influences cellular homocysteine levels in murine
preosteoblastic MC3T3-E1 cells by direct regulation of cystathionine beta
synthase.
AB - High homocysteine (HCY) levels are a risk factor for osteoporotic fracture.
Furthermore, bone quality and strength are compromised by elevated HCY owing to
its negative impact on collagen maturation. HCY is cleared by cystathionine beta
synthase (CBS), the first enzyme in the transsulfuration pathway. CBS converts
HCY to cystathionine, thereby committing it to cysteine synthesis. A microarray
experiment on MC3T3-E1 murine preosteoblasts treated with 1,25-dihydroxyvitamin
D(3) [1,25(OH)(2) D(3) ] revealed a cluster of genes including the cbs gene, of
which the transcription was rapidly and strongly induced by 1,25(OH)(2) D(3) .
Quantitative real-time PCR and Western blot analysis confirmed higher levels of
cbs mRNA and protein after 1,25(OH)(2) D(3) treatment in murine and human cells.
Moreover, measurement of CBS enzyme activity and quantitative measurements of
HCY, cystathionine, and cysteine concentrations were consistent with elevated
transsulfuration activity in 1,25(OH)(2) D(3) -treated cells. The importance of a
functional vitamin D receptor (VDR) for transcriptional regulation of cbs was
shown in primary murine VDR knockout osteoblasts, in which upregulation of cbs in
response to 1,25(OH)(2) D(3) was abolished. Chromatin immunoprecipitation on chip
and transfection studies revealed a functional vitamin D response element in the
second intron of cbs. To further explore the potential clinical relevance of our
ex vivo findings, human data from the Longitudinal Aging Study Amsterdam
suggested a correlation between vitamin D status [25(OH)D(3) levels] and HCY
levels. In conclusion, this study showed that cbs is a primary 1,25(OH)(2) D(3)
target gene which renders HCY metabolism responsive to 1,25(OH)(2) D(3).
PMID- 21898593
TI - Crucial role of the cryptic epitope SLAYGLR within osteopontin in renal crystal
formation of mice.
AB - Osteopontin plays a crucial role in the formation of renal calcium crystals,
which are primarily induced by renal tubular cell injury, especially
mitochondrial damage. We have previously shown that the impaired Arg-Gly-Asp
(RGD) sequence of osteopontin inhibits renal crystal formation by using OPN
transgenic mice and OPN-knockout (OPN-KO) mice. Here, we investigated the effects
of an antimurine osteopontin antibody (35B6-Ab) that specifically reacts with the
(162) SLAYGLR(168) sequence, which is exposed by thrombin cleavage and is located
adjacent to the RGD sequence, on renal crystal formation. Renal crystals induced
by daily administration of glyoxylate over 9 days (from days 1 to 9) in a murine
model were sporadically detected in the renal tubular cells at the
corticomedullary junction, where thrombin-cleaved osteopontin expression was also
coincidentally detected. On days 0, 3, 6, and 9, 35B6-Ab administration inhibited
renal crystal formation and induced significant morphological changes in a dose
dependent manner (250, 500, and 1000 ug per mouse). Scanning electron microscopy
showed that the crystals in 35B6-Ab-treated mice were aberrantly formed and their
density was low; in contrast, the crystals in untreated mice that were not
administered 35B6-Ab had a radial pattern of growth (rosette petal-like
crystals), and their density was high. Microstructure analysis of renal tubular
cells by transmission electron microscopy revealed that untreated mice showed
collapsed mitochondria in the flattened cytoplasm of renal tubular cells, unlike
the corresponding structures in 35B6-Ab-treated mice, in which renal tubular cell
injury was inhibited. In vitro, 35B6-Ab was found to inhibit the attachment of
(14) C-labeled crystals to renal tubular culture cells and reduce morphological
damage to these cells. We conclude that thrombin-cleaved osteopontin plays an
important role in formation of renal calcium crystals and that 35B6-Ab
contributes to the remarkable inhibition of early-stage renal crystal formation
by preventing renal tubular cell injury and crystal-cell attachment.
PMID- 21898592
TI - Ubiquitination-deubiquitination balance dictates ligand-stimulated PTHR sorting.
AB - Parathyroid hormone receptors (PTHR) are promptly internalized upon stimulation
by activating (PTH[1-84], PTH[1-34]) and non-activating (PTH[7-84], PTH[7-34])
ligands. Here, we characterized the mechanism regulating the sorting of
internalized receptors between recycling and degradative pathways. PTHR recycles
faster after challenge with PTH(1-34) than with PTH(7-34). PTHR recycling is
complete by 2 h after PTH(1-34) stimulation, but incomplete at this time in cells
treated with PTH(7-34). The slower and incomplete recycling induced by PTH(7-34)
is due to proteasomal degradation. Both PTH(1-34) and PTH(7-34) induced PTHR
polyubiquitination. Ubiquitination by PTH(1-34) was transient, whereas receptor
ubiquitination after PTH(7-34) was sustained. PTH(1-34), but not PTH(7-34),
induced expression of the PTHR-specific deubiquitinating enzyme USP2.
Overexpression of USP2 prevented PTH(7-34)-induced PTHR degradation. We conclude
that PTH(1-34) promotes coupled PTHR ubiquitination and deubiquitination, whereas
PTH(7-34) activates only ubiquitination, thereby leading to PTHR downregulation.
These findings may explain PTH resistance in diseases associated with elevated
PTH(7-84) levels.
PMID- 21898594
TI - Breastfeeding protects against hip fracture in postmenopausal women: the Tromso
study.
AB - Despite reported bone loss during pregnancy and lactation, no study has shown
deleterious long-term effects of parity or breastfeeding. Studies have shown
higher bone mineral density and reduced risk for fracture in parous than in
nulliparous women or no effect of parity and breastfeeding, so long-term effects
are uncertain. We studied the effect of parity and breastfeeding on risk for hip,
wrist and non-vertebral fragility fractures (hip, wrist, or proximal humerus) in
4681 postmenopausal women aged 50 to 94 years in the Tromso Study from 1994-95 to
2010, using Cox's proportional hazard models. During 51 906 person-years, and a
median of 14.5 years follow-up, 442, 621, and 1105 of 4681 women suffered
incident hip, wrist, and fragility fractures, and the fracture rates were 7.8,
11.4, and 21.3 per 1000 person-years, respectively. The risk for hip, wrist, and
fragility fracture did not differ between parous (n = 4230, 90.4%) and
nulliparous women (n = 451, 9.6%). Compared with women who did not breast-feed
after birth (n = 184, 4.9%), those who breastfed (n = 3564, 95.1%) had 50% lower
risk for hip fracture (HR 0.50; 95% CI 0.32 to 0.78), and 27% lower risk for
fragility fracture (HR 0.73; 95% CI 0.54 to 0.99), but similar risk for wrist
fracture, after adjustment for age, BMI, height, physical activity, smoking, a
history of diabetes, previous fracture of hip or wrist, use of hormone
replacement therapy, and length of education. Each 10 months longer total
duration of breastfeeding reduced the age-adjusted risk for hip fracture by 12%
(HR 0.88; 95% CI 0.78 to 0.99, p for trend = 0.03) before, and marginally after,
adjustment for BMI and other covariates (HR 0.91; 95% CI 0.80 to 1.04). In
conclusion, this data indicates that pregnancy and breastfeeding has no long-term
deleterious effect on bone fragility and fractures, and that breastfeeding may
contribute to a reduced risk for hip fracture after menopause.
PMID- 21898595
TI - Biological constraints that limit compensation of a common skeletal trait variant
lead to inequivalence of tibial function among healthy young adults.
AB - Having a better understanding of how complex systems like bone compensate for the
natural variation in bone width to establish mechanical function will benefit
efforts to identify traits contributing to fracture risk. Using a collection of
pQCT images of the tibial diaphysis from 696 young adult women and men, we tested
the hypothesis that bone cells cannot surmount the nonlinear relationship between
bone width and whole bone stiffness to establish functional equivalence across a
healthy population. Intrinsic cellular constraints limited the degree of
compensation, leading to functional inequivalence relative to robustness, with
slender tibias being as much as two to three times less stiff relative to body
size compared with robust tibias. Using Path Analysis, we identified a network of
compensatory trait interactions that explained 79% of the variation in whole-bone
bending stiffness. Although slender tibias had significantly less cortical area
relative to body size compared with robust tibias, it was the limited range in
tissue modulus that was largely responsible for the functional inequivalence.
Bone cells coordinately modulated mineralization as well as the cortical porosity
associated with internal bone multicellular units (BMU)-based remodeling to
adjust tissue modulus to compensate for robustness. Although anecdotal evidence
suggests that functional inequivalence is tolerated under normal loading
conditions, our concern is that the functional deficit of slender tibias may
contribute to fracture susceptibility under extreme loading conditions, such as
intense exercise during military training or falls in the elderly. Thus, we show
the natural variation in bone robustness was associated with predictable
functional deficits that were attributable to cellular constraints limiting the
amount of compensation permissible in human long bone. Whether these cellular
constraints can be circumvented prophylactically to better equilibrate function
among individuals remains to be determined.
PMID- 21898596
TI - The Wilson films--bilateral postural tremor.
PMID- 21898597
TI - The interplay of cholinergic function, attention, and falls in Parkinson's
disease.
AB - Dopamine loss in the substantia nigra causes several of the motor signs seen in
Parkinson's disease, but there is now increasing evidence highlighting the
importance of cholinergic loss in the pathophysiology of nonmotor symptoms. The
nucleus basalis of Meynert supplies the majority of the cholinergic input to the
cerebral cortex, with the pedunculopontine nucleus providing many subcortical
structures with acetylcholine. Both these structures undergo degeneration in
Parkinson's disease (PD), with more severe loss associated with cognitive
impairment. The risk of dementia in PD is greater than that in control subjects,
with impairments in attention, visuospatial function, and executive control
dominating. Imaging studies have demonstrated degeneration of the cholinergic
system in PD, Parkinson's disease dementia, and dementia with Lewy bodies, with
improvements in attention seen following the introduction of cholinesterase
inhibitors. Conversely, anticholinergic drugs are associated with cognitive
decline, with neuropathology studies indicating the presence of increased
neurofibrillary tangles and senile plaque formation. In addition, these drugs are
also known to precipitate visual hallucinations, lending support to a cholinergic
basis for visual hallucinations in PD. Gait, falls, and cognition may also be
related, as evidenced by the findings that fallers perform less well on test of
attention than nonfallers and that greater postural instability is associated
with worse scores on attention and executive function. It is therefore feasible
that cognition (namely, attention), visual hallucinations, falls, and gait are
subserved by acetylcholine, and this is further explored in this clinically
orientated review.
PMID- 21898598
TI - Childhood treatment with psychotropic medication and development of comorbid
medical conditions in adolescent-onset bipolar disorder.
AB - OBJECTIVE: This study aims to investigate the association between early treatment
with psychotropic medications and the development of medical comorbidities in
pediatric patients who develop bipolar disorder (BD). METHODS: Data from the
South Carolina Medicaid program covering all medical services and medication
prescriptions between January 1996 and December 2005 were used to determine the
association between childhood exposure to psychotropic medications (i.e.,
psychostimulants, antidepressants, and antipsychotics) and the diagnosis of
select comorbid medical conditions in 1841 children and adolescents diagnosed
with Diagnostic and Statistical Manual IV defined BD. RESULTS: In separate
regressions controlling for all psychotropic medications prescribed and all
comorbid medical conditions diagnosed prior to the BD, hypertension and
cardiovascular disorders were more likely in those prescribed second generation
antipsychotics or psychostimulants, whereas obesity/overweight was more likely in
those taking serotonin norepinephrine reuptake inhibitor/heterocyclic
antidepressants, and asthma was more likely in those taking selective serotonin
reuptake inhibitors. CONCLUSION: Childhood cardiometabolic events appear to be
systematically associated with specific classes of psychotropic medications, but
no innate, developmental sequencing of cardiometabolic abnormalities was apparent
before early adolescence in patients subsequently diagnosed and treated for BD.
PMID- 21898599
TI - The relationships of 'ecstasy' (MDMA) and cannabis use to impaired executive
inhibition and access to semantic long-term memory.
AB - This study aimed to examine the relationship between the consumption of ecstasy
(3,4-methylenedioxymethamphetamine (MDMA)) and cannabis, and performance on the
random letter generation task which generates dependent variables drawing upon
executive inhibition and access to semantic long-term memory (LTM). The
participant group was a between-participant independent variable with users of
both ecstasy and cannabis (E/C group, n = 15), users of cannabis but not ecstasy
(CA group, n = 13) and controls with no exposure to these drugs (CO group, n =
12). Dependent variables measured violations of randomness: number of repeat
sequences, number of alphabetical sequences (both drawing upon inhibition) and
redundancy (drawing upon access to semantic LTM). E/C participants showed
significantly higher redundancy than CO participants but did not differ from CA
participants. There were no significant effects for the other dependent
variables. A regression model comprising intelligence measures and estimates of
ecstasy and cannabis consumption predicted redundancy scores, but only cannabis
consumption contributed significantly to this prediction. Impaired access to
semantic LTM may be related to cannabis consumption, although the involvement of
ecstasy and other stimulant drugs cannot be excluded here. Executive inhibitory
functioning, as measured by the random letter generation task, is unrelated to
ecstasy and cannabis consumption.
PMID- 21898600
TI - Posttraumatic stress hyperarousal symptoms mediate the relationship between
childhood exposure to violence and subsequent alcohol misuse in Mi'kmaq youth.
AB - This study was part of a school-based collaborative research project with a
Canadian Mi'kmaq community that examined the potential role of posttraumatic
stress (PTS) symptom clusters in mediating the relationship between childhood
exposure to violence (EV) and alcohol misuse in a sample of Mi'kmaq adolescents
(N = 166). The study employed a cross-sectional design and used several well
validated self-report questionnaires. Path analytic results showed that when each
PTS symptom cluster was independently investigated for mediating effects while
controlling for depressive symptoms, age, and gender, only the PTS hyperarousal
symptom cluster fully mediated the EV-alcohol misuse relationship. Results are
discussed within the context of previous theory and research on the topic of PTS
as a mediator between EV and alcohol misuse.
PMID- 21898601
TI - Sleep fears, sleep disturbance, and PTSD symptoms in minority youth exposed to
Hurricane Katrina.
AB - Posttraumatic stress disorder (PTSD) is common following the experience of a
natural disaster and sleep disturbance is an important influence on its course in
adults, but little research is available examining sleep and PTSD in youths. This
study's objective was to evaluate the role of sleep disturbance and the
developmentally influenced factor of fear of sleeping alone in the maintenance of
posttraumatic stress (PTS) symptoms in youths. Deidentified data of 191 Hurricane
Katrina survivors ages 8 to 15 were used in this study. We found cross-sectional
relationships of sleep disturbance and fear of sleeping alone with PTS symptom
severity. Longitudinal analysis also indicated that general sleep disturbance at
24 months (T1) was predictive of PTS symptoms severity at 30 months (T2) even
after adjusting for PTS symptom severity at T1, age, sex, and continued disrepair
to the home. These results have implications for intervention strategies among
youth exposed to traumatic events.
PMID- 21898603
TI - A resilience-oriented treatment for posttraumatic stress disorder: results of a
preliminary randomized clinical trial.
AB - This preliminary randomized trial examined the effect of a resilience-oriented
intervention for posttraumatic stress disorder (PTSD) versus a waitlist control
on anxiety and depressive symptoms, positive emotional health, and cognitive
performance in 39 veterans with a variety of traumatic exposures. From pre- to
posttreatment, the intervention but not the control group showed improvements
that were large in magnitude for affective symptoms and positive emotional health
(ds = 0.73-1.18), moderate in magnitude for memory (ds = 0.50-0.54), and small-to
moderate in magnitude for executive function (ds = 0.30-0.35). Findings suggest
that treatment explicitly targeting resilience resources (e.g., positive
emotional engagement, social connectedness) may provide broad benefits, including
alleviation of anxiety and depressive symptoms and improved positive emotional
and cognitive function.
PMID- 21898602
TI - Peritraumatic and trait dissociation differentiate police officers with resilient
versus symptomatic trajectories of posttraumatic stress symptoms.
AB - Research has consistently demonstrated that stress reactions to potentially
traumatic events do not represent a unified phenomenon. Instead, individuals tend
to cluster into prototypical response patterns over time including chronic
symptoms, recovery, and resilience. We examined heterogeneity in a posttraumatic
stress disorder (PTSD) symptom course in a sample of 178 active-duty police
officers following exposure to a life-threatening event using latent growth
mixture modeling (LGMM). This analysis revealed 3 discrete PTSD symptom
trajectories: resilient (88%), distressed-improving (10%), and distressed
worsening (2%). We further examined whether trait and peritraumatic dissociation
distinguished these symptom trajectories. Findings indicate that trait and
peritraumatic dissociation differentiated the resilient from the distressed
improving trajectory (trait, p < .05; peritraumatic, p < .001), but only
peritraumatic dissociation differentiated the resilient from the distressed
worsening trajectory (p < .001). It is essential to explore heterogeneity in
symptom course and its predictors among active-duty police officers, a repeatedly
exposed group. These findings suggest that police officers may be a highly
resilient group overall. Furthermore, though there is abundant evidence that
dissociation has a positive linear relationship with PTSD symptoms, this study
demonstrates that degree of dissociation can distinguish between resilient and
symptomatic groups of individuals.
PMID- 21898604
TI - Motor nerve lengths of twenty-seven muscles in upper extremity.
AB - The purpose of this study is to determine the lengths of motor nerves in the
upper extremity. Motor nerves of 27 muscles in 10 cadavers (16 extremities) were
dissected from their roots at the level of intervertebral foramen to the entry
point of the nerves to the corresponding muscles. Distance between acromion and
the lateral epicondyle of the humerus was also measured in all cadavers. Nerve
length of the coracobrachialis muscle was the shortest (18.26 +/- 1.64 cm), while
the longest was the nerve of the extensor indicis (59.51 +/- 4.80 cm). The biceps
brachii, the extensor digitorum communis, and the brachialis muscles showed
highest coefficient of variation that makes these nerve lengths of muscles
inconsistent about their lengths. This study also offers quotients using division
of the lengths of each nerve to acromion-the lateral epicondyle distance.
Knowledge of the nerve lengths in the upper extremity may provide a better
understanding the reinnervation sequence and the recovery time in the multilevel
injuries such as brachial plexus lesions. Quotients may be used to estimate
average lengths of nerves of upper extremity in infants and children. Moreover,
reliability of the biceps brachii as a determinant factor for surgery in
obstetrical brachial plexus lesions should be reconsidered due to its highest
variation coefficient.
PMID- 21898605
TI - Electrical and mechanical anharmonicities from NIR-VCD spectra of compounds
exhibiting axial and planar chirality: the cases of (S)-2,3-pentadiene and methyl
d(3) (R)- and (S)-[2.2]paracyclophane-4-carboxylate.
AB - The IR and Near infrared (NIR) vibrational circular dichroism (VCD) spectra of
molecules endowed with noncentral chirality have been investigated. Data for
fundamental, first, and second overtone regions of (S)-2,3-pentadiene, exhibiting
axial chirality, and methyl-d(3) (R)- and (S)-[2.2]paracyclophane-4-carboxylate,
exhibiting planar chirality have been measured and analyzed. The analysis of NIR
and IR VCD spectra was based on the local-mode model and the use of density
functional theory (DFT), providing mechanical and electrical anharmonic terms for
all CH-bonds. The comparison of experimental and calculated spectra is
satisfactory and allows one to monitor fine details in the asymmetric charge
distribution in the molecules: these details consist in the harmonic frequencies,
in the principal anharmonicity constants, in both the atomic polar and axial
tensors and in their first and second derivatives with respect to the CH
stretching coordinates.
PMID- 21898606
TI - Choline-derivate-modified nanoparticles for brain-targeting gene delivery.
PMID- 21898607
TI - Novel benzo[1,2-b:4,5-b']dithiophene-benzothiadiazole derivatives with variable
side chains for high-performance solar cells.
PMID- 21898609
TI - Complementary metal oxide semiconductor technology with and on paper.
PMID- 21898608
TI - Hydride formation in single palladium and magnesium nanoparticles studied by
nanoplasmonic dark-field scattering spectroscopy.
PMID- 21898610
TI - Stereoselective and diversity-oriented synthesis of trisubstituted allylic
alcohols and amines.
AB - Stereoselective and diversity-oriented synthesis of trisubstituted olefins was
achieved by using ortho-diphenylphosphanyl benzoate (o-DPPB) as a directing group
for allylic substitution. The starting point of this methodology was a set of
alpha-methylene aldehydes derived from Baylis-Hillman adducts. Subsequent
addition of different organometallic reagents led to a variety of allylic alcohol
substrates. After introduction of the reagent-directing o-DPPB group, copper
mediated allylic substitution with a wide range of Grignard reagents enabled the
stereoselective construction of a large number of E-configured trisubstituted
allylic alcohols and amines in excellent yields and stereoselectivities.
Remarkable is the synthetic flexibility, which allows a wide range of
permutations starting from an aldehyde followed by successive introduction of the
substituents R(2) and R(3) from organometallic Grignard based reagents. Thus,
starting from only a few precursors, a diversity-oriented synthesis of
stereodefined trisubstituted allylic alcohols and amines becomes possible.
PMID- 21898611
TI - Bond stretching and redox behavior in coinage metal complexes of the
dichalcogenide dianions [(SPh2P)2CEEC(PPh2S)2]2- (E=S, Se): diradical character
of the dinuclear copper(I) complex (E=S).
AB - The metathetical reactions of a) [Li(tmeda)](2)[(S)C(PPh(2)S)(2)] (Li(2).3c) with
CuCl(2) and b) [Li(tmeda)](2)[(SPh(2)P)(2)CSSC(PPh(2)S)(2)] (Li(2).4c) with two
equivalents of CuCl both afford the binuclear Cu(I) complex
{Cu(2)[(SPh(2)P)(2)CSSC(PPh(2)S)(2)]} (5c). The elongated (C)S-S(C) bond (ca.
2.54 and 2.72 A) of the dianionic ligand observed in the solid-state structure of
5c indicate the presence of diradical character as supported by theoretical
analyses. The treatment of [Li(tmeda)](2)[(SPh(2)P)(2)CSeSeC(PPh(2)S)(2)]
(Li(2).4b) and Li(2).4c with AgOSO(2)CF(3) produce the analogous Ag(I)
derivatives, {Ag(2)[(SPh(2)P)(2)CEEC(PPh(2)S)(2)]} (6b, E=Se; 6c, E=S),
respectively. The diselenide complex 6b exhibits notably weaker Ag-Se(C) bonds
than the corresponding contacts in the Cu(I) congeners, and the (31)P NMR data
suggest a possible isomerization in solution. In contrast to the metathesis
observed for Cu(I) and Ag(I) reagents, the reactions of Li(2).4b and Li(2).4c
with Au(CO)Cl involve a redox process in which the dimeric dichalcogenide ligands
are reduced to the corresponding monomeric dianions, [(E)C(PPh(2)S)(2)](2-) (3b,
E=Se; 3c, E=S), and one of the gold centers is oxidized to generate the mixed
valent Au(I)/Au(III) complexes, {Au[(E)C(PPh(2)S)(2)]}(2) (7b, E=Se; 7c, E=S),
with relatively strong aurophilic Au(I)...Au(III) interactions. The new compounds
5c, 6b,c and 7b,c are characterized in solution by NMR spectroscopy and in the
solid state by X-ray crystallography (5c, 6b, 7b and 7c) and by Raman
spectroscopy (5c and 6c). The UV-visible spectra of coinage metal complexes of
the type 5, 6 and 7 are discussed in the light of results from theoretical
analyses using time-dependent density functional theory.
PMID- 21898612
TI - The "missing link": the gas-phase generation of platinum-methylidyne clusters
Pt(n)CH+ (n=1, 2) and their reactions with hydrocarbons and ammonia.
AB - Electrospray ionization (ESI) of tetrameric platinum(II) acetate,
[Pt(4)(CH(3)COO)(8)], in methanol generates the formal platinum(III) dimeric
cation [Pt(2)(CH(3)COO)(3)(CH(2)COO)(MeOH)(2)](+), which, upon harsher ionization
conditions, sequentially loses the two methanol ligands, CO(2), and CH(2)COO to
form the platinum(II) dimer [Pt(2)(CH(3)COO)(2)(CH(3))](+). Next, intramolecular
sequential double hydrogen-atom transfer from the methyl group concomitant with
the elimination of two acetic acid molecules produces Pt(2)CH(+) from which, upon
even harsher conditions, PtCH(+) is eventually generated. This degradation
sequence is supported by collision-induced dissociation (CID) experiments,
extensive isotope-labeling studies, and DFT calculations. Both PtCH(+) and
Pt(2)CH(+) react under thermal conditions with the hydrocarbons C(2)H(n) (n=2, 4,
6) and C(3)H(n) (n=6, 8). While, in ion-molecule reactions of PtCH(+) with C(2)
hydrocarbons, the relative rates decrease with increasing n, the opposite trend
holds true for Pt(2)CH(+). The Pt(2)CH(+) cluster only sluggishly reacts with
C(2)H(2), but with C(2)H(4) and C(2)H(6) dihydrogen loss dominates. The reactions
with the latter two substrates were preceded by a complete exchange of all of the
hydrogen atoms present in the adduct complex. The PtCH(+) ion is much less
selective. In the reactions with C(2)H(2) and C(2)H(4), elimination of H(2)
occurs; however, CH(4) formation prevails in the decomposition of the adduct
complex that is formed with C(2)H(6). In the reaction with C(2)H(2), in addition
to H(2) loss, C(3)H(3)(+) is produced, and this process formally corresponds to
the transfer of the cationic methylidyne unit CH(+) to C(2)H(2), accompanied by
the release of neutral Pt. In the ion-molecule reactions with the C(3)
hydrocarbons C(3)H(6) and C(3)H(8), dihydrogen loss occurs with high selectivity
for Pt(2)CH(+), but in the reactions of these substrates with PtCH(+) several
reaction routes compete. Finally, in the ion-molecule reactions with ammonia,
both platinum complexes give rise to proton transfer to produce NH(4)(+);
however, only the encounter complex generated with PtCH(+) undergoes efficient
dehydrogenation of the substrate, and the rather minor formation of CNH(4)(+)
indicates that C-N bond coupling is inefficient.
PMID- 21898613
TI - On the determination of the stereochemistry of semisynthetic natural product
analogues using chiroptical spectroscopy: desulfurization of
epidithiodioxopiperazine fungal metabolites.
AB - Isolation and semisynthetic modification of the fungal metabolite chaetocin gave
access to a desulfurized analogue of this natural product. Detailed chiroptical
studies, comparing experimentally obtained optical rotation values, electronic
circular dichroism spectra, and vibrational circular dichroism spectra to
computationally simulated ones, reveal the desulfurization of chaetocin to
unambiguously proceed with retention of configuration. Consideration of the
plausible mechanisms for this process highlighted inconsistencies in the
stereochemical assignment of related molecules in the literature. This in turn
allowed the stereochemical reassignment of the natural product analogue
dethiodehydrogliotoxin.
PMID- 21898614
TI - Photoinduced multielectron transfer to a multicopper oxidase resulting in
dioxygen reduction into water.
PMID- 21898615
TI - Modifying cage structures in metal-organic polyhedral frameworks for H2 storage.
AB - Three isostructural metal-organic polyhedral cage based frameworks (denoted NOTT
113, NOTT-114 and NOTT-115) with (3,24)-connected topology have been synthesised
by combining hexacarboxylate isophthalate linkers with {Cu(2)(RCOO)(4)}
paddlewheels. All three frameworks have the same cuboctahedral cage structure
constructed from 24 isophthalates from the ligands and 12 {Cu(2)(RCOO)(4)}
paddlewheel moieties. The frameworks differ only in the functionality of the
central core of the hexacarboxylate ligands with trimethylphenyl, phenylamine and
triphenylamine moieties in NOTT-113, NOTT-114 and NOTT-115, respectively.
Exchange of pore solvent with acetone followed by heating affords the
corresponding desolvated framework materials, which show high BET surface areas
of 2970, 3424 and 3394 m(2) g(-1) for NOTT-113, NOTT-114 and NOTT-115,
respectively. Desolvated NOTT-113 and NOTT-114 show high total H(2) adsorption
capacities of 6.7 and 6.8 wt%, respectively, at 77 K and 60 bar. Desolvated NOTT
115 has a significantly higher total H(2) uptake of 7.5 wt% under the same
conditions. Analysis of the heats of adsorption (Q(st)) for H(2) reveals that
with a triphenylamine moiety in the cage wall, desolvated NOTT-115 shows the
highest value of Q(st) for these three materials, indicating that
functionalisation of the cage walls with more aromatic rings can enhance the
H(2)/framework interactions. In contrast, measurement of Q(st) reveals that the
amine-substituted trisalkynylbenzene core used in NOTT-114 gives a notably lower
H(2)/framework binding energy.
PMID- 21898616
TI - Facing the gem-dialkyl effect in enzyme inhibitor design: preparation of
homocycloleucine-based azadipeptide nitriles.
PMID- 21898617
TI - Near-infrared fluorescent nanoparticles formed by self-assembly of lipidic
(Bodipy) dyes.
PMID- 21898618
TI - Boron/nitrogen substitution of the central carbon atoms of the biphenalenyl
diradical pi dimer: a novel 2e-12c bond and large NLO responses.
AB - On the basis of the famous staggered biphenalenyl diradical pi dimer 1, the
eclipsed biphenalenyl (1a), with no centrosymmetry, was obtained by rotating a
layer of 1 by 60 degrees around its central axis. Furthermore, the central
carbon atoms of 1 and 1a were substituted by boron and nitrogen atoms to form 2
and 2a with a novel 2e-12c bond. We found that the novel 2e-12c bond is formed by
the electron pair of the occupied orbital of the phenalenyl monomer substituted
by the nitrogen atom and the unoccupied orbital of the phenalenyl monomer
substituted by the boron atom. As a result of the novel 2e-12c bond, 2 and 2a
exhibit a fascinating interlayer charge-transfer transition character, which
results in a significant difference in the dipole moments (DeltaMU) between the
ground state and the crucial excited state. The values of DeltaMU for 2 and 2a
are 6.4315 and 6.9253 Debye, clearly larger than the values of 0 and 0.0015 Debye
for 1 and 1a. Significantly, the boron/nitrogen substitution effect can greatly
enhance the first hyperpolarizabilities (beta(0) ) of 2 and 2a with a novel 2e
12c bond compared with 1 and 1a with a traditional 2e-12c bond: 0 and 19 a.u. for
1 and 1a are much lower than 3516 and 12272 a.u. for 2 and 2a. Furthermore, the
interaction energies (E(int) )of 2 and 2a are larger than those of 1 and 1a,
which could be considered as a signature of reliability for the newly designed
dimers. Our present work will be beneficial for further theoretical and
experimental studies on the properties of molecules with the novel 2e-12c bond.
PMID- 21898619
TI - Persistent hydrogen-bonded and non-hydrogen-bonded phenoxyl radicals.
AB - The production of stable phenoxyl radicals is undoubtedly a synthetic chemical
challenge. Yet it is a useful way to gain information on the properties of the
biological tyrosyl radicals. Recently, several persistent phenoxyl radicals have
been reported, but only limited synthetic variations could be achieved. Herein,
we show that the amide-o-substituted phenoxyl radical (i.e. with a salicylamide
backbone) can be synthesised in a stable manner, thereby permitting easy
synthetic modifications to be made through the amide bond. To study the effect of
H-bonding on the properties of the phenolate/phenoxyl radical redox couple,
simple H-bonded and non-H-bonded o,p-tBu-protected salicylamidate compounds have
been prepared. Their redox properties were examined by cyclic voltammetry and
showed a fully reversible one-electron oxidation process to the corresponding
phenoxyl radical species. Remarkably, the redox potential appears to be
correlated, at least partially, with H-bond strength, as relatively large
differences (ca. 300 mV) in the redox potential between H-bonded and non-H-bonded
phenolate salts are observed. The corresponding phenoxyl radicals produced
electrochemically are persistent at room temperature for at least an hour; their
UV/Vis and EPR characterisation is consistent with that of phenoxyl radicals,
which makes them excellent models of biological tyrosyl radicals. The analyses of
the experimental data coupled with theoretical calculations indicate that both
the deviation from planarity of the amide function and intramolecular H-bonding
influence the oxidation potential of the phenolate. The latter H-bonding effect
appears to be predominantly exerted on the phenolate and not (or only a little)
on the phenoxyl radical. Thus, in these systems the H-bonding energy involved in
the phenoxyl radical appears to be relatively small.
PMID- 21898620
TI - High-performance separation of fullerenes on metal-organic framework MIL-101(Cr).
PMID- 21898621
TI - BINAP versus BINAP(O) in asymmetric intermolecular Mizoroki-Heck reactions:
substantial effects on selectivities.
AB - 2,2'-Bis(diphenylphosphino)-1,1'-binaphthyl (BINAP) was employed as chiral ligand
in the enantioselective intermolecular Mizoroki-Heck reaction, whereas the use of
cognate BINAP(O) (monooxidized BINAP) is unprecedented. The regio- and
enantioselectivity of the arylation of representative cyclic alkenes changes
dramatically in the presence of hemilabile BINAP(O) instead of BINAP. The
arylation of 2,3-dihydrofuran is perfectly regiodivergent (98:2 versus 0:100) and
the arylation of cyclopentene is only enantioselective with BINAP(O) [60 versus
10% enantiomeric excess (ee)]. Use of [Pd(2)(dba)(3)]?dba
(dba=dibenzylideneacetone) instead of Pd(OAc)(2) produces as high as 86% ee in
the arylation of cyclopentene.
PMID- 21898622
TI - In search of oligo(2-thienyl)-substituted pyridine derivatives: a modular
approach to di-, tri- and tetra(2-thienyl)pyridines.
AB - Herein, we describe our attempts to systematically prepare a series of oligo(2
thienyl)-substituted pyridine derivatives. The crucial starting material, a beta
alkoxy-beta-ketoenamide, is easily available on a large scale by the reaction of
lithiated methoxyallene with thiophene-2-carbonitrile and thiophene-2-carboxylic
acid. This three-component reaction is followed by intramolecular cyclization to
yield the suitably functionalized 2,6-di(2-thienyl)-substituted pyridine
derivates. The two oxygen atoms allow the programmed activation of positions C-3,
C-4, or C-5 of the pyridine ring to perform palladium-catalyzed coupling
reactions with thiophene-2-boronic acid or 2-(tributylstannyl)thiophene, and
alternatively, reductive removal of groups. With this concept, we were able to
prepare five pyridine derivatives with 2-thienyl substituents in the 2,6-, 2,3,6
, 2,4,6-, 2,3,4,6-, and 2,3,5,6-positions. 2,3,4,5,6-Penta(2-thienyl)pyridine was
not available with our methods. The UV/Vis and fluorescence spectra of all
pyridines were recorded and showed a dependence on the substitution pattern and
protonation state. For the protonated 2,3,5,6-tetra(2-thienyl)-substituted
pyridine, a Stokes shift of about 180 nm with an emission at 515 nm was observed.
PMID- 21898623
TI - Surface organobarium and organomagnesium chemistry on periodic mesoporous silica
MCM-41: convergent and sequential approaches traced by molecular models.
AB - The alkaline earth metal alkyl complexes [Ba(AlEt(4))(2)](n) and Mg(AlMe(4))(2)
were directly grafted onto periodic mesoporous silica MCM-41, which had been
dehydroxylated at 270 degrees C (specific surface area a(s): 1023 m(2) g(-1);
pore volume V(p): 1.08 cm(3) g(-1); main pore diameter 3.4 nm). Alternatively,
barium alkyl surface species were generated by sequential grafting of MCM-41 with
Ba[N(SiHMe(2))(2)](2)(thf)(4) and AlEt(3) to yield the hybrid material
AlEt(3)@Ba[N(SiHMe(2))(2)](2)(thf)(4)@MCM-41. For a better understanding of the
surface chemistry, AlEt(3)@MCM-41 was also accessed. All hybrid materials were
analyzed by diffuse reflectance infrared Fourier transform (DRIFT) spectroscopy,
elemental analysis, nitrogen physisorption, and solid-state NMR spectroscopy;
this clearly revealed distinct surface chemistry for the alkylaluminate-treated
materials [Ba(AlEt(4))(2)]@MCM-41 and Mg(AlMe(4))(2)@MCM-41. In an attempt to
mimic the surface chemistry, the organometallic precursors were treated with
HOSi(OtBu)(3). The reaction of equimolar amounts of {Ba[N(SiHMe(2))(2)](2)}(n)
and HOSi(OtBu)(3) produced a mixed silylamido/siloxide cluster of
Ba(3)[OSi(OtBu)(3)](3)[N(SiHMe(2))(2)](3) with bridging-only siloxide ligands as
well as one bridging and two terminal silylamido ligands. The Schlenk equilibrium
was found to govern the [Ba(AlEt(4))(2)](n)-HOSi(OtBu)(3) and Mg(AlMe(4))(2)
HOSi(OtBu)(3) reactions, leading to the isolation of complexes of [Ba(AlEt(4))(2)
(toluene)](2) and Mg[OSi(OtBu)(3))](2)(AlMe(3))(2), respectively. Allowing for a
donor-induced cleavage of Mg(AlMe(4))(2), the reaction of [MgMe(2)] with one or
two equivalents of HOSi(OtBu)(3) was studied. While putative Mg[OSi(OtBu)(3)](Me)
and Mg[OSi(OtBu)(3)](2) could not be crystallized from the reaction mixtures,
cluster complexes Mg(5)(O)[OSi(OtBu)(3)](5)Me(3) and
Mg(4)(OH)(2)[OSi(OtBu)(3)](6) could be unambiguously identified by X-ray
crystallography.
PMID- 21898624
TI - New energetic polynitro cyclic esters: ammonium, hydrazinium, and hydroxylammonim
salts of polynitramines.
AB - Reaction of 2,2-dinitro-1,3-propanediol (1) with oxalyl dichloride or malonyl
dichloride in refluxing ether led to the formation of cyclic dinitro-containing
esters (2, 3) in very good yields. Compounds 2 and 3 were also isolated in
similar yields by the treatment of 1 with oxalic acid or malonic acid in
trifluoroacetic anhydride at room temperature. Nitration of 3 with fuming nitric
acid resulted in the corresponding trinitro cyclic ester 4 in 70% isolated yield.
Treatment of 1 with a large excess of methanolic ammonia gave impure 2,2-dinitro
1,3-diaminopropane (5). Polynitraamines, 7 and 11, were treated with aqueous
ammonia, hydrazine monohydrate or hydroxylamine in methanol at room temperature
to obtain their corresponding salts 8-10 and 12-14, respectively, in excellent
isolated yields. All new compounds were characterized by IR, NMR spectroscopy
((1)H, (13)C, (15)N), DSC, and elemental analysis. Their energetic properties,
such as impact sensitivity, detonation velocity, and detonation pressure were
also determined and compared with existing energetic compounds, such as PETN
(pentaerythritol tetranitrate), RDX (1,3,5-trinitro-1,3,5-triazacyclohexane), and
TNT (trinitrotoluene).
PMID- 21898625
TI - Carbon-sulfur bond formation of challenging substrates at low temperature by
using Pd-PEPPSI-IPent.
PMID- 21898626
TI - The catalytic asymmetric Diels-Alder reactions and post-cycloaddition reductive
transpositions of 1-hydrazinodienes.
PMID- 21898627
TI - Monitoring of phenolic compounds for the quality control of Melissa officinalis
products by capillary electrophoresis.
AB - INTRODUCTION: Official assays for the quality control of Melissa officinalis L.
(Lamiaceae) leaves establish the quantification of total hydroxycinnamic
derivatives expressed as rosmarinic acid. OBJECTIVE: The goal of this work was to
develop a simple, fast and reliable method for monitoring the phenolic
composition in herbs from the Lamiaceae family and for rapidly detecting M.
officinalis adulteration or substitution in commercial medicinal samples in
Argentina. METHODOLOGY: A capillary zone electrophoresis (CZE) method was
performed under the following conditions: the background electrolyte (BGE)
consisted of 20 m m sodium tetraborate buffer, pH 9.2; the applied voltage was 25
kV; the capillary and sample temperatures were kept at 25 degrees C; the
hydrodynamic mode was selected for the sample injection (3.45 kPa during 5 s).
RESULTS: A CZE method that achieved the separation and simultaneous determination
of eight related phenolic compounds in less than 11 min was optimised for
application to control quality analysis of M. officinalis-based products. The
method was validated according to the US Federal Drug Agency requirements and
offers advantages in terms of analysis time, cost and operation. CONCLUSIONS: The
proposed methodology can be applied to the standardisation and quality control of
plant material and phytopharmaceutical products derived from the Lamiaceae
family, as indicated by the results obtained in the analysis of commercial
medicinal products in Argentina.
PMID- 21898628
TI - A comparative study of matrix- and nano-assisted laser desorption/ionisation time
of-flight mass spectrometry of isolated and synthetic lignin.
AB - INTRODUCTION: Lignin is the second most abundant biopolymer next to cellulose.
However, because of the complexity of the heterogeneous macromolecules, it is
difficult to elucidate the polymeric structures of lignin by conventional
analytical methods. OBJECTIVE: To obtain the detailed structures of lignin, we
comparatively applied nano-assisted laser desorption/ionisation time-of-flight
mass spectrometry (NALDI-TOF MS) and matrix-assisted laser desorption/ionisation
time-of-flight mass spectrometry (MALDI-TOF MS). METHODOLOGY: Synthetic lignin
from coniferyl alcohol and an isolated lignin from Pinus densiflora were
subjected to NALDI- and MALDI-TOF MS. RESULTS: We first obtained NALDI-TOF MS of
synthetic and isolated lignin. Mass increments of 178 and 196 Da were observed in
NALDI- and MALDI-TOF mass spectra of the synthetic and isolated lignin. The mass
intervals indicated that radical coupling forming beta-O-4 bonds is the major
pathway. Peaks in the low molecular mass region between m/z 500 and 800 were
observed more extensively using NALDI-TOF MS than MALDI-TOF MS, which enabled
detailed analysis of the interunit linkages in lignin. CONCLUSION: Owing to the
ionisation profile differentiation from MALDI-TOF MS, NALDI-TOF MS is useful for
the structural analysis of lignin.
PMID- 21898629
TI - HPLC determination of flavonoid glycosides in Mongolian Dianthus versicolor
Fisch. (Caryophyllaceae) compared with quantification by UV spectrophotometry.
AB - INTRODUCTION: Dianthus versicolor is used in traditional Mongolian medicine
against liver impairment. Fractions enriched in flavone-di- and triglycosides
were shown to enhance bile secretion. Therefore, reliable and accurate analytical
methods are needed for the determination of these flavonoids in the crude drug
and extracts thereof. OBJECTIVE: To provide a validated HPLC-DAD (diode array
detector) method especially developed for the separation of polar flavonoids and
to compare the data obtained with those evaluated by UV spectrophotometry.
METHODOLOGY: Separations were carried out on an Aquasil(r) C18-column (4.6 mm *
250.0 mm, 5 um) with a linear gradient of acetonitrile and water (adjusted to pH
2.8 with trifluoroacetic acid) as mobile phase. Rutoside was employed as internal
standard with linear behavior in a concentration range of 0.007-3.5 mg/mL.
Accuracy was determined by spiking the crude drug with saponarin resulting in
recoveries between 92% and 102%. RESULTS: The method allows the quantification of
highly polar flavonoid glycosides and the determination of their total content.
For saponarin a linear response was evaluated within the range 0.007-3.5 mg/mL
(R2 > 0.9999). It was proven that threefold sonication represents a time-saving,
effective and cheap method for the extraction of the polar flavonoid glycosides.
The contents determined by HPLC were shown to be in agreement with those obtained
employing UV spectrophotometry. CONCLUSION: The study has indicated that the
newly developed HPLC method represents a powerful technique for the quality
control of D. versicolor. Ultraviolet spectrophotometry may be used alternatively
provided that the less polar flavonoids are removed by purification.
PMID- 21898631
TI - Fetal growth restriction and developmental delay: current understanding and
future possibilities.
PMID- 21898630
TI - The use of coupled HSQC spectra to aid in stereochemical assignments of molecules
with severe proton spectral overlap.
AB - INTRODUCTION: A simple glycoside with only 13 carbons exhibited extensive
overlapping of four of the glycosidic protons, causing extreme difficulty in the
determination of the stereochemistry of the pyranose unit. However, acquisition
of a high-resolution coupled heteronuclear single-quantum coherence (HSQC)
spectrum overcame this problem. This spectrum provides a useful method for
determining vicinal proton coupling constants between strongly coupled protons.
OBJECTIVE: To show the potential of high-resolution coupled HSQC spectra in
overcoming spectral overlap. METHODOLOGY: The sample was obtained by methanol
extraction, followed by fractionation and column chromatography of the dried
leaves of Montrichardia arborescens (Araceae). NMR spectra were obtained on 1.5
mg of sample dissolved in 120 MUL of CD3OD containing 0.1% trimethylsilyl (TMS)
as internal standard. A gradient-selected HSQC spectrum was obtained using
standard Varian library pulse sequences in phase sensitive mode. The high
resolution coupled HSQC spectrum focused on the saccharide region with a 1025 Hz
1H spectral window, a 6300 Hz 13C spectral window, 1024 data points, a 0.3 Hz
relaxation delay, 384 time increments (linear predicted to 4096), and 80 scans
per time increment. RESULTS: The use of a high-resolution coupled HSQC spectrum
allowed determination of the coupling patterns of the various pyranose protons
with sufficient accuracy. This enabled completion of the assignments and
identification of the pyranose unit as glucose. CONCLUSION: The study has shown
the effectiveness of the use of a high-resolution coupled HSQC spectrum in the
assignment of molecules with severe spectral overlap.
PMID- 21898632
TI - Ultrasound scanning of the pelvis and abdomen for staging of gynecological
tumors: a review.
AB - This Review documents examination techniques, sonographic features and clinical
considerations in ultrasound assessment of gynecological tumors. The methodology
of gynecological cancer staging, including assessment of local tumor extent,
lymph nodes and distant metastases, is described. With increased technical
quality, sonography has become an accurate staging method for early and advanced
gynecological tumors. Other complementary imaging techniques, such as computed
tomography and magnetic resonance imaging, can be used as an adjunct to
ultrasound in specific cases, but are not essential to tumor staging if
sonography is performed by a specialist in gynecological oncology. Ultrasound is
established as the method of choice for evaluating local extent of endometrial
cancer and is the most important imaging method for the differential diagnosis of
benign and malignant ovarian tumors. Ultrasound can be used to detect early as
well as locally advanced cancers that extend from the vagina, cervix or other
locations to the paracolpium, parametria, rectum and sigmoid colon, urinary
bladder and other adjacent organs or structures. In cases of ureteric
involvement, ultrasound is also helpful in locating the site of obstruction.
Furthermore, it is specific for the detection of extrapelvic tumor spread to the
abdominal cavity in the form of parietal or visceral carcinomatosis, omental
and/or mesenteric infiltration. Ultrasound can be used to assess changes in
infiltrated lymph nodes, including demonstration of characteristic
sonomorphologic and vascular patterns. Vascular patterns are particularly well
visualized in peripheral nodes using high resolution linear array probes or in
the pelvis using high-frequency probes. The presence of peripheral or mixed
vascularity or displacement of vessels seems to be the sole criterion in the
diagnosis of metastatic or lymphomatous nodes. In the investigation of distant
metastases, if a normal visceral organ or characteristic diffuse or focal lesions
(such as a simple cyst, hepatic hemangioma, renal angiomyolipoma, fatty liver
(steatosis)) are identified on ultrasound, additional examinations using
complementary imaging methods are not required. If, however, less characteristic
findings are encountered, especially when the examination result radically
affects subsequent therapeutic management, an additional examination using a
complementary imaging method (e.g. contrast-enhanced ultrasound, computed
tomography, magnetic resonance imaging, positron emission tomography) is
indicated.
PMID- 21898633
TI - Does childbirth alter the reflex pelvic floor response to coughing?
AB - OBJECTIVE: To determine the prevalence of and to quantify the effect of reflex
pelvic floor activation on coughing in nulliparous pregnant women, and to assess
peripartal changes and any association with stress urinary incontinence. METHODS:
Between April 2008 and March 2010, 131 nulliparous pregnant women were recruited
from an antenatal clinic. All participants were interviewed and underwent four
dimensional translabial ultrasound examination at antepartum (35.8 (mean) weeks'
gestation) and postpartum (4.6 (mean) months) visits. Four-dimensional ultrasound
volume datasets of the pelvic floor during coughs were obtained at a minimum
frame rate of 16 Hz, using a 10 degrees volume acquisition angle. To quantify a
reflex levator contraction we measured the midsagittal hiatal diameter at
multiple time points. Levator integrity was determined using tomographic
ultrasound imaging. RESULTS: From 131 women recruited, 47 datasets were
technically suboptimal, leaving 84. There was a visible pelvic floor reflex in 82
(98%) cases. At the postpartum visit this was reduced to 63/84, i.e. 75% (P <
0.001). The magnitude of a reflex contraction was markedly reduced postpartum,
from 4.8 mm to 2.0 mm (P < 0.001), and this effect was associated with delivery
mode (P = 0.042). There was a trend towards an association between lower reflex
contraction magnitude and stress incontinence (0.87 +/- 3.18 mm vs. 2.36 +/- 3.5
mm; P = 0.08) at the postpartum follow-up visit. CONCLUSIONS: Pelvic floor
reflexes are altered by childbirth. This alteration may be associated with
vaginal delivery. Reflex magnitude may be associated with postpartum stress
urinary incontinence. The clinical significance of this finding is uncertain.
PMID- 21898634
TI - Ultrasonographic and laboratory markers of metabolic and cardiovascular disease
risk in obese women with polycystic ovary syndrome.
AB - OBJECTIVE: To evaluate whether the presence of polycystic ovary syndrome (PCOS)
alters multiple ultrasonographic and laboratory markers of metabolic and
cardiovascular disease risk in obese women without any other health condition
that could interfere with combined oral contraceptive (COC) eligibility criteria.
METHODS: This was a case-control study evaluating 90 obese women (body mass index
(BMI) >= 30.0 kg/m(2) and < 40 kg/m(2)) aged between 18 and 40 years without any
other health condition that could interfere with COC eligibility criteria, of
whom 45 had PCOS and 45 were age-matched controls. BMI, waist and hip
circumference, arterial blood pressure, fasting insulin and glucose, quantitative
insulin sensitivity check index (QUICKI), high-density lipoprotein cholesterol,
low-density lipoprotein cholesterol, total cholesterol, triglycerides,
testosterone, sex hormone-binding globulin, free androgen index (FAI), carotid
stiffness index, intima media thickness, flow-mediated dilatation (FMD) of the
brachial artery and non-alcoholic fatty liver disease (NAFLD) were assessed.
RESULTS: In women with PCOS, we observed a higher frequency of NAFLD (73.3 vs.
46.7%, P < 0.01) and higher FAI (10.4 vs. 6.8%, P < 0.01). We also observed a
trend towards increased insulin levels (10.06 +/- 6.66 vs. 7.45 +/- 5.88 uIU/mL,
P = 0.05), decreased QUICKI (0.36 +/- 0.06 vs. 0.39 +/- 0.07, P = 0.05) and
decreased FMD (7.00 +/- 3.87 vs. 8.41 +/- 3.79%, P = 0.08). No other significant
difference was observed. CONCLUSIONS: NAFLD is frequent in obese women without
any other health condition that could interfere with COC eligibility criteria,
especially in those with PCOS. This should be considered when choosing the best
contraceptive option.
PMID- 21898635
TI - Advantages of the population-based approach to pregnancy dating: results from
23,020 ultrasound examinations.
AB - OBJECTIVE: To confirm the results from two previous evaluations of term
prediction models, including two sample-based models and one population-based
model, in a third population. METHODS: In a study population of 23,020 second
trimester ultrasound examinations, data were prospectively collected and
registered over the period 1988-2009. Three different models for ultrasonically
estimated date of delivery were applied to the measurements of fetal biparietal
diameter (BPD) and two models were applied to the femur length (FL) measurements;
the resulting term estimations were compared with the actual time of delivery.
The difference between the actual and the predicted dates of delivery (the median
bias) was calculated for each of the models, for three BPD/FL-measurement
subgroups and for the study population as a whole. RESULTS: For the population
based model, the median bias was + 0.4 days for the BPD-based predictions and -
0.4 days for the FL-based predictions, and the biases were stable over the
inclusion ranges. The biases of the two traditional models varied with the size
of the fetus at examination; median biases were - 0.87 and + 2.2 days,
respectively, with extremes - 4.2 and + 4.8 days for the BPD-based predictions,
and the median bias was + 1.72 days with range - 0.8 to + 4.5 days for FL-based
predictions. The disagreement between the two sample-based models was never less
than 2 days for the BPD-based predictions. CONCLUSION: This study confirms the
results from previous studies; median biases were negligible with term
predictions from the population-based model, while those from the traditional
models varied substantially. The biases, which have clinical implications, seem
inevitable with the sample-based models, which, even if overall biases were
removed, will perform unsatisfactorily.
PMID- 21898636
TI - Relationship between sonographically estimated fetal subcutaneous adipose tissue
measurements and neonatal skinfold measurements.
AB - OBJECTIVE: Increased subcutaneous adipose tissue is a well known characteristic
of diabetic fetopathy. Prenatal estimation of adipose tissue can be performed by
ultrasound, while postnatally skinfold measurements are performed using a Holtain
caliper. The aim of this study was to compare these methods in the same patients.
METHODS: This was a prospective study of 172 pregnant patients (142 controls and
30 with gestational diabetes) at >= 37 gestational weeks. In addition to fetal
weight estimation, fetal subcutaneous tissue was measured at the anterior abdomen
lateral to the umbilicus (SonoSfAbd) and at the middle of the femur (SonoSfFem).
Within 72 h after delivery, a Holtain caliper was used to measure neonatal
skinfold thickness at the left anterior iliac spine (SfAbd), at the lower angle
of the left scapula (SfSca), at the middle of the femur, above the left
quadriceps femoris (SfFem) and at the middle of the left triceps (SfHum).
Ultrasound and mechanical measurements were correlated. RESULTS: The sonographic
and mechanical methods showed good correlation with each other. Linear regression
analysis gave the following equations: SfAbd (mm) = SonoSfAbd (mm) * 0.489 +
1.988 (r(2) = 0.34, P < 0.001); SfSca (mm) = SonoSfAbd (mm) 0.457 + 2.043 (r(2) =
0.40, P < 0.001); SfFem (mm) = SonoSfFem (mm) * 0.714 + 1.763 (r(2) = 0.41, P <
0.001); SfHum (mm) = SonoSfFem (mm) 0.564 + 2.09 (r(2) = 0.39, P < 0.001).
CONCLUSIONS: Ultrasound examination is a reliable method for non-invasive
intrauterine measurement of fetal subcutaneous tissue and can be used to predict
mechanical neonatal skinfold thickness measurements.
PMID- 21898637
TI - Maximal amniotic fluid index as a prognostic factor in pregnancies complicated by
polyhydramnios.
AB - OBJECTIVES: Polyhydramnios is present in approximately 2% of pregnancies and has
been associated with a variety of adverse pregnancy outcomes. Our aim was to
evaluate the association between the maximal amniotic fluid index (AFI) and the
frequency of specific adverse outcomes. METHODS: This was a retrospective chart
review of 524 singleton pregnancies diagnosed with polyhydramnios and delivered
in a single tertiary referral center between 2003 and 2008. Polyhydramnios was
defined as either AFI >= 25 cm or a maximum vertical pocket (MVP) >= 8 cm even in
the presence of AFI < 25 cm. The cohort was stratified into four groups based on
the maximal AFI noted during the pregnancy: < 25 cm but with MVP >= 8 cm; 25-29.9
cm; 30-34.9 cm; and >= 35 cm. Data were collected to determine the frequency of
the following adverse pregnancy outcomes: prenatally diagnosed congenital
anomalies, fetal aneuploidy, preterm delivery, Cesarean delivery, low birth
weight, 5-min Apgar score < 7 and perinatal mortality. RESULTS: Higher AFI was
associated with a statistically significant increase in the frequency of adverse
pregnancy outcomes. The most severe form of polyhydramnios, as based on the
maximal AFI (>= 35 cm; n = 67), was associated with the highest rates of
prenatally diagnosed congenital anomalies (79%), preterm delivery (46%), small
for-gestational-age neonate (16%), aneuploidy (13%) and perinatal mortality
(27%). No significant association between degree of polyhydramnios and adverse
outcome was demonstrated in cases of idiopathic polyhydramnios (n = 253).
CONCLUSIONS: There is an association between the frequencies of a variety of
adverse pregnancy outcomes and the severity of polyhydramnios as reflected by the
maximal AFI.
PMID- 21898638
TI - What are the limits of accuracy in fetal weight estimation with conventional
biometry in two-dimensional ultrasound? A novel postpartum study.
AB - OBJECTIVE: Commonly used formulae for fetal weight estimation, including
combinations of several biometric parameters, lack accuracy despite efforts to
improve them. This study aimed to investigate the limits of fetal weight
estimation based on conventional biometric parameters on two-dimensional (2D)
ultrasound by developing and evaluating new weight equations using postpartum
biometric parameters. METHODS: This was a prospective multicenter study including
628 singleton pregnancies at term. Inclusion criteria were healthy newborns with
no physical or chromosomal malformations. Postpartum measurement of head
circumference, abdominal circumference and thigh length was performed. Six 'best
fit' formulae were derived using forward regression analysis in a formula-finding
group (n = 419), and their accuracy was compared with birth weight in an
evaluation group (n = 209) using percentage error, absolute percentage error,
limits of agreement and the proportion of weight estimations falling within a
discrepancy level of +/- 10%. RESULTS: The new formulae showed no systematic
error, with SD for the percentage error between 7.42 and 8.77 and no significant
differences between median absolute percentage errors (4.84-5.71). They included
74.6-81.3% of neonates within a discrepancy level of 10%. With regard to the 95%
limits of agreement, weight estimates were within a range of about +/- 500 g.
CONCLUSION: These results show that a good sonographic weight formula has the
following features: no systematic error, an SD of about 7% and inclusion of 80%
of cases within a discrepancy level of 10%. The study indicates that the current
accuracy of fetal weight estimation with conventional biometric parameters by 2D
ultrasound has reached its limits. Further improvement will probably only be
achieved through new approaches in ultrasonography.
PMID- 21898639
TI - Prediction and probability of neonatal outcome in isolated congenital
diaphragmatic hernia using multiple ultrasound parameters.
AB - OBJECTIVES: To evaluate the accuracy and probabilities of different fetal
ultrasound parameters to predict neonatal outcome in isolated congenital
diaphragmatic hernia (CDH). METHODS: Between January 2004 and December 2010, we
evaluated prospectively 108 fetuses with isolated CDH (82 left-sided and 26 right
sided). The following parameters were evaluated: gestational age at diagnosis,
side of the diaphragmatic defect, presence of polyhydramnios, presence of liver
herniated into the fetal thorax (liver-up), lung-to-head ratio (LHR) and
observed/expected LHR (o/e-LHR), observed/expected contralateral and total fetal
lung volume (o/e-ContFLV and o/e-TotFLV) ratios, ultrasonographic fetal lung
volume/fetal weight ratio (US-FLW), observed/expected contralateral and main
pulmonary artery diameter (o/e-ContPA and o/e-MPA) ratios and the contralateral
vascularization index (Cont-VI). The outcomes were neonatal death and severe
postnatal pulmonary arterial hypertension (PAH). RESULTS: Neonatal mortality was
64.8% (70/108). Severe PAH was diagnosed in 68 (63.0%) cases, of which 63 died
neonatally (92.6%) (P < 0.001). Gestational age at diagnosis, side of the defect
and polyhydramnios were not associated with poor outcome (P > 0.05). LHR, o/e
LHR, liver-up, o/e-ContFLV, o/e-TotFLV, US-FLW, o/e-ContPA, o/e-MPA and Cont-VI
were associated with both neonatal death and severe postnatal PAH (P < 0.001).
Receiver-operating characteristics curves indicated that measuring total lung
volumes (o/e-TotFLV and US-FLW) was more accurate than was considering only the
contralateral lung sizes (LHR, o/e-LHR and o/e-ContFLV; P < 0.05), and Cont-VI
was the most accurate ultrasound parameter to predict neonatal death and severe
PAH (P < 0.001). CONCLUSIONS: Evaluating total lung volumes is more accurate than
is measuring only the contralateral lung size. Evaluating pulmonary
vascularization (Cont-VI) is the most accurate predictor of neonatal outcome.
Estimating the probability of survival and severe PAH allows classification of
cases according to prognosis.
PMID- 21898640
TI - Simultaneous recordings of pulsed wave Doppler signals in hepatic vein and
descending aorta using dual Doppler: a novel method for evaluating fetal
arrhythmias.
PMID- 21898641
TI - Generation of monospecific antibodies based on affinity capture of polyclonal
antibodies.
AB - A method is described to generate and validate antibodies based on mapping the
linear epitopes of a polyclonal antibody followed by sequential epitope-specific
capture using synthetic peptides. Polyclonal antibodies directed towards four
proteins RBM3, SATB2, ANLN, and CNDP1, potentially involved in human cancers,
were selected and antibodies to several non-overlapping epitopes were generated
and subsequently validated by Western blot, immunohistochemistry, and
immunofluorescence. For all four proteins, a dramatic difference in functionality
could be observed for these monospecific antibodies directed to the different
epitopes. In each case, at least one antibody was obtained with full
functionality across all applications, while other epitope-specific fractions
showed no or little functionality. These results present a path forward to use
the mapped binding sites of polyclonal antibodies to generate epitope-specific
antibodies, providing an attractive approach for large-scale efforts to
characterize the human proteome by antibodies.
PMID- 21898642
TI - Binding and inhibition of human spermidine synthase by decarboxylated S
adenosylhomocysteine.
AB - Aminopropyltransferases are essential enzymes that form polyamines in eukaryotic
and most prokaryotic cells. Spermidine synthase (SpdS) is one of the most well
studied enzymes in this biosynthetic pathway. The enzyme uses decarboxylated S
adenosylmethionine and a short-chain polyamine (putrescine) to make a medium
chain polyamine (spermidine) and 5'-deoxy-5'-methylthioadenosine as a byproduct.
Here, we report a new spermidine synthase inhibitor, decarboxylated S
adenosylhomocysteine (dcSAH). The inhibitor was synthesized, and dose-dependent
inhibition of human, Thermatoga maritima, and Plasmodium falciparum spermidine
synthases, as well as functionally homologous human spermine synthase, was
determined. The human SpdS/dcSAH complex structure was determined by X-ray
crystallography at 2.0 A resolution and showed consistent active site positioning
and coordination with previously known structures. Isothermal calorimetry binding
assays confirmed inhibitor binding to human SpdS with K(d) of 1.1 +/- 0.3 MUM in
the absence of putrescine and 3.2 +/- 0.1 MUM in the presence of putrescine.
These results indicate a potential for further inhibitor development based on the
dcSAH scaffold.
PMID- 21898645
TI - Surviving the sun: repair and bypass of DNA UV lesions.
AB - Structural studies of UV-induced lesions and their complexes with repair proteins
reveal an intrinsic flexibility of DNA at lesion sites. Reduced DNA rigidity
stems primarily from the loss of base stacking, which may manifest as bending,
unwinding, base unstacking, or flipping out. The intrinsic flexibility at UV
lesions allows efficient initial lesion recognition within a pool of millions to
billions of normal DNA base pairs. To bypass the damaged site by translesion
synthesis, the specialized DNA polymerase eta acts like a molecular "splint" and
reinforces B-form DNA by numerous protein-phosphate interactions. Photolyases and
glycosylases that specifically repair UV lesions interact directly with UV
lesions in bent DNA via surface complementation. UvrA and UvrB, which recognize a
variety of lesions in the bacterial nucleotide excision repair pathway, appear to
exploit hysteresis exhibited by DNA lesions and conduct an ATP-dependent stress
test to distort and separate DNA strands. Similar stress tests are likely
conducted in eukaryotic nucleotide excision repair.
PMID- 21898646
TI - Comment on "Revisiting the Ramachandran plot from a new angle".
PMID- 21898647
TI - High-resolution structure prediction of a circular permutation loop.
AB - Methods for rapid and reliable design and structure prediction of linker loops
would facilitate a variety of protein engineering applications. Circular
permutation, in which the existing termini of a protein are linked by the
polypeptide chain and new termini are created, is one such application that has
been employed for decreasing proteolytic susceptibility and other functional
purposes. The length and sequence of the linker can impact the expression level,
solubility, structure and function of the permuted variants. Hence it is
desirable to achieve atomic-level accuracy in linker design. Here, we describe
the use of RosettaRemodel for design and structure prediction of circular
permutation linkers on a model protein. A crystal structure of one of the
permuted variants confirmed the accuracy of the computational prediction, where
the all-atom rmsd of the linker region was 0.89 A between the model and the
crystal structure. This result suggests that RosettaRemodel may be generally
useful for the design and structure prediction of protein loop regions for
circular permutations or other structure-function manipulations.
PMID- 21898648
TI - The interplay between transient alpha-helix formation and side chain rotamer
distributions in disordered proteins probed by methyl chemical shifts.
AB - The peptide backbones of disordered proteins are routinely characterized by NMR
with respect to transient structure and dynamics. Little experimental information
is, however, available about the side chain conformations and how structure in
the backbone affects the side chains. Methyl chemical shifts can in principle
report the conformations of aliphatic side chains in disordered proteins and in
order to examine this two model systems were chosen: the acid denatured state of
acyl-CoA binding protein (ACBP) and the intrinsically disordered activation
domain of the activator for thyroid hormone and retinoid receptors (ACTR). We
find that small differences in the methyl carbon chemical shifts due to the gamma
gauche effect may provide information about the side chain rotamer distributions.
However, the effects of neighboring residues on the methyl group chemical shifts
obscure the direct observation of gamma-gauche effect. To overcome this, we
reference the chemical shifts to those in a more disordered state resulting in
residue specific random coil chemical shifts. The (13)C secondary chemical shifts
of the methyl groups of valine, leucine, and isoleucine show sequence specific
effects, which allow a quantitative analysis of the ensemble of chi(2)-angles of
especially leucine residues in disordered proteins. The changes in the rotamer
distributions upon denaturation correlate to the changes upon helix induction by
the co-solvent trifluoroethanol, suggesting that the side chain conformers are
directly or indirectly related to formation of transient alpha-helices.
PMID- 21898650
TI - Determination of the amino acid sequence requirements for catalysis by the highly
proficient orotidine monophosphate decarboxylase.
AB - Orotidine 5'-monophosphate decarboxylase (ODCase) catalyzes the decarboxylation
of orotidine 5'-monophosphate to uridine 5'-monophosphate during pyrimidine
nucleotide biosynthesis. This enzyme is one of the most proficient known,
exhibiting a rate enhancement of over 17 orders of magnitude over the uncatalyzed
rate. An interesting question is whether the high proficiency of ODCase is
associated with a highly optimized sequence of active site residues. This
question was addressed by randomizing 24 residue positions in and around the
active site of the E. coli ODCase (pyrF) by site-directed mutagenesis. The
libraries of mutants were selected for function from a multicopy plasmid or by
single-copy replacement at the pyrF locus on the E. coli chromosome. Stringent
sequence requirements for function were found for the mutants expressed from the
chromosomal pyrF locus. Six positions were not tolerant of substitutions and
several others accepted very limited substitutions. In contrast, all positions
could be substituted to some extent when the library mutants were expressed from
a multicopy plasmid. For the conserved quartet of charged residues Lys44-Asp71
Lys73-Asp76, a cysteine substitution was found to provide function at positions
71 and 76. A lower pK(a) for both cysteine mutants supports a mechanism whereby
the thiolate group of cysteine substitutes for the negatively charged aspartate
side chain. The partial function mutants such as D71C and D76C exhibit reduced
catalytic efficiency relative to wild type but nevertheless provide a rate
enhancement of 15 orders of magnitude over the uncatalyzed rate indicating the
catalytic proficiency of the enzyme is robust and tolerant of mutation.
PMID- 21898649
TI - An approach to crystallizing proteins by metal-mediated synthetic symmetrization.
AB - Combining the concepts of synthetic symmetrization with the approach of
engineering metal-binding sites, we have developed a new crystallization
methodology termed metal-mediated synthetic symmetrization. In this method, pairs
of histidine or cysteine mutations are introduced on the surface of target
proteins, generating crystal lattice contacts or oligomeric assemblies upon
coordination with metal. Metal-mediated synthetic symmetrization greatly expands
the packing and oligomeric assembly possibilities of target proteins, thereby
increasing the chances of growing diffraction-quality crystals. To demonstrate
this method, we designed various T4 lysozyme (T4L) and maltose-binding protein
(MBP) mutants and cocrystallized them with one of three metal ions: copper (Cu2+,
nickel (Ni2+), or zinc (Zn2+). The approach resulted in 16 new crystal structures
-eight for T4L and eight for MBP--displaying a variety of oligomeric assemblies
and packing modes, representing in total 13 new and distinct crystal forms for
these proteins. We discuss the potential utility of the method for crystallizing
target proteins of unknown structure by engineering in pairs of histidine or
cysteine residues. As an alternate strategy, we propose that the varied
crystallization-prone forms of T4L or MBP engineered in this work could be used
as crystallization chaperones, by fusing them genetically to target proteins of
interest.
PMID- 21898651
TI - A tale of two GTPases in cotranslational protein targeting.
AB - Guanosine triphosphatases (GTPases) comprise a superfamily of proteins that
provide molecular switches to regulate numerous cellular processes. The "GTPase
switch" paradigm, in which a GTPase acts as a bimodal switch that is turned "on"
and "off" by external regulatory factors, has been used to interpret the
regulatory mechanism of many GTPases. Recent work on a pair of GTPases in the
signal recognition particle (SRP) pathway has revealed a distinct mode of GTPase
regulation. Instead of the classical GTPase switch, the two GTPases in the SRP
and SRP receptor undergo a series of conformational changes during their
dimerization and reciprocal activation. Each conformational rearrangement
provides a point at which these GTPases can communicate with and respond to their
upstream and downstream biological cues, thus ensuring the spatial and temporal
precision of all the molecular events in the SRP pathway. We suggest that the SRP
and SRP receptor represent an emerging class of "multistate" regulatory GTPases
uniquely suited to provide exquisite control over complex cellular pathways that
require multiple molecular events to occur in a highly coordinated fashion.
PMID- 21898653
TI - Rational disruption of the oligomerization of the mini-ferritin E. coli DPS
through protein-protein interface mutation.
AB - DNA-binding protein from starved cells (DPS), a mini-ferritin capable of self
assembling into a 12-meric nano-cage, was chosen as the basis for an alanine
shaving mutagenesis study to investigate the importance of key amino acid
residues, located at symmetry-related protein-protein interfaces, in controlling
protein stability and self-assembly. Nine mutants were designed through simple
inspection, synthesized, and subjected to transmission electron microscopy,
circular dichroism, size exclusion chromatography, and "virtual alanine scanning"
computational analysis. The data indicate that many of these residues may be hot
spot residues. Most remarkably, two residues, R83 and R133, were observed to
shift the oligomerization state to ~50% dimer. Based on the hypothesis that these
two residues constitute a "hot strip," located at the ferritin-like threefold
axis, the double mutant was generated which completely shuts down detectable
formation of 12-mer in solution, favoring a cooperatively folded dimer. The fact
that this effect logically builds upon the single mutants emphasizes that complex
self-assembly has the potential to be manipulated rationally. This study should
have an impact on the fundamental understanding of the assembly of DPS protein
cages specifically and protein quaternary structure in general. In addition, as
there is much interest in applying these and similar systems to the templation of
nano-materials and drug delivery, the ability to control this ferritin's
oligomerization state and stability could prove especially valuable.
PMID- 21898652
TI - A structural study of Hypocrea jecorina Cel5A.
AB - Interest in generating lignocellulosic biofuels through enzymatic hydrolysis
continues to rise as nonrenewable fossil fuels are depleted. The high cost of
producing cellulases, hydrolytic enzymes that cleave cellulose into fermentable
sugars, currently hinders economically viable biofuel production. Here, we report
the crystal structure of a prevalent endoglucanase in the biofuels industry,
Cel5A from the filamentous fungus Hypocrea jecorina. The structure reveals a
general fold resembling that of the closest homolog with a high-resolution
structure, Cel5A from Thermoascus aurantiacus. Consistent with previously
described endoglucanase structures, the H. jecorina Cel5A active site contains a
primarily hydrophobic substrate binding groove and a series of hydrogen bond
networks surrounding two catalytic glutamates. The reported structure, however,
demonstrates stark differences between side-chain identity, loop regions, and the
number of disulfides. Such structural information may aid efforts to improve the
stability of this protein for industrial use while maintaining enzymatic activity
through revealing nonessential and immutable regions.
PMID- 21898654
TI - HLA-DP2 binding prediction by molecular dynamics simulations.
AB - Major histocompatibility complex (MHC) II proteins bind peptide fragments derived
from pathogen antigens and present them at the cell surface for recognition by T
cells. MHC proteins are divided into Class I and Class II. Human MHC Class II
alleles are grouped into three loci: HLA-DP, HLA-DQ, and HLA-DR. They are
involved in many autoimmune diseases. In contrast to HLA-DR and HLA-DQ proteins,
the X-ray structure of the HLA-DP2 protein has been solved quite recently. In
this study, we have used structure-based molecular dynamics simulation to derive
a tool for rapid and accurate virtual screening for the prediction of HLA-DP2
peptide binding. A combinatorial library of 247 peptides was built using the
"single amino acid substitution" approach and docked into the HLA-DP2 binding
site. The complexes were simulated for 1 ns and the short range interaction
energies (Lennard-Jones and Coulumb) were used as binding scores after
normalization. The normalized values were collected into quantitative matrices
(QMs) and their predictive abilities were validated on a large external test set.
The validation shows that the best performing QM consisted of Lennard-Jones
energies normalized over all positions for anchor residues only plus cross terms
between anchor-residues.
PMID- 21898656
TI - First- and second-shell metal binding residues in human proteins are
disproportionately associated with disease-related SNPs.
AB - Protein structure serves as a key determinant for revealing the molecular basis
of human disease. Metal ions are among the most frequently bound heterogroups in
proteins affecting structure and function. We analyzed the relationship between
single nucleotide polymorphisms (SNPs) associated with human disease and metal
binding sites in proteins on a database scale, using structural models and
predictive tools. A match was identified for 586 disease-associated SNPs (dSNPs)
located at 135 predicted metal binding sites and associated with 126 diverse
diseases. For 104 diseases, a metal is known to bind at the predicted site in the
homologue; for 22, the analysis gives a first indication for metal involvement in
the disease. As second-shell residues play an important part in metal ion
binding, our analysis included protein space up to 4.5 A from metal binding
sites. The ratio of disease-associated versus nondisease-associated SNPs
(dSNP/ndSNP) for first-shell residues is 7.4 and for second-shell residues, 3.1.
In addition, over 13% of all dSNPs were found to be associated with first- and
second-shell residues, although these residues occupy only about 3% of protein
space. These results show a disproportionate association of dSNPs and metal
binding sites over a wide variety of diseases.
PMID- 21898655
TI - Self-efficacy and fear of cancer progression during the year following diagnosis
of breast cancer.
AB - OBJECTIVE: The aim of this study was to investigate fear of disease progression
(FoP) during the year following diagnosis of breast cancer and its association
with general self-efficacy (SE). METHODS: In a prospective study, 118 breast
cancer patients were recruited shortly after diagnosis disclosure (response rate:
54%) and at 1-year follow-up (follow-up rate: 90%). Participants completed self
report measures of general self-efficacy (General Self-Efficacy Scale) and fear
of progression (short form of the Fear of Progression Questionnaire). RESULTS:
Cross-sectional regression analysis revealed that high FoP is significantly
associated with low SE, even when controlling for demographic and medical
characteristics (total R2 = 0.17). Having children and a relatively short time
since diagnosis also significantly predicted higher FoP. Longitudinal analyses
showed that FoP decreased significantly over time (p = 0.001; d = 0.25), but a
significant decrease was only observed for patients with high initial FoP (p <
0.001; d = 0.74) and not for those with low initial FoP (p = 0.688; d = 0.08). SE
was not a significant predictor of FoP at follow-up when controlling for initial
FoP and other patient characteristics (incremental R2 = 0.001; p = 0.674; total
R2 = 0.47). Overall, only initial FoP significantly predicted FoP at follow-up (p
< 0.001; beta = 0.671). CONCLUSION: Findings that low SE is associated with high
FoP can help to improve the treatment of dysfunctional fears in breast cancer
patients. As FoP changes only slightly over time, treatment to enhance SE and
reduce FoP should be initiated soon after disease disclosure.
PMID- 21898657
TI - A functional XPNPEP2 promoter haplotype leads to reduced plasma aminopeptidase P
and increased risk of ACE inhibitor-induced angioedema.
AB - Angiotensin I-converting enzyme inhibitors (ACEi) are widely used
antihypertensive agents that are associated with a potentially life-threatening
reaction, ACEi-angioedema. Impaired metabolism of bradykinin and des-Arg(9)
bradykinin by aminopeptidase P (APP) is a key contributor to ACEi-angioedema.
This study aimed to characterize the genetic regulation of the XPNPEP2 gene and
identify the genetic factors contributing to variance in plasma APP activity and
ACEi-angioedema. Additive genetic factors accounted for 47.3% of variance in
plasma APP activity in healthy individuals. Nested deletion analysis identified
the minimal promoter (-338 bp to -147 bp) and an enhancer region (-2,502 bp to
2,238 bp). Three polymorphisms (c.-2399C>A, c.-1612G>T, and c.-393G>A) were
significantly associated with plasma APP activity. Haplotype ATG was
significantly associated with reduced reporter gene activity and with reduced
plasma APP activity. The c.-2399C>A polymorphism was located in an enhancer
region and was predicted to differentially bind hepatic nuclear factor 4 (HNF4).
Over expression of HNF4 increased the activation of haplotype ATG compared with
haplotype CGG. In a case control study of subjects with a history of ACEi
angioedema, haplotype ATG was significantly associated with ACEi-angioedema (OR
4.87 [1.78-13.35] P = 0.002). The ATG haplotype is functional and contributes to
ACEi-angioedema through a reduction in APP.
PMID- 21898658
TI - Identification and functional analysis of SOX10 missense mutations in different
subtypes of Waardenburg syndrome.
AB - Waardenburg syndrome (WS) is a rare disorder characterized by pigmentation
defects and sensorineural deafness, classified into four clinical subtypes, WS1
S4. Whereas the absence of additional features characterizes WS2, association
with Hirschsprung disease defines WS4. WS is genetically heterogeneous, with six
genes already identified, including SOX10. About 50 heterozygous SOX10 mutations
have been described in patients presenting with WS2 or WS4, with or without
myelination defects of the peripheral and central nervous system (PCWH,
Peripheral demyelinating neuropathy-Central dysmyelinating leukodystrophy
Waardenburg syndrome-Hirschsprung disease, or PCW, PCWH without HD). The majority
are truncating mutations that most often remove the main functional domains of
the protein. Only three missense mutations have been thus far reported. In the
present study, novel SOX10 missense mutations were found in 11 patients and were
examined for effects on SOX10 characteristics and functions. The mutations were
associated with various phenotypes, ranging from WS2 to PCWH. All tested
mutations were found to be deleterious. Some mutants presented with partial
cytoplasmic redistribution, some lost their DNA-binding and/or transactivation
capabilities on various tissue-specific target genes. Intriguingly, several
mutants were redistributed in nuclear foci. Whether this phenomenon is a cause or
a consequence of mutation-associated pathogenicity remains to be determined, but
this observation could help to identify new SOX10 modes of action.
PMID- 21898659
TI - Rapid and efficient human mutation detection using a bench-top next-generation
DNA sequencer.
AB - Next-generation sequencing (NGS) technologies can be a boon to human mutation
detection given their high throughput: consequently, many genes and samples may
be simultaneously studied with high coverage for accurate detection of
heterozygotes. In circumstances requiring the intensive study of a few genes,
particularly in clinical applications, a rapid turn around is another desirable
goal. To this end, we assessed the performance of the bench-top 454 GS Junior
platform as an optimized solution for mutation detection by amplicon sequencing
of three type 3 semaphorin genes SEMA3A, SEMA3C, and SEMA3D implicated in
Hirschsprung disease (HSCR). We performed mutation detection on 39 PCR amplicons
totaling 14,014 bp in 47 samples studied in pools of 12 samples. Each 10-hr run
was able to generate ~75,000 reads and ~28 million high-quality bases at an
average read length of 371 bp. The overall sequencing error was 0.26 changes per
kb at a coverage depth of >=20 reads. Altogether, 37 sequence variants were found
in this study of which 10 were unique to HSCR patients. We identified five
missense mutations in these three genes that may potentially be involved in the
pathogenesis of HSCR and need to be studied in larger patient samples.
PMID- 21898660
TI - Dilated cardiomyopathy-associated BAG3 mutations impair Z-disc assembly and
enhance sensitivity to apoptosis in cardiomyocytes.
AB - Dilated cardiomyopathy (DCM) is characterized by dilation of left ventricular
cavity with systolic dysfunction. Clinical symptom of DCM is heart failure, often
associated with cardiac sudden death. About 20-35% of DCM patients have apparent
family histories and it has been revealed that mutations in genes for sarcomere
proteins cause DCM. However, the disease-causing mutations can be found only in
about 17% of Japanese patients with familial DCM. Bcl-2-associated athanogene 3
(BAG3) is a co-chaperone protein with antiapoptotic function, which localizes at
Z-disc in the striated muscles. Recently, BAG3 gene mutations in DCM patients
were reported, but the functional abnormalities caused by the mutations are not
fully unraveled. In this study, we analyzed 72 Japanese familial DCM patients for
mutations in BAG3 and found two mutations, p.Arg218Trp and p.Leu462Pro, in two
cases of adult-onset DCM without skeletal myopathy, which were absent from 400
control subjects. Functional studies at the cellular level revealed that the DCM
associated BAG3 mutations impaired the Z-disc assembly and increased the
sensitivities to stress-induced apoptosis. These observations suggested that BAG3
mutations present in 2.8% of Japanese familial DCM patients caused DCM possibly
by interfering with Z-disc assembly and inducing apoptotic cell death under the
metabolic stress.
PMID- 21898662
TI - Molecular genetic characterization of SMAD signaling molecules in pulmonary
arterial hypertension.
AB - Heterozygous germline mutations of BMPR2 contribute to familial clustering of
pulmonary arterial hypertension (PAH). To further explore the genetic basis of
PAH in isolated cases, we undertook a candidate gene analysis to identify
potentially deleterious variation. Members of the bone morphogenetic protein
(BMP) pathway, namely SMAD1, SMAD4, SMAD5, and SMAD9, were screened by direct
sequencing for gene defects. Four variants were identified in SMADs 1, 4, and 9
among a cohort of 324 PAH cases, each not detected in a substantial control
population. Of three amino acid substitutions identified, two demonstrated
reduced signaling activity in vitro. A putative splice site mutation in SMAD4
resulted in moderate transcript loss due to compromised splicing efficiency.
These results demonstrate the role of BMPR2 mutation in the pathogenesis of PAH
and indicate that variation within the SMAD family represents an infrequent cause
of the disease.
PMID- 21898663
TI - Ultrastructural aspects of Callithrix penicillata lingual papillae.
AB - Callithrix penicillata belongs to the family Callitrichidae, Callithrix genus.
They are basically insectivorous, but they consume fruits. The mucosa of the
tongue is composed of some papillary types, revealing different levels of
expertise. The present study attempted to describe the morphological and
ultrastructural aspects of the dorsal surface of the C. penicillata, describing
the characteristics and distribution of papillae found. Five tongues of C.
penicillata (two females and three males), obtained from breeding colonies of
CENP-Ananindeua-PA, died from natural causes. The material was fixed partly in a
buffer solution paraformaldehyde 10% and partly in modified Karnovsky solution,
divided into apex, body, and root, and then the fragments were used in light
microscopy and scanning electron microscopy. The average length of the tongue of
the females was 22 mm and for males 20.5 mm. Three types of papillae were
described: filiform (along all tissue extension with 154 MUm of diameter),
fungiform (along all tissue extension with 275 MUm of diameter), and vallate
(just three units in caudal (dorsal) portion with 672 MUm of diameter). Data
analysis indicates that the distribution and ultrastructural morphology of the C.
penicillata lingual papillae are some similar to other primates.
PMID- 21898661
TI - Variants in activators and downstream targets of ATM, radiation exposure, and
contralateral breast cancer risk in the WECARE study.
AB - Ionizing radiation (IR) is a breast carcinogen that induces DNA double-strand
breaks (DSBs), and variation in genes involved in the DNA DSB response has been
implicated in radiation-induced breast cancer. The Women's Environmental, Cancer,
and Radiation Epidemiology (WECARE) study is a population-based study of cases
with contralateral breast cancer (CBC) and matched controls with unilateral
breast cancer. The location-specific radiation dose received by the contralateral
breast was estimated from radiotherapy records and mathematical models. One
hundred fifty-two SNPs in six genes (CHEK2, MRE11A, MDC1, NBN, RAD50, TP53BP1)
involved in the DNA DSBs response were genotyped. No variants or haplotypes were
associated with CBC risk (649 cases and 1,284 controls) and no variants were
found to interact with radiation dose. Carriers of a RAD50 haplotype exposed to
>=1 gray (Gy) had an increased risk of CBC compared with unexposed carriers (Rate
ratios [RR] = 4.31 [95% confidence intervals [CI] 1.93-9.62]); with an excess
relative risk (ERR) per Gy = 2.13 [95% CI 0.61-5.33]). Although the results of
this study were largely null, carriers of a haplotype in RAD50 treated with
radiation had a greater CBC risk than unexposed carriers. This suggests that
carriers of this haplotype may be susceptible to the DNA-damaging effects of
radiation therapy associated with radiation-induced breast cancer.
PMID- 21898664
TI - A new algorithm to reduce noise in microscopy images implemented with a simple
program in python.
AB - All microscopical images contain noise, increasing when (e.g., transmission
electron microscope or light microscope) approaching the resolution limit. Many
methods are available to reduce noise. One of the most commonly used is image
averaging. We propose here to use the mode of pixel values. Simple Python
programs process a given number of images, recorded consecutively from the same
subject. The programs calculate the mode of the pixel values in a given position
(a, b). The result is a new image containing in (a, b) the mode of the values.
Therefore, the final pixel value corresponds to that read in at least two of the
pixels in position (a, b). The application of the program on a set of images
obtained by applying salt and pepper noise and GIMP hurl noise with 10-90%
standard deviation showed that the mode performs better than averaging with three
eight images. The data suggest that the mode would be more efficient (in the
sense of a lower number of recorded images to process to reduce noise below a
given limit) for lower number of total noisy pixels and high standard deviation
(as impulse noise and salt and pepper noise), while averaging would be more
efficient when the number of varying pixels is high, and the standard deviation
is low, as in many cases of Gaussian noise affected images. The two methods may
be used serially.
PMID- 21898665
TI - Scanning electron microscopy of antennal sensible of Anoplistes halodendri
halodendri and Anoplistes halodendri ephippium (Coleoptera: Cerambycidae).
AB - Anoplistes halodendri halodendri (Pallas, 1776) and Anoplistes halodendri
ephippium (Stevens and Dalman, 1817) are two subspecies of the longicorn beetle
A. halodendri (Coleoptera, Cerambycidae). In the recent years, these subspecies
have been spreading rapidly in Hippophae rhamnoides and Hedysarum scoparium
shrubberies in the Chinese provinces of Shanxi and Kingie, causing mass mortality
of these shrubberies species and consequently leading to great damage to local
ecological environment construction as well as high economic, ecological, and
societal losses. To control their hazards effectively, here, we study and compare
the types, densities, and distribution of antennal sensilla of A. h. halodendri
and A. h. ephippium using scanning electron microscopy. Eight sensilla types were
observed on the antennae of these two subspecies, including placoid sensilla
(Ps), chaetica sensilla (ch) (types I-III), sensilla basiconica (b) (types I and
II), sensilla gemmiformium (G), and auricillica sensilla (au). Ps predominated on
the antennae of both A. h. halodendri and A. h. ephippium, followed by b and ch,
and G and au. There were differences between the subspecies in the distribution
and density of the different sensilla types, with ch I being found exclusively on
the antennae of A. h. ephippium and b I and au on the antennae of A. h.
halodendri only. With the exception of Ps, the densities of ch II, ch III, b II,
and G on the antennae of A. h. halodendri were much higher than on the antennae
of A. h. ephippium. These results provide sufficient evidence to clarify the
receptive mechanisms used by these two subspecies of longicorn beetles and their
responses to volatile semiochemicals released by their host plants as well as
discuss their differences with respect to host and habitat selection.
PMID- 21898666
TI - Morphological and morphometric characterization of agoutis' peripheral blood
cells (Dasyprocta prymnolopha, Wagler, 1831) raised in captivity.
AB - Thirty adult agoutis (Dasyprocta primnolopha) from the Nucleus of Study and
Preservation of Wild Animals at the Federal University of Piaui were used. Blood
scrubs of these animals were colored by the Leishman method and analyzed in light
microscopy. The cells had been measured using programs that analyze images (Leica
QWin - Image Processing and Analysis Software). Mature erythrocytes, basophil
reticulocytes, lymphocytes, eosinophils, neutrophils, monocytes, and thrombocytes
were identified. Agoutis' erythrocytes presented elliptical form, without nucleus
with an average diameter of 5.64 micromeres +/- 0.38. The lymphocytes are
spherical cells with scarce cytoplasm, dense and with a very centralized rounded
nucleus measuring an average diameter of 13.20 micromeres +/- 0.35. The monocytes
are slightly basophilic, with a spherical nucleus, central constriction, and an
average diameter of 20.59 micromeres +/- 0.32. The neutrophils are spherical,
with a polymorphic lobulated nucleus, with an average diameter of 11.2 micromeres
+/- 0.20. The eosinophils are spherical with lobulated nucleus and with an
average diameter of 14.25 micromeres +/- 0.36. Only five basophils were observed,
with abundance of cytoplasmic granules with 9.8 micrometers of diameter +/- 0.30.
Thrombocytopenic pleomorphism was frequent. There were similarities in the
cellular constituents in peripheral blood of agoutis and of other rodents and
humans. The cellular types from the peripheral blood, the morphology, and
morphometry of the blood's cells did not vary according to sex.
PMID- 21898667
TI - Gross anatomical and scanning electron microscopic studies of the oropharyngeal
cavity in the European magpie (Pica pica) and the common raven (Corvus corax).
AB - There is no descriptive information about morphology of the oropharyngeal cavity
including tongue, palate, and laryngeal region in Corvidae family. This study not
only presents the first definitive anatomical description of the structures in
the oropharyngeal cavity of magpie and raven but also reviews and compares the
scattered information on the morphology of the other avian species available in
the literature. In this study, the organs of four birds (two magpies and two
ravens) were used. The tongue in magpie and raven was considerably elongated and
terminated with an oval-shaped apex. Although the lingual apex in the magpie was
divided by fissure, no such bifurcation existed on that of raven. Lingual apex
was quite distinctive in both species, and multiple acicular processes covered
the apex. These occurrences on the tongue of magpie were replaced by thread-like
processes as approaching the lingual body. In raven, these processes were mostly
foliated, becoming longer toward the back of the lingua, and denser compared with
raven. Moreover, we observed conical papillary crest, which was pointed backward
and located between the lingual body and the radix of the tongue and pharyngeal
conical papillae around the glottis and palate clefts in both species. There was
a transversal fold separating the choanal cleft from the infundibular cleft in
raven. Anatomy of the oropharyngeal cavity in the raven and magpie, which are the
member of the same family, Corvidae, showed little differences and overall their
oral morphological features were excessively similar.
PMID- 21898668
TI - An automated approach for cerebral microvascularity labeling in microscopy
images.
AB - Morphological observation and analysis of cerebral microvascular network is an
essential way to study cerebral function. Automated labeling of cerebral
microvascular in microscopy images is one of the key steps for quantitative
analysis of microvascular network in the specimens of brain mantle. It is
presented in this work that an automated image processing approach based on
curvilinear structure detector is applied to label and analyze the microvascular
in the image. Steerable filter is also introduced to address the detecting
confusion in branching regions. And then the vascular morphology analysis, such
as average microvascular density, is also performed after image processing.
Validation has demonstrated that the results from proposed approach are
satisfied. The proposed method is finally applied in the study of cerebral
microvascular dysfunction induced by gamma-ray irradiation.
PMID- 21898669
TI - Application of analytical electron microscopic methods to investigate the
function of spherites in the midgut of the larval antlion Euroleon nostras
(Neuroptera: Myrmeleontidae).
AB - This study presents an application of analytical electron microscopy in biology
to investigate the chemical composition of the spherites and to elucidate the
importance of these methods in the life sciences. The structure of the spherites
in the midgut cells of first, second, and third instar larvae Euroleon nostras
was investigated by a combination of transmission electron microscopy (TEM),
energy dispersive X-ray spectroscopy (EDXS), electron energy-loss spectroscopy
(EELS), and energy filtering TEM (EFTEM). The structure and chemical composition
of the spherites changed during the metamorphosis. In first larvae, the spherites
are composed of amorphous, flocculent material, containing C, N, and O. In second
larvae and third ones, the spherites have concentric layers of alternating
electron-dense and electron-lucent material. In second larvae, Si, P, Ca, and Fe
are accumulated in the spherite organic matrix, composed of C, N, and O. In the
spherites of third larvae, additionally Al was found. Therefore, the spherites
are thought to store organic compounds in all three larval stages of E. nostras
and additionally inorganic compounds in second and third ones. In first larvae,
spherites are present in the midgut cells; in second and third larvae, they are
present in the cells of the midgut and in its lumen. It could be suggested that
the spherites might be involved in the regulation of the appropriate mineral
composition of the internal environment and could serve as the accumulation site
of nontoxic waste materials that cannot be metabolized.
PMID- 21898670
TI - MRT letter: segmentation and texture-based classification of breast mammogram
images.
AB - Breast cancer is the most common cancer diagnosed among women. In this article,
support vector machine is used to classify digital mammogram images into
malignant and benign. Wiener filter is used to handle the possible quantum noise,
which is more likely to occur in mammograms. Stack-based connected component
method is proposed for background removal, and the image is enhanced using
retinax method. Seeded region growing algorithm is used to remove the pectoral
muscle part of the mammogram. We have extracted 13 different multidomains'
features for classification. Results show the superiority of the proposed
algorithm in terms of sensitivity, specificity, and accuracy. We have used MIAS
database of mammography for experimentation.
PMID- 21898671
TI - Immunohistochemical detection and quantification of T cells in the small
intestine of Isospora suis-infected piglets--influence of fixation technique and
intestinal segment.
AB - Quantification of immunohistochemical results constitutes an important tool in
the analysis of cells and tissue that is not readily replaced by other
techniques. For reliable quantification, it is essential to consider factors such
as tissue fixation and tissue sampling. We report a study on the model of the
intestine of Isospora suis-infected piglets, in which we addressed (1) whether
the quantity of detectable T cells in the intestinal mucosa is the same in
formalin-, HOPE(r)-, and cryo-conserved material or whether the amounts of T
cells at least correlate with one another; and (2) whether single jejunal
segments differ in regard to the quantity of mucosal T cells and variability of
lymphocyte infiltration. Quantification of T cells in histological sections of
different parts of the jejunum of 15-22 day old piglets infected with I. suis was
performed using an anti-CD3-antibody and stereological point counting. Area
fractions of T-cell profiles per intestinal mucosa profile were higher in cryo
conserved samples than in HOPE(r)- and formalin-conserved material but no
correlation between different fixations could be found. The proximal part of the
jejunum contained fewer T cells compared with mid- and end-jejunum. Coefficients
of variation did not differ between the intestinal segments. For quantification
of T cells in the gut mucosa of piglets infected with I. suis, the cryo-conserved
mid jejunum seems most suitable in cases when unbiased sampling of the complete
intestine is not feasible. It is generally not possible to compare quantitative
results of immunostaining in samples conserved by different methods.
PMID- 21898672
TI - Integrated motivational interviewing and cognitive-behavioural therapy for
bipolar disorder with comorbid substance use.
AB - Although comorbid substance use is a common problem in bipolar disorder, there
has been little research into options for psychological therapy. Studies to date
have concentrated on purely cognitive-behavioural approaches, which are not
equipped to deal with the ambivalence to change exhibited by many towards therapy
designed to change substance use. This paper provides the first report of an
integrated psychological treatment approach for bipolar disorder with comorbid
substance use. The intervention reported combines motivational interviewing and
cognitive-behavioural therapy to address ambivalence and equips individuals with
strategies to address substance use. Across five individual case studies,
preliminary evidence is reported to support the acceptability and the feasibility
of this approach. Despite most participants not highlighting their substance use
as a primary therapy target, all but one exhibited reduced use of drugs or
alcohol at the end of therapy, sustained at 6 months' follow-up. There was some
evidence for improvements in mood symptoms and impulsiveness, but this was less
clear-cut. The impact of social and relationship issues on therapy process and
outcome is discussed. The implications of the current findings for future
intervention research in this area are considered.
PMID- 21898673
TI - Understanding the importance of attachment in shame traumatic memory relation to
depression: the impact of emotion regulation processes.
AB - BACKGROUND: Early relationships are crucial to human brain maturation, well
being, affect regulation and self-other schema. Shame traumatic memories are
related to psychopathology, and recent research has shown that the quality and
type of attachment relationships may be crucial in shame traumatic memories in
relation to psychopathology. The current study explores a mediator model of
emotion regulation processes (rumination, thought suppression and dissociation)
on the association between shame traumatic memory, with attachment figures and
with others, and depressive symptoms. METHOD: Ninety subjects from the general
community population completed the Shame Experiences Interview (SEI), assessing
shame experiences from childhood and adolescence, and a battery of self-report
scales measuring shame traumatic memory, rumination, thought suppression,
dissociation and depression. RESULTS: Mediator analyses show that emotion
regulation processes, such as brooding, thought suppression and dissociation,
mediate the association between shame traumatic memory with others and
depression. In contrast, shame traumatic memory with attachment figures has a
direct effect on depression, not mediated by emotion regulation processes, with
only brooding partially mediating this relation. CONCLUSION: The current findings
shed light on the importance of attachment figures on the structuring of shame
traumatic memories and on their impact on psychopathological symptoms, adding to
recent neuroscience research and Gilbert's approach on shame and compassion. In
addition, our results emphasize the relevance of addressing shame memories,
mainly those that involve attachment figures, particularly when working with
patients suffering from depressive symptoms and/or that find compassion difficult
or scary. KEY PRACTITIONER MESSAGE: The quality of attachment relationships is
important in how shame memories are structured and in their relation to
psychopathology. The relationship between shame traumatic memory with attachment
figures and depressive symptoms is not mediated by emotion regulation processes
(rumination, thought suppression and dissociation). In contrast, these processes
emerge as mediators on the association between shame traumatic memory with others
and depression. For people suffering from depressive symptoms, having been shamed
by an attachment figure may be a major block to develop self-compassion and
receive compassion from others and may constitute an important obstacle to
recovery. When working with patients suffering from depressive symptoms and/or
that find compassion difficult or scary, it is important to target shame
memories, especially those that involve attachment figures. In therapy with
individuals with depressive symptoms and who reveal shame traumatic memories
involving others, it may not only be pertinent to target these memories but also
to evaluate and intervene on emotion regulation processes, particularly
rumination, thought suppression and dissociation.
PMID- 21898674
TI - Functional adaptive changes within the hippocampal memory system of patients with
multiple sclerosis.
AB - Memory deficits are highly prevalent in multiple sclerosis (MS). As the
hippocampus is crucial to memory processing, a functional magnetic resonance
imaging (fMRI) task was used to investigate changes in hippocampal function in MS
patients with and without cognitive decline. Fifty patients with MS, (34
cognitively preserved (CP) and 16 cognitively impaired (CI)) and 30 healthy
controls completed an episodic memory fMRI task (encoding and retrieval) that was
used to specifically activate the hippocampus. During encoding of correctly
remembered items, increased brain activation was seen in the parahippocampal
areas bilaterally and in the left anterior cingulate gyrus in the CP patients
compared to the controls (unclustered, Z >= 3.1, P <= 0.001). No brain areas
showed less activation. In CI patients the right (para)hippocampal areas and the
prefrontal cortex showed less brain activation compared to controls (cluster
corrected, P < 0.05). The posterior cingulate gyrus and the left precuneus showed
increased activation in CI patients when compared to controls (unclustered Z >=
3.1, P <= 0.001). No significant differences were found on structural MRI
measures between the CP and CI patients. These results suggest the presence of
functional adaptation in the memory network before cognitive decline becomes
evident in MS, as displayed by the increased brain activation in the hippocampal
cingulate memory system in CP patients. Interestingly, CI patients showed less
activation in the hippocampal network during correct encoding. These findings are
important for future cognitive therapeutic studies, since cognitive intervention
might be most effective before cognitive impairment is present and when adaptive
changes of the brain are most prominent.
PMID- 21898675
TI - Robotic movement preferentially engages the action observation network.
AB - As humans, we gather a wide range of information about other people from watching
them move. A network of parietal, premotor, and occipitotemporal regions within
the human brain, termed the action observation network (AON), has been implicated
in understanding others' actions by means of an automatic matching process that
links observed and performed actions. Current views of the AON assume a matching
process biased towards familiar actions; specifically, those performed by
conspecifics and present in the observer's motor repertoire. In this study, we
test how this network responds to form and motion cues when observing natural
human motion compared to rigid robotic-like motion across two independent
functional neuroimaging experiments. In Experiment 1, we report the surprising
finding that premotor, parietal, occipitotemporal regions respond more robustly
to rigid, robot-like motion than natural human motion. In Experiment 2, we
replicate and extend this finding by demonstrating that the same pattern of
results emerges whether the agent is a human or a robot, which suggests the
preferential response to robot-like motion is independent of the agent's form.
These data challenge previous ideas about AON function by demonstrating that the
core nodes of this network can be flexibly engaged by novel, unfamiliar actions
performed by both human and non-human agents. As such, these findings suggest
that the AON is sensitive to a broader range of action features beyond those that
are simply familiar.
PMID- 21898676
TI - Age-related vulnerabilities along the hippocampal longitudinal axis.
AB - Evidence for an anterior-posterior gradient of age-related volume reduction along
the hippocampal longitudinal axis has been reported in normal aging, but
functional changes have yet to be systematically investigated. The current study
applied an advanced brain mapping technique, large deformation diffeomorphic
metric mapping (LDDMM), automatically delineating the hippocampus into the
anterior and posterior segments based on anatomical landmarks. We studied this
anterior-posterior gradient in terms of structural and functional MRI in 66
participants aged from 19 to 79 years. The results showed age-related structural
volume reduction in both anterior and posterior hippocampi, with greater tendency
for anterior decrease. FMRI task contrasts that robustly activated the anterior
(associative/relational processing) and posterior (novelty) hippocampus
independently, showed only significant reduction of activation in the anterior
hippocampus as age increased. Our results revealed positive correlation between
structural atrophy and functional decrease in the anterior hippocampi, regardless
of task performance in normal aging. These findings suggest that anatomy and
functions related to the anterior hippocampus may be more vulnerable to aging,
than previously thought.
PMID- 21898677
TI - Brain structural trajectories over the adult lifespan.
AB - The aim of this large-sample cross-sectional voxel-based morphometry (VBM) study
of anatomical brain data was to investigate linear and nonlinear age-related
trajectories of grey matter volume in the human brain during the adult lifespan.
To date, there are only a few structural brain studies investigating local
nonlinear aspects at the voxel level, i.e., without using anatomical ROIs as a
priori hypothesis. Therefore, we analyzed 547 T1-weighted MR images of healthy
adult brains with an age range of 19 to 86 years, including 161 scans of subjects
with ages 60 and older. We found that the gray matter volume in some regions did
not linearly decrease over time, but rather exhibited a delayed decline.
Nonlinear age trajectories were observed in the medial temporal lobe regions, the
basal ganglia, and parts of the cerebellum. Their trajectories indicated a
preservation of grey matter volume during the early adult lifespan.
Interestingly, we found nonlinear grey matter structural dynamics specifically in
parts of the brain that have been extensively discussed in the context of
learning and memory. We propose a hypothesis in relation to the functional role
of these brain regions that may explain these results.
PMID- 21898678
TI - Brain regions that process case: evidence from Basque.
AB - The aim of this event-related fMRI study was to investigate the cortical networks
involved in case processing, an operation that is crucial to language
comprehension yet whose neural underpinnings are not well-understood. What is the
relationship of these networks to those that serve other aspects of syntactic and
semantic processing? Participants read Basque sentences that contained case
violations, number agreement violations or semantic anomalies, or that were both
syntactically and semantically correct. Case violations elicited activity
increases, compared to correct control sentences, in a set of parietal regions
including the posterior cingulate, the precuneus, and the left and right inferior
parietal lobules. Number agreement violations also elicited activity increases in
left and right inferior parietal regions, and additional activations in the left
and right middle frontal gyrus. Regions-of-interest analyses showed that almost
all of the clusters that were responsive to case or number agreement violations
did not differentiate between these two. In contrast, the left and right anterior
inferior frontal gyrus and the dorsomedial prefrontal cortex were only sensitive
to semantic violations. Our results suggest that whereas syntactic and semantic
anomalies clearly recruit distinct neural circuits, case, and number violations
recruit largely overlapping neural circuits and that the distinction between the
two rests on the relative contributions of parietal and prefrontal regions,
respectively. Furthermore, our results are consistent with recently reported
contributions of bilateral parietal and dorsolateral brain regions to syntactic
processing, pointing towards potential extensions of current neurocognitive
theories of language.
PMID- 21898679
TI - Assessment of cortical degeneration in patients with Parkinson's disease by voxel
based morphometry, cortical folding, and cortical thickness.
AB - Noninvasive brain imaging methods provide useful information on cerebral
involution and degenerative processes. Here we assessed cortical degeneration in
20 nondemented patients with Parkinson's disease (PD) and 20 healthy controls
using three quantitative neuroanatomical approaches: voxel-based morphometry
(VBM), cortical folding (BrainVisa), and cortical thickness (FreeSurfer). We
examined the relationship between global and regional gray matter (GM) volumes,
sulcal indices, and thickness measures derived from the previous methods as well
as their association with cognitive performance, age, severity of motor symptoms,
and disease stage. VBM analyses showed GM volume reductions in the left temporal
gyrus in patients compared with controls. Cortical folding measures revealed
significant decreases in the left frontal and right collateral sulci in patients.
Finally, analysis of cortical thickness showed widespread cortical thinning in
right lateral occipital, parietal and left temporal, frontal, and premotor
regions. We found that, in patients, all global anatomical measures correlated
with age, while GM volume and cortical thickness significantly correlated with
disease stage. In controls, a significant association was found between global GM
volume and cortical folding with age. Overall these results suggest that the
three different methods provide complementary and related information on
neurodegenerative changes occurring in PD, however, surface-based measures of
cortical folding and especially cortical thickness seem to be more sensitive than
VBM to identify regional GM changes associated to PD.
PMID- 21898680
TI - Pretreatment with interferon-gamma enhances the therapeutic activity of
mesenchymal stromal cells in animal models of colitis.
AB - Mesenchymal stromal cells (MSCs) are currently under investigation for the
treatment of inflammatory disorders, including Crohn's disease. MSCs are
pluripotent cells with immunosuppressive properties. Recent data suggest that
resting MSCs do not have significant immunomodulatory activity, but that the
immunosuppressive function of MSCs has to be elicited by interferon-gamma (IFN
gamma). In this article, we assessed the effects of IFN-gamma prestimulation of
MSCs (IMSCs) on their immunosuppressive properties in vitro and in vivo. To this
end, we pretreated MSCs with IFN-gamma and assessed their therapeutic effects in
dextran sodium sulfate (DSS)- and trinitrobenzene sulfonate (TNBS)-induced
colitis in mice. We found that mice treated with IMSCs (but not MSCs) showed a
significantly attenuated development of DSS-induced colitis. Furthermore, IMSCs
alleviated symptoms of TNBS-induced colitis. IMSC-treated mice displayed an
increase in body weight, lower colitis scores, and better survival rates compared
with untreated mice. In addition, serum amyloid A protein levels and local
proinflammatory cytokine levels in colonic tissues were significantly suppressed
after administration of IMSC. We also observed that IMSCs showed greater
migration potential than unstimulated MSCs to sites within the inflamed
intestine. In conclusion, we show that prestimulation of MSCs with IFN-gamma
enhances their capacity to inhibit Th1 inflammatory responses, resulting in
diminished mucosal damage in experimental colitis. These data demonstrate that
IFN-gamma activation of MSCs increases their immunosuppresive capacities and
importantly, their therapeutic efficacy in vivo.
PMID- 21898681
TI - Distinct developmental ground states of epiblast stem cell lines determine
different pluripotency features.
AB - Epiblast stem cells (EpiSCs) are pluripotent stem cells derived from mouse
postimplantation embryos at embryonic day (E) 5.5-E7.5 at the onset of
gastrulation, which makes them a valuable tool for studying mammalian
postimplantation development in vitro. EpiSCs can also be reprogrammed into a
mouse embryonic stem cell (mESC)-like state. Some reports have shown that the
reversion of EpiSCs requires transcription factor overexpression, whereas others
have suggested that use of stringent mESC culture conditions alone is sufficient
for the reversion of EpiSCs. To clarify these discrepancies, we systematically
compared a panel of independent EpiSC lines. We found that--regardless of the
embryonic day of derivation--the different EpiSC lines shared a number of
defining characteristics such as the ability to form teratomas. However, despite
use of standard EpiSC culture conditions, some lines exhibited elevated
expression of genes associated with mesendodermal differentiation. Pluripotency
(Oct4) and mesodermal (Brachyury) marker genes were coexpressed in this subset of
lines. Interestingly, the expression of mesendodermal marker genes was negatively
correlated with the cells' ability to efficiently undergo neural induction.
Moreover, these mesodermal marker gene-expressing cell lines could not be
efficiently reverted to an mESC-like state by using stringent mESC culture
conditions. Conversely, Brachyury overexpression diminished the reversion
efficiency in otherwise Brachyury-negative lines. Overall, our data suggest that
different EpiSC lines may undergo self-renewal into distinct developmental
states, a finding with important implications for functional readouts such as
reversion of EpiSCs to an mESC-like state as well as directed differentiation.
PMID- 21898682
TI - A novel role for an RNA polymerase III subunit POLR3G in regulating pluripotency
in human embryonic stem cells.
AB - The pluripotency of human embryonic stem cells (hESC) could have great potential
for the development of cell replacement therapies. Previous studies have
converged on the finding that OCT4, SOX2, and NANOG serve as key regulators in
the maintenance of hESC. However, other signals that regulate hESC maintenance
remain poorly studied. Here we describe a novel role of an RNA polymerase III
(Pol III) subunit, POLR3G, in the maintenance of pluripotency in hESC. We
demonstrate the presence of POLR3G in undifferentiated hESC, human induced
pluripotent stem cells (hiPSC), and early mouse blastocysts. Downregulation of
POLR3G is observed on differentiation of hESC and hiPSC, suggesting that POLR3G
can be used as a molecular marker to readily identify undifferentiated
pluripotent stem cells from their differentiated derivatives. Using an inducible
shRNA lentiviral system, we found evidence that decreased levels of POLR3G result
in loss of pluripotency and promote differentiation of hESC to all three germ
layers but have no effect on cell apoptosis. On the other hand, overexpression of
POLR3G has no effect on pluripotency and apoptosis in undifferentiated hESC.
Interestingly, hESC expressing elevated levels of POLR3G are more resistant to
differentiation. Furthermore, our experimental results show that POLR3G is a
downstream target of OCT4 and NANOG, and our pharmacological study indicated that
POLR3G expression can be readily regulated by the Erk1/2 signaling pathway. This
study is the first to show an important role of POLR3G in the maintenance of
hESC, suggesting a potential role of Pol III transcription in regulating hESC
pluripotency.
PMID- 21898683
TI - Concise review: managing genotoxicity in the therapeutic modification of stem
cells.
AB - The therapeutic use of procedures for genetic stem cell modification is limited
by potential adverse events related to uncontrolled mutagenesis. Prominent
findings have been made in hematopoietic gene therapy, demonstrating the risk of
clonal, potentially malignant outgrowth on the basis of mutations acquired during
or after therapeutic genome modification. The incidence and the growth rate of
insertional mutants have been linked to the "stemness" of the target cells and
vector-related features such as the integration pattern, the architecture, and
the exact content of transgene cassettes. Milieu factors supporting the survival
and expansion of mutants may eventually allow oncogenic progression. Similar
concerns apply for medicinal products based on pluripotent stem cells. Focusing
on the genetic stress induced by insertional mutagenesis and culture adaptation,
we propose four conclusions. (a) Mutations occurring in the production of stem
cell-based medicines may be unavoidable and need to be classified according to
their risk to trigger the formation of clones that are sufficiently long-lived
and mitotically active to acquire secondary transforming mutations. (b) The
development of rational prevention strategies depends upon the identification of
the specific mutations forming such "dominant clones" (which can also be
addressed as cancer stem cell precursors) and a better knowledge of the
mechanisms underlying their creation, expansion, and homeostatic control. (c)
Quantitative assay systems are required to assess the practical value of
preventive actions. (d) Improved approaches for the genetic modification of stem
cells can address all critical steps in the origin and growth control of mutants.
PMID- 21898684
TI - Discovery of nonsteroidal anti-inflammatory drug and anticancer drug enhancing
reprogramming and induced pluripotent stem cell generation.
AB - Recent breakthroughs in creating induced pluripotent stem cells (iPSCs) provide
alternative means to obtain embryonic stem-like cells without destroying embryos
by introducing four reprogramming factors (Oct3/4, Sox2, and Klf4/c-Myc or
Nanog/Lin28) into somatic cells. iPSCs are versatile tools for investigating
early developmental processes and could become sources of tissues or cells for
regenerative therapies. Here, for the first time, we describe a strategy to
analyze genomics datasets of mouse embryonic fibroblasts (MEFs) and embryonic
stem cells to identify genes constituting barriers to iPSC reprogramming. We
further show that computational chemical biology combined with genomics analysis
can be used to identify small molecules regulating reprogramming. Specific
downregulation by small interfering RNAs (siRNAs) of several key MEF-specific
genes encoding proteins with catalytic or regulatory functions, including WISP1,
PRRX1, HMGA2, NFIX, PRKG2, COX2, and TGFbeta3, greatly increased reprogramming
efficiency. Based on this rationale, we screened only 17 small molecules in
reprogramming assays and discovered that the nonsteroidal anti-inflammatory drug
Nabumetone and the anticancer drug 4-hydroxytamoxifen can generate iPSCs without
Sox2. Nabumetone could also produce iPSCs in the absence of c-Myc or Sox2 without
compromising self-renewal and pluripotency of derived iPSCs. In summary, we
report a new concept of combining genomics and computational chemical biology to
identify new drugs useful for iPSC generation. This hypothesis-driven approach
provides an alternative to shot-gun screening and accelerates understanding of
molecular mechanisms underlying iPSC induction.
PMID- 21898685
TI - In situ genetic correction of the sickle cell anemia mutation in human induced
pluripotent stem cells using engineered zinc finger nucleases.
AB - The combination of induced pluripotent stem cell (iPSC) technology and targeted
gene modification by homologous recombination (HR) represents a promising new
approach to generate genetically corrected, patient-derived cells that could be
used for autologous transplantation therapies. This strategy has several
potential advantages over conventional gene therapy including eliminating the
need for immunosuppression, avoiding the risk of insertional mutagenesis by
therapeutic vectors, and maintaining expression of the corrected gene by
endogenous control elements rather than a constitutive promoter. However, gene
targeting in human pluripotent cells has remained challenging and inefficient.
Recently, engineered zinc finger nucleases (ZFNs) have been shown to
substantially increase HR frequencies in human iPSCs, raising the prospect of
using this technology to correct disease causing mutations. Here, we describe the
generation of iPSC lines from sickle cell anemia patients and in situ correction
of the disease causing mutation using three ZFN pairs made by the publicly
available oligomerized pool engineering method (OPEN). Gene-corrected cells
retained full pluripotency and a normal karyotype following removal of
reprogramming factor and drug-resistance genes. By testing various conditions, we
also demonstrated that HR events in human iPSCs can occur as far as 82 bps from a
ZFN-induced break. Our approach delineates a roadmap for using ZFNs made by an
open-source method to achieve efficient, transgene-free correction of monogenic
disease mutations in patient-derived iPSCs. Our results provide an important
proof of principle that ZFNs can be used to produce gene-corrected human iPSCs
that could be used for therapeutic applications.
PMID- 21898686
TI - Novel hematopoietic progenitor populations revealed by direct assessment of GATA1
protein expression and cMPL signaling events.
AB - Hematopoietic stem cells (HSCs) must exhibit tight regulation of both self
renewal and differentiation to maintain homeostasis of the hematopoietic system
as well as to avoid aberrations in growth that may result in leukemias or other
disorders. In this study, we sought to understand the molecular basis of lineage
determination, with particular focus on factors that influence
megakaryocyte/erythrocyte-lineage commitment, in hematopoietic stem and
progenitor cells. We used intracellular flow cytometry to identify two novel
hematopoietic progenitor populations within the mouse bone-marrow cKit(+) Lineage
(-) Sca1(+) (KLS) Flk2 (+) compartment that differ in their protein-level
expression of GATA1, a critical megakaryocyte/erythrocyte-promoting transcription
factor. GATA1-high repopulating cells exhibited the cell surface phenotype KLS
Flk2(+ to int), CD150(int), CD105(+), cMPL(+), and were termed "FSE cells." GATA1
low progenitors were identified as KLS Flk2(+), CD150(-), and cMPL(-), and were
termed "Flk(+) CD150(-) cells." FSE cells had increased megakaryocyte/platelet
potential in culture and transplant settings and exhibited a higher clonal
frequency of colony-forming unit-spleen activity compared with Flk(+) CD150(-)
cells, suggesting functional consequences of GATA1 upregulation in promoting
megakaryocyte and erythroid lineage priming. Activation of ERK and AKT signal
transduction cascades was observed by intracellular flow cytometry in long-term
HSCs and FSE cells, but not in Flk(+) CD150(-) cells in response to stimulation
with thrombopoietin, an important megakaryocyte-promoting cytokine. We provide a
mechanistic rationale for megakaryocyte/erythroid bias within KLS Flk2(+) cells,
and demonstrate how assessment of intracellular factors and signaling events can
be used to refine our understanding of lineage commitment during early definitive
hematopoiesis.
PMID- 21898688
TI - Low level of c-kit expression marks deeply quiescent murine hematopoietic stem
cells.
AB - Although c-kit is expressed highly on murine hematopoietic stem cells (HSCs) and
essential for bone marrow (BM) hematopoiesis, the significance of the high level
of expression of c-kit on HSCs was not well determined. We show here that
CD150(+) CD48(-) Lineage(-) Sca-1(+) c-kit(+) HSCs in adult BM are distributed
within the range of roughly a 20-fold difference in the expression level of c
kit, and that c-kit density correlates with the cycling status of the HSC
population. This predisposition is more evident in the BM of mice older than 30
weeks. The HSCs in G(0) phase express a lower level of c-kit both on the cell
surface and inside the cells, which cannot be explained by ligand receptor
binding and internalization. It is more likely that the low level of c-kit
expression is a unique property of HSCs in G(0). Despite functional differences
in the c-kit gradient, the HSCs are uniformly hypoxic and accessible to blood
perfusion. Therefore, our data indicate the possibility that the hypoxic state of
the HSCs is actively regulated, rather than them being passively hypoxic through
a simple anatomical isolation from the circulation.
PMID- 21898687
TI - Effects on proliferation and differentiation of multipotent bone marrow stromal
cells engineered to express growth factors for combined cell and gene therapy.
AB - A key mechanism for mesenchymal stem cells/bone marrow stromal cells (MSCs) to
promote tissue repair is by secretion of soluble growth factors (GFs). Therefore,
clinical application could be optimized by a combination of cell and gene
therapies, where MSCs are genetically modified to express higher levels of a
specific factor. However, it remains unknown how this overexpression may alter
the fate of the MSCs. Here, we show effects of overexpressing the growth factors,
such as basic fibroblast growth factor (bFGF), platelet derived growth factor B
(PDGF-BB), transforming growth factor beta(1) (TGF-beta(1) ), and vascular
endothelial growth factor (VEGF), in human bone marrow-derived MSCs. Ectopic
expression of bFGF or PDGF-B lead to highly proliferating MSCs and lead to a
robust increase in osteogenesis. In contrast, adipogenesis was strongly inhibited
in MSCs overexpressing PDGF-B and only mildly affected in MSCs overexpressing
bFGF. Overexpression of TGF-beta(1) blocked both osteogenic and adipogenic
differentiation while inducing the formation of stress fibers and increasing the
expression of the smooth muscle marker calponin-1 and the chondrogenic marker
collagen type II. In contrast, MSCs overexpressing VEGF did not vary from control
MSCs in any parameters, likely due to the lack of VEGF receptor expression on
MSCs. MSCs engineered to overexpress VEGF strongly induced the migration of
endothelial cells and enhanced blood flow restoration in a xenograft model of
hind limb ischemia. These data support the rationale for genetically modifying
MSCs to enhance their therapeutically relevant trophic signals, when safety and
efficacy can be demonstrated, and when it can be shown that there are no unwanted
effects on their proliferation and differentiation.
PMID- 21898689
TI - Core binding factor beta functions in the maintenance of stem cells and
orchestrates continuous proliferation and differentiation in mouse incisors.
AB - Rodent incisors grow continuously throughout life, and epithelial progenitor
cells are supplied from stem cells in the cervical loop. We report that
epithelial Runx genes are involved in the maintenance of epithelial stem cells
and their subsequent continuous differentiation and therefore growth of the
incisors. Core binding factor beta (Cbfb) acts as a binding partner for all Runx
proteins, and targeted inactivation of this molecule abrogates the activity of
all Runx complexes. Mice deficient in epithelial Cbfb produce short incisors and
display marked underdevelopment of the cervical loop and suppressed epithelial
Fgf9 expression and mesenchymal Fgf3 and Fgf10 expression in the cervical loop.
In culture, FGF9 protein rescues these phenotypes. These findings indicate that
epithelial Runx functions to maintain epithelial stem cells and that Fgf9 may be
a target gene of Runx signaling. Cbfb mutants also lack enamel formation and
display downregulated Shh mRNA expression in cells differentiating into
ameloblasts. Furthermore, Fgf9 deficiency results in a proximal shift of the Shh
expressing cell population and ectopic FGF9 protein suppresses Shh expression.
These findings indicate that Shh as well as Fgf9 expression is maintained by
Runx/Cbfb but that Fgf9 antagonizes Shh expression. The present results provide
the first genetic evidence that Runx/Cbfb genes function in the maintenance of
stem cells in developing incisors by activating Fgf signaling loops between the
epithelium and mesenchyme. In addition, Runx genes also orchestrate continuous
proliferation and differentiation by maintaining the expression of Fgf9 and Shh
mRNA.
PMID- 21898690
TI - TAp63 is important for cardiac differentiation of embryonic stem cells and heart
development.
AB - p63, a member of the p53 family, is essential for skin morphogenesis and
epithelial stem cell maintenance. Here, we report an unexpected role of TAp63 in
cardiogenesis. p63 null mice exhibit severe defects in embryonic cardiac
development, including dilation of both ventricles, a defect in trabeculation and
abnormal septation. This was accompanied by myofibrillar disarray, mitochondrial
disorganization, and reduction in spontaneous calcium spikes. By the use of
embryonic stem cells (ESCs), we show that TAp63 deficiency prevents expression of
pivotal cardiac genes and production of cardiomyocytes. TAp63 is expressed by
endodermal cells. Coculture of p63-knockdown ESCs with wild-type ESCs,
supplementation with Activin A, or overexpression of GATA-6 rescue cardiogenesis.
Therefore, TAp63 acts in a non-cell-autonomous manner by modulating expression of
endodermal factors. Our findings uncover a critical role for p63 in cardiogenesis
that could be related to human heart disease.
PMID- 21898691
TI - Systemic delivery of bone marrow-derived mesenchymal stromal cells diminishes
neuropathology in a mouse model of Krabbe's disease.
AB - In Krabbe's disease, a demyelinating disorder, add-on strategies targeting the
peripheral nervous system (PNS) are needed, as it is not corrected by bone-marrow
(BM) transplantation. To circumvent this limitation of BM transplantation, we
assessed whether i.v. delivery of immortalized EGFP(+) BM-derived murine
mesenchymal stromal cells (BM-MSC(TERT-EGFP) ) targets the PNS of a Krabbe's
disease model, the Twitcher mouse. In vitro, BM-MSC(TERT-EGFP) retained the
phenotype of primary BM-MSC and did not originate tumors upon transplantation in
nude mice. In vivo, undifferentiated EGFP(+) cells grafted the Twitcher sciatic
nerve where an increase in Schwann cell precursors and axonal number was
detected. The same effect was observed on BM-MSC(TERT-EGFP) i.v. delivery
following sciatic nerve crush, a model of axonal regeneration. Reiterating the in
vivo findings, in a coculture system, BM-MSC(TERT-EGFP) induced the proliferation
of Twitcher-derived Schwann cells and the neurite outgrowth of both Twitcher
derived neurons and wild-type neurons grown in the presence of psychosine, the
toxic substrate that accumulates in Krabbe's disease. In vitro, this neuritogenic
effect was blocked by K252a, an antagonist of Trk receptors, and by antibody
blockage of brain derived neurotrophic factor, a neurotrophin secreted by BM
MSC(TERT-EGFP) and induced in neighboring Schwann cells. In vivo, BM-MSC(TERT
EGFP) surmounted the effect of K252a, indicating their ability to act through a
neurotrophin-independent mechanism. In summary, i.v. delivery of BM-MSC(TERT
EGFP) exerts a multilevel effect targeting neurons and Schwann cells,
coordinately diminishing neuropathology. Therefore, to specifically target the
PNS, MSC should be considered an add-on option to BM transplantation in Krabbe's
disease and in other disorders where peripheral axonal loss occurs.
PMID- 21898692
TI - Concise review: Anemia caused by viruses.
AB - Most of the viruses known to be associated with anemia in human tend to
persistently infect their host and are noncytopathic or poorly cytopathic for
blood cell progenitors. Infections with Epstein-Barr virus, cytomegalovirus,
varicella-zoster virus, human herpes virus 6 (HHV-6), B19 parvovirus, human
immunodeficiency virus, hepatitis A and C viruses and the putative viral agent
associated with non-A-G post-hepatitis aplastic anemia have been reported in
association with anemia. Nevertheless, a direct cytotoxic effect on erythroid
progenitors has been clearly demonstrated only for human parvovirus B19 and
evocated for HHV-6. A major role for destructive immunity is strongly suspected
in the pathogenesis of anemia associated with the other viral infections. Host
genes play a role in the occurrence of virus-induced anemia in animal models, and
there are some evidences that genetic background could also influence the
occurrence of virus-associated anemia in human.
PMID- 21898693
TI - A microRNA-based system for selecting and maintaining the pluripotent state in
human induced pluripotent stem cells.
AB - Induced pluripotent stem cell (iPSC) technology has provided researchers with a
unique tool to derive disease-specific stem cells for the study and possible
treatment of degenerative disorders with autologous cells. The low efficiency and
heterogeneous nature of reprogramming is a major impediment to the generation of
personalized iPSC lines. Here, we report the generation of a lentiviral system
based on a microRNA-regulated transgene that enables for the efficient selection
of mouse and human pluripotent cells. This system relies on the differential
expression pattern of the mature form of microRNA let7a in pluripotent versus
committed or differentiated cells. We generated microRNA responsive green
fluorescent protein and Neo reporters for specific labeling and active selection
of the pluripotent cells in any culture condition. We used this system to
establish Rett syndrome and Parkinson's disease human iPSCs. The presented
selection procedure represents a straightforward and powerful tool for
facilitating the derivation of patient-specific iPSCs.
PMID- 21898694
TI - Concise review: Induced pluripotent stem cell-derived mesenchymal stem cells:
progress toward safe clinical products.
AB - Adult stem cell therapies have provided success for more than 50 years, through
reconstitution of the hematopoietic system using bone marrow, umbilical cord
blood, and mobilized peripheral blood transplantation. Mesenchymal stem cell
(MSC)-mediated therapy is a fast-growing field that has proven safe and effective
in the treatment of various degenerative diseases and tissue injuries. Since the
first derivation of embryonic stem cells (ESCs) and induced pluripotent stem
cells (iPSCs), there has been impressive progress toward developing safe clinical
applications from PSCs. Recent successes in transgene-free iPSC reprogramming
have brought attention to the potential of clinical applications of these
pluripotent cells, but key hurdles must be overcome, which are discussed in this
review. Looking to the future, it could be advantageous to derive MSC from iPSC
or human ESC in cases where genetic engineering is needed, since in the PSCs,
clones with "safe harbor" vector integration could be selected, expanded, and
differentiated. Here, we describe the status of the progress of the use of MSC
and PSCs in clinical trials and analyze the challenges that should be overcome
before iPSC-derived MSC therapy can be used widely in the clinic.
PMID- 21898695
TI - MiR-17 modulates osteogenic differentiation through a coherent feed-forward loop
in mesenchymal stem cells isolated from periodontal ligaments of patients with
periodontitis.
AB - Chronic inflammatory diseases, such as rheumatoid arthritis and periodontitis,
are the most common causes of bone tissue destruction. Recently, human
periodontal ligament tissue-derived mesenchymal stem cells (PDLSCs), a population
of multipotent stem cells, have been used to reconstruct tissues destroyed by
chronic inflammation. However, the impact of the local inflammatory
microenvironment on tissue-specific stem cells and the mechanisms controlling the
effects of the local inflammatory environment remain poorly understood. In this
study, we found that the multidifferentiation potential of mesenchymal stem cells
(MSCs) isolated from periodontitis-affected periodontal ligament tissue (P
PDLSCs) was significantly lower than that of MSCs isolated from healthy human
periodontal ligament tissue (H-PDLSCs). Inflammation in the microenvironment
resulted in an inhibition of miR-17 levels, and a perturbation in the expression
of miR-17 partly reversed the differentiation potential of PDLSCs in this
microenvironment. Furthermore, inflammation in the microenvironment promoted the
expression of Smad ubiquitin regulatory factor one (Smurf1), an important
negative regulator of MSC osteogenic differentiation. Western blotting and 3'
untranslated regions (3'-UTR) reporter assays confirmed that Smurf1 is a direct
target of miR-17 in PDLSCs. Our data demonstrate that excessive inflammatory
cytokine levels, miR-17, and Smurf1 were all involved in a coherent feed-forward
loop. In this circuit, inflammatory cytokines led to direct activation of Smurf1
and downregulation of miR-17, thereby increasing degradation of Smurf1-mediated
osteoblast-specific factors. The elucidation of the molecular mechanisms
governing MSC osteogenic differentiation in a chronic inflammatory
microenvironment could provide us with a better knowledge of chronic inflammatory
disorder and improve stem cell-mediated inflammatory bone disease therapy.
PMID- 21898696
TI - Neural stem cells, a step closer to clinic?
PMID- 21898697
TI - Site-specific recombinase strategy to create induced pluripotent stem cells
efficiently with plasmid DNA.
AB - Induced pluripotent stem cells (iPSCs) have revolutionized the stem cell field.
iPSCs are most often produced by using retroviruses. However, the resulting cells
may be ill-suited for clinical applications. Many alternative strategies to make
iPSCs have been developed, but the nonintegrating strategies tend to be
inefficient, while the integrating strategies involve random integration. Here,
we report a facile strategy to create murine iPSCs that uses plasmid DNA and
single transfection with sequence-specific recombinases. PhiC31 integrase was
used to insert the reprogramming cassette into the genome, producing iPSCs. Cre
recombinase was then used for excision of the reprogramming genes. The iPSCs were
demonstrated to be pluripotent by in vitro and in vivo criteria, both before and
after excision of the reprogramming cassette. This strategy is comparable with
retroviral approaches in efficiency, but is nonhazardous for the user, simple to
perform, and results in nonrandom integration of a reprogramming cassette that
can be readily deleted. We demonstrated the efficiency of this reprogramming and
excision strategy in two accessible cell types, fibroblasts and adipose stem
cells. This simple strategy produces pluripotent stem cells that have the
potential to be used in a clinical setting.
PMID- 21898698
TI - Gene expression profiling of neural stem cells and identification of regulators
of neural differentiation during cortical development.
AB - During mammalian brain development, neural stem cells transform from
neuroepithelial cells to radial glial cells and finally remain as astrocyte-like
cells in the postnatal and adult brain. Neuroepithelial cells divide
symmetrically and expand the neural stem cell pool; after the onset of
neurogenesis, radial glial cells sequentially produce deep layer neurons and then
superficial layer neurons by asymmetric, self-renewing divisions during cortical
development. Thereafter, gliogenesis supersedes neurogenesis, while a subset of
neural stem cells retain their stemness and lurk in the postnatal and adult
brain. Thus, neural stem cells undergo alterations in morphology and the capacity
to proliferate or give rise to various types of neural cells in a temporally
regulated manner. To shed light on the temporal alterations of embryonic neural
stem cells, we sorted the green fluorescent protein-positive cells from the
dorsolateral telencephalon (neocortical region) of pHes1-d2EGFP transgenic mouse
embryos at different developmental stages and performed gene expression
profiling. Among dozens of transcription factors differentially expressed by
cells in the ventricular zone during the course of development, several of them
exhibited the activity to inhibit neuronal differentiation when overexpressed.
Furthermore, knockdown of Tcf3 or Klf15 led to accelerated neuronal
differentiation of neural stem cells in the developing cortex, and neurospheres
originated from Klf15 knockdown cells mostly lacked neurogenic activities and
only retained gliogenic activities. These results suggest that Tcf3 and Klf15
play critical roles in the maintenance of neural stem cells at early and late
embryonic stages, respectively.
PMID- 21898700
TI - Symptoms of eating disorders, drive for muscularity and physical activity among
Norwegian adolescents.
AB - PURPOSE: The aim of this study was to examine symptoms of eating disorders (ED),
drive for muscularity and physical activity in a Norwegian adolescent population.
METHODS: A total of 722 adolescents aged 12-18 years (response rate: 83%) filled
out a questionnaire containing the Drive for Muscularity Scale, Eating Disorders
Inventory subscales Drive for Thinness (EDI-DT), Body Dissatisfaction (EDI-BD)
and Bulimia (EDI-B), and questions about amount of and motives for physical
activity. RESULTS: Eating Disorders Inventory subscale scores were higher, and
Drive for Muscularity Scale Score (DMS) scores were lower among girls compared
with boys. EDI and DMS were correlated with motives for, but not amount of,
physical activity. EDI and DMS were associated in boys, not girls. DISCUSSION:
The associations between EDI and DMS among boys call for a wider approach when
examining ED among boys.
PMID- 21898699
TI - Mechanically induced focal adhesion assembly amplifies anti-adipogenic pathways
in mesenchymal stem cells.
AB - The fate of pluripotent mesenchymal stem cells (MSC) is determined through
integration of chemical, spatial, and physical signals. The suppression of MSC
adipogenesis by mechanical stimuli, which requires Akt-induced inhibition of
glycogen synthase kinase 3beta (GSK3beta) with beta-catenin activation, can be
enhanced by repetitive dosing within a single day. Here, we demonstrate that
reapplication of cyclic strain within a 24-hour period leads to amplification of
both Akt activation and its subsequent inhibition of GSK3beta, such that total
cycle number can be reduced while still inhibiting adipogenesis. Amplification of
Akt signaling is facilitated by a dynamic restructuring of the cell in response
to mechanical signals, as evidenced by a transient increase in focal adhesion
(FA) number and increased RhoA activity. Preventing FA assembly or development of
tension blocks activation of Akt by mechanical signals, but not by insulin. This
indicates that the FA infrastructure is essential to the physical, but not
necessarily the chemical, sensitivity, and responsiveness of the cell. Exploiting
the transient nature of cytoskeletal remodeling may represent a process to
enhance cell responsiveness to mechanical input and ultimately define the fate of
MSCs with a minimal input.
PMID- 21898701
TI - Quantification of low expressed SCD1 gene in colonic mucosa from patients with
active ulcerative colitis.
PMID- 21898702
TI - Elevated serum IgE prior to acute severe infusion reaction during infliximab
maintenance therapy in a Crohn's disease patient.
PMID- 21898703
TI - Obsessions in normality and psychopathology.
AB - BACKGROUND: This study examines the presence of obsessions in the general
population and in various psychiatric disorders. Second, the impact of obsessions
is studied in terms of general functioning and quality of life in the general
population. METHODS: Data were derived from the Netherlands Mental Health Survey
and Incidence Study (NEMESIS), a large representative sample of the Dutch
population (n = 7,076). Diagnostic criteria were assessed by the Composite
International Diagnostic Interview (CIDI). The association of quality of life and
obsessions on each subject was assessed by using Short Form 36 Health Survey (SF
36) and General Health Questionnaire (GHQ). RESULTS: Obsessions occurred
frequently in the general population: the lifetime prevalence of obsessions was
5.3% and the 12-month prevalence was 1.7%. Subjects with obsessions scored
significantly worse (P<.0001) on all eight dimensions of the SF-36 as well as on
the GHQ. When controlling for the presence of any mental disorder, the negative
association of obsessions and low general health and well-being remained
significantly intact. In patients with a psychiatric disorder, obsessions had a
lifetime prevalence of 10.3% and a 12-month prevalence of 6.8%. CONCLUSIONS:
Obsessions are common phenomena in the general population and are associated with
decreased functioning in several areas of health and well-being. Furthermore,
they occur frequently in the presence of various psychiatric disorders.
Obsessions should be perceived, similar to delusions, as a distinct dimension
across psychiatric disorders rather than a mere symptom of OCD.
PMID- 21898704
TI - Effects of acute exercise on CO(2) -induced fear.
AB - BACKGROUND: Acute exercise has shown to reduce the effects of experimental panic
provocation in healthy volunteers and in patients with panic disorder. Recent
evidence suggests that when larger amounts of CO(2) are inhaled, a large
proportion of healthy subjects can also develop an affective response consistent
with definitions of a panic attack. Our aim was to test whether exercise can show
antipanic effects in healthy subjects when exposed to higher concentrations of
CO(2). METHODS: Thirty-one healthy subjects, on four separate occasions in a
randomized Latin square design, performed either moderate/hard or very-light
exercise immediately followed by either a single or a double 35% CO(2)/65% O(2)
inhalation. RESULTS: Compared to very-light exercise, when subjects performed
moderate/hard exercise they reported a reduction in panic symptoms on the Panic
Symptom List and the Visual Analogue Scale of Fear but no difference on the
Visual Analogue Scale of Discomfort after a double CO(2) inhalation. After a
single CO(2) inhalation, reductions were only seen on the Panic Symptom List.
CONCLUSIONS: After intense exercise, subjects had less panic symptoms when
exposed 35% CO(2), particularly after a double inhalation.
PMID- 21898705
TI - Combined cognitive bias modification treatment for social anxiety disorder: a
pilot trial.
AB - BACKGROUND: Cognitive Bias Modification (CBM) is a promising treatment for Social
Anxiety Disorder (SAD). However, previous randomized trials have not
systematically examined the combination of CBM for attention (CBM-A) and
interpretation (CBM-I) or the credibility and acceptability of these protocols.
METHODS: We conducted a randomized, double-blind placebo-controlled trial (N =
32) to examine the efficacy of a CBM treatment called Attention and
Interpretation Modification (AIM) for SAD. AIM comprised eight, twice weekly
computer sessions with no therapist contact. During AIM, participants (1)
completed a dot probe task in which probes always followed neutral faces when
paired with a disgust face, thereby directing attention away from threat and (2)
completed a word-sentence association task in which they received positive
feedback for making benign interpretations of word-sentence pairs and negative
feedback for making negative interpretations. We also assessed participants'
perceived credibility of and satisfaction with AIM. RESULTS: Participants
receiving AIM reported significantly reduced self-reported (Liebowitz Social
Anxiety Scale) symptoms of social anxiety relative to the placebo. These gains
were also evident on a behavioral measure (performance on an impromptu speech).
AIM met our benchmarks for credibility and acceptability in this community
sample, although credibility ratings were modest. Participants reported that CBM
I was more helpful than CBM-A. CONCLUSIONS: A combined CBM treatment produced
medium-to-large effects on social anxiety. Participants rated AIM as moderately
credibly and acceptable. Should these findings be replicated in larger samples,
AIM has the potential to be a widely accessible and efficacious treatment for
SAD.
PMID- 21898706
TI - Proinflammatory and "resiliency" proteins in the CSF of patients with major
depression.
AB - BACKGROUND: A number of studies have shown that elevated levels of inflammatory
cytokines may promote depression and suicidal ideation and that neuroprotective
peptides may decrease the response to stress and depression. In this study,
cerebrospinal fluid (CSF) levels of three inflammatory cytokines (IL-1, IL-6, and
tumor necrosis factor alpha (TNFalpha)) and two putative "resiliency"
neuropeptides (brain-derived neurotrophic factor (BDNF) and neuropeptide Y (NPY))
were compared between patients with depression and healthy controls. METHODS:
Eighteen patients with major depression and 25 healthy controls underwent a
lumbar puncture; CSF samples were withdrawn and assayed for IL-1, IL-6, TNFalpha,
BDNF, and NPY levels. Patients with depression were then entered into an 8-week
treatment protocol and had repeated lumbar puncture procedures post-treatment.
RESULTS: Contrary to prediction, we found that at baseline depressed patients had
higher CSF NPY concentration compared to the normal comparison group. Within the
depressed patients, we found several statistically significant correlations
between elevated CSF cytokine levels and clinical severity. CONCLUSION: Despite
the small sample size, given the challenges in obtaining CSF from patients with
depression these data are of interest in confirming some aspects of the
inflammatory hypothesis of depression.
PMID- 21898707
TI - Tools for translational neuroscience: PTSD is associated with heightened fear
responses using acoustic startle but not skin conductance measures.
AB - BACKGROUND: Posttraumatic stress disorder (PTSD) patients show heightened fear
responses to trauma reminders and an inability to inhibit fear in the presence of
safety reminders. Brain imaging studies suggest that this is in part due to
amygdala over-reactivity as well as deficient top-down cortical inhibition of the
amygdala. Consistent with these findings, previous studies, using fear
potentiated startle (FPS), have shown exaggerated startle and deficits in fear
inhibition in PTSD participants. However, many PTSD studies using the skin
conductance response (SCR) report no group differences in fear acquisition.
METHOD: The study included 41 participants with PTSD and 70 without PTSD. The
fear conditioning session included a reinforced conditioned stimulus (CS+, danger
cue) paired with an aversive airblast, and a nonreinforced conditioned stimulus
(CS-, safety cue). Acoustic startle responses and SCR were acquired during the
presentation of each CS. RESULTS: The results showed that fear conditioned
responses were captured in both the FPS and SCR measures. Furthermore, PTSD
participants had higher FPS to the danger cue and safety cue compared to trauma
controls. However, SCR did not differ between groups. Finally, we found that FPS
to the danger cue predicted re-experiencing symptoms, whereas FPS to the safety
cue predicted hyper-arousal symptoms. However, SCR did not contribute to PTSD
symptom variance. CONCLUSIONS: Replicating earlier studies, we showed increased
FPS in PTSD participants. However, although SCR was a good measure of
differential conditioning, it did not differentiate between PTSD groups. These
data suggest that FPS may be a useful tool for translational research.
PMID- 21898708
TI - Sooner or later: age at onset of generalized anxiety disorder in older adults.
AB - BACKGROUND: Generalized anxiety disorder (GAD) is a common disorder in older
adults, with widespread and long-lasting consequences. In this study, we assessed
the characteristics associated with lifetime GAD in community-dwelling adults
according to their age at onset of the disorder. METHODS: Study sample was
extracted from the 2007 National Survey of Mental Health and Well Being, a
nationally representative cross-sectional survey that interviewed 8,841
Australians aged between 16 and 85 years using the Composite International
Diagnostic Interview. Of the 3,178 participants aged 55-85 years, there were 227
(M = 63.7 years; 65% female) with a lifetime diagnosis of GAD who were the focus
of our analyses. RESULTS: Age at onset was defined as early (<26 years) or late
(>= 26 years), based on the median age at onset for the entire sample. The
weighted prevalence estimates for 12-month and lifetime GAD were 2.8% (95% CI:
2.0, 3.7) and 7.0% (95% CI: 5.7, 8.3), respectively, with less than one-tenth of
the participants being diagnosed after the age of 60 years. Having the first GAD
episode earlier in life was significantly associated with physical abuse during
childhood (OR = 0.34, 95% CI: 0.16, 0.75), lifetime diagnosis of dysthymia (OR =
0.34, 95% CI: 0.18, 0.67), and number of GAD episodes (OR = 0.29, 95% CI: 0.14,
0.58), after adjusting for current age and 12-month GAD. CONCLUSION: In older
adults, an earlier age at onset of GAD was associated with childhood physical
abuse and worse clinical outcomes, thus appearing to be a marker for increased
vulnerability to GAD.
PMID- 21898710
TI - Treatment-resistant depression in adolescents: review and updates on clinical
management.
AB - Treatment-resistant depression (TRD) in adolescents is prevalent and impairing.
We here review the definition, prevalence, clinical significance, risk factors,
and management of TRD in adolescents. Risk factors associated with TRD include
characteristics of depression (severity, level of hopelessness, and suicidal
ideation), psychiatric and medical comorbidities, environmental factors (family
conflict, maternal depression, and history of abuse), and pharmacokinetics and
other biomarkers. Management options include review of the adequacy of the
initial treatment, re-assessment for the above-noted factors that might
predispose to treatment resistance, switching antidepressants, and augmentation
with medication or psychotherapy. Other modalities, such as electroconvulsive
therapy, vagal nerve stimulation, and repetitive transcranial magnetic
stimulation, are also reviewed.
PMID- 21898709
TI - Newborn neurobehavioral patterns are differentially related to prenatal maternal
major depressive disorder and serotonin reuptake inhibitor treatment.
AB - BACKGROUND: Prenatal serotonin reuptake inhibitor (SRI) exposure has been related
to adverse newborn neurobehavioral outcomes; however, these effects have not been
compared to those that may arise from prenatal exposure to maternal major
depressive disorder (MDD) without SRI treatment. This study examined potential
effects of MDD with and without SRI treatment on newborn neurobehavior. METHODS:
This was a prospective, naturalistic study. Women were seen at an outpatient
research center twice during pregnancy (26-28 and 36-38 weeks gestational age
(GA)). Psychiatric diagnoses were assessed using the Structured Clinical
Interview for the DSM-IV; medication use was measured with the Timeline Follow
Back instrument. Three groups were established based upon MDD diagnosis and SRI
use: Control (N = 56), MDD (N = 20), or MDD + SRI (N = 36). Infants were assessed
on a single occasion within 3 weeks of birth with the NICU Network
Neurobehavioral Assessment Scale. Generalized Linear Modeling was used to examine
neurobehavioral outcomes by exposure group and infant age at assessment. RESULTS:
Full-term infants exposed to MDD + SRIs had a lower GA than CON or MDD-exposed
infants and, controlling for GA, had lower quality of movement and more central
nervous system stress signs. In contrast, MDD-exposed infants had the highest
quality of movement scores while having lower attention scores than CON and MDD +
SRI-exposed infants. CONCLUSION: MDD + SRI-exposed infants seem to have a
different neurobehavioral profile than MDD-exposed infants in the first 3 weeks
after delivery; both groups may have different neurobehavioral profiles with
increasing age from birth.
PMID- 21898712
TI - Auditory novelty processing is enhanced in obsessive-compulsive disorder.
AB - BACKGROUND: Cognitive models propose that anxiety disorders are associated with
an attentional bias toward potentially threatening stimuli. In this study, it was
analyzed whether patients with obsessive-compulsive disorder (OCD) show enhanced
responses of their event-related brain potentials to novel stimuli, either in a
context of potential threat or in a neutral context. METHODS: In this study, 20
OCD patients and 20 matched healthy control subjects performed a visual
recognition task during which irrelevant repeated standard sounds and unitary
novel sounds were interspersed. RESULTS: As expected, OCD patients showed an
increase in the novelty-P3 amplitude elicited by unitary novel sounds. However,
no effect of emotional context conditions was observed. CONCLUSION: It is
suggested that the novelty P3 amplitude increase in OCD patients represents a
physiological indicator of an enhanced cortical orienting response implicating
stronger involuntary shifts of attention. This characteristic is driven by
novelty per se and not moderated by potential threat of upcoming events.
PMID- 21898711
TI - Improving the antidepressant efficacy of transcranial magnetic stimulation:
maximizing the number of stimulations and treatment location in treatment
resistant depression.
AB - OBJECTIVE: To assess the efficacy of increasing the number of fast left
repetitive transcranial magnetic stimulations (rTMS) (10 Hz @ 120% of motor
threshold (MT) over the left dorsolateral prefrontal cortex (DLPFC)) needed to
achieve remission in treatment-resistant depression (TRD). And, to determine if
patients who do not remit to fast left will remit using slow right rTMS (1 Hz @
120% MT over the right DLPFC). METHOD: Patients were part of a multicenter sham
controlled trial investigating the efficacy of fast left rTMS. Patients who
failed to meet minimal response criteria in the sham-controlled study could
enroll in this open fast left rTMS study for an additional 3-6 weeks. Patients
who failed to remit to fast left could switch to slow right rTMS for up to 4
additional weeks. The final outcome measure was remission, defined as a HAM-D
score of <3 or 2 consecutive HAM-D scores less than 10. RESULTS: Forty-three of
141 (30.5%) patients who enrolled in the open phase study eventually met criteria
for remission. Patients who remitted during fast left treatment received a mean
of 26 active treatments (90,000 pulses). Twenty-six percent of patients who
failed fast left remitted during slow right treatment. CONCLUSION: The total
number of rTMS stimulations needed to achieve remission in TRD may be higher than
is used in most studies. TRD patients who do not respond to fast left rTMS may
remit to slow right rTMS or additional rTMS stimulations.
PMID- 21898713
TI - Coping flexibility and complicated grief: a comparison of American and Chinese
samples.
AB - BACKGROUND: The ability to process a death and the ability to remain optimistic
and look beyond the loss are both thought to be effective means of coping with
loss and other aversive events. Recently, these seemingly contrary dimensions
have been integrated into the idea of coping flexibility. METHODS: In this study,
we assessed the ability of married and bereaved individuals in the United States
and Hong Kong to use both coping approaches as operationalized by the trauma
focused and forward-focused coping scales of a previously validated
questionnaire. We also calculated a single flexibility score. RESULTS: Bereaved
participants reported greater trauma-focused coping ability than did married
participants. However, bereaved participants meeting criteria for complicated
grief (CG) reported less forward-focused coping than both asymptomatic bereaved
and married participants. The CG group also showed less overall coping
flexibility than the asymptomatic bereaved and married groups. Country was not a
factor. CONCLUSION: Findings suggest that deficits in coping flexibility are
indicative of pathology in bereaved individuals, and that this relationship
extends across cultures. Limitations of the study and directions for future
research are discussed.
PMID- 21898714
TI - Depression treatment and maternal functioning.
AB - BACKGROUND: In women with major depressive disorder (MDD), maternal role
functioning is negatively impacted but has been shown to improve with treatment;
however, most investigations have not included a control group or studied women
longitudinally. We hypothesized that women with MDD who responded to serotonin
selective reuptake inhibitors (SSRIs) would have overall functioning and maternal
role functioning scores similar to that of the control group and superior to
women with MDD (either untreated or nonresponsive to SSRIs). METHODS: This
prospective, longitudinal observational study (n = 215) included postpartum
assessments at 2 1/2 weeks, 3 months, 6 months, and 12 months. Postpartum women
were categorized into four mutually exclusive exposure groups by depression and
medication status: (1) Control group (no SSRI, no MDD; (2) Responder (SSRI, no
MDD); (3) Untreated (MDD, no SSRI); and (4) Nonresponder (Both MDD and SSRI).
Outcome variables include a measure of overall functioning (Global Assessment
Scale, GAS) and three measures of maternal role functioning (Maternal Self
Efficacy, ICS; Gratification in Maternal Role, GRAT; and overall maternal role
functioning, IFSAC). RESULTS: The study hypothesis was supported. Responders had
scores related to overall functioning and maternal role functioning that were
similar to the control group and superior to nonresponders and untreated women
with MDD, as measured by the GAS and the GRAT. CONCLUSION: Postpartum depression
treatment optimally targets both symptom improvement and maternal functional
recovery. The GRAT is a simple, self-administered instrument that can be used
with a depression measure to assess maternal role functioning.
PMID- 21898715
TI - Incidence and predictors of relapse during continuation treatment of major
depression with SSRI, interpersonal psychotherapy, or their combination.
AB - BACKGROUND: Despite the availability of many effective treatments, patients with
major depression remain at risk for relapse following remission of a depressive
episode. The aims of this report are to estimate the relapse rates associated
with the acute treatment strategies employed in this study and to investigate
demographic and clinical predictors of relapse. METHODS: The study sample
includes 225 patients who entered the 6-month continuation treatment phase after
remitting from an acute depressive episode. Treatment during the acute phase was
interpersonal psychotherapy, SSRI (escitalopram), or the combination of the two
when monotherapy did not lead to response. Relapse was defined by a Hamilton
Depression Rating Scale score >=15, confirmed by the diagnosis of major
depression. The probability of relapsing was modeled using logistic regression.
Three separate models were fit with subgroups of covariates. RESULTS: Of the 225
patients, 29 (12.9%) relapsed and 28 (12.4%) discontinued the protocol
prematurely. The proportion of patients who relapsed among the group requiring
combination treatment to achieve remission was three times as high as among
patients who had remitted with monotherapy. In the final logistic regression
model, older age, higher baseline HDRS scores, last month (residual) depressive
mood spectrum factor score, and requiring combination treatment to achieve
remission were each associated with an increased likelihood of relapse.
CONCLUSIONS: Our results suggest that greater initial depression severity,
greater difficulty in stabilizing symptoms, and presence of residual mood
spectrum symptoms once remission is achieved are predictive of relapse. Risk of
relapse is more likely as age increases, partly because aging confers lower
resilience.
PMID- 21898716
TI - Cerebral responses to emotional expressions and the development of social anxiety
disorder: a preliminary longitudinal study.
AB - BACKGROUND: Cross-sectional studies report biased reactivity to facial
expressions among shy children, anxious adolescents, and adults with social
anxiety disorder (SAD). It remains unknown whether cerebral reactivity to facial
expressions can predict longitudinally the development of SAD in adolescence and
characterize the degree of social anxiety among the general population of
adolescents. METHODS: In a longitudinal study of 21 general population volunteers
characterized for behavioral and genetic variables, N400 event-related
potentials, and 3-Tesla fMRI activations in response to happy/neutral/angry
expressions were acquired at age 8-9 and 14-15, respectively. RESULTS: By
stepwise regression, N400 amplitudes acquired at age 8-9 predicted the number of
DSM-IV SAD symptoms at age 14-15, with the sole, significant (P = .018)
contribution of the "anger" condition. Factorial ANOVA revealed increased (Voxel
Level P((FWE)) range: .02-.0001) bilateral fMRI activations of several brain
areas, including the amygdala, in response to facial expressions compared to a
fixation cross. The number of symptoms of DSM-IV SAD was positively correlated
with left amygdala response to angry (P((FWE)) = .036) and neutral (P((FWE)) =
.025) facial expressions. Factorial ANOVA revealed that the 5-HTTLPR -S allele
was associated with heightened left amygdala response to anger (P((FWE)) = .05).
CONCLUSION: Cerebral reactivity to facial expressions, anger especially, measured
at different developmental stages by different techniques is associated with
adolescence SAD. The 5-HTTLPR genotype affects the neural processing of
interpersonal affective stimuli during development.
PMID- 21898717
TI - Does the presence of accompanying symptom clusters differentiate the comparative
effectiveness of second-line medication strategies for treating depression?
AB - BACKGROUND: We explored whether clinical outcomes differ by treatment strategy
following initial antidepressant treatment failure among patients with and
without clinically relevant symptom clusters. METHODS: The Sequenced Treatment
Alternatives to Relieve Depression (STAR*D) trial was used to examine depression
remission and response in patients with coexisting anxiety, atypical features,
insomnia, and low energy. We applied propensity scoring to control for selection
bias that precluded comparisons between augmentation and switch strategies in the
original trial. Binomial regressions compared the likelihood of remission or
response among patients with and without symptom clusters for switch versus
augmentation strategies (n = 269 per arm); augmentation strategy type (n = 565);
and switch strategy type (n = 727). RESULTS: We found no statistically
significant difference in remission or response rates between augmentation or
switch strategies. However, symptom clusters did distinguish among augmentation
and switch strategies, respectively. For patients with low energy, augmentation
with buspirone was less likely to produce remission than augmentation with
bupropion (remission Risk Ratio (RR): 0.54, 95% CI: 0.35-0.85, response RR: 0.67,
95% CI: 0.43, 1.03). Also, for patients with low energy, switching to venlafaxine
or bupropion was less likely to produce remission than switching to sertraline
(RR: 0.59, 95% CI: 0.36-0.97; RR: 0.63, 95% CI: 0.38-1.06, respectively).
CONCLUSIONS: Remission and response rates following initial antidepressant
treatment failure did not differ by treatment strategy for patients with
coexisting atypical symptoms or insomnia. However, some second-step treatments
for depression may be more effective than others in the presence of coexisting
low energy. Subsequent prospective testing is necessary to confirm these initial
findings.
PMID- 21898718
TI - Buffalo (Bubalus bubalis) epiphyseal proteins give protection from arsenic and
fluoride-induced adverse changes in acetylcholinesterase activity in rats.
AB - The objective of this study was to determine the effect of fluoride (F) and
arsenic (As) on the activity of acetylcholinesterase (AChE), a critically
important nervous system enzyme, and to test the protective role of buffalo
epiphyseal (pineal) proteins (BEP) in rats. Arsenic (20 mg/kg BW,
intraperitoneally) and F (150 ppm, perorally) were exposed, and BEP was
administered intraperitoneally (100 MU g/kg BW) along with F and As to rats for 7
days. As and F exposure significantly (p < 0.05) increased their levels in plasma
and decreased the activity of AChE in plasma, RBCs, heart, and brain of rats.
Interestingly, As- and F-induced inhibition of AChE activities increased As and F
levels in plasma, and organs were significantly (p < 0.05) counteracted by BEP
administration. These findings indicate the protective role of buffalo (Bubalus
bubalis) epiphyseal proteins on F- and As-induced adverse changes in AChE
activity as a candidate biomarker for neurotoxicity in female rats.
PMID- 21898719
TI - Induction of neuronal damage in guinea pig brain by intratracheal infusion of 2
chloroethyl ethyl sulfide, a mustard gas analog.
AB - Intratracheal infusion of 2-chloroethyl ethyl sulfide (CEES), a mustard gas
analog and a chemical warfare agent is known to cause massive damage to lung. The
purpose of this study was to determine whether intratracheal CEES infusion causes
neuronal damage. Histological, immunohistochemical, and Western blot studies
indicated that CEES treatment caused dose-dependent increases in blood cell
aggregation, microglial cell number, microglial activation, and brain
inflammation. In addition, an increased expression of alpha-synuclein and a
decreased expression of the dopamine transporter were observed. The results
indicate that intratracheal CEES infusion is associated with changes in brain
morphology mediated by an increase in alpha-synuclein expression, leading to
neurotoxicity in a guinea pig model. These changes may be mediated by oxidative
stress. Furthermore, the present study indicates for the first time that
intratracheal infusion of a single dose of CEES can cause neuroinflammation,
which may lead to neurological disorders in later part of life.
PMID- 21898720
TI - Enhanced cardioprotective effects by coexpression of two isoforms of hepatocyte
growth factor from naked plasmid DNA in a rat ischemic heart disease model.
AB - BACKGROUND: The therapeutic potential of pCK-HGF-X7, a naked DNA designed to
express two isoforms of hepatocyte growth factor (HGF(723) and HGF(728) ), was
studied in the rat ischemic heart disease model. METHODS: First, the kinetics of
gene expression was examined by injecting pCK-HGF-X7 DNA into the rat heart.
Second, the cardioprotective effects were compared between the two naked DNA
constructs, expressing a single (HGF(728) ) or both isoforms (HGF(728) and
HGF(723) ) of HGF, in the rat ischemic heart disease model. The ischemic injury
to the rat heart was created by ischemia-reperfusion in the anterior descending
artery. The respective naked DNA constructs were injected into the anterior wall
of the rat heart with the ischemia-reperfusion injury. Cardiac function,
capillary density and anti-fibrotic activity were compared between the two naked
DNA constructs. RESULTS: The intramyocardial administration of pCK-HGF-X7
resulted in transient and localized HGF expression for 3 weeks. At its peak,
approximately 678 pg (per mg of tissue protein) of HGF was produced in the
injected heart without an increase of HGF protein level in other tissues, and
serum. pCK-HGF-X7 more efficiently improved the left ventricular ejection
fraction and the systolic anterior wall thickness, increased the capillary
density, and inhibited myocardial fibrosis, in a statistically significant
manner, compared to the identical vector encoding HGF(728) only. CONCLUSIONS:
These results demonstrate that transfer of the genomic-cDNA hybrid expressing
both isoforms of the HGF gene might provide higher therapeutic effects than the
cDNA sequence producing HGF(728) alone in the treatment of ischemic heart
disease.
PMID- 21898721
TI - Lentiviral-based BMP4 in vivo gene transfer strategy increases pull-out tensile
strength without an improvement in the osteointegration of the tendon graft in a
rat model of biceps tenodesis.
AB - BACKGROUND: The present study aimed to develop a rat model of biceps tenodesis
and to assess the feasibility of a lentiviral (LV)-based bone morphogenetic
protein (BMP) 4 in vivo gene transfer strategy for healing of biceps tenodesis.
METHODS: A rat model of biceps tenodesis was developed with an interference-fit
open surgical technique. A LV vector expressing a BMP4 gene or beta-galactosidase
(beta-gal) control gene was applied to the bone tunnel and the tendon graft
before its insertion into the bone tunnel. Osteointegration was assessed by
histology and pull-out tensile strength was measured by a biomechanical test
suitable for small rat biceps tendon grafts. RESULTS: Neo-chondrogenesis was seen
at the tendon-bone interface of LV-BMP4-treated but not control rats. The LV-BMP4
treated rats showed 32% (p < 0.05) more newly-formed trabecular bone at the
tendon-bone junction than the LV-beta-gal-treated controls after 3 weeks.
However, the sites of neo-chondrogenesis and new bone formation in the LV-BMP4
treated tenodesis were highly spotty. Although the LV-BMP4 strategy did not
promote bony integration of the tendon graft, it yielded a 29.5 +/- 11.8% (p =
0.066) increase in improvement the pull-out strength of rat biceps tendons
compared to the LV-beta-gal treatment after 5 weeks. CONCLUSIONS: Although the LV
BMP4 in vivo gene transfer strategy did not enhance osteointegration of the
tendon graft, it yielded a marked improvement in the return of the pull-out
strength of the tendon graft. This presumably was largely a result of the bone
formation effect of BMP4 that traps or anchors the tendon graft onto the bony
tunnel.
PMID- 21898722
TI - Modular design in natural and biomimetic soft materials.
AB - Under eons of evolutionary and environmental pressure, biological systems have
developed strong and lightweight peptide-based polymeric materials by using the
20 naturally occurring amino acids as principal monomeric units. These materials
outperform their man-made counterparts in the following ways: 1)
multifunctionality/tunability, 2) adaptability/stimuli-responsiveness, 3)
synthesis and processing under ambient and aqueous conditions, and 4)
recyclability and biodegradability. The universal design strategy that affords
these advanced properties involves "bottom-up" synthesis and modular,
hierarchical organization both within and across multiple length-scales. The
field of "biomimicry"-elucidating and co-opting nature's basic material design
principles and molecular building blocks-is rapidly evolving. This Review
describes what has been discovered about the structure and molecular mechanisms
of natural polymeric materials, as well as the progress towards synthetic
"mimics" of these remarkable systems.
PMID- 21898724
TI - Synthesis and reactions of N-heterocyclic carbene boranes.
AB - Boranes are widely used Lewis acids and N-heterocyclic carbenes (NHCs) are
popular Lewis bases, so it is remarkable how little was known about their derived
complexes until recently. NHC-boranes are typically readily accessible and many
are so stable that they can be treated like organic compounds rather than
complexes. They do not exhibit "borane chemistry", but instead are proving to
have a rich chemistry of their own as reactants, as reagents, as initiators, and
as catalysts. They have significant potential for use in organic synthesis and in
polymer chemistry. They can be used to easily make unusual complexes with a broad
spectrum of functional groups not usually seen in organoboron chemistry. Many of
their reactions occur through new classes of reactive intermediates including
borenium cations, boryl radicals, and even boryl anions. This Review provides
comprehensive coverage of the synthesis, characterization, and reactions of NHC
boranes.
PMID- 21898723
TI - Expanded click conjugation of recombinant proteins with ubiquitin-like modifiers
reveals altered substrate preference of SUMO2-modified Ubc9.
AB - Wrestling with SUMO: the chemical conjugation of proteins with small ubiquitin
like modifiers (SUMO) can be achieved by a copper(I)-catalyzed cycloaddition and
unnatural amino acid mutagenesis. This approach overcomes previous restrictions
related to the primary sequence of proteins and coupling conditions. Moreover,
biochemical data suggests that this triazole linkage presents the modifier in a
proper distance and orientation relative to the target protein.
PMID- 21898725
TI - Triple-layer (au@perylene)@polyaniline nanocomposite: unconventional growth of
faceted organic nanocrystals on polycrystalline Au.
AB - Unconventional crystal growth: core/shell nanocrystals were obtained by growth of
a dominant single-crystalline phase of perylene over polycrystalline Au
nanoparticle seeds and isolated by coating with polyaniline (PANI) shells.
Perylene is released in the presence of sodium dodecyl sulfate (SDS) micelles.
The TEM images show (Au@perylene)@PANI nanocomposites before and after complete
release of perylene leaving Au@PANI (inset).
PMID- 21898726
TI - TOPP: a novel nitroxide-labeled amino acid for EPR distance measurements.
PMID- 21898727
TI - A general method for synthesis of GPI anchors illustrated by the total synthesis
of the low-molecular-weight antigen from Toxoplasma gondii.
AB - Building blocks: a new, general synthetic strategy, which allows the construction
of branched glycosylphosphatidylinositols (GPIs), enables the synthesis of
parasitic glycolipid 1 from Toxoplasma gondii. In addition, the structure is
further confirmed by recognition of monoclonal antibodies.
PMID- 21898728
TI - Generation of alpha,beta-unsaturated iminium ions by laser flash photolysis.
AB - Two at a time: alpha,beta-Unsaturated iminium ions can be generated by laser
flash photolysis of enaminophosphonium ions. The rate constants of their
reactions with nucleophiles provide the first direct comparison of the
electrophilicities of iminium ions derived from MacMillan's first- and second
generation catalysts.
PMID- 21898729
TI - Induced nanoelectrospray ionization for matrix-tolerant and high-throughput mass
spectrometry.
AB - No-contact rule: the title method is ultra-sensitive, high-throughput (4 samples
per second), easily multiplexed, and is compatible with serum, urine, and
concentrated salt solutions. Other features of this method, which avoids physical
contact between the electrode and the solvent, include sample economy and the
ability to produce both positive and negative-ion spectra in one cycle.
PMID- 21898730
TI - Light-responsive capture and release of DNA in a ternary supramolecular complex.
PMID- 21898731
TI - Interlayer-crosslinked micelle with partially hydrated core showing reduction and
pH dual sensitivity for pinpointed intracellular drug release.
PMID- 21898733
TI - Carbon dioxide in ionic liquid microemulsions.
AB - Tailor-made emulsion: a CO(2) -in-ionic-liquid microemulsion was produced for the
first time. The CO(2)-swollen micelles are "tunable" because the micellar size
can be easily adjusted by changing the pressure of CO(2). The microemulsion has
potential applications in materials synthesis, chemical reactions, and
extraction.
PMID- 21898734
TI - Domino reactions consisting of heterocyclization and 1,2-migration-redox-neutral
and oxidative transition-metal catalysis.
AB - Two cats, two paths: two novel domino reactions starting from 6-hydroxy-2-alkyl-2
alkynylcyclohexanones have been discovered. While redox-neutral platinum
catalysis gives rise to furans through a sequence of cyclization, 1,2-shift, and
Grob fragmentation, oxidative copper catalysis provides an entry to bicyclic 2,3
dihydrofurans. Upon cyclization and oxidation, an unusual benzilic acid
rearrangement can take place in this case.
PMID- 21898735
TI - Methyltransferase activity of an iridium center with methylpyridinium as
methylene source.
AB - Hop on, hop off: an iridium center transfers a methyl group from pyridinium to an
aryl unit, using exclusively the pyridine-bound methyl group as a mild methylene
source. The reaction also involves cleavage of an unactivated C(aryl)-H bond and
nitrile solvent activation. The process is reminiscent of DNA methylation and
entails the formation of two new C(sp(2))-C(sp(3)) bonds within the metal
coordination sphere.
PMID- 21898736
TI - Regio- and enantioselective hydroamination of dienes by gold(I)/menthol
cooperative catalysis.
AB - Alcohol is key: regio- and enantioselective hydroamination of 1,3-dienes has been
achieved with the dinuclear catalyst (R)-DTBM-SEGPHOS. The rate and selectivity
of the reaction are enhanced by alcohol additives like menthol, which coordinates
the cationic gold(I) to generate a Bronsted acid that can participate in
catalysis. Mbs=p-methoxybenzenesulfonyl.
PMID- 21898737
TI - Capture and visualization of hydrogen sulfide by a fluorescent probe.
PMID- 21898738
TI - Synthesis of a bicyclobutane fatty acid identified from the cyanobacterium
Anabaena PCC 7120.
AB - By design: a carbanion-mediated cyclization reaction cascade serves as the key
final step in the total synthesis of a novel oxylipin, which features a strained
bicyclo[1.1.0]butane conjugated to a labile vinyl epoxide.
PMID- 21898739
TI - An organophilic pervaporation membrane derived from metal-organic framework
nanoparticles for efficient recovery of bio-alcohols.
PMID- 21898740
TI - Enantioselective total synthesis and studies into the configurational stability
of bismurrayaquinone A.
AB - Lost in rotation: the concise strategy of the first enantioselective total
synthesis of bismurrayaquinone A utilized traceless stereochemical exchange to
form an enantioenriched biphenyl core that was elaborated in a bidirectional
manner to the natural product. Observed racemization on an unsuccessful initial
route prompted studies into the configurational stability of bismurrayaquinone A
and related biquinones.
PMID- 21898741
TI - Low-cost copper zinc tin sulfide counter electrodes for high-efficiency dye
sensitized solar cells.
PMID- 21898742
TI - Purification and magnetic interrogation of hybrid Au-Fe3O4 and FePt-Fe3O4
nanoparticles.
AB - Purifying heterodimers: differential magnetic catch and release separation is
used to purify two important hybrid nanocrystal systems, Au-Fe(3)O(4) and FePt
Fe(3)O(4). The purified samples have substantially different magnetic properties
compared to the as-synthesized materials: the magnetization values are more
accurate and magnetic polydispersity is identified in morphologically similar
hybrid nanoparticles.
PMID- 21898751
TI - beta-Sheet-induced chirogenesis in polymerization of oligopeptides.
AB - The origin of long homochiral biopolymers in living systems has recently been the
focus of intense research. In one particular research line, scientists studied,
experimentally and theoretically, chiral amplification obtained during peptide
formation by polymerization of amino acid building blocks. It was suggested that
processes leading to temporal or spatial separation, and thus, to the growth of
homochiral polymers at the expense of their heterochiral counterparts, can
explain the chirality observed in larger molecules. We introduce a simple model
and stochastic simulation for the polymerization of amino acids and beta-sheet
formation, showing the crucial effects of the beta sheets on the distributions of
peptide lengths. When chiral affinities are included, racemic beta sheets of
alternating homochiral strands lead to the formation of chiral peptides, the
isotacticity of which increases with length, consistent with previous
experimental results in aqueous solutions. The tendency to form isotactic
peptides is shown for both initially racemic and initially nonracemic systems, as
well as for closed and open systems. We suggest that these or similar mechanisms
may explain the origin of chiroselectivity in prebiotic environments.
PMID- 21898752
TI - Polydiacetylene vesicles containing alphaalpha-cyclodextrin and azobenzene as
photocontrolled nanocarriers.
PMID- 21898753
TI - Diabetes mellitus and risk of hepatocellular carcinoma: a systematic review and
meta-analysis.
AB - Studies of diabetes and hepatocellular carcinoma (HCC) yielded inconsistent
findings. This meta-analysis was conducted to examine the association between
diabetes and risk of HCC. Studies were identified by searching PUBMED and MEDLINE
database up to February 2011. Pooled risk estimates were calculated using the
random-effects model. Potential sources of heterogeneity were explored by
subgroup analyses. A total of 17 case-control studies and 32 cohort studies were
included in the meta-analysis. The combined risk estimate of all studies showed a
statistically significant increased risk of HCC prevalence among diabetic
individuals (RR = 2.31, 95% CI: 1.87-2.84). The pooled risk estimate of 17 case
control studies (OR = 2.40, 95% CI: 1.85-3.11) was slightly higher than that from
25 cohort studies (RR = 2.23, 95% CI: 1.68-2.96). Metformin treatment was
potentially protective. On the contrary, long duration of diabetes and
sulfonylureas or insulin treatment possibly increase HCC risk. Also meta-analysis
of 7 cohort studies found a statistically significant increased risk of HCC
mortality (RR = 2.43, 95% CI: 1.66-3.55) for individuals with (versus without)
diabetes. This meta-analysis shows that diabetes is associated with moderately
increased risk of HCC prevalence, as well as HCC mortality. Considering the
rapidly increasing prevalence of diabetes mellitus, the study underlines the need
for cancer prevention in diabetic individuals. Further investigation is needed to
focus on the potential mechanism for the pathogenesis of HCC and the link between
HCC and different types, severity, treatment and duration of diabetes.
PMID- 21898754
TI - Effects of a combination of oral anti-diabetes drugs with basal insulin therapy
on beta-cell function and glycaemic control in patients with newly diagnosed type
2 diabetes.
AB - BACKGROUND: Oral anti-diabetes drugs plus basal insulin (OAD + insulin) therapy
in patients with newly diagnosed type 2 diabetes might improve beta-cell function
and result in extended glycaemic remission. This randomised trial compared the
effect on beta-cell function and diabetes remission rate between oral drug alone
or with addition of basal insulin. METHODS: One hundred and twenty-nine patients,
aged 35-50 years, were enrolled between June 2005 and June 2009. For initial
correction of hyperglycaemia, patients with fasting plasma glucose >=9.0 mmol/L
and HbA(1c) >= 9.0%, were randomly assigned to therapy with oral drugs + insulin
or oral drugs alone. Treatment was stopped after normoglycaemia was maintained
for 3 months. Patients were then followed-up with diet and physical exercise.
Blood glucose, HbA(1c) and insulin were measured prior to treatment and at 1-year
follow-up. RESULTS: More patients achieved target glycaemic control in the oral
drugs + insulin group [98.3% (58 of 59)] in less time [(10.4 +/- 2.5) days] than
those in the oral drug group [95.7% (67 of 70) and (12.4 +/- 3.4) days]. At 1
year follow-up, more patients maintained target glycaemia without any drugs in
the oral drug + insulin group than in the oral drug group [37.9% (22 of 58) vs
20.9% (14 of 67)]. Both treatments improved homeostasis model assessment-beta
(HOMA-beta) and homeostasis model assessment-insulin resistance (HOMA-IR)
significantly. They had similar effects on insulin resistance [lg(HOMA-IR): (0.50
+/- 0.09) vs (0.48 +/- 0.09), p = 0.23]. However, oral drugs + insulin could
recover beta-cell function much more than OAD alone could [lg(HOMA-beta): (2.17
+/- 0.14) vs (2.11 +/- 0.13), p = 0.03]. CONCLUSION: In newly diagnosed type 2
diabetes, therapy with oral drugs + insulin has had favourable outcomes on
recovery and maintenance of beta-cell function and protracted glycaemic remission
compared with treatment with oral drugs alone.
PMID- 21898755
TI - Discontinuation of statins among patients with type 2 diabetes.
AB - BACKGROUND: Statins play an important role in the prevention of cardiovascular
disease in type 2 diabetes. Several studies have reported low adherence with
statins among patients with type 2 diabetes. Studies comparing discontinuation of
statins compared with discontinuation of oral anti-diabetics within the same
individuals before and after initiation of oral anti-diabetic drugs are not
available. The aim of this study was to describe discontinuation among patients
with type 2 diabetes prescribed statins prior to and after initiation of oral
anti-diabetics and to compare statin discontinuation with discontinuation of oral
anti-diabetics. METHODS: We report an observational cohort study among patients
initiating treatment with statins prior to or after initiation of oral anti
diabetics between 1999 and 2007. Patients were classified as starting statins
prior to initiation (Prior users) or after initiation (After users) of anti
diabetics. Discontinuation was defined as an interval of 180 days or more between
the theoretical end date of a statin/anti-diabetic prescription and the
dispensing date of the next statin/anti-diabetic prescription. RESULTS AND
CONCLUSIONS: We included 3323 starters with oral anti-diabetic drugs in our
study; 2072 patients initiated statins in the period of observation.
Discontinuation rates for statins were higher compared with oral anti-diabetics
(52.1 vs 15.0%). After users discontinued statin therapy more frequently compared
to prior users (62.8 vs 48.2%). Discontinuation of statins is higher compared
with anti-diabetic discontinuation. Patients starting statins after the
initiation of oral anti-diabetic treatment are more likely to discontinue
treatment than patients who initiate statins before the start of oral anti
diabetics.
PMID- 21898756
TI - Synthesis and properties of a new red luminescence europium complex containing a
2-(benzimidazol-2-yl)-8-octyloxyquinoline as the second ligand.
AB - A new Eu(III) complex, Eu(III)(DBM)(3) BIOQ, has been synthesized with
dibenzoylmethane (DBM) as the first ligand and 2-(benzimidazol-2-yl)-8
octyloxyquinoline (BIOQ) as the second ligand. The stability of the complex was
analysed by DSC-TG. The results show that the Eu(III) complex has a relatively
high thermal stability with a melting point of 235 degrees C and a decomposition
temperature (onset) of 252 degrees C. The fluorescence properties of the
compound were also investigated. The fluorescence results reveal that the as
prepared complex shows the characteristic maximum emission spectra of Eu(III) at
611 nm (lambda(ex) = 350 nm). In addition, the photoluminescence spectrum of the
complex in the solid state exhibits a single and symmetrical emission band at 611
nm, with a full width at half-maximum of 4.7 nm, showing high colour purity. This
finding indicates the possibility for the development of brighter red luminescent
materials.
PMID- 21898757
TI - Distribution and frequency of VKORC1 sequence variants conferring resistance to
anticoagulants in Mus musculus.
AB - BACKGROUND: Emerging resistance to anticoagulant rodenticides may significantly
impair house mouse (Mus musculus L.) control. As in humans and rats, sequence
variants in the gene vitamin K epoxide reductase complex subunit 1 (VKORC1) of
house mice are strongly implicated in the responses of mice to anticoagulants.
This study gives a first overview of the distribution and frequency of such
potentially resistance-conferring sequence variants in house mice, based on
tissue samples from 30 populations in Germany, Switzerland and the Azores.
RESULTS: Except for one population from south Germany, sequence variants were
found in individuals from all locations sampled (29 out of 30 sites surveyed),
with less than 10% of the individuals matching the wild-type genotype. The most
frequent and widespread amino acid substitutions were Leu128Ser, Tyr139Cys and a
group of linked sequence changes (Arg12Trp/Ala26Ser/Ala48Thr/Arg61Leu). Where
these substitutions occurred as the sole variant, the proportion of homozygous
individuals was 72-83%. CONCLUSIONS: An evaluation of published data revealed
that the three most frequently found sequence variants are associated with a
substantial loss of rodenticide efficacy of first-generation anticoagulants (e.g.
warfarin, coumatetralyl), as well as the second-generation compound bromadiolone
and most probably also difenacoum. Knowledge of the distribution and frequency of
resistance-conferring sequence variants will stimulate their further functional
characterisation and facilitate the choice of effective active substances for
house mouse control.
PMID- 21898758
TI - Current- and past-use pesticide prevalence in drainage ditches in the Lower
Mississippi Alluvial Valley.
AB - BACKGROUND: Pesticide application is common in agriculture and often results in
applied pesticides entering adjacent aquatic systems. This study seasonally
analyzed a suite of 17 current- and past-use pesticides in both drainage waters
and sediments to evaluate the prevalence of pesticides in drainage ditches across
the Lower Mississippi Alluvial Valley (LMAV). RESULTS: There were significantly
higher concentrations (P<0.05) of current-use than past-use pesticides; however,
there were consistently high numbers of detections of past-use pesticides in
sediments. Sediment pesticide concentrations were an order of magnitude higher
(150-1035 ug kg(-1)) than water samples (6-20.9 ug L(-1)). Overall, 87% of all
samples analyzed for current- and past-use pesticides were non-detects. p,p'-DDT
was detected in 47.5% of all drainage waters and sediments sampled. There were
significant correlations (0.372>=r2<=0.935) between detected current-use water
and sediment concentrations, but no significant correlations between past-use
water and sediment concentrations. CONCLUSION: Overall, there was a high
percentage (87%) of sediment and water samples that did not contain detectable
concentrations above the lower limit of analytical detection for each respective
pesticide. This lack of pesticide prevalence highlights the improved conditions
in aquatic systems adjacent to agriculture and a potential decrease in toxicity
associated with pesticides in agricultural landscapes in the LMAV.
PMID- 21898759
TI - A historic account of the invasion of Drosophila suzukii (Matsumura) (Diptera:
Drosophilidae) in the continental United States, with remarks on their
identification.
AB - BACKGROUND: Drosophila suzukii is an oriental species first reported outside Asia
from Hawaii in 1980. The first confirmed records for the continental United
States were made in 2008 in California. The identification of this pest is
difficult because very few published resources exist. RESULTS: It has since been
recorded in Oregon, Washington, British Columbia, Alberta, Manitoba, Ontario,
Quebec, Utah, Michigan, Wisconsin, Louisiana, North Carolina, South Carolina and
Florida. Males are relatively easy to identify by the black apical wing spots and
the single row of combs on the first and second tarsal segment of the fore leg.
The male genitalia are also very characteristic and will aid in identifying
teneral specimens. Females can be identified by the large ovipositor, which is 6
7 times as long as the diameter of the spermatheca. Immature stages can only be
identified by molecular techniques. CONCLUSION: Although this species has been
recorded from many US states and Canadian provinces, it has not been established
in all of these places, and the main economic damage is restricted to the western
part of North America. With the characters laid out in this paper, it should be
possible to identify the pest with high certainty.
PMID- 21898760
TI - IPM program development for an invasive pest: coordination, outreach and
evaluation.
AB - BACKGROUND: Spotted wing drosophila (SWD), Drosophila suzukii Matsumura, was
found along the west coast of the United States, beginning in 2008 and 2009,
infesting a wide variety of small and stone fruit crops. This pest is a serious
economic threat, as noted in its native range (Asia), because it lays eggs within
ripening fruit before harvest, leading to crop loss. The aim of this paper is to
describe the process in order to create collaboration, communication routes and
evaluation methods in response to a new invasive pest. RESULTS: Funding was
secured and a program (SWD*IPM) was quickly developed to address social, economic
and biological components. Communication routes were outlined, and a stakeholder
advisory panel was established to guide program objectives. A central website was
created to host up-to-date information. An online monitoring and mapping program
for D. suzukii in Oregon fruit-growing regions illustrated the range,
distribution and seasonal abundance of the pest. In addition, a program for
backyard fruit growers was initiated to examine citizen scientists' roles in
managing D. suzukii infestations in the urban setting. A monitoring kit,
laminated educational cards, dry fly mounts and quick-time videos were some of
the tools used to educate growers. First-year challenges for dealing with a new
pest are discussed. CONCLUSION: The discovery and subsequent response to an
exotic pest is information intensive and requires a well-planned, coordinated
Extension and evaluation effort.
PMID- 21898761
TI - DNApol-epsilon gene is indispensable for the survival and growth of Drosophila
melanogaster.
AB - Based on deletion and complementation mapping and DNA sequencing, a new recessive
fully penetrant mutation (DNApol-epsilonpl10R), causing prolonged larval life and
larval/early pupal lethality, is identified as the first mutant allele of the
DNApol-epsilon (CG6768) gene of Drosophila melanogaster. A same-sense base pair
substitution in exon 1 of the DNApol-epsilon gene is associated with retention of
the first intron and significant reduction in DNApol-epsilon transcripts in
DNApol-epsilonpl10R homozygotes. Homozygous mutant larvae show small imaginal
discs with fewer cells and reduced polyteny in salivary glands, presumably
because of the compromised DNA polymerase function following exhaustion of the
maternal contribution. Extremely small and rare DNApol-epsilonpl10R homozygous
somatic clones in DNApol-epsilonpl10R/+imaginal discs confirm their poor mitotic
activity. The DNApol-epsilonpl10R homozygotes, like those expressing DNApol
epsilon-RNAi transgene, show high sensitivity to DNA damaging agents. The first
mutant allele of the DNApol-epsilon gene will facilitate functional
characterization of this enzyme in the genetically tractable Drosophila model.
PMID- 21898762
TI - X chromosome inactivation: a silence that needs to be broken.
AB - Each mammalian female cell transcriptionally inactivates one X chromosome to
balance X-linked gene dosage between males and females. This phenomenon, called X
chromosome inactivation, is a perfect epigenetic event, in which two chromosomes
with identical DNA sequences are solely distinguished by epigenetic
modifications. In this case, epigenetic marks, such as histone modifications,
histone variants, DNA methylation, and ncRNAs, are all enriched on one
chromosome, the inactive X chromosome (Xi), to establish its chromosome-wide gene
silencing. At face value, it seems that the gene silencing mechanism of Xi is
well understood. However, the "silence" of Xi in somatic cells is so tightly
maintained that it remains largely intact even after almost all known epigenetic
modifications are artificially depleted. To understand how the gene silence of Xi
is maintained in soma is a major challenge in current research. We summarize the
current knowledge related with this issue and discuss future research directions.
PMID- 21898763
TI - A novel TaulacZ allele reveals a requirement for Pitx2 in formation of the
mammillothalamic tract.
AB - The hypothalamic mammillary region is critical for spatial memory and vestibular
processing. Pitx2 encodes a paired-like transcription factor that is highly
expressed in the developing mammillary region and is required for subthalamic
nucleus formation. Here we analyzed a loss of function Pitx2-TaulacZ knock-in
allele to study the effects of Pitx2 deficiency on neuronal projections in the
embryonic mammillary region. Pitx2-expressing neurons contribute axons to
principal mammillary, mammillotegmental and mammillotectal tracts. Embryos with
Pitx2 deficiency exhibit axonal fibers in the principal mammillary tract that are
improperly bundled and disorganized, yet project caudally toward the tectum and
tegmentum. Embryos with Nestin-Cre mediated conditional Pitx2 deficiency exhibit
truncated mammillothalamic tracts (mtt) that fail to elongate, and reduced Pax6
positive cells at the branching point of the principal mammillary and mtt. These
data suggest that Pitx2 mediates cell-autonomous and nonautonomous guidance cues
necessary for mammillary collaterals destined to project to the anterior
thalamus.
PMID- 21898764
TI - Mouse transgenic lines that selectively label Type I, Type IIA, and Types IIX+B
skeletal muscle fibers.
AB - Skeletal muscle fibers vary in contractile and metabolic properties. Four main
fiber types are present in mammalian trunk and limb muscles; they are called I,
IIA, IIX, and IIB, ranging from slowest- to fastest-contracting. Individual
muscles contain stereotyped proportions of two or more fiber types. Fiber type is
determined by a combination of nerve-dependent and -independent influences,
leading to formation of "homogeneous motor units" in which all branches of a
single motor neuron form synapses on fibers of a single type. Fiber type
composition of muscles can be altered in adulthood by multiple factors including
exercise, denervation, hormones, and aging. To facilitate analysis of muscle
development, plasticity, and innervation, we generated transgenic mouse lines in
which Type I, Type IIA, and Type IIX+B fibers can be selectively labeled with
distinguishable fluorophores. We demonstrate their use for motor unit
reconstruction and live imaging of nerve-dependent alterations in fiber type.
PMID- 21898765
TI - Signaling and gene regulatory programs in plant vascular stem cells.
AB - A key question about the development of multicellular organisms is how they
precisely control the complex pattern formation during their growth. For plants
to grow for many years, a tight balance between pluripotent dividing cells and
cells undergoing differentiation should be maintained within stem cell
populations. In this process, cell-cell communication plays a central role by
creating positional information for proper cell type patterning. Cell-type
specific gene regulatory networks govern differentiation of cells into particular
cell types. In this review, we will provide a comprehensive overview of emerging
key signaling and regulatory programs in the stem cell population that direct
morphogenesis of plant vascular tissues.
PMID- 21898766
TI - Characterization of transgenic mice expressing cancer-associated variants of
human NOTCH1.
AB - The Notch1 receptor plays a critical role in cell fate decisions during
development. Activation of Notch signaling has been implicated in several types
of cancer, particularly T-cell acute lymphoblastic leukemia (T-ALL).
Consequently, several transgenic mouse strains have been made to study the role
of Notch1 in T-ALL. However, the existing Notch1 transgenic lines mimic a
translocation event found in only ~1% of T-ALL cases. Here we describe three
novel NOTCH1 transgenic mouse strains that have Cre-inducible expression of the
entire human NOTCH1 locus, each possessing a common mutation found in T-ALL.
Unlike existing Notch1 transgenic strains, these NOTCH1 transgenic strains
express full-length receptors from an endogenous human promoter that should be
susceptible to a number of Notch antagonists that have recently been developed.
These strains will allow researchers to modulate Notch signaling to study both
normal development and cancer biology.
PMID- 21898767
TI - Organ quality and quality of life after liver transplantation.
AB - Not only is there a limited supply of organs for liver transplantation, but the
quality of the available organs is not uniform. Risk factors such as donor age
and cause of death are known to predict graft failure, but their impact on the
recipient's quality of life (QOL) has not been reported. We sent a QOL survey to
299 adults at our institution who had received a liver transplant 1 to 7 years
before the study. For the 171 patients (57%) who completed the Medical Outcomes
Study Short Form 36 (SF-36), the mean Physical Composite Score (PCS) and the mean
Mental Composite Score (MCS) were 61 and 66, respectively; the highest scores
were for the Social Functioning subscale, and the lowest scores were for the Role
Functioning/Physical and Energy/Fatigue subscales. The mean donor risk index
(DRI) of the organs that the subjects received was 1.4 (range = 0.8-2.4). There
was no correlation between the SF-36 scores and the DRI [there were changes of
4.8 and -2.8 in the PCS and MCS per unit increase in the DRI (P = 0.4 and 0.6,
respectively)], even though we controlled for potential confounders such as age,
sex, hospitalization before transplantation, the Model for End-Stage Liver
Disease score at transplantation, years since transplantation, previous
transplantation, and the Charlson comorbidity index. In conclusion, we found no
association between organ quality and QOL after liver transplantation. If this
finding is confirmed in prospective, multicenter studies, it will be useful in
counseling patients about the decision to accept or not accept high-risk organ
offers.
PMID- 21898768
TI - Submaximal cardiopulmonary exercise testing predicts 90-day survival after liver
transplantation.
AB - Liver transplantation has a significant early postoperative mortality rate. An
accurate preoperative assessment is essential for minimizing mortality and
optimizing limited donor organ resources. This study assessed the feasibility of
preoperative submaximal cardiopulmonary exercise testing (CPET) for determining
the cardiopulmonary reserve in patients being assessed for liver transplantation
and its potential for predicting 90-day posttransplant survival. One hundred
eighty-two patients underwent CPET as part of their preoperative assessment for
elective liver transplantation. The 90-day mortality rate, critical care length
of stay, and hospital length of stay were determined during the prospective
posttransplant follow-up. One hundred sixty-five of the 182 patients (91%)
successfully completed CPET; this was defined as the ability to determine a
submaximal exercise parameter: the anaerobic threshold (AT). Sixty of the 182
patients (33%) underwent liver transplantation, and the mortality rate was 10.0%
(6/60). The mean AT value was significantly higher for survivors versus
nonsurvivors (12.0 +/- 2.4 versus 8.4 +/- 1.3 mL/minute/kg, P < 0.001). Logistic
regression revealed that AT, donor age, blood transfusions, and fresh frozen
plasma transfusions were significant univariate predictors of outcomes. In a
multivariate analysis, only AT was retained as a significant predictor of
mortality. A receiver operating characteristic curve analysis demonstrated
sensitivity and specificity of 90.7% and 83.3%, respectively, with good model
accuracy (area under the receiver operating characteristic curve = 0.92, 95%
confidence interval = 0.82-0.97, P = 0.001). The optimal AT level for survival
was defined to be >9.0 mL/minute/kg. The predictive value was improved when the
ideal weight was substituted for the actual body weight of a patient with
refractory ascites, even after a correction for the donor's age. In conclusion,
the preoperative cardiorespiratory reserve (as defined by CPET) is a sensitive
and specific predictor of early survival after liver transplantation. The
predictive value of CPET requires further evaluation.
PMID- 21898769
TI - Factors associated with the postoperative status of donor patients for living
donor liver transplantation.
AB - Deceased donor liver transplantation has been an established surgical procedure
since the 1960s. More recently, the technique of living donor liver
transplantation (LDLT) was introduced, and it is being performed with increasing
frequency. However, there is a paucity of information on the clinical outcomes of
donor patients. In this study, which was conducted at a single university
hospital, the relationship between potentially relevant factors (eg, patient
characteristics, preoperative status, and operation characteristics) and
postoperative developments in donor patients was examined. We used electronic
critical pathways, which are charts of medical process that include favorable
states (defined as outcomes) to be achieved during the hospital stay of a
patient; predefined outcomes that are not achieved are recorded as variances.
With the electronic critical pathway system, objective data about the conditions
of patients and relevant clinical processes could be collected readily. Using
data from the electronic critical pathways for LDLT donor patients and applying
multiple logistic regression analysis, we examined factors that were related to
the variance of each outcome measure for postoperative developments. Among the
various donor characteristics, the duration of the operation was related to
variance in 5 types of health outcomes, age and blood loss volume were related to
variance in 2 types of health outcomes, and other characteristics (ie, sex, body
surface area, operation urgency, and volume ratio of the remnant liver) were
related to variance in 1 type of health outcome. In conclusion, the findings in
this study may facilitate improvements in the postoperative status of LDLT donor
patients. Further studies that incorporate analogous data from other medical
facilities are necessary to verify these findings.
PMID- 21898770
TI - Transjugular intrahepatic portosystemic shunts.
PMID- 21898771
TI - Hot-topic debate on kidney function: renal-sparing approaches are ineffective.
AB - KEY POINTS: 1. Both acute kidney injury and chronic renal disease are common in
patients undergoing liver transplantation. The etiologies are mixed. 2. The
incidence of chronic renal failure after liver transplantation is unacceptable,
and it has a significant impact on long-term outcomes after liver
transplantation. 3. The role of calcineurin inhibitors (CNIs) in the development
of posttransplant chronic renal failure is likely overrated. 4. The use of CNIs
in the early posttransplant period is currently essential. 5. Whether new agents
will be able to provide effective immunosuppression as primary immunosuppressives
remains to be proven.
PMID- 21898773
TI - Predictors of the feasibility of primary endoscopic management of biliary
strictures after adult living donor liver transplantation.
AB - Biliary strictures are a major cause of morbidity and mortality for liver
transplant recipients. The endoscopic management of biliary strictures is not
well established after living donor liver transplantation (LDLT) in comparison
with deceased donor liver transplantation. The aims of this study were to assess
the initial success rate of primary endoscopic treatment of biliary strictures
after LDLT and to identify predictors of the feasibility of endoscopic
management. One hundred thirty-seven adult patients who underwent LDLT and were
confirmed to have biliary strictures by endoscopic retrograde
cholangiopancreatography (ERCP) were enrolled. The biliary strictures were
primarily managed endoscopically with internal drainage or nasobiliary
catheterization. The initial success rate for the primary endoscopic management
of biliary strictures after LDLT was 46.7% (64 of 137 patients), and the
feasibility of endoscopic management was associated with the stricture-to-ERCP
interval (the interval between the development of the total bilirubin, aspartate
aminotransferase, or alanine aminotransferase level to >2 times the upper limit
of normal and the performance of ERCP) as well as cholangiographic findings (eg,
the stricture morphology and the tip shape of the distal duct). In conclusion,
when biliary strictures are noticed after LDLT, prompt endoscopic interventions
may improve the initial success rate of primary endoscopic management. In
addition, the feasibility of primary endoscopic management can be predicted by
the cholangiographic findings, which may help with the choice of the therapeutic
modality.
PMID- 21898774
TI - Gold-gold junction electrodes:the disconnection method.
AB - The formation of gold-gold junction electrodes for application in electroanalysis
is described here based on electro-deposition from a non-cyanide gold plating
bath. Converging growth of two hemispherical gold deposits on two adjacent
platinum microelectrodes (both 100 um diameter in glass, ca. 45 um gap) followed
by careful etching in aqueous chloride solution was employed. During growth both
gold hemispheres "connect" and during etching "disconnection" is evident in a
drop in current. Gold-gold junctions with sub-micron gaps are formed and applied
for the electroanalytical detection of sub-micromolar concentrations of
hydroquinone in 0.1 M phosphate buffer pH 7 (E(rev) = 0.04 V vs. SCE) and sub
micromolar concentration of dopamine in 0.1 M phosphate buffer pH 7 (E(rev) =
0.14 V vs. SCE). The potential future uses in analysis and limitations of gold
gold junction electrodes are discussed.
PMID- 21898775
TI - pi-Conjugated molecules covered by permethylated cyclodextrins.
AB - Insulated pi-conjugated molecules, in which the pi-conjugated compounds are
covered by a cyclic protective sheath, have attracted considerable attention
because of their potential applicability in next-generation mono-molecular
electronic devices. We have developed new methods of synthesizing insulated pi
conjugated molecules involving the self-inclusion of rotaxane precursors linking
pi-conjugated units as a guest and permethylated cyclodextrin (PMCD) as a
macrocyclic host. The insulated pi-conjugated molecules thus formed are highly
soluble in organic solvents and display photoluminescence efficiency. This paper
also highlights a new method for synthesizing insulated molecular wire (IMW)
through the polymerization of insulated pi-conjugated molecules as monomers. The
IMWs thus formed have a high covering ratio, rigidity, and showed high charge
mobility in the solid state; further, they are readily soluble in a variety of
organic solvents. In this account, the synthetic methodologies and characteristic
of insulated pi-conjugated molecules and IMWs are discussed.
PMID- 21898772
TI - Epidemiology and outcome of infections in human immunodeficiency virus/hepatitis
C virus-coinfected liver transplant recipients: a FIPSE/GESIDA prospective cohort
study.
AB - Information about infections unrelated to acquired immunodeficiency syndrome
(AIDS) in human immunodeficiency virus (HIV)-infected liver recipients is scarce.
The aims of this study were to describe the prevalence, clinical characteristics,
time of onset, and outcomes of bacterial, viral, and fungal infections in
HIV/hepatitis C virus (HCV)-coinfected orthotopic liver transplant recipients and
to identify risk factors for developing severe infections. We studied 84
consecutive HIV/HCV-coinfected patients who underwent liver transplantation at 17
sites in Spain between 2002 and 2006 and were followed until December 2009. The
median age was 42 years, and 76% were men. The median follow-up was 2.6 years
(interquartile range = 1.25-3.53 years), and 54 recipients (64%) developed at
least 1 infection. Thirty-eight (45%) patients had bacterial infections, 21 (25%)
had cytomegalovirus (CMV) infections (2 had CMV disease), 13 (15%) had herpes
simplex virus infections, and 16 (19%) had fungal infections (7 cases were
invasive). Nine patients (11%) developed 10 opportunistic infections with a 44%
mortality rate. Forty-three of 119 infectious episodes (36%) occurred in the
first month after transplantation, and 53 (45%) occurred after the sixth month.
Thirty-six patients (43%) had severe infections. Overall, 36 patients (43%) died,
and the deaths were related to severe infections in 7 cases (19%). Severe
infections increased the mortality rate almost 3-fold [hazard ratio (HR) = 2.9,
95% confidence interval (CI) = 1.5-5.8]. Independent factors for severe
infections included a pretransplant Model for End-Stage Liver Disease (MELD)
score >15 (HR = 3.5, 95% CI = 1.70-7.1), a history of AIDS-defining events before
transplantation (HR = 4.0, 95% CI = 1.9-8.6), and non-tacrolimus-based
immunosuppression (HR = 2.5, 95% CI = 1.3-4.8). In conclusion, the rates of
severe and opportunistic infections are high in HIV/HCV-coinfected liver
recipients and especially in those with a history of AIDS, a high MELD score, or
non-tacrolimus-based immunosuppression.
PMID- 21898776
TI - The smallest man-made jet engine.
AB - The design of catalytic engines powered by chemical fuels is an exciting and
emerging field in multidisciplinary scientific communities. Recent progress in
nanotechnology has enabled scientists to shrink the size of macroengines down to
microscopic, but yet powerful, engines. Since a couple of years ago, we have
reported our progress towards the control and application of catalytic
microtubular engines powered by the breakdown of hydrogen peroxide fuel which
produces a thrust of oxygen bubbles. Efforts were undertaken in our group to
prove whether the fabrication of nanoscale jets is possible. Indeed, the smallest
jet engine (600 nm in diameter and 1 picogram of weight) was synthesized based on
heteroepitaxially grown layers. These nanojets are able to self-propel in
hydrogen peroxide solutions and are promising for the realisation of multiple
tasks.
PMID- 21898778
TI - Molecular catalysis for fullerene functionalization.
AB - This account highlights elements of our efforts to explore new functionalization
chemistry of fullerenes using molecular catalysts since 2006. These endeavors
have led to the development of new reactions such as (i) organoboron addition to
fullerenes, (ii) C-H bond allylation and arylation of organo(hydro)fullerenes,
(iii) C-H/C-C bond cleavage of alkynyl(hydro)fullerenes, (iv) regioselective
tetraallylation of fullerenes, (v) double nucleophilic substitution of
aziridinofullerene, and (vi) [2+2] cycloaddition of aziridinofullerene with
alkynes. These works not only highlight the potential of molecular catalysis for
fullerene functionalization, but also unlock opportunities for markedly different
strategies in nanocarbon synthesis.
PMID- 21898777
TI - Construction of contiguous tetrasubstituted chiral carbon stereocenters via
direct catalytic asymmetric aldol and Mannich-type reactions.
AB - Catalytic asymmetric synthesis of unnatural amino acids with vicinal
tetrasubstituted chiral carbon stereocenters is described. In the first part,
direct catalytic asymmetric aldol reaction of simple non-activated ketone
electrophiles with alpha-substituted alpha-isothiocyanato ester donors was
realized. A Mg/Schiff base catalyst promoted the aldol reaction, and alpha-amino
beta-hydroxy esters were obtained in up to 98% ee and 98:2 d.r. In the second
part, the Mg/Schiff base catalyst and a Sr/Schiff base catalyst were utilized for
stereodivergent direct asymmetric Mannich-type reaction of ketimines. The
Mg/Schiff base catalyst gave syn-alpha,beta-diamino esters, while the Sr/Schiff
base catalyst produced anti-alpha,beta-diamino esters in good to high
enantioselectivity, up to 97% ee.
PMID- 21898779
TI - Hydroarylation of alkynes catalyzed by nickel.
AB - Nickel catalysts derived from bis(1,5-cyclooctadiene)nickel [Ni(cod)(2)] and
trialkylphosphines effect hydroarylation of alkynes through functionalization of
C-H bonds of arenes including benzo-fused five-membered heteroarenes, pyridine-N
oxides, pyridines, 2-pyridones, and perfluoroarenes. The reactions proceed with
excellent stereo- and regioselectivity to give disubstituted arylethenes in good
yields. Use of Lewis acid (LA) co-catalysts is crucial for success in reactions
of imidazoles, pyridines, and 2-pyridones; it is possible that coordination of
the LA to the nitrogen or oxygen functionalities of such substrates increases the
reactivity of their C-H bonds towards nickel(0) species.
PMID- 21898780
TI - Catalytic migratory oxidative coupling of nitrones through an outer-sphere C(sp3)
H activation process.
AB - Outer-sphere redox catalysis is key to efficient C-H activation, which has
attracted increased interest in organic chemistry. In this account, we describe a
Cu(I) -catalyzed oxidative coupling between nitrones and various ethers or amines
as an example. Predictable site-selective C-C bond formation was achieved through
activation of the C-H bonds in each coupling partner and the migration of a C-N
double bond. Mechanistic studies strongly suggested that the reaction proceeded
via an oxonium/iminium cation species as the key intermediate. The mechanistic
information allows for future extension of outer-sphere redox catalysis.
PMID- 21898781
TI - Synthetic challenge to ubiquitous natural products from plant origin: flavan
derived polyphenols.
AB - Flavan-derived polyphenols (catechin derivatives), widely destributed in the
plant kingdom, have been given much considerable attention owing to their
significant bioactivitites coupled with their extreme structural diversity.
However, biochemical functions of this class of molecules are still not well
understood because of the limited availavility of natural samples in sufficient
quantity and quality. Here we report our synthetic challenges toward flavan
derived polyphenols, based on the flavonoid-sugar analogy. The key for success
was the C(4)-elaboration of the flavan skeleton, which posed an important
relevance to their structure diversification both in terms of the biogenetic
origin of flavan derivatives as well as chemical synthesis of this class of
compounds. Various nucleophilic units could be introduced to the C(4) position
via the S(N) 1-type substitution, and orthogonal activation of two distinct
flavan units enabled block assembly of linear catechin oligomers. These
methodologies would serve as a reliable way to supply valuable, homogeneuous
samples for biological testing.
PMID- 21898782
TI - Mycosis fungoides following pityriasis lichenoides: an exceptional event or a
potential evolution.
PMID- 21898783
TI - Refractory pediatric nonrhabdomyosarcoma soft tissue sarcoma associated with
ectopic production of beta hCG and hypercalcemia induced by PTHrP.
AB - A 3-month-old male with a mass on the right side of his back was admitted to our
hospital. The tumor was a pathologically high-grade nonrhabdomyosarcoma soft
tissue sarcoma (NRSTS). Treatment included subtotal tumor resection followed by
chemotherapy. Elevation of serum beta hCG and hypercalcemia with detection of
PTHrP was associated with tumor progression. The tumor was refractory to
multiagent chemotherapy, and the patient died of the disease at 22 months of age.
This case is novel in demonstrating a beta hCG secreting refractory NRSTS.
PMID- 21898784
TI - Oral arsenic trioxide for relapsed acute promyelocytic leukemia in pediatric
patients.
AB - Four patients (age 3-11 years at diagnosis) with relapsed acute promyelocytic
leukemia (APL), 12-38 months from diagnosis, were treated with oral arsenic
trioxide (As(2) O(3) ). One patient was treated with oral As(2) O(3) monotherapy
and chemotherapy. Three patients failed initial oral or intravenous As(2) O(3)
monotherapy were treated with oral As(2) O(3) plus ATRA followed by long-term
oral maintenance (cumulative As(2) O(3) dose 280-2,100 mg). All patients achieved
molecular remission, at a median follow up of 122 (10-132) months with no adverse
effects. Oral As(2) O(3) , particularly in prolonged maintenance with oral ATRA
may obviate the need of stem cell transplantation in relapsed pediatric APL.
PMID- 21898785
TI - Children with ITP: looking beyond the platelet count.
PMID- 21898786
TI - Chorioallantoic and yolk sac placentation in Thrichomys laurentinus (Echimyidae)
and the evolution of hystricognath rodents.
AB - The evolutionary history of Hystricognathi is associated with major
transformations in their placental system. Data so far indicate that key
characters are independent from size dimensions in medium to very large species.
To better understand the situation in smaller species, we analyzed placental
development in a spiny rat, Thrichomys laurentinus. Fourteen individuals ranging
from early implantation to near term were investigated by histology,
immunohistochemistry, proliferation activity and electron microscopy.
Placentation in Thrichomys revealed major parallels to the guinea pig and other
hystricognath rodents with respect to the early and invasive implantation, the
process of trophoblast invasion, the internal organization of the labyrinth and
the trophospongium as well as the establishment of the complete inverted yolk sac
placenta. In contrast to systematically related small-sized species, the
placental regionalization in Thrichomys was characterized by a remarkable
lobulated structure and associated growing processes. Reverse to former
perspectives, these conditions represented ancient character states of
hystricognaths. The subplacenta was temporarily supplied by both the maternal and
fetal blood systems, a rare condition among hystricognaths. The extraplacental
trophoblast originating from the subplacenta was partly proliferative in mid
gestation. In conclusion, the presented results indicated that only minor
variations occurred in small-sized hystricognath species, independent of their
systematic interrelationships. Previous views were supported that placentation in
hystricognaths followed an extraordinary stable pattern, although the group had
distinct habitats in South America and Africa that were separated 30-40 million
years ago.
PMID- 21898787
TI - The place of development in mathematical evolutionary theory.
AB - Development plays a critical role in structuring the joint offspring-parent
phenotype distribution. It thus must be part of any truly general evolutionary
theory. Historically, the offspring-parent distribution has often been treated in
such a way as to bury the contribution of development, by distilling from it a
single term, either heritability or additive genetic variance, and then working
only with this term. I discuss two reasons why this approach is no longer
satisfactory. First, the regression of expected offspring phenotype on parent
phenotype can easily be nonlinear, and this nonlinearity can have a pronounced
impact on the response to selection. Second, even when the offspring-parent
regression is linear, it is nearly always a function of the environment, and the
precise way that heritability covaries with the environment can have a
substantial effect on adaptive evolution. Understanding these complexities of the
offspring-parent distribution will require understanding of the developmental
processes underlying the traits of interest. I briefly discuss how we can
incorporate such complexity into formal evolutionary theory, and why it is likely
to be important even for traits that are not traditionally the focus of evo-devo
research. Finally, I briefly discuss a topic that is widely seen as being
squarely in the domain of evo-devo: novelty. I argue that the same conceptual and
mathematical framework that allows us to incorporate developmental complexity
into simple models of trait evolution also yields insight into the evolution of
novel traits.
PMID- 21898788
TI - Linking the molecular evolution of avian beta (beta) keratins to the evolution of
feathers.
AB - Feathers of today's birds are constructed of beta (beta)-keratins, structural
proteins of the epidermis that are found solely in reptiles and birds.
Discoveries of "feathered dinosaurs" continue to stimulate interest in the
evolutionary origin of feathers, but few studies have attempted to link the
molecular evolution of their major structural proteins (beta-keratins) to the
appearance of feathers in the fossil record. Using molecular dating methods, we
show that before the appearance of Anchiornis (~155 Million years ago (Ma)) the
basal beta-keratins of birds began diverging from their archosaurian ancestor
~216 Ma. However, the subfamily of feather beta-keratins, as found in living
birds, did not begin diverging until ~143 Ma. Thus, the pennaceous feathers on
Anchiornis, while being constructed of avian beta-keratins, most likely did not
contain the feather beta-keratins found in the feathers of modern birds. Our
results demonstrate that the evolutionary origin of feathers does not coincide
with the molecular evolution of the feather beta-keratins found in modern birds.
More likely, during the Late Jurassic, the epidermal structures that appeared on
organisms in the lineage leading to birds, including early forms of feathers,
were constructed of avian beta-keratins other than those found in the feathers of
modern birds. Recent biophysical studies of the beta-keratins in feathers support
the view that the appearance of the subfamily of feather beta-keratins altered
the biophysical nature of the feather establishing its role in powered flight.
PMID- 21898789
TI - Ventral nerve cord in Phoronopsis harmeri larvae.
AB - The nervous system organization is considered a phylogenetically important
character among metazoans. The phylum Phoronida is included in a supraphyletic
taxon known as Lophotrochozoa. Many lophotrochozoans possess a metameric ventral
nerve cord as adults or larvae. Phoronids do not exhibit external metamery either
as larvae or as adults. The current study describes the ventral nerve cord in the
young larva of Phoronopsis harmeri. This structure is apparent both in the
serotonergic and FMRF-amidergic nervous system in young larvae. The ventral nerve
cord extends from the mouth to the tentacular ridge. Both serotonergic and FMRF
amidergic components consist of two ventrolateral nerves, each with several
unipolar neurons. The ventrolateral nerves connect to each other by means of thin
repetitive transversal nerves ("commissures"). The abundance of neurons and
nerves in the epidermis of the oral field of actinotrocha larva likely reflects
the importance of this area in collection of food particles. The ventral nerve
cords of the actinotrocha and the metatrochophore differ in their positions with
respect to ciliated bands: the cord is located between the preoral and postoral
ciliated bands in the actinotrocha but between the postoral ciliated band and
telotroch in the metatrochophore. The presence of the ventral nerve cord, which
contains repetitive elements (neurons and "commissures"), in the early
development of P. harmeri may recapitulate some stages of nervous system
development during phoronid phylogeny. The larval nervous system does not contain
nervous centers under the tentacular ridge that can correlate with the
catastrophic metamorphosis and unique body plan of phoronids.
PMID- 21898791
TI - In vitro anti-platelet effects of simple plant-derived phenolic compounds are
only found at high, non-physiological concentrations.
AB - SCOPE: Bioactive polyphenols from fruits, vegetables, and beverages have anti
platelet effects and may thus affect the development of cardiovascular disease.
We screened the effects of 26 low molecular weight phenolic compounds on two in
vitro measures of human platelet function. METHODS AND RESULTS: After platelets
had been incubated with one of 26 low molecular weight phenolic compounds in
vitro, collagen-induced human platelet aggregation and in vitro TRAP-induced P
selectin expression (as marker of platelet activation) were assessed. Incubation
of platelet-rich plasma from healthy volunteers with 100 MUmol/L hippuric acid,
pyrogallol, catechol, or resorcinol significantly inhibited collagen-induced
platelet aggregation (all p<0.05; n>=15). Incubation of whole blood with
concentrations of 100 MUmol/L salicylic acid, p-coumaric acid, caffeic acid,
ferulic acid, 4-hydroxyphenylpropionyl glycine, 5-methoxysalicylic acid, and
catechol significantly inhibited TRAP-induced surface P-selectin expression (all
p<0.05; n=10). Incubation with lower concentrations of phenolics affected neither
platelet aggregation nor activation. CONCLUSION: As concentrations of 100 MUmol/L
are unlikely to be reached in the circulation, it is doubtful whether consumption
of dietary phenolics in nutritionally attainable amounts plays a major role in
inhibition of platelet activation and aggregation in humans.
PMID- 21898793
TI - Novel silicon nanohemisphere-array solar cells with enhanced performance.
PMID- 21898790
TI - Comparative genomics of duplicate gamma-glutamyl transferase genes in teleosts:
medaka (Oryzias latipes), stickleback (Gasterosteus aculeatus), green spotted
pufferfish (Tetraodon nigroviridis), fugu (Takifugu rubripes), and zebrafish
(Danio rerio).
AB - The availability of multiple teleost (bony fish) genomes is providing
unprecedented opportunities to understand the diversity and function of gene
duplication events using comparative genomics. Here we examine multiple
paralogous genes of gamma-glutamyl transferase (GGT) in several distantly related
teleost species including medaka, stickleback, green spotted pufferfish, fugu,
and zebrafish. Through mining genome databases, we have identified multiple GGT
orthologs. Duplicate (paralogous) GGT sequences for GGT1 (GGT1 a and b), GGTL1
(GGTL1 a and b), and GGTL3 (GGTL3 a and b) were identified for each species.
Phylogenetic analysis suggests that GGTs are ancient proteins conserved across
most metazoan phyla and those paralogous GGTs in teleosts likely arose from the
serial 3R genome duplication events. A third GGTL1 gene (GGTL1c) was found in
green spotted pufferfish; however, this gene is not present in medaka,
stickleback, or fugu. Similarly, one or both paralogs of GGTL3 appear to have
been lost in green spotted pufferfish, fugu, and zebrafish. Syntenic
relationships were highly maintained between duplicated teleost chromosomes,
among teleosts and across ray-finned (Actinopterygii) and lobe-finned
(Sarcopterygii) species. To assess subfunction partitioning, six medaka GGT genes
were cloned and assessed for developmental and tissue-specific expression. On the
basis of these data, we propose a modification of the "duplication-degeneration
complementation" model of subfunction partitioning where quantitative differences
rather than absolute differences in gene expression are observed between gene
paralogs. Our results demonstrate that multiple GGT genes have been retained
within teleost genomes. Questions remain, however, regarding the functional roles
of multiple GGTs in these species.
PMID- 21898794
TI - Polymeric nanopillars reinforced with metallic shells in the lower stem region.
PMID- 21898792
TI - Self-assembly and microstructural control of a hexa-peri-hexabenzocoronene
perylene diimide dyad by solvent vapor diffusion.
PMID- 21898795
TI - Protein-based memristive nanodevices.
PMID- 21898796
TI - Separation of alkaloids from herbs using high-speed counter-current
chromatography.
AB - Alkaloids represent a most widespread group of bioactive natural products.
Because of their alkalinity and structural diversity, the fractionation and
purification of the alkaloids from herbs can often present a number of practical
difficulties using the conventional chromatographic techniques. High-speed
counter-current chromatography (HSCCC) is a liquid-liquid partition
chromatography with a support-free liquid stationary phase, and is gaining more
and more popularity as a viable separation technique for bioactive compounds from
natural resources. In the present review, focus is placed on the separation of
alkaloids by both conventional HSCCC and pH-zone-refining counter-current
chromatography (CCC) techniques from herbs. The review presents the separation of
over 120 different alkaloid compounds from more than 30 plant species by the
conventional HSCCC and pH-zone-refining CCC. Based on the data from the
literature, the proper solvent systems for the separation of alkaloids by the
conventional HSCCC and pH-zone-refining CCC are also summarized.
PMID- 21898797
TI - Focused ultrasound solid-liquid extraction and selective pressurised liquid
extraction to determine bisphenol A and alkylphenols in sewage sludge by gas
chromatography-mass spectrometry.
AB - A new method for determining endocrine disrupter compounds (EDCs) in sewage
sludge is described in this paper. EDCs studied were bisphenol A (BPA) and
alkylphenols (APs). In order to obtain a fast and simple method, selective
pressurised liquid extraction (SPLE) and focused ultrasound solid-liquid
extraction (FUSLE) were tested. Best results for SPLE were obtained using
Florisil as clean-up sorbent and dichloromethane as extraction solvent, while
temperature was the only significant variable. Analyte extraction by SPLE was
completed in only one extraction cycle of 1 min at 130 degrees C. FUSLE was
carried out in one step of 20 s at 75% power (0.5 cycles) and with 8 mL of ethyl
acetate. Although the optimised FUSLE process was faster, simpler and cheaper,
SPLE provided higher recovery values (ranging from 81 to 105%) and therefore SPLE
based method was selected and validated. The SPLE and GC-MS method showed an LOD
of 10.7 ng/g for BPA and LODs between 1.2 and 41.6 ng/g for APs. Relative
standard deviation values lower than 6% were obtained for all analytes. As a
result, an efficient, fast and simple method based on SPLE and GC-MS for the
determination of BPA and APs in sewage sludge is proposed.
PMID- 21898798
TI - Development and evaluation of a spiral tube column for counter-current
chromatography.
AB - An improved type-J counter-current chromatography (CCC) planet centrifuge with
two spiral tube columns (volume 2*15 mL, beta value 0.3-0.7, tubing 0.8 mm id)
was developed and evaluated for its retention ability of four typical different
solvent systems including heptane-methanol (1:1, v/v) (A), hexane-ethyl acetate
methanol-water (1:1:1:1, v/v) (B), n-butanol-acetic acid-water (4:1:5, v/v) (C),
PEG1000-K(2)HPO(4)-water (12.5:12.5:75, w/w) (D) under eight different operation
modes. The results indicated that the spiral tube column could significantly
increase the retention of four typical solvent systems compared with a
traditional multilayer coil column with similar parameters (volume 35 mL, beta
value 0.3-0.7, tubing 0.8 mm id). The retention of stationary phase (S(f)) for
the less polar system (A) and moderately polar solvent system (B) can be
increased by about 10%, and for the polar system (C) and aqueous two-phase system
(ATPS) (D) by 30-40%. The preliminary applications of this spiral tube column to
the separation of small molecular compounds such as moderately polar theaflavins,
polar anthocyanins and dipeptides were successful. Acceptable resolution can be
obtained between cytochrome c and myoglobin, lysozyme and myoglobin when it was
applied on protein separation; however, it still needs to be improved with regard
to its column efficiency.
PMID- 21898799
TI - Detection and expression analysis of recombinant proteins in plant-derived
complex mixtures using nanoUPLC-MS(E).
AB - The use of mass spectrometry to identify recombinant proteins that are expressed
in total soluble proteins (TSPs) from plant extracts is necessary to accelerate
further processing steps. For example, the method consists of TSP sample
preparation and trypsin digestion prior to the preliminary characterization using
nanoUPLC-MS(E) analysis of the recombinant proteins that are expressed in TSP
samples of transgenic soybean seeds. A TSP sample as small as 50 MUg can be
effectively analyzed. In this study, transgenic soybean seeds that expressed
recombinant cancer testis antigen (CTAG) were used. The procedure covered 30% of
the protein sequence and was quantified at 0.26 ng, which corresponded to 0.1% of
the TSP sample. A comparative proteomic profile was generated by the comparison
of a negative control and sample that showed a unique expression pattern of CTAG
in a transgenic line. The experimental data from the TSP extraction, sample
preparation and data analysis are discussed herein.
PMID- 21898800
TI - Synthesis and application of clindamycin succinate as a novel chiral selector for
capillary electrophoresis.
AB - A novel chiral selector, clindamycin succinate, was synthesized and first used as
a chiral selector in capillary electrophoresis (CE). The chiral resolution
ability of this kind of clindamycin derivation was studied by CE using some
racemic drugs as model analytes. From the experimental results, it was found that
both resolution and selectivity of the selector were dependent on the following
parameters: concentration of chiral selectors, pH of the running buffer,
temperature of the capillary column, applied voltage and organic modifier used.
The results show that the chiral selector possesses high resolution toward some
racemic drugs, including ofloxacin, chlorphenamine, tryptophan, propranolol,
sotalol and metoprolol. Excellent chiral resolution of these tested drugs was
achieved under the optimal conditions of 50 mM clindamycin succinate, 10% MeOH
v/v, 50 mM Tris buffer, pH 4.0, at 22 kV and 20 degrees C within 25 min.
PMID- 21898801
TI - Molecularly imprinted solid-phase extraction coupled with HPLC for the selective
determination of monobutyl phthalate in bottled water.
AB - A molecularly imprinted polymer (MIP) was prepared using monobutyl phthalate as
template. The synthesis was optimized by using different porogens and functional
monomers. The MIP was used as a selective sorbent in molecularly imprinted solid
phase extraction (MIP-SPE) for pre-concentration and determination of monobutyl
phthalate (mBP) from the bottled water. The difference in recognition selectivity
of the polymer columns was observed in HPLC system, and the effect of the mobile
phase on the performance of MIP columns was also investigated. Control of the MIP
SPE process is seen as important in helping to facilitate the selective
extraction of mBP from water samples. Thereafter, the choice of washing solvent,
eluting solvent amount, pH of loading sample, flow rate of loading solution and
the loading sample volume was presented. The optimized procedure was described as
follows: 25 mL spiked aqueous solution was percolated through the MIP-SPE
cartridge at the flow rate of 1.5 mL/min. After rinsing with
acetonitrile/methanol mixture (1:1, v/v), the bound analyte was desorbed with 3
mL methanol. The developed MIP-SPE method was demonstrated to be applicable for
the analysis of mBP in the bottled water.
PMID- 21898802
TI - Rapid simultaneous determination of isoflavones in Radix puerariae using high
performance liquid chromatography-triple quadrupole mass spectrometry with novel
shell-type column.
AB - A high-performance liquid chromatography (HPLC) coupled with triple quadrupole
mass spectrometry (MS/MS) method was developed for rapid determination of 13
isoflavones in Radix puerariae. A novel shell-type column, namely Kinetex core
shell C(18) column (50 mm*2.1 mm id, 2.6 MUm), and gradient elution were used
during the analysis. The chromatographic peaks of 13 investigated compounds were
identified by comparing their retention time and MS data with the related
reference compounds. Multiple-reaction monitoring (MRM) was employed for the
quantitative analysis with negative ionization mode. All calibration curves
showed good linearity (r(2)>0.9990) within test ranges. The LOD and LOQ were
lower than 0.017 and 0.873 MUg/mL on column, respectively. The intra- and inter
day precisions for 13 analytes were <1.17 and 2.17%, respectively, and the
recoveries were 93.1-104.4%. The validated method was applied for quantitative
analysis of 13 isoflavones in 7 species of Radix puerariae. The result
demonstrated that HPLC-MS/MS system with Kinetex column could be a promising
analytical tool for the determination of isoflavones in traditional Chinese
medicines, which is helpful for comprehensive evaluation of quality of R.
puerariae.
PMID- 21898803
TI - Simple and sensitive determination of low-molecular-mass aromatic aldehydes in
swimming pool water by LC-diode array detector.
AB - This work reports the development of a simple method for the quantitative
determination of aromatic and aliphatic low-molecular-mass aldehydes (LMMAs) as
disinfection by-products (DBPs) in indoor swimming pool waters after chlorination
with a simplified SPE sample treatment. The method is based on the continuous in
situ derivatization/preconcentration of the aldehydes with 2,4
dinitrophenylhydrazine (DNPH) on a Lichrolut EN column in the presence of beta
CD. After elution, the 2,4-dinitrophenylhydrazine derivatives were separated on
an RP-C(18) analytical column using gradient of ACN-water at 60-80%. The
optimized sample treatment described here allowed the direct analysis of large
volumes of water in order to improve the sensitivity of the method; LODs in the
60-120 ng/L range were achieved for aromatic LMMAs by using a volume of 50 mL of
water, precision being 7.5% or better at a concentration level of 5 MUg/L. These
results indicate that the ensuing method is a useful choice for the determination
of LMMAs in water samples that provides better results than reported LC
alternatives in terms of the LOD (except for MS/MS detection), sample
requirements for analysis and cost.
PMID- 21898804
TI - Feasibility of ultra high performance supercritical neat carbon dioxide
chromatography at conventional pressures.
AB - The implementation of columns packed with sub-2 MUm particles in supercritical
fluid chromatography (SFC) is described using neat carbon dioxide as the mobile
phase. A conventional supercritical fluid chromatograph was slightly modified to
reduce extra column band broadening. Performances of a column packed with 1.8 MUm
C18-bonded silica particles in SFC using neat carbon dioxide as the mobile phase
were compared with results obtained in ultra high performance liquid
chromatography (UHPLC) using a dedicated chromatograph. As expected and usual in
SFC, higher linear velocities than in UHPLC must be applied in order to reach
optimal efficiency owing to higher diffusion coefficient of solutes in the mobile
phase; similar numbers of theoretical plates were obtained with both techniques.
Very fast separations of hydrocarbons are presented using two different alkyl
bonded silica columns.
PMID- 21898805
TI - Estimation of dermal and oral exposure of children to scented toys: analysis of
the migration of fragrance allergens by dynamic headspace GC-MS.
AB - Fragrances capable of inducing contact allergy in skin potentially can migrate
from the toy to the child via oral or dermal contacts. The goal of this work was
the developing of an analytical method based on dynamic headspace GC-MS to
determine the concentration of 24 fragrances in saliva or sweat simulant. Under
optimized conditions, 5 mL of the migration simulant with 2 g sodium chloride
were incubated for 10 min at 30 degrees C. The headspace was purged at a flow
rate of 50 mL/min. The compounds were quantified by internal calibration
resulting in good linearity (>0.991). The recovery was greater than 66.3% for
most of the compounds. The limits of detection ranged between 0.5 ng/mL for
hydrophobic and 196.0 ng/mL for hydrophilic fragrances. The method was
subsequently applied to seven real toys purchased from the market. The highest
migration rate could be observed for benzyl benzoate with 268.0 ng/cm(2)/min.
Based on the migration data measured, the ranges of dermal and oral exposure of
children to fragrances in scented toys were calculated. The maximum oral and
dermal exposure levels were estimated at 22.2 MUg per kg body weight (BW) and day
(d) for benzyl benzoate and 605.0 MUg/kg BW/d for benzyl alcohol, respectively.
PMID- 21898806
TI - Screening vasoconstriction inhibitors from traditional Chinese medicines using a
vascular smooth muscle/cell membrane chromatography-offline-liquid chromatography
mass spectrometry.
AB - We developed an analytical method for screening vasoconstriction inhibitors from
traditional Chinese medicines (TCMs) by combining vascular smooth muscle/cell
membrane chromatography (VSM/CMC) with liquid chromatography-tandem mass
spectrometry (LC-MS/MS). Primary cultured VSM cells from rat thoracic aortas were
used for preparation of the stationary phase of the VSM/CMC column. Retention
fractions from the VSM/CMC column were collected and then analyzed by LC-MS/MS
under the optimized conditions offline. The suitability and reliability of the
VSM/CMC-offline-LC-MS/MS method was assessed using nitrendipine and nifedipine as
positive controls, and this method was then applied to screen vasodilator
components from the extracts of Fructus Schisandrae Chinensis (FSC) and Fructus
Schisandrae Sphenantherae (FSS). The major components from both species retained
by VSM/CMC were identified as deoxyschizandrin (DSD) and schisantherin A (STA) by
LC-MS/MS. Competition experiments indicated that DSD and nifedipine bound
competitively to membrane receptors, while DSD and STA had partly overlapping
binding sites on VSM-cell membranes. In vitro pharmacological trials confirmed
that STA and DSD could dose-dependently relax the rat thoracic aortas pre
contracted by KCl. Our VSM/CMC-offline-LC-MS/MS method can be applied for
screening vasoconstriction inhibitors from TCMs collected from FSC and FSS, and
may be useful in the development of vasodilators from natural products.
PMID- 21898807
TI - Comparison of capillary electrophoretic techniques for analysis and
characterization of metallothioneins.
AB - To explore and understand the significance of individual metallothionein
isoforms, the methods of their identification are needed. Separation of these
isoforms requires a high resolution technique which can exploit very small
differences in mass, charge, and hydrophobicity. In this report, three different
techniques of CE were analyzed and used for metallothionein separation: detection
using capillary gel electrophoresis, capillary zone electrophoresis, and
capillary isoelectric focusing. Also, three different metallothionein samples
were used from horse kidney, rabbit liver, and human liver. We identified
metallothionein isoforms based on the determination of their relative molecular
masses, on the charge differences in different pH buffers, and based on the pI
value. Methods used in this report allow metallothionein identification, permit
to quantify the purity and content of its isoforms, and allow studying its
polymerization. This report supports and endorses the increased application of CE
methodology in proteomics.
PMID- 21898808
TI - Optimization of simultaneous derivatization and extraction of aliphatic amines in
water samples with dispersive liquid-liquid microextraction followed by HPLC.
AB - Dispersive liquid-liquid microextraction based on solidification of floating
organic droplet (DLLME-SFO) with simultaneous derivatization followed by high
performance liquid chromatography-diode array detection (HPLC-DAD) was applied
for preconcentration and determination of primary and secondary aliphatic amines
in environmental water samples. A ternary mixture consisting of a disperser, an
extractant and a derivatization reagent was used for the simultaneous
derivatization and extraction of aliphatic amines in different water samples. The
effects of various experimental parameters on derivatization and extraction
efficiency were studied simultaneously using experimental design. A Plackett
Burman design was performed for screening of variables in order to determine the
significant variables affecting the extraction efficiency. Then, the significant
factors were optimized by using a Box-Behnken design (BBD) and the response
surface equations were derived. Under optimal conditions, the preconcentration
factors were between 210 and 290. The limit of detections (LODs) ranged from
0.005 to 0.02 MUg/L and dynamic linear ranges (DLRs) of 0.05-500 and 0.1-500
MUg/L were obtained for most of analytes. The performance of the method was
evaluated for extraction and determination of primary and secondary aliphatic
amines in environmental water samples in micrograms per liter and satisfactory
results were obtained (RSDs <12.5%).
PMID- 21898809
TI - Selective enrichment of glycopeptides for mass spectrometry analysis using C18
fractionation and titanium dioxide chromatography.
AB - Comprehensive glycoprotein characterization based on mass spectrometry (MS) is
challenging because of low concentration of glycopeptides and suppression effect
of abundant non-glycosylated peptides in MS. Therefore, it is vital to enrich
glycopeptides before MS analysis. A new method was developed to selectively
enrich glycopeptides from complex sample by coupling C18 fractionation with
titanium dioxide (TiO(2)) enrichment. The new method allows to selectively enrich
N-linked glycopeptides with various glycan forms and different sequence lengths.
Compared with single TiO(2) method, the established method demonstrated higher
glycopeptide selectivity and higher glycosylation heterogeneity coverage. Further
application of this method to mixture of non-glycosylated protein and
glycoprotein digests at different levels reveals the feasibility of enrichment of
tryptic glycopeptides from simple proteomics samples.
PMID- 21898810
TI - An integrated enzyme-linked immunosorbent assay system with an organic light
emitting diode and a charge-coupled device for fluorescence detection.
AB - A fluorescence detection system for a microfluidic device using an organic light
emitting diode (OLED) as the excitation light source and a charge-coupled device
(CCD) as the photo detector was developed. The OLED was fabricated on a glass
plate by photolithography and a vacuum deposition technique. The OLED produced a
green luminescence with a peak emission at 512 nm and a half bandwidth of 55 nm.
The maximum external quantum efficiency of the OLED was 7.2%. The emission
intensity of the OLED at 10 mA/cm(2) was 13 MUW (1.7 mW/cm(2)). The fluorescence
detection system consisted of the OLED device, two band-pass filters, a five
microchannel poly(dimethylsiloxane) (PDMS) microfluidic device and a linear CCD.
The fluorescence detection system was successfully used in a flow-based enzyme
linked immunosorbent assay on a PDMS microfluidic device for the rapid
determination of immunoglobulin A (IgA), a marker for human stress. The detection
limit (S/N=3) for IgA was 16.5 ng/mL, and the sensitivity was sufficient for
evaluating stress. Compared with the conventional 96-well microtiter plate assay,
the analysis time and the amounts of reagent and sample solutions could all be
reduced.
PMID- 21898811
TI - LC-MS/MS profiling for detection of endogenous steroids and prostaglandins in
tissue samples.
AB - Roles of steroid hormones, and compounds that can influence their levels in
cells, are of increasing interest in e.g. cancer research, partly because
resistance to hormone therapies often complicates treatment. To elucidate the
processes involved, the hormones and related compounds need to be accurately
measured. Reversed-phase liquid chromatography with dynamic multiple reaction
monitoring mass spectrometric detection in electrospray mode is capable of
providing such measurements. Therefore, LC-MS/MS was developed for sensitive,
selective analysis of 11 steroid hormones, cholesterol and two prostaglandins.
The effects of the tissue matrix, and solid-phase extraction (SPE) sample clean
up, on the LC-MS/MS signals of the hormones were also investigated. The results
show that the developed LC-MS/MS method, following SPE clean-up to reduce matrix
interference, can detect selected steroids in extracts of mouse tissues. The
method provides linear measurements of the steroids at concentrations up to few
ng/MUL, and limits of detection in the range 0.03-0.2 pg/MUL (for some compounds
lower than those of previously reported methods).
PMID- 21898812
TI - Quantitative determination of bovine caseinoglycomacropeptide in infant formulas
by ultra-high-performance liquid chromatography-electrospray-ionization mass
spectrometry.
AB - An ultra-high-performance liquid chromatography-electrospray ionization coupled
to mass spectrometry method has been developed for determining
caseinoglycomacropeptide (CGMP) in infant formulas by selected ion reaction and
area monitoring modes. The present study focused on the optimization of sample
pretreatment, chromatographic resolution and mass spectrometry parameters. After
a simple sample pretreatment, the two genetic variants of
caseinoglycomacropeptide, CGMP(A) and CGMP(B), were separated using a BEH300
C(18) column by gradient elution. The established method was extensively
validated by determining the linearity (R(2)>0.999), average recovery (95.8
118.4%), inter-day precision (relative standard deviation <=7.81%) and intra-day
precision (relative standard deviation <=6.99%) based on two scan modes. To
further verify the applicability of the method, 21 brands of commercial available
infant formulas were analyzed. The results showed that the present method is
selective, sensitive and reliable for separating and quantifying two genetic
variants (CGMP(A) and CGMP(B)) of caseinoglycomacropeptide in infant formulas
with complex matrix.
PMID- 21898813
TI - Preparation and evaluation of molecularly imprinted microspheres for solid-phase
extraction of 1,4-hydroxybenzoic acid esters in soy.
AB - Molecularly imprinted microspheres (MIMs) were prepared by precipitation
polymerization for the binding and recognition of 1,4-hydroxybenzoic acid esters.
Ethyl p-hydroxybenzoate (EtPHB) was used as the template molecule, methacrylic
acid as the functional monomer, ethylene dimethacrylate as the linking agent. It
was evaluated by solid-phase extraction column packed with MIMs combined with
liquid chromatography to determine trace preservatives including benzoic acid,
methyl p-hydroxybenzoate, EtPHB, propyl p-hydroxybenzoate in food products. A
solid-phase extraction based on MIM procedure was used to isolate four additives
from the food matrix before quantitative analysis. The Scatchard plot suggested
that the template-polymer system had two-site binding behavior with the
dissociation constants of 0.3577 and 3.952 mg/g, respectively. The rebinding
test, based on the molecularly imprinted solid-phase extraction column technique,
showed the recoveries of soy samples spiked with four additives within 88.4
110.6%, with the relative standard deviations of 1.97-3.82%. Finally, the method
was successfully applied for the analysis of parabens in foodstuff without
traditional pretreatment.
PMID- 21898814
TI - Characterization of cyclofructan-based chiral stationary phases by linear free
energy relationship.
AB - Cyclofructans (CFs), a new class of chiral selectors, have been recently
introduced for application in liquid chromatography and capillary
electrophoresis. So far, derivatized CFs have performed interesting separation
possibilities for a variety of compounds. The current work is focused on
characterization of three different CF-based chiral stationary phases (CF-based
CSPs), i.e. isopropyl carbamate cyclofructan 6 (IP-CF6), R-naphthylethyl
carbamate cyclofructan 6 (RN-CF6) and dimethylphenyl carbamate cyclofructan 7
(DMP-CF7). The linear free energy relationship (LFER) model was used to reveal
the dominant interactions participating in the complex retention mechanism. A set
of 44 different test solutes, with known solvation parameters, was used to
determine the regression coefficients of the LFER equation under two mobile-phase
compositions in normal separation mode. The LFER results showed that hydrogen
bond acidity, hydrophobicity and dipolarity/polarizibility mostly affect the
retention and separation process on the CF-based columns in the studied
separation systems.
PMID- 21898815
TI - Effects of freeze-drying of samples on metabolite levels in metabolome analyses.
AB - Freeze-drying (FD) is a useful technique for removing water from biological
tissues, such as food samples. Cellular components freeze at once, and the ice
sublimates under conditions of high vacuum and low temperatures. Because
biological activity is restricted during FD, the degradation of cellular
metabolites is often believed to be limited. However, the cellular structure is
damaged by several factors, such as the increase in cell volume during freezing,
and this has serious effects on the levels of some cellular metabolites. We
studied these effects of FD on metabolite levels when using it as a sample
preparation step in metabolome analysis. We observed significant decreases in the
levels of some metabolites, such as succinate and choline, in Arabidopsis and
pear, respectively. We also found that the effects of FD on certain metabolite
levels differed between Arabidopsis plants and pear fruits. These results suggest
that it is necessary to confirm the metabolite recovery in each sample species
when FD is used for sample preparation.
PMID- 21898816
TI - A new HPLC method with fluorescence detection for the determination of memantine
in human plasma.
AB - A sensitive, selective, simple and fast HPLC method based on the formation of
derivative with fluorescamine was developed for the determination of memantine
(ME) in human plasma. Separation was achieved on a CN column (200 mm*4.6 mm)
using acetonitrile-10 mM orthophosphoric acid containing 1 mL/L triethylamine
(45:55, v/v) at a flow rate of 1 mL/min. Emission and excitation wavelengths were
480 and 380 nm, respectively. Amantadine was used as an internal standard.
Calibration graphs were rectilinear over the range of 1.0-100.0 ng/mL. Limit of
detection and limit of quantification were found to be 0.3 and 1.0 ng/mL,
respectively. Intra-day and inter-day relative standard deviation values were
found to be <2.03%. Average recovery was also found to be around 94%. Proposed
method was applied for the pharmacokinetic study in a healthy volunteer after a
single oral administration of 20 mg of ME.
PMID- 21898817
TI - Application of centrifugal precipitation chromatography and high-speed counter
current chromatography equipped with a spiral tubing support rotor for the
isolation and partial characterization of carotenoid cleavage-like enzymes in
Enteromorpha compressa (L.) Nees.
AB - Centrifugal precipitation chromatography and a high-speed counter-current
chromatography system equipped with a spiral tubing support rotor (spHSCCC) were
successfully applied for the identification and isolation of carotenoid cleavage
like enzymes from Enteromorpha compressa (L.) Nees. This is the first study
separating active enzymes from a complex natural matrix by spHSCCC. The target
enzymes were identified after fractionation of the proteins in an acetone Tris
buffer gradient by centrifugal precipitation chromatography. Also, an aqueous two
phase solvent system consisting of PEG 1000 and mono- and dibasic potassium
phosphate was used for the isolation of the enzymes by spHSCCC. The purified
fractions contained two proteins of 65 and 72 kDa, respectively. The enzymes
could cleave beta-carotene and beta-apo-8'-carotenal to produce beta-ionone.
PMID- 21898818
TI - Determination of polyphenols in three Capsicum annuum L. (bell pepper) varieties
using high-performance liquid chromatography-tandem mass spectrometry: their
contribution to overall antioxidant and anticancer activity.
AB - A mixture of polyphenol components was isolated from the fruits of C. annuum L.
cv. Cupra, C. annuum L. cv. Orange glory, and C. annuum L. cv. ST4712 (CLST), via
70% methanol extraction followed by column chromatography over silica gel. The
polyphenol components of the mixture were analyzed via HPLC-MS/MS and compared
with the reported data. Three cinnamic acid derivatives and five flavonoid
components in the fruits of the three varieties were identified for the first
time in this study. The antioxidant activity and anticancer effect of the
polyphenol mixtures of the three fruits were determined. The antioxidant and
anticancer activities of CLST were substantially higher than those of C. annuum
L. cv. Cupra and C. annuum L. cv. Orange glory. The high activities of CLST were
attributed to the much higher concentration of quercetin derivatives in CLST.
PMID- 21898819
TI - New hierarchically porous titania monoliths for chromatographic separation media.
AB - Separation media based on hierarchically porous titania (TiO(2)) monoliths for
high-performance liquid chromatography (HPLC) have been successfully fabricated
by the sol-gel process of titanium alkoxide in a mild condition utilizing a
chelating agent and mineral salt. The as-gelled TiO(2) monoliths were subjected
to a simple solvent exchange process from ethanol (EtOH) to H(2)O followed by
drying and calcination. The resultant monolithic TiO(2) columns consist of
anatase crystallites with the typical specific surface area of more than 200
m(2)/g. The resultant monolithic TiO(2) column calcined at 200 and 400 degrees C
exhibited a good separation performance for organophosphates as well as for polar
benzene derivatives in the normal-phase mode.
PMID- 21898820
TI - SAHA Capture Compound--a novel tool for the profiling of histone deacetylases and
the identification of additional vorinostat binders.
AB - Suberoylanilide hydroxamic acid (SAHA) is a potent histone deacetylase (HDAC)
inhibitor. Inhibitors of HDACs are used in cancer therapy based on the role HDACs
play in transcription by regulating chromatin compaction and non-histone proteins
such as transcription factors. Profiling of HDAC expression is of interest in the
functional proteomics analysis of cancer. Also, non-HDAC proteins may interact
with HDAC inhibitor drugs and contribute to the drug mode of action. We here
present a tool for the unbiased chemical proteomic profiling of proteins that
specifically interact with SAHA. We designed and synthesized a trifunctional
Capture Compound containing SAHA as selectivity and identified HDACs1, 2, 3 and
6, known and predicted HDAC interactors from human-derived HepG2 cell lysate, as
well as a set of new potential non-HDAC targets of SAHA. One of these non-HDAC
targets, isochorismatase domain-containing protein 2 (ISOC2) is putative
hydrolase associated with the negative regulation of the tumor-suppressor
p16(INK4a). We demonstrated the direct and dose-dependent interaction of SAHA to
the purified recombinant ISOC2 protein. Using SAHA Capture Compound mass
spectrometry, we thus identified potential new SAHA target proteins in an
entirely unbiased chemical proteomics approach.
PMID- 21898822
TI - An improved method for the construction of decoy peptide MS/MS spectra suitable
for the accurate estimation of false discovery rates.
AB - The relevance of libraries of annotated MS/MS spectra is growing with the amount
of proteomic data generated in high-throughput experiments. These reference
libraries provide a fast and accurate way to identify newly acquired MS/MS
spectra. In the context of multiple hypotheses testing, the control of the number
of false-positive identifications expected in the final result list by means of
the calculation of the false discovery rate (FDR). In a classical sequence search
where experimental MS/MS spectra are compared with the theoretical peptide
spectra calculated from a sequence database, the FDR is estimated by searching
randomized or decoy sequence databases. Despite on-going discussion on how
exactly the FDR has to be calculated, this method is widely accepted in the
proteomic community. Recently, similar approaches to control the FDR of spectrum
library searches were discussed. We present in this paper a detailed analysis of
the similarity between spectra of distinct peptides to set the basis of our own
solution for decoy library creation (DeLiberator). It differs from the previously
published results in some key points, mainly in implementing new methods that
prevent decoy spectra from being too similar to the original library spectra
while keeping important features of real MS/MS spectra. Using different proteomic
data sets and library creation methods, we evaluate our approach and compare it
with alternative methods.
PMID- 21898821
TI - Proteomic analysis of oligodendrogliomas expressing a mutant isocitrate
dehydrogenase-1.
AB - Gliomas are primary tumors of the human central nervous system with unknown
mechanisms of progression. Isocitrate dehydrogenase-1 (IDH1) mutation is frequent
in diffuse gliomas such as oligodendrogliomas. To gain insights into the
physiopathology of oligodendrogliomas that have a better prognosis than other
diffuse gliomas, we combined microdissection, 2-D DIGE and MS/MS focusing on
proteome alterations associated with IDH1 mutation. We first compared tumor
tissues (TT) and minimally infiltrated parenchymal tissues (MIT) of four IDH1
mutated oligodendrogliomas to verify whether proteins specific to
oligodendroglioma tumor cells could be identified from one patient to another.
This study resulted in identification of 68 differentially expressed proteins,
with functions related to growth of tumor cells in a nervous parenchyma. We then
looked for proteins distinctly expressed in TT harboring either mutant
(oligodendrogliomas, n=4) or wild-type IDH1 (oligodendroglial component of
malignant glio-neuronal tumors, n=4). This second analysis resulted in
identification of distinct proteome patterns composed of 42 proteins.
Oligodendrogliomas with a mutant IDH1 had noteworthy enhanced expression of
enzymes controlling aerobic glycolysis and detoxification, and anti-apoptosis
proteins. In addition, the mutant IDH1 migrated differently from the wild-type
IDH1 form. Comparative proteomic analysis might thus be suitable to identify
proteome alterations associated with a well-defined mutation.
PMID- 21898823
TI - Large-scale N-glycoproteome map of rat brain tissue: simultaneous
characterization of insoluble and soluble protein fractions.
AB - The large-scale N-glycosylation analysis is critical for biomedical research,
since a variety of diseases are found to be associated with glycoproteins. By a
combination of glycoprotein analysis in insoluble protein fraction solubilized
with 1% v/v 1-butyl-3-methylimidazolium tetrafluoroborate (BMIM BF(4)) and those
in soluble fraction, a total number of 462 non-redundant N-glycoprotein groups,
including 316 transmembrane glycoproteins, were successfully identified.
Correspondingly, 849 unique N-glycosites were confidently recognized. The data
set could provide a support for the further in-depth research of brain N
glycosylation, such as for the discovery of candidate drug targets and
biomarkers.
PMID- 21898825
TI - UniCarbKB: putting the pieces together for glycomics research.
AB - Despite the success of several international initiatives the glycosciences still
lack a managed infrastructure that contributes to the advancement of research
through the provision of comprehensive structural and experimental glycan data
collections. UniCarbKB is an initiative that aims to promote the creation of an
online information storage and search platform for glycomics and glycobiology
research. The knowledgebase will offer a freely accessible and information-rich
resource supported by querying interfaces, annotation technologies and the
adoption of common standards to integrate structural, experimental and functional
data. The UniCarbKB framework endeavors to support the growth of
glycobioinformatics and the dissemination of knowledge through the provision of
an open and unified portal to encourage the sharing of data. In order to achieve
this, the framework is committed to the development of tools and procedures that
support data annotation, and expanding interoperability through cross-referencing
of existing databases. Database URL: http://www.unicarbkb.org.
PMID- 21898824
TI - Differential profiling studies of N-linked glycoproteins in glioblastoma cancer
stem cells upon treatment with gamma-secretase inhibitor.
AB - We have recently demonstrated that Notch pathway blockade by gamma-secretase
inhibitor (GSI) depletes cancer stem cells (CSCs) in Glioblastoma Multiforme
(GBM) through reduced proliferation and induced apoptosis. However, the detailed
mechanism by which the manipulation of Notch signal induces alterations on post
translational modifications such as glycosylation has not been investigated.
Herein, we present a differential profiling work to detect the change of
glycosylation pattern upon drug treatment in GBM CSCs. Rapid screening of
differential cell surface glycan structures has been performed by lectin
microarray on live cells followed by the detection of N-linked glycoproteins from
cell lysates using multi-lectin chromatography and label-free quantitative mass
spectrometry analysis. A total of 51 and 52 glycoproteins were identified in the
CSC- and GSI-treated groups, respectively, filtered by a combination of decoy
database searching and Trans-Proteomic Pipeline (TPP) processing. Although no
significant changes were detected from the lectin microarray experiment, 7
differentially expressed glycoproteins with high confidence were captured after
the multi-lectin column including key enzymes involved in glycan processing.
Functional annotations of the altered glycoproteins suggest a phenotype
transformation of CSCs toward a less tumorigenic form upon GSI treatment.
PMID- 21898826
TI - Protein recovery and identification from the gulf killifish, Fundulus grandis:
comparing snap-frozen and RNAlater(r) preserved tissues.
AB - Reliable proteomic analysis of biological tissues requires sampling approaches
that preserve proteins as close to their in vivo state as possible. In the
current study, the patterns of protein abundance in one-dimensional (1-D) gels
were assessed for five tissues of the gulf killifish, Fundulus grandis, following
snap-freezing tissues in liquid nitrogen or immersion of fresh tissues in
RNAlater((r)). In liver and heart, the protein profiles in 1-D gels were better
preserved by snap-freezing, while in gill, the 1-D protein profile was better
preserved by immersion in RNAlater((r)). In skeletal muscle and brain, the two
approaches yielded similar patterns of protein abundance. LC-MS/MS analyses and
database searching resulted in the identification of 17 proteins in liver and 12
proteins in gill. Identified proteins include enzymes of energy metabolism,
structural proteins, and proteins serving other biological functions. These
protein identifications for a species without a sequenced genome demonstrate the
utility of F. grandis as a model organism for environmental proteomic studies in
vertebrates.
PMID- 21898827
TI - Beneficial compaction of spinal cord lesion by migrating astrocytes through
glycogen synthase kinase-3 inhibition.
AB - The migratory response of astrocytes is essential for restricting inflammation
and preserving tissue function after spinal cord injury (SCI), but the mechanisms
involved are poorly understood. Here, we observed stimulation of in vitro
astrocyte migration by the new potent glycogen synthase kinase-3 (GSK-3)
inhibitor Ro3303544 and investigated the effect of Ro3303544 administration for 5
days following SCI in mice. This treatment resulted in accelerated migration of
reactive astrocytes to sequester inflammatory cells that spared myelinated fibres
and significantly promoted functional recovery. Moreover, the decreased extent of
chondroitin sulphate proteoglycans and collagen IV demonstrated that scarring was
reduced in Ro3303544-treated mice. A variety of in vitro and in vivo experiments
further suggested that GSK-3 inhibition stimulated astrocyte migration by
decreasing adhesive activity via reduced surface expression of beta1-integrin.
Our results reveal a novel benefit of GSK-3 inhibition for SCI and suggest that
the stimulation of astrocyte migration is a feasible therapeutic strategy for
traumatic injury in the central nervous system.
PMID- 21898828
TI - Evolution of SR protein and hnRNP splicing regulatory factors.
AB - The splicing of pre-mRNAs is an essential step of gene expression in eukaryotes.
Introns are removed from split genes through the activities of the spliceosome, a
large ribonuclear machine that is conserved throughout the eukaryotic lineage.
While unicellular eukaryotes are characterized by less complex splicing, pre-mRNA
splicing of multicellular organisms is often associated with extensive
alternative splicing that significantly enriches their proteome. The alternative
selection of splice sites and exons permits multicellular organisms to modulate
gene expression patterns in a cell type-specific fashion, thus contributing to
their functional diversification. Alternative splicing is a regulated process
that is mainly influenced by the activities of splicing regulators, such as SR
proteins or hnRNPs. These modular factors have evolved from a common ancestor
through gene duplication events to a diverse group of splicing regulators that
mediate exon recognition through their sequence-specific binding to pre-mRNAs.
Given the strong correlations between intron expansion, the complexity of pre
mRNA splicing, and the emergence of splicing regulators, it is argued that the
increased presence of SR and hnRNP proteins promoted the evolution of alternative
splicing through relaxation of the sequence requirements of splice junctions.
PMID- 21898830
TI - ApoTome to visualize E-cadherin and p63 expression in oral pre-cancer.
AB - Precise histopathological localization of E-cadherin and p63 is of immense
importance in understanding the integrity of oral mucosal stratified epithelium
in normal and diseased conditions. Necessarily immunohistochemical imaging should
have minimum bleaching impact on the dyes and ability to produce clear and crisp
images. Here ApoTome provides an alternative with metal halide light source and
structured illumination under the assistance of grids, along with integrated
image processing modality to generate crisp images with digital interface. The
current study demonstrates the applicability of such microscopic system in
capturing fluorescence images of immunohistochemical sections of normal and
precancerous biopsies in respect to the expression of p63 and E-cadherin in the
epithelial cells. The ApoTome images localize the nuclear and membranous
expressions of p63 and E-cadherin, respectively, with remarkable specificity. The
findings on E-cadherin expression have enormous diagnostic significance as these
images clearly differentiate the early and advanced stages of oral submucous
fibrosis based on their cytoplasmic and membranous location. Thus, this study
clearly depicts a remarkable performance of ApoTome with diagnostic significance.
PMID- 21898829
TI - Aging--RNA in development and disease.
AB - Given that RNA is involved in virtually all biological processes, it is perhaps
not surprising that several RNA-binding proteins are associated with aging and
with different age-related disorders. Other articles in this volume will discuss
some specific examples of diseases where RNA plays a role that are also
associated with aging, such as cancer and inflammation, so here I will discuss
some general aspects of how RNA changes with the aging process. I will also
discuss some specific examples of RNA-binding proteins that are associated with
age-dependent neurological diseases as these provide an interesting framework to
examine how lifetime mutations might lead to a late onset disease, although the
answers to these questions are still not well understood.
PMID- 21898831
TI - G-PKDrep-live, a genetically encoded FRET reporter to measure PKD activity at the
trans-Golgi-network.
AB - The serine/threonine protein kinase D (PKD) is recruited to the trans-Golgi
network (TGN) by interaction with diacylglycerol (DAG) and Arf1 and promotes the
fission of vesicles containing cargo destined for the plasma membrane. PKD
activation is mediated by PKC(-induced phosphorylation. However, signaling
pathways that activate PKD specifically at the TGN are only poorly characterized.
Recently we created G-PKDrep, a genetically encoded fluorescent reporter for PKD
activity at the TGN in fixed cells. To establish a reporter useful for monitoring
Golgi-specific PKD activity in living cells we now refined G-PKDrep to generate G
PKDrep-live. Specifically, phosphorylation of G-PKDrep-live expressed in
mammalian cells results in changes of fluorescence resonance energy transfer
(FRET), and allows for indirect imaging of PKD activity. In a proof-of-principle
experiment using phorbolester treatment, we demonstrate the reporter's capability
to track rapid activation of PKD at the TGN. Furthermore, activation-induced FRET
changes are reversed by treatment with PKD-specific pharmacological inhibitors.
Thus, the newly developed reporter G-PKDrep-live is a suitable tool to visualize
dynamic changes in PKD activity at the TGN in living cells. See accompanying
commentary by Gautam DOI: 10.1002/biot.201100424.
PMID- 21898832
TI - Identification and characterization of inhibitors of the aminoglycoside
resistance acetyltransferase Eis from Mycobacterium tuberculosis.
PMID- 21898834
TI - Runaway ROS as a selective anticancer strategy.
PMID- 21898835
TI - Colloidal templating fabrication of aluminum-organophosphonate films using high
molecular weight PS-b-PEO.
AB - High molecular weight polystyrene-block-poly(ethylene oxide) diblock copolymer
(PS-b-PEO) is utilized as colloidal spheres in the presence of water. Adequately
thick films with multilayers of spherical macropores are fabricated in one-pot
under highly concentrated conditions of PS-b-PEO. The frameworks are constructed
using aluminum organophosphonate as a complicated hybrid component. The
macropores (30-200 nm) are homogeneously distributed over the entire films and
pore windows between the macropores are tunable (up to nearly 10 nm) by changing
the relative amount of PS-b-PEO in the precursor solutions.
PMID- 21898833
TI - Halogen bonding at the active sites of human cathepsin L and MEK1 kinase:
efficient interactions in different environments.
AB - In two series of small-molecule ligands, one inhibiting human cathepsin L (hcatL)
and the other MEK1 kinase, biological affinities were found to strongly increase
when an aryl ring of the inhibitors is substituted with the larger halogens Cl,
Br, and I, but to decrease upon F substitution. X-ray co-crystal structure
analyses revealed that the higher halides engage in halogen bonding (XB) with a
backbone C=O in the S3 pocket of hcatL and in a back pocket of MEK1. While the S3
pocket is located at the surface of the enzyme, which provides a polar
environment, the back pocket in MEK1 is deeply buried in the protein and is of
pronounced apolar character. This study analyzes environmental effects on XB in
protein-ligand complexes. It is hypothesized that energetic gains by XB are
predominantly not due to water replacements but originate from direct
interactions between the XB donor (Caryl-X) and the XB acceptor (C=O) in the
correct geometry. New X-ray co-crystal structures in the same crystal form (space
group P2(1)2(1)2(1)) were obtained for aryl chloride, bromide, and iodide ligands
bound to hcatL. These high-resolution structures reveal that the backbone C=O
group of Gly61 in most hcatL co-crystal structures maintains water solvation
while engaging in XB. An aryl-CF3-substituted ligand of hcatL with an
unexpectedly high affinity was found to adopt the same binding geometry as the
aryl halides, with the CF3 group pointing to the C=O group of Gly61 in the S3
pocket. In this case, a repulsive F2C-F???O=C contact apparently is energetically
overcompensated by other favorable protein-ligand contacts established by the CF3
group.
PMID- 21898836
TI - Metal-organic niccolite: synthesis, structures, phase transition, and magnetic
properties of [CH3NH2(CH2)2NH2CH3][M2(HCOO)6] (M=divalent Mn, Fe, Co, Ni, Cu and
Zn).
AB - We report the synthesis, crystal structures, thermal and magnetic
characterizations of a family of metal-organic frameworks adopting the niccolite
(NiAs) structure, [dmenH(2)(2+)][M(2)(HCOO)(6)(2-)] (dmen=N,N'
dimethylethylenediamine; M=divalent Mn, 1Mn; Fe, 2Fe; Co, 3Co; Ni, 4Ni; Cu, 5Cu;
and Zn, 6Zn). The compounds could be synthesized by either a diffusion method or
directly mixing reactants in methanol or methanol-water mixed solvents. The five
members, 1Mn, 2Fe, 3Co, 4Ni, and 6Zn are isostructural and crystallize in the
trigonal space group P31c, while 5Cu crystallizes in C2/c. In the structures, the
octahedrally coordinated metal ions are connected by anti-anti formate bridges,
thus forming the anionic NiAs-type frameworks of [M(2)(HCOO)(6)(2-)], with
dmenH(2)(2+) located in the cavities of the frameworks. Owing to the Jahn-Teller
effect of the Cu(2+) ion, the 3D framework of 5Cu consists of zigzag Cu-formate
chains with Cu-OCHO-Cu connections through short basal Cu-O bonds, further linked
by the long axial Cu-O bonds. 6Zn exhibits a phase transition probably as a
result of the order-disorder transition of the dmenH(2)(2+) cation around 300 K,
confirmed by differential scanning calorimetry and single crystal X-ray
diffraction patterns under different temperatures. Magnetic investigation reveals
that the four magnetic members, 1Mn, 2Fe, 3Co, and 4Ni, display spin-canted
antiferromagnetism, with a Neel temperature of 8.6 K, 19.8 K, 16.4 K, and 33.7 K,
respectively. The Mn, Fe, and Ni members show spin-flop transitions below 50 kOe.
2Fe possesses a large hysteresis loop with a large coercive field of 10.8 kOe.
The Cu member, 5Cu, shows overall antiferromagnetism (both inter- and intra
chains) with low-dimensional characteristics.
PMID- 21898837
TI - Synthetic beta-K(0.33)V2O5 nanorods: a metal-insulator transition in vanadium
oxide bronze.
AB - We found a linear relationship between the metal-insulator transition (MIT)
temperature and the A(+) ionic radius of the beta-A(0.33)V(2)O(5) bronze family,
leading our attention to beta-K(0.33)V(2)O(5) which has been neglected for a long
time. We have introduced a facile hydrothermal method to obtain the single
crystalline beta-K(0.33)V(2)O(5) nanorods. As expected, both the temperature
dependence of the resistivity and magnetization demonstrated MITs at about 72 K
for beta-K(0.33)V(2)O(5), thus matching well with the linear relationship
described above. The beta-K(0.33)V(2)O(5) was assigned as a new member of the
beta-A(0.33)V(2)O(5) bronze family for their similar crystal and electronic
structures and their MIT property; this addition enriches the beta
A(0.33)V(2)O(5) bronze family.
PMID- 21898838
TI - Organo- and hydrogelators based on luminescent monocationic terpyridyl
platinum(II) complexes with biphenylacetylide ligands.
AB - A series of phosphorescent terpyridyl platinum(II) complexes with ancillary
biphenylacetylide ligands, namely, [(R(3)tpy)PtC=C(biphenyl)]X (R=tBu, H, or
Et(2)N; tpy=2,2';6',2''-terpyridyl; X is an anion) were synthesized and
structurally characterized by various spectroscopic techniques and X-ray
diffraction methods. Despite a lack of long alkyl chain(s) or hydrogen-bonding
motif(s), complexes [(tpy)PtC=C(biphenyl)]Cl and [(tBu(3)tpy)PtC=C(biphenyl)]X
(X=Cl, ClO(4), PF(6), or BF(4)) were found to gelate water and organic solvents,
respectively. The self-aggregation of these complexes in solutions and the
resulting gels were investigated with variable-temperature (VT) (1)H NMR
spectroscopy, polarized optical microscopy, and absorption/emission spectroscopy.
SEM micrographs on dry gels revealed entangled nanofibers with diameters of 20-40
nm and lengths of tens of micrometers. Powder X-ray diffraction (PXRD) study
revealed various degrees of crystallinity of these fibrillar nanostructures. The
substituents on both the terpyridyl and acetylide ligands and counterion of these
complexes play a profound but concerted role in tuning the intermolecular
metal...metal and/or pi-pi interactions, and hence the gelation properties.
PMID- 21898839
TI - Iron-catalyzed C-H bond activation for the ortho-arylation of aryl pyridines and
imines with Grignard reagents.
AB - Direct arylation of the ortho-C-H bond of an aryl pyridine or an aryl imine with
an aryl Grignard reagent has been achieved by using an iron-diamine catalyst and
a dichloroalkane as an oxidant in a short reaction time (e.g., 5 min) under mild
conditions (0 degrees C). The use of an aromatic co-solvent, such as
chlorobenzene and benzene, and slow addition of the Grignard reagent are
essential for the high efficiency of the reaction. The present arylation reaction
has distinct merits over the previously developed reaction that used an arylzinc
reagent, such as its reaction rate and atom economy. Selective C-H bond
activation occurs in the presence of a leaving group, such as a tosyloxy, chloro,
and bromo group. Studies on a stoichiometric reaction and kinetic isotope effects
shed light on the reaction intermediate and the C-H bond-activation step.
PMID- 21898840
TI - Construction of polymer-protein bioconjugates with varying chain topologies:
polymer molecular weight and steric hindrance effects.
AB - We report on the fabrication of well-defined polymer-protein bioconjugates with
varying chain architectures, including star polymers, star block copolymers, and
heteroarm star copolymers through the specific noncovalent interaction between
avidin and biotinylated synthetic polymer precursors. Homopolymer and diblock
precursors site-specifically labeled with a single biotin moiety at the chain
terminal, chain middle, or diblock junction point were synthesized by a
combination of atom-transfer radical polymerization (ATRP) and click reactions.
By taking advantage of molecular recognition between avidin and biotin moieties,
supramolecular star polymers, star block copolymers, and heteroarm star
copolymers were successfully fabricated. This specific binding process was also
assessed by using the diffraction optic technology (DOT) technique. We further
investigated the effects of polymer molecular weights, location of biotin
functionality within the polymer chain, and polymer chain conformations, that is,
steric hindrance effects, on the binding numbers of biotinylated polymer chains
per avidin within the polymer-protein bioconjugates, which were determined by the
standard avidin/2-(4-hydroxyazobenzene)benzoic acid (HABA) assay. The binding
numbers vary in the range of 1.9-3.3, depending on the molecular weights,
locations of biotin functionality within synthetic polymer precursors, and
polymer chain conformations.
PMID- 21898841
TI - Seed-mediated growth of gold inside hollow silica nanospheres for sensing
peroxide and glucose concentrations.
PMID- 21898842
TI - Applying small molecule microarrays and resulting affinity probe cocktails for
proteome profiling of mammalian cell lysates.
AB - Small molecule microarrays (SMMs) are proving to be increasingly important tools
for assessing protein-ligand interactions, as well as in screening for enzyme
substrates and inhibitors, in a high-throughput manner. We previously described
an SMM-facilitated screening strategy for the rapid identification of probes
against gamma-secretase, an aspartic protease. In this article, we extend upon
this work with an expanded library of hydroxyethylamine-derived inhibitors which
non-exclusively target aspartic proteases. Our library is diversified across
P(2), P(1), P(1)', and P(2)' positions. Accordingly, 86 new inhibitors are
synthesized using a combinatorial, solid-phase synthetic approach, bringing the
total library size to 284-biotinylated compounds, which were arrayed onto avidin
slides. In order to elucidate enzymatic activity and profiles within complex
biological samples, screening is performed using fluorescently-labeled mammalian
cell lysates. This yielded reproducible profiles or binding fingerprints that
correspond with interactions from aspartic proteases or accessory proteins as
well as other interacting targets that were present in the sample. The brightest
microarray hits were converted to affinity-based probes (AfBPs) using convenient,
1-step "click" chemistry with benzophenone from the relevant building blocks.
Pull-down/mass spectrometric analysis with these probes (individuals or cocktail)
yielded putative protein targets that include well-known aspartic proteases, such
as cathepsin D which is a clear marker for breast cancer cell lines, T47D. Many
other hits were also identified, which may be secondary or tertiary interactors
of aspartic proteases, or yet unreported off-targets of the hydroxyethylamine
pharmacophore. Our work herein thus provides a candidate list of biomarkers for
further investigations. Taken together, this SMM-facilitated strategy for the
discovery of new AfBPs should provide a useful tool for high-throughput
development of novel small molecule probes and the identification of new aspartic
proteases as well as related biomarkers in the future.
PMID- 21898843
TI - Comparison of bipolar hosts and mixed-hosts as host structures for deep-blue
phosphorescent organic light emitting diodes.
PMID- 21898844
TI - [Re(CO)3Cl]-chelation-mediated electronic coupling between two amine redox sites
through the 5,5'-positions of 2,2'-bipyridine.
AB - The electronic coupling between two amine redox sites bridged through the 5,5'
positions of the [Re(CO)(3)Cl]-chelated 2,2'-bipyridine was studied by the
electrochemical, spectroscopic, and EPR analysis. Interestingly, multiple near
infrared bands were observed in this new organic mixed-valent system. The results
are interpreted with the aid of DFT and TDDFT calculations.
PMID- 21898845
TI - Palladium-catalyzed alkynylthiolation of alkynes with triisopropylsilylethynyl
sulfide.
PMID- 21898846
TI - The Korean Chemical Society: launching society for ChemConnect, ChemConsort, and
ChemCongress in the International Year of Chemistry.
PMID- 21898847
TI - First evidence of a cDNA encoding for a melatonin receptor (mel 1b) in brain,
retina, and testis of Pelophylax esculentus.
AB - Melatonin, nocturnally secreted by the pineal gland, regulates a variety of
physiological functions, including reproduction. Here, we investigated the
evidence of melatonin binding sites in frog tissue (brain, retina, and testis)
through saturation and competition binding experiments. In the frog, Pelophylax
esculentus, our results confirm the presence of a single class of melatonin
specific binding sites in the brain and retina, but not in the testis. Further
experiments have been done using biomolecular approaches (PCR analysis). Here, we
report the isolation of a cDNA encoding for a melatonin receptor type (mel 1b)
from brain, retina, and testis of the P. esculentus. PCR analysis revealed that
melatonin expression is higher in the brain and retina, whereas it is lower in
the testis. The presence of a melatonin receptor transcript in the frog testis
corroborates our previous results obtained in in vitro experiments that suggest
that melatonin might act directly in male vertebrate gonads, and indicates that
the frog testis may be a suitable model to verify the role of indolamine in
testicular activity.
PMID- 21898848
TI - Effects of immune activation and glucocorticoid administration on feather growth
in greenfinches.
AB - Elevation of glucocorticoid (GC) hormone levels is an integral part of stress
response (as well as its termination) and immunomodulation. These hormones are
also responsible for mobilizing energy stores by stimulation of gluconeogenesis
and inhibition of protein synthesis. Elevation of GCs is thus incompatible with
other protein-demanding processes, such as moult. Previous studies have shown
that chronic elevation of GC hormones suppresses feather growth. Here, we asked
whether similar effect would also occur in the case of acute GC elevation and
induction of an inflammatory response by foreign antigen. We performed an
experiment on captive wild-caught greenfinches (Carduelis chloris) injecting
birds with phytohaemagglutinin (PHA) and dexamethasone (DEX) in a factorial
design. To assess the possible somatic impacts of these manipulations, we removed
one of the outermost tail feathers before the experiment and measured mass and
rachis diameter and length of the replacement feathers grown in captivity.
Immunostimulation by PHA reduced rachis length, but did not affect feather mass
or rachis diameter. Single injection of a synthetic GC hormone DEX significantly
reduced all three parameters of feather size. Altogether, these findings
demonstrate the sensitivity of feather growth to manipulation of immune and
adrenal functions. Our results corroborate the somatic costs of immune activation
and suggest that even a short-term elevation of GC hormones may induce long-term
somatic costs with a potential impact on fitness. Our findings also imply that a
single injection of DEX, frequently used as a diagnostic tool, can have lasting
effects and researchers must consider this when designing experiments.
PMID- 21898849
TI - Ovarian development of a river catfish Hemibagrus nemurus (Valenciennes, 1840) in
captivity.
AB - Hemibagrus nemurus is a riverine catfish with high economic and nutritive values.
Investigations on ovarian development of this fish were carried out to determine
the mode of ovarian development and describe the oocyte developmental stages.
Histological studies were done on ovaries using light microscopy and scanning
electron microscopy. Fish were sampled monthly for a period of six months (August
2009 to January 2010). The mean oocyte diameter (OD) ranged from 871 +/- 161.41
um to 1,167 +/- 26.77 um and the highest OD was in November. Oocyte size
frequency distribution showed a polymodal distribution. The mean gonadosomatic
index (GSI) ranged from 1.14 +/- 0.87% to 7.06 +/- 1.40% and highest GSI was in
November. The ovaries exhibited three phases of oocyte growth, which were primary
growth, secondary growth and maturation phases. Based on histological criteria,
the oocyte developmental stages were divided into seven stages as chromatin
nucleolar, early perinucleolar, late perinucleolar, cortical alveolar,
vitellogenesis, mature oocyte and germinal vesicle migration stages. All the
seven stages of oocyte development were observed in the ovaries. Oogonia were
always present throughout the developmental stages. The ovaries had more than two
stages of oocyte development. This is the first report on the mode of ovarian
development of H. nemurus. These findings indicated that H. nemurus has
asynchronous mode of ovarian development and is capable of spawning several times
in a year under favourable conditions.
PMID- 21898850
TI - Aerobic dive limit does not decline in an aging pinniped.
AB - Apneustic hunters such as diving mammals exploit body oxygen stores while
submerged; therefore, any decline in oxygen handling at advanced life stages
could critically impair foraging ability. We calculated the aerobic dive limit
(cADL = 17.9 +/- 4.4 min SD) from blood and muscle oxygen stores and published
metabolic rates of Weddell seals within (9-16 years, n = 24) and beyond peak
reproductive age (17-27 years, n = 26), to investigate (1) senescent constraints
in apneustic hunting, and (2) whether mass or age primarily determines oxygen
stores and ADL in older seals. We compared cADL with behavioral ADL from 5,275
free-ranging dives (bADL = 24.0 +/- 5.3 min, n = 18 females). We observed no
changes in Weddell seal oxygen stores, its determinants, or in ADLs late in life.
Oxygen stores were better predicted by mass than age, consistent with published
findings for young adults. Hematological panels (n = 6) were consistent across
mass and age, though hematocrit (females > males, 6% elevation) and mean
corpuscular hemoglobin content (females < males, 8% reduction) varied by sex.
Whole blood viscosity was decreased with increasing mass in females and was
higher than in males overall (+18%). This was largely due to elevated hematocrit
in females, although plasma viscosity also varied under some conditions. Females
had higher blood volume and elevated blood oxygen stores (vol% body mass), which
did not translate into significantly higher cADL (18.1 vs. 17.1 min for males).
Neither cADL nor bADL were mass- or age-dependent.
PMID- 21898851
TI - Negative effects of yolk testosterone and ticks on growth in canaries.
AB - Maternal yolk hormones in bird eggs are thought to adjust the offspring to the
post-hatching environment. This implies that the effects of maternal yolk
hormones should vary with the post-hatching environment, but to date such context
dependency has largely been ignored. We experimentally increased yolk
testosterone concentrations in canary eggs and simultaneously manipulated the
post-hatching context via an experimental tick-infestation of the chicks. This
allows us to evaluate the context-dependency of hormone-mediated maternal
effects, as it has previously been shown that ectoparasites alter the maternal
yolk androgen deposition. The experimental tick infestation reduced growth in
chicks from sham-treated eggs, indicating harmful effects of this ectoparasite in
canaries. Chicks from testosterone-treated eggs were not affected in their
development by ticks, suggesting lower ectoparasite vulnerability. But this may
also be due to the fact that experimentally elevated yolk testosterone levels
impaired growth even under parasite-free conditions. This contrasts previous
studies, but these studies often manipulated first laid eggs, while we used eggs
of subsequent laying positions. Later laid eggs are presumably of lower quality
and contain higher yolk testosterone concentrations. Thus, the effects of
elevated yolk testosterone on growth may be dose-dependent or vary with the egg
quality, suggesting prenatal context-dependency.
PMID- 21898853
TI - Microglial regulation of cholinergic differentiation in the basal forebrain.
AB - Because inflammation during pregnancy can lead to neurodevelopmental anomalies,
we investigated the role of inflamed microglia on cholinergic precursors in the
rat embryonic basal forebrain (BF) cultured on embryonic day 15. Conditioned
medium (CM) taken from microglia stimulated variously (microglial CM; MCM)
increased activity of choline acetyltransferase (ChAT), the enzyme responsible
for acetylcholine biosynthesis and a phenotypic hallmark of the cholinergic
neuron. There was a concomitant decline in glutamic acid decarboxylase
expression. Of stimulators tested, only beta-amyloid failed to produce effective
MCM. Infection with a Lac-Z-containing retrovirus revealed that MCM promoted
cholinergic differentiation from undifferentiated precursors in the population.
Several candidates were tested for their ability to mimic MCM. Mature nerve
growth factor (NGF) did not mimic MCM, but acted synergistically with it to
promote enormous increases in ChAT activity. However, a microglial cell line
produced high-molecular weight forms of NGF (pro-NGF) that were lethal to mature
cholinergic neurons. Although bone morphogenetic proteins (BMP) 2, 4, and 9
increased ChAT activity dose-dependently, noggin did not inhibit the effects of
the MCM, suggesting that BMPs were not the only active factor(s) in the MCM.
Embryonic microglia isolated following maternal inflammation produced a variety
of immune system cytokines and chemokines. One of these, interleukin-6 (IL-6),
was tested for its ability to promote cholinergic differentiation. Although IL-6
alone did not mimic the action of MCM, neutralization of it inhibited MCM
effectiveness. Thus, following maternal inflammation, a complex microglial
derived cocktail of factors can promote excess cholinergic differentiation in the
embryonic BF.
PMID- 21898852
TI - The ever-changing brain: cellular and molecular mechanisms for the effects of
stressful experiences.
AB - The adult brain is capable of considerable structural and functional plasticity
and the study of hormone actions in brain has contributed to our understanding of
this important phenomenon. In particular, stress and stress-related hormones such
as glucocorticoids and mineralocorticoids play a key role in the ability of acute
and chronic stress to cause reversible remodeling of neuronal connections in the
hippocampus, prefrontal cortex, and amygdala. To produce this plasticity, these
hormones act by both genomic and non-genomic mechanisms together with ongoing,
experience-driven neural activity mediated by excitatory amino acid
neurotransmitters, neurotrophic factors such as brain derived neurotrophic
factor, extracellular molecules such as neural cell adhesion molecule,
neuropeptides such as corticotrophin releasing factor, and endocannabinoids. The
result is a dynamic brain architecture that can be modified by experience. Under
this view, the role of pharmaceutical agents, such as antidepressants, is to
facilitate such plasticity that must also be guided by experiences.
PMID- 21898855
TI - Extracellular matrix and perineuronal nets in CNS repair.
AB - A perineuronal net (PNN) is a layer of lattice-like matrix which enwraps the
surface of the soma and dendrites, and in some cases the axon initial segments,
in sub-populations of neurons in the central nervous system (CNS). First reported
by Camillo Golgi more than a century ago, the molecular structure and the
potential role of this matrix have only been unraveled in the last few decades.
PNNs are mainly composed of hyaluronan, chondroitin sulfate proteoglycans, link
proteins, and tenascin R. The interactions between these molecules allow the
formation of a stable pericellular complex surrounding synapses on the neuronal
surface. PNNs appear late in development co-incident with the closure of critical
periods for plasticity. They play a direct role in the control of CNS plasticity,
and their removal is one way in which plasticity can be re-activated in the adult
CNS. In this review, we examine the molecular components and formation of PNNs,
their role in maturation and synaptic plasticity after CNS injury, and the
possible mechanisms of PNN action.
PMID- 21898854
TI - Extracellular matrix and the neural stem cell niche.
AB - Basal lamina is present in many stem cell niches, but we still have a poor
understanding of the role of this and other extracellular matrix (ECM)
components. Here, we review current knowledge regarding ECM expression and
function in the neural stem cell niche, focusing on the subependymal zone of the
adult CNS. An increasing complexity of ECM molecules has been described, and a
number of receptors expressed on the stem cells identified. Experiments
perturbing the niche using genetics or cytotoxic ablation of the rapidly dividing
precursors, or using explant culture models to examine specific growth factors,
have been influential in showing how changes in these ECM receptors might
regulate neural stem cell behavior. However the role of changes in the matrix
itself remains to be determined. The answers will be important, as they will
point to the molecules required to engineer niches ex-vivo so as to provide tools
for regenerative neuroscience.
PMID- 21898856
TI - Introduction: the role of extracellular matrix in nervous system development and
maintenance.
PMID- 21898858
TI - The analysis of the transcriptome as a new approach for biomarker development to
trace the abuse of anabolic steroid hormones.
AB - The abuse of anabolic steroid hormones in human sports and animal husbandry is an
ubiquitous problem and therefore a tight control program in both areas is very
important. Within these control programs, hormone residues are detected by
immunoassays or chromatographical methods in combination with mass spectrometry.
With these methods, all known substances can be detected; yet new xenobiotic
growth promoters and new ways of application are difficult to detect. Therefore
it is important to develop new sensitive screening methods to enable an efficient
control for misused anabolic substances. The detection of their physiological
action is a promising approach. Anabolic steroid hormones directly influence the
expression of specific genes and thus the analysis of the transcriptome of
different target tissues and matrices is of great interest. This review describes
our recent efforts made concerning the analysis of gene expression changes in
different tissues, different species and under different anabolic treatments.
PMID- 21898859
TI - Improved partial least squares models for stability-indicating analysis of
mebeverine and sulpiride mixtures in pharmaceutical preparation: a comparative
study.
AB - Performance of partial least squares regression (PLSR) is enhanced in the
presented work by three multivariate models, including weighted regression PLSR
(Weighted-PLSR), genetic algorithm PLSR (GA-PLSR), and wavelet transform PLSR (WT
PLSR). The proposed models were applied for the stability-indicating analysis of
mixtures of mebeverine hydrochloride (meb) and sulpiride (sul) in the presence of
their reported impurities and degradation products. The work introduced in this
paper aims to compare these different chemometric methods, showing the underlying
algorithm for each and making a comparison of analysis results. For proper
analysis, a 6-factor, 5-level experimental design was established resulting in a
training set of 25 mixtures containing different ratios of the interfering
species. A test set consisting of 5 mixtures was used to validate the prediction
ability of the suggested models. Leave one out (LOO) and bootstrap were applied
to predict number of PLS components. The GA-PLSR proposed method was successfully
applied for the analysis of raw material (test set 101.03% +/- 1.068, 101.47% +/-
2.721 for meb and sul, respectively) and pharmaceutical tablets containing meb
and sul mixtures (10.10% +/- 0.566, 98.16% +/- 1.081 for meb and sul).
PMID- 21898860
TI - The Drug Information and Monitoring System (DIMS) in the Netherlands:
implementation, results, and international comparison.
AB - The Ministry of Health in the Netherlands has made illicit drug testing for drug
users possible since the 1990s, in order to prevent serious health hazards
associated with unexpected dangerous substances. This system of illicit drug
testing is called the Drug Information and Monitoring System (DIMS). In nearly
two decades, more than 100 000 drug samples have been handed in at DIMS testing
facilities. This review describes the DIMS methodology and overviews results of
the three main psychostimulant drug markets that have been monitored, i.e.
ecstasy, amphetamine (speed), and cocaine. Additionally, monitoring results of
hallucinogens are also described for the first time. For comparison, alternative
international monitoring systems are described briefly alongside some of their
results. Finally, drug monitoring is discussed from the perspectives of policy,
prevention, and the drug users themselves.
PMID- 21898861
TI - Effect of self-efficacy and physical activity goal achievement on arthritis pain
and quality of life in patients with rheumatoid arthritis.
AB - OBJECTIVE: To examine physical activity and achievement of physical activity
goals in relation to self-reported pain and quality of life among patients with
rheumatoid arthritis (RA). METHODS: At baseline, 271 patients with RA were asked
to specify a physical activity goal, and filled in questionnaires assessing
physical activity, motivation, and self-efficacy for physical activity, arthritis
pain, and quality of life. Six months later, patients indicated to what extent
they had achieved their baseline physical activity goal and completed the same
set of questionnaires. These data were used to construct multiple mediation
models that placed physical activity and physical activity goal achievement as
mediators between self-efficacy and motivation on one hand, and arthritis pain
and quality of life on the other. RESULTS: A total of 106 patients with RA
completed both questionnaires. Self-efficacy at baseline predicted subsequent
level of physical activity and achievement of physical activity goals. Goal
achievement had a direct effect upon quality of life outcomes. Bootstrapping
confidence intervals revealed indirect effects of self-efficacy upon arthritis
pain and quality of life through goal achievement, but not through physical
activity. CONCLUSION: Higher levels of self-efficacy for physical activity
increase the likelihood that patients will achieve their physical activity goals.
Achievement of physical activity goals seems to be related to lower self-reported
arthritis pain, and higher levels of quality of life. In practice, clinicians can
foster self-efficacy and goal achievement by assisting patients in setting
realistic and attainable exercise goals, developing action plans, and by
providing feedback on goal progress.
PMID- 21898864
TI - Are posttranslational modifications of beta2-glycoprotein I markers for
thrombotic risk? Are they triggers of autoimmunity?
PMID- 21898857
TI - Normal morphogenesis of epithelial tissues and progression of epithelial tumors.
AB - Epithelial cells organize into various tissue architectures that largely maintain
their structure throughout the life of an organism. For decades, the
morphogenesis of epithelial tissues has fascinated scientists at the interface of
cell, developmental, and molecular biology. Systems biology offers ways to
combine knowledge from these disciplines by building integrative models that are
quantitative and predictive. Can such models be useful for gaining a deeper
understanding of epithelial morphogenesis? Here, we take inventory of some
recurring themes in epithelial morphogenesis that systems approaches could strive
to capture. Predictive understanding of morphogenesis at the systems level would
prove especially valuable for diseases such as cancer, where epithelial tissue
architecture is profoundly disrupted.
PMID- 21898865
TI - Endogenous prostaglandin E2 inhibits aberrant overgrowth of rheumatoid synovial
tissue and the development of osteoclast activity through EP4 receptor.
AB - OBJECTIVE: We recently developed an ex vivo cellular model of pannus, the
aberrant overgrowth of human synovial tissue. This study was undertaken to use
that model to investigate the role of prostaglandin E2 (PGE2) and its receptor
subtypes in the development of pannus growth and osteoclast activity in
rheumatoid arthritis (RA). METHODS: Inflammatory cells that infiltrated pannus
from patients with RA were collected without enzyme digestion and designated
synovial tissue-derived inflammatory cells. Their single-cell suspensions were
cultured in medium alone to observe an aberrant overgrowth of inflammatory tissue
in vitro. Levels of cytokines produced in culture supernatants were measured
using enzyme-linked immunosorbent assay kits. Osteoclast activity was assessed by
the development of resorption pits in calcium phosphate-coated slides. RESULTS:
Primary culture of the synovial tissue-derived inflammatory cells resulted in
spontaneous reconstruction of inflammatory tissue in vitro within 4 weeks, during
which tumor necrosis factor alpha, PGE2, macrophage colony-stimulating factor,
and matrix metalloproteinase 9 were produced in the supernatant. This aberrant
overgrowth was inhibited by antirheumatic drugs including methotrexate and
infliximab. On calcium phosphate-coated slides, synovial tissue-derived
inflammatory cells showed numerous resorption pits. In the presence of inhibitors
of endogenous prostanoid production such as indomethacin and NS398, exogenous
PGE1 and EP4-specific agonists significantly inhibited all these activities of
synovial tissue-derived inflammatory cells in a dose-dependent manner. Addition
of indomethacin, NS398, or EP4-specific antagonist resulted in the enhancement of
these cells' activities. EP2-specific agonist had a partial effect, while EP1-
and EP3-specific agonists had no significant effects. CONCLUSION: These results
suggest that endogenous PGE2 produced in rheumatoid synovium negatively regulates
aberrant synovial overgrowth and the development of osteoclast activity via EP4.
PMID- 21898867
TI - Difficulties in defining antinuclear antibody-positive patients as a separate
category in the classification of juvenile idiopathic arthritis: comment on the
article by Ravelli et al.
PMID- 21898868
TI - New etiopathogenic knowledge, or politics? Comment on the article by Falk et al.
PMID- 21898870
TI - Robotic surgery offers multiple applications for cancer patients: robots are
being used to assist with more types of surgery, although there are barriers to
its widespread adoption.
PMID- 21898872
TI - Hair loss at 20 associated with prostate cancer risk later in life.
PMID- 21898874
TI - Ovarian clear cell carcinoma--bad endometriosis or bad endometrium?
AB - It has become increasingly clear that the four main histological subtypes of
epithelial ovarian cancer (EOC), high-grade serous, endometrioid, clear cell and
mucinous, are entities with different epidemiologies, clinical presentations,
responses to treatment, and ultimate outcomes. In fact, for all intents and
purposes, they can be considered different diseases, their only common
denominator being that they frequently involve the ovary and pelvic organs.
However, clinical practice has not caught up with these insights and the
treatment of EOC is that of a single disease entity. In part, this is because we
lack detailed knowledge of the molecular mechanisms driving the pathogenesis of
each disease, which is vital in order to develop therapeutic approaches against
common driver events. In the last few years, mutations in ARID1A and PIK3CA have
been described in a substantial fraction of cases of ovarian clear cell
carcinoma, yet the paper by Yamamoto et al in this issue of The Journal of
Pathology reveals that PIK3CA mutations can be detected in precursor
endometriosis tissues. These and other recent observations underscore the
importance of investigating whether mutations in the eutopic endometrium actually
predispose to endometriosis and eventually to malignancy.
PMID- 21898875
TI - Clonal architecture of human prostatic epithelium in benign and malignant
conditions.
AB - The location of stem cells in the epithelium of the prostatic acinus remains
uncertain, as does the cellular origin of prostatic neoplasia. Here, we apply
lineage tracing to visualize the clonal progeny of stem cells in benign and
malignant human prostates and understand the clonal architecture of this
epithelium. Cells deficient for the mitochondrially-encoded enzyme cytochrome c
oxidase (CCO) were identified in 27 frozen prostatectomy specimens using dual
colour enzyme histochemistry and individual CCO-normal and -deficient cell areas
were laser-capture microdissected. PCR-sequencing of the entire mitochondrial
genome (mtDNA) of cells from CCO-deficient areas found to share mtDNA mutations
not present in adjacent CCO-normal cells, thus proving a clonal origin.
Immunohistochemistry was performed to visualize the three cell lineages normally
present in the prostatic epithelium. Entire CCO-deficient acini, and part
deficient acini were found. Deficient patches spanned either basal or luminal
cells, but sometimes also both epithelial cell types in normal, hyperplastic or
atrophic epithelium, and prostatic intraepithelial neoplasia (PIN). Patches
comprising both PIN and invasive cancer were observed. Each cell area within a
CCO-deficient patch contained an identical mtDNA mutation, defining the patch as
a clonal unit. CCO-deficient patches in benign epithelium contained basal,
luminal and endocrine cells, demonstrating multilineage differentiation and
therefore the presence of a stem cell. Our results demonstrate that the normal,
atrophic, hypertrophic and atypical (PIN) epithelium of human prostate contains
stem cell-derived clonal units that actively replenish the epithelium during
ageing. These deficient areas usually included the basal compartment indicating
the basal layer as the location of the stem cell. Importantly, single clonal
units comprised both PIN and invasive cancer, supporting PIN as the pre-invasive
lesion for prostate cancer.
PMID- 21898876
TI - In situ lineage tracking of human prostatic epithelial stem cell fate reveals a
common clonal origin for basal and luminal cells.
AB - Stem cells accumulate mitochondrial DNA (mtDNA) mutations resulting in an
observable respiratory chain defect in their progeny, allowing the mapping of
stem cell fate. There is considerable uncertainty in prostate epithelial biology
where both basal and luminal stem cells have been described, and in this study
the clonal relationships within the human prostate epithelial cell layers were
explored by tracing stem cell fate. Fresh-frozen and formalin-fixed
histologically-benign prostate samples from 35 patients were studied using
sequential cytochrome c oxidase (COX)/succinate dehydrogenase (SDH) enzyme
histochemistry and COX subunit I immunofluorescence to identify areas of
respiratory chain deficiency; mtDNA mutations were identified by whole
mitochondrial genome sequencing of laser-captured areas. We demonstrated that
cells with respiratory chain defects due to somatic mtDNA point mutations were
present in prostate epithelia and clonally expand in acini. Lineage tracing
revealed distinct patterning of stem cell fate with mtDNA mutations spreading
throughout the whole acinus or, more commonly, present as mosaic acinar defects.
This suggests that individual acini are typically generated from multiple stem
cells, and the presence of whole COX-deficient acini suggests that a single stem
cell can also generate an entire branching acinar subunit of the gland.
Significantly, a common clonal origin for basal, luminal and neuroendocrine cells
is demonstrated, helping to resolve a key area of debate in human prostate stem
cell biology.
PMID- 21898878
TI - Anti-cancer agents for breast cancer treatment during pregnancy.
PMID- 21898879
TI - Thyroid cysts treated with ethanol ablation can mimic malignancy during
sonographic follow-up.
AB - PURPOSE: We aimed to assess long-term ultrasound (US) findings after US-guided
percutaneous ethanol ablation (EA) in benign thyroid cysts and predominantly
cystic thyroid nodules. METHODS: Forty patients with thyroid cysts (n = 14) and
predominantly cystic thyroid nodules (n = 26) underwent long-term US follow-up
(range, 12-36 months; mean, 18.2 months) after EA. US images of 40 post-EA
nodules were retrospectively investigated to study the reduction in nodule volume
and detailed US appearance. RESULTS: On follow-up US, post-EA nodules showed the
following features: Marked hypoechogenicity (n = 28), spiculated margin (n = 7),
microcalcifications (n = 6), taller-than-wide shape (n = 2), centrally
predominant vascularity (n = 3), no vascularity (n = 31), mixed vascularity (n =
3), and peripheral vascularity (n = 3). Post-EA nodules were diagnosed by US as
benign (n = 3), probably benign (n = 2), borderline (n = 5), possibly malignant
(n = 20), and malignant (n = 10). No statistical difference in the incidence of
malignant US findings was observed between thyroid cysts and predominantly cystic
thyroid nodules (p > 0.05, Fisher's exact test). CONCLUSIONS: Long-term follow-up
US after successful EA of benign thyroid cysts and predominantly cystic thyroid
nodules revealed a high incidence of findings that are usually associated with
malignancy. Recognizing these consequences of the procedure would help avoid
unnecessary FNA on post-EA nodules.
PMID- 21898880
TI - Gracilis myocutaneous flap: evaluation of potential risk factors and long-term
donor-site morbidity.
AB - This study reviewed our experience with the gracilis myocutaneous (GMC) flap,
potential risk factors for flap necrosis, and long-term morbidity at the donor
site. From 1993 to 2002, 29 GMC flaps were harvested from 27 patients (pedicled n
= 21 and free n = 8). The overall incidence of flap necrosis was 13.79% (partial
(n = 2) and total (n = 2) necrosis). Flap necrosis was correlated with body mass
index >25 (P = 0.022), with smoking (P = 0.04 9) and with radiation therapy at
the recipient site (P = 0.020). The long-term morbidity at the donor-site was
low, except for scar appearance (17.24%), thigh contour deformity (58.62%), and
hypoesthesia (17.24%). Significant age and gender differences were seen for
ranking of scar ugliness, with females (P = 0.0061) and younger patients (age
<=55) (P = 0.046) assigned higher values. Significant age differences were seen
for ranking of thigh contour deformity, with younger patients assigned higher
values (P = 0.0012). In conclusion, patient overweight, smoking, and previous
radiation therapy at the recipient site may be the "potential risk factors" for
flap necrosis. The long-term morbidity at the donor-site was low, which was in
agreement with previous reported studies. A larger series would be the subject of
a future study.
PMID- 21898881
TI - Transverse splitting of the gracilis muscle free flap: Maximal use of a single
muscle.
AB - The gracilis muscle, based on the dominant pedicle, has been used extensively for
free tissue transfer. Recent studies have described the constant anatomy, ease of
dissection, and low donor-site morbidity of the distal segmental gracilis free
muscle flap. We present three cases of free distal segmental gracilis muscle
transfer. In one case, the gracilis muscle was divided transversely into one
proximally based and one distally based free flap and used for coverage of two
separate wounds in a patient with bilateral open calcaneal fractures. In two
cases, the preserved proximal gracilis was used as a reoperative free flap after
failure of the initial distal segmental gracilis free muscle. With recent
advances in microsurgery and ever-growing demands for low donor-site morbidity,
it is important to ensure each free muscle flap harvested is used efficiently.
Use of the free distal segmental gracilis muscle flap maximally uses one muscle
while minimizing donor site morbidity and retaining the proximal muscle for
future uses.
PMID- 21898882
TI - Preliminary report of preoperative assessment of anterolateral thigh flap
perforators using real-time virtual sonography system.
PMID- 21898883
TI - The use of Integra artificial dermis to minimize donor-site morbidity after
suprafascial or subfascial dissection of the radial forearm flap.
PMID- 21898884
TI - Discovering the elusive Beauchene: the originator of the disarticulated anatomic
technique.
AB - The identity of the Beauchene bearing the name of the widely used disarticulated
anatomic technique has remained elusive over the years. This article traces the
skull technique to its originator, Edme Francois Chauvot de Beauchene (ca. 1780
1830), an anatomist and surgeon. In addition to pioneering this innovative
anatomic preparation, Edme Francois reported the first known case of an
intraneural cyst in 1810 and pulmonary air embolism in 1818. The credit has been
incorrectly attributed to Claude Beauchene, an imaginary anatomist in Paris in
the 1850s, or to his famous father, Edme Pierre Chauvot de Beauchene (1749-1825),
a psychologist and physician. The significant accomplishments of Edme Francois
Chauvot de Beauchene (Beauchene fils or Beauchene son) in medicine have been
overshadowed by those of his distinguished father and should be fully recognized.
PMID- 21898887
TI - Unprecedented synthesis of 1,3-dimethylcyclobutadiene in the solid state and
aqueous solution.
AB - Cyclobutadiene (CBD), the smallest cyclic hydrocarbon bearing conjugated double
bonds, has long intrigued chemists because of its chemical characteristics. The
question of whether the molecule could be prepared at all has been answered, but
the parent compound and its unperturbed derivatives have eluded crystallographic
characterization or synthesis "in water". Different approaches have been used to
generate and to trap cyclobutadiene in a variety of confined environments: a) an
Ar matrix at cryogenic temperatures, b) a hemicarcerand cage enabling the
characterization by NMR spectroscopy in solution, and c) a crystalline
guanidinium-sulfonate-calixarene G(4)C matrix that is stable enough to allow
photoreactions in the solid state. In the latter case, the 4,6-dimethyl-alpha
pyrone precursor, Me(2)1, has been immobilized in a guanidinium-sulfonate
calixarene G(4)C crystalline network through a combination of non-covalent
interactions. UV irradiation of the crystals transforms the entrapped Me(2)1 into
a 4,6-dimethyl-Dewar-beta-lactone intermediate, Me(2)2, and rectangular-bent 1,3
dimethylcyclobutadiene, Me(2)CBD(R), which are sufficiently stable under the
confined conditions at 175 K to allow a conventional structure determination by X
ray diffraction. Further irradiation drives the reaction towards
Me(2)3&Me(2)CBD(S)/CO(2) (63.7 %) and Me(2)CBD(R) (37.3 %) superposed crystalline
architectures and the amplification of Me(2)CBD(R). The crystallographic models
are supported by additional FTIR and Raman experiments in the solid state and by
(1)H NMR spectroscopy and ESI mass spectrometry experiments in aqueous solution.
Amazingly, the 4,6-dimethyl-Dewar-beta-lactone, Me(2)2, the cyclobutadiene
carboxyl zwitterion, Me(2)3, and 1,3-dimethylcyclobutadiene, Me(2)CBD, were
obtained by ultraviolet irradiation of an aqueous solution of G(4)C{Me(2)1}. 1,3
Dimethylcyclobutadiene is stable in water at room temperature for several weeks
and even up to 50 degrees C as demonstrated by (1)H NMR spectroscopy.
PMID- 21898890
TI - A piezochromic luminescent complex: mechanical force induced patterning with a
high contrast ratio.
PMID- 21898892
TI - Hospital competition and inpatient services efficiency in Taiwan: a longitudinal
study.
AB - There is no consistent evidence of the relationship between market competition
and hospital efficiency. Some studies indicated that more competition led to a
faster patient turnover rate, higher hospital costs, and lower hospital
efficiency. Since the 1980s some studies found market competition could increase
the efficiency of inpatient services. However, there were few studies testing the
market competition during a hospital's earlier stages on its efficiency during
later stages, or the dynamic of efficiency. In this study, we examined the effect
of early-stage market competition on later-stage hospital efficiency in Taiwan,
and we determine the efficiency change using longitudinal study design. The data
for the analysis came from the annual national hospital survey of 1996 and 2001
provided by the Department of Health. There were 102 teaching hospital be
analysed. The results show that no evidence supports the proposition that higher
market competition would improve the efficiency of hospitals in delivering
inpatient services in Taiwan. Importantly, neither was the inefficiency score nor
the Malmquist productivity index of inpatient services associated with the level
of hospital market competition, regardless of the adjustment for hospital
characteristics. However, the results may be related with the hospital increasing
beds investment behavior.
PMID- 21898895
TI - Self-regulation processes and thriving in childhood and adolescence: a view of
the issues.
AB - Both organismic and intentional self-regulation processes must be integrated
across childhood and adolescence for adaptive developmental regulations to exist
and for the developing person to thrive, both during the first two decades of
life and through the adult years. To date, such an integrated, life-span approach
to self-regulation during childhood and adolescence has not been fully
formulated. The purpose of this monograph is to provide such integration; in this
introduction, the editors of the monograph explain the purposes of the volume and
provide a brief overview of the work of the contributing scholars.
PMID- 21898896
TI - When everything new is well-forgotten old: Vygotsky/Luria insights in the
development of executive functions.
AB - The concept of "extra-cortical organization of higher mental functions" proposed
by Lev Vygotsky and expanded by Alexander Luria extends cultural-historical
psychology regarding the interplay of natural and cultural factors in the
development of the human mind. Using the example of self-regulation, the authors
explore the evolution of this idea from its origins to recent findings on the
neuropsychological trajectories of the development of executive functions.
Empirical data derived from the Tools of the Mind project are used to discuss the
idea of using classroom intervention to study the development of self-regulation
in early childhood.
PMID- 21898897
TI - Self-regulation and academic achievement in elementary school children.
AB - Self-regulation is a key construct in children's healthy and adaptive
development. In this chapter, the authors situate self-regulation in a
theoretical context that describes its underlying components that are most
important for early school success: flexible attention, working memory, and
inhibitory control. The authors review evidence that supports substantive links
between these aspects of self-regulation and academic achievement in young
children. They also discuss methodological challenges in reliably and validly
assessing these skills (involving measures that are biased, are not applicable
across broad age ranges, or triangulated) and describe some recent advances in
measures of self-regulation (involving the NIH Toolbox or the Head-Toes-Knees
Shoulders assessment) that are reliable, ecologically valid, and predictive of
children's school achievement.
PMID- 21898898
TI - Influences of children's and adolescents' action-control processes on school
achievement, peer relationships, and coping with challenging life events.
AB - Self-regulation represents a core aspect of human functioning that influences
positive development across the life span. This chapter focuses on the action
control model, a key facet of self-regulation during childhood and early
adolescence. The authors discuss the development of action-control beliefs,
paying particular attention to their relationship to indices of positive
development. They then discuss how linking the action-control model with other
theories of self-regulation can inform our understanding of self-regulation
across the life span.
PMID- 21898899
TI - Intentional self-regulation, ecological assets, and thriving in adolescence: a
developmental systems model.
AB - The positive youth development (PYD) perspective emphasizes that thriving occurs
when individual <->context relations involve the alignment of adolescent
strengths with the resources in their contexts. The authors propose that a key
component of this relational process is the strength that youth possess in the
form of self-regulatory processes; these processes optimize opportunities to
obtain ecological resources that enhance the probability of PYD. They use the
selection, optimization, and compensation (SOC) model of intentional self
regulation to discuss the role of self-regulation in the PYD perspective among
diverse youth.
PMID- 21898900
TI - A life-span, relational, public health model of self-regulation: impact on
individual and community health.
AB - In this chapter, the authors extend the ideas around the development of self
regulation and its impact on development by proposing a life-span, relational,
public health model. They propose that the role of self-regulation should be
understood across transitions from childhood to adulthood and through an
individual and community perspective, including the relational process between
the individual, the community, and contextual factors, such as the social
determinants of health. These contextual factors may mediate or moderate the
development of self-regulatory capacity across one's life span, influencing both
individual and community health. Therefore, to ensure proper self-regulatory
development, we must address the myriad external factors that undermine the
development of self-regulation across the life span.
PMID- 21898901
TI - Adolescents' conscious processes of developing regulation: learning to appraise
challenges.
AB - To understand regulation and agency, it important to consider the nature of the
regulatory challenges that adolescents must deal with. These include emotional,
motivation, interpersonal, and other obstacles and problems. In this chapter, the
author discusses the challenges reported by youth working on arts, technology,
and social justice projects in organized programs and how they learn to address
them. Adolescents' new higher-order cognitive capacities allow them to better
understand the irregularities and complexity of real-world challenges. They also
use these capacities to consciously develop skills to navigate these challenges.
PMID- 21898904
TI - The impact of altered herbicide residues in transgenic herbicide-resistant crops
on standard setting for herbicide residues.
AB - The global area covered with transgenic (genetically modified) crops has rapidly
increased since their introduction in the mid-1990s. Most of these crops have
been rendered herbicide resistant, for which it can be envisaged that the
modification has an impact on the profile and level of herbicide residues within
these crops. In this article, the four main categories of herbicide resistance,
including resistance to acetolactate-synthase inhibitors, bromoxynil, glufosinate
and glyphosate, are reviewed. The topics considered are the molecular mechanism
underlying the herbicide resistance, the nature and levels of the residues formed
and their impact on the residue definition and maximum residue limits (MRLs)
defined by the Codex Alimentarius Commission and national authorities. No general
conclusions can be drawn concerning the nature and level of residues, which has
to be done on a case-by-case basis. International residue definitions and MRLs
are still lacking for some herbicide-crop combinations, and harmonisation is
therefore recommended.
PMID- 21898905
TI - Positive association of phencyclidine-responsive genes, PDE4A and PLAT, with
schizophrenia.
AB - As schizophrenia-like symptoms are produced by administration of phencyclidine
(PCP), a noncompetitive antagonist of N-methyl-D-aspartate (NMDA) receptors, PCP
responsive genes could be involved in the pathophysiology of schizophrenia. We
injected PCP to Wistar rats and isolated five different parts of the brain in 1
and 4 hr after the injection. We analyzed the gene expression induced by the PCP
treatment of these tissues using the AGILENT rat cDNA microarray system. We
observed changes in expression level in 90 genes and 21 ESTs after the treatment.
Out of the 10 genes showing >2-fold expressional change evaluated by qRT-PCR, we
selected 7 genes as subjects for the locus-wide association study to identify
susceptibility genes for schizophrenia in the Japanese population. In haplotype
analysis, significant associations were detected in combinations of two SNPs of
BTG2 (P = 1.4 * 10(-6) ), PDE4A (P = 1.4 * 10(-6) ), and PLAT (P = 1 * 10(-3) ),
after false discovery rate (FDR) correction. Additionally, we not only
successfully replicated the haplotype associations in PDE4A (P = 6.8 * 10(-12) )
and PLAT (P = 0.015), but also detected single-point associations of one SNP in
PDE4A (P = 0.0068) and two SNPs in PLAT (P = 0.0260 and 0.0104) in another larger
sample set consisting of 2,224 cases and 2,250 controls. These results indicate
that PDE4A and PLAT may be susceptibility genes for schizophrenia in the Japanese
population.
PMID- 21898907
TI - Acrolein.
PMID- 21898908
TI - Toxicology and risk assessment of acrolein in food.
AB - Acrolein is an alpha,beta-unsaturated aldehyde formed by thermal treatment of
animal and vegetable fats, carbohydrates and amino acids. In addition it is
generated endogenously. As an electrophile, acrolein forms adducts with
gluthathione and other cellular components and is therefore cytotoxic.
Mutagenicity was shown in some in vitro tests. Acrolein forms different DNA
adducts in vivo, but mutagenic and cancerogenous effects have not been
demonstrated for oral exposure. In subchronic oral studies, local lesions were
detected in the stomach of rats. Systemic effects have not been reported from
basic studies. A WHO working group established a tolerable oral acrolein intake
of 7.5 MUg/kg body weight/day. Acrolein exposure via food cannot be assessed due
to analytical difficulties and the lack of reliable content measurements. Human
biomonitoring of an acrolein urinary metabolite allows rough estimates of
acrolein exposure in the range of a few MUg/kg body weight/day. High exposure
could be ten times higher after the consumption of certain foods. Although the
estimation of the dietary acrolein exposure is associated with uncertainties, it
is concluded that a health risk seems to be unlikely.
PMID- 21898913
TI - The International Proteomics Tutorial Programme (IPTP): a teaching tool box for
the proteomics community.
AB - The most critical functions of the various proteomics organisations are the
training of young scientists and the dissemination of information to the general
scientific community. The education committees of the Human Proteome Organisation
(HUPO) and the European Proteomics Association (EuPA) together with their
national counterparts are therefore launching the International Proteomics
Tutorial Programme to meet these needs. The programme is being led by Peter James
(Sweden), Thierry Rabilloud (France) and Kazuyuki Nakamura (Japan). It involves
collaboration between the leading proteomics journals: Journal of Proteome
Research, Journal of Proteomics, Molecular and Cellular Proteomics, and
Proteomics. The overall level is aimed at Masters/PhD level students who are
starting out their research and who would benefit from a solid grounding in the
techniques used in modern protein-based research. The tutorial program will cover
core techniques and basics as an introduction to scientists new to the field. At
a later stage the programme may be expanded with a series of more advanced topics
focussing on the application of proteomics techniques to biological problem
solving. The entire series of articles and slides will be made freely available
for teaching use at the Journals and Organisations homepages and at a special
website, www.proteomicstutorials.org.
PMID- 21898916
TI - Introduction to Danish (nationwide) registers on health and social issues:
structure, access, legislation, and archiving.
AB - Danish registers contain information on many important health and social issues.
Because all Danish citizens have a unique personal identification number, linkage
at the individual level between these nationwide registers and other data sources
is possible and feasible. In this paper we briefly introduce selected Danish
registers and the data structure and requirements forgetting access to data at
Statistics Denmark, which is the main provider of register data. We introduce the
Danish Data Archive and briefly present the Act on Processing of Personal Data,
which is the legal foundation for analyses of register-based data in Denmark.
PMID- 21898917
TI - Database on Danish population-based registers for public health and welfare
research.
AB - Population-based studies with information from registers can take place in
Denmark due to linkage between registers at the individual level by means of a
unique personal identification number (CPR-number), which all persons with
residence in Denmark have. Registers with information on health can be linked to
other population registers containing information on, for example, transfer
payments, education, housing, income, and socioeconomic position. This article
introduces a database and search engine, which is available for public health and
welfare researchers as an aid to seek information on the content of important
Danish registers.
PMID- 21898918
TI - The Danish Register of Congenital Heart Disease.
AB - INTRODUCTION: Congenital heart defects (CHD) constitute the largest group of
congenital defects with a prevalence at birth of 5-11 per 1000 live births, and
the population of adults with CHD is increasing. However, few population-based
long-term outcome data exist. CONTENT: The Danish Register of Congenital Heart
Disease holds data on patients diagnosed with CHD since 1963 and patients below
25 years of age with other types of heart disease. VALIDITY AND COVERAGE: Overall
and defect specific validation is ongoing. CONCLUSION: Together with other Danish
registers, the Danish Register of Congenital Heart Disease provides extensive
research possibilities.
PMID- 21898919
TI - Iraq's health system yet to heal from ravages of war.
PMID- 21898920
TI - Just work.
PMID- 21898921
TI - Nanomedicine for implants: a review of studies and necessary experimental tools.
AB - The response of host organisms (including at the protein and cellular level) to
nanomaterials is different than that observed to conventional materials.
Nanomaterials are those materials which possess constituents less than 100 nm in
at least one direction. This review will first introduce the use of nanomaterials
in a variety of implant applications highlighting their promise towards
regenerating tissues. Such reviewed studies will emphasize interactions of
nanomaterials with various proteins and subsequently cells. Moreover, such
advances in the use of nanomaterials as novel implants have been largely, to
date, determined by conventional methods. However, the novel structure-property
relationships unique for nanosized materials reside at the nanoscale. That is,
the novelty of a nanomaterial can only be fully appreciated by characterizing
their interactions with biological systems (such as proteins) with nanoscale
resolution analytical tools. This characterization of nanomaterials at the
nanoscale is critical to understanding and, hence, further promoting increased
tissue growth on nanomaterials. For this reason, while more tools are needed for
this emerging field, this review will also cover currently available surface
characterization techniques that emphasize nanoscale resolution pertinent for
characterizing biological interactions with nanomaterials, including attenuated
total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy, X-ray
photoelectron spectroscopy (XPS), time-of-flight secondary ion mass spectroscopy
(SIMS), colorimetric biological assays, circular dichroism (CD), and atomic force
microscopy (AFM). Only through the coordination of nanoscale analytical tools
with studies that highlight mechanisms of increased tissue growth on
nanomaterials will we be able to design better implant materials.
PMID- 21898922
TI - Polling the audience using text messaging--a tool for medical education.
PMID- 21898923
TI - The time investment in research for clinical educators.
PMID- 21898924
TI - Revolution in education--new possibilities in education of medical students.
PMID- 21898925
TI - Reliability and validity of a Chinese version of the Dundee Ready Education
Environment Measure in the postgraduate context.
PMID- 21898926
TI - Learning in a new era.
PMID- 21898927
TI - Incremental cost benefit of an innovation.
PMID- 21898928
TI - Biological basis of sex differences in psychopharmacology. Preface.
PMID- 21898930
TI - False normal vitamin B(12) levels caused by assay error.
PMID- 21898929
TI - Authors' response.
PMID- 21898931
TI - The power of partnerships.
PMID- 21898932
TI - No workforce plan for enrolled nurses.
PMID- 21898933
TI - [Which project for the nursing profession?].
PMID- 21898934
TI - [Managing pain in the field of mental health].
AB - There are no specific tools for assessing pain in mental health. Different pain
assessment scales can help in understanding the cause, mechanism and intensity.
However, therapeutic support remains the basic treatment for relieving a
patient's pain.
PMID- 21898935
TI - Natural glory in the midst of war: the establishment of Yosemite State Park.
PMID- 21898936
TI - Toward autonomy in love and work: situating the film "Yo, tambien" within the
political project of disability studies.
AB - This essay looks at the representation of disability in the recent Spanish film
"Yo, tambien" through the lens of disability studies, understood as a political
project. The film's portrayal of a character who is, like the actor who plays
him, Europe's first university graduate with Down syndrome, is unique. Moreover,
"Yo, tambien" provides the opportunity to assess the state of the struggle for
rights for persons with disabilities both in the film's narrative arc and also in
the wider Spanish (and global) society. Among other sources, specific articles of
the United Nations's recent Convention on the Rights of People with Disabilities
are incorporated into the essay. Both essay and film coincide in emphasizing the
need to grant disabled populations greater autonomy in the spheres of love and
work.
PMID- 21898937
TI - Squatting and urban renewal: the interaction of squatter movements and strategies
of urban restructuring in Berlin.
AB - Squatting as a housing strategy and as a tool of urban social movements
accompanies the development of capitalist cities worldwide. We argue that the
dynamics of squatter movements are directly connected to strategies of urban
renewal in that movement conjunctures occur when urban regimes are in crisis. An
analysis of the history of Berlin squatter movements, their political context and
their effects on urban policies since the 1970s, clearly shows how massive
mobilizations at the beginning of the 1980s and in the early 1990s developed in a
context of transition in regimes of urban renewal. The crisis of Fordist city
planning at the end of the 1970s provoked a movement of "rehab squatting"
('Instandbesetzung'), which contributed to the institutionalization of "cautious
urban renewal" ('behutsame Stadterneuerung') in an important way. The second
rupture in Berlin's urban renewal became apparent in 1989 and 1990, when the
necessity of restoring whole inner-city districts constituted a new, budget
straining challenge for urban policymaking. Whilst in the 1980s the squatter
movement became a central condition for and a political factor of the transition
to "cautious urban renewal," in the 1990s large-scale squatting - mainly in the
eastern parts of the city - is better understood as an alien element in times of
neoliberal urban restructuring.
PMID- 21898938
TI - Ghettos and enclaves in the cross-place realm: mapping socially bounded spaces
across cities.
AB - Since the early Chicago School, urban researchers have used residential proximity
to assess contacts within and between racial and ethnic groups. This approach is
increasingly limited. Diverse groups use email, social networking sites, instant
messaging and mobile phones to communicate across urban zones and distant cities.
These practices enable mutual support among far-flung family members and co
ethnics as they engage with an array of institutions throughout their day.
Through interviews and observations that include women and men of diverse
occupations, races and national origins, the author explores how and why cross
place enclosures of sociality and resources develop. Rather than framing the
residential area as the locus of racial/ethnic concentration, the author focuses
on cross-place concentrations in the technologically mediated workspace. This
study enhances theorization of the structural negotiations, interpersonal
pressures and group preferences that produce separate lifeworlds in globalizing
cities.
PMID- 21898939
TI - Migration in far west Nepal: intergenerational linkages between internal and
international migration of rural-to-urban migrants.
AB - In Nepal, international labor migration to India and overseas, as well as
internal migration to the rural Nepalese lowlands, is of high socioeconomic
significance. Scholarly debates about migration in Nepal have gradually shifted
from an economic to a more holistic perspective, also incorporating social
dimensions. However, little evidence has been generated about internal migration
to urban destinations and the potential linkages between international and
internal migration. This article draws on Bourdieu's "Theory of Practice" and
sees migration as a social practice. Accordingly, migration practice is regarded
as a strategy social agents apply to increase or transfer capitals and ultimately
secure or improve their social position. Evidence for this argument is based on a
qualitative case study of rural to urban migrants in Far West Nepal conducted in
July and August 2009. The study at hand addresses linkages between internal and
international migration practices and provides insight about a social stratum
that is often neglected in migration research: the middle class and, more
precisely, government employees. The authors show that social relations are
crucial for channeling internal migration to a specific destination. Furthermore,
they unveil how internal migration is connected to the international labor
migration of former generations. Finally, the authors examine how migration
strategies adopted over generations create multi-local social networks rooted in
the family's place of origin.
PMID- 21898940
TI - Rice farming in Bali: organic production and marketing challenges.
AB - All is not well with agriculture in Southeast Asia. The productivity gains of the
Green Revolution have slowed and even reversed and environmental problems and
shortages of water and land are evident. At the same time changing world markets
are shifting the dynamics of national agricultural economies. But from the point
of view of farmers themselves, it is their season-to-season economic survival
that is at stake. Bali is in some ways typical of other agricultural areas in the
region, but it is also a special case because of its distinctive economic and
cultural environment dominated by tourism. In this environment, farmers are
doubly marginalized. At the same time the island offers them unique market
opportunities for premium and organic produce. This article examines the ways in
which these opportunities have been approached and describes their varying
degrees of success. It focuses especially on one project that has been successful
in reducing production costs by conversion to organic production, but less so in
marketing its produce. It argues finally for the need for integrated studies of
the entire rice production/marketing complex, especially from the bottom-up point
of view of farmers.
PMID- 21898941
TI - Famines past, famine's future.
AB - Famine, like poverty, has always been with us. No region and no century has been
immune. Its scars - economic, psychological and political - can long outlast its
immediate impact on mortality and health. Famines are a hallmark of economic
backwardness, and were thus more likely to occur in the pre-industrialized past.
Yet the twentieth century suffered some of the most devastating ever recorded.
That century also saw shifts in both the causes and symptoms of famine. This new
century's famines have been "small" by historical standards, and the threat of
major ones seemingly confined to ever-smaller pockets of the globe. Are these
shifts a sign of hope for the future?
PMID- 21898942
TI - Violence and compassion: a bioethical insight into their cognitive bases and
social manifestations.
AB - This article considers the social problem of violence and the alternative of
resolution through cooperation and compassion from the perspective of cognitive
neuroscience. Violence is a social problem, the manifestations of which have a
biological basis reflected in the development of aggression and the neural
mechanisms that regulate it. Cooperation and compassion are two forms of
behaviour with similar developmental, cognitive and cerebral regulatory bases to
the mechanisms activated in violence, even though they result in radically
different forms of behaviour. The article examines violence and compassion as two
mechanisms that lead to moral action that depends on whether sociocultural
contexts are adverse or favourable to human well-being. It concludes that the
neuro-cognitive system is a flexible and adaptable mechanism that regulates
behaviour directly, according to the sociocultural context in which individuals
live. Against that background, the UNESCO Declarations on the culture of peace
refer to concepts relating to cognition or the human mind. Cognitive neuroscience
therefore provides tools for creating and changing mental concepts that could
eventually enable human beings to live together in peace.
PMID- 21898943
TI - The psychobiology of aggression and violence: bioethical implications.
AB - Bioethics is concerned with the moral aspects of biology and medicine. The
bioethical relevance of aggression and violence is clear, as very different moral
and legal responsibilities may apply depending on whether aggression and violence
are forms of behaviour that are innate or acquired, deliberate or automatic or
not, or understandable and justifiable based on causes. Biological research and
natural science theories are a basic ingredient for reflections, arguments and
decisions on such matters. This study presents the problem of the causes of
aggressive behaviour, the evolutionary understanding and definition of aggressive
behaviour, the biological basis for this behaviour and the link between emotions
and aggression. A growing body of evidence suggests that innate factors of
behaviour (be they genetic or neurobiological) do not by themselves define
behaviour and nor do acquired factors such as learning, cultural norms or
worldviews. Both types of factor interact from the outset to shape a development
process that mutually interacts to define beliefs or behaviour.
PMID- 21898944
TI - Young people, multiculturalism, and educational interventions for the development
of empathy.
AB - As is maintained in the Seville Statement on Violence, the role of education in
shaping human relations is fundamental. In order to develop effective educational
interventions aiming to foster empathic relations, some important prerequisites
need to be satisfied. One of these prerequisites, which is based on a
constructivist model, is constituted by the identification and analysis of the
pre-existing concepts and attitudes of those to whom interventions are directed,
regarding the specific issues involved in the specific educational process. More
effective positive changes can be obtained this way as they are generated from
within the individuals themselves. Using this perspective, a study was conducted
in Italian schools on the attitudes of young people between 9-18 years of age
towards multiculturalism in contemporary society. The participants (N=350, 176
girls and 174 boys) were invited to write down anonymously their thoughts about
multiculturalism. Their essays were quantitatively and qualitatively analysed.
The aim was to obtain a deep understanding not only of the explicit but also of
the implicit meaning of the texts and consequently also of the motivations
underlying the participants' attitudes. Some of the results of this study are
discussed and suggestions are made for the development of educational
interventions aiming to foster young people's empathic attitudes.
PMID- 21898945
TI - The usefulness of distinguishing types of aggression by function.
AB - Far from being a universally defined notion, aggression is a changing and
multifaceted phenomenon encompassing various concepts. There is no consensus as
to how different types of aggression should be classified: multiple ways of doing
so using a variety of criteria exist in the scientific literature. Some
scientists categorise aggressive acts according to how they are expressed, while
others prefer to look at motive, function, purpose and objective. Despite the
claim of some authors that distinguishing between different types of aggressive
acts is not always productive, categorising these according to different purposes
and objectives can be very useful, both for developing theory and because such an
approach serves forensic practice as well as preventive and therapeutic
interventions, as these focus on the propensities and personality of the
individual. Furthermore, given that the main functional classifications analysed
show a common tendency to dichotomise, it would seem appropriate for their
terminology and some of their measurement instruments to be standardised.
PMID- 21898946
TI - Between affiliation and autonomy: navigating pathways of women's empowerment and
gender justice in rural Bangladesh.
AB - Inasmuch as women's subordinate status is a product of the patriarchal structures
of constraint that prevail in specific contexts, pathways of women's empowerment
are likely to be "path dependent." They will be shaped by women's struggles to
act on the constraints that prevail in their societies, as much by what they seek
to defend as by what they seek to change. The universal value that many feminists
claim for individual autonomy may not therefore have the same purchase in all
contexts. This article examines processes of empowerment as they play out in the
lives of women associated with social mobilization organizations in the specific
context of rural Bangladesh. It draws on their narratives to explore the
collective strategies through which these organizations sought to empower the
women and how they in turn drew on their newly established "communities of
practice" to navigate their own pathways to wider social change. It concludes
that while the value attached to social affiliations by the women in the study is
clearly a product of the societies in which they have grown up, it may be no more
context-specific than the apparently universal value attached to individual
autonomy by many feminists.
PMID- 21898947
TI - Food, feed, fuel: transforming the competition for grains.
AB - Critical changes are underway in the domain of grain utilization. With the large
scale diversion of corn for the manufacture of ethanol, the bulk of it in the
USA, there has been a transformation of the food-feed competition that emerged in
the twentieth century and characterized the world's grain consumption after World
War II. Concerns have already been expressed in several quarters regarding the
role of corn-based ethanol in the recent food price spike and the global food
crisis. In this context, this article attempts to outline the theoretical tenets
of a food-feed-fuel competition in the domain of grain consumption. The study
focuses on developments in the US economy from 1980 onwards, when the earliest
initiatives on bio-fuel promotion were undertaken. The transformation of the
erstwhile food-feed competition with the introduction of fuel as a further use
for grains has caused a new dynamics of adjustments between the different uses of
grains. This tilts the distribution of cereal consumption drastically against the
low-income classes and poses tougher challenges in the fight against global
hunger.
PMID- 21898948
TI - [Bioethical problems in neurosciences].
PMID- 21898949
TI - [The experience of non-medication treatment of patients with Parkinson's disease
in "School of Health"].
PMID- 21898950
TI - [A case of successful psychotherapy of schizoid personality disorder with
hypochondria using the method of creative self-expression].
PMID- 21898951
TI - [Pharmacoeconomic aspects of migraine].
PMID- 21898952
TI - [Diagnosis of primary of symptomatic forms of chronic daily headache].
PMID- 21898953
TI - [The use of tanakan in the treatment of vestibular-ataxic syndrome in patients
with chronic cerebral ischemia].
PMID- 21898954
TI - [Rehabilitation of newborns with perinatal lesions of the central nervous system
using transcranial magnetic therapy].
PMID- 21898955
TI - [Some clinical features of bacterial infections with a syndrome of purulent
meningitis].
PMID- 21898956
TI - [Peculiarities of the formation and correlations between EEG changes and clinical
symptoms in children].
PMID- 21898957
TI - [Flupirtin (katadolon): a nonstandard action on pain transmission].
PMID- 21898958
TI - [Personality features in adolescents with internet addiction].
PMID- 21898959
TI - Normal maturation involves systematic changes in binocular visual connections in
Xenopus laevis.
AB - Systematic changes in neuronal connections have been observed during the
development of many vertebrate neuronal systems. These changes have usually
involved a refinement from an initial exuberance of connections or a response to
some experimental perturbation. Here we report on a system of neuronal
connections, which, during a protracted developmental period, undergo ordered
changes in response to normally occurring changes in functional requirements. In
the frog Xenopus laevis, interocular alignment changes markedly during late
larval and post-metamorphic life, producing a progressive enlargement of the
binocular portion of the visual field. An intertectal system links the two mid
brain optic tecta and is concerned with the neural representation of binocular
visual space. In the adult animal, connections in this system link corresponding
points (points receiving information from one locus of binocular visual space) on
the two tecta. Changes in eye position with development, however, change the set
of corresponding points. Therefore, if the intertectal connections link
corresponding tectal points throughout development, they must undergo an ordered
change with time. We present electrophysiological evidence that the intertectal
connections do, indeed, undergo such changes in response to changes in eye
alignment, and that the changes are major.
PMID- 21898960
TI - Measurement of the intracellular free calcium concentration in salamander rods.
AB - Measurement of the free calcium concentration within a photo-receptor outer
segment has been considered an important aim since the proposal by Hagins and
Yoshikami that the primary event in phototransduction is a release of Ca (2+)
inside the cell. More recent evidence has cast doubt on the calcium hypothesis,
and the observations of Yau and Nakatani and Matthews et al. suggest that the
internal Ca (2+) concentration ([Ca (2+)]i), may decrease after a flash of light.
In the present study we have measured [Ca (2+)]i directly by using a new method
for incorporating the Ca-sensitive photoprotein aequorin into an isolated rod. We
report that the light response is accompanied by a decrease in [Ca (2+)]i, caused
by the closure of light-sensitive channels which are the main route for Ca (2+)
entry into the outer segment. Of the Ca (2+) entering through light-sensitive
channels, about 95% is sequestered by a rapid and reversible buffering mechanism.
Calcium is removed from the cell by an electrogenic pump in which 3 Na (+) ions
are exchanged for each Ca (2+); the pump is highly active and the free Ca (2+) in
the cell declines with a time constant of ~0.5 s after a flash of light.
PMID- 21898961
TI - New technologies applied to family history: a particular case of southern Europe
in the eighteenth century.
AB - In this article, the author explains how the support of new technologies has
helped historians to develop their research over the last few decades. The
author, therefore, summarizes the application of both database and genealogical
programs for the southern Europe family studies as a methodological tool. First,
the author will establish the importance of the creation of databases using the
File Maker program, after which they will explain the value of using genealogical
programs such as Genopro and Heredis. The main aim of this article is to give
detail about the use of these new technologies as applied to a particular study
of southern Europe, specifically the Crown of Castile, during the late modern
period. The use of these computer programs has helped to develop the field of
social sciences and family history, in particular, social history, during the
last decade.
PMID- 21898962
TI - The effect of ethnicity and economy upon intergenerational coresidence: northern
Norway during the last part of the nineteenth century.
AB - During the last part of the nineteenth century, Finnmark province and the
northern part of Troms experienced a decline in intergenerational coresidence.
This article discusses what impact ethnic affiliation and economic activity had
on the living arrangements of the elderly, and what contributed to the change.
Logistic regression shows that ethnicity played a role but its effect disappears
after controlling for economic activity. Intergenerational coresidence was
positively associated with being a married Sami male with an occupation in
farming or combined fishing and farming. As such a person grew older, he was
increasingly likely to live separately from an own adult child. This pattern
changed toward the end of nineteenth century. By the close of the century, ethnic
differences had disappeared, and headship position, irrespective of marital
status, was strongly related to coresidence.
PMID- 21898963
TI - The Fifth Adam Smith Award in Mental Health Policy and Economics Research.
Editorial.
PMID- 21898964
TI - The role of the Toronto Girls' Home, 1863-1910.
AB - It has been suggested that the role of Ontario children's homes, who had for half
a century been helping disadvantaged children, changed significantly and
immediately under the 'Children's Protection Act of 1893'. However, the records
of the girls admitted to Toronto Girls' Home from 1863 to 1910 suggest that this
was not the case, for this home at least. For most of their history, their core
clientele was the children of poor respectable parents dealing with a crisis or
who could not both work and care for their children. Thus, although prior to 1893
they did also care for a significant number of neglected children, and after 1893
fewer such children were admitted, the Home continued for more than 20 years to
help families as they always had, providing a form of family support for which
the child protection system was not designed.
PMID- 21898965
TI - Social mobility and reproduction among nineteenth-century Colorado silver
prospectors.
AB - Popular cultural convention holds that, for those with enough gumption, the
American frontier was a land of unparalleled opportunity. However, careful
research throws doubt on the universality of this convention. Thus, the authors
explore factors that increase or decrease opportunities for upward mobility in
frontier towns. The authors' longitudinal study of late nineteenth century silver
prospectors in Gothic, Colorado, demonstrates that while enthusiastic prospecting
in Gothic did not lead to upward social mobility, it did provide enhanced
reproductive opportunities.
PMID- 21898966
TI - More than a photo: Germans from Russia remember their familial relationships.
AB - Most narrators of the Dakota Memories Oral History Project (DMOHP), the children
and grandchildren of ethnic German immigrants from Russia, reminisce a great deal
about their family relationships -- grandparent-grandchild relationships, parent
child relationships, and sibling-sibling relationships. They share memories of
their grandmothers baking them delicious dough dishes, of their fathers making
them labor endlessly in the fields, and of their siblings coaxing them into
mischief. Through these relationships, Germans from Russia not only learned about
their ethnic group's identity, but they also reshaped it into a new identity,
blending their past with their present. Within the context of family
relationships, these German Russian descendants forged a new identity rooted in
their ethnic heritage and history, but serviceable to new, American-born
generations.
PMID- 21898967
TI - Casualties.
AB - Privation and disease have mainly killed soldiers until very recently. Now that
enemy action predominates, faster and better control of bleeding and infection
before and during evacuation spares ever more lives today. This essay focuses on
psychological war wounds, placing them in the context of military casualties. The
surgeon's concepts of 'primary' wounds in war, and of would 'complications' and
'contamination', serve as models for psychological and moral injury in war.
'Psychological injury' is explained and preferred to 'Post-Traumatic Stress
Disorder', being less stigmatizing and more faithful to the phenomenon. Primary
psychological injury equates to the direct damage done by a bullet; the
complications - for example, alcohol abuse - equate to hemorrhage and infection.
Two current senses of 'moral injury' equate to wound contamination. As with
physical wounds, it is the complications and contamination of mental wounds that
most often kill service members or veterans, or blight their lives.
PMID- 21898968
TI - [Clinical concepts associated with lithium underutilization in the treatment of
bipolar disorder].
AB - OBJECTIVES: Bipolar Disorders are among the ten leading causes of morbity and
lithium is considered first-line treatment and the most cost-effective.
Nevertheless, its use takes a back seat to other treatment options less
effective, safe and more expensive; and the reasons for this remains unclear. The
present study investigates clinical concepts related to its underutilization.
METHOD: An anonymous questionnaire concerning different aspects of lithium
clinical use (compared efficacy, adverse effects, practical aspects regarding its
use, use in special populations) was administered during the XXV Congress of the
Argentinean Psychiatrist Association. RESULTS: 164 questionnaires were analyzed.
Less than one-third of the sample referred lithium as their most frequent
treatment option, although almost 60% qualified it as effective. Almost two
thirds considered its utilization as more complex and ill-ascribed adverse
effects to it. One third referred not to use it in youth and senior populations.
CONCLUSIONS: Contrary to current recommendations, lithium is under utilized. This
is the first report on the possible causes leading to such phenomena, which can
be related to ill concepts regarding its safety, clinical use and adverse
effects; although not to its effectiveness.
PMID- 21898969
TI - Imaging of protease functions--current guide to spotting cysteine cathepsins in
classical and novel scenes of action in mammalian epithelial cells and tissues.
AB - The human genome encodes some hundreds of proteases. Many of these are well
studied and understood with respect to their biochemistry, molecular mechanisms
of proteolytic cleavage, expression patterns, molecular structure, substrate
preferences and regulatory mechanisms, including their endogenous inhibitors.
Moreover, precise determination of protease localisation within subcellular
compartments, peri- and extracellular spaces has been extremely useful in
elucidating biological functions of peptidases. This can be achieved by refined
methodology as will be demonstrated herein for the cysteine cathepsins. Besides
localisation, it is now feasible to study in situ enzymatic activity at the
various levels of subcellular compartments, cells, tissues, and even whole
organisms including mouse.
PMID- 21898970
TI - Localization of the autonomic, somatic and sensory neurons innervating the
cranial tibial muscle of the pig.
AB - The location of sympathetic, somatic and sensory neurons projecting to the
cranial tibial muscle of the pig hindlimb was studied with the neuronal non
transynaptic tracer Fast Blue. Additionally, the number and the size of these
neurons were determinated. The Fast blue, randomly applied to the cranial tibial
muscle belly of 3 pigs, labelled sympathetic neurons in the ipsilateral L5-S3 and
contralateral S1 sympathetic trunk ganglia and in the prevertebral caudal
mesenteric ganglia of both sides. The somatic motoneurons were identified in the
ipsilateral ventral horn of the S1 segment of spinal cord, while the sensory
neurons were located in the ipsilateral L7-S1 spinal ganglia. The diameter of the
multipolar sympathetic neurons oscillated between 26 and 46 microm in the
sympathetic trunk ganglia and between 18 and 42 microm in the caudal mesenteric
ganglia. The size of the multipolar spinal motoneurons oscillated between 33 and
102 microm. The size of the pseudounipolar sensory neurons oscillated between 23
and 67 microm. In all ganglia, the labelled neurons were localized at random and
did not show a somatotopic distribution. Our results document a conspicuous
autonomic innervation projecting to the "classic" skeletal cranial tibial muscle.
Probably this innervation is destined to the muscle vessels.
PMID- 21898971
TI - Osteonic organization of limb bones in mammals, including humans, and birds: a
preliminary study.
AB - As it is well known, bone tissue is characterized by a calcified extracellular
matrix which makes this tissue suitable to support the body and protect the inner
organs. Lamellar bone tissue is organized in lamellae, 3-7 microm in thickness,
and arranged concentrically around vascular channels: the basic structure in this
type of organization is called Haversian system or osteon and the diameter of
osteons depends on the number of lamellae. Shape and regional density of osteons
are related to the bone segment and the specific functional requirements to meet.
Aim of this study is to correlate the compact bone tissue microstructure in
various classes of mammals, including humans, and birds in order to find an
adequate identification key. The results of our study show that in bone tissue
samples from various classes of mammals, including humans, and birds the osteonic
structure shows peculiar features, often depending on the rate of bone
remodelling, different in different animal species. We conclude that a careful
microscopic analysis of bone tissue and the characterization of distinctive
osteonic features could give a major contribution to forensic medicine to obtain
a more reliable recognition of bone findings.
PMID- 21898972
TI - Some aspects of the craniofacial indices and macro neurometrics of the Nigerian
local pig (Sus scrofa).
AB - This study is about the craniofacial indices and neuromorphometrics of the
Nigerian local pig and has been performed on twelve males and fourteen females of
ages one and a half to two years. The average values obtained for the tongue
length, tongue weight, rasp length, left pinna length, right pinna length, left
pinna width, right pinna width, height of left external nares, height of right
external nares and the rima oris length were 17 +/- 1.3 cm, 90 +/- 16 g, 4.6 +/-
0.58 cm, 13 +/- 1.3 cm, 13 +/- 1.3 cm, 8.7 +/- 1.5 cm, 8.7 +/- 1.4 cm, 0.98 +/-
0.12 cm, 0.96 +/- 0.13 cm and 19.51 +/- 2.89 cm respectively, while the mean
brain weight, mean brain length, cerebrum and cerebellum lengths, brain and
cerebellar heights were 84 +/- 12 g, 6.9 +/- 1.5 cm, 4.9 +/- 1.7 cm, 2.2 +/- 1.0
cm, 5.2 +/- 0.88 cm and 3.0 +/- 1.1 cm respectively. There was a negative
correlation between the weight of the animal and the height of the cerebellum,
the length of cerebrum and length of the cerebellum and between the weight of the
head and height of the cerebellum. A positive correlation was however observed
between the length of brain and the weight of brain, and between the length of
the cerebrum and weight of brain. The cerebral length was statistically longer (P
< 0.01) in the males than the females. The data obtained from this study will
provide added information in the field of comparative anatomy and porcine
neuroanatomy research.
PMID- 21898973
TI - Accessory muscles around the superior radioulnar joint: a morphological study.
AB - During anatomical dissections on 36 human elbow joints, we observed the presence
of three muscles around the superior radioulnar joint usually neglected by the
classical anatomical literature, the lateral tensor muscle of the annular
ligament (with an incidence of 16.6%), the medial tensor muscle of the annular
ligament (11.1%) and the accessory supinator muscle (16.6%). We could not
establish any association between the subject handedness and the sidedness of
these aberrant muscles, neither we found a predominance in sidedness or in
gender. Furthermore, we did not notice any significant predominance related to
the occupation and specifically to heavy labor occupations. On the contrary we
found these muscles to be more common in non-laborers, which supports the
assumption that these variants constitute rather genetic than epigenetic traits.
The knowledge of such anatomical variants facilitate the surgeon operating in the
elbow region to better interpret supernumerary muscular bundles in the operative
field.
PMID- 21898974
TI - The eminent Italian scholar Pietro d'Abano (1250-1315) and his contribution in
anatomy.
AB - Pietro d'Abano is recognized as a leading figure in the early history of European
medical faculties. Translator and scholar, he translated and commented in Latin
the doctrines of Greek and Arab physicians and philosophers having an ambitious
attempt, to reconcile the opposing views of Arab medicine and Greek natural
philosophy. Moreover he was one of the first to claim, three centuries before
Harvey, that the heart is the source of blood vessels.
PMID- 21898975
TI - A rare case report of subscapular artery.
AB - Axillary artery is one of the most important arteries of the upper limb, which is
a continua- tion of the subclavian artery. It begins at the lateral border of the
first rib and ends at the inferior border of the teres major where it becomes the
brachial artery. Axillary artery has six important branches included: 1) Superior
thoracic artery 2) Thoracoacromial artery 3) Lateral thoracic artery 4)
Subscapular artery 5) Posterior circumflex humeral artery 6) Anterior circumflex
humeral artery. Subscapular artery arises from the third part of axillary artery
normally and then divides into cir- cumflex scapular artery that extremely enters
the triangular space. The other branch of subscapular artery, the thoracodorsal
artery, accompanies thracodorsal nerve to lateral border of scapula and supplies
and innervates that region. In this case the subscapular artery was absent in
both sides and instead of that the circumflex scapular artery was directly
derived from axillary artery and the thoracodorsal artery is separated from
circumflex scapular artery as a thin and short branch, too. It seemed that the
lateral thoracic artery, which was thicker than its normal condition, supplied
the muscles of the lateral part of scapula and the thoracodorsal muscle. Other
branches of the axillary artery demonstrated without any abnormally. Since
axillary artery has the highest rate of rapture and damage coming after the
popliteal artery, knowing the variations is important and essential for surgeons,
radiologist and anatomist.
PMID- 21898977
TI - [Christian Keferstein - an amateur geologist in central Germany around 1800 and
his journal "Teutschland shown geognostically - geologically"].
AB - Christian Keferstein (1784-1866) was a self-educated geologist in early 19th
century Germany. His pre-scientific period may be regarded as an example of how
research in nature was conducted not only by academics but also by so called
"Dilettanten" (amateurs). Keferstein's journal Teutschland, geognostisch
geologisch dargestellt was published for over ten years beginning from 1821 and
was intended to provide a forum for geological studies in Germany. The fact that
Johann Wolfgang von Goethe colored a geological map as an insert for the 1st
edition of the journal shows how dilettantism was part of professional research,
even though experts did hardly refer to it. This article is to show how this kind
of common research was done during the early stages of geology--when the
discipline was still open to amateurs--and how results could be published.
Therefore the profile of Keferstein's journal reflects not only the specifics of
amateurs observations but also a lack of experts that could satisfy the need for
standardized observations, especially for the production of detailed maps.
PMID- 21898976
TI - [Skyblue - the cyanometer of Horace-Benedict de Saussure (1740 - 1799)].
AB - The cyanometer is a simply constructed measuring instrument that enables a
determination of skyblue. It consists of a color-scale that is arranged
circularly going in equal steps from white to blue (Prussian blue) and finally
into black. According to its inventor--Horace-Benedict de Saussure--the azure is
determined by the amount of so called opaque vapors in the atmosphere associated
with meteorological phenomena. As outlined by De Saussure, the blackness of the
universe seen through an illuminated and blurred medium results in azure. Thereby
his instrument offers a relative scale that is consistent with color theories of
his time like those of Johann Wolfgang von Goethe. The description allows the
construction of the scale without the employment of standardized color-prints.
Instead he provides a clear report of the necessary procedures to produce such a
scale. The accuracy of this description is tested and discussed employing the
methods of experimental history of science. The reception of the cyanometer in
the time about 1800 and its implications on color theories is discussed.
PMID- 21898978
TI - [Mathematics - astronomy - astrology special library].
AB - About 1560 Elector August of Saxony created an unusual library--one distinguished
within its period by both its specialization and location. Situated within the
Kunstkammer this library was mostly dedicated to the mathematical sciences and
related disciplines. It contained works by the most important authors on
mathematics, astronomy, and astrology from the classical, medieval, and early
modern periods. This essay traces the formation and composition of August's
library, and examines its function: What kind of relationship existed between the
library and the Kunstkammer? In what way did the library mirror the interests of
the Elector, and to what extend does it permit inferences regarding the Elector's
knowledge of mathematics? From the analysis August emerges not as a specialist
with a deep understanding of mathematics, but as a particular aficionado of
mathematical applications. As a practitioner and general follower of the
mathematical arts he took part in a far-reaching intellectual network the center
of which lay in the University of Wittenberg. Here, Melanchthon had effectively
strengthened the importance of the mathematical disciplines within the university
curriculum. He regarded mathematics as the foremost science, arguing that before
all other disciplines its method enabled man to recognize the harmonic order of
the world, and to discern divine providence. Thus, mathematics offered consoling
stability and support in an often seemingly chaotic world torn by religious
controversies. This kind of esteem for the mathematical sciences did not
presuppose expert knowledge. Hence, the fact that August does not appear to have
read the mathematical books he collected does not come as a contradiction. On the
contrary, for August it sufficed to recognize the potential of the mathematical
sciences, which he brought into life through the creation of a specialized
library that developed a rhetoric of its own. The collection of his Kunstkammer
library spoke of a harmonically ordered world while at the same time
memorializing August as a lover of mathematics and an important figure within the
group of mathematical experts and enthusiasts.
PMID- 21898979
TI - [Illustrations of of alchemy vessels in a manuscript of Pseudo-Geber].
AB - Manuscript ric. 933 "Geber de investigatione perfectionis magisterii", kept in
the Libreria Riccardiana in Florence, is a 13th century Latin version of the
"Book of the Secret of the Secrets" ("kitab sirr al-asrar") by the Arabian
alchemist al-Razi (865-925). The manuscript shows on page 25r a series of
drawings of alchemistic vessels and apparatus which do not figure in the Arabian
original but which are of particular interest as they date from as early as the
13th century and are numbered amongst the earliest drawings of this kind which we
possess. The publication of the manuscript by Julius Ruska in 1935 shows only
copied drawings with his interpretations of the legends. There was considerable
interest in the publication of the original page 25r and in the course of further
study it became clear that these interpretations had to be revised. These new
interpretations presented in detail in this paper are justified and put into an
alchemistic context. In some cases they give a new understanding and differ
considerably from Ruska's versions.
PMID- 21898980
TI - [Production of glass in early middle ages].
AB - For the production of glass three ingredients are necessary: sand, a flux to
reduce the melting-temperature and calcium to reduce the danger of glass
corrosion. The first objects of glass were made with calcium-rich ashes of
halophytic plants, until, in the first millennium BC, the glassmakers began to
use natron as a flux adding calcium deliberately or choosing a calcium-rich sand.
Natron, a mineral applied to fertilize or to preserve, as a spice, a detergent or
part of medical and cosmetic articles, was exploited in the regions south and
east of the Mediterranean, so the Central European glassmakers had to import
natron or the prefabricated raw glass for their work. Beginning in the 8th
century AD in Central Europe the flux changed again: The glassmakers increasingly
used ashes from wood growing in their native regions so becoming independent of
the necessity to import the raw materials. There are various reasons for this
change: First, the Mediterranean was no longer the trade area it had been at the
time of the antique Roman Empire due to the activities of the Byzantine navy.
Then, the climatic change in the 8th century and political upheavals during the
9th century in Egypt--being the main supplier of natron--caused a decrease in
exploitation and trade with this good. Finally, the Egyptian state established a
monopoly on the natron production, causing a permanent price increase.
Nevertheless, during the Early Middle Ages natron was imported into Europe,
although not necessarily for glass production. The article shows that glassmakers
of Central Europe were able to produce glass since the end of the Western Roman
Empire on the basis of the transfer of raw materials and know-how from the East.
From the 8th century onwards they emancipated themselves from the dependency on
imports by discovering and using native materials for glass production.
PMID- 21898981
TI - Ryan's hope--or folly?
PMID- 21898982
TI - Nonprofit health care market concentration and the public interest.
PMID- 21898983
TI - Is fragmented financing bad for your health?
AB - Americans finance health care through a variety of private insurance plans and
public programs. This organizational fragmentation could threaten continuity of
care and adversely affect outcomes. Using a large sample of veterans who were
eligible for mixtures of Veterans Health Administration- and Medicare-financed
care, we estimate a system of equations to account for simultaneity in the
determination of financing configuration and the probability of hospitalization
for an ambulatory care sensitive condition. We find that a change of one standard
deviation in financing fragmentation increases the risk of an adverse outcome by
one-fifth.
PMID- 21898984
TI - Why are low-income teens more likely to lack health insurance than their younger
peers?
AB - Low-income teenagers are more likely to lack health insurance than younger
children. Using data from the 2006, 2007, and 2008 rounds of the National Health
Interview Survey, we examine whether differences between teens and younger
children in socioeconomic factors, public health insurance eligibility, and
observable family characteristics explain this apparent age-related coverage gap.
Somewhat surprisingly, they do not. We find a highly robust age-coverage gradient
among poor and near-poor children. Our results suggest the need to examine teen
specific insurance enrollment dynamics, particularly in families with no younger
siblings, to optimize the effect of the newly enacted Patient Protection and
Affordable Care Act on teens' insurance coverage.
PMID- 21898985
TI - Geographic market definition: the case of Medicare-reimbursed skilled nursing
facility care.
AB - Correct geographic market definition is important to study the impact of
competition. In the nursing home industry, most studies use geopolitical
boundaries to define markets. This paper uses the Minimum Data Set to generate an
alternative market definition based on patient flows for Medicare skilled nursing
facilities. These distances are regressed against a range of nursing home and
area characteristics to determine what influences market size. We compared
Herfindahl-Hirschman Indices based on county and resident-flow measures of
geographic market definition. Evidence from this comparison suggests that using
the county for the market definition is not appropriate across all states.
PMID- 21898986
TI - Individual insurance and access to care.
AB - Starting in 2014, more Americans will have private, nonemployment-related
insurance ("individual insurance"). Using the nationally representative Medical
Expenditure Panel Survey for 2002 through 2007, this paper compares access to
care between nonelderly adults with individual insurance and those with
employment-related insurance. Adults with individual and employment-related
insurance report similar, often good, access to care. The study employs bivariate
probit models to account for omitted variables correlated with access and type of
insurance, and controls for differences in health status, attitudes, and
socioeconomic characteristics. Results show that individual insurance may reduce
access in some dimensions, but all effects are imprecisely estimated, so that
none is statistically significant.
PMID- 21898987
TI - [Correlation between hypertension and erectile dysfunction].
AB - The relationship between erectile dysfunction (ED) and hypertension is a focus in
andrological research. ED and hypertension share some pathophysiologic pathways,
such as oxidative stress-induced endothelial dysfunction and up-regulated
RhoA/Rho kinase activity, and both are the diseases at different stages of the
pathological process of vascular dysfunction. Thus, it is particularly important
to conduct regular and meticulous evaluation of such patients, so as to give
rational individualized medication. Phosphodiesterase-5 inhibitors have an
excellent efficacy and safety profile in the management of hypertension, either
used alone or with antihypertensive medication. At present, gene therapy and
adipose-derived stem cell therapy have displayed favorable prospects in the
management of ED and hypertension, and translational medicine may help bring more
clinical benefits.
PMID- 21898988
TI - [Two single nucleotide polymorphisms on chromosome 3 and the risk of prostate
cancer in Chinese men].
AB - OBJECTIVE: To investigate the correlation of the common variant single nucleotide
polymorphisms (SNP) on chromosome 3 with the incidence and related risk factors
of prostate cancer (PCa) in Chinese men. METHODS: Using the case-control meth-
od, we included 124 PCa patients in the PCa group and 111 age- and gender-matched
cancer-free healthy subjects as normal controls. We detected the distribution of
allele and genotype frequencies of the SNP rs10934853 and rs2660753 with the
polymerase chain reaction-high resolution melting curve (PCR-HRM) combined with
gene sequencing, analyzed the cumulative effect of the risk genotypes of these
two independent variants, and determined the correlation between different
genotypes of these two SNPs and clinically related risk factors in the PCa
patients. RESULTS: As for the genotypes of rs10934853, there were 28 cases of AA
(22.8%), 46 cases of CC (37.4%), and 49 cases of AC (39.8%) in the PCa patients,
as compared with 24 (22.0%), 34 (31.2%) and 51 (46.8%) in the healthy controls.
As regards the genotypes of rs2660753, there were 13 cases of AA (11.0%), 59
cases of GG (50.0%) and 46 cases of AG (39.0%) in the PCa patients, in comparison
with 9 (8.8%), 47 (45.6%) and 47 (45.6%) in the controls. No significant
differences were found in the distribution of the genotype and allele frequencies
of rs10934853 and rs2660753 between the two groups (P = 0.520 & 0.582). Analysis
on the cumulative effect of the risk genotypes of rs10934853 and rs2660753 showed
a slightly higher risk of PCa (OR = 1.831 & 1.968) in the two groups with risk
genotypes than in the one with wild types (P > 0.05). Different genotypes of
rs10934853 and rs2660753 were not correlated with clinically related risk factors
of the PCa patients (P > 0.05). CONCLUSION: SNP rs10934853 and rs2660753 on
chromosome 3 are not obviously correlated with PCa in Chinese patients, and may
not be a genetic risk factor of PCa.
PMID- 21898989
TI - [Pulmonary fibrosis induces erectile dysfunction in rats].
AB - OBJECTIVE: To study the impact of pulmonary fibrosis on erectile function in rats
and its mechanism. METHODS: Forty 12-week-old healthy male SD rats were randomly
divided into Groups A (4-week pulmonary fibrosis), B (6-week pulmonary fibrosis),
C (4-week control, and D (6-week control). The models of pulmonary fibrosis were
established by injection of bleomycin at 5 mg/kg in the trachea, while the
controls were injected with normal saline only. At 4 and 6 weeks, all the rats
were subjected to determination of the serum testosterone (T) level, arterial
blood gas analysis, measurement of intracavernous pressure/mean arterial pressure
(ICP/MAP), and examination of NOS activity and cGMP content. The mRNA expressions
of eNOS, iNOS and nNOS in the corpus cavernosum penis were detected by real-time
PCR, and that of eNOS analyzed by Western blot. RESULTS: The 3 V and 5 V of the
ICP/mapx100 in Group C were 16.37 +/- 2.19 and 27.19 +/- 3.18, significantly
lower than 30.78 +/- 2.66 and 50.09 +/- 6.97 in Group A (P < 0.05); those in
Group D were 10.17 +/- 1.31 and 17.40 +/- 1.74, significantly lower than 31.45 +/
3.07 and 51.23 +/- 7.23 in Group B (P < 0.05), and so were they in Group D than
in C (P < 0.05). PaO2 was significantly lower in Group C than in A ([75.50 +/-
13.87] mmHg vs [103.80 +/- 6.88] mmHg, P < 0.05) , and so was it in Group D than
in B ( [83.60 +/- 5.50] mmHg vs [102.70 +/- 5.77] mmHg, P < 0.05). Group C showed
a significantly increased serum T level as compared with A ([391.1 +/- 264.7]
ng/dl vs [175.9 +/- 53.0] ng/dl, P < 0.05), so did Group D ([745.4 +/- 408.8]
ng/dl) versus Group B ([177.8 +/- 52.3] ng/dl) and C (P < 0.05). NOS activity and
cGMP content in the corpus cavernosum significantly decreased in Group C ([1.50
+/- 0.14] U/mg prot and [35.69 +/- 3.64] pmol/mg) compared with A ([2.66 +/-
0.39] U/mg prot and [51.10 +/- 7.22] pmol/mg) (P < 0.05), so did they in D ([1.40
+/- 0.20] U/mg prot and [34.55 +/- 4.30] pmol/mg) versus B ([2.75 +/- 0.36] U/mg
prot and [52.15 +/- 6.86] pmol/mg) (P < 0.05), but neither showed any significant
difference between Groups D and C (P > 0.05). The expression of the eNOS protein
was significantly lower in Group C than in A (0.79 +/- 0.01 vs 0.87 +/- 0.01, P <
0.05), so was it in D than in B and C (0.71 +/- 0.02 vs 0.88 +/- 0.01 and 0.79 +/
0.01, P < 0.05). The expression of eNOS mRNA was significantly higher in Group C
than in A (4.46 +/- 0.92 vs 2.61 +/- 0.68, P < 0.05), but did not show any
significant difference between D and B (2.79 +/- 0.60 vs 2.69 +/- 0.65, P >
0.05), nor did the expressions of nNOS mRNA and iNOS mRNA between the pulmonary
fibrosis groups and the controls (P > 0.05). CONCLUSION: Pulmonary fibrosis may
induce erectile dysfunction by suppressing the expression of the eNOS protein and
reducing NOS activity and cGMP content in the corpus cavernosum penis of rats.
PMID- 21898990
TI - [Differentially expressed genes in asthenospermia: a bioinformatics-based study].
AB - OBJECTIVE: To study the differentially expressed genes in asthenospermia to gain
a deeper insight into the molecular mechanisms of the disease. METHODS: We
analyzed the differentially expressed genes in asthenospermia using GATHER,
PANTHER and ToppGene online bioinformatics tools. RESULTS: Our bioinformatics
mining and analyses revealed that the differentially expressed genes in
asthenospermia played important roles in the cellular protein and macromolecular
metabolism, protein modification, cell death, cell apoptosis and apoptosis
induction. CONCLUSION: Asthenospermia patients experience a decline in sperm
activity and the basic life activities of sperm simultaneously, and are also
prone to cell apoptosis or death. Such differentially expressed genes as KIF3B,
MYO15A, KIF6, KIF26B, KIF3A, DNHD2, DMN, DYNC2H1, STARD9, MYOHD1, and TPM1, which
are involved in cytoskeletal structure, microtubule movement and cell movement,
may be associated with asthenospermia, and therefore deserve further studies.
PMID- 21898991
TI - [Expression of SEPT4 protein in the ejaculated sperm of idiopathic
asthenozoospermic men].
AB - OBJECTIVE: To investigate the role of the SEPT4 protein in the pathogenesis of
idiopathic asthenozoospermia. METHODS: Samples of ejaculated sperm from
idiopathic asthenozoospermia patients and normozoospermic men were separated and
purified by Percoll discontinuous density gradients, the distribution and
expression of SEPT4 in the sperm samples were determined by immunocytochemistry,
and the expressions of SEPT4 mRNA and SEPT4 protein were detected by RT-PCR and
Western blot. RESULTS: Immunocytochemistry showed that the expression of SEPT4,
located in the annulus, was significantly reduced in the sperm of the idiopathic
asthenozoospermia patients (t = 3.452, P < 0.01). RT-PCR revealed that the
expression of SEPT4 mRNA was significantly lower in the sperm of the idiopathic
asthenozoospermia patients than in those of the normozoospermic men (t = 3.521, P
< 0.05). Western blot confirmed the results of RT-PCR (t = 5.872, P < 0.05).
CONCLUSION: The expression of SEPT4 is significantly decreased in the ejaculated
sperm of idiopathic asthenozoospermia patients, which might be one of the causes
of idiopathic asthenozoospermia.
PMID- 21898992
TI - [Changes in prostatic stromal composition and benign prostatic hyperplasia].
AB - OBJECTIVE: To investigate whether there are different stromal compositions in the
prostate tissue of patients with benign prostatic hyperplasia (BPH) and evaluate
their significance in the course of the disease. METHODS: Forty-three surgical or
bioptic prostatic specimens of BPH and 5 autoptic normal prostatic specimens were
stained by the Masson method to display the elements of the muscle fiber and
collagen. The relationship of the changes in the prostatic stromal composition
was analyzed with the degree of bladder outlet obstruction (BOO) , IPSS and
medication results. RESULTS: The mean ratio of muscle fiber to collagen in the
normal prostate tissue was (3.2 +/- 0.2):1, significantly higher than that of the
BPH patients (1: [4.7 +/- 3.1] ) (P < 0.01); that in the BPH patients with BOO
was 1: (5.4 +/- 3.7) markedly lower than in those without BOO (1: [2.5 +/- 1.1] )
(P = 0.02); that in the BPH patients with severe prostatic symptoms was 1: (9.1
+/- 2.9), remarkably lower than in those with moderate (1: [5.3 +/- 3.4]) and
mild prostatic symptoms (1: [2.8 +/- 1.7]) (P < 0.01); and that in the BPH
patients with satisfactory medicinal therapeutic results was 1:(2.3 +/- 1.9),
significantly higher than in those with poor therapeutic results (1: [7.6 +/-
4.3]) (P < 0.01). CONCLUSION: The stromal composition in the prostatic tissue of
BPH patients undergoes different degrees of changes. More obvious BPH symptoms
and poorer therapeutic results are associated with a bigger proportion of
collagens and a smaller proportion of muscle fibers in the prostatic tissue.
These changes may play an important role in the development and progression of
BPH.
PMID- 21898993
TI - [Association of IL-6-572C > G polymorphism with the susceptibility to prostate
cancer in the Chinese Han population in Jiangsu and Anhui area].
AB - OBJECTIVE: To investigate the association of the IL-6 -572C > G polymorphism with
the risk of prostate cancer (PCa) in the Chinese Han population in Jiangsu and
Anhui area. METHODS: We obtained peripheral blood genome DNA from 200 PCa
patients and 279 age-matched PCa-free healthy controls, analyzed the site
polymorphism of IL-6 -572C > G with the polymerase chain reaction-restriction
fragment length polymorphism (PCR-RFLP) technique, and studied the correlation of
different genotypes with the susceptibility to PCa. RESULTS: The subjects that
carried the CCGG genotype had a risk of PCa 2.46 times that of the CC genotype
carriers (95% CI = 1.41-4.29), and 2.47 times that of the CC/GC genotype carriers
(95% CI = 1.47-4.17). This risk was significantly increased among the following
subgroups of CCGG genotype carriers: age > 70 yr (OR = 3.06, 95% CI: 1.44-6.49),
BMI > 23 kg/m2 (OR = 3.72, 95% CI: 1.79-7.74), no cigarette smoking (OR = 2.96,
95% CI: 1.30-6.72), alcohol drinking (OR = 2.73, 95% CI: 1.28-5.79), with a
family history of cancer (OR = 6.67, 95% CI: 1.50-29.69). CONCLUSION: In the
Chinese Han population in Jiangsu and Anhui area, IL-6 -572C > G polymorphism is
associated with the susceptibility to PCa, and GG might be a susceptible genotype
to PCa.
PMID- 21898994
TI - [Expression of heme oxygenase enzyme in the testis tissue and azoospermia].
AB - OBJECTIVE: To investigate the location of heme oxygenase (HO) enzyme in the human
testis, and explore the correlation of the expression of HO enzyme with
azoospermia by analyzing its different expression levels in the testes of
nonobstructive azoospermia, obstructive azoospermia and normal men. METHODS: We
detected the location of the cells expressing HO enzyme in the human testis
tissue using immunohistochemistry, determined the mRNA and protein expression
levels of HO-1 and HO-2 in the testes of azoospermia patients and normal healthy
men by RT-fluorescence quantitative PCR (RT-FQ-PCR) and Western blot, and
explored the correlation of HO expressions with the pathogenesis of azoospermia.
RESULTS: HO-1 enzyme was expressed mainly in the Sertoli cells and HO-2 enzyme
chiefly in the germ cells of the testis tissue. RT-FQ-PCR showed that the
expression of HO-1 in the testis tissue was significantly lower in the
nonobstructive azoospermia than in the normal and obstructive azoospermia groups
(P < 0.05), with no significant difference between the latter two. Western blot
revealed no obvious difference between the expression level of HO-1 protein and
that of HO-1 mRNA. There were no differences in the expression level of HO-2
protein among the three groups. CONCLUSION: The expression level of HO enzyme is
significantly decreased in the testis tissue of nonobstructive azoospermia
patients, and the expression of HO-1 protein is consistent with that of HO-1
mRNA. As HO-1 protects the testis tissue against various stress injuries through
its antioxidant, anti-inflammatory and anti-apoptotic effects, its decreased
expression level may be correlated with spermatogenic dysfunction, and therefore
considered as a possible mechanism of nonobstructive azoospermia.
PMID- 21898995
TI - [Relationship between the levels of sex hormones and loss of bone mass in aging
male rats].
AB - OBJECTIVE: To investigate the relationship between the level of sex hormones and
the loss of bone mass in aging male rats. METHODS: Thirty male Sprague-Dawley
rats were equally divided into five age groups and sacrificed at 35, 70, 160, 700
and 800 postnatal days (PD) , followed by measurement of the % Tb x Ar, Tb x Th,
Tb x N and Tb x SP by bone histomorphometry and detection of the levels of serum
testosterone (T) and estradiol (E2) by radioimmunoassay. The relationship between
the changes of the T and E2 levels and those of bone histomorphometry was
analyzed. RESULTS: T and E2 levels were closely correlated with the bone mass in
the aging male rats. The changes in T and E2 levels were simultaneous with those
in the bone mass with the growth of the rats. T, E2, % Tb x Ar and Tb x N reached
the peak in the 70 and 160 PD groups, and markedly decreased in the 700 PD group
except Tb x Th and Tb x SP. The T levels in the 35, 70, 160, 700 and 800 PD
groups were (118.53 +/- 18.35) ng/dl, (345.49 +/- 54.63) ng/dl, (368.83 +/-
60.03) ng/dl, (61.15 +/- 21.12) ng/dl and (60.35 +/- 19. 27) ng/dl, changing
simultaneously with the E2 levels, which were (10.35 +/- 1.82) pg/ml, (16.92 +/-
3.13) pg/ml, (17.20 +/- 2.51) pg/ml, (5.87 +/- 2.34) pg/ml and (5.53 +/- 2.48)
pg/ml, respectively. The metrological parameters of the bone structure in the
five groups were as follows, Tb x Ar: (19.52 +/- 2.23)%, (26.28 +/- 2.18) %,
(28.37 +/- 1.21) %, (15.62 +/- 1.68) % and (14.21 +/- 0.89) %; Tb x Th: (35.45 +/
1.63) microm, (50.13 +/- 3.58) microm, (60.23 +/- 8.25) microm, (75.62 +/- 9.72)
microm and (78.78 +/- 11.21) microm; Tb x N: (5.98 +/- 1.21) n/mm, (8.07 +/-
0.86) n/mm, (8.30 +/- 1.22) n/mm, (2.63 +/- 1.35) n/mm and (2.48 +/- 1.62) n/mm;
Tb x SP: (126.34 +/- 18.15) microm, (136.26 +/- 15.27) microm, (261.08 +/- 76.43)
microm, (323.12 +/- 78.12) microm and (330.23 +/- 50.20) microm. CONCLUSION:
Changes in the levels of sex hormones are closely correlated with those of bone
mass. Both testosterone and estradiol are essential for bone development and bone
mass maintenance.
PMID- 21898996
TI - [Prevalence of sexual dysfunction in old and middle-aged males in Pingliang
area].
AB - OBJECTIVE: To investigate the prevalence of hyposexuality, erectile dysfunction
(ED) and defective ejaculation (DE) in the old and middle-aged males in Pingliang
area. METHODS: This investigation included 1 539 men aged > or = 50 years from 6
urban districts and 20 villages in the suburbs of Pingliang City, Gansu Province.
We recorded and analyzed their scores on IIEF-5 and Brief Male Sexual Function
Inventory for Urology (O'Leary 1995). RESULTS: A total of 1 230 subjects met the
investigation criteria. They averaged 62.5 +/- 9.6 years of age (range 50-89
years), and were divided into four age groups: 50-59, 60-69, 70-79 and > or = 80
years. The mean scores on IIEF-5 were 0-25 (9.4 +/- 8.6), sexual desire 0-8 (2.3
+/- 2.1), and ejaculation 0-8 (3.6 +/- 3.0). Hyposexuality, ED and DE were
defined as sexual desire score < or = 2, IIEF-5 score = 0-21, and ejaculation
score < or = 2, respectively. Based on these criteria, the incidence rates of
hyposexuality, ED and DE were 57.96%, 92.27% and 36.91%, respectively, with
statistically significant differences among different age groups (P < 0.01).
CONCLUSION: The prevalence of ED, hyposexuality and DE, particularly the
incidence of ED, is positively correlated with the increase of age in the old and
middle-aged males in Pingliang area.
PMID- 21898997
TI - [Male urethral duplication infection: experience with 9 cases].
AB - OBJECTIVE: To study the clinical characteristics of male urethral duplication
infection and offer some guidelines for the diagnosis and treatment of the
disease. METHODS: We analyzed the pathological types, clinical characteristics,
therapeutic processes and follow-up results of 9 cases of male urethral
duplication. RESULTS: Among the 9 cases of urethral duplication, 7 turned out to
be of Type I, 1 Type II A2 and 1 Type II B. The disease courses varied from 2 to
420 days, with an average of 77.2 +/- 141.5 days. Four cases with longer disease
duration were identified with a history of repeated use of various antibiotics
for treatment. Their clinical manifestations varied, with the outflow of
excretions or pus from the duplicate or normal urethra as the cardinal symptoms.
The pathogens detected from the secretions were mainly Neisseria gonorrhoeae,
Ureaplasma urealyticum, and Chlamydia trachomatis. The consistency rate of the
same pathogens detected in the vaginal or cervical secretions from the sex
partners of the patients was 87.5%. All the symptoms disappeared after a
sufficient-course treatment with sensitive antibiotics, and the patients' sex
partners received the same medication simultaneously. No recurrence was found
during a 3-month follow-up. CONCLUSION: Urethral duplication infection has
various clinical manifestations, and thus is easily missed in diagnosis.
Sufficient-course treatment with sensitive antibiotics is recommended for those
that prefer conservative therapy, and their sex partners should be treated
simultaneously.
PMID- 21898998
TI - [Large cell calcifying Sertoli cell tumor of the testis: a clinicopathological
observation].
AB - OBJECTIVE: To investigate the clinicopathological characteristics of large cell
calcifying Sertoli cell tumor (LCCSCT) of the testis. METHODS: We studied a case
of LCCSCT by light microscopy, Western blotting and immunohistochemistry,
reviewed relevant literature, and analyzed the clinical, morphological and
immunohistochemical features, treatment and prognosis of the tumor. RESULTS: The
patient was a 25 years old man. Pathohistologically, the tumor was characterized
by a mass of polygonal tumor cells in a tubular and trabecular growth pattern,
with abundant acidophilic cytoplasm, enlarged vesicular nuclei, and extensive
calcified debris in stroma. The tumor cells were positive for inhibin, S-100,
vimentin and alcian blue, but negative for PLAP, SMA, CK, AFP and periodic acid
Schiff (PAS) reaction. CONCLUSION: LCCSCT is a rare testicular sex cord stromal
tumor. Its diagnosis is based on immunohistochemical staining, and it is to be
differentiated from other lesions of the testis, including seminoma, Leydig cell
tumor, Sertoli cell node, and androgen insensitivity syndrome. For the treatment
of LCCSCT, surgical resection often has a good prognosis.
PMID- 21898999
TI - [Endothelial injury and erectile dysfunction].
AB - The endothelium plays an important role in maintaining vascular homeostasis,
regulating vascular tone and blood flow, and preserving a non-thrombogenic blood
tissue interface, and the normal function of the vascular endothelium is
essential for penile erection. In most cases, erectile dysfunction (ED) is
accompanied by endothelial dysfunction, and endothelial injury is a major
pathological basis of ED, which can be induced by bad lifestyles, cardiovascular
diseases, reactive oxygen species, and inflammatory mediators. The vascular
endothelium is capable of self-repairing, and endothelial injury results from the
unbalanced factors of injury and repair. This review focuses on the mechanism and
repair of endothelial injury and the relationship of endothelial injury with ED.
PMID- 21899000
TI - [Brain mechanisms of male sexual function].
AB - In this paper, we reviewed the brain imaging studies of male sexual function in
recent years from three aspects: the brain mechanism of normal sexual function,
the brain mechanism of sexual dysfunction, and the mechanism of drug therapy for
sexual dysfunction. Studies show that the development stages of male sexual
activities, such as the excitement phase, plateau phase and orgasm phase, are
controlled by different neural networks. The mesodiencephalic transition zone may
play an important role in the start up of male ejaculation. There are significant
differences between sexual dysfunction males and normal males in activation
patterns of the brain in sexual arousal. The medial orbitofrontal cortex and
inferior frontal gyrus in the abnormal activation pattern are correlated with
sexual dysfunction males in sexual arousal. Serum testosterone and morphine are
commonly used drugs for male sexual dysfunction, whose mechanisms are to alter
the activating levels of the medial orbitofrontal cortex, insula, claustrum and
inferior temporal gyrus.
PMID- 21899001
TI - [Regulatory effect of Bushenfang on the serum testosterone level of naturally
aging rats and its mechanism].
AB - OBJECTIVE: To study the regulatory effect of Bushenfang on the serum testosterone
(T) level of naturally aging rats and its mechanism, in order to provide a
theoretical and experimental basis for the clinical treatment of late onset
hypogonadism (LOH) in males. METHODS: Thirty-two 18-month-old male SD rats were
randomly divided into four groups of equal number, naturally aging model and low
, medium- and high-dose Bushenfang groups, and another eight 4-month-old rats
were taken as normal controls. The rats of the aging model and normal control
groups were treated with normal saline, while those of the low-, medium- and high
dose Bushenfang groups received intragastrically Bushenfang at 3.25, 7.50 and
15.00 g/kg, respectively, all for 3 weeks. Then the rats were sacrificed, the
histomorphologic changes of the testis observed by HE staining, the serum T level
measured by radioimmunoassay, and the expressions of the StAR protein, P450scc
and 3beta-HSD I determined by RT-PCR. RESULTS: The number of Leydig cells was
obviously increased after Bushenfang treatment. The levels of serum T were
significantly higher in the low-, medium- and high-dose Bushenfang groups ([6.74
+/- 1.56] nmol/L, [8.50 +/- 1.99] nmol/L and [12.41 +/- 2.91] nmol/L) than in the
model group ([3.48 +/- 0.75] nmol/L) (P < 0.05). The three Bushenfang groups also
showed a remarkable elevation in the mRNA expressions of StAR (0.74 +/- 0.29,
0.83 +/- 0.32 and 1.35 +/- 0.50), P450scc (0.72 +/- 0.36, 1.023 +/- 0.30 and 1.41
+/- 0.37) and 3beta-HSD I (0.58 +/- 0.14, 0.72 +/- 0.07 and 0.85 +/- 0.18), as
compared with the models (StAR: 0.44 +/- 0.09; P450scc: 0.33 +/- 0.05; 3beta-HSD
I: 0.34 +/- 0.02), with significant differences in the StAR expression between
the high-dose Bushenfang and the model groups, as well as in P450scc and 3beta
HSD I expressions between the medium- and high-dose Bushenfang and the model
groups (P < 0.05). CONCLUSION: Bushenfang could improve the pathological status
of testicular injury and increase the expression of testosterone synthetase,
which might be the mechanism behind its regulatory effect on the serum T level of
aging rats.
PMID- 21899002
TI - [Relationship between RNA degradation and postmortem interval in mice].
AB - OBJECTIVE: To investigate the degradation changes of beta-actin mRNA and 18S rRNA
in different time points and temperature after death, and to explore the
relationship between the changes and postmortem interval (PMI) in the brain of
mice. METHODS: Twenty-four health adult C57BL/6 mice were randomly divided into
two groups (12 each group). They were sacrificed by cervical dislocation and
placed in chamber with two different temperature (4 degrees C and 37 degrees C,
humidity was 80%). The mice brains were sampled at 6 different time
points(immediately, 0.5h, 2h, 6h, 24h, 48h), and total brain RNA were extracted.
Ct value of each sample was obtained using RT-PCR and real-time PCR technology,
and beta-actin mRNA and 18S rRNA content ratio was calculated. The correlation
between the content ratio and PMI was expressed using statistical regression
analysis. RESULTS: At 37 degrees C, RNA degradation rate was faster than 4
degrees C, which showed that there was correlation between temperature and RNA
degradation. Comparing with the stability of beta-actin mRNA, 18S rRNA was more
stable. CONCLUSION: The study on degradation of beta-actin mRNA and 18S rRNA in
mice brain using real time PCR technology could provide a new theoretical basis
for estimation of PMI and would be supplementary to the traditional methods.
PMID- 21899003
TI - [Effect and mechanism of intermedin in acute rat cardiac ischemic injury].
AB - OBJECTIVE: To investigate the effect and potential mechanism of intermedin (IMD)
in acute cardiac ischemic injury and to provide a new approach for exploring
mechanism of sudden cardiac death. METHODS: Seventy-two healthy male rats were
randomly divided into 3 groups: control, ischemic and the IMD-treated group. The
activity of lactate dehydrogenase (LDH), malondialdehyde (MDA) and superoxide
dismutase (SOD) in heart blood were tested by enzyme chemistry method. The mRNA
changes of calcitonin receptor-like receptor (CRLR) and receptor activity
modifying proteins (RAMPs) in cardiac were measured by real-time PCR analysis.
Myocardial cyclic adenosine monophosphate (cAMP) content was determined by enzyme
linked immunosorbent assay (ELISA). Apoptosis related factors Bcl-2 and Bax were
detected by immunohistochemistry. RESULTS: Comparing with the control group, LDH
and MDA activity of ischemic group in heart blood increased and SOD activity
decreased. The concentration of cAMP increased in ventricular muscle, Bcl-2 and
Bax proteins expression ratio level decreased. The intravenation of IMD decreased
the level of increased activity of LDH and MDA, and lessened the level of
decreased activity of SOD. The mRNA expression of CRLR and RAMPs obviously
increased in ventricular muscle. CONCLUSION: The protective effect of IMD against
myocardial ischemic injury could be caused by decreasing the oxidative stress of
ischemia and inhibiting the myocardial apoptosis.
PMID- 21899004
TI - [Iron and ferritin changes in multiple organs failure after trauma].
AB - OBJECTIVE: To investigate the changes of iron content in serum and liver,
ferritin content in serum, percentage of myeloperoxidase (MPO) positive
granulocyte in rabbits after different serious trauma and to explore the
relationship between these changes and multiple organ failure (MOF). METHODS:
Rabbit trauma models were established. Iron content in serum and liver, ferritin
content in serum and the percentage of MPO positive granulocyte were measured at
different time after trauma. RESULTS: After trauma, iron content in serum
decreased sharply in early period (12-36h) and increased gradually to normal
level in mild traumatic group after 60 h. Iron content in serum remained lower
level in severe traumatic and death group 60 h after trauma. Iron content in
liver obviously increased in death group. The changes of ferritin content in
serum in mild traumatic were not obvious. Ferritin contents in serum in severe
injury group and death group were slightly higher in early period and decreased
in later period. The percentage of MPO positive granulocyte increased in early
period after trauma. The percentage began to decrease 6 d after trauma and
returned to normal level in mild traumatic group. The percentage obviously was
significantly lower than normal levels in severe traumatic group and death group
6 d after trauma. Some rabbits died 60 h-6 d after severe trauma, and the
pathological changes in the other organs were consistent with MOF. CONCLUSION:
Trauma can cause the serum iron, ferritin levels and percentage of MPO positive
granulocyte changes. Severe trauma can cause uncompensated changes of these
indicators, which could be the main mechanisms of MOF and death.
PMID- 21899005
TI - [Ultra-structural pathological study of pulmonary fat embolism in rabbits].
AB - OBJECTIVE: To explore ultra-structural changes of fat embolism syndrome (FES) in
the lung. METHODS: Fat embolism animal model was developed by fat intravascular
injection to the experimental rabbits. The rabbits were sacrificed after
thrombosis immediately (0 h), 3 h, 8 h and 1 d, 2 d, 7 d, 14 d after thrombosis,
respectively. Rabbits were injected with the same dose of saline in the control
group. All experimental procedures were same in experimental and control groups.
The animal model of fat embolism was validated using HE and Sudan III staining.
Ultra-structural changes of lung were observed by using transmission electron
microscopy. RESULTS: Ultra-structural changes in capillaries and small blood
vessels were found in experimental group. Type II alveolar cells, related cells
and organelles showed time-dependent changes. Lipid drops and inflammatory cells
were not found in control group. Lamellar body did not show emptying phenomenon
and the amount of lamellar body was normal. CONCLUSION: The study could provide
the theoretical principle for fat embolism casesin forensic pathology.
PMID- 21899006
TI - [Stature estimation of teenagers by limb long bones with computerized
radiography].
AB - OBJECTIVE: Relative parameters of upper limb bones, tibia and fibula were
measured with computed radiography and used to establish the mathematical models
for stature estimation of teenagers (from 14 to 18 years old) of Han population
in Sichuan Province. METHODS: The upper limb bones, tibia and fibula of 194
subjects were taken computerized radiography on normal position and were measured
the lengths between relative landmarks. The body height of each subject was
recorded. Linear regression equations for stature estimation between body height
and the lengths of upper limb bones, tibia and fibula were established. RESULTS:
Forty-two single linear regression equations and 4 multiple regression equations
were obtained. The coefficients of correlation(r) were 0.689-0.917 and the
standard errors of estimate(SE) were between 3.075 and 5.485 cm. All of the
equations were statistically tested and diagnosed with good applicability.
CONCLUSION: These equations could be used to estimate the body height of Sichuan
Han population aged from 14 to 18. The lengths of the upper limb bones, tibia and
fibula measured on the CR films could be useful to stature estimation of the
adolescence and the forensic personal identification.
PMID- 21899007
TI - [Application of slow vertex response in auditory threshold prediction for
subjects with hearing loss].
AB - OBJECTIVE: To study the value of slow vertex response (SVR) in the evaluation of
hearing loss by comparing the hearing thresholds acquired with SVR and pure tone
audiometry (PTA). METHODS: Twenty-five subjects (40 ears) with sensorineural
hearing loss were tested by PTA and SVR. According to the thresholds of PTA,
these ears were subdivided into mild, moderate and severe hearing loss groups,
and rank sum test was performed on the thresholds of SVR and PTA for all the
hearing loss groups. Then, the correlation between PTA thresholds and SVR
thresholds was analyzed and the mathematical models were established for
predicting behavioral thresholds by the thresholds of SVR. RESULTS: At four test
frequencies (0.5, 1, 2 and 4kHz), the thresholds of SVR had high correlations
with thresholds of PTA. Four liner regression equations were established, and the
correlation coefficient(r) were 0.971, 0.976, 0.957 and 0.928, respectively (P <
0.05). Back substitution test showed that the liner regression equations would be
an easy method for estimating the behavior thresholds. CONCLUSION: The behavioral
threshold can be well judged and evaluated by the liner regression equations
established with SVR thresholds.
PMID- 21899008
TI - [Polymorphism study of small nuclear ribonucleoprotein polypeptide N gene
rs220030 by DGGE].
AB - OBJECTIVE: To analyze the polymorphism of rs220030, a SNP which is located in the
promoter region of small nuclear ribonucleoprotein polypeptide N (SNRPN) gene in
the Chinese Han population and to obtain the data of population genetics.
METHODS: The denaturing gradient gel electrophoresis (DGGE) method was applied to
detect the polymorphism of rs220030 in 100 unrelated and healthy individuals from
the Shanghai Han population. The genotyping result of this SNP was confirmed by
TaqMan assay in some typical samples. RESULTS: DGGE results showed 4 bands for CT
heterozygote, and 1 band for CC or TT homozygote, and those results were
confirmed by The TaqMan SNP genotyping assays. Genotyping results showed 34
individuals with CC, 41 with CT and 25 with TT of rs220030. The allele
frequencies for C and T were 0.545 and 0.455, respectively. H was 0.500, PIC was
0.373, DP was 0.654, and PE was 0.186. The distribution of genotype frequencies
were in Hardy-Weinberg equilibrium. CONCLUSION: DGGE is a quick and effective
method in the analysis of SNP polymorphism in small population. Statistical
parameters of rs220030 for forensic evaluation meet the requirements for forensic
identification and paternity testing.
PMID- 21899009
TI - [Application of WAIS-RC short forms and adult intelligence disability scale in
mental impairment assessment].
AB - OBJECTIVE: Study on the application of WAIS-RC short forms and adult intelligence
disability scale in mental impairment assessment. METHODS: Mental impairment
assessment cases between July 2009 and March 2011 in judicial appraisal institute
of Taizhou University were collected. Assessment results obtained with the WAIS
RC short forms and adult intelligence disability scale were compared with the
experts assessing conclusions and analyzed using SPSS 11.5 software. RESULTS:
Assessment results with the two scales did not fully comply with the expert's
conclusions, with reliability coefficient were 0.785 and 0.940 respectively,
correlation coefficient were 0.850 and 0.922 respectively. CONCLUSION: The
intelligence assessment was influenced by many factors. When the appraised
individuals had nerve dysfunction and mild intelligence disability or mental
disorders, the two scales should be used together. When the appraised individuals
had moderate intelligence disability or mental disorders, adult intelligence
disability scale had advantage.
PMID- 21899010
TI - [Determination of Hg in biological samples by inductively coupled plasma mass
spectrometry].
AB - OBJECTIVE: To establish an inductively coupled plasma mass spectrometry (ICP-MS)
method for determination of Hg in biological samples. METHODS: The samples were
digested with microwave digestion instrument. ICP-MS was applied to detect Hg in
blood, urine and hair specimens by using 115In as an internal marker. The ability
of gold to eliminate the memory effect of mercury was investigated with the gold
amalgamate produced by gold and mercury. RESULTS: The limits of detection were in
the 0.01 microg/L, and the accuracy of the method ranged from 97.0% to 107.1%.
The concentration of gold was 10 microg/L and the memory effect of mercury was
resolved. CONCLUSION: The method is accurate, rapid, sensitive and suitable for
the cases of mercury poisoning and the clinical diagnosis and monitoring for
patients with mercury poisoning.
PMID- 21899011
TI - [Criminological characteristics of female violent criminal suspects].
AB - OBJECTIVE: To study criminological characteristics of female violent criminal
suspects who accepted forensic psychiatry assessment. METHODS: Information of the
suspects involved in judicial appraisal between 2000 and 2009 were collected and
analyzed according to our self-made scale. RESULTS: The age of 259 suspects were
between 16 and 81 years old. There were 205 (79.2%) suspects who were younger
than 45 years old. There were 225 (86.9%) suspects who were married. There were
14 different appraisal results: schizophrenia 47.1%, without psychosis 15.4%,
depression 10.4% and others 27.1%. Irresponsibility involved with 59.5%, partial
responsibility 18.5% and full responsibility 22.0%. Murder were 85.7%, arson
10.4%, inflicted injury 1.9% and robbery 1.9%. A total of 191 cases resulted in
death, accounting for 82.3% of all cases. In 34.9% of all cases, the victims were
male spouse of the suspects. Main weapons used in the crime were cutters and
other working related tools (36.3%). There were 66.8% of all cases were with
pathological motivation, 29.7% with reality motivation and 3.5% cases were with
unknown motivation. CONCLUSION: Female violent suspects in our cases often
suffered from various kinds of mental diseases. Their motivations were
pathological primarily. Most cases were intentional killing with family members
as victim mainly. Major weapons used were daily working related tools.
PMID- 21899012
TI - [Comparative analysis of 607 autopsy cases of poisoning death].
AB - OBJECTIVE: To provide references for forensic expertise by investigating the
kinds of toxicant, routes of exposure and manners of poisoning deaths, etc.
METHODS: Six hundred and seven autopsy cases of poisoning deaths from 1957 to
2008 in Department of Forensic Medicine, Tongji Medical College (Tongji Forensic
Science Identification Center of Hubei), were comparatively reviewed. RESULTS: In
218 cases from 1999 to 2008, more than 50% of decedents were male in the ages of
30-49. The toxicants are usually taken orally and the most common manner of death
was accidental. The common substances involved in poisoning death were
rodenticide, poisoning gas and insecticide. Compared to the data of 1983-1998 and
1957-1982, the common toxic agents had changed significantly. The number of cases
involving insecticide and cyanide poisoning decreased in recent years, and the
number of cases of rodenticide, poisoning gas, alcohols poisoning displayed an
increase tendency, especially for drugs abuse. CONCLUSION: Poisoning deaths of
pesticides remain a major public health problem for a long time and the awareness
of prevention need to be raised, especially for the prevention of deaths from
multiple poisons.
PMID- 21899014
TI - [The best corrected presenting distance visual acuity in forensic medicine].
AB - At present the sight impairment evaluation in forensic medicine of China is based
on the international classification of disease by WHO in 1973. The main measured
indicator is "best corrected visual acuity". It is different from "presenting
distance visual acuity" in some situations. In the new blindness and vision loss
classification made by WHO in 2003, "presenting distance visual acuity" took the
place of the "best corrected visual acuity". In the practice of forensic
medicine, "presenting distance visual acuity" can not reflect the real visual
acuity duo to the exaggeration or disguise of the wounded. We suggest to use "the
best corrected presenting distance visual acuity" instead of "presenting distance
visual acuity" in order to avoid the influences of the exaggeration or disguise
of the wounded.
PMID- 21899013
TI - [Polymorphic analysis of 5 Y-SNP loci in Han population of Jinan].
AB - OBJECTIVE: To investigate polymorphism distribution of the 5 Y-SNP loci in Jinan
Han population, and evaluate their potential in forensic application. METHODS:
Genotyping of 5 Y-SNP loci (M89, M9, M122, M134, M95) were executed in the sample
of 103 unrelated Chinese male individuals in Jinan Han population by using
fragment length discrepant allele specific PCR (FLDAS-PCR). RESULTS: In 5 Y-SNP
loci, genetic polymorphism were identified in Jinan Han population, and the
ranges of gene diversity(GD) were 0.093 3-0.491 2. Twenty different haplotypes
were observed and the haplotypes diversity (HD) was 0.867 9. Six different
haplogroups were detected according to international association of Y chromosome
nomenclature. CONCLUSION: Five Y-SNP loci and their haplogroups in Jinan Han
population are highly polymorphic, which can provide more information for the
genetic structure analysis and forensic genetics research in the region.
PMID- 21899015
TI - [The progress in the study on auditory evoked potentials].
AB - Auditory evoked potential (AEP) is the electric activities originating from
auditory systems evoked by sound stimulus. AEP include cortical electric response
audiometry (CERA), auditory brainstem evoked response (ABR), 40 Hz auditory event
related potentials (40 Hz AERP), auditory steady-state response (ASSR), etc. For
the subjects who cannot provide reliable or accurate behavioral hearing
threshold, those techniques have been explored to evaluate the behavioral hearing
threshold objectively. These techniques are reviewed in this article and are
found that they could reflect the behavioral hearing threshold very well. CERA is
difficult to operate because it is affected by the subject's wakefulness. ABR is
the most widely used method currently and is not affected by the subject's
consciousness, but it only reflects high frequencies. 40 Hz AERP has good
sensitivity, while its results highly depend on the subject's consciousness. ASSR
can be operated by using multiple frequency stimuli simultaneously to both ears
and the test time is short. It is still a very difficult task to combine
different techniques according to their characteristics in forensic audiology.
PMID- 21899016
TI - The general practitioner's challenge.
PMID- 21899017
TI - Ready or not, here they come.
PMID- 21899018
TI - Salivary diagnostics in medicine and dentistry: a review.
PMID- 21899019
TI - Interdisciplinary management of implant overdenture therapy.
PMID- 21899020
TI - The "first implant": protocol for the GP part I, treatment planning.
PMID- 21899021
TI - Revolutionary advances, part 2: active disinfection.
PMID- 21899022
TI - An efficient approach to full-mouth extractions.
PMID- 21899023
TI - Treating fractured teeth with composite resin.
PMID- 21899024
TI - Sonic activation: new paradigm for composite resins.
PMID- 21899025
TI - Supragingival dentistry: a practical paradigm shift.
PMID- 21899026
TI - Direct composite resin restorations: placement strategies.
PMID- 21899027
TI - The diode laser in endodontics.
PMID- 21899028
TI - [The dispanserization and public health in Russia. Report 2: The dispanserization
technique as a tool of prevention approach in public health].
AB - The issues of the development of medical social prevention based on the wide
implementation of the dispanserization technique, the tool approved its
effectiveness in the activities of public medical institutions. In the Soviet
public health system, the dispanserization of economically active population
implemented in the industrial enterprises, special dispensers and maternity and
child welfare clinics. The abrupt transfer of Russian economics into the
conditions of capitalist market occurred in early 1990s and resulted into the
catastrophic decrease of the role of health units and medical sanitary units in
the system of preventive medicine. Their totality at the enterprises decreased to
63%, their bed-space decreased to 73.8% and the number of employed physician
appointments decreased to 3.1 times. The medical sanitary units lost their access
to such powerful financial institutes as ministry and enterprises budgets and
system of mandatory medical insurance. Actually, the system of medical
institutions providing curative preventive care to the workers of industrial
enterprises is in the process of restoration. Their numbers is augmenting without
consideration of the experience of nation public health and with no coordination
with the general net of medical institutions of Ministry of Health. The
implementation of the dispanserization as an organizational technique is a key
target in development of model of preventive public health in modern Russia.
PMID- 21899029
TI - [The characteristics of perception by patients from different countries the
conditions of medical care provision].
AB - The article demonstrates that with lesser demands of Russian population
concerning various aspects of public health system functioning, as compared with
population of Europe, the most tolerant to the actual conditions are elderly
people, especially dwelling in the families with lower material income. This fact
can be considered as a stimuli to enhance the corresponding aspects of health
care system functioning.
PMID- 21899030
TI - [The structural functional analysis of population attendance of physicians of
ambulatory polyclinic institutions in the Russian Federation].
AB - The article deals with the results of the structural functional analysis of
population attendance of ambulatory polyclinic institutions in Russia. The
purpose of the study consisted in determining in what medical institutions, to
what scope, by what kind of specialists, no what groups of population and with
what purpose the medical care is provided. It is proved that the numbers of
patients' attendance per one inhabitant per year is a conditional mean value. The
significant differences in scope and structure of poll-consumption of the
ambulatory polyclinic care between adults and children, townsmen and countrymen
indicate the different degree in demand in this care and its accessibility. It is
demonstrated that in ambulatory polyclinic institutions.
PMID- 21899031
TI - [The dynamics of population morbidity in the mining territories of Ural region].
AB - The dynamics of the indicators of general and primary disease incidence are
analyzed relating to the population dwelling in the territories of functioning of
mining complexes of Ural during 2000-2007. The study revealed a number of
unfavorable trends in the morbidity as compared with the overall region data.
PMID- 21899032
TI - [The evaluation of attitude of patients with arterial hypertension to the disease
and degree of physician recommendations observation].
AB - The article deals with the issues of evaluation of to what degree the patients
with arterial hypertension follow the recommendations of physicians concerning
the self-control of arterial tension, the alteration of life-style to decrease
the impact of risk factors, the regular intake of pharmaceuticals. The patients
have been asked about how long ago they made the last measure on purpose to
assess their responsibility concerning arterial hypertension control. The
arterial tension was measured during the last six months in 76% of patients and
during 6-11 months in 19% of patients. The arterial tension was measured by
physician in 85% of patients, by feldsher (medical assistant) in 3% of patients,
by nurse in 12% of patients, and 75% of patients were able to self-care in case
of increase of arterial tension. The patients with arterial hypertension were
informed about the measures to prevent the complication of arterial tension. The
most of them don't follow the given recommendations. To successfully prevent the
adverse outputs of arterial hypertension in patients it is necessary to recommend
to each patient changing life-style and decreasing the impact of risk factors. To
provide better care of patients with arterial hypertension enhancing of both
dispanserization follow-up and functioning of health nurse is needed.
PMID- 21899033
TI - [The systemic modification of regional public health system for enhancing
availability and quality of population medical care].
AB - The article deals with the main directions in modernization of public health
system of Irkutskaya oblast in 2011-2012. The major indicators to evaluate the
overall effectiveness and efficacy of the proposed modernization program are
discussed. The measures proposed can promote the systemic settlement of regional
public health problems, increase the availability and enhance quality of medical
care to population.
PMID- 21899034
TI - [The intersectoral approach to medical social care delivering to underaged
children].
AB - The model of infringements among neglected and homelessness under-aged children
is used to demonstrate that in actual pattern of Russian social society the
intersectoral partnership is the essential condition in overcoming the problems
of youth generation. Nowadays, the most important target is the involvement in
this process the youths themselves.
PMID- 21899035
TI - [The assessment of the elderly patients' need in medical and social care during
remission period].
AB - The article considers the results of medical sociological survey of elderly
patients with chronic therapeutic pathology. The issues of assessing the degree
of provision of their medical and social needs during remission period are
discussed. The sampling included 212 patients aged 65 years and older receiving
medical and social care in health institutions of City of Kursk and Lipetskaya
oblast. The social demographic profile of patient is defined. The shortcomings in
rendering continuous pharmaceutical treatment, diet maintenance, organization of
nursing and social care at home, rehabilitation activities.
PMID- 21899036
TI - [The role of preventive activities in the process of disease prevention as an
integral part of primary medical sanitary care].
AB - The article deals with the study results related to preventive activities in the
primary medical sanitary care system. It is demonstrated that the prevention
activities of physicians are lacking of both proper organization and adequate
involvement into the process. The medical personnel in the primary medical
sanitary system need enhancing of professional qualification especially in the
field of health promotion and disease prevention. The study proved the necessity
of including the prevention into the actual health policy and health care
planning.
PMID- 21899037
TI - [The scientific revolutions in medicine in XVII-XIX centuries: disclaimer of
Galenism and initiation of natural-scientific foundations of medicine. Report 5.
The development of new conceptions about the structure and mechanisms of
functioning of nervous system].
AB - The article deals with the history of study of anatomy and physiology of central
and peripheral nervous system in the course of scientific revolution in medicine.
PMID- 21899038
TI - [The traditions of "the saint doctor" Gaas in Russian clinic: A.N. Kazem-Bek and
V.A. Kazem-Bek (Kazan-Harbin)].
AB - The article retrace the process of maintaining and passing on the humanistic
traditions of Russian medicine founded by Doctor F.P. Gaas in the late XIXth -
early XXth centuries. The biographies of Kazan physicians are presented,
including eminent therapist professor A.N. Kazem-Bek (the representative of N.A.
Vinogradov clinical school) from Kazan University and his son, Doctor V.A. Kazem
Bek, who practised medicine in Harbin.
PMID- 21899039
TI - [The traditional medicine in Transbaikalia].
AB - The article covers the customs, the modes of treatment and safeguarding against
diseases as integral components of Buryat traditional medicine.
PMID- 21899040
TI - [The input of graduates of Russian military medical academies into fight against
acute infectious diseases in pre-revolutionary Dagestan].
AB - The population study permitted to establish the role of Russian military
physicians in organization of medical business in Dagestan. The prevalence of
acute epidemiologic diseases in pre-revolutionary Dagestan is examined. It is
derived that mass propagation of infections was supported by cultural and
economic backwardness, non-sanitary conditions in cities, lifestyle of mountain
dwellers. The tradition to visit ill fellow villager resulted into mass morbidity
and even in death collapse of entire population of mountain settlements (auls).
The positive conditions to develop medical business developed after Dagestan
joined Russia. The activities of Russian military physicians in the Caucasus
coincided with the full swing of various infection epidemics among soldiers and
residents. The measures undertaken by Russian military physicians permitted to
liquidate the epidemics.
PMID- 21899041
TI - [The museum of hygiene and its role in the cultural life of Russian society].
AB - The considerable historical material was used to consider the issues of origin
and development of museums of history in Russia. As an example the museum of
hygiene of municipal medical prevention center of St. Petersburg was taken. The
article proves the nowadays significance of such public institution as museum of
hygiene. The role of prominent hygienists in the development of museum business
in Russia is emphasized. For the first time the museum of hygiene is presented as
one of institutions of preventive medicine of Russia.
PMID- 21899043
TI - Synthesis of selenium-containing amino acid analogues and their biological study.
AB - Synthesis of selenium-containing amino acid analogues is described. These
compounds were prepared in a concise and short synthetic route in good yields by
nucleophilic substitution reaction of pyridineselenol and quinolineselenol
derivatives with N-phthaloylglycyl chloride followed by hydrazinolysis. The newly
synthesized compounds were screened against different strains of bacteria and
fungi.
PMID- 21899042
TI - Towards a strategic plan: summary of APS strategic planning meeting. January 24
25, 2011.
PMID- 21899044
TI - [In vitro modeling of cell-scaffold interaction].
AB - The simple approach for modeling of surface ligand - cell receptor interaction is
proposed to control the effectiveness of peptide acceptor selected to be
immobilized on a scaffold surface in order to promote specific cell adhesion and
their subsequent proliferation and bone tissue formation. For experimental
realization of such approach the affinity chromatography with use of macroporous
monolithic sorbent is suggested. The biospecific GRGDSP-peptide performed the
role of scaffold surface ligand which is responsible for cell adhesion, while the
"cells" were simulated by polymer (polystyrene) micro particles with EDYPVDIYYLM
DLSYSMKDD-peptide immobilized on their surface. The latter peptide is the
integrin molecule active site which is responsible for RGD-sequence binding. Thus
the ultra-short monolithic chromatography columns (CIM-disks) represent the
simplified model of a scaffold possessing biospecific properties. The qualitative
evaluation of complement interaction parameters was performed via frontal
analysis method followed by adsorption isotherm plotting and subsequent
linearization and mathematical treatment. The data obtained reliably indicate the
highly specific character of biological pair binding. This was in a good
accordance with results obtained in the cell culture experiments.
PMID- 21899045
TI - [Conformational stability of serine proteinase inhibitor from the sea anemone
Heteractis crispa].
AB - The influence of different environmental values of the pH and temperature on the
spatial organization of serine proteinase inhibitor from the sea anemone
Heteractis crispa (=Radianthus macrodactylus) on the level of tertiary and
secondary structure was studied by CD spectroscopy. The molecule InhVJ was shown
to possess a high conformational thermo- and pH-stability. We determined the
point of conformational thermotransition of polypeptide (70 degrees C) after
which the molecule gets denaturational stable state with conservation of 80%
proteinase inhibitory activity. The significant partial reversible changes of
molecule spatial organization were established to occur at the level of tertiary
structure in the process of acid-base titration in the range of pH 11.0-13.0.
This can be explained by of ionization of tyrosine residues. The molecule InhVJ
is conformationally stable at the low pH values (2.0). The quenching of tyrosine
residues by acrylamide showed that two of these residues are accessible to the
quencher in full, while the third part is available.
PMID- 21899046
TI - [Mechanism of inhibitory effect of angiostatin on plasminogen activation by its
physiologic activators].
AB - The influence of angiostatin K1-4.5--a fragment of the heavy chain of plasmin and
a powerful inhibitor of angiogenesis--on kinetic parameters (k(Pg) and K(Pg)) of
human Glu-plasminogen activation under the action of urokinase (uPA) not having
affinity for fibrin and fibrin-specific tissue plasminogen activator (tPA) was
investigated. Angiostatin does not affect the k(Pg) value, but increases the
value K(Pg) urokinase plasminogen activation. A decrease in the k(Pg) value and
an increase in the K(Pg) value were found for fibrin-stimulated plasminogen
activation by tPA with increasing concentrations of angiostatin. The obtained
results show that angiostatin is competitive inhibitor of the uPA activator
activity, while it inhibits the activator activity of tPA by mixed type. Such an
influence ofangiostatin on the kinetic constants ofthe urokinase plasminogen
activation suggests that angiostatin dose dependent manner replaces plasminogen
in the binary enzyme-substrate complex uPA-Pg. In case of fibrin-stimulated
plasminogen activation by tPA, both zymogen and tPA are bound to fibrin with
formation of the effective triple tPA-Pg-fibrin complex. Angiostatin replaces
plasminogen both from the fibrin surface and from the enzyme-substrate tPA-Pg
complex that leads to a decrease in k(Pg) and an increase in K(Pg) of plasminogen
activation. Inhibition constants by angioststin (Ki) of plasminogen-activator
activities of uPA and tPA determined by Dixon method were found to be 0.59 +/-
0.04 and 0.12 +/- 0.05 microM, respectively.
PMID- 21899047
TI - [Isolation of expressed in E. coli human interferon beta1b (Ser17) by ion
exchange chromatography].
AB - A method for isolation of interferon beta1b (Serl7) from inclusion bodies,
comprising the steps of solution and reduction of protein from the inclusion
bodies, refolding, chromatography on DEAE-Sepharose, chromatography on SP
Sepharose, concentrating, desalting and addition of stabilizers. The solution of
reduced protein was diluted with pH 8.0 buffer of 50 mM Tris-HCl, 25 microM CuCl2
and 0.5% Twin 20 for refolding. We used gradient of pH (from 9.3 upto 11.3) for
elution of interferon-beta from cation-exchange column. We concentrated of eluate
and then desalted on the Sephadex G-50 column with 1 mM NaOH. Then the protein
solution was neutralized with mannitol and Na-phosphate. Obtained preparation of
interferon-beta was pure by gel-electrophoresis and by HPLC analysis, and had
practically indentical level of antiproliferative activity with well-known
preparation of Betaferone. Thus we show the possibility of isolation and
obtaining of pure and active interferone-beta by ion-exchange chromatography in
the presence of non-ion detergent Twin 20. We believe this method for interferon
betalb preparation is perspective for scaling and using in the develop of
industrial technology for production of this preparation.
PMID- 21899048
TI - [Human single chain antibodies directed to tumor necrosis factor].
AB - Six unique phage antibodies to human TNF have been selected from a combinatorial
library of human single chain fragment variable. ELISA and Western-blotting was
used to study selected phage antibodies binding with TNF. The specificity of
selected antibodies was determined by binding with interferon alpha and gamma,
bovine serum albumin, ovalbumin and ubiquitin. Two antibodies, sA1 and sB3, were
converted into a soluble single-chain antibody form and their affinity was 2.5
and 13.7 nM respectively.
PMID- 21899049
TI - [Monoclonal antibodies to type A, B, E and F botulinum neurotoxins].
AB - Mouse monoclonal antibodies against the most acutely toxic substances, botulinum
neurotoxins (BoNTs) of types A, B, E, and F, was generated and characterized,
that recognize their respective toxins in natural toxin complex. Based on these
antibodies, we developed sandwich-ELISA for quantitative detection of these
toxins. For each respective toxin the detection limit of the assay was: BoNT/A -
0.4 ng/ml, BoNT/B - 0.5 ng/ml; BoNT/E - 0.1 ng/ml; and for BoNT/F - 2.4 ng/ml.
The developed assays permitted quantitative identification of the BoNTs in canned
meat and vegetables. The BNTA-4.1 and BNTA-9.1 antibodies possessed neutralizing
activity against natural complex of the botulinium toxin type A in vivo, both
individually and in mixture, the mixture of the antibodies neutralized the higher
dose of the toxin. The BNTA-4.1 antibody binds specifically the light chain (the
chain with protease activity) of the toxin, whereas BNTA-9.1 interacts with the
heavy chain. We believe that the BNTA-4.1 and BNTA-9.1 monoclonal antibodies are
prospective candidates for development of humanized therapeutic antibodies for
treatment of BoNT/A-caused botulism.
PMID- 21899050
TI - [Preparation and characterization of monoclonal antibodies to Bacillus anthracis
protective antigen].
AB - Anthrax is the widespread acute infection disease, affecting animals and humans,
refers to the bioterrorist threat agents of category A, because of the high
resistance of Bacillus anthracis spores to adverse environmental factors and the
ease of receiving them. We obtain a representative panel of 20 monoclonal
antibodies against the key component of pathogenic exotoxins, anthrax protective
antigen. Quantitative sandwich-ELISA for protective antigen with antibody
obtained was developed. Six pairs of monoclonal antibodies showed the detection
limit up to 1 ng/ml concentration of the protective antigen in blood serum.
PMID- 21899051
TI - [Research properties of the exogenous recombinant human heat shock protein HSP70
on test rodents].
AB - Our research with Sprague-Dawley rats demonstrates protective properties of
recombinant human heat shock protein 70 kDa (exogenous rhHSP70) as a prevent
therapy agent for gram-positive sepsis. In this study we investigate acute
toxicity of rhHSP70 on CD-1 mice and demonstrate very low dangerous of the
substance.
PMID- 21899052
TI - [Monoclonal antibodies labeled with colloidal gold for immunochromatographic
express analysis of diphtheria toxin].
AB - One-step rapid immunochromatographic method for detection of diphtheria toxin in
different water samples (phosphate buffer, milk, human nasopharyngeal swab) with
the conjugate of monoclonal antibodies labeled with colloidal gold was developed.
The limit of visible detection of the diphtheria toxin is 10 ng/ml and 15 min
time analysis. The use of silver sensitivity enhancement and scanning equipment
decreased the detection limit to 1.25 ng/ml.
PMID- 21899053
TI - [Molecular cloning and analysis of cDNA sequences encoding serine proteinase and
Kunitz type inhibitor in venom gland of Vipera nikolskii viper].
AB - Serine proteinases and Kunitz type inhibitors are widely represented in venoms of
snakes from different genera. During the study of the venoms from snakes
inhabiting Russia we have cloned cDNAs encoding new proteins belonging to these
protein families. Thus, a new serine proteinase called nikobin was identified in
the venom gland of Vipera nikolskii viper. By amino acid sequence deduced from
the cDNA sequence, nikobin differs from serine proteinases identified in other
snake species. Nikobin amino acid sequence contains 15 unique substitutions. This
is the first serine proteinase of viper from Vipera genus for which a complete
amino acid sequence established. The cDNA encoding Kunitz type inhibitor was also
cloned. The deduced amino acid sequence of inhibitor is homologous to those of
other proteins from that snakes of Vipera genus. However there are several
unusual amino acid substitutions that might result in the change of biological
activity of inhibitor.
PMID- 21899054
TI - Cloning, molecular characterization and heterologous expression of a glutathione
S-transferase gene in rice.
AB - OsGSTL2 is one of three tandem-arranged glutathione S-transferase, lambda class
genes in chromosome 3 of rice (Oryza sativa L.). It includes 9 introns and 10
exons, and encodes a protein of 244 amino acid residues with a calculated
molecular mass of 27.37 kDa. The predicted three-dimensional structure of OsGSTL2
showed a typical glutathione S-transferase fold. Using semi-quantitative RT-PCR
analysis, OsGSTL2 transcript was detected in the roots and leaves of seedling
stage and tillering stage, and the roots, leaves and panicles of heading stage
from rice plants, and the expression level of OsGSTL2 mRNA in rice roots show
significant change under chlorsulfuron stress. The OsGSTL2 gene was cloned into
pYTV vector and was transformed into yeast strain PEP4. Western blot analysis
showed the exogenous OsGSTL2 was expressed in transformed yeast. GST activity of
crude extracts of yeast showed the OsGSTL2 transgenic yeast had higher levels of
GST activities than control yeasts. These findings suggested that the OsGSTL2 is
a glutathione S-transferase and has potential use in detoxification.
PMID- 21899055
TI - [Characterization of circulating RNA in plasma as potential tool for breast
cancer diagnostics].
AB - The representation patterns of 15 cytokines RNA in blood plasma and blood cells
of patients with breast cancer and apparently healthy women were investigated.
Relative levels of RNA IL-8 and IL-18 in plasma of breast cancer patients are
significantly increased compared with control group. At the same time no obvious
differences were found in relative concentrations of these transcripts in blood
cells of patients and control groups. Relative concentration of IL-8 RNA was
higher in blood plasma of locally advanced compared with early breast cancer
patients.
PMID- 21899056
TI - [Polysaccharide composition of mycelium and cell walls of the fungus Penicillium
roqueforti].
AB - Preliminary data on the polysaccharide composition of mycelium and cell walls of
the submergedly grown fungus Penicillium roqueforti were obtained. Mild acid
hydrolysis of mycelium and cell walls led to formation of glucose, mannose and
galactose, whereas acid treatment under drastic conditions afforded glucosamine
as the hydrolysis product of chitin, which content in the cell walls was
estimated as 19%. Sequential treatment of the mycelium with hot water and 1 M
NaOH at room temperature gave rise to several polysaccharide fractions, which
were characterized by their monosaccharide composition. The main fraction
obtained by the action of alkali, according to NMR spectroscopy, mass
spectrometry and chemical methods of structural analysis data, is a linear alpha
D-glucopyranan containing blocks of (1 --> 3)-linked glucose residues
interconnected by (1 --> 4)-linkages. Water-soluble polysaccharides contained
linear blocks of (1 --> 5)-linked beta-galactofuranose residues, probably
connected with a mannan core. The data obtained may be important for
chemotaxonomy of the genus Penicillium.
PMID- 21899057
TI - [1,10-phenantroline europium complexes: their inclusion in liposomes and
cytotoxicity].
AB - For a series of 1,10-phenantroline tris-beta-diketonate europium complexes (EuC),
cytotoxic activity on the HBL-100 human breast carcinoma cells was determined.
Liposomal preparation of the most active EuC, V12, was also tested for
cytotoxicity. Testing of this preparation in vivo on starting lethal murine model
of T cell leukemic lymphoma ASF-LL showed that the inclusion of V12 in liposomes
did not increase its antitumour activity in a local mode of administration.
PMID- 21899058
TI - [Synthesis and antitumor activity of betulin, erythrodiol and uvaol aminopropoxy
derivatives].
AB - The synthesis of aminopropoxy derivatives of betulin, erythrodiol, uvaol and
oleantriol via cyanoethylation of triterpenoids hydroxyl groups and subsequent
reduction of cyanoethyl fragments is described. High and specific in vitro
antitumor activity (cytotoxicity) of 3beta,28-di-O-[3-(aminopropoxy)]lupa-20(29)
ene and 3beta-O-hydroxy-28-O-[3-(aminopropoxy)]olean-12-ene towards a wide range
of human tumor cell lines is discovered. The aminopropoxy group is shown to be a
new perspective pharmacophor group for design of anticancer agents on the basis
of triterpenoids.
PMID- 21899059
TI - [Coding region of far-red fluorescent protein katushka contains a strong donor
splice site].
AB - Computer analysis predicted a strong donor splice site within the 3'-part of the
far-red fluorescent protein Katushka coding region. To test the functional
activity of this site a model vector has been constructed. This vector encoded
Katushka and green fluorescent protein TagGFP2 with a gene fragment of tafazzin
in between. Normal splicing of this pre-mRNA should result in a frameshift
between Katushka and TagGFP2. Alternatively, after splicing at internal katushka
donor splice site appearance of Katushka-TagGFP2 fusion protein was expected.
Expression of this construct in a mammalian cell culture led to bright red and
green fluorescence. Therefore, katushka-specific donor splice site is functional.
Disruption of this splice site by several silent substitutions resulted in red
only fluorescent cells that corresponded to normal splicing. The mutant katushka
can be used for visualization of pre-mRNA splicing at single cell level by
fluorescence microscopy and flow cytometry.
PMID- 21899060
TI - [3D-structure determination of fluorescent proteins by homology modeling combined
with mass spectrometry].
AB - A method for the 3D-structure generation of GFP-like fluorescent proteins is
presented. The method is based on a combination of homology modeling for the
overall spatial structure determination and mass spectrometry for the chromophore
structure identification. The proposed approach can be applied to the spatial
structure determination ofnoncrystalizable GFP homologs.
PMID- 21899061
TI - [Receptor virus-cell interactions as an initial stage of infection].
AB - The overview analyzes an update on and current concepts of the initial stage of
viral infection of sensitive cells. It considers the nature of virus receptors,
the mechanisms of virus-receptor interaction, methodical approaches to
identifying the receptor role of cell molecules for various viruses, and the
association of the initial stage of viral infection with its subsequent ones.
PMID- 21899062
TI - [High-yield reassortant virus containing hemagglutinin and neuraminidase genes of
pandemic influenza A/Moscowl/01/2009 (H1N1) virus].
AB - The crossing of influenza A/Moscow/01/2009 (H1N1) virus and reassortant strain
X31 (H3N2) containing the genes of internal and non-structural proteins of
A/Puerto Rico/8/34 (H1N1) strain gave rise to reassortant virus ReM8. The
reassortant contained hemagglutinin (HA) and neuraminidase (NA) genes of pandemic
2009 influenza virus and 6 genes of high-yield A/Puerto Rico/8/34 (H1N1) strain.
The reassortant ReM8 produced higher yields in the embryonated chicken eggs than
the parent pandemic virus, as suggested by infectivity and HA activity titration
as well as by ELISA and the measurement of HA protein content by scanning
electrophoresis in polyacrylamide gel slabs. High immunogenicity of ReM8
reassortant was demonstrated by immune protection studies in mice. The
reassortant virus ReM8 is suitable as a candidate strain for the production of
inactivated and subunit influenza vaccines.
PMID- 21899064
TI - [Viral sorption on polyaniline, carbon nanotubes and their based nanocomposites].
AB - The paper gives data on the sorption of influenza virus pandemic strain A/IIV
Moscow/01/2009 (H1N1)swl, avian influenza viruses with A/H5 and A/H7
hemagglutinin, poliomyelitis virus, and T4-D bacteriophage on polyaniline
sorbents, carbon nanotubes, and their based nanocomposites. The sorption of
viruses occurred in different solutions at 4-37 degrees C during 15 min or more.
The rate of viral sorption depended on the structure of sorbents.
PMID- 21899063
TI - [NP gene of pandemic H1N1 virus attenuates virulence of mouse-adapted human
influenza virus].
AB - The authors studied a possible role of the caspase cleavage motif located in the
nucleoprotein (NP) of pandemic influenza virus H1N1 in the regulation of viral
virulence properties. A reverse genetics method was used to obtain chimeric
seasonal-like mouse-adapted influenza virus hvA/PE/8/34 (H1N10) carrying either
the NP gene of wild type pandemic virus with incomplete caspase motif ETGC or
mutated pandemic NP with natural caspase cleavage site of human type ETDG. The
wild-type NP gene of the pandemic virus was found to poorly fit to the gene
pattern of closely related seasonal-like hvA/PR/8/34 virus (H1N1) and did not
rescue mature virus production whereas a mutated NP with human-type caspase
cleavage site maintained gene fitness, giving rise to a chimeric virus. The
generated chimeric virus hvA/PR/8/34 carrying the mutated pandemic NP
successfully replicated in the murine lung, but was attenuated and did not reach
the virulence level of seasonal-like mouse-adapted virus hvA/PR/8/34. The
findings indicate that the NP caspase cleavage site plays a role in viral
adaptation and viral virulence in mammals.
PMID- 21899065
TI - [Impact of herpesvirus infections on the level of proinflammatory cytokines in
premature neonatal infants].
AB - The aim of this study was to estimate the frequency of HSV and/or CMV among the
pathogens causing intrauterine infections (IUI) and to investigate their impact
on the level of proinflammatory cytokines in premature neonatal infants.
Examinations were performed in 3 neonatal groups: 1) premature neonates with
clinical manifestations of IUI; 2) those without IUI; 3) full-term newborns. In
group 1, viral (HSV and/or CMV) and bacterial infections were detectable with the
same frequency. Quantitative analysis of plasma IL-6 and IL-8 levels and the
induced production of these cytokines by blood cells in vitro showed that in
Group 1 neonates, IL-6 and IL-8 concentrations were substantially higher and the
induced production of these cytokines was lowerthan those in Group 3. The
detection of HSV and/or CMV markers in premature newborn infants was attended by
a statistically significant rise in plasma IL-6 levels; the identification of the
opportunistic bacterial microflora correlated with the higher concentration of IL
8. In Group 1, wiferon produced an immunomodulatory effect, by lowering IL-8
concentrations to the level observed in Group 3.
PMID- 21899066
TI - [Role of hepatitis A and E viruses in the development of autoimmune diseases].
AB - The mechanisms of development of autoimmune diseases may be associated with a
complex of genetic, immune, hormonal, and infectious factors. Autoimmune diseases
include a wide range of systemic and organ-specific diseases, including
autoimmune hepatitis (AIH). It is currently assumed that the pathogenesis of AIH
is due to compromised immune regulation in the presence of an exogenous
triggering factor. Exogenous factors, such as viruses, may be triggers of AIH.
There may be different ways of initiating an autoimmune response by viruses,
which includes nonspecific T-lymphocyte activation and molecular mimicry. There
is much evidence supporting the initiating role of hepatitis viruses in the
development of AIH and other autoimmune diseases. The development of AIH symptoms
during hepatitis A and E virus infections has been described elsewhere. The
creation of animal models of viral hepatitis is required to confirm the
hypothesis that the viruses trigger the development of AIH and other autoimmune
manifestations.
PMID- 21899067
TI - [Comparative evaluation of Leningrad-3 mumps vaccine virus neurovirulence in a
neonatal rat model].
AB - The neurovirulence and replication potential of several mumps virus strains,
including Leningrad-3 mumps vaccine virus (FSUE SIC "Microgen", Russia) and wild
type strains isolated in the Novosibirsk Region (Russia), were assessed in rat
tests. The mean neurovirulence scores of the Leningrad-3 virus (< 4.0) were
significantly lower than those of wild type strains (ranging from 6.1 to 15.2)
and were in accordance with the scores determined for other attenuated mumps
vaccine strains (usually ranging from 0 to 5). In general, the relative ability
of the viruses to replicate in the rat brain tracked with their neurovirulence
scores. These results indicate a low neurovirulence potential of the Leningrad-3
mumps vaccine virus for humans.
PMID- 21899068
TI - [Use of RT-PCR and enzyme immunoassays for the specific diagnosis of Crimean
hemorrhagic fever].
AB - The objective of the investigation was to evaluate the efficiency of the RT-PCR
kit "AmplySens CHF" produced by InterlabService of the Central Research Institute
of Epidemiology and that of the ELISA kits made by the D. I. Ivanovsky Research
Institute of Virology for the specific diagnosis of Crimean hemorrhagic fever
(CHF). Examination of sera from CHF patients from the Astrakhan Region showed
that positive RT-PCR results were observed in 95.2 and 37.5% on days 4-8 and 9-13
after disease onset, respectively; but they were absent on days 13-17. Positive
ELISA-IgM results were found in 93% on disease days 6 to 16. A high percentage
(78.9%) of positive IgG samples was seen only on days 9-16. Thus, RT-PCR has a
marked efficiency in diagnosing CHF until day 8 of illness while ELISA-IgM has it
on day 8 or later. ELISA-IgG can be considered to be a confirming rather than
compulsory test. The findings suggest that the RT-PCR kit "AmplySens CHF"
produced by InterlabService of the Central Research Institute of Epidemiology and
that of the ELISA kits made by the D. I. Ivanovsky Research Institute of Virology
have a pronounced sensitivity and specificity and a high efficiency when
concurrently used to verify CHF in patients.
PMID- 21899069
TI - [Antigenic diversity of African swine fever viruses].
AB - Data on the seroimmunotypic and hemadsorbing characteristics of African swine
fever virus (ASF) are summarized. According to the results of immunological
sampling in pigs and those of hemagglutination inhibition test, the known ASFV
strains and isolates were divided into 11 groups, 8 were characterized as
seroimmunogroups having their specific reference strains. A 110-140-kD ASFV
serotype-specific nonstructural major glycoprotein was identified. It is
suggested that it is the glycoprotein that corresponds to the genetic engineering
detected virus-specific homolog of lymphocyte membrane protein CD2, gene deletion
of which results in the loss of hemadsorbing properties by ASFV.
PMID- 21899070
TI - [Comparative characteristics of the biological properties of small ruminant
lentiviruses].
AB - The infections caused by small ruminant lentiviruses include diseases, such as
Maedi-Visna (MV) and caprine arthritis-encephalitis (CAE). According to
phylogenetic findings and their common origination, small ruminant lentiviruses
were divided into Groups A, B, C, D, and E. Cultivation of the lentiviruses
displayed the cytopathic effect of the CAE virus strain 75 G-63 in the primary
culture of goatling synovial membrane cells, which was shown by monolayer
destruction and polynuclear cell formation; this was uncharacteristic for M-88, K
796, and Tverskoy strains. A high homology was found for the Tverskoy strain with
Group B small ruminant lentiviruses and the M-88 and K-796 strains with their
Group A.
PMID- 21899071
TI - [Efficiency of coadministration of immunomodulators and vaccine in an experiment
on tick-borne encephalitis].
AB - Experiments on a tick-borne encephalitis (TBE) model in CBA and BALB/c mice
demonstrated that immunomodulators (ridostin, polyribonate, and peptidoglycan
160) and a specific vaccine against TBE were significantly effective in
increasing the level of a protective effect and life expectancy in the
experimental group as compared to the control group. The findings allow one to
recommend the immunomodulator ridostin in combination with the inactivated
vaccine for the emergency prophylaxis of TBE in its virus-infected subjects in
the foci of infection.
PMID- 21899072
TI - [Sanitary service of West Special Military District on the eve and in the first
days of the Great Patriotic War of 1941-1945].
AB - This article evaluates military and political situation in the world and
operational-strategic environment on the West Theater of operations on the eve of
the Great Patriotic War (1941-1945). We analyze structure and overall condition
of sanitary service of West Special Military District of the Workers and Peasants
Red Army and causes of failure of mobilization, organization and deployment of
military units and establishments from the beginning of aggression of Fascist
Germany to the Soviet Union.
PMID- 21899073
TI - [Problems of hygiene of children and adolescents in the military health care].
AB - The article presents the current issues hygiene mechanical prevention of disease
in the younger generation in re-formation of the Russian Armed Forces, the
economy, health, education and ecological trouble.
PMID- 21899074
TI - [About the microbiological criteria for air quality barracks in the far north].
AB - A comparative study of air quality in terms of microbiological indicators in the
barracks room personnel a number of units of the Northern Fleet is perfomed. The
direct dependence of the degree of microbial contamination of air and the
frequency of respimratory diseases from the specific volume of space per person
is showed. Criteria for assessing air quality in terms of microbiological
indicators and a set of measures to improve it are suggested.
PMID- 21899075
TI - [First experience of laparoscopic appendectomy in the 150-bed garrison hospital].
AB - The article reflects the results of the first laparoscopic appendectomy
experiment which was conducted on the base of 30 person department of the
military hospital for 150 patients. The researcher made the statistical analysis
of the results of acute appendicitis diagnostic and cure among young men. For the
group of patients whit catarrhal form of acute appendicitis separate analysis was
committed. It was found out that in the half of cases the clinic and laboratory
scheme of acute appendicitis does not differ from the destructive one. These
results made the researcher comprehend the necessity of additional ways of
diagnostic in the acute appendicitis shady cases. It is also necessary to develop
a medicinal and diagnostic algorithm for shady appendicitis in accordance with
diagnostic conditions of military hospital for 150 patients.
PMID- 21899076
TI - [Results endodissection of perforating leg ulcers in the treatment of trophic
ulcers of venous etiology].
AB - This article analyzes the results of endoscopic dissection of perforating veins
in the calf against trophic disorders in 106 patients. All the patients were
performed endodissection perforating veins in combination with phlebectomy
(remove any large or small subcutaneous Vienna throughout). Catamnesis in these
patients was about 8 years.
PMID- 21899077
TI - [The system of rehabilitation of specific functions of the female body of
patients with benign ovarian neoplasm after urgent operations].
AB - The authors have developed a system of medical rehabilitation of specific
functions of the body of troops of women with benign ovarian neoplasm after
urgent operations. As a result, the frequency of inflammatory complications was
reduced to 4.8%, menstrual function was restored in 9.6-14.5% of cases, endocrine
-in 9.1-14.5%, sexual--in 7.8-17.4%, reproduction--in 37.5%, to ensure good
quality of life--in 74.6-92.3% cases.
PMID- 21899078
TI - [Scheme of the diagnostic of secondary cognitive impairment in outpatient].
AB - Cognitive disorders developing as consequence of a number of diseases of brain,
are an actual problem. Now diagnostics techniques of cognitive disorders have not
entered yet into wide and daily medical practice. Thus timely and exact
diagnostics of these conditions allows to begin therapy in the earliest term. The
offered three-level diagnostic algorithm of research will help to organise early
diagnostics of cognitive disorders at the minimum expenditures of labour that
will be reflected in quality of rendering of medical aid and can lead to decrease
in direct and indirect expenses on treatment and rehabilitation.
PMID- 21899079
TI - [Clinico-instrumental characteristics of arterial hypertension, liable to the
ishemic stroke].
AB - Clinico-instrumental characteristics of arterial hypertension, liable to the
ishemic stroke were studied. The pecularities of clinical, neurological picture
of disease and results of instrumental methods of examination were determined.
These peculiarities let to educe the course of arterial hypertension, liable to
the ishemic stroke. This course appeared because of hypertensional
macroangiopathy during 3-5 years, two atherosclerotic stenosis of brachiocephalic
arteria, occlusions and stenosis of these arterias, left ventricular hypertrophy
of hypodynamic type, circulatory dynamics against the bad daily profile of
arterial pressure and/or increased variability of arterial pressure accompanied
with ischemic attacks, signs of chronicle heart failure and circulatory
encephalopathy. The scheme of the examination of patients with AH during the long
term examination with the goal of prophylaxis IS was offered.
PMID- 21899080
TI - [Servicemen mental health monitoring in different stages of military service].
AB - The effectiveness of psychoprophylactic work on each of military service basic
stages and how to optimize it have been presented. The universal system of mental
health monitoring in whole period of military service has been developed. A
possibility of differentiated rapid assessment of mental status for effective
monitoring of mental health has been analyzed. Some practical recommendations for
the unit doctors in the principles of servicemen distribution by group of mental
health level and taking the appropriate organizational decisions have been
advised.
PMID- 21899081
TI - [Preparation of the flight crew to bailout].
AB - The authors demonstrate that the training of flight personnel to the ejection
from an aircraft in distress is a learning system that includes interconnected
types of land-based activities: studying the material part of the means of
salvation, documentation, regulatory need for ejection and the ejection rule;
exercises in the cockpit; training on special simulators; parachute training;
demonstration bailout; making available to the flight crew documents the forced
ejection of the Air Force to analyze their outcomes.
PMID- 21899082
TI - [Appliancation of logistics in resources management of medical asset].
AB - The usage of basic regulations of logistics in practical activity for providing
joints and military units with medical asset is theoretically justified. The role
of logistics in organizing, building and functioning of military (armed forces)
medical supply system is found out. The methods of solving urgent problems of
improvement the resources management of medical asset on the basis of logistics
are presented.
PMID- 21899083
TI - [1602th district military hospital--70 years].
AB - An important role in medical care of troops (forces) of the North Caucasian
military district, and most recently--the Southern Military District under
martial of action and crisis situations, as well as in peacetime, performs 1602th
District Military Hospital of Defense Ministry of Russia. Hospital team has
achieved significant results in treatment and diagnostic work, and became a
leading military medical institution in the North Caucasus and the Southern
Federal District. Today the hospital is not only a medical-diagnostic, but a
methodical, scientific, educational and teaching center in the county. He has
good material and technical basis and equipped with modern medical equipment. The
article described the historical path of the hospital and its current status.
PMID- 21899084
TI - [Participation of the Moscow Sisters of Mercy of the Russian-Japanese War of 1904
1905].
AB - The article considers the role of the Moscow community of Sisters of Charity
palladium in the Russian-Japanese War of 1904-1905. Thanks to the nurse caring
for the wounded was sufficiently qualified and in medical facilities to maintain
cleanliness and order. As a result, despite the adverse conditions are manual and
errors, it was possible not only to preserve life and health of the wounded, but
also to protect soldiers from epidemic diseases. Thus, the bridge-bank community
of the Sisters of Charity has made a positive contribution to the combat
capability of serving in the Far East of the Russian army.
PMID- 21899085
TI - [Strategy for the development of dipeptide drugs].
AB - The author describes an original approach to the development of dipeptide drugs
based on the concept of the leading role of the beta-bend in the interaction of
biologically active endogenous peptides with their receptors. The approach called
"peptide-based drug design" includes both developments from the structure of a
known psychotropic agent toward its topological peptide analog and developments
from the active dipeptide site of a neuropeptide toward its mimetic. This
strategy has been worked out at the V.V. Zakusov Research Institute of
Pharmacology for 25 years. Results of investigations that discovered endogenous
peptide prototypes of the known non-peptidic drugs (piracetam and sulpiride) are
presented. They provided a basis for the creation of highly active non-toxic oral
dipeptide preparations, such as nootrop Noopept, potential anti psychotic Dilept,
and potential selective anxiolytic GB-115.
PMID- 21899086
TI - [The phylogenetic position of Chlamydia strains isolated from monkeys and humans
with Chlamydial pathology in the family Chiamydiaceae. Genotypic and phenotypic
properties of this pathogen].
AB - Based on the results of the comparative analysis concerning relatedness and
evolutional difference of the 16S - 23S nucleotide sequences of the middle
ribosomal cluster and 23S rRNA I domain, and based on identification of
phylogenetic position for Chlamydophila pneumoniae and Chlamydia trichomatis
strains released from monkeys, relatedness of the above stated isolates with
similar strains released from humans and with strains having nucleotide sequences
presented in the GenBank electronic database has been detected for the first time
ever. Position of these isolates in the Chlamydiaceae family phylogenetic tree
has been identified. The evolutional position of the investigated original
Chlamydia and Chlamydophila strains close to analogous strains from the GenBank
electronic database has been demonstrated. Differences in the 16S - 23S
nucleotide sequence of the middle ribosomal cluster and 23S rRNA I domain of
plasmid and non-plasmid Chlamydia trachomatis strains released from humans and
monkeys relative to different genotype groups (group B- B, Ba, D, Da, E, L1, L2,
L2a; intermediate group - F, G, Ga) have been revealed for the first time ever.
Abnormality in incA chromosomal gene expression resulting in Chlamydia life and
development cycle disorder and decrease of Chlamydia virulence can be related to
probable changes in the nucleotide sequence of the gene under consideration.
PMID- 21899087
TI - [Tissue equivalent for the closure of extended urethral defects].
AB - The aim of the present work was to develop a method for culturing epidermal
keratinocytes to be used in a tissue equivalent for the closure of extended
urethral defects. The experiment was carried out using 15 rabbits. Skin biopsies
were obtained from the inner surface of the ear. The tissue equivalent consisted
of collagen gel with embedded fibroblasts and epidermal keratinocytes grown on
its surface; lavsan-mesh endoprosthesis served as the framework. Prefabrication
of the neourethral plate was performed on the superficial fascia of m. rectus
abdominis. The neourethral tube was formed after engraftment which was complete
in all 15 animals. A histological study revealed morphological similarity of the
neourethral tube thus engineered and the normal urethra.
PMID- 21899088
TI - [Improvement of the organization of oncological aid under conditions of specific
technogenic load on the population].
AB - Social and economic disbenifits due to mortality from malignant neoplasms were
estimated taking into account the losses of man-years of work, mean life
expectancy for the sick, losses from temporary disablement and invalidization
caused by malignancies, and the cost of oncological aid. The study was based at
an area in Uzbekistan subjected to pollution by industrial wastes from an uranium
extracting enterprise. A special purpose-oriented program has been elaborated for
the correction of oncological aid currently provided to the workers of the Navoi
mining and metallurgical works and the local population. Its implementation
resulted in a 13% reduction of standardized mortality from malignant neoplasm in
2004 compared with 1999 and another 24% in 2009. The disbenefit prevented by the
reduction of mortality at active ages is estimated at 60,6 mln rubles.
PMID- 21899089
TI - [H1N1V influenza epidemic of 2009 in Russia].
AB - The paper describes dynamics, distribution and morbidity rate during the 2009
A(H1N1)v influenza epidemic in Russia. The epidemic appears to have been
especially severe in the cities of the Far-East and Siberian Federal Districts
where the average morbidity rate ranged from 6.4% to 19.2% (mean 10.3%) and the
epidemic duration from 7.8 to 8 weeks. In less affected Southern and Central
Federal Districts A(H1N1)v influenza occurred in 5.7% of the population.
Schoolchildren aged 7-4 years showed the highest morbidity rate of 28.8%. The age
group of 18-53 years accounted for 79.4% of the total lethality. Viral isolates
were genetically stable and exhibited 98.9% hemagglutnin (HA) homology with
reference viruses. None of the strains had an amino acid substitution at position
275 of neuraminidase (NA) responsible for resistance to oseltamivir. Towards the
end of the epidemic, the viral population displayed a significant rise in the
number of strains containing mutations in 4 genes (4 HA, 2 NA, 2 PB2 and 1 PA
mutations respectively). 26.7% of the viral isolates obtained in the end of the
epidemic had D222G substitution responsible for tropism of viruses to lung
tissues. Epidemiologically, the 2009 A(H1NI)v influenza epidemic is described as
moderate based on the absence of pathogenicity determinants typical of both
A(H1N1) influenza virus of 1918 and A(H5N1) virus. The paper compares the 2009
epidemic with those caused by A/Honkong/68 and A/USSR/ 90/77 viruses. The
necessity of classification for the discrimination between A(H1N1) subtype
viruses is emphasized.
PMID- 21899090
TI - [The absence of tolerance and withdrawal syndrome after the treatment with the
new L-tryptophane-containing dipeptide anxiolytic GB-115].
AB - Effects of GB-115, an anxiolytic L-triptophan-containing dipeptide, based on the
endogenous tetrapeptide cholecystokinin, were evaluated during and after
withdrawal of its long-term administration to rats in comparison with diazepam.
It was shown using the "elevated plus-maze" test (EPM) that GB-115 retained its
anxiolytic properties after i/p injections at a daily dose of 0.1 mg/kg fo r 30
days. Discontinuation of dipeptide administration 24h and 48 hours after the
onset of the experiment did not lead to behavioral (increased anxiety,
aggression) and convulsive (decreased corazol sensitivity) manifestations of
withdrawal syndrome. In contrast, the withdrawal ofdiazepam (4.0 mg/kg/day, ip,
30 days) induced the anxiogenic response in EPM, reduction of the aggression
threshold, and enhancement of convulsive readiness. Significant differences
between GB-115 and diazepam effects on the levels of dopamine, norepinephrine,
and their metabolites after chronic administration and withdrawal were restricted
to striatum.
PMID- 21899091
TI - [Association between changes in characteristics of local cerebral blood flow and
slow brain electrical activity in patients with dyscirculatory encephalopathy].
AB - Associated changes in the characteristics of local cerebral blood flow (CBF) and
slow brain electrical activity were studied in 40 patients with dyscirculatory
encephalopathy. CBF and CBV (cerebral blood volume) values for the frontal and
temporal cortex and basal ganglia positively correlated with the constant
potential values in the central lead influenced by the blood flow rate in the
upper sagittal sinus.
PMID- 21899092
TI - [The search for a sensor of intracellular sodium involved in pathogenesis of
hypertensive disease].
AB - Na+,K+ ATPase plays the key role in regulation of intracellular concentration of
monovalent cations and related functions essential for electrogenesis and the
maintenance of cell volume. This review is focused on the new data showing that a
long-term increase of the intracellular Na+ level induces expression of early
response genes and genes involved in regulation of apoptosis. Results of the
studies of the Na+ sensor and its role in pathogenesis of the salt-sensitive form
of hypertensive disease are summarized.
PMID- 21899093
TI - [Mechanisms of hypermetabolic states].
AB - Current concepts of mechanisms of hypermetabolic states in the body and its
selected organs are discussed. Special attention is given to hepatic processes
and changes of energy metabolism in hypermetabolic hepatocytes. It is shown that
hypermetabolic cells have properties characteristic of the metabolism stimulation
phase in cells showing a non-specific reaction to an injury. Differences between
cellular hypermetabolism and stimulated metabolism in an injured cell are
considered. It is hypothesized that hypermetabolism at the cellular level may be
regarded as a stably prolonged phase of stimulated metabolism related to the cell
non-specific reaction to an injury.
PMID- 21899094
TI - [Radioecological approaches to ranking radiation dangerous objects].
AB - The paper gives complex criteria for evaluating the hazard of radiation dangerous
objects (RDO). The proposed criteria include the following indicators: the ratio
of the cumulative activity of radioactive waste to a hazard factor (D value) or
to the allowable level of i-radionuclide in the storage; the power of an
effective gamma-radiation dose; the rate of radionuclide migration; the doses of
human radiation. A scoring system for the hazard of RDO from the above indicators
is given.
PMID- 21899095
TI - [Influence of geliophysical factors on neonatal morbidity in the Belgorod
Region].
AB - The study of the influence of geliophysical factors on neonatal morbidity in the
Belgorod Region in 2000 to 2008 established a rise in the rate of congenital
malformations, fetal hypoxia and asphyxia, prematurity, and overall neonatal
morbidity during a period of high solar activity.
PMID- 21899096
TI - [The specific features of microbiocenoses in children living under conditions of
anthropogenic pressing].
AB - The specific features of enteric and nasopharyngeal microbiocenoses and the
species composition of bifidobacteria have been studied in children living in the
industrial towns of the Irkutsk Region under the existing anthropogenic load.
Ambient air pollution is characterized and a presumptive human health risk
assessed.
PMID- 21899097
TI - [The blood levels of stable toxic substances in the native residents of costal
Chukotka and their children's infection morbidity].
AB - A considerable reduction in the blood levels of stable organic pollutants (SOP)
has been noted in the mothers of native ethnicities of costal Chukotka during a 5
year period, which may be accounted for by certain purification of food chains,
altered diet with emphasis on delivered products, and long-term breastfeeding.
The elevated level of SOP in children from birth to age 5 years is explained by
long-term breastfeeding and the early consumption of local foods. The content of
heavy metals (mercury and lead) in both maternal and children's blood has
unchanged for 5 years. The children's infection morbidity has been quite high; at
the same time no associations of the children's blood levels of toxic substances
with the incidence of infectious diseases have been found. However, two children
maximally exposed to SOP and metals have been observed to be rarely susceptible
to diseases.
PMID- 21899098
TI - [Helicobacter pylori: routes of transmission of infection (a review of
literature)].
AB - The paper reviews the data characterizing the routes of transmission of
Helicobacter pylori. Particular emphasis is laid on the water transmission route
that has not been long considered to be important despite strong epidemiological
evidence that allows it to be regarded as one of the most important routes of
transmission of this infection. It describes the most favorable conditions for
this microorganism to survive in the water systems, including plumbing, and a
possible survival mechanism via biofilm formation and zooplankton symbiosis. The
pathogen is able to bind to autochronous microorganisms in the biofilms and to
generate itself the latter. It is not inconceivable that this microbe can persist
and even multiply within protozoa. Due to the improved analytical studies, there
are additional possibilities to detect new pathogenic microorganisms that have
not been historically regarded as pollutants. These microorganisms are of
interest to community hygiene specialists. The preferred routes of urban and
rural transmission of the pathogen may be different, which should be taken into
account when elaborating preventive measures.
PMID- 21899099
TI - [Hygienic evaluation of direct heating of the air delivered to the shaft].
AB - The paper gives the results of exploring a test pre-heating system for the air
(APHS) delivered to the shaft. The system has been first used in the Urals. The
supply air is heated by burning natural gas in the air current. The APHS system
with a RG air heater (000 "Gas-Engineering") is equipped in addition to the
existing heaters to enhance heat supply reliability in northern conditions. The
data of the studies show that in all periods of the heating season (interseason,
moderate frosts, the coldest month), the concentrations of hazardous substances,
such as nitric oxides, nitric dioxide, sulfur dioxide, carbon dioxide,
benz(a)pyrene, solid aerosol in the shaft-delivered air, do not exceed those
given in the existing regulation provided that the design operating conditions
are met. With the maximum gas consumption, the coldest month only was marked by
the nitric dioxide content being greater than the standard values, causing the
maximum projected natural gas consumption to be lower in the APHS system. The air
level of nitric dioxide proved to be a major hygiene indicator while using this
air heater.
PMID- 21899100
TI - [Characteristics of industrial noise at the Astrakhan gas processing plant].
AB - The level and nature of air pollution were studied in various objects of the
Astrakhan gas processing plant. The necessity of introducing technical-hygienic,
organizational, and medical measures to reduce the adverse effect of the noise on
workers is warranted.
PMID- 21899101
TI - [Occupational morbidity among health care personnel in the Primorsky territory].
AB - Occupational morbidity rates in health care personnel have recently attracted the
particular attention of the specialists of the Russian Inspectorate for the
Protection of Consumer Rights and Human Welfare and occupational pathologists.
The rise in the incidence occupational diseases (during nosocomial infection) in
the medical workers of therapeutic-and-prophylactic institutions affects the
reduction of their ability to work. Contact with blood or other biological fluids
and with bacteria-excreting patients, handing medical waste, and influence of
work environment factors are causes of nosocomial infection among the medical
staff.
PMID- 21899102
TI - [Biological age as an integral indicator of the impact of working conditions on
workers' health].
AB - The integral health indices (biological age, age-related individual physiological
features during a long-term (during a shift) contact with occupational allergens
and working factors, the body's wear rates, and functional adaptive processes
under hazardous working conditions) were studied in workers having dangerous
jobs.
PMID- 21899103
TI - [Scientific-and-methodic bases of adolescents' health protection and promotion in
Russia].
AB - The problems that have a considerable impact on health in population groups of
all ages but on that of adolescents in particular, have been formed during the
complex and unstable situation in Russia and with high morbidity rates among the
children, adolescents, and young people. Power bodies, concerned ministries,
agencies, and nongovernmental organizations show no consistency of actions in
elaborating and implementing programs and measures to prevent and correct
adolescents' health and developmental disorders. The foregoing suggests that the
"dolescents' Health and Development in Russia" strategy that considers Russian
and international experience with the rising generation's health care is urgent.
The paper presents basic principles, study areas, and indicators of the expected
efficiency of the complex activities of ministries, agencies, governmental and
public organizations, medical and pedagogical communities for ensuring normal
development and health promotion for the adolescents.
PMID- 21899104
TI - [Morbidity rates in university students].
AB - The current higher education modernization is accompanied by the intensification
of an educational process. Due to intensive mental work and a need to adapt to
new educational conditions, the students belong to a group of increased risk.
Morbidity rates were studied in medical students during education. The study
indicated a rise in morbidity in the period 2005 to 2009, high morbidity rates in
first- and second-year students, the seasonal pattern of diseases, and a
preponderance of respiratory diseases and mental disorders as a ground for a
sabbatical leave, which is due to the specific features of education at a medical
higher educational establishment.
PMID- 21899105
TI - [Heart rhythm variability during neuro-psychological stress].
AB - The paper gives the results of studying heart rhythm variability in medical
students during their study at a higher educational establishment with regard to
the typological features of the autonomic nervous system. Significant diferences
have been found in both the temporal and spectral parameters of heart rhythm in
relation to the dominance of the autonomic nervous system and the time of their
studies. Analysis of heart rhythm variability could estimate the total activity
of regulatory mechanisms and the ratio between the sympathetic and
parasympathetic autonomic nervous systems in the students during their study.
PMID- 21899106
TI - [Somatotypological characteristics of the development of fat component in
students].
AB - Individual typological characteristics were studied in first- and fourth-year
female students. It was shown that the dominant somatotype was micromesomatic in
the first-year students and macrosomal in the senior students. Body component
composition was studied in the examinees. No significant average group
differences were found in the absolute and relative body fat content in the
students. The somatotype distribution using the weight-height ratio indicated
significant differences in fat components in the female representatives of
different types of constitution.
PMID- 21899107
TI - [Gender approach to studying reproductive aims in young people].
AB - The paper presents the preliminary results of estimating reproductive potential
in young people. Gender characteristics have been revealed in the sexual behavior
(the young men start a sexual life at significantly earlier age; different
attitudes towards contraception) and reproductive aims (children rank fourth
among family values; a low proportion of persons who wish to have two children or
more) of the youth in the Republic of Tatarstan. Certain risk factors influencing
reproductive health impairments are identified. Differential forms of
prophylactic work, which are aimed at promoting reproductive health for young
people, are proposed.
PMID- 21899108
TI - [Rationale for hygienic regulations for the use of a new mixed herbicide
antidote].
AB - The paper deals with the investigation of a new chloroquinoline derivative
antidote and with the substantiation of hygienic standards and regulations for
the safe use of its based mixed drug, by applying a procedure for assessing risks
for workers and the population. According to the results of the studies
conducted, the authors have established the hazard of the new chloroquinoline
derivative antidote, developed respective hygienic standards, and provided a
scientific rationale for the hygienic regulations for the safe use of the mixed
herbicide, which prevent the negative impact of its residues in the foodstuffs
and environmental objects on workers and the population.
PMID- 21899109
TI - [Determination of ammonia in ambient air by ion chromatography].
AB - The use of the well-known methods for testing the content of ammonia in the air
of a populated area at the average daily maximum allowable concentration (0.04
mg/m3) is associated with the high requirement for chemical reagents and with
considerable time. Deionized water proposed to be used as an absorption solution
in the sample collector with the final ion chromatographic determination of
ammonia is an alternative to solving the problem and enables air ammonia to be
determined with a sensitivity of 0.02 mg/dm3.
PMID- 21899110
TI - [Contribution of professor L.A. Syrkin to the development of methodical bases for
anthropometric studies in children and adolescents].
AB - The paper historically considers the impact of social differentiation on the
physical development of children and adolescents. It analyzes the scientific
contribution of Prof. Lev Abramovich Syrkin (1894-1951) to the formation and
development of a system for anthropometric studies in Russia in the first half of
the twentieth century (1920s to 1950s), to the elaboration of principles in the
standardization of tools, and to the unification of anthropometric studies and
standards for the physical development of preschool and school children.
PMID- 21899111
TI - [All-Russian hygienic exhibitions and museums].
AB - The material about the popularization of hygiene and health education in Russia
in the second half of the 19th century to early 20th century through exhibition
and museum activities has been collected for the first time and analyzed in the
paper. The role of scientists and scientific medical societies in this process is
noted. The significance of museum and exhibition activities in this area for the
development of medical science is defined.
PMID- 21899112
TI - [The utilization of omegalicin in treatment of psoriasis].
AB - The correcting action of omegalicin against the background of conventional
treatment of psoriasis was investigated. It is established that omegalicin
moderately increases the generation of active forms of oxygen needed to suppress
the processes of proliferation at the expense of changing the activity of
catalase, superoxide dismutase and glutathione peroxidase.
PMID- 21899113
TI - [The determination of content of circulating CD32+CD40+ micro particles: the
modification of method of assessment of destruction of endothelial cells].
AB - The purpose of study was to develop and test the method of determination of
destruction of endotheliocytes in blood-vascular system. The level of circulating
endothelial cells was determined by enumeration of CD32+CD40+ micro particles by
means of flow cytofluorometry. During the application of the modified method it
is demonstrated that in patients with metabolic syndrome the amount of CD32+CD40+
micro particles is twice higher than in the control group (p < 0.05). The
research data revealed the increase of content of big endothelin and atherogenic
lipoproteids. The method of determination of the level of circulating CD32+CD40+
micro particles can be applied to assess the desquamationed endotheliocytes.
PMID- 21899114
TI - [The levels of enzymes of blood neutrophilic leukocytes during the impact on the
organism of vibration, vibration in aggregate with nickelous dust and nickel
hydroaerosol].
AB - The predominant impact of vibration and vibration in aggregate with nickelous
dust and nickel hydroaerosol on the organism of workers administer significant
influence on the levels of blood neutrophilic leukocytes and such neutrophil
enzymes as acid and alkaline phosphatase and myeloperoxidase. In addition to that
the activity of acid and alkaline phosphatases increases and the activity of
myelopemroxidase reliably decreases. The intensive alteration of enzymes'
activity develops already on the preclinical stage and even worsens in patients
with vibratory disease. At the contact with the higher levels of nickel
hydroaerosol the alteration of activity of these enzymes develops in early period
at the experience less than 10 years.
PMID- 21899115
TI - [The characteristics of iron metabolism under iron-deficiency anemia and chronic
disorders anemia].
AB - The study investigated the issues of iron metabolism under iron-deficiency anemia
and chronic disorders anemia and dependencies of production of IL-1? and sICAM-1
immunoinflammatory markers from degree of severity and duration of anemia. The
study data indicates that under iron-deficiency anemia lactoferrin and sICAM-1
are the negative regulators of hemopoiesis. The inhibition of transferrin
expression by the proinflammatory cytokines is one of the causes of inefficient
hemopoiesis under chronic disorders anemia.
PMID- 21899116
TI - [The conditions of arrangement of the thrombin generation test to detect the
hypercoagulation status].
AB - The study covered the impact of modes of preparation of plasma samples to arrange
the thrombin generation test for the purpose to establish adequately the
hypercoagulation status. The optimal regimen is determined to prepare the samples
to be used in the study. The group of females was involved into the study to take
the composite oral contraceptives to demonstrate the possibility to apply the
thrombin generation test to reveal the hypercoagulation.
PMID- 21899117
TI - [The implementation of polymerase chain reaction technique: the real time to
reveal and differentiate the viruses of human papilloma of high carcinogenic
risk].
AB - The polymerase chain reaction technique was applied in "real time" format to
evaluate the occurrence rate and infection ratio of various genotypes of human
papilloma of high carcinogenic risk in virus-positive women and contact persons.
The examination sampling consisted of 738 women aged of 17-50 years. The
examination results permitted to establish high percentage of infection of 546
patients (74%) by carcinogenic papilloma viruses. The analysis of detection rate
of various genotypes of human papilloma of high carcinogenic risk established
that the 56th and 16th types of high carcinogenic risk are revealed more often
than others--in 33% and 15.4% correspondingly. In males, first place in
occurrence rate is for those types of virus of human papilloma: the 56th n = 10
(33.3%), 16th n = 3 (10%), 45th n = 3 (10%), 51th n = 3 (10%). The rest of
genotypes are detected in 3-7% cases.
PMID- 21899118
TI - [The production of high-yielding strain of rubella virus from wild type virus
extracted from a patient in the Western Siberia in 2006].
AB - The study was targeted to investigate the propagation of rubella virus in the
cell cultures of various origins and with different cultivation methods. The high
yielding strain of rubella virus was produced. The "spinner-culture" cultivation
method was applied and the strain's RNA was detected in 10-8 dilution in real
time mode. This strain is supposed to be used in preparation of the standard
antigen to implement in the development of immune enzyme test system targeted to
the rubella virus specific antibodies.
PMID- 21899119
TI - [The mode of spot test of plague and pseudotuberculosis agents mix cultures].
AB - It is supposed to implement the polymerase chain reaction with mix of two pairs
of "chromosome" primers "vlm12for'/"IS216rev" and "JS for"/JSrev" species
specific for Y. pestis and Y. psdtbc correspondingly in spot test of plague and
pseudotuberculosis. The additional immunodiagnostics is applied to find defective
and full-fledged on plague bacteria F1-antigen synthesis in the volume
agglomeration reaction and paragglutination with diagnosticums of plasmid
dependent F1 antigen and chromosome FV determined antigen. This mode is
characterized by more effectiveness and lesser labor intensiveness. It
accelerates the detection of the mix of two agents in bacterial inoculations,
organs bioassays and other materials. Also this mode facilitates the analysis of
mix cultures of agents of plague and pseudotuberculosis, obtained in "mixed"
nidi, the component identification, differentiation and isolation of pure growths
of both Yersinia.
PMID- 21899120
TI - [On the issue of cooperation in organizing the laboratory medicine education].
AB - In the Omsk public medical Academy, the informational and technological support
of laboratory medicine education at undergraduate and postgraduate stages
required to organize the cooperation between the Russian Association of medical
laboratory diagnostics and the Academy course of clinical diagnostics. The non
commercial interaction was organized between the Academy and the manufacturers
and suppliers of laboratory diagnostic systems. This approach permitted to
implement the cycle of scientific educational forums and to start the territorial
program of quality management in laboratory research. The choice of the specialty
"clinical laboratory diagnostics" as a prospective profession significantly
increased among the Academy graduates. In addition, the Russian Association of
medical laboratory diagnostics and the Omsk public medical Academy started a new
joint project targeted to the support of laboratory diagnostics techniques
training in concordance with the new public educational standard of higher
professional education. Thereby, a specified model of joint resolution of actual
issues is developed in the field of laboratory medicine education with
coordinator collaboration of Russian Association of medical laboratory
diagnostics as a professional non-government organization.
PMID- 21899121
TI - [The Decree of Government of the Russian Federation No 1230 from December 31
2010].
PMID- 21899122
TI - [Clinical study of forty-two patients who underwent resection for pulmonary
adenosquamous carcinoma].
AB - Pulmonary adenosquamous carcinoma is a rare malignant tumor as defined by the
Japan Lung Cancer Society Classification. At our institution, of the 1,023
patients who underwent resection for primary lung cancer, 42 (4.0%) had
adenosquamous carcinoma. Here, we present the clinical features of this malignant
tumor. The male : female ratio was low. Many tumors were located peripherally,
and the positive rate for carcinoembryonic antigen (CEA) was 54.8%; these
clinical findings were similar to those of adenocarcinoma. On the other hand,
many tumors had relatively large diameter, and most of the patients were heavy
smokers; these findings were consistent with those of squamous cell carcinoma.
Hence, the cases of adenosquamous carcinoma had the characteristics of both
adenocarcinoma and squamous cell carcinoma. The prognosis of patients with
adenosquamous carcinoma was poorer than those of patients with adenocarcinoma and
those with squamous cell carcinoma, irrespective of whether it was stages I or
II. Adenosquamous carcinoma is characterized by a highly aggressive biological
behavior and a high rate of early metastasis. Therefore, even if the diagnosis is
made at an early phase, an aggressive approach, including adjuvant chemotherapy,
might be necessary for adenosquamous carcinoma.
PMID- 21899123
TI - [Long-term outcome of aortic valve replacement with annular enlargement].
AB - BACKGROUND: The choice of appropriate treatment in children with aortic valvular
lesions remains controversial. The purpose of this study is to assess early and
late outcomes of aortic valve replacement with annular enlargement in children.
METHODS: A retrospective study was conducted in 16 consecutive patients aged 0.26
to 15.9 years operated on between 1993 and 2008. Thirteen children underwent
aortic valve replacement with Konno procedure (mechanical valve: 12, homograft:
1), 2 children underwent Ross procedure, and the last child underwent Nicks
procedure with mechanical valve replacement. All patients undergoing mechanical
valve replacement were given warfarin with a monthly international normalized
ratio (INR) control. RESULTS: Overall early mortality was 12.5% (2 cases).
Emergency operation was performed in these cases because of infectious
endocarditis and acute cardiac failure. However, cardiopulmonary bypass (CPB)
weaning could not be obtained. There was 1 late death 5 months after mechanical
valve replacement. The patient developed methicillin-resistant Staphylococcus
aureus (MRSA) sepsis after cleft palate repair. Reoperation was needed in 1 case.
CONCLUSION: We conclude that mechanical valve replacement with aortic annular
enlargement is an acceptable treatment in children. It is associated with
acceptable mortality and low incidence of late events, and provides long-term
survival.
PMID- 21899124
TI - [Emergency stent placement after descending aortic replacement with chronic
aortic dissection].
AB - A 49-year-old man with asymptomatic chronic aneurysmal dissection was admitted to
our hospital. He had undergone ascending aortic replacement for type A aortic
dissection 7 months before. We performed descending aortic replacement for
chronic aneurysmal dissection. Renal dysfunction appeared 1 day after the
operation. Contrast-enhanced computed tomography indicated that the true lumen
was severely compressed by a false lumen, and that the origins of the renal
artery were occluded. We performed emergency endovascular stent placement to
dilate the true lumen. Immediately after this procedure, renal ischemia improved.
The postoperative course was uneventful. An endovascular approach using bare
stent can be a treatment option that is less invasive and prompter for a patient
with renal ischemia resulting from aortic dissection.
PMID- 21899125
TI - [Open stent-grafting using the Matsui-Kitamura stent for a distal arch aneurysm;
an idea for insertion of stent graft and organ protection].
AB - For the improvement in the clinical results of open stent-grafting, the
development of a device system and prevention of spinal cord injury are
important. For that reasons, we devised 2 methods for the open stent-grafting
with the Matsui-Kitamura (MK) stent. First, the applicator using transesophagial
echo transducer cover made insertion of the stent-graft system easy and safe.
Next, to prevent ischemic spinal injury and protect major abdominal organ, blood
return to lower body was established from femoral artery with occluding the stent
graft by balloon. However, these procedures might need to examine whether it
really contributes to the improvement in the clinical results.
PMID- 21899126
TI - [Invasive pulmonary aspergillosis with hemoptysis; a resected case whose bleeding
point is detected pathologically].
AB - A 55-year-old man, who presented with recurrent episodes of hemoptysis, was
referred to our hospital under the diagnosis of invasive aspergillosis with a
cavity in the right lung. Computed tomography showed a large thick-walled cavity
in the right upper lung. He underwent right upper lobectomy. Pathological
findings showed a large cavity in right upper lobe. Aspergillus was found in the
cavity. A pseudoaneurysm, which was thought to be a cause of hemoptysis,
originated from a ruptured pulmonary artery and protruded into the cavity.
Hemoptysis is well-known symptom in aspergillosis patients, and surgery for
aspergillosis with hemoptysis is sometimes performed. But it is very rare that
bleeding point is detected microscopically.
PMID- 21899127
TI - [Extended aortic arch replacement through gull-wing approach to Kommerell's
diverticulum and aneurysmal right-sided aortic arch with aberrant left subclavian
artery].
AB - The patient was a 76-year-old man. He was referred to our hospital to treat
Kommerell's diverticulum and aneurysmal right-sided aortic arch with aberrant
left subclavian artery. We performed extended aortic arch replacement using gull
wing approach. He was discharged uneventfully without any complication. Gull-wing
approach method has an advantage of wide surgical field and may be useful for
extensive thoracic aortic disease.
PMID- 21899128
TI - [Ebstein's anomaly in an adult].
AB - A 56-year-old female who had been diagnosed with Ebstein's anomaly was admitted
with cyanosis and congestive heart failure. The echocardiogram showed severe
tricuspid valve incompetence, displacement of the tricuspid valve and dilatation
of the atrialized portion of the right ventricle. Atrial fibrillation was
detected in the electrocardiogram. She underwent tricuspid valve replacement and
right atrial maze procedure. She is released from congestive heart failure and
remains in sinus rhythm 48 months after the operation.
PMID- 21899129
TI - [Thymic metastasis of laryngeal cancer].
AB - A 70-year-old man visited the Department of Head and Neck Surgery with a chief
complaint of dysphagia. A tumor was observed in the epiglottis and vocal cord,
and was diagnosed as squamous cell carcinoma by biopsy. Computed tomography (CT)
showed a tumor mainly in the vocal cord. CT scans revealed a tumor centered on
the vocal cord, with bilateral cervical lymph node metastases and a well
circumscribed 20-mm tumor in the anterior mediastinum. Fluorodeoxyglucose
positron emission tomography (FDG-PET) showed uptake in the primary lesion, left
cervical lymph nodes, and anterior mediastinal tumor, which suggested a lymph
node metastasis but did not exclude thymoma. The patient underwent video-assisted
thoracic surgery (VATS) resection of the anterior mediastinal tumor with total
laryngectomy, total thyroidectomy, and bilateral cervical lymph node dissection.
The final pathological diagnosis was laryngeal cancer (glottic cancer, pT4aN2M1,
pStage IVC) with thymic metastasis (presenting as an anterior mediastinal tumor).
Thymic metastasis of laryngeal cancer is rare, and appears difficult to
preoperatively differentiate from other mediastinal tumors.
PMID- 21899130
TI - [Secondary pulmonary hypertension due to pulmonary tumor thrombotic
microangiopathy diagnosed by open lung biopsy].
AB - A 67-year-old woman was admitted with progressive exertional dyspnea. Arterial
blood gas analysis showed severe hypoxia. A chest radiograph and a computed
tomography showed bilateral interstitial infiltrations and patchy ground-grass
opacities. Initially, she was given antibiotics with no effect. Since
transthoracic echocardiography revealed right ventricular overload, cardiac
catheterization was performed, which showed pulmonary hypertension. Pulmonary
angiography revealed irregular filling defects along with pulmonary peripheral
sites. Open lung biopsy was performed to establish a definitive diagnosis and to
treat the diffuse lung disease. Microscopically, multiple tumor thrombi of
mucinous adenocarcinoma were found in small sized pulmonary artery. Primary sites
of malignancy has not been found in spite of postoperative examinations.
PMID- 21899131
TI - [Off-pump coronary artery bypass grafting with large cerebral infarction; report
of a case].
AB - A 48-year-old male was consulted to our hospital on the next day when he was
developed acute myocardial infarction (AMI). He developed cerebral infarction 26
years ago, and had left hemiparesis. Coronary angiogram revealed left main trunk
and 2 vessels disease which was not amenable to catheter intervention, and brain
computed tomography (CT) showed a very large infarction in right cerebrum. Off
pump coronary artery bypass grafting (OPCAB) double bypass grafting was
performed. The paralysis did not get worse in the post operative course. He was
discharged to his home. If the cerebral infarction is chronic phase with
preserved neurological function, OPCAB may be recommended, even if it is large
infarction.
PMID- 21899132
TI - [Surgical treatment in an adult with coronary artery aneurysm at proximal site of
left anterior descending artery; report of a case].
AB - A 52-year-old man was admitted to our hospital with complaint of chest pain and
abnormal electrocardiogram (ECG) findings showing ST depression in V2-V6.
Coronary computed tomography (CT) and coronary arteriography (CAG) showed
coronary artery aneurysm at #5 [left main trunk (LMT)] 20 mm, #11 [circumflex
artery (Cx)] 8.3 mm, RV branch 4 mm, and severe stenosis at #5 and #11.
Therefore, his chest pain was due to thromboembolism from coronary artery
aneurysm. In the present case, Kawasaki disease was not diagnosed in childhood.
Coronary artery aneurysms were rare in the elderly and were usually found in
association with Kawasaki disease. Morphological evaluation findings strongly
suggested that the coronary artery aneurysm were related to Kawasaki disease.
Resection of coronary artery aneurysm and coronary artery bypass grafting [left
internal thoracic artery (LITA) to #8 and saphenous vein graft (SVG): aorta (Ao)
to #14] were successfully performed. We report a case of coronary artery
aneurysms presumed to be due to childhood Kawasaki disease in an elderly man.
PMID- 21899133
TI - [Ruptured thoracic aortic aneurysm complicated by acute aortic dissection and
aortic valve regurgitation; report of a case].
AB - An 87-year-old man was found loss of consciousness after falling. He was found in
a state of shock. Computed tomography showed rupture of aneurysm of the ascending
aorta and aortic arch with acute aortic dissection. Echocardiography revealed
aortic valve regurgitation and cardiac tamponade. As the result of emergency
operation, a large hematoma in the mediastinum and pleural cavity as well as
massive serous pericardial effusion were found. The dissection was seen in
aneurysm of the ascending aorta and aortic arch with an intimal tear located in
the aortic arch. After aortic valve replacement was performed, the ascending
aorta and aortic arch were replaced, and reconstruction of 3 cervical vessel
branches was performed under deep hypothermic circulatory arrest with selective
cerebral perfusion. Despite the complex clinical state and serious condition in
the elderly patient, emergency surgery saved the life of the patient without
complications.
PMID- 21899134
TI - [Pulmonary metastasis fifteen years after hysterectomy for uterine
leiomyosarcoma; report of a case].
AB - A 75-year-old woman who had undergone a hysterectomy for uterine leiomyosarcoma
15 years before was noted to have a lung tumor on chest radiography. Chest
computed tomography (CT) showed a tumor mass, 3.5 cm in size, in her left lung
(S10). CT-needle aspiration biopsy revealed sarcoma We performed a left lower
lobectomy, as there was no distant metastasis. The histopathological diagnosis
was a metastasis of uterine leiomyosarcoma. The disease-free interval for this
case was 15 years, which was. to our knowledge, the longest among previous
reports of pulmonary metastasis of uterine leiomyosarcoma.
PMID- 21899135
TI - [Bilateral pulmonary metastases from hepatocellular carcinoma successfully
treated by surgical resection and stereotactic radiotherapy; report of a case].
AB - We report a case of 68-year-old-man with pulmonary metastases from hepatocellular
carcinoma (HCC). Following right hepatic lobectomy in January 2005, 4 pulmonary
metastases in the right lung were detected by chest computed tomography (CT) in
September 2007. As chemotherapy was not effective, surgical resection (right
upper lobectomy, partial resection of middle and lower lobe ) was performed.
Secondary metastases in the left lung was detected in March 2008, and
stereotactic radiotherapy was performed considering the site of tumor location
and poor pulmonary function. Two years after radiotherapy, the patient is alive
without recurrence.
PMID- 21899136
TI - [Left traumatic diaphragmatic hernia in the postoperative state of lung cancer:
report of a case].
AB - We report a case of left traumatic diaphragmatic hernia in the postoperative
state of the lung cancer. A 68-year-old man underwent video-assisted partial
resection of the left lung for lung cancer. One year after the operation, he
experienced an accident of falling from a tree. Chest radiograph and chest
computed tomography revealed the stomach herniating into the left thoracic
cavity. An emergent operation was performed by the abdominal approach. The
stomach was returned into the abdominal cavity, and the hiatus in the central
tendon of the left diaphragm was primarily sutured. The postoperative course was
uneventful.
PMID- 21899138
TI - [Dementia: progress in diagnosis and treatment; editorial, towards the
preclinical treatment of Alzheimer disease].
PMID- 21899137
TI - [Lung carcinoma producing carbohydrate antigen 19-9; report of a case].
AB - A 58-year-old female was admitted to our hospital for investigation of serum
elevation of carbohydrate antigen (CA 19-9). Computed tomography of the chest
revealed a spiculated pulmonary nodule with the longest diameter of 3.7 cm in the
right lower lobe. The diagnosis of lung adenocarcinoma was made. The patient
underwent right lower lobectomy with lymphnode dissection. Histological
examination revealed acinar type adenocarcinoma. The tumor was classified as
stage IB with T2aN0M0. Immunohistochemically, the tumor cells stained positively
for CA19-9. The serum CA19-9 level returned to a normal level after operation,
but increased again with mediastinal lymphnode metastasis and brain metastasis.
She died after an operation in 16 months.
PMID- 21899139
TI - [Dementia: progress in diagnosis and treatment; Topics, I. Basic knowledge of
dementia and pathophysiology; 1. The concept and pathophysiology of dementia of
Alzheimer-type].
PMID- 21899140
TI - [Dementia:progress in diagnosis and treatment; Topics, I. Basic knowledge of
dementia and pathophysiology; 2. Non-Alzheimer's disease associated disorders].
PMID- 21899141
TI - [Dementia: progress in diagnosis and treatment; Topics, II. Diagnosis; 1. Early
diagnosis of dementia, including MCI].
PMID- 21899142
TI - [Dementia: progress in diagnosis and treatment: Topics, II. Diagnosis; 2.
Neuroimaging diagnosis of dementia (MRI SPECT and PET)].
PMID- 21899143
TI - [Dementia: progress in diagnosis and treatment; Topics, III. Treatments; 1. The
new guidelines for dementia].
PMID- 21899144
TI - [Dementia: progress in diagnosis and treatment; Topics, III. Treatments; 2.
Pharmacological treatment of dementia].
PMID- 21899145
TI - [Dementia: progress in diagnosis and treatment; Topics, III. Treatments; 3. Non
pharmacological treatment of dementia].
PMID- 21899146
TI - [Dementia: progress in diagnosis and treatment; Topics, IV. Care for dementia
patients; 1. A referral system between experts and general practitioners].
PMID- 21899147
TI - [Dementia: progress in diagnosis and treatment; Topics, IV. Care for dementia
patients; 2. Care insurance and care for dementia patients].
PMID- 21899148
TI - [Dementia: progress in diagnosis and treatment; Topics, IV. Care for dementia
patients; 3. How to support family caregivers of dementia patients].
PMID- 21899150
TI - [Dementia: progress in diagnosis and treatment. Topics: V. Recent topics: 2.
Idiopathic normal pressure hydrocephalus].
PMID- 21899149
TI - [Dementia: progress in diagnosis and treatment; Topics; V. Recent topics; 1.
Biomarkers for Alzheimer's disease and other dementia].
PMID- 21899151
TI - [Dementia: progress in diagnosis and treatment; topics, V. Recent topics: 3.
Legal protection of the demented patients].
PMID- 21899152
TI - [Dementia: progress in diagnosis and treatment: topics, V. Recent topics; 4.
Detection of novel dementia-related genes; 1) TDP-43].
PMID- 21899153
TI - [Dementia: progress in diagnosis and treatment; Topics, V. Recent topics; 4.
Detection of novel dementia-related genes; 2) Dysregulation of TGF-beta family
signaling and hereditary cerebral small vessel disease: insight into molecular
pathogenesis of CARASIL].
PMID- 21899154
TI - [Discussion meeting on the collaboration network between general practitioners
and specialists for the diagnosis and management of patients with dementia].
PMID- 21899155
TI - [Case report: a case of cholesterol crystal embolism mimicking vasculitis].
PMID- 21899156
TI - [Case report; thyroid hormone resistance found in a patient with neuroendocrine
tumor].
PMID- 21899157
TI - [Case report: a case of crowned dens syndrome during pneumonia treatment].
PMID- 21899158
TI - [Case report; typical MR image findings in Japanese encephalitis; a case report].
PMID- 21899159
TI - [Case report; malignant peritoneal mesothelioma with hypoglycemia suggestive of
paraneoplastic syndrome].
PMID- 21899160
TI - [Case report; a case of insulin autoimmune syndrome with frequent hypoglycemic
attack].
PMID- 21899161
TI - [Case report; usefulness of gelatin sponge for bile leakage from hilar bile duct
after right hepatic lobe resection].
PMID- 21899162
TI - [The cutting-edge of medicine; inflammation and development of gastrointestinal
cancers].
PMID- 21899163
TI - [The cutting-edge of medicine; Crow-Fukase (POEMS) syndrome: diagnosis,
pathophysiology, and treatments].
PMID- 21899164
TI - [The cutting-edge of medicine; autophagy in pancreatic beta cell--a novel
pathogenic factor in diabetes].
PMID- 21899165
TI - [The cutting-edge of medicine; catheter-based renal sympathetic denervation for
cardiovascular diseases].
PMID- 21899166
TI - [Series: knowledge of emergency required for internist; acute coronary syndrome:
ACS].
PMID- 21899168
TI - [Series: Let's think-clinical quiz (question); a 66 year-old man whose blood
pressure control worsened recently].
PMID- 21899167
TI - [Report from the 11th Tokai Chapter Educational Seminar: 81 year old female with
headache and fatigue of unknown cause, developing chest pain and hemiplegia
during treatment].
PMID- 21899169
TI - [Series: Diagnosis at a glance].
PMID- 21899170
TI - [Series: Clinical study from Japan and its reflections; J-BAF study].
PMID- 21899171
TI - [Series: For attending physicians; professionalism; causality assessment between
reported adverse events and suspected drugs].
PMID- 21899172
TI - [Impact of oxygen toxic action on the erythrocyte membrane and possibility of
estimating central nervous system function disturbances].
AB - BACKGROUND/AIM; Prolonged exposure to hyperbaric oxygen leads to changes of
erythrocytes shape as a consequence of toxic effects of oxygen on the erythrocyte
membrane. The aim of this study was to examine the association between occurance
of pathological forms of erythrocytes at different time from the start of
hyperbaric oxygenation and the moment of convulsions occurrence, an
interrelationship of different pathological forms of erythrocytes during exposure
to hyperbaric oxygenation, as well as the correlation between the presence of
ruptured erythrocytes and function of central nervous system (CNS) after
completion of hyperbaric treatment. METHODS: Sixty laboratory mice, Mus musculus,
were exposed to the wholly-oxygen pressure of 3.5 absolute atmospheres (ATA).
Blood was collected at the 32nd, 34th, 36th, 38th and 40th minutes after the
exposure to oxygen. Pathological forms of erythrocytes were examined by electron
microscopy. A moment of convulsions occurrence was registered in all animals.
After decompression neurological examinations of experimental animals were
perfomed. The Pearson's coefficient of correlation, and linear regression
equations for the parameters outlined in the aim of the study were calculated.
RESULTS: Hyperbaric oxygen caused damages of erythrocytes at the 34th minute
after beginning of the treatment. Various forms of abnormal red blood cells
occured, and immediately before the occurrence of irreversible changes
(erythrocyte membrane rupture) echinocyte shape was dominated. A significant
correlation between the number of damaged red blood cells at 34th minute and
their number at the 36th, 38th and 40th minute was found. Convulsions were
diagnosed significantly earlier in mice with a greater number of damaged red
blood cells (p < 0.01). There was a negative correlation between the number of
irreversiblly damaged red blood cells (ruptured) at the 40th minute and
neurological score in the studied animals (p < 0.05). CONCLUSION: The analysis of
altered erythrocytes during hyperbaric oxygenation could predict a moment of
seizures occurrence, and therefore the duration of the therapy with hyperbaric
oxygen. Ehinocytes indicate impending rupture of red blood cells and a possible
occurrence of seizures. An increased number of ruptured red blood cells may also
even indicate the potential burden of CNS after cessation of hyperbaric
oxygenation.
PMID- 21899173
TI - Retinal periphlebitis in patients with multiple sclerosis.
AB - BACKGROUND/AIM: Multiple sclerosis (MS) is an immune-mediated disorder of the
central nervous system (CNS), characterized by inflammation, demyelination and
axonal loss. Retinal periphlebitis (RP) is often present in MS patients with
similar evolution and histopathological changes as MS lesions. The aim of this
study was to analyze the presence of RP in MS patients during different clinical
phases, and its connection with impairment of blood-brain barrier. METHODS: The
study included 45 patients (26 females and 19 males) with MS. Their average age
was 33.2 +/- 8.1 years. There were 28 patients with relapsing-remitting (RR)
form, 7 with primary progresive (PP) and 10 with secondary progressive (SP) form
of MS. There were 27 patients in the relapse and 18 patients in the remission
phase. The average MS duration was 7.48 +/- 1.3 years. Ophthalmological,
neurological and MRI examination were performed in all the patients, as well as
cerebrospinal fluid sampling. Albumin ratio and IgG index were calculated in all
the patients. RESULTS: There were 9 patients with RP, and 36 without it. MS
duration was significantly longer in the RP group. RP was much more common in the
progressive form and was not present in the remission phase of MS. Albumin ratio
values were increased in the group with RP. IgG index and IgG synthesis according
to Tourtellotte formula, were statistically higher in the group of patients with
RP. The values of visual evoked potentials (VEP's) latency were significantly
higher in the group of patients with RP. CONCLUSION: The presence of RP is a
reliable indicator of MS activity and might be considered as a parameter for
monitoring the disease activity and effects of the treatment.
PMID- 21899174
TI - [The state of oral health in children at the age of 12 in Montenegro].
AB - BACKGROUND/AIM: Oral health is very important for the function and the quality of
human life. The aim of this study was to determine the spread of caries on the
permanent teeth, the state of health of the periodontium and the state of oral
hygiene in the children at the age of 12 in Montenegro. METHODS: The research was
carried out within 2006 and included 455 primary school pupils of both sex, the
age of 12 in the northern, midlle and southern area of Montenegro. The parameters
used to estimate oral health condition were: mean number of decayed, missing, and
filled teeth due to caries (DMFT), Significant Caries Index (SiC), Community
Periodontal Index of Treatment Needs (CPITN), presence of sealants, and to
estimate oral hygiene condition: Debris Index (Green-Vermillion) and Calculus
Index (Green). A dental team clinically examined all the subjects in line with
World Health Organization (WHO) methodology and criteria. All chosen children
from the sample were checked by the standard dental diagnostic equipment (plane
dental mirror, dental, standard CPITN periodontal probe) under the artificial
light on the dry teeth, on the dental chair. RESULTS: The average value of Index
DMFT at 12-year-old in Montenegro was 3.43. On average, 88.35% of the examined
children had dental caries. The SiC Index was 6.35. Among the examined children,
11.9% had at least one tooth with a fissure sealant. The healty periodontium had
64% of the 12-year-old children. The average value of Debris Index was 1.086, and
the average value of Calculus Index was 0.6508. CONCLUSION: Oral health condition
in children at the age of 12 in Montenegro does not satisfy. Thus the importance
of the modern preventive measures and programmes should be emphasized and applied
through the system of primary oral protection and intensively promote oral
health.
PMID- 21899175
TI - Immunohistochemical study of pathological alterations of peritoneum in patients
with terminal renal insufficiency and on peritoneal dialysis.
AB - BACKGROUND/AIM: During peritoneal dialysis (PD) an exchange of substances between
blood and dialysate takes place through specific histological structures of
peritoneum. Peritoneal double-layered serous membrane has, so far, mostly been
studied with electron microscopy on experimental animals. The aim of this study
was to assess integrity of peritoneal tissue in end-stage renal disease (ESRD)
and PD patients using standard light microscopy and immunohistochemical methods.
METHODS: Peritoneal tissue biopsies were performed on 25 persons: 8 healthy
donors during nephrectomy, 9 ESRD patients upon insertion of PD catheter, and 8
PD patients upon removal of the catheter for medical indications. The samples
were fixed and prepared routinely for immunocytochemical staining by standardized
streptavidin biotin AEC method using a LSAB2 HRP kit (Dako, Denmark) for collagen
IV and analyzed by light microscopy. RESULTS: We observed mesothelial detachment
from lamina propria, duplicated basement membrane and much thicker blood vessel
walls in ESRD and PD patients, compared to healthy subjects. Differences in
histological structure, emphasized with immunostaining, indicated pathological
alterations of peritoneal tissue in the renal patients. CONCLUSIONS:
Imunohistochemistry can be used in studying histological alterations of
peritoneal tissue in ESRD and PD patients. This method may indicate possible
problems in filtration and secretion processes in this tissue.
PMID- 21899176
TI - [Ambient temperature impact on hepatocellular liver damage in rats following
intake of 3,4-methylenedioxymethamphetamine].
AB - BACKGROUND/AIM: 3,4-methylendioxymethamphetamine (MDMA, Ecstasy) is a psycho
stimulating agent. It is usually taken orally in the form of tablets. It is
absorbed throught the gastrointestinal mucous membrane. Hyperthermia is the most
prominent clinical sign of MDMA intake. The most prominent forensic finding of
lethal MDMA poisoning is myocardial infarction and cerebrovascular bleeding.
However, liver and kidney damage: have also been described. The aim of this
research was to determine if ambient temperatures affect liver damage in the
experimental rats. METHODS: The experiment was conducted for 8 h and 24 h, at
temperatures of 12 degrees C, 22 degrees C and 32 degrees C. Both biochemical
parameters (ALT, AST, AP, gamma GT and LDH) and pathohistological changes of the
liver were monitored. RESULTS: Our reserch demonstrated that the most serious
lever damage occurred at 32 degrees C. Liver damage was manifested as portal
inflammation, periportal necrosis, lobular necrosis, stasis, intralobular
hemorrhage and incerease of liver enzymes serum activity. CONCLUSION: Liver
damage after MDMA intake rises with the increase of ambient temperature, and it
is most pronounced at the temperature of 32 degrees C.
PMID- 21899177
TI - Expression of regulatory proteins and proliferative activity in relation to
phenotypic characteristics of upper urothelial carcinoma.
AB - BACKGROUND/AIM: Deregulation of the normal cell cycle is common in upper
urothelial carcinoma (UUC). The aim of this study was to investigate the
expression of regulatory proteins of the cell cycle (p53, p16, cyclin D1, HER-2)
and proliferative Ki-67 activity in UUC, and to determine their interaction and
influence on the phenotypic characteristics of UUC. METHODS: In 44 patients with
UUC, histopathological and immunohistochemical analyses (p53, p16, cyclin D1, HER
2, and Ki-67) of tumors were done. RESULTS: Overexpression/altered expression of
p53, p16, cyclin D1 or HER-2 was detected in 20%, 57%, 64%, and 57% of tumors,
respectively. Eleven (25%) UUC had a high proliferative Ki-67 index. Forty
patients (91%) had at least one marker altered, while four (9%) tumors had a wild
type status. Analysis of relationship between expressions of molecular markers
showed that only high expression of p53 was significantly associated with altered
p16 activity (p < 0.05). High Ki-67 index was associated with the high stage (p <
0.005), solid growth (p < 0.01), high grade (p < 0.05), and multifocality p <
0.05) of UUC, while high expression of p53 was associated with the solid growth
(p < 0.05). In regression models that included all molecular markers and
phenotypic characteristics, only Ki-67 correlated with the growth (p < 0.0001),
stage (p < 0.01), grade (p < 0.05) and multifocality (p < 0.05) of UCC; (Ki-67
and HER-2 expression correlated with the lymphovascular invasion (p < 0.05).
CONCLUSIONS: This investigation showed that only negative regulatory proteins of
the cell cycle, p53 and p16, were significantly associated in UUC, while
proliferative marker Ki-67 was in relation to the key phenotypic characteristics
of UUC in the best way.
PMID- 21899178
TI - Skin vascularisation field by the ascending branch of the peroneal artery ramus
perforans.
AB - BACKGROUND/AIM: Soft tissue defects in the distal third of the lower leg are
persistent and constitute a major problem in the reconstructive surgery. This
study presents an analysis of the anatomical vascularization filed of ascending
branch of the peroneal artery ramus perforans (PARS). The aim of this study was
to assess reliability of the distal flap on the antero-lateral aspect of a lower
leg distal third. METHODS: Direct gentiana violet injection into the interosseal
perforator of ten fresh cadaveric lower legs with subsequent corrosion acrylic
preparation was performed to reveal vascularization filed of the ascending branch
of the PARP. Height, length, diameter and communication of perforating branch and
its subsequent smaller ascending and descending branches were determined. The
CAMIA software was used. RESULTS: Our results show that the PARP is always
present. Its origin from the peroneal artery is at the medial height of 66 mm
when measured from the inferior border of the lateral malleolus. Medium length of
ramus perforans is 51.7mm. After transition through the interosseous membrane,
ramus perforans divides into ascending and descending branches. The diameter
proximal to the level of bifurcation is 1.37 mm (variation 1.0-1.8 mm), and the
diameter of the ascending branch distal to the level of bifurcation is 1 mm.
Using CAMIA software, the medium length, width and area of the vascularization
filed labeled with gentian violet were calculated to be 164 mm (variation 125-210
mm), 66 mm (57-77 mm), and 10,305 mm2 (6,385 mm2-14,341 mm2), respectively.
CONCLUSION: Our results support the use of fasciocutaneous distal flap,
vascularized by the ascending branch of the PARP for reconstruction of soft
tissue defects in the distal third of the lower limb, malleolar regions and
dorsum.
PMID- 21899179
TI - [Transvaginal mesh in repair of pelvic organs prolapse as a minimally invasive
surgical procedure].
AB - BACKGROUND/AIM: Prolapse of genital organs with or without urinary stress
incontinention is the most often health problem in the elderly female population
tending to increase with ageing. The aim of this study was to assess the
perioperative complications and short-term outcomes of prolaps repair using
transvaginal polypropylene mesh (Prolift system, Gynecare, Ethicon, USA).
METHODS: A retrospective study was conducted evaluating 96 women from September
2006 to January 2010 who underwent vaginal repair with implatation of a soft mesh
manufactured by Gynecare, Ethicon, USA. RESULTS: All the patients had a stage 3
or stage 4 prolapse according to the POP-Q system of ICS. Total mesh was used in
12 (13%) patients isolated anterior mesh in 52 (54%) patients and isolated
posterior mesh in 32 (33%) patients. We reported one intra-operative bladder
injury and no other serious complications. At 3 months, all 96 patients were
available for follow-up. Vaginal erosion occured in 9 (9.3%) patients, shrinkage
of mesh in 6 (6.2%) patients and de novo urinary incontinence in 5 (5.2%)
patients. Failure rate was 6.25% (recurrent prolapse stage 3 or 4 even
asymptomatic). CONCLUSION: Our study suggests that transvaginal polypropylene
mesh applied with a tension-free technique is a safe and effective method with
low intraoperative complications and low morbidity rates. However, some
complications are serious and require highly specialised management.
PMID- 21899180
TI - Evaluation of the risk malignancy index diagnostic value in patients with adnexal
masses.
AB - BACKGROUND/AIM: Ovarian cancer is the leading cause of death from gynecologic
malignancies. Risk of malignancy index (RMI) is recommended in assessment of
patients with adnexal masses. The aim of this study was to verify the
effectiveness of the RMI in the discrimination between benign lesions and
malignant adnexal masses in clinical practice. METHODS: Ultrasounds were
performed for all the patients and menopausal status, CA125 level and calculated
RMI were defined. All the patients were divided into 3 groups depending on RMI (<
25, 25-200, > 200). After operations all adnexal masses were analyzed
histopathologically (HP) and then sensitivity, specificity and predictive value
of RMI were calculated. RESULTS: Out of a total of 81 patients involved benign
tumor had 51 (62.96%) and malignant 30 (37.04%) of the patients. The average
value of CA125 in the group of patients with benign adnexal masses was 68.3 U/mL
and in the group of patients with malignant adnexal masses it was 581.95 U/mL. In
the group of patients with benign adnexal masses the average RMI was 284.9 and in
the group of patients with malignant adnexal masses RMI was 469.2. All the
results showed a positive correlation between both HP categories and RMI
categories. The more malignant HP result produced higher RMI and the cut off
value was RMI = 200. Sensitivity of RMI w as 83.33%, specificity was 94.12%,
positive predictive value was 89.29% and negative predictive value was 90.57%.
CONCLUSION: Our study showed that RMI is very reliable in differentiation benign
from malignant adnexal masses.
PMID- 21899181
TI - Correlation analysis of craniomandibular index and gothic arch tracing in
patients with craniomandibular disorders.
AB - BACKGROUND/AIM: Complex etiology and symptomatology of craniomandibular
dysfunction make the diagnosing and therapy of this disorder more difficult. The
aim of this work was to assess the value of clinical and instrumental functional
analyses in diagnosing of this type of disorders. METHODS: In this study 200
subjects were examined, 15 with temporomandibular joint disorder. They were
subjected to clinical functional analysis (Fricton-Shiffman) and instrumental
functional analysis by using the method of gothic arch. The parameters of the
gothic arch records were analyzed and subsequently compared among the subjects of
the observed groups. RESULTS: In the examined group of the population 7.5% of
them were with craniomandibular dysfunction. The most frequent symptoms were
sound in temporomandibular joint, painful sensitivity of the muscles on palpation
and lateral turning of the lower jaw while opening the mouth. By analyzing the
gothic arch records and comparing the obtained values between the observed groups
it was assessed that: lateral and protrusion movements, lateral amplitude and the
size of gothic arch were much bigger in the healthy subjects, and latero-lateral
asymmetry was larger in the sick subjects. Latero-lateral dislocation of apex was
recorded only in the sick subjects with average values of 0.22 +/- 0.130 mm. The
correlation between the values of Fricton-Shiffman craniomandibular index and the
parameters of the gothic arch records and latero-lateral amplitude and
dislocation of apex records were established by correlative statistical analysis.
CONCLUSION: Functional analysis of orofacial system and instrumental analysis of
lower jaw movements (gothic arch method) can be recommended as precise and simple
methods in diagnosing craniomandibular dysfunctions.
PMID- 21899182
TI - Splenic artery pseudoaneurysm as a complication of pancreatic pseudocyst.
AB - INTRODUCTION: Pancreatic pseudocyst presented as pseudoaneurysm of the splenic
artery is a potential serious complication in patients with chronic pancreatitis.
CASE REPORT: A 42-year-old male patient with a long-standing evolution of chronic
pancreatitis and 8-year long evolution of pancreas pseudocyst was referred to the
Military Medical Academy, Belgrade due to worsening of the general condition. At
admission, the patient was cachectic, febrile, and had the increased values of
amylases in urine and sedimentation (SE). After clinical and diagnostic
examination: laboratory assessment, esophagogastroduodenoscopy (EGDS),
ultrasonography (US), endoscopic ultrasonography (EUS), multislice computed
scanner (MSCT) angiography, pseudoaneurysm was found caused by the conversion of
pseudocyst on the basis of chronic pancreatitis. The patient was operated on
after founding pancreatic pseudocyst, which caused erosion of the splenic artery
and their mutual communication. Postoperative course was duly preceded without
complications with one year follow-up. CONCLUSION: Angiography is the most
reliable and the safest method for diagnosing hemorrhagic pseudocysts when they
clinically present as pseudoaneurysms. A potentially dangerous complication in
the presented case was treated surgically with excellent postoperative results.
PMID- 21899183
TI - Group A streptococcal cellulitis in the early puerperium.
AB - INTRODUCTION: Infectious diseases caused by Streptococcus pyogenes, a member of
the group A Streptococci (GAS) are among the most common life threatening ones.
Patients with GAS infections have a poor survival rate. Cellulitis is a severe
invasive GAS infection and the most common clinical presentation of the disease
associated with more deaths than it can be seen in other GAS infections.
According to the literature data, most cases of GAS toxic shock syndrome are
developed in the puerperium. However, there are two main problems with GAS
infection in early puerperium and this case report is aimed at reminding on them.
The first problem is an absence of awareness that it can be postpartal invasive
GAS infection before the microbiology laboratory confirms it, and the second one
is that we have little knowledge about GAS infection, in general. CASE REPORT: A
32-year-old healthy woman, gravida 1, para 1, was hospitalized three days after
vaginal delivery with a 38-hour history of fever, pain in the left leg (under the
knee), and head injury after short period of conscious lost. Clinical picture of
GAS infection was cellulites. Group A Streptoccocus pyogenes was isolated in
vaginal culture. Rapid antibiotic and supportive treatment stopped development of
streptococcal toxic shock syndrome (STSS) and potential multiorganic failure.
Signs and symptoms of the infection lasted 25 days, and complete recovery of the
patient almost 50 days. CONCLUSION: In all women in childbed with a history of
fever early after delivery, vaginal and cervical culture specimens should be
taken as soon as possible. Early recognition of GAS infection in early puerperium
and prompt initiation of antimicrobial drug and supportive therapy can prevent
development of STSS and lethal outcome.
PMID- 21899184
TI - [Vasospastic angina pectoris complicated by acute myocardial infarction and
complete atrioventricular block].
AB - BACKGROUND: A prolonged coronary artery spasm with interruption of coronary blood
flow can lead to myocardial necrosis and increase of cardiospecific enzymes and
can be complicated with cardiac rhythm disturbances, syncopc, or even sudden
cardiac death. CASE REPORT: A 55-year old male felt a severe retrosternal pain
when exposing himself to cold weather. The pain lasted for 20 minutes and was
followed by the loss of conscience. Electrocardiogram (ECG) showed a complete
antrioventricular (AV) block with nodal rhythm and marked elevation of ST segment
in inferior leads. Electrocardiogram was soon normalized, but serum activities of
cardiospecific enzymes were increased. Coronarography showed normal findings for
the left coronary artery and a narrowing at the middle part of the right coronary
artery, which disappeared after intracoronary application of nitroglycerine. The
following therapy was prescribed: Diltiazem, Amlodipin, Isosorbid mononitrate,
Molisdomin, Atrovastatin, Aspirin and Nitroglycerine spray. After 7 months
medicaments were abandoned and the patient experienced again reccurent chest pain
episodes at rest. Transitory ST segment elevation was recorded in inferior leads
of ECG, but without increase of cardiospecific enzymes serum activities. After
restoration of the medicament therapy anginal episodes ceased. CONCLUSION:
Coronary dilators in maximal doses can prevent attacks of vasospastic angina.
PMID- 21899185
TI - Endovascular repair of posttraumatic multiple femoral-femoral and popliteal
popliteal arteriovenous fistula with Viabahn and excluder stent graft.
AB - BACKGROUND: Traumatic arteriovenous (AV) fistula is considered to be a pathologic
communication between the arterial and venous systems following injury caused
mostly by firearms, sharp objects or blasting agents. Almost 50% of all traumatic
AV fistulas are localized in the extremities. In making diagnosis, besides injury
anamnesis data, clinical image is dominated by palpable thrill and auscultator
continual sounds at the site of fistula, extremities edemas, ischemia distally of
fistula, pronounced varicose syndrome, and any signs of the right heart load in
high-flow fistulas. CASE REPORT: We presented a male 32-year-old patient self
injured the region of the right lower and upper leg by shotgun during hunting in
2005. The same day the patient was operated on in a tertiary traumatology health
care institution under the diagnosis of vulnus sclopetarium femoris et cruris
dex; AV fistula reg popliteae dex; fractura cruris dex. The performed surgery was
ligatura AV fistulae; reconstructio a. popliteae cum T-T anastomosis; fasciotomia
cruris dex. Postoperatively, in the patient developed a multiple AV fistula of
the femoral and popliteal artery and neighboring veins. The patient was two more
times operated on for closing the fistula but with no success. Three years later
the patient was referred to the Clinic for Vascular Surgery, Military Medical
Academy, Belgrade, Serbia. A physical examination on admission showed the right
upper leg edema, pronounced varicosities and high thrill, signs of the skin
induration and initial ischemia with ulceration in the right lower leg, as well
as numerous scars in the inner side of the leg from the previously performed
operations. Due to the right heart load there were also present easy getting
tired, tachypnoea and tachycardia. CT and contrast angiography verified the
presence of multiple traumatic AV fistulas in the surface femoral and popliteal
artery and neighboring veins of the highest diameter being 1 cm. Also, numerous
metallic balls--grains of shotgun were present. After the preoperative
preparation under local infiltrative anesthesia, transfemoral endovascular
reconstruction was done of the surface femoral and popliteal artery by the use of
stent grafts Viabahn 6 x 50 mm and excluder PXL 161 007. Within the immediate
postoperative course a significant reduction of the leg edema and disappearance
of thrill occurred, and, latter, healing of ulceration, and disappearance of
signs of the foot ischemia. Also, patient's both cardiac and breathing functions
became normal. CONCLUSION: In patients with chronic traumatic AV fistulas in the
femoropopliteal region, especially with multiple fistulas, the gold standard is
their endovascular recon struction which, although being minimally traumatic and
invasive, offers a complete reconstruction besides keeping integrity of both
distal and proximal circulation in the leg.
PMID- 21899186
TI - Serbian painters in the Army Medical Corps 1914-1918.
PMID- 21899187
TI - [Resignation of the president and uncertainty for the National Nurse
Organization].
PMID- 21899188
TI - [Reform of the dependence, the Chief of State announced at the reopening].
PMID- 21899189
TI - [Surgical treatment of heart valve diseases and its complications].
PMID- 21899190
TI - [Postoperative care of the heart valve replacement patient].
PMID- 21899191
TI - [Patient education of those patients on anti-vitamin K therapy].
PMID- 21899193
TI - [Nursing care and the need for spirituality].
PMID- 21899192
TI - [A course of care guided by the passion for nursing care and the love for
others].
PMID- 21899194
TI - [Clinical consultation and description of musculoskeletal pain].
PMID- 21899195
TI - [Reflexions on the emergence of the right to practice lethal injections].
PMID- 21899196
TI - [From plasterer to gypsotherapy nurse].
PMID- 21899197
TI - [Promoting the collaboration between the nurses' aide and the nurse during the
use of MEOPA].
PMID- 21899198
TI - [Teaching dedicated to the analysis of clinical situations].
PMID- 21899199
TI - [Urinary catheterization].
PMID- 21899200
TI - Admitting a child into the pediatric ward.
PMID- 21899201
TI - [Mourning].
PMID- 21899202
TI - [If you can't go to school, school will come to you!].
PMID- 21899203
TI - Synthesis and pharmacological evaluation of some quinoline derivatives as
potential cognition enhancers.
AB - The present paper describes the synthesis of a series of substituted 6-amino (1a
c) and 8-aminoquinoline derivatives (2b-c) and the evaluation of their ability to
prevent the memory decline using a behavioural model, i.e. the elevated plus maze
test. The effect of the candidate drugs on the activity of acetylcholinesterase
was studied using the enzyme source from the mouse brain. The structures of the
synthesized compounds were confirmed by UV, IR, 1H-NMR and elemental analysis.
The 6-aminoquinoline derivative [6-(4-pyridyl)methylaminoquinoline] (1c) oxalate
showed maximum % retention (50% at 5 mg/kg and 75% at 10 mg/kg) in the elevated
plus maze test and maximum % inhibition (71% at 25 microM) of AChE on biochemical
evaluation.
PMID- 21899204
TI - Synthesis and biological evaluation of some novel quinoxaline derivatives as
anticonvulsant agents.
AB - In view of their expected anticonvulsant activity, some new derivatives of
quinonxaline (V1-7) were designed and synthesized by condensation of different
aromatic aldehydes with 2-(2-oxo-3-phenylquinoxalin-1(2H)-yl)acetohydrazide (IV).
All synthesized compounds were isolated and confirmed by IR, 1H-NMR, MS,
elemental analysis and then tested as anticonvulsant agents. Compound V3 and V1
showed the highest anticonvulsant effect with anticonvulsant potency relative to
phenobarbital sodium of 0.8 and 0.75 whereas compound V5 exhibited the lowest
relative potency of 0.09. The other compounds showed variable activity between
these values as follows: V2 = 0.19, V4 = 0.41, V6 = 0.1 and V7 = 0.15. All
compounds showed less activity than the reference compound phenobarbital. But the
compounds provided a basis for further optimization.
PMID- 21899205
TI - Effect of mangiferin, a naturally occurring glucoxylxanthone, on fear memory in
rats.
AB - Mangiferin (1,3,6,7-tetrahydroxy-2-[3,4,5-trihydroxy-6-(hydroxymethyl)oxan-2-yl]
xanthen-9-one, CAS 4773-96-0), a naturally occurring glucosylxanthone, is widely
distributed in higher plants and a constituent of folk medicine. In the present
study the effect of systemic administration of mangiferin on behavioural outcomes
of neurological function in normal rats was investigated. A single
intraperitoneal injection of mangiferin (10, 50 and 100 mg/kg body weight)
immediately post-training produced an impairment of long-term memory for aversive
training and a reduced freezing in a dose independent manner, when given
immediately post-training. The administration of mangiferin 6 h post-training did
not affect fear memory. The results indicate that mangiferin might induce
deficits of emotionally motivated memory.
PMID- 21899206
TI - Effects of calcium antagonists and agonists on isolated human v. saphena magna
used for coronary artery bypass grafting and guinea pig's papillary muscle.
AB - BACKGROUND: The goal of this study was to investigate the movement of contraction
relaxation effects on isolated human blood vessel samples by the actions of
amlodipine (CAS 88150-42-9), cerebrocrast (CAS 118790-71-9), diltiazem (CAS 42399
41-7), and a benzimidazole derivative. Additionally, their effects on isometric
contraction force and the duration of the action potential (AP) were measured.
METHODS: The experiments were carried out on isolated human v. saphena magna
samples and papillary muscles of adult guinea pigs. Isometric contraction and the
AP were recorded using a force transducer and standard microelectrode technique.
RESULTS: Phenylephrine (10(-4) M) caused contractions of vein rings to 928 +/-
76.5 mg. All the tested agents at a concentration of 10(-7)-10(-4) M
significantly relaxed the smooth muscle in a dose-dependent manner. The weakest
response was shown by amlodipine. Pre-treatment with 50 microM of amlodipine,
diltiazem and benzimidazole for 30 min significantly increased the magnitude of
the contraction induced by phenylephrine in concentration-dependent (10(-6)-10(
4) M) fashion but only in the benzimidazole group versus other tested agents and
the control. The benzimidazole derivative caused augmentation of isometric
contraction of the papillary muscles and negligible lengthening of AP duration;
the other agents tested showed opposite effects. CONCLUSION: These results show
that agents possessing positive or negative inotropic action significantly
relaxed the isolated vein samples precontracted with phenylephrine. These
responses point to a different mechanism of action underlying both calcium
antagonist and agonist effects even though their action ultimately resulted in
vasodilatation.
PMID- 21899207
TI - Relative bioavailability and pharmacokinetic study of two trimetazidine modified
release formulations in healthy Bangladeshi male volunteers.
AB - Trimetazidine (CAS 5011-34-7) is an effective and well-tolerated antianginal drug
that possesses protective properties against ischemia-induced heart injury. The
relative bioavailability and pharmacokinetic characteristics of two modified
release formulations of 35 mg trimetazidine, one as the test product (Metacard
MR) and one as the reference product, were compared in healthy Bangladeshi male
volunteers. The randomized, two-way crossover study was conducted in 24 healthy
male volunteers after administration of a single 35 mg dose of each modified
release formulation after 12-h overnight fasting, with a washout period of two
weeks. Blood samples were collected at various time intervals following oral
administration and analyzed for trimetazidine concentrations using a validated
HPLC method. The pharmacokinetic parameters were determined by a non
compartmental method. After administering a single dose of 35 mg of each
trimetazidine formulation, the obtained mean (SD) values for the test and
reference products were 104.78 (29.3) and 98.57 (28.7) ng/ml for Cmax; 4.00 (1.1)
and 3.54 (1.32) h for t(max); 423.81 (173.9) and 410.01 (195.87) ng x h/ml for
AUC0-12; and 472.51 (195.2) and 462.78 (225.13) ng x h/ml for AUC0-infinity
respectively. The mean t1/2 was found 3.69 (1.1) h and 3.45 (0.72) h for test and
reference products respectively. From paired t-test, no significant differences
were observed (p > 0.05) for any pharmacokinetic parameters. The 90% confidence
intervals of the test/reference mean ratios of the In-transformed AUC0-12, AUC0
infinity, and Cmax mean values were 106.19% (97.16%-116.06%), 104.74% (95.04%
115.42%) and 106.30% (95.23%-118.66%), respectively. The two formulations
demonstrated similar bioavailability with respect to both the rate and extent of
trimetazidine absorption.
PMID- 21899208
TI - Assessment of the extent of oxidative stress induced by intravenous ferumoxytol,
ferric carboxymaltose, iron sucrose and iron dextran in a nonclinical model.
AB - Intravenous (i.v.) iron is associated with a risk of oxidative stress. The
effects of ferumoxytol, a recently approved i.v. iron preparation, were compared
with those of ferric carboxymaltose, low molecular weight iron dextran and iron
sucrose in the liver, kidneys and heart of normal rats. In contrast to iron
sucrose and ferric carboxymaltose, low molecular weight iron dextran and
ferumoxytol caused renal and hepatic damage as demonstrated by proteinuria and
increased liver enzyme levels. Higher levels of oxidative stress in these tissues
were also indicated, by significantly higher levels of malondialdehyde,
significantly increased antioxidant enzyme activities, and a significant
reduction in the reduced to oxidized glutathione ratio. Inflammatory markers were
also significantly higher with ferumoxytol and low molecular weight iron dextran
rats than iron sucrose and ferric carboxymaltose. Polarographic analysis
suggested that ferumoxytol contains a component with a more positive reduction
potential, which may facilitate iron-catalyzed formation of reactive oxygen
species and thus be responsible for the observed effects. Only low molecular
weight iron dextran induced oxidative stress and inflammation in the heart.
PMID- 21899209
TI - A simple and sensitive liquid chromatography method for the determination of low
dihydrocodeine concentrations in human plasma: its application in Chinese healthy
volunteers.
AB - A simple, sensitive and modified method was developed for determination of low
dihydrocodeine (CAS 125-28-0) concentrations in human plasma by high performance
liquid chromatography (HPLC) with diode array detector. Measurement was performed
on a Zorbax XDB-C18 analytical column together with a XDB-C18 precolumn at 40
degrees C after a simple one-step extraction. An isocratic mobile phase
consisting of acetonitrile-0.1% trifluoroacetic acid (TFA)-water (12:40:48,
v/v/v), was run at a flow rate of 1.0 mL/min. Good chromatographic separation was
achieved in less than 6.2 min. This assay was linear over a concentration range
of 2.50-100 ng/mL with a lower limit of quantification at 2.50 ng/mL. The intra-
and inter-day precision (relative standard deviation) was less than 6.00 and
6.62%, respectively, at all concentration levels studied, while the intra- and
inter-day accuracy was 1.50-3.73% and -1.35-1.92%, respectively. Recoveries were
76.10-83.81% with coefficients of variation of 1.86-6.93%. Stability of
dihydrocodeine in plasma proved to be good. The validated method was successfully
applied to a bioequivalence study of dihydrocodeine after a single oral
administration of 20 mg dihydrocodeine tartrate in Chinese healthy male
volunteers.
PMID- 21899210
TI - Pharmacokinetics of betamethasone and betamethasone 17-monopropionate in Chinese
healthy volunteers after intramuscular injection of betamethasone
phosphate/betamethasone dipropionate.
AB - The aim of this study was to evaluate the pharmacokinetic profiles of
betamethasone (BOH, CAS 378-44-9) and betamethasone 17-monopropionate (B17P), the
active metabolites of betamethasone phosphate (BSP) and betamethasone
dipropionate (BDP), respectively, after administration of betamethasone i.m. (BSP
2 mg and BDP 5 mg). After ten healthy volunteers had received a single-dose
intramuscular adminitration of betamethasone i.m., blood samples were collected
pre-dose and for 336 h postdose. The plasma levels of B17P and BOH were measured
by liquid chromatography-tandem mass spectrometry (LC-MS/ MS). When compared to
BOH, B17P exhibited a longer time to maximum concentration (15.0 +/- 9.0 h vs.
2.8 +/- 1.7 h), a lower Cmax (0.6 +/- 0.2 ng/mL vs. 14.5 +/- 3.7 ng/mL), and a
much longer half-life (80.8 +/- 22.7 h vs. 9.6 +/- 3.6 h). Betamethasone i.m.
produced rapid onset and sustained action through an initial rapid-increased
plasma concentration of BOH and a sustained plasma concentration of B17P,
respectively.
PMID- 21899211
TI - Usefulness of suplatast tosilate, a Th2 cytokine inhibitor based on the Th1/Th2
ratio for allergic disease in children: a retrospective study.
AB - BACKGROUND: Children with an atopic predisposition are presumed to have
persistent Th2 dominance and thus develop allergic diseases. METHODS: A total of
45 children who fell to atopic dermatitis and/or intermittent asthma or mild
persistent asthma between 2002 and 2007 were enrolled and retrospectively
analyzed. Twenty-four children were administered oral treatment with the
immunopharmacological drug suplatast tosilate (CAS 94055-76-2) at a dose of 3
mg/kg twice daily. Twenty-one of the control group were not administered oral
suplatast tosilate but treated with other drugs. Blood was collected before and
after administering suplatast tosilate or other drugs, and Th1 cells, Th2 cells,
the Th1/Th2 ratio, the total IgE levels, and the eosinophil count were measured.
RESULTS: In the suplatast tosilate group, Th1 cells increased to 7.9 (1.2-19.8) %
from 5.5 (1.1-13.5) % (Wilcoxon P < 0.05), while the Th2 cells showed a decrease
from 1.3 (0.5-6.5) % to 1.6 (0.4-2.9) %, but the differences were not
significant. The Th1/Th2 ratio increased significantly from 4.1 (0.9-7.4) to 5.6
(1.3-15.5) (shifting to Th1 dominance) in the suplatast tosilate group (Wilcoxon
P < 0.05), while it shifted to Th2 dominance in the control group (increased from
4.5 (2.2-12.2) to 5.7 (1.6-11.8)) but did not show significant difference.
CONCLUSIONS: The Th1/Th2 ratio increased significantly after administration of
suplatast tosilate, shifting to Th1 dominance. Therefore suplatast tosilate
improves Th2 dominance and may inhibit subsequent progression of allergy over the
long term.
PMID- 21899212
TI - Liquid chromatographic tandem mass spectrometric assay for simultaneous
quantification of compound 97/78 and its in vivo metabolite 97/63, a novel
trioxane antimalarial, in human plasma and its application to a protein binding
study.
AB - A sensitive, selective and specific LC-MS/ MS assay for simultaneous
quantification of compound 97/78 and its active in vivo metabolite 97/63, a novel
1,2,4-trioxane antimalarial, in human plasma has been developed and validated
using alpha-arteether as internal standard (IS). Extraction from plasma involves
a simple protein precipitation method. The analytes were chromatographed on a
Columbus C18 column with guard by isocratic elution with acetonitrile:ammonium
acetate buffer (10 mM, pH 4.0) (80:20 v/v) as mobile phase at a flow rate of 0.45
mL min(-1) and analyzed in multiple reaction-monitoring (MRM) positive ion mode.
The chromatographic run time was 4.0 min. The weighted (1/x2) calibration curves
were linear over a range of 1.56-200 ng mL(-1) with correlation coefficients >
0.998. For both analytes, the limit of detection (LOD) and lower limit of
quantification (LLOQ) were 0.5 ng mL(-1) and 1.56 ng mL(-1), respectively. The
recovery of 97/78, 97/63 and IS from spiked control samples were > 90% and their
matrix suppression obtained were < 8 %. The accuracy (% bias) and precision
(%RSD) for both analytes were < 6.78%. Both analytes were stable after three
freeze-thaw cycles (% deviation < 12.80), long-term for 30 days in plasma at -60
degrees C (% deviation < 14.38), for 8 h on bench top in plasma at ambient
temperature (% deviation < 1.52) and also in the auto-sampler for 12 h (%
deviation < 3.9%). The validated method was successfully applied to a protein
binding study of compound 97/78 and metabolite 97/63 in human plasma.
Furthermore, the validated method will be applicable to pharmacokinetics,
bioavailability and metabolism in various clinical phases and in drug interaction
studies.
PMID- 21899213
TI - [Clinical pathology versus forensic pathology].
PMID- 21899214
TI - [Targeted therapy in lung cancer: molecular testing using cytological specimens].
AB - Important advances in lung cancer treatment have been made over the last decade.
Several drugs designed to target molecular pathways involved in cancer-cell
growth and survival have been shown to be effective in a selected fraction (<20%)
of non-small cell lung cancer patients. Somatic mutations in several genes (i.e.:
EGFR and KRAS) can predict patient's response to targeted therapies. Those
mutations are commonly detected on histopathological samples (core-needle biopsy/
surgical resection). However, when tissue biopsies are not available, molecular
testing has to be performed on cytological specimens. Issues raised by molecular
testing on cytological specimen are discussed in this article.
PMID- 21899215
TI - [Fine needle aspiration of the thyroid: the value of on-site evaluation].
AB - Thyroid nodules are very common in the general population and most of them are
benign. Fine needle aspiration (FNA) of the thyroid is routinely used because it
is a rapid, simple, accurate and cost-effective technique which allows the
adequate selection of patients who should be managed surgically. However, the
diagnostic yield of FNA is variable and depends of multiple factors including the
one who performs FNA, the aspiration and cytological slide preparation
techniques, and cytopathologist's experience. On-site evaluation of thyroid FNA
material and close clinico-pathological collaboration offer many advantages and
optimizes the yield of FNA.
PMID- 21899216
TI - [Trophoblastic diseases: a multidisciplinary approach, a first Swiss center].
AB - Trophoblastic diseases are rare and complex. The Center for trophoblastic
diseases, the first in Switzerland, was founded in Geneva in January 2009 to
formalize the collaboration between obstetricians-gynecologists, pathologists,
geneticists, radiologists and oncologists. At the physician's request and with
patient consent, an integrative diagnosis is proposed after centralized review of
the histological slides, anti-p57KIP2 immunohistochemistry, and ploidy analysis
by QF-PCR (Quantitative fluorescent polymerase chain reaction). The referring
physician receives treatment and beta-hCG dosage recommendations. This
pluridisciplinary diagnostic and therapeutic approach allows optimal surveillance
and treatment of patients.
PMID- 21899217
TI - [Lynch syndrome: when pathologist and clinician have the opportunity to reduce
the risk of developing cancer].
AB - Lynch syndrome is an autosomal dominant disease associated with an important risk
of cancer, mainly endometrial and colorectal-cancer. This risk can be efficiently
lessen by an appropriate screening as far as the mutations carriers are
identified. As current clinicopathological recommendations lack sensitivity, a
systematic pre-screening of every patient with a colorectal or endometrial cancer
can be proposed. Oncogenetic units of the HUG in Geneva and ICHV in Valais have
set up a population-based study to evaluate the efficacy of such a strategy.
Whatever the approach, the pathologist is directly implicated as Lynch syndrome
harbors specific histological aspects that can help to its identification, but
also as pre-screening tests are directly realized on tumor-tissue.
PMID- 21899218
TI - [Post-mortem angio-CT: a new diagnostic approach].
AB - Post-mortem CT-angiography is a minimally invasive exam that allows the
investigation of the vascular system in a very detailed way, impossible to
realize during conventional autopsy. The research group for post-mortem angio-CT
in Lausanne has developed a standardized protocol fora technique called "multi
phase post-mortem CT-angiography" that leads to an easy applicable performance of
the exam and an increased diagnostic value. Additionally, new equipment including
a perfusion device with single use sets as well as a special contrast agent for
post-mortem investigations has been created. Using this technique, angio-CT
permits to detect of the source of haemorrhages, vascular malformations,
arteriosclerotic lesions as well as vascular occlusions and to visualize the
vascular anatomy exactly.
PMID- 21899219
TI - [Positional asphyxia, a cause of death insufficiently known].
AB - Positional asphyxia (AP) is a fatal condition arising because of the adoption of
particular body positions, causing mechanical interference. Consequences are
important alveolar hypoventilation and cardiac hyperexcitability due to
respiratory acidosis in combination with extensive liberation of catecholamine
occurring in attracted individuals sustaining physical restrain. This syndrome
can occur in various circumstances and is mostly observed in situations with
physical restraint and in combination with excited delirium (ED). The diagnosis
is essentially based on three criteria: body position obstructing normal
breathable air exchange, impossibility to move to another position and exclusion
of other causes of natural or violent death.
PMID- 21899220
TI - [Screening for lung cancer in smokers].
PMID- 21899221
TI - [Genetic entertainment and coffee grounds].
PMID- 21899222
TI - [The daughter of Mrs. Z].
PMID- 21899223
TI - A message from the Editor-in-Chief.
PMID- 21899224
TI - Access with evidence development: an approach to introducing promising new
technologies into healthcare.
AB - The rapid development of new health technologies for which there is limited, but
promising, evidence has resulted in a daunting challenge - to provide care that
meets population health needs and optimizes patient outcomes, demonstrates an
efficient use of healthcare resources, and upholds basic principles of equity,
access, and choice. In this paper, we introduce 'Access with Evidence
Development' as a possible mechanism for addressing this challenge and discuss
its application to the "Zamboni procedure" for Multiple Sclerosis.
PMID- 21899225
TI - Patient choice systems and waiting times for scheduled services.
AB - Access to scheduled healthcare is a continuing challenge. A synthesis of the
international literature was conducted to examine the potential of patient choice
systems to reduce waiting times in Canada. A multitude of factors appear to
influence the actions and outcomes of patients, providers, and systems. For
choice systems to be effective, there must be uptake, which requires incentives
and supports. Choice should be considered as but one element of a comprehensive
waiting time management strategy.
PMID- 21899226
TI - The restructuring of institutional long-term care in St. John's: impact of supply
induced demand on planning.
AB - Restructuring of institutional long-term care was undertaken using predictions of
future bed need with assumptions made on incidence rates of clients defined by
type of disability, survival, and demographic changes. Recent substantial
increase in the population rate of clients seeking placement across all degrees
of disability, coincident with new facilities for those with modest disability,
occurred. Consequently, more appropriate housing and supervised care beds, and
more limited downsizing of nursing homes will be required.
PMID- 21899227
TI - The Top 30 Rising Stars Program: an inter-organizational approach to leadership
succession planning.
AB - An effective leadership development program is an organizational investment that
advances individual performance while strengthening organizational capabilities.
The Top 30 Rising Stars Program is a leadership succession program designed to
enable leadership capacity building within and across organizations. Key
components of the program include formal learning, stretch opportunities, and
mentorship. Evaluation results reveal high participant satisfaction and an
increase in reported self-confidence in their ability to assume a formal
leadership position.
PMID- 21899228
TI - Capacity building in residential care.
AB - A retrospective analysis of how the Dementia Care Program (DCP) contributed to
capacity building at The Lodge at Broadmead (2004-2009) was conducted in 2010.
Results showed facility-wide enhancements in (1) information capital, because of
educational workshops and other forms of interactive learning for all staff, and
(2) social capital, because of evaluation and information-sharing. It was
concluded that the DCP increased staff's capacity to deliver best practice
dementia care.
PMID- 21899229
TI - Client satisfaction in support of service planning within a regulatory framework:
experience of a research hospital.
AB - Research Operations at UHN provide services to a large, complex client research
community with varying needs. This requires complex coordination and continual
refining of service and resource targets to meet client, government and external
stakeholder requirements. The UHN Research Operations model focuses on six key
enablers: client education, service team expertise, direct communication, process
improvement, quality assurance, and, systems and tools. Service departments that
have deployed these enablers experience improved client satisfaction.
PMID- 21899230
TI - Ethical leadership in action.
PMID- 21899231
TI - Evidence-based practice for staff nurses.
AB - BACKGROUND: Barriers to the involvement of staff nurses in evidence-based
practice include time commitments, staffing challenges, and limited experience in
identifying evidence. METHODS: A 1-day, hands-on course focused on small,
achievable, evidence-based practice projects; follow-up after the course by a
project director; and commitment to implementation of participants' projects by
management. Faculty included a librarian who helped with computer searches and a
quality assurance representative to facilitate availability of institutional data
for project evaluation. RESULTS: Nine courses held over a 3-year period involved
79 home institution nurses and 76 community nurses. Course evaluations were
overwhelmingly positive. Completed projects were displayed on participants' units
and circulated throughout the institution. The courses are continuing as part of
the regular annual nursing education calendar. CONCLUSION: Streamlined approaches
to teaching evidence-based practice can be used to involve staff nurses and raise
awareness of evidence-based practice across nursing. Key positive findings
include achievable projects, provisions for follow-up, and management support.
PMID- 21899232
TI - Evaluating the effectiveness of a clinical preceptorship program for registered
nurses in jordan.
AB - PURPOSE: The authors implemented and evaluated a preceptor training program to
prepare registered nurses to become preceptors and to establish a nursing
preceptor training program to promote knowledge of preceptorship among Jordanian
nurses. METHODS: A true experimental design was used. The sample consisted of 68
registered nurses recruited randomly from governmental, private, and university
hospitals. A sociodemographic data form and a questionnaire on nurses' knowledge
about preceptorship were developed for self-administration. RESULTS: The study
results showed that the difference between the experimental (n = 30, M = 33/41,
SD = 4.5) and control (n = 38, M = 26/41, SD = 4.6) groups after implementation
of the preceptorship program was statistically significant (t = 5.5, df = 66, p =
.000). CONCLUSION: The preceptorship program showed significant improvement in
participants' knowledge of clinical teaching.
PMID- 21899233
TI - Radiologic case study. Musculoskeletal actinomycosis.
PMID- 21899234
TI - Current concepts in joint replacement.
PMID- 21899235
TI - The use of the lotus position during spica cast application for the treatment of
developmental dysplasia of the hip: a technical note.
AB - Closed reduction and application of a spica cast is a standard treatment in
children younger than 18 months. Proper abduction and flexion is crucial during
cast application. The surgeon, who usually stands holding the lower limbs, often
finds this position cumbersome and difficult to control limb position. During the
past 6 years, we have used the lotus (crossed legs) position for the surgeon to
achieve better control on hip flexion and abduction, therefore minimizing
possible complications such as osteonecrosis of the femoral head.
PMID- 21899236
TI - Flexor tendon injuries.
PMID- 21899237
TI - Drug shortages: causes and cautions.
AB - Drug shortages have increasingly been a problem for pharmacists and clinicians
over the past decade. Clinicians need to be aware of the various causes of drug
shortages and the issues that may arise as a result, particularly as they relate
to medication safety. Numerous resources and strategies are available to mitigate
the effects of drug shortages, and clinicians should work with their health care
team and the patient to determine the best option when faced with a drug shortage
that affects patient care.
PMID- 21899238
TI - Management of talar neck fractures.
AB - Talar neck fractures are usually the result of high-energy trauma. It remains
controversial whether talar neck fractures require emergent treatment. Most
surgeons recommend the use of dual surgical approaches, anteromedial and
anterolateral, to allow accurate visualization and anatomic reduction. It is
important to carefully preserve any remaining talar blood supply. Obtaining
satisfactory clinical results, while avoiding complications, presents a unique
challenge in the treatment of talar neck fractures. Common complications include
posttraumatic arthritis, avascular necrosis, malunion, and nonunion.
PMID- 21899240
TI - Advances in astigmatism management.
PMID- 21899241
TI - Secondary ectasia due to forceps injury at childbirth: management with combined
topography-guided partial PRK and collagen cross-linking (Athens Protocol) and
subsequent phakic IOL implantation.
PMID- 21899242
TI - Vincenz Fukala (1847-1911) and the early history of clear-lens surgery in high
myopia.
PMID- 21899243
TI - Analysis of the relationship between drusen size and drusen area in eyes with age
related macular degeneration.
AB - BACKGROUND AND OBJECTIVE: To examine the relationship between drusen counts and
drusen area in eyes with age-related macular degeneration, and to correlate
drusen areas between fellow eyes. PATIENTS AND METHODS: Digital images from 378
patients (756 eyes) were analyzed using a validated drusen detection algorithm.
Total drusen area and the number of drusen of various sizes (small: < 62 microns,
intermediate: 63-124 microns, large: 125-249 microns, etc) were recorded for the
central 1,000- and 3,000-micron diameter macular regions. Correlations were
assessed using structural equation models. RESULTS: For the 1,000-micron region,
the number of intermediate drusen was more highly correlated to total drusen area
than the number of large drusen (R = 0.91 vs 0.82); this difference was
statistically significant. The correlation coefficients for drusen area between
fellow eyes was 0.73. CONCLUSION: The number of large drusen does not correlate
better with total drusen area than drusen of other sizes. The number of large
drusen is not necessarily a good surrogate for total drusen area.
PMID- 21899245
TI - Comparison of non-contact methods for the measurement of central corneal
thickness.
AB - BACKGROUND AND OBJECTIVE: This study examined the repeatability of and agreements
between central corneal thickness measurements obtained by four different non
contact pachymetry devices. PATIENTS AND METHODS: Seventy-eight eyes of 39
subjects were included. Central corneal thickness of each eye was measured by
Visante optical coherence tomography (OCT) (Carl Zeiss Meditec Inc., Dublin, CA),
Pentacam (Oculus Optikgerate GmbH, Wetzlar, Germany), Orbscan IIz topography
(Bausch & Lomb Surgical Inc., San Dimas, CA), and slit-lamp OCT (SL-OCT)
(Heidelberg Engineering GmbH, Heidelberg, Germany). Inter-device agreements and
correlations and repeatability of each device were examined. RESULTS: All
measurement methods correlated well with each other with a correlation
coefficient greater than 0.90 and P value of less than .001 for all comparisons.
However, Pentacam overestimated central corneal thickness: 546.7 +/- 38.2, 535.5
+/- 42.7, 531.7 +/- 37.6, and 531.2 +/- 36.0 MUm for Pentacam, Orbscan IIz,
Visante OCT, and SL-OCT, respectively (P < .001 for all comparisons versus
Pentacam). Despite good correlation, magnitude of differences was high and this
bias was proportional (ie, not constant across a range of corneal thickness
values) for the following pairs: Orbscan versus Visante OCT, Orbscan versus SL
OCT, and Orbscan versus Pentacam (P < .001 for all comparisons). CONCLUSION:
Although measurements obtained by various non-contact methods correlate well,
numerical agreement of the results may not be sufficient for their
interchangeable use in clinical practice.
PMID- 21899244
TI - Corneal endothelial cell changes after Ahmed valve and Molteno glaucoma implants.
AB - BACKGROUND AND OBJECTIVE: Changes in corneal endothelial cell (CEC) indices 24
months after Ahmed valve (New World Medical, Inc., Rancho Cucamonga, CA) and
single-plate Molteno implants (Molteno Ophthalmic Limited, Dunedin, New Zealand)
were evaluated. PATIENTS AND METHODS: This cohort included Ahmed valve (29 eyes)
or single-plate Molteno (28 eyes) implants. Preoperative and postoperative
central CEC indices were compared. Main outcome measure was endothelial cell
count. RESULTS: Twenty-four months postoperatively, no difference in visual
acuity improvement or decrease in antiglaucoma medications was observed between
groups. The Molteno group showed better postoperative intraocular pressure
control (P < .001). An 11.52% (Ahmed) and 12.37% (Molteno) reduction in CEC
density (cells/mm(2)) and 3.78 (Ahmed) and 2.48 (Molteno) increase in CEC area
(mm(2)) was observed, but no significant between-group difference in CEC density
and area or corneal thickness. CONCLUSION: Twenty-four months after Ahmed valve
or Molteno implant, statistically significant quantitative (cell density) and
minor qualitative (cell area) changes in central CEC were observed. Both groups
appeared to have similar CEC damage.
PMID- 21899246
TI - Between-grader repeatability of tear meniscus measurements using Fourier-domain
OCT in patients with dry eye.
AB - BACKGROUND AND OBJECTIVE: To examine the between-grader repeatability of height,
depth, and cross-sectional area measurements of the lower tear meniscus, using a
Fourier-domain optical coherence tomography (OCT) system. PATIENTS AND METHODS: A
total of 16 patients with dry eye had the lower tear meniscus of the right eye
imaged twice in rapid succession. The tear meniscus height, depth, and cross
sectional area were measured by two masked graders using computer calipers. The
between-grader variability, calculated using the pooled coefficient of variation
(CV%), assessed the repeatability of the measurements. RESULTS: The between
grader CV% was 12.1%, 15.7%, and 19.5% for height, depth, and area, respectively.
The between-image variability was 17.1%, 13.4%, and 35.4% for height, depth, and
area, respectively. The overall intraclass correlation was 99%. There was no
systematic bias between the two graders. CONCLUSION: Fourier-domain OCT
demonstrates good between-grader and between-image repeatability in measuring the
height, depth, and cross-sectional area of the tear meniscus in patients with dry
eye. Measurement variability was primarily due to the difference between images
rather than graders.
PMID- 21899247
TI - Comparison of complication rates of porous anophthalmic orbital implants.
AB - BACKGROUND AND OBJECTIVE: Porous anophthalmic orbital implants are used widely.
This study evaluates risk factors for porous anophthalmic orbital implant
complications and compares complication rates of hydroxyapatite, porous
polyethylene, and polyglactin mesh-wrapped aluminum oxide implants. PATIENTS AND
METHODS: The records of 105 patients (110 eyes) who received porous anophthalmic
orbital implants for any indication were reviewed retrospectively. Complications
were recorded and correlated with potential risk factors, including implant
material. All patient records were de-identified to protect privacy. RESULTS:
Porous polyethylene and aluminum oxide implants were associated with higher
exposure rates (porous polyethylene: odds ratio 6.1 [1.29, 29.1]; aluminum oxide:
odds ratio 6.0 [1.58, 23.1]; P = .004) and higher overall complication rates
compared to hydroxyapatite implants. CONCLUSION: Implant material may be a risk
factor for several anophthalmic clinical outcomes.
PMID- 21899248
TI - Spectral-domain optical coherence tomography features of mild and severe acute
solar retinopathy.
AB - Photochemical/thermal retinal damage that results from unprotected solar eclipse
viewing has vague presentations and sometimes misleading diagnosis, especially in
cases with unclear history. Spectral-domain optical coherence tomography (SD-OCT)
is a non-invasive imaging technique useful in differential diagnosis that can
reveal characteristic foveal alterations in solar retinopathy to an unprecedented
quasi histologic level. The authors present high-resolution SD-OCT findings
correlated with clinical findings in three eyes of two cases with acute solar
retinopathy. SD-OCT can precisely define the site and extent of damage in acute
solar retinopathy. In mild forms, damage may be limited to the outer retina with
inner segment/outer segment disruption. In severe forms, full thickness macular
damage may be seen. Advances in retinal imaging have improved our ability to
provide precise correlation with clinical presentation and prognosis.
PMID- 21899249
TI - Intravitreal ganciclovir and dexamethasone as adjunctive therapy in the
management of acute retinal necrosis caused by varicella zoster virus.
AB - A 40-year-old man presented with a visual acuity of 20/400 in his right eye due
to acute retinal necrosis involving two inferior quadrants. Diagnostic vitreous
tap was positive for varicella zoster virus and he received intravitreal
injections of ganciclovir (2 mg/0.1 mL) and dexamethasone (400 mcg/0.1 mL). Oral
prednisone was added on day 3 and tapered over 3 months. Lesions showed
pigmentation around day 5 and healed by day 9. He developed vitreous hemorrhage 5
months after presentation and was treated with 25-gauge pars plana vitrectomy
combined with panretinal photocoagulation. Final follow-up at 7 months showed a
visual acuity of 20/30, mild optic atrophy, narrow arteries, healed retinal
lesions, and good panretinal photocoagulation. Intravitreal injections of
dexamethasone and ganciclovir may have a role as an adjunctive therapy in the
management of patients with acute retinal necrosis, particularly those caused by
varicella zoster virus.
PMID- 21899250
TI - Retinopathy associated with pegylated interferon and ribavirin causing permanent
visual impairment in a patient with chronic hepatitis C.
AB - Retinopathy associated with pegylated interferon and ribavirin is a known
complication of this therapy, and is typically bilateral and asymptomatic. Few
patients complain of visual disturbance, and only rarely is there permanent
visual impairment after the retinopathy resolves. A 65-year-old man presented
with bilateral reduced visual acuity. Treatment with pegylated interferon and
ribavirin was initiated 12 weeks prior due to chronic hepatitis virus C. On
examination, multiple cotton-wool spots were noticed bilaterally, and visual
field testing demonstrated decreased sensitivity. Treatment was stopped and the
retinopathy was followed up for 4 months by ophthalmic examination and high
resolution optical coherence tomography. The cotton-wool spots resolved and high
resolution optical coherence tomography demonstrated restoration of normal
retinal configuration. Visual acuity and visual field testing improved over 4
months, but did not return to their baseline values. This is a rare case of
permanent visual impairment caused by retinopathy associated with pegylated
interferon and ribavirin.
PMID- 21899251
TI - Fluorescence correlation spectroscopy: an efficient tool for measuring size, size
distribution and polydispersity of microemulsion droplets in solution.
AB - Fluorescence correlation spectroscopy (FCS) is an ideal tool for measuring
molecular diffusion and size under extremely dilute conditions. However, the
power of FCS has not been utilized to its best to measure diffusion and size
parameters of complex chemical systems. Here, we apply FCS to measure the size,
and, most importantly, the size distribution and polydispersity of a
supramolecular nanostructure (i.e., microemulsion droplets, MEDs) in dilute
solution. It is shown how the refractive index mismatch of a solution can be
corrected in FCS to obtain accurate size parameters of particles, bypassing the
optical matching problem of light scattering techniques that are used often for
particle-size measurements. We studied the MEDs of 13 different W(0) values from
2 to 50 prepared in a ternary mixture of water, sodium bis(2-ethylhexyl)
sulfosuccinate (AOT), and isooctane, with sulforhodamine-B as a fluorescent
marker. We find that, near the optical matching point of MEDs, the dynamic light
scattering (DLS) measurements underestimate the droplet sizes while FCS estimates
the accurate ones. A Gaussian distribution model (GDM) and a maximum-entropy
based FCS data fitting model (MEMFCS) are used to analyze the fluorescence
correlation curves that unfold Gaussian-type size distributions of MEDs in
solution. We find the droplet size varies linearly with W(0) up to ~20, but
beyond this W(0) value, the size variation deviates from this linearity. To
explain nonlinear variation of droplet size for W(0) values beyond ~20, we invoke
a model (the coated-droplet model) that incorporates the size polydispersity of
the droplets.
PMID- 21899252
TI - Computational study on the reaction pathway of alpha-bromoacetophenones with
hydroxide ion: possible path bifurcation in the addition/substitution mechanism.
AB - The reaction of an alpha-haloketone with a nucleophile has three reaction
channels: carbonyl addition, direct substitution, and proton abstraction. DFT
calculations for the reaction of PhCOCH(2)Br with OH(-) showed that there exists
an addition/substitution TS on the potential energy surface, in which OH(-)
interacts with both the alpha- and carbonyl carbons. The intrinsic reaction
coordinate calculations revealed that the TS serves as the TS for direct
substitution for XC(6)H(4)COCH(2)Br with an electron-donating X or a X less
electron-withdrawing than m-Cl, whereas the TS serves as the TS for carbonyl
addition for derivatives with a X more electron-withdrawing than m-CF(3).
Trajectory calculations starting at respective TS indicated that the single TS
can serve for the two mechanisms, substitution and addition, through path
bifurcation after the TS for borderline substrates. The reaction is the first
example of dynamic path bifurcation for fundamental reaction types of carbonyl
addition and substitution.
PMID- 21899253
TI - Unprecedented binary Cu(I)/Cu(II) catalyzed one-pot, three-component synthesis
and evaluation of luminescent property of 2-amino-3-iminoethenylidene-2
indolones: a new class of merocyanine dye analogues.
AB - A facile and efficient binary Cu(I)/Cu(II) catalyzed one-pot, three-component
synthesis of 2-amino-3-iminoethenylidene-2-indolones in excellent yield has been
achieved. Remarkably, these newly synthesized, stable merocyanine dye analogues
showed strong luminescence in the blue region with large Stokes shifts.
PMID- 21899254
TI - Zinc tetrafluoroborate hydrate as a mild catalyst for epoxide ring opening with
amines: scope and limitations of metal tetrafluoroborates and applications in the
synthesis of antihypertensive drugs (RS)/(R)/(S)-metoprolols.
AB - The scope and limitations of metal tetrafluoroborates have been studied for
epoxide ring-opening reaction with amines, and Zn(BF(4))(2).xH(2)O has been found
to be a mild and efficient catalyst affording high yields under solvent-free
conditions at rt with excellent chemo-, regio-, and stereoselectivities. The
catalytic efficiency followed the order Zn(BF(4))(2).xH(2)O ? Cu(BF(4))(2).xH(2)O
> Co(BF(4))(2).6H(2)O ? Fe(BF(4))(2).6H(2)O > LiBF(4) for reactions with
cyclohexene oxide and Zn(BF(4))(2).xH(2)O ? Co(BF(4))(2).6H(2)O ?
Fe(BF(4))(2).6H(2)O > Cu(BF(4))(2).xH(2)O for stilbene oxide, but AgBF(4) was
ineffective. For reaction of styrene oxide with aniline, the metal
tetrafluoroborates exhibited comparable regioselectivity (1:99-7:93) with
preferential reaction at the benzylic carbon of the epoxide ring. A reversal of
regioselectivity (91:1-69:31) in favor of the reaction at the terminal carbon of
the epoxide ring was observed for reaction with morpholine. The regioselectivity
was dependent on the electronic and steric factors of the epoxide and the pK(a)
of the amine and independent of amine nucleophilicity. The role of the metal
tetrafluoroborates is envisaged as "electrophile nucleophile dual activation"
through cooperativity of coordination, charge-charge interaction, and hydrogen
bond formation that rationalizes the catalytic efficiency, substrate reactivity,
and regioselectivity. The methodology was used for synthesis of cardiovascular
drug metoprolol as racemic and enriched enantiomeric forms.
PMID- 21899255
TI - Predicting the age and type of tuocha tea by fourier transform infrared
spectroscopy and chemometric data analysis.
AB - Fourier transform infrared (FTIR) spectroscopy combined with chemometric
multivariate methods was proposed to discriminate the type (unfermented and
fermented) and predict the age of tuocha tea. Transmittance FTIR spectra ranging
from 400 to 4000 cm(-1) of 80 fermented and 98 unfermented tea samples from
Yunnan province of China were measured. Sample preparation involved finely
grinding tea samples and formation of thin KBr disks (under 120 kg/cm(2) for 5
min). For data analysis, partial least-squares (PLS) discriminant analysis
(PLSDA) was applied to discriminate unfermented and fermented teas. The
sensitivity and specificity of PLSDA with first-derivative spectra were 93 and
96%, respectively. Multivariate calibration models were developed to predict the
age of fermented and unfermented teas. Different options of data preprocessing
and calibration models were investigated. Whereas linear PLS based on standard
normal variate (SNV) spectra was adequate for modeling the age of unfermented tea
samples (RMSEP = 1.47 months), a nonlinear back-propagation-artificial neutral
network was required for calibrating the age of fermented tea (RMSEP = 1.67
months with second-derivative spectra). For type discrimination and calibration
of tea age, SNV and derivative preprocessing played an important role in reducing
the spectral variations caused by scattering effects and baseline shifts.
PMID- 21899257
TI - Si-based flexible memristive systems constructed using top-down methods.
AB - Si-based memristive systems consisting of Ag, amorphous Si, and heavily doped p
type Si nanowires were successfully constructed on plastic substrates through top
down methods, including the crystallographic wet etching of Si wafers, transfer
onto plastic substrates, and thin film patterning. The memristive systems showed
excellent memory characteristics and flexibility, such as intrinsic hysteric and
rectifying behaviors, on/off resistance ratios of >1 * 10(5), and durability for
up to 1000 bending cycles. The correlations between the Ag-filament-related
nanostructures formed in amorphous Si and the resistance-switching behaviors were
carefully examined with the tunneling current model, transmission electron
microscopy, and secondary ion mass spectroscopy to explore the switching
mechanism. Our study suggests the promising potential of the Si-based memristive
systems for the development of next-generation flexible nonvolatile memory.
PMID- 21899256
TI - Hexose transporter GLUT1 harbors several distinct regulatory binding sites for
flavones and tyrphostins.
AB - The facilitative hexose transporter GLUT1 activity is blocked by tyrosine kinase
inhibitors that include natural products such as flavones and isoflavones and
synthetic compounds such as tyrphostins, molecules that are structurally
unrelated to the transported substrates [Vera, et al. (2001) Biochemistry, 40,
777-790]. Here we analyzed the interaction of GLUT1 with quercetin (a flavone),
genistein (an isoflavone), and tyrphostin A47 and B46 to evaluate if they share
one common or have several binding sites on the protein. Kinetic assays showed
that genistein, quercetin, and tyrphostin B46 behave as competitive inhibitors of
equilibrium exchange and zero-trans uptake transport and noncompetitive
inhibitors of net sugar exit out of human red cells, suggesting that they
interact with the external surface of the GLUT1 molecule. In contrast, tyrphostin
A47 was a competitive inhibitor of equilibrium exchange and zero-trans exit
transport and a noncompetitive inhibitor of net sugar entry into red cells,
suggesting that it interacts with the cytoplasmic surface of the transporter.
Genistein protected GLUT1 against iodide-elicited fluorescence quenching and also
decreased the affinity of d-glucose for its external binding site, while
quercetin and tyrphostins B46 and A47 promoted fluorescence quenching and did not
affect the external d-glucose binding site. These findings are explained by a
carrier that presents at least three binding sites for tyrosine kinase
inhibitors, in which (i) genistein interacts with the transporter in a
conformation that binds glucose on the external surface (outward-facing
conformation), in a site which overlaps with the external binding site for d
glucose, (ii) quercetin and tyrphostin B46 interact with the GLUT1 conformation
which binds glucose by the internal side of the membrane (inward-facing
conformation), but to a site accessible from the external surface of the protein,
and (iii) the binding site for tyrphostin A47 is accessible from the inner
surface of GLUT1 by binding to the inward-facing conformation of the transporter.
These data provide groundwork for a molecular understanding of how the tyrosine
kinase inhibitors directly affect glucose transport in animal cells.
PMID- 21899259
TI - A criterion for anomalous melting in systems with isotropic interactions.
AB - The relationship between anomalous (re-entrant) melting and the features of the
repulsive part of the intermolecular potential is studied in one-component
systems with radially symmetric interactions. By making use of the Lennard-Jones
Devonshire cell model, we derive a single-phase criterion for the occurrence of a
temperature maximum in the melting line. The criterion is tested against
numerical simulation results for a number of isotropic interaction models.
PMID- 21899258
TI - Direct, metal-free amination of heterocyclic amides/ureas with NH-heterocycles
and N-substituted anilines in POCl3.
AB - A POCl(3)-mediated, direct amination reaction of heterocyclic amides/ureas with
NH-heterocycles or N-substituted anilines is described. Compared to the existing
methods, this operationally simple protocol provides unique reactivity and
functional group compatibility because of the metal-free, acidic reaction
conditions. The yields are generally excellent.
PMID- 21899260
TI - Molecular dynamics simulations of the structural and thermodynamic properties of
imidazolium-based ionic liquid mixtures.
AB - In this work, extensive molecular dynamics simulations of mixtures of alcohols of
several chain lengths (methanol and ethanol) with the ionic liquids (ILs)
composed of the cation 1-hexyl-3-methylimidazolium and several anions of
different hydrophobicity degrees (Cl(-), BF(4)(-), PF(6)(-)) are reported. We
analyze the influence of the nature of the anion, the length of the molecular
chain of the alcohol, and the alcohol concentration on the thermodynamic and
structural properties of the mixtures. Densities, excess molar volumes, total and
partial radial distribution functions, coordination numbers, and hydrogen bond
degrees are reported and analyzed for mixtures of the ILs with methanol and
ethanol. The aggregation process is shown to be highly dependent on the nature of
the anion and the size of the alcohol, since alcohol molecules tend to interact
predominantly with the anionic part of the IL, especially in mixtures of the
halogenated IL with methanol. Particularly, our results suggest that the
formation of an apolar network similar to that previously reported in mixtures of
ILs with water does not take place in mixtures with alcohol when the chloride
anion is present, the alcohol molecules being instead homogeneously distributed
in the polar network of IL. Moreover, the alcohol clusters formed in mixtures of
[HMIM][PF(6)] with alcohol were found to have a smaller size than in mixtures
with water. Additionally, we provide a semiquantitative analysis of the
dependence of the hydrogen bonding degree of the mixtures on the alcohol
concentration.
PMID- 21899261
TI - The E. coli monothiol glutaredoxin GrxD forms homodimeric and heterodimeric FeS
cluster containing complexes.
AB - Monothiol glutaredoxins (mono-Grx) represent a highly evolutionarily conserved
class of proteins present in organisms ranging from prokaryotes to humans. Mono
Grxs have been implicated in iron sulfur (FeS) cluster biosynthesis as potential
scaffold proteins and in iron homeostasis via an FeS-containing complex with
Fra2p (homologue of E. coli BolA) in yeast and are linked to signal transduction
in mammalian systems. However, the function of the mono-Grx in prokaryotes and
the nature of an interaction with BolA-like proteins have not been established.
Recent genome-wide screens for E. coli genetic interactions reported the
synthetic lethality (combination of mutations leading to cell death; mutation of
only one of these genes does not) of a grxD mutation when combined with strains
defective in FeS cluster biosynthesis (isc operon) functions [Butland, G., et al.
(2008) Nature Methods 5, 789-795]. These data connected the only E. coli mono
Grx, GrxD to a potential role in FeS cluster biosynthesis. We investigated GrxD
to uncover the molecular basis of this synthetic lethality and observed that GrxD
can form FeS-bound homodimeric and BolA containing heterodimeric complexes. These
complexes display substantially different spectroscopic and functional
properties, including the ability to act as scaffold proteins for intact FeS
cluster transfer to the model [2Fe-2S] acceptor protein E. coli apo-ferredoxin
(Fdx), with the homodimer being significantly more efficient. In this work, we
functionally dissect the potential cellular roles of GrxD as a component of both
homodimeric and heterodimeric complexes to ultimately uncover if either of these
complexes performs functions linked to FeS cluster biosynthesis.
PMID- 21899262
TI - Complexity generation in fungal peptidyl alkaloid biosynthesis: oxidation of
fumiquinazoline A to the heptacyclic hemiaminal fumiquinazoline C by the
flavoenzyme Af12070 from Aspergillus fumigatus.
AB - The human pathogen Aspergillus fumigatus makes a series of fumiquinazoline (FQ)
peptidyl alkaloids of increasing scaffold complexity using L-Trp, 2 equiv of L
Ala, and the non-proteinogenic amino acid anthranilate as building blocks. The FQ
gene cluster encodes two non-ribosomal peptide synthetases (NRPS) and two
flavoproteins. The trimodular NRPS Af12080 assembles FQF (the first level of
complexity) while the next two enzymes, Af12060 and Af12050, act in tandem in an
oxidative annulation sequence to couple alanine to the indole side chain of FQF
to yield the imidazolindolone-containing FQA. In this study we show that the
fourth enzyme, the monocovalent flavoprotein Af12070, introduces a third layer of
scaffold complexity by converting FQA to the spirohemiaminal FQC, presumably by
catalyzing the formation of a transient imine within the pyrazinone ring (and
therefore acting in an unprecedented manner as an FAD-dependent amide oxidase).
FQC subsequently converts nonenzymatically to the known cyclic aminal FQD. We
also investigated the effect of substrate structure on Af12070 activity and
subsequent cyclization with a variety of FQA analogues, including an FQA
diastereomer (2'-epi-FQA), which is an intermediate in the fungal biosynthesis of
the tremorgenic tryptoquialanine. 2'-epi-FQA is processed by Af12070 to epi-FQD,
not epi-FQC, illustrating that the delicate balance in product cyclization
regiochemistry can be perturbed by a remote stereochemical center.
PMID- 21899263
TI - Hydrogen/deuterium exchange and electron-transfer dissociation mass spectrometry
determine the interface and dynamics of apolipoprotein E oligomerization.
AB - Apolipoprotein E, a 34 kDa protein, plays a key role in triglyceride and
cholesterol metabolism. Of the three common isoforms (ApoE2, -3, and -4), only
ApoE4 is a risk factor for Alzheimer's disease. All three isoforms of wild-type
ApoE self-associate to form oligomers, a process that may have functional
consequences. Although the C-terminal domain, residues 216-299, of ApoE is
believed to mediate self-association, the specific residues involved in this
process are not known. Here we report the use of hydrogen/deuterium exchange
(H/DX) coupled with enzymatic digestion to identify those regions in the sequence
of full-length apoE involved in oligomerization. For this determination, we
compared the results of H/DX of the wild-type proteins and those of monomeric
forms obtained by modifying four residues in the C-terminal domain. The three
wild-type and mutant isoforms show similar structures based on their similar H/DX
kinetics and extents of exchange. Regions of the C-terminus (residues 230-270) of
the ApoE isoforms show significant differences of deuterium uptake between
oligomeric and monomeric forms, confirming that oligomerization occurs at these
regions. To achieve single amino acid resolution, we examined the extents of H/DX
by using electron transfer dissociation (ETD) fragmentation of peptides
representing selected regions of both the monomeric and the oligomeric forms of
ApoE4. From these experiments, we could identify the specific residues involved
in ApoE oligomerization. In addition, our results verify that ApoE4 is composed
of a compact structure at its N-terminal domain. Regions of C-terminal domain,
however, appear to lack defined structure.
PMID- 21899265
TI - Honeycomb-patterned film segregated with phenylboronic acid for glucose sensing.
AB - Phenylboronic acid (PBA)-functionalized materials have attracted considerable
attention because of their potential applications in many fields. In this paper,
we report a PBA-segregated honeycomb-patterned porous film (HPPF) for glucose
sensing. Polystyrene-block-poly(acrylic acid-co-acrylamidophenylboronic acid)
with different contents of PBA pendants was synthesized via atom transfer radical
polymerization (ATRP) followed by a coupling reaction. PBA-functionalized HPPFs
were then fabricated by the breath figure method. Results indicate that the
composition of the copolymers and the relative humidity play key roles in pore
size and regularity of the films. Using Alizarin Red S (ARS) that does not emit
fluorescence itself as a fluorescent probe, it is confirmed that PBA pendants are
mainly distributed at the pore wall, instead of at the outer surface of HPPFs.
This distribution is caused by the segregation of hydrophilic PBA-blocks toward
the condensed water droplets, which act as templates for the pore formation.
Quartz crystal microbalance results demonstrate that the PBA-functionalized HPPFs
show high sensitivity in glucose sensing, which is owing to the segregation of
PBA pendants at the pore wall as well as the large specific surface area of the
porous films.
PMID- 21899264
TI - Nitrocapsanthin and nitrofucoxanthin, respective products of capsanthin and
fucoxanthin reaction with peroxynitrite.
AB - The in vitro reactivity of capsanthin (1) and fucoxanthin (2) with peroxynitrite
was investigated, and the reaction products produced by scavenging with
peroxynitrite were analyzed. (14'Z)-Nitrocapsanthin (3) and 12-nitrocapsanthin
(4) were isolated from the products of the reaction of capsanthin with
peroxynitrite. Similarly, (14Z)-15-nitrofucoxanthin (5), (11Z)-11
nitrofucoxanthin (6), and (14Z,9'Z)-15-nitrofucoxanthin (7) were obtained from
the reaction of peroxynitrite reaction with fucoxanthin. Capsanthin and
fucoxanthin inhibited the nitration of tyrosine by peroxynitrite. Furthermore,
nitrocapsanthins (3 and 4) and nitrofucoxanthins (5 and 6) exhibited an
inhibitory effect on Epstein-Barr virus early antigen activation in Raji cells
and an antiproliferative effect on human pancreatic carcinoma. Moreover,
nitrocapsanthins (3 and 4) inhibited carcinogensis of mouse skin tumors initiated
by 7,12-dimethylbenz[a]anthracene (DMBN).
PMID- 21899266
TI - The cephalostatins. 21. Synthesis of bis-steroidal pyrazine rhamnosides (1).
AB - The synthesis of bis-steroidal pyrazines derived from 3-oxo-11,21-dihydroxypregna
4,17(20)-diene (4) and glycosylation of a D-ring side chain with alpha-L-rhamnose
have been summarized. Rearrangement of steroidal pyrazine 10 to 14 was found to
occur with boron triflouride etherate. Glycosylation of pyrazine 10 using 2,3,4
tri-O-acetyl-alpha-L-rhamnose iodide led to 1,2-orthoester-alpha-L-rhamnose
pyrazine 17b. By use of a persilylated alpha-L-rhamnose iodide as donor,
formation of the orthoester was avoided. Bis-steroidal pyrazine 10 and
rhamnosides 17b and 21c were found to significantly inhibit cancer cell growth in
a murine and human cancer cell line panel. Pyrazine 9 inhibited growth of the
nosocomial pathogen Enterococcus faecalis.
PMID- 21899267
TI - Antibacterial acylphloroglucinols from Hypericum olympicum.
AB - New antibacterial acylphloroglucinols (1-5) were isolated and characterized from
the aerial parts of the plant Hypericum olympicum L. cf. uniflorum. The
structures of these compounds were confirmed by extensive 1D- and 2D-NMR
experiments to be 4,6-dihydroxy-2-O-(3",7"-dimethyl-2",6"-octadienyl)-1-(2'
methylbutanoyl)benzene (1), 4,6-dihydroxy-2-O-(7"-hydroxy-3",7"-dimethyl-2",5"
octadienyl)-1-(2'-methylbutanoyl)benzene (2), 4,6-dihydroxy-2-O-(6"-hydroxy-3",7"
dimethyl-2",7"-octadienyl)-1-(2'-methylbutanoyl)benzene (3), 4,6-dihydroxy-2-O
(6"-hydroperoxy-3",7"-dimethyl-2",7"-octadienyl)-1-(2'-methylbutanoyl)benzene
(4), and 4,6-dihydroxy-2-O-(6",7"-epoxy-3",7"-dimethyloct-2"-enyl)-1-(2'
methylbutanoyl)benzene (5). These new natural products have been given the
trivial names olympicins A-E (1-5). All compounds were evaluated against a panel
of methicillin-resistant Staph. aureus and multidrug-resistant strains of Staph.
aureus. Compound 1 exhibited minimum inhibitory concentrations (MICs) of 0.5-1
mg/L against the tested Staph. aureus strains. Compounds 2 to 5 were also shown
to be active, with MICs ranging from 64 to 128 mg/L. Compound 1 was synthesized
using a simple four-step method that can be readily utilized to give a number of
structural analogues of 1.
PMID- 21899268
TI - (2S,3S)-sulfated pterosin C, a cytotoxic sesquiterpene from the Bangladeshi
mangrove fern Acrostichum aureum.
AB - Two new sesquiterpenes, (2R,3S)-sulfated pterosin C (1) and (2S,3S)-sulfated
pterosin C (2), along with two known derivatives, (2S,3S)-pterosin C and (2R)
pterosin P, were isolated from a methanolic extract of the aerial parts of
Acrostichum aureum. The structures of 1 and 2 were determined by the
interpretation of their spectroscopic data. The isolated pterosins were evaluated
for their cytotoxic activity against the AGS, HT-29, MDA-MB-231, and MCF-7 human
cancer cell lines and the NIH3T3 normal mouse fibroblast cell line, using the MTT
assay. Compound 2 showed IC50 values in the range 23.9-68.8 MUM. The lowest IC50
value (23.9 MUM) was recorded against AGS gastric adenocarcinoma cells. Compound
2 was found to exert an apoptotic effect on AGS cells within 24 h of treatment,
which increased with time and was greater than the positive control,
cycloheximide. The cytotoxicity of 2 seems to be due in part to the sulfate group
on C-14 and the configuration at C-2.
PMID- 21899269
TI - Protective effects of luteolin against apoptotic liver damage induced by D
galactosamine/lipopolysaccharide in mice.
AB - In this study, the protective effects of luteolin (1, a major component of
Cirsium japonicum) were examined against d-galactosamine
(GalN)/lipopolysaccharide (LPS)-induced fulminant hepatic failure. Mice received
an intraperitoneal injection of 1 (25, 50, 100, and 200 mg.kg(-1)) 1 h before
treatment with GalN (700 mg.kg(-1))/LPS (10 MUg.kg(-1)). Treatment with GalN/LPS
resulted in increased mortality and serum aminotransferase activity. These
increases were attenuated by pretreatment with 1. Treatment with GalN/LPS induced
an increase in the serum level of tumor necrosis factor-alpha (TNF-alpha) and
protein expression of TNF-alpha receptor-associated death domain, and these
increases were prevented by 1. In addition, 1 attenuated apoptosis induced by
GalN/LPS treatment, which was analyzed using a caspase-3 and -8 activity assay,
as well as by proapoptotic BH3-only protein and cytochrome c protein expression,
and by a terminal deoxynuleotidyl transferase-mediated dUTP nick end-labeling
method. After GalN/LPS injection, nuclear phosphorylated c-Jun levels showed a
significant increase, which were attenuated by 1. The present findings suggest
that luteolin ameliorates D-GalN/LPS-induced liver injury and that this
protection is likely due to inhibition of the extrinsic and intrinsic apoptotic
pathways.
PMID- 21899270
TI - Extraordinary enhancement of Raman scattering from pyridine on single crystal Au
and Pt electrodes by shell-isolated Au nanoparticles.
AB - We used shell-isolated nanoparticle-enhanced Raman spectroscopy (SHINERS) to
systematically study the adsorption of pyridine on low-index Au(hkl) and Pt(hkl)
single crystal electrodes. Our gold-core silica-shell nanoparticles (Au@SiO(2)
NPs) boost the intensity of Raman scattering from molecules adsorbed on
atomically flat surfaces. The average enhancement factor reaches 10(6) for
Au(110) and 10(5) for Pt(110), which is comparable to or even greater than that
obtained for bare gold NPs (a widely adopted SERS substrate). 3D-FDTD simulations
reveal that this large enhancement is due to the transfer of the "hotspots" from
NP-NP gaps to NP-surface gaps. We also found that the SHINERS intensity strongly
depends on the surface crystallographic orientation, with differences up to a
factor of 30. Periodic DFT calculations and theoretical analysis of dielectric
functions indicate that this facet-dependence is predominantly governed by the
dielectric property of the surface. The results presented in this work may open
up new approaches for the characterization of adsorbates and reaction pathways on
a wide range of smooth surfaces.
PMID- 21899272
TI - Time dependent quantum dynamics study of the Ne + H2(+)(v0 = 0-4, j0 = 1) ->
NeH(+) + H proton transfer reaction, including the Coriolis coupling. A system
with oscillatory cross sections.
AB - The Ne + H(2)(+)(v(0) = 0-4, j(0) = 1) proton transfer reaction has been studied
in a wide collision energy (E(col)) interval, using the time dependent real wave
packet method and taking into account the Coriolis coupling (CC-RWP method) and
employing a recent ab initio potential energy surface, widely extending the
reaction conditions previously explored at the CC level. The reaction probability
shows a strong oscillatory behavior vs E(col) and the presence of sharp
resonances, arising from metastable NeH(2)(+) states. The behavior of the
reaction cross section sigma vs E(col) depends on the vibrational level and can
in general be interpreted in terms of the late barrier character of the potential
energy surface and the existence (or not) of threshold energy. The situation is
particularly complex for v(0) = 2, as sigma(v0=2, j0=1) presents significant
oscillations with E(col) up to ~0.33 eV, which probably reflect the resonances
found in the reaction probability. Hence, it would be particularly interesting to
investigate the Ne + H(2)(+)(v(0) = 2, j(0) = 1) reaction experimentally, as some
resonances survive the partial wave summation. The state selected cross sections
compare well with previous CC quantum and experimental results, and although the
previous centrifugal sudden RWP cross sections are reasonable, the inclusion of
the Coriolis coupling is important to achieve a quantitative description of this
and similar systems.
PMID- 21899273
TI - Metal complexes containing allenylidene and higher cumulenylidene ligands: a
theoretical perspective.
AB - Transition metal complexes containing unsaturated carbenes have enjoyed a recent
surge in research interest. In addition to showing potential as molecular wires
and as components of opto-electronic materials, they provide multifaceted
reactive sites for organic synthesis. In this Account, we describe results of
recent theoretical studies that delineate the main features of electronic
structure and bonding in allenylidenes and higher cumulenylidene complexes,
[L(m)M]?C(?C)(n)?CR(1)R(2) (where L represents the ligand, M the metal, and n >=
1). Although free cumulenylidene ligands, :C(?C)(n)?CR(1)R(2), are extremely
unstable and reactive species, they can be stabilized by coordination to a
transition metal. The sigma-donation of the electron lone pair on the terminal
carbon atom to an empty metal d-orbital, together with the simultaneous pi back
donation from filled metal d(pi)-orbitals to empty cumulene pi* system orbitals,
leads to the formation of a strong M?C bond with multiple character. Density
functional theory studies on the model systems [(CO)(5)Cr(?C)(n)CH(2)] and [trans
Cl(PH(3))(4)Ru(?C)(n)CH(2)](+) (where n = 1-9) have been useful in interpreting
the structural and spectroscopic properties and the reactivity of this class of
complexes. Geometry optimizations significantly contributed to the generalization
of the sparse structural data available for allenylidene, butatrienylidene, and
pentatetraenylidene complexes to higher cumulenylidene complexes (with up to
eight carbon atoms in the chain), which show a clear structural trend. In
particular, the geometries of all even-chain cumulenes are consistent with an
almost purely cumulenic structure, whereas the geometries of odd-chain cumulenes
present a significant polyyne-like carbon-carbon bond length alternation. The
calculated bond dissociation energies (BDEs) of the cumulenylidene ligand remain
almost constant on lengthening the cumulene chain. These BDEs indicate that there
is no thermodynamic upper limit to the cumulene chain length and suggest that the
synthetic difficulties in preparing higher cumulenylidenes are due to an increase
in reactivity. The calculated charges on the carbon atoms show no significant
polarization along the cumulene chain, indicating that charge distribution is not
important in determining the regioselectivity of either electrophilic or
nucleophilic attack, which is instead determined by frontier orbital factors. The
breakdown of the contributions from the metal and the carbon atoms along the
chain to the HOMO and LUMO shows that the HOMO has contributions mainly from the
metal and the carbon atoms in even positions along the chain (C(2), C(4), C(6),
and higher). In contrast, the LUMO has contributions mainly from the carbon atoms
in odd positions along the chain (C(1), C(3), C(5), and higher), thus explaining
the experimentally observed regioselectivity of electrophilic and nucleophilic
attacks, which are directed, respectively, to even and odd positions of the
cumulenylidene chain. The study of the electronic structure of cumulenylidenes
has allowed us not only to give a consistent rationale for the main structural
and spectroscopic properties and for the reactivity of this emerging class of
compounds but also to predict the effect of ancillary ligands on the metal center
or substituents on the carbon end. The result is a useful guide to new
developments in the still-underexplored fields of this fascinating class of
compounds.
PMID- 21899274
TI - Structure, dynamics, and reactivity of hydrated electrons by ab initio molecular
dynamics.
AB - Understanding the properties of hydrated electrons, which were first observed
using pulse radiolysis of water in 1962, is crucial because they are key species
in many radiation chemistry processes. Although time-resolved spectroscopic
studies and molecular simulations have shown that an electron in water (prepared,
for example, by water photoionization) relaxes quickly to a localized, cavity
like structure ~2.5 A in radius, this picture has recently been questioned. In
another experimental approach, negatively charged water clusters of increasing
size were studied with photoelectron and IR spectroscopies. Although small water
clusters can bind an excess electron, their character is very different from bulk
hydrated species. As data on electron binding in liquid water have become
directly accessible experimentally, the cluster-to-bulk extrapolations have
become a topic of lively debate. Quantum electronic structure calculations
addressing experimental measurables have, until recently, been largely limited to
small clusters; extended systems were approached mainly with pseudopotential
calculations combining a classical description of water with a quantum mechanical
treatment of the excess electron. In this Account, we discuss our investigations
of electrons solvated in water by means of ab initio molecular dynamics
simulations. This approach, applied to a model system of a negatively charged
cluster of 32 water molecules, allows us to characterize structural, dynamical,
and reactive aspects of the hydrated electron using all of the system's valence
electrons. We show that under ambient conditions, the electron localizes into a
cavity close to the surface of the liquid cluster. This cavity is, however, more
flexible and accessible to water molecules than an analogous area around
negatively charged ions. The dynamical process of electron attachment to a
neutral water cluster is strongly temperature dependent. Under ambient
conditions, the electron relaxes in the liquid cluster and becomes
indistinguishable from an equilibrated, solvated electron on a picosecond time
scale. In contrast, for solid, cryogenic systems, the electron only partially
localizes outside of the cluster, being trapped in a metastable, weakly bound
"cushion-like" state. Strongly bound states under cryogenic conditions could only
be prepared by cooling equilibrated, liquid, negatively charged clusters. These
calculations allow us to rationalize how different isomers of electrons in
cryogenic clusters can be observed experimentally. Our results also bring into
question the direct extrapolation of properties of cryogenic, negatively charged
water clusters to those of electrons in the bulk liquid. Ab initio molecular
dynamics represents a unique computational tool for investigating the reactivity
of the solvated electron in water. As a prototype, the electron-proton reaction
was followed in the 32-water cluster. In accord with experiment, the molecular
mechanism is a proton transfer process that is not diffusion limited, but rather
controlled by a proton-induced deformation of the excess electron's solvent
shell. We demonstrate the necessary ingredients of a successful density
functional methodology for the hydrated electron that avoids potential pitfalls,
such as self-interaction error, insufficient basis set, or lack of dispersion
interactions. We also benchmark the density functional theory methods and outline
the path to faithful ab initio simulations of dynamics and reactivity of
electrons solvated in extended aqueous systems.
PMID- 21899275
TI - Pretreatment with an ethanolic extract of Taiwanofungus camphoratus (Antrodia
camphorata) enhances the cytotoxic effects of amphotericin B.
AB - Taiwanofungus camphoratus, a well-known Chinese medicine used in Taiwan,
possesses several pharmacological functions, including anticancer effects. In the
present study, we aimed to investigate a novel anticancer effect by pretreating
cancer cells with an ethanolic extract of T. camphoratus (TCEE) followed by the
administration of an antifungal agent amphotericin B (AmB). Both TCEE and AmB
showed significant dose-dependent cytotoxicity in HT29 cells. Pretreatment with a
nontoxic dose of TCEE enhanced the cytotoxicity of AmB. Furthermore, significant
apoptotic cell death was found in cells treated with TCEE and AmB. A combination
treatment with AmB plus TCEE resulted in a significant repression of tumor growth
in HT29 xenografts. Collectively, our results indicated that combined treatment
with AmB and TCEE effectively induced apoptosis and inhibited tumor growth. In
the future, TCEE may serve as a potential complementary and alternative medicine
to treat patients suffering from colorectal cancer.
PMID- 21899276
TI - Formation of gallaoxetanes: C-O activation of 1,2-epoxybutane by ground-state Ga
atoms.
AB - (69/71)Ga atoms were reacted with 1,2-epoxybutane and its isotopomers, 1,2
epoxybutane-1,1-d(2) (CH(3)CH(2)CHOCD(2)) and 1,2-epoxybutane-2-d(1)
(CH(3)CH(2)CDOCH(2)), under matrix-isolation conditions. The novel gallaoxetanes
CH(3)CH(2)CHCH(2)GaO and CH(3)CH(2)CHCH(2)OGa, resulting from the insertion of
the metal atom in the C(1)-O and C(2)-O bonds, respectively, of the 1,2
epoxybutane, were detected by EPR spectroscopy. The Ga and H hyperfine
interaction (hfi) values of the gallaoxetanes, calculated using a DFT method,
were used to help assign the EPR spectra. A third Ga-centered species, detected
at 190 K, underwent spectral changes similar to those of the C(2)-O insertion
product upon isotopic substitution of the 1,2-epoxybutane. Although the Ga hfi
for this species was 36% smaller than that of the C(2)-O insertion product, the
values for the H hfi were similar, suggesting that the carrier of the spectrum
was the C(2)-O insertion product where Ga was perturbed by the matrix
constraints. The alkyl radical CH(3)CH(2)(*CH)CH(2)OGa, resulting from ring
opening at the C(2)-O bond of 1,2-epoxybutane, was observed at temperatures below
150 K. This radical has been implicated in the formation of the C(2)-O insertion
product. The unusually small value found for two of the beta-hydrogens of the
alkyl radical is discussed.
PMID- 21899277
TI - Amino acid determinants of substrate selectivity in the Trypanosoma brucei
sphingolipid synthase family.
AB - The substrate selectivity of four Trypanosoma brucei sphingolipid synthases was
examined. TbSLS1, an inositol phosphorylceramide (IPC) synthase, and TbSLS4, a
bifunctional sphingomyelin (SM)/ethanolamine phosphorylceramide (EPC) synthase,
were inactivated by Ala substitutions of a conserved triad of residues His210,
His253, and Asp257 thought to form part of the active site. TbSLS4 also catalyzed
the reverse reaction, production of ceramide from sphingomyelin, but none of the
Ala substitutions of the catalytic triad in TbSLS4 were able to do so. Site
directed mutagenesis identified residues proximal to the conserved triad that
were responsible for the discrimination between charge and size of the different
head groups. For discrimination between anionic (phosphoinositol) and
zwitterionic (phosphocholine, phosphoethanolamine) head groups, doubly mutated
V172D/S252F TbSLS1 and D172V/F252S TbSLS3 showed reciprocal conversion between
IPC and bifunctional SM/EPC synthases. For differentiation of zwitterionic
headgroup size, N170A TbSLS1 and A170N/N187D TbSLS4 showed reciprocal conversion
between EPC and bifunctional SM/EPC synthases. These studies provide a mapping of
the SLS active site and demonstrate that differences in catalytic specificity of
the T. brucei enzyme family are controlled by natural variations in as few as
three residue positions.
PMID- 21899278
TI - Solution-processed flexible polymer solar cells with silver nanowire electrodes.
AB - The conventional anode for organic photovoltaics (OPVs), indium tin oxide (ITO),
is expensive and brittle, and thus is not suitable for use in roll-to-roll
manufacturing of OPVs. In this study, fully solution-processed polymer bulk
heterojunction (BHJ) solar cells with anodes made from silver nanowires (Ag NWs)
have been successfully fabricated with a configuration of Ag NWs/poly(3,4
ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS)/polymer:phenyl-C(61)
butyric acid methyl ester (PCBM)/Ca/Al. Efficiencies of 2.8 and 2.5% are obtained
for devices with Ag NW network on glass and on poly(ethylene terephthalate)
(PET), respectively. The efficiency of the devices is limited by the low work
function of the Ag NWs/PEDOT:PSS film and the non-ideal ohmic contact between the
Ag NW anode and the active layer. Compared with devices based on the ITO anode,
the open-circuit voltage (V(oc)) of solar cells based on the Ag NW anode is lower
by ~0.3 V. More importantly, highly flexible BHJ solar cells have been firstly
fabricated on Ag NWs/PET anode with recoverable efficiency of 2.5% under large
deformation up to 120 degrees . This study indicates that, with improved
engineering of the nanowires/polymer interface, Ag NW electrodes can serve as a
low-cost, flexible alternative to ITO, and thereby improve the economic viability
and mechanical stability of OPVs.
PMID- 21899279
TI - Neon Ion Beam Lithography (NIBL).
AB - Existing techniques for electron- and ion-beam lithography, routinely employed
for nanoscale device fabrication and mask/mold prototyping, do not simultaneously
achieve efficient (low fluence) exposure and high resolution. We report
lithography using neon ions with fluence <1 ion/nm(2), ~1000* more efficient than
using 30 keV electrons, and resolution down to 7 nm half-pitch. This combination
of resolution and exposure efficiency is expected to impact a wide array of
fields that are dependent on beam-based lithography.
PMID- 21899280
TI - Supramolecular engineering of intrinsic and extrinsic porosity in covalent
organic cages.
AB - Control over pore size, shape, and connectivity in synthetic porous materials is
important in applications such as separation, storage, and catalysis. Crystalline
organic cage molecules can exhibit permanent porosity, but there are few
synthetic methods to control the crystal packing and hence the pore connectivity.
Typically, porosity is either 'intrinsic' (within the molecules) or 'extrinsic'
(between the molecules)--but not both. We report a supramolecular approach to the
assembly of porous organic cages which involves bulky directing groups that
frustrate the crystal packing. This generates, in a synthetically designed
fashion, additional 'extrinsic' porosity between the intrinsically porous cage
units. One of the molecular crystals exhibits an apparent Brunauer-Emmett-Teller
surface area of 854 m(2) g(-1), which is higher than that of unfunctionalized
cages of the same dimensions. Moreover, connectivity between pores, and hence
guest uptakes, can be modulated by the introduction of halogen bonding motifs in
the cage modules. This suggests a broader approach to the supramolecular
engineering of porosity in molecular organic crystals.
PMID- 21899281
TI - A tumor-environment-responsive nanocarrier that evolves its surface properties
upon sensing matrix metalloproteinase-2 and initiates agglomeration to enhance T2
relaxivity for magnetic resonance imaging.
AB - We designed and synthesized a modified ferritin as a tumor-environment-responsive
nanocarrier. We found that this nanocarrier could evolve its surface properties
upon sensing a tumor-associated protease, matrix metalloproteinase-2 (MMP-2),
which initiated agglomeration, resulting in the enhancement of T(2) relaxivity
for magnetic resonance imaging (MRI). The designed ferritin contained a triad of
modifiers composed of (i) a "sensing" segment (substrate peptide of MMP-2), (ii)
"hydrophobic" segments and (iii) a "hydrophilic" segment of polyethylene glycol
(PEG). The hydrophilic segment ensured the particles' monodispersibility in
aqueous conditions. In the presence of MMP-2 activity, the "sensing" segment was
cleaved by the enzyme and its submerged "hydrophobic" segments were exposed on
the surface, resulting in the initiation of aggregation. Because ferritin
contains ferrihydrite in its inner space, this multimerization resulted in the
enhancement of T(2) relaxivity, suggesting that this nanocarrier may be useful as
a contrast agent in MRI.
PMID- 21899283
TI - Expedient Route to the functionalized calyciphylline A-type skeleton via a
Michael addition-RCM strategy.
AB - An efficient, robust, and scalable strategy to access the functionalized core of
calyciphylline A-type alkaloids has been developed starting from commercially
available 3-methylanisole. Key features of this approach are an intramolecular
Michael addition/allylation sequence and a ring-closing metathesis step.
PMID- 21899284
TI - A modular reaction pairing approach to the diversity-oriented synthesis of fused-
and bridged-polycyclic sultams.
AB - A reaction pairing strategy centered on utilization of a reaction triad
(sulfonylation, S(N)Ar addition and Mitsunobu alkylation) generating skeletally
diverse, tricyclic and bicyclic benzofused sultams is reported. Pairing
sulfonylation and S(N)Ar reactions yields bridged, tricyclic and bicyclic
benzofused sultams. Application of the Mitsunobu reaction in a sulfonylation
Mitsunobu-S(N)Ar pairing allows access to benzthiazocine-1,1-dioxides, while a
simple change in the order of pairing to sulfonylation-S(N)Ar-Mitsunobu affords
structurally different, bridged tricyclic benzofused sultams.
PMID- 21899285
TI - Predictive model for ice formation on superhydrophobic surfaces.
AB - The prevention and control of ice accumulation has important applications in
aviation, building construction, and energy conversion devices. One area of
active research concerns the use of superhydrophobic surfaces for preventing ice
formation. The present work develops a physics-based modeling framework to
predict ice formation on cooled superhydrophobic surfaces resulting from the
impact of supercooled water droplets. This modeling approach analyzes the
multiple phenomena influencing ice formation on superhydrophobic surfaces through
the development of submodels describing droplet impact dynamics, heat transfer,
and heterogeneous ice nucleation. These models are then integrated together to
achieve a comprehensive understanding of ice formation upon impact of liquid
droplets at freezing conditions. The accuracy of this model is validated by its
successful prediction of the experimental findings that demonstrate that
superhydrophobic surfaces can fully prevent the freezing of impacting water
droplets down to surface temperatures of as low as -20 to -25 degrees C. The
model can be used to study the influence of surface morphology, surface
chemistry, and fluid and thermal properties on dynamic ice formation and identify
parameters critical to achieving icephobic surfaces. The framework of the present
work is the first detailed modeling tool developed for the design and analysis of
surfaces for various ice prevention/reduction strategies.
PMID- 21899286
TI - Peroxynitrous-acid-induced chemiluminescence of fluorescent carbon dots for
nitrite sensing.
AB - In this work, chemiluminescent (CL) property of the carbon dots in the presence
of peroxynitrous acid was studied. Peroxynitrous acid is formed by online mixing
of nitrite and acidified hydrogen peroxide. The CL intensity was increased
linearly with nitrite concentration in the range from 1.0 * 10(-7) M to 1.0 * 10(
5) M, and the detection limit was 5.3 * 10(-8) M (signal-to-noise ratio of S/N =
3). This method has been successfully applied to the determination of nitrites in
pond water, river water, and pure milk, with recoveries in the range of 98%-108%.
The CL mechanism of the peroxynitrous acid-carbon dots system was investigated
using the CL, ultraviolet-visible light (UV-vis), and electron paramagnetic
resonance (EPR) spectra. The electron-transfer annihilation of hole-injected and
electron-injected carbon dots could mainly account for the CL emission, which
sheds new light on the optical properties of the carbon dots.
PMID- 21899287
TI - Second harmonic generation and hyperpolarizabilities of the double-cubane
compound [Sb7S8Br2](AlCl4)3: chalcogenide in ionic liquids.
AB - Because noncentrosymmetric [Sb7S8Br2](AlCl4)3 single crystals possess a wide
optical transparency region, it is a promising material for nonlinear optical
applications. We have calculated the dispersion of linear and nonlinear optical
susceptibilities including optical second harmonic generation (SHG) using a
relaxed geometry. We find that the fundamental optical absorption edge situated
at about 2.03 eV is in excellent agreement with the experimental data.
Calculations of epsilon(2)(xx)(omega), epsilon(2)(yy)(omega), and
epsilon(2)(zz)(omega) tensor components of the frequency-dependent dielectric
function are presented. The single crystal possesses a considerable anisotropy of
linear optical susceptibilities, which usually favors an enhanced phase matching
conditions necessary to observe SHG and optical parametric oscillator (OPO)
effects. Our calculations show that, in [Sb7S8Br2](AlCl4)3, |chi123(2)(omega)| is
the principal tensor component having the highest value of SHG at zero frequency
limit as well as at 1.165 eV (lambda = 1064 nm) laser wavelength generation. The
microscopic second-order hyperpolarizability, beta123, of the dominant SHG
component is calculated at the static limit and at lambda = 1064 nm.
PMID- 21899288
TI - Real-time monitoring of surface-initiated atom transfer radical polymerization
using silicon photonic microring resonators: implications for combinatorial
screening of polymer brush growth conditions.
AB - We directly monitor in parallel and in real time the temporal profiles of polymer
brushes simultaneously grown via multiple ATRP reaction conditions on a single
substrate using arrays of silicon photonic microring resonators. In addition to
probing relative polymerization rates, we show the ability to evaluate the
dynamic properties of the in situ grown polymers. This presents a powerful new
platform for studying modified interfaces that may allow for the combinatorial
optimization of surface-initiated polymerization conditions.
PMID- 21899289
TI - Tissue-specific expression of p53 and ras genes in response to the environmental
genotoxicant benzo(alpha)pyrene in marine mussels.
AB - Marine mussels can develop hemeic and gonadal neoplasia in the natural
environment. Associated with these diseases are the tumor suppressor (TS) p53 and
the proto-oncogene ras coded proteins, both of which are highly conserved among
molluscs and vertebrates. We report, for the first time, tissue-specific
expression analysis of p53 and ras genes in Mytilus edulis by means of
quantitative RT-PCR. A tissue-specific response was observed after 6 and 12 days
exposure to a sublethal concentration of a model Polycyclic Aromatic Hydrocarbon
(PAH), benzo(alpha)pyrene (B(alpha)P). This sublethal concentration (56 MUg/L)
was selected based on an integrated biomarker analysis carried out prior to gene
expression analysis, which included a 'clearance rate' assay, histopathological
analysis, and DNA strand break measurements. The results indicated that the
selected concentration of B(alpha)P can lead to the induction of DNA strand
breaks, tissue damage, and expression of tumor-regulating genes. Both p53 and ras
are expressed in a tissue-specific manner, which collaborate with tissue-specific
function in response to genotoxic stress. The integrated biological responses in
Mytilus edulis strengthen the use of this organism to investigate the fundamental
mechanism of development of malignancy in invertebrate which could be translated
to other organisms including humans.
PMID- 21899290
TI - Evolution and protein packaging of small-molecule RNA aptamers.
AB - A high-affinity RNA aptamer (K(d) = 50 nM) was efficiently identified by SELEX
against a heteroaryldihydropyrimidine structure, chosen as a representative drug
like molecule with no cross reactivity with mammalian or bacterial cells. This
aptamer, its weaker-binding variants, and a known aptamer against theophylline
were each embedded in a longer RNA sequence that was encapsidated inside a virus
like particle by a convenient expression technique. These nucleoprotein particles
were shown by backscattering interferometry to bind to the small-molecule ligands
with affinities similar to those of the free (nonencapsidated) aptamers. The
system therefore comprises a general approach to the production and sequestration
of functional RNA molecules, characterized by a convenient label-free analytical
technique.
PMID- 21899291
TI - Gene quantification by the NanoGene assay is resistant to inhibition by humic
acids.
AB - NanoGene assay is a magnetic bead and quantum dot nanoparticles based gene
quantification assay. It relies on a set of probe and signaling probe DNAs to
capture the target DNA via hybridization. We have demonstrated the inhibition
resistance of the NanoGene assay using humic acids laden genomic DNA (gDNA). At 1
MUg of humic acid per mL, quantitiative PCR (qPCR) was inhibited to 0% of its
quantification capability whereas NanoGene assay was able to maintain more than
60% of its quantification capability. To further increase the inhibition
resistance of NanoGene assay at high concentration of humic acids, we have
identified the specific mechanisms that are responsible for the inhibition. We
examined five potential mechanisms with which the humic acids can partially
inhibit our NanoGene assay. The mechanisms examined were (1) adsorption of humic
acids on the particle surface; (2) particle aggregation induced by humic acids;
(3) fluorescence quenching of quantum dots by humic acids during hybridization;
(4) humic acids mimicking of target DNA; and (5) nonspecific binding between
humic acids and target gDNA. The investigation showed that no adsorption of humic
acids onto the particles' surface was observed for the humic acids'
concentration. Particle aggregation and fluorescence quenching were also
negligible. Humic acids also did not mimic the target gDNA except 1000 MUg of
humic acids per mL and hence should not contribute to the partial inhibition.
Four of the above mechanisms were not related to the inhibition effect of humic
acids particularly at the environmentally relevant concentrations (<100 MUg/mL).
However, a substantial amount of nonspecific binding was observed between the
humic acids and target gDNA. This possibly results in lesser amount of target
gDNA being captured by the probe and signaling DNA.
PMID- 21899292
TI - Discovery of (+)-N-(3-aminopropyl)-N-[1-(5-benzyl-3-methyl-4-oxo
[1,2]thiazolo[5,4-d]pyrimidin-6-yl)-2-methylpropyl]-4-methylbenzamide (AZD4877),
a kinesin spindle protein inhibitor and potential anticancer agent.
AB - Structure-activity relationship analysis identified (+)-N-(3-aminopropyl)-N-[1-(5
benzyl-3-methyl-4-oxo-[1,2]thiazolo[5,4-d]pyrimidin-6-yl)-2-methylpropyl]-4
methylbenzamide (AZD4877), from a series of novel kinesin spindle protein (KSP)
inhibitors, as exhibiting both excellent biochemical potency and pharmaceutical
properties suitable for clinical development. The selected compound arrested
cells in mitosis leading to the formation of the monopolar spindle phenotype
characteristic of KSP inhibition and induction of cellular death. A favorable
pharmacokinetic profile and notable in vivo efficacy supported the selection of
this compound as a clinical candidate for the treatment of cancer.
PMID- 21899293
TI - New functionalized flexible Al-MIL-53-X (X = -Cl, -Br, -CH3, -NO2, -(OH)2)
solids: syntheses, characterization, sorption, and breathing behavior.
AB - Five new flexible functionalized aluminum hydroxo terephthalates [Al(OH)(BDC
X)].n(guests) (BDC = 1,4-benzene-dicarboxylate; X = -Cl, 1-Cl; -Br, 2-Br; -CH(3),
3-CH(3); -NO(2), 4-NO(2); -(OH)(2), 5-OH(2)) were synthesized under solvothermal
conditions. The as synthesized (Al-MIL-53-X-AS) as well as the activated
compounds were characterized by X-ray powder diffraction (XRPD), IR spectroscopy,
thermogravimetric (TG), and elemental analysis. Activation, that is, removal of
unreacted H(2)BDC-X molecules and/or occluded solvent molecules, followed by
hydration in air at room temperature, led to the narrow pore (NP) form of the
title compounds [Al(OH)(BDC-X)].n(H(2)O) (Al-MIL-53-X). Thermogravimetric
analysis (TGA) and temperature-dependent XRPD (TDXRPD) experiments performed on
the NP-form of the compounds indicate high thermal stability in the range 325-500
degrees C. As verified by N(2), CO(2), or H(2)O sorption measurements, most of
the thermally activated compounds exhibit significant microporosity. Similar to
pristine Al-MIL-53, the present compounds retain their structural flexibility
depending on the nature of guest molecules and temperature, as verified by cell
parameter determination from XRPD data. The breathing behavior of the
functionalized frameworks upon dehydration-rehydration, investigated by
temperature and time-dependent XRPD measurements, differs significantly compared
to parent Al-MIL-53.
PMID- 21899294
TI - Synthesis and reactivity of new Ni, Pd, and Pt 2,6-bis(di-tert
butylphosphinito)pyridine pincer complexes.
AB - Synthesis and characterization of new (PONOP) [2,6-bis(di-tert
butylphosphinito)pyridine] metal (Ni, Pd, Pt) complexes are reported.
Surprisingly, these compounds [(PONOP)MCl]Cl in the presence of 1 equiv of
superhydride (LiEt(3)BH) formed a new class of complexes (H-PONOP)MCl, in which
the pyridine ring in the PONOP ligand lost its aromaticity as a result of hydride
attack at the para position of the ring. The new Ni-H compound [(H-PONOP)NiH] was
synthesized by reacting (H-PONOP)NiCl with 1 equiv of superhydride. Analogous Pd
and Pt compounds were prepared. Reactivity of these new pincer complexes toward
MeLi and PhLi also has been studied. These Ni complexes catalyzed the
hydrosilylation of aldehyde. In some cases characterization of new (PONOP)M
complexes was difficult because of high instability due to degradation of the P-O
bond.
PMID- 21899295
TI - Switching the reactivity of dihydrothiopyran-4-one with aldehydes by aqueous
organocatalysis: Baylis-Hillman, aldol, or aldol condensation reactions.
AB - An aqueous medium containing catalytic amounts of a tertiary amine was employed
to direct the chemoselectivity of the reaction of aldehydes with 1a. With DBU, 2
was formed at room temperature as a rare exemplary of Baylis-Hillman reactions in
heterocyclic enones. DABCO alternated the pathway toward an aldol reaction to
form syn/anti mixtures of 3 with the syn isomers being the major products. With
Et(3)N, aldol condensation dominated.
PMID- 21899296
TI - Immunosuppressive effects of fisetin in ovalbumin-induced asthma through
inhibition of NF-kappaB activity.
AB - Fisetin, a flavonoid compound commonly present in fruits and vegetables, can
exert anti-inflammation activities via inhibition of the NF-kappaB-signaling
pathway. This study aims to evaluate the antiasthma activity of fisetin and
investigate its possible molecular mechanisms. We found that fisetin attenuated
lung inflammation, goblet cell hyperplasia, and airway hyperresponsiveness in
ovalbumin-induced asthma and decreased eosinophils and lymphocytes in
bronchoalveolar lavage fluid. Fisetin treatment reduced expression of the key
initiators of allergic airway inflammation (eotaxin-1 and TSLP), Th2-associated
cytokines (IL-4, IL-5, and IL-13) in lungs, and Th2-predominant transcription
factor GATA-3 and cytokines in thoracic lymph node cells and splenocytes.
Notably, fisetin treatment impaired NF-kappaB activation in OVA-stimulated lung
tissues and TNF-alpha-stimulated bronchial epithelial cells. Collectively, this
study demonstrated the beneficial effect of fisetin in the amelioration of
asthmatic phenotypes. The antiasthma activity of fisetin is associated with
reduction of Th2 responses as well as suppression of NF-kappaB and its downstream
chemokines.
PMID- 21899297
TI - The molecular interactions that stabilize RNA tertiary structure: RNA motifs,
patterns, and networks.
AB - RNA molecules adopt specific three-dimensional structures critical to their
function. Many essential metabolic processes, including protein synthesis and RNA
splicing, are carried out by RNA molecules with elaborate tertiary structures
(e.g. 3QIQ, right). Indeed, the ribosome and self-splicing introns are complex
RNA machines. But even the coding regions in messenger RNAs and viral RNAs are
flanked by highly structured untranslated regions, which provide regulatory
information necessary for gene expression. RNA tertiary structure is defined as
the three-dimensional arrangement of RNA building blocks, which include helical
duplexes, triple-stranded structures, and other components that are held together
through connections collectively termed RNA tertiary interactions. The structural
diversity of these interactions is now a subject of intense investigation,
involving the techniques of NMR, X-ray crystallography, chemical genetics, and
phylogenetic analysis. At the same time, many investigators are using biophysical
techniques to elucidate the driving forces for tertiary structure formation and
the mechanisms for its stabilization. RNA tertiary folding is promoted by
maximization of base stacking, much like the hydrophobic effect that drives
protein folding. RNA folding also requires electrostatic stabilization, both
through charge screening and site binding of metals, and it is enhanced by
desolvation of the phosphate backbone. In this Account, we provide an overview of
the features that specify and stabilize RNA tertiary structure. A major
determinant for overall tertiary RNA architecture is local conformation in
secondary-structure junctions, which are regions from which two or more duplexes
project. At junctions and other structures, such as pseudoknots and kissing
loops, adjacent helices stack on one another, and these coaxial stacks play a
major role in dictating the overall architectural form of an RNA molecule. In
addition to RNA junction topology, a second determinant for RNA tertiary
structure is the formation of sequence-specific interactions. Networks of triple
helices, tetraloop-receptor interactions, and other sequence-specific contacts
establish the framework for the overall tertiary fold. The third determinant of
tertiary structure is the formation of stabilizing stacking and backbone
interactions, and many are not sequence specific. For example, ribose zippers
allow 2'-hydroxyl groups on different RNA strands to form networks of
interdigitated hydrogen bonds, serving to seal strands together and thereby
stabilize adjacent substructures. These motifs often require monovalent and
divalent cations, which can interact diffusely or through chelation to specific
RNA functional groups. As we learn more about the components of RNA tertiary
structure, we will be able to predict the structures of RNA molecules from their
sequences, thereby obtaining key information about biological function.
Understanding and predicting RNA structure is particularly important given the
recent discovery that although most of our genome is transcribed into RNA
molecules, few of them have a known function. The prevalence of RNA viruses and
pathogens with RNA genomes makes RNA drug discovery an active area of research.
Finally, knowledge of RNA structure will facilitate the engineering of
supramolecular RNA structures, which can be used as nanomechanical components for
new materials. But all of this promise depends on a better understanding of the
RNA parts list, and how the pieces fit together.
PMID- 21899298
TI - Suzuki-Miyaura cross-coupling of heteroaryl halides and arylboronic acids in
continuous flow.
AB - General continuous-flow conditions for the Suzuki-Miyaura cross-coupling of
heteroaryl halides and (hetero)arylboronic acids have been developed. A wide
range of heterobiaryl products is obtained in excellent yields (20 examples)
employing low catalyst loadings (0.05-1.5 mol % Pd).
PMID- 21899299
TI - A quantitative immunopolymerase chain reaction method for detection of vegetative
insecticidal protein in genetically modified crops.
AB - Vegetative insecticidal protein (Vip) is being employed for transgenic expression
in selected crops such as cotton, brinjal, and corn. For regulatory compliance,
there is a need for a sensitive and reliable detection method, which can
distinguish between approved and nonapproved genetically modified (GM) events and
quantify GM contents as well. A quantitative immunopolymerase chain reaction
(IPCR) method has been developed for the detection and quantification of Vip
protein in GM crops. The developed assay displayed a detection limit of 1 ng/mL
(1 ppb) and linear quantification range between 10 and 1000 ng/mL of Vip-S
protein. The sensitivity of the assay was found to be 10 times higher than an
analogous enzyme-linked immunosorbent assay for Vip-S protein. The results
suggest that IPCR has the potential to become a standard method to quantify GM
proteins.
PMID- 21899300
TI - Heterogeneous liposome membranes with pH-triggered permeability enhance the in
vitro antitumor activity of folate-receptor targeted liposomal doxorubicin.
AB - The killing efficacy of doxorubicin from liposome-based delivery carriers has
been shown to correlate strongly with its intracellular trafficking and, in
particular, its fast and extensive release from the delivery carrier. However,
previously explored pH-triggered mechanisms that were designed to become
activated during liposome endocytosis have also been shown to interfere with the
liposome stability in vivo. We have designed pH-triggered gel-phase liposomes
with heterogeneous membranes for the delivery of doxorubicin. These liposomes are
triggered to form "leaky" interfacial boundaries between gel-gel phase separated
domains on the membrane bilayer with lowering pH. The pH-triggered mechanism does
not compromise liposome stability in vivo and results in superior in vitro
killing efficacy of delivered doxorubicin when liposomes are endocytosed by a
clathrin-mediated pathway. In the present work, we evaluate the general
applicability of these liposomes when targeted to the folate receptor (FR) of KB
cancer cells in vitro and become endocytosed by a less acidic pathway: the
caveolae pathway. FR-targeting liposomes exhibit almost 50% decrease in cell
association for increase in liposome size from 120 to 280 nm in diameter after
relatively short incubation times (up to 4 h). The fraction of internalized
vesicles, however, is approximately 60% of the cell associated vesicles
independent of their size. Our findings demonstrate that, for the same
doxorubicin uptake per cancer cell, the killing effect of doxorubicin delivered
by pH-triggered lipid vesicles is greater (IC(50) = 0.032 mM for a 6 h
incubation) than when delivered by a conventional non-pH-responsive composition
(IC(50) = 0.194 mM). These findings suggest higher bioexposure of cells to the
therapeutic agent possibly via faster and more extensive release from the
carrier. Animal studies of FR-targeting non-pH-responsive liposomal doxorubicin
report stronger therapeutic potential for the targeted approach relative to
nontargeted liposomes and to free doxorubicin. The findings of the present study
suggest that the targeted pH-triggered liposomes could potentially further
enhance the therapeutic outcomes of doxorubicin in vivo.
PMID- 21899301
TI - Highly efficient FRET from a single nitrogen-vacancy center in nanodiamonds to a
single organic molecule.
AB - We show highly efficient fluorescence resonance energy transfer (FRET) between
negatively charged nitrogen-vacancy (NV) centers in diamond as donor and dye
molecules as acceptor, respectively. The energy transfer efficiency is 86% with
particles of 20 nm in size. Calculated and experimentally measured energy
transfer efficiencies are in excellent agreement. Owing to the small size of the
nanocrystals and careful surface preparation, energy transfer between a single
nitrogen-vacancy center and a single quencher was identified by the stepwise
change of energy transfer efficiencies due to bleaching of single acceptor
molecules. Our studies pave the way toward FRET-based scanning probe techniques
using single NV donors.
PMID- 21899302
TI - The effects of protein environment and dispersion on the formation of ferric
superoxide species in myo-inositol oxygenase (MIOX): a combined ONIOM(DFT:MM) and
energy decomposition analysis.
AB - The catalytic reaction of myo-inositol oxygenase, a nonheme diiron enzyme, is
initiated by the binding of an O(2) molecule to the ferrous center of a mixed
valence Fe(II)Fe(III) intermediate. This generates a (superoxo)Fe(III)Fe(III)
reactive species that abstracts a hydrogen atom from the myo-inositol substrate.
To understand the effects of protein environment and intracluster dispersion on
this O(2)-binding process, we undertook a combined ONIOM(B3LYP:AMBER) and energy
decomposition analysis. The interaction energy between the active site and the
thousands of atoms present in the protein environment was decomposed into
electrostatic, van der Waals (vdW) and polarization terms. These terms were
further decomposed into contributions from individual amino acid residues. The
dispersion effect, which is not adequately accounted for by the B3LYP method, was
estimated in an empirical manner. The results show that the electrostatic, vdW,
and polarization effects slightly enhance the O(2) binding process. The
dispersion effect enhances O(2) binding more significantly than these effects.
Despite these stabilizing effects, the entropy effect disfavors O(2) binding,
making the process almost thermoneutral.
PMID- 21899303
TI - Horizontal transfer of PAH catabolism genes in Mycobacterium: evidence from
comparative genomics and isolated pyrene-degrading bacteria.
AB - Biodegradation of high molecular weight polycyclic aromatic hydrocarbons (PAHs),
such as pyrene and benzo[a]pyrene, has only been observed in a few genera, namely
fast-growing Mycobacterium and Rhodococcus. In M. vanbaalenii PYR-1, multiple
aromatic ring hydroxylating dioxygenase (ARHDOs) genes including pyrene
dioxygenases nidAB and nidA3B3 are localized in one genomic region. Here we
examine the homologous genomic regions in four other PAH-degrading Mycobacterium
(strains JLS, KMS, and MCS, and M. gilvum PYR-GCK), presenting evidence for past
horizontal gene transfer events. Seven distinct types of ARHDO genes are present
in all five genomes, and display conserved syntenic architecture with respect to
gene order, orientation, and association with other genes. Duplications and
putative integrase and transposase genes suggest past gene shuffling. To
corroborate these observations, pyrene-degrading strains were isolated from two
PAH-contaminated sediments: Chattanooga Creek (Tennessee) and Lake Erie (western
basin). Some were related to fast-growing Mycobacterium spp. and carried both
nidA and nidA3 genes. Other isolates belonged to Microbacteriaceae and
Intrasporangiaceae presenting the first evidence of pyrene degradation in these
families. These isolates had nidA (and some, nidA3) genes that were homologous to
Mycobacterial ARHDO genes, suggesting that horizontal gene transfer events have
occurred.
PMID- 21899305
TI - Pyrophosphate-selective fluorescent chemosensor based on 1,8-naphthalimide-DPA
Zn(II) complex and its application for cell imaging.
AB - A new zinc(II) complex with a two-dipicolylamine-substituted 1,8-naphthalimide
for recognition of pyrophosphate with ratiometrical fluorescence changes in
aqueous solution has been synthesized and characterized. Its biological
application to monitor the intracellular pyrophosphate (PPi) was successfully
demonstrated by the observation that the fluorescence of 1 was enhanced by the
presence of the Zn(2+) ion and was quenched by addition of PPi.
PMID- 21899306
TI - Effect of aqueous Fe(II) on arsenate sorption on goethite and hematite.
AB - Biogeochemical iron cycling often generates systems where aqueous Fe(II) and
solid Fe(III) oxides coexist. Reactions between these species result in iron
oxide surface and phase transformations, iron isotope fractionation, and redox
transformations of many contaminant species. Fe(II)-induced recrystallization of
goethite and hematite has recently been shown to cause the repartitioning of
Ni(II) at the mineral-water interface, with adsorbed Ni incorporating into the
iron oxide structure and preincorporated Ni released back into aqueous solution.
However, the effect of Fe(II) on the fate and speciation of redox inactive
species incompatible with iron oxide structures is unclear. Arsenate sorption to
hematite and goethite in the presence of aqueous Fe(II) was studied to determine
whether Fe(II) causes substantial changes in the sorption mechanisms of such
incompatible species. Sorption isotherms reveal that Fe(II) minimally alters
macroscopic arsenate sorption behavior except at circumneutral pH in the presence
of elevated concentrations (10-3 M) of Fe(II) and at high arsenate loadings,
where a clear signature of precipitation is observed. Powder X-ray diffraction
demonstrates that the ferrous arsenate mineral symplesite precipitates under such
conditions. Extended X-ray absorption fine structure spectroscopy shows that
outside this precipitation regime arsenate surface complexation mechanisms are
unaffected by Fe(II). In addition, arsenate was found to suppress Fe(II) sorption
through competitive adsorption processes before the onset of symplesite
precipitation. This study demonstrates that the sorption of species incompatible
with iron oxide structure is not substantially affected by Fe(II) but that such
species may potentially interfere with Fe(II)-iron oxide reactions via
competitive adsorption.
PMID- 21899307
TI - Infrared spectroscopic insight into hydration behavior of poly(N
vinylcaprolactam) in water.
AB - IR spectroscopy in combination with two-dimensional correlation spectroscopy
(2DCOS) and the perturbation correlation moving window (PCMW) technique is
employed to illustrate the dynamic hydration behavior of poly(N-vinylcaprolactam)
(PVCL) in water, which exhibits a typical type I continuous lower critical
solution temperature (LCST) behavior. PCMW easily determined the transition
temperature to be ca. 43.5 degrees C during heating and ca. 42.5 degrees C
during cooling and the transition temperature range to be 39.5-45 degrees C. On
the other hand, 2DCOS was used to discern the sequence order of different species
in PVCL and concluded that hydrogen bonding transformation predominates at the
first stage below LCST while hydrophobic interaction predominates at the second
stage above LCST. In combination with molecular dynamics simulation results, we
find that there exists a distribution gradient of water molecules in PVCL
mesoglobules ranging from a hydrophobic core to a hydrophilic surface. Due to the
absence of self-associated hydrogen bonds and topological constraints, PVCL
mesoglobules would form a "sponge-like" structure which can further continuously
expel water molecules upon increasing temperature, while poly(N
isopropylacrylamide) (PNIPAM) with self-associated hydrogen bonds forms
mesoglobules with a "cotton-ball-like" structure without an apparent distribution
gradient of water molecules and does not change much upon increasing temperature.
PMID- 21899304
TI - Materials and transducers toward selective wireless gas sensing.
PMID- 21899308
TI - Rapid and reproducible single-stage phosphopeptide enrichment of complex peptide
mixtures: application to general and phosphotyrosine-specific phosphoproteomics
experiments.
AB - Reversible protein phosphorylation is an essential regulatory component of
virtually every cellular process and is frequently dysregulated in cancer.
However, significant analytical barriers persist that hamper the routine
application of phosphoproteomics in translational settings. Here, we present a
straightforward and reproducible approach for the broadscale analysis of protein
phosphorylation that relies on a single phosphopeptide enrichment step using
titanium dioxide microspheres from whole cell lysate digests and compared it to
the well-established SCX-TiO(2) workflow for phosphopeptide purification on a
proteome-wide scale. We demonstrate the scaleabilty of our approach from 200 MUg
to 5 mg of total NCI-H23 non-small cell lung adenocarcinoma cell lysate digest
and determine its quantitative reproducibility by label-free analysis of
phosphopeptide peak areas from replicate purifications (median CV: 20% RSD).
Finally, we combine this approach with immunoaffinity phosphotyrosine enrichment,
enabling the identification of 3168 unique nonredundant phosphotyrosine peptides
in two LC-MS/MS runs from 8 mg of HeLa peptides, each with 80% phosphotyrosine
selectivity, at a peptide FDR of 0.2%. Taken together, we establish and validate
a robust approach for proteome-wide phosphorylation analysis in a variety of
scenarios that is easy to implement in biomedical research and translational
settings.
PMID- 21899309
TI - High-efficiency upconversion luminescent sensing and bioimaging of Hg(II) by
chromophoric ruthenium complex-assembled nanophosphors.
AB - A chromophoric ruthenium complex-assembled nanophosphor (N719-UCNPs) was achieved
as a highly selective water-soluble probe for upconversion luminescence sensing
and bioimaging of intracellular mercury ions. The prepared nanophosphors were
characterized by X-ray powder diffraction (XRD), transmission electron microscopy
(TEM), energy-dispersive X-ray analysis (EDXA), Fourier transform infrared
spectroscopy (FTIR), and X-ray photoelectron spectroscopy (XPS). Further
application of N719-UCNPs in sensing Hg(2+) was confirmed by optical titration
experiment and upconversion luminescence live cell imaging. Using the ratiometric
upconversion luminescence as a detection signal, the detection limit of Hg(2+)
for this nanoprobe in water was down to 1.95 ppb, lower than the maximum level (2
ppb) of Hg(2+) in drinking water set by the United States EPA. Importantly, the
nanoprobe N719-UCNPs has been shown to be capable of monitoring changes in the
distribution of Hg(2+) in living cells by upconversion luminescence bioimaging.
PMID- 21899310
TI - Molecular weight effect on the formation of beta phase poly(9,9'-dioctylfluorene)
in dilute solutions.
AB - The effect of molecular weight on the formation of beta phase poly(9,9'
dioctylfluorene) (PF8) was studied in dilute solutions. Temperature-dependent
fluorescence experiments of unique synthetic batches as well as size-excluded
single batches of polyflourene were studied. Each batch had unique molecular
weight, tetrahedral defect concentration, and polydispersity index (PDI).
Polyflourene was found to exhibit a temperature-dependent transition between two
phases with distinct electronic transition signatures: the alpha (primary) phase
and the beta (secondary) phase. In dilute solutions, the temperature at which the
polymer exhibited a conversion between these phases showed a clear dependence on
molecular weight. We model this transition temperature for beta phase formation
using the mean field theory for the coil-globule transition developed by Isaac
Sanchez. Results show that temperature affects the average end-to-end distance
corresponding to increases in secondary electronic absorption and that the
dependence on temperature related to the coil-globule transition.
PMID- 21899311
TI - Pyrene-loaded polypyrrole microvessels.
AB - The encapsulation of guest molecules within polymeric hollow nano- or microscale
structures is a rapidly developing field of interdisciplinary research due to a
variety of applications ranging from drug delivery and sensor fabrication to
nanoscale synthesis and bioinspired mineralization. We report on the
encapsulation of pyrene within three-dimensional polypyrrole microvessels
synthesized by precipitation polymerization of pyrrole onto toluene droplets that
contain pyrene. Steady state and time-resolved fluorescence measurements show
that the optical response and dynamics of encapsulated pyrene is significantly
different from that in the free solution, likely due to interactions with
oligomeric species generated during the polymerization process that partition
into the organic core of the microvessel. Our results indicate that the
encapsulation process can have a significant influence on the local environment
of encapsulated species, an issue that is critical from the perspective of
potential synthetic or medical applications.
PMID- 21899312
TI - Multiple equilibria interaction pattern between the ionic liquids C(n)mimPF6 and
beta-cyclodextrin in aqueous solutions.
AB - The interactions of ionic liquids (ILs) 1-alkyl-3-methylimidazolium
hexafluorophosphate (C(n)mimPF(6), n = 2, 4, 6, 8) with beta-cyclodextrin (beta
CD) in aqueous solutions are investigated in this article. The stoichiometry and
apparent association constants were obtained by the competitive fluorescence
method, NMR measurements, and isothermal titration calorimetry (ITC). The results
showed that C(2)mimPF(6), C(4)mimPF(6), and C(6)mimPF(6) form 1:1 (guest:host)
inclusion complexes with beta-CD whereas the 1:2 inclusion complex can be formed
between C(8)mimPF(6) and beta-CD. We studied the existence state of the ILs and
found that they exist mainly as separated ions with a minor percentage existing
as associated ion pairs within the concentration studied. By ESI/HRMS, the
coexistence of different complexes including C(n)mim(+).PF(6)(-)-beta-CD,
C(n)mim(+)-beta-CD (or C(n)mim(+)-beta-CD(2)), and PF(6)(-)-beta-CD was observed.
It is also deduced that the intermediate complex, (beta-CD-cation).(anion-beta
CD), may dissociate into cation-beta-CD and anion-beta-CD complexes.
Thermodynamic parameters determined by ITC indicate that, while the inclusion
process for C(6)mimPF(6)/beta-CD complex is entropy and enthalpy driven, the
interactions of other C(n)mimPF(6) (n = 2, 4, 8) with beta-CD are enthalpy
controlled. Based on these results, a more comprehensive pattern involving
multiple equilibria on the interaction between C(n)mimPF(6) and beta-CD in
aqueous solutions is presented herein.
PMID- 21899313
TI - Potent and selective inhibitors of glutathione S-transferase omega 1 that impair
cancer drug resistance.
AB - Glutathione S-transferases (GSTs) are a superfamily of enzymes that conjugate
glutathione to a wide variety of both exogenous and endogenous compounds for
biotransformation and/or removal. Glutathione S-tranferase omega 1 (GSTO1) is
highly expressed in human cancer cells, where it has been suggested to play a
role in detoxification of chemotherapeutic agents. Selective inhibitors of GSTO1
are, however, required to test the role that this enzyme plays in cancer and
other (patho)physiological processes. With this goal in mind, we performed a
fluorescence polarization activity-based protein profiling (fluopol-ABPP) high
throughput screen (HTS) with GSTO1 and the Molecular Libraries Small Molecule
Repository (MLSMR) 300K+ compound library. This screen identified a class of
selective and irreversible alpha-chloroacetamide inhibitors of GSTO1, which were
optimized to generate an agent KT53 that inactivates GSTO1 with excellent in
vitro (IC(50) = 21 nM) and in situ (IC(50) = 35 nM) potency. Cancer cells treated
with KT53 show heightened sensitivity to the cytotoxic effects of cisplatin,
supporting a role for GSTO1 in chemotherapy resistance.
PMID- 21899314
TI - Optically definable reaction-diffusion-driven pattern generation of Ag-Au
nanoparticles on templated surfaces.
AB - We introduce a new lithographic method for the generation of 2D patterns of
composite nanoparticles (NPs) of Ag and Au by taking recourse to combine top-down
and bottom-up approaches. Micrometer-scale and submicrometer-scale patterned Ag
foils of commercially available compact disks (CDs) and digital versatile disks
(DVDs), respectively, were used as templates. The galvanic replacement reaction
of Ag by HAuCl(4) in the presence of the dye coatings on the foils led to the
formation of patterned NP composites of Ag and Au, in addition to the formation
of AgCl. The resultant structures appeared in the form of cross patterns of
particles with micrometer and submicrometer dimensions. The AgCl crystals thus
formed could be removed by using either a saturated NaCl solution or aqueous
ammonia. In addition, AgCl could be converted to Ag by electrochemical reduction,
thus generating Ag-coated Au NPs. Interestingly, the digital writing on CDs led
to the formation of tertiary imprints on the patterns, based on the original
writing patterns. This provided an additional handle in generating hierarchical
patterns using light in combination with a chemical reaction diffusion process
and the nearly parallel line patterns originally present in commercial CDs. The
reactions could be carried out in aqueous solution, and the method does not
require any additional curing. Also, the density of patterned particles is
scalable on the basis of the choice of the original line patterns as present in
CDs and DVDs.
PMID- 21899315
TI - Photoexcitation of the blue light using FAD photoreceptor AppA results in
ultrafast changes to the protein matrix.
AB - Photoexcitation of the flavin chromophore in the BLUF photosensor AppA results in
a conformational change that leads to photosensor activation. This conformational
change is mediated by a hydrogen-bonding network that surrounds the flavin, and
photoexcitation is known to result in changes in the network that include a
strengthening of hydrogen bonding to the flavin C4?O carbonyl group. Q63 is a key
residue in the hydrogen-bonding network, and replacement of this residue with a
glutamate results in a photoinactive mutant. While the ultrafast time-resolved
infrared (TRIR) spectrum of Q63E AppA(BLUF) is characterized by flavin carbonyl
modes at 1680 and 1650 cm(-1), which are similar in frequency to the analogous
modes from the light activated state of the wild-type protein, a band is also
observed in the TRIR spectrum at 1724 cm(-1) that is unambiguously assigned to
the Q63E carboxylic acid based on U-(13)C labeling of the protein. Light
absorption instantaneously (<100 fs) bleaches the 1724 cm(-1) band leading to a
transient absorption at 1707 cm(-1). Because Q63E is not part of the
isoalloxazine electronic transition, the shift in frequency must arise from a sub
picosecond perturbation to the flavin binding pocket. The light-induced change in
the frequency of the Q63E side chain is assigned to an increase in hydrogen-bond
strength of 3 kcal mol(-1) caused by electronic reorganization of the
isoalloxazine ring in the excited state, providing direct evidence that the
protein matrix of AppA responds instantaneously to changes in the electronic
structure of the chromophore and supporting a model for photoactivation of the
wild-type protein that involves initial tautomerization of the Q63 side chain.
PMID- 21899316
TI - Cofactor-free detection of phosphatidylserine with cyclic peptides mimicking
lactadherin.
AB - Cyclic peptides (cLacs) are designed to mimic the natural phosphatidylserine (PS)
binding protein lactadherin. Unlike annexin V or its small molecule mimics, the
cLac peptides selectively target PS-presenting membranes with no need for metal
cofactors. We further show that a fluorophore-labeled cLac effectively stains
early apoptotic cells. The small size and facile conjugation with a variety of
imaging tracers make the cLac design promising for imaging cell death in vitro as
well as in living organisms.
PMID- 21899317
TI - Spectral response of 4-methyl-2,6-dicarbomethoxyphenol, an excited-state
intramolecular proton-transfer probe in cyclohexane-ethanol mixtures: signatures
of medium microheterogeneity.
AB - In this paper, we explore the role of microscopic heterogeneity of the medium on
the spectral response of an excited-state proton-transfer (ESIPT) probe, namely,
4-methyl-2,6-dicarbomethoxyphenol (CMOH) using steady-state and time-resolved
emission spectroscopy. The mixtures of two solvents with widely different
properties, viz., cyclohexane, a nonpolar, and ethanol, a polar protic solvent,
were used as microheterogeneous media for spectroscopic studies. Dual
fluorescence (normal and tautomer fluorescence) is observed in the nonpolar
solvent (cyclohexane), while only a single peak is observed in the protic
solvent, ethanol. The spectral responses of CMOH in the binary mixtures have been
found to be dependent on the solvent composition and excitation wavelength. The
emission spectral properties of CMOH in the cyclohexane-ethanol mixture have been
seen to be superposition of spectral properties in their bulk counterparts,
indicating the presence of microscopic heterogeneity in the system. A
zwitterionic species of CMOH appears to have been detected in binary solvent
mixtures with higher ethanol content only through low-energy excitations. The
species is converted into an anionic species as excitation energy increases.
Density functional theory calculations indicate that two intramolecularly
hydrogen bonded rotamers of CMOH have a small energy difference. The formation of
a hydrogen bonded 1:1 molecular cluster of CMOH with ethanol has been
investigated in the ground state at the same level of theory. Our findings are
expected to shed light on the mechanism of many acid-base reactions occurring in
microscopically inhomogeneous media that often mimic many biologically relevant
processes.
PMID- 21899318
TI - Nanoparticles targeting the infarcted heart.
AB - We report a nanoparticulate system capable of targeting the heart after
myocardial infarction (MI). Targeting is based on overexpression of angiotensin
II type 1 (AT1) receptor in the infarcted heart. Liposomes 142 nm in diameter
were conjugated with a ligand specific to AT1. The nanoparticles were able to
specifically target cardiac cells in vitro, and in the infarcted heart after
intravenous injection in vivo. This system may be useful for delivering
therapeutic agents specifically to the infarcted heart.
PMID- 21899320
TI - Toward local growth of individual nanowires on three-dimensional microstructures
by using a minimally invasive catalyst templating method.
AB - We present a novel minimally invasive postprocessing method for catalyst
templating based on focused charged particle beam structuring, which enables a
localized vapor-liquid-solid (VLS) growth of individual nanowires on
prefabricated three-dimensional micro- and nanostructures. Gas-assisted focused
electron beam induced deposition (FEBID) was used to deposit a SiO(x) surface
layer of about 10 * 10 MUm(2) on top of a silicon atomic force microscopy
cantilever. Gallium focused ion beam (FIB) milling was used to make a hole
through the SiO(x) layer into the underlying silicon. The hole was locally filled
with a gold catalyst via FEBID using either Me(2)Au(tfac) or Me(2)Au(acac) as
precursor. Subsequent chemical vapor deposition (CVD)-induced VLS growth using a
mixture of SiH(4) and Ar resulted in individual high quality crystalline
nanowires. The process, its yield, and the resulting angular distribution/crystal
orientation of the silicon nanowires are discussed. The presented combined
FIB/FEBID/CVD-VLS process is currently the only proven method that enables the
growth of individual monocrystalline Si nanowires on prestructured substrates and
devices.
PMID- 21899319
TI - Crystallographic snapshots of tyrosine phenol-lyase show that substrate strain
plays a role in C-C bond cleavage.
AB - The key step in the enzymatic reaction catalyzed by tyrosine phenol-lyase (TPL)
is reversible cleavage of the Cbeta-Cgamma bond of L-tyrosine. Here, we present X
ray structures for two enzymatic states that form just before and after the
cleavage of the carbon-carbon bond. As for most other pyridoxal 5'-phosphate
dependent enzymes, the first state, a quinonoid intermediate, is central for the
catalysis. We captured this relatively unstable intermediate in the crystalline
state by introducing substitutions Y71F or F448H in Citrobacter freundii TPL and
briefly soaking crystals of the mutant enzymes with a substrate 3-fluoro-L
tyrosine followed by flash-cooling. The X-ray structures, determined at ~2.0 A
resolution, reveal two quinonoid geometries: "relaxed" in the open and "tense" in
the closed state of the active site. The "tense" state is characterized by
changes in enzyme contacts made with the substrate's phenolic moiety, which
result in significantly strained conformation at Cbeta and Cgamma positions. We
also captured, at 2.25 A resolution, the X-ray structure for the state just after
the substrate's Cbeta-Cgamma bond cleavage by preparing the ternary complex
between TPL, alanine quinonoid and pyridine N-oxide, which mimics the alpha
aminoacrylate intermediate with bound phenol. In this state, the enzyme-ligand
contacts remain almost exactly the same as in the "tense" quinonoid, indicating
that the strain induced by the closure of the active site facilitates elimination
of phenol. Taken together, structural observations demonstrate that the enzyme
serves not only to stabilize the transition state but also to destabilize the
ground state.
PMID- 21899321
TI - Structure of the lycorinine alkaloid nobilisitine A.
AB - The structure 3 recently proposed, on the basis of computed NMR chemical shifts,
for the natural product nobilisitine A has been synthesized from its C5-epimer
(+)-clividine (4). The spectral data derived from compound 3 match those reported
for the natural product.
PMID- 21899322
TI - Palladium catalyzed 1,8-conjugate addition to heptafulvene via bis-pi-allyl
palladium complexes.
AB - The palladium catalyzed 1,8-conjugate addition of heptafulvene, an antiaromatic
conjugated 8pi-electron system, is discussed. The method is utilized for the
concise synthesis of bis-functionalized cycloheptatriene (CHT) derivatives. This
is the first report on the palladium catalyzed bisfunctionalization of a cyclic
cross conjugated system.
PMID- 21899323
TI - Single-molecule magnet behavior with a single metal center enhanced through
peripheral ligand modifications.
AB - Bis(imino)pyridine pincer ligands in conjunction with two isothiocyanate ligands
have been used to prepare two mononuclear Co(II) complexes. Both complexes have a
distorted square-pyramidal geometry with the Co(II) centers lying above the basal
plane. This leads to significant spin-orbit coupling for the d(7) Co(II) ions and
consequently to slow relaxation of the magnetization that is characteristic of
Single-Molecule Magnet (SMM) behavior.
PMID- 21899324
TI - Conjugation paths in monosubstituted 1,2- and 2,3-naphthoquinones.
AB - Optimization of monosubstituted (X = NO, NO(2), CN, CHO, Me, OMe, OH, NH(2),
NHMe, and N(Me)(2)) derivatives of 1,2- and 2,3-naphthoquinone by use of B3LYP
with the 6-311+G** basis set applying the GAUSSIAN03 program allowed us to
analyze the character of interactions between the substituents and the carbonyl
groups. It is shown that only one of two carbonyl groups exhibited substantial
substituent effect evidenced by regression of the CO bond length and
delocalization index, DI(CO) on the Hammett substituent constants, sigma(p), with
a very high correlation coefficient, whereas the other one did not depend in any
substantial way on sigma(p). Dependences of conjugation path built up of bonds
between substituent and oxygen atoms of carbonyl groups on sigma(p), give more
acceptable correlations if the number of bonds in the path is even than in cases
when they are odd.
PMID- 21899325
TI - Three-dimensional nanobranched indium-tin-oxide anode for organic solar cells.
AB - A nanostructured three-dimensional (3D) electrode using transparent conducting
oxide (TCO) is an effective approach for increasing the efficiency of
optoelectronic devices used in daily life. Tin-doped indium oxide (ITO) is a
representative TCO with high conductivity and a high work function for anode
applications. This paper reports the fabrication of a large-area ITO
nanostructure with a branch shape using an electron beam evaporation process at
temperatures as low as 80 degrees C, which was free of any carrier gas and
catalyst. The large surface to volume ratio in the anode by the ITO nanobranches
increases both the hole mobility by a 3D pathway and light absorbance by
scattering, resulting in organic solar cells with a 12% increase in photocurrent
and 20% photoconversion efficiency based on the bulk heterojunction of P3HT
[region-regular poly(3-hexylthiophene)] and PCBM [phenyl-C61-butyric acid methyl
ester].
PMID- 21899326
TI - Inlet ionization: a new highly sensitive approach for liquid chromatography/mass
spectrometry of small and large molecules.
AB - Inlet ionization is a new approach for ionizing both small and large molecules in
solids or liquid solvents with high sensitivity. The utility of solvent based
inlet ionization mass spectrometry (MS) as a method for analysis of volatile and
nonvolatile compounds eluting from a liquid chromatography (LC) column is
demonstrated. This new LC/MS approach uses reverse phase solvent systems common
to electrospray ionization MS. The first LC/MS analyses using this novel approach
produced sharp chromatographic peaks and good quality full mass range mass
spectra for over 25 peptides from injection of only 1 pmol of a tryptic digest of
bovine serum albumin using an eluent flow rate of 55 MUL min(-1). Similarly, full
acquisition LC/MS/MS of the MH(+) ion of the drug clozapine, using the same
solvent flow rate, produced a signal-to-noise ratio of 54 for the major fragment
ion with injection of only 1 MUL of a 2 ppb solution. LC/MS results were acquired
on two different manufacturer's mass spectrometers using a Waters Corporation
NanoAcquity liquid chromatograph.
PMID- 21899327
TI - Selective CO2 conversion to formate conjugated with H2O oxidation utilizing
semiconductor/complex hybrid photocatalysts.
AB - Photoelectrochemical reduction of CO(2) to HCOO(-) (formate) over p-type InP/Ru
complex polymer hybrid photocatalyst was highly enhanced by introducing an
anchoring complex into the polymer. By functionally combining the hybrid
photocatalyst with TiO(2) for water oxidation, selective photoreduction of CO(2)
to HCOO(-) was achieved in aqueous media, in which H(2)O was used as both an
electron donor and a proton source. The so-called Z-scheme (or two-step
photoexcitation) system operated with no external electrical bias. The
selectivity for HCOO(-) production was >70%, and the conversion efficiency of
solar energy to chemical energy was 0.03-0.04%.
PMID- 21899328
TI - Azaxanthene based selective glucocorticoid receptor modulators: design,
synthesis, and pharmacological evaluation of (S)-4-(5-(1-((1,3,4-thiadiazol-2
yl)amino)-2-methyl-1-oxopropan-2-yl)-5H-chromeno[2,3-b]pyridin-2-yl)-2-fluoro-N,N
dimethylbenzamide (BMS-776532) and its methylene homologue (BMS-791826).
AB - Structurally novel 5H-chromeno[2,3-b]pyridine (azaxanthene) selective
glucocorticoid receptor (GR) modulators have been identified. A screening
paradigm utilizing cellular assays of GR-mediated transrepression of
proinflammatory transcription factors and transactivation of GR-dependent genes
combined with three physiologically relevant assays of cytokine induction in
human whole blood has allowed for the identification of high affinity, selective
GR ligands that display a broad range of pharmacological profiles. Agonist
efficacy in reporter assays can be tuned by halogenation of a pendent phenyl ring
and correlates well with efficacy for cytokine inhibition in human whole blood. A
hypothetical binding mode is proposed, invoking an expanded ligand binding pocket
resembling that of arylpyrazole-bound GR structures. Two compounds of close
structural similarity (35 and 37; BMS-776532 and BMS-791826, respectively) have
been found to maintain distinct and consistent levels of partial agonist efficacy
across several assays, displaying anti-inflammatory activity comparable to that
of prednisolone 2 in suppressing cytokine production in whole blood and in rodent
models of acute and chronic inflammation.
PMID- 21899330
TI - An interfacial and bulk charge transport model for dye-sensitized solar cells
based on photoanodes consisting of core-shell nanowire arrays.
AB - Dye-sensitized solar cells (DSSCs) based on ordered photoanode morphologies, such
as nanotubes and nanowires, are widely gaining attention because these geometries
are believed to enhance interfacial charge transfer and bulk charge transport.
Unfortunately, experimental results have yet to show substantial improvement to
conversion efficiency over nanoparticle-based DSSCs. A model is developed to
characterize the performance of an idealized photoanode based on an ordered array
of transparent conductive nanowires coated with an anatase titania shell. The
role of the interfacial electric field in nanowire-based DSSCs is explored
computationally by turning electron migration ON or OFF. The results show that
back-reaction rates are most strongly influenced by the electric field. These
electron loss mechanisms can be reduced by several orders of magnitude, leading
to improvements in short-circuit current, open-circuit voltage, and fill factor.
PMID- 21899329
TI - Single-molecule detection of H2O2 mediating angiogenic redox signaling on
fluorescent single-walled carbon nanotube array.
AB - Reactive oxygen species, specifically hydrogen peroxide (H(2)O(2)), activate
signal transduction pathways during angiogenesis and therefore play an important
role in physiological development as well as various pathophysiologies. Herein,
we utilize a near-infrared fluorescent single-walled carbon nanotube (SWNT)
sensor array to measure the single-molecule efflux of H(2)O(2) from human
umbilical vein endothelial cells (HUVEC) in response to angiogenic stimulation.
Two angiogenic agents were investigated: the pro-angiogenic cytokine, vascular
endothelial growth factor A (VEGF-A) and the recently identified inorganic pro
angiogenic factor, europium(III) hydroxide in nanorod form. The nanosensor array
consists ofa SWNT embedded within a collagen matrix that exhibits high
selectivity and sensitivity to single molecules of H(2)O(2). A calibration from
12.5 to 400 nM quantifies the production of H(2)O(2) at nanomolar concentration
in HUVEC with 1 s temporal and 300 nm spatial resolutions. We find that the
production of H(2)O(2) following VEGF stimulation is elevated outside of HUVEC,
but not for stimulation via nanorods, while increased generation is observed in
the cytoplasm for both cases, suggesting two distinct signaling pathways.
PMID- 21899331
TI - Rational domain swaps decipher programming in fungal highly reducing polyketide
synthases and resurrect an extinct metabolite.
AB - The mechanism of programming of iterative highly reducing polyketide synthases
remains one of the key unsolved problems of secondary metabolism. We conducted
rational domain swaps between the polyketide synthases encoding the biosynthesis
of the closely related compounds tenellin and desmethylbassianin. Expression of
the hybrid synthetases in Aspergillus oryzae led to the production of
reprogrammed compounds in which the changes to the methylation pattern and chain
length could be mapped to the domain swaps. These experiments reveal for the
first time the origin of programming in these systems. Domain swaps combined with
coexpression of two cytochrome P450 encoding genes from the tenellin biosynthetic
gene cluster led to the resurrection of the extinct metabolite bassianin.
PMID- 21899332
TI - P1-substituted symmetry-based human immunodeficiency virus protease inhibitors
with potent antiviral activity against drug-resistant viruses.
AB - Because there is currently no cure for HIV infection, patients must remain on
long-term drug therapy, leading to concerns over potential drug side effects and
the emergence of drug resistance. For this reason, new and safe antiretroviral
agents with improved potency against drug-resistant strains of HIV are needed. A
series of HIV protease inhibitors (PIs) with potent activity against both wild
type (WT) virus and drug-resistant strains of HIV was designed and synthesized.
The incorporation of substituents with hydrogen bond donor and acceptor groups at
the P1 position of our symmetry-based inhibitor series resulted in significant
potency improvements against the resistant mutants. By this approach, several
compounds, such as 13, 24, and 29, were identified that demonstrated similar or
improved potencies compared to 1 against highly mutated strains of HIV derived
from patients who previously failed HIV PI therapy. Overall, compound 13
demonstrated the best balance of potency against drug resistant strains of HIV
and oral bioavailability in pharmacokinetic studies. X-ray analysis of an HIV PI
with an improved resistance profile bound to WT HIV protease is also reported.
PMID- 21899333
TI - Multiscale-tailored bioelectrode surfaces for optimized catalytic conversion
efficiency.
AB - We describe the elaboration of a multiscale-tailored bioelectrocatalytic system.
The combination of two enzymes, D-sorbitol dehydrogenase and diaphorase, is
studied with respect to the oxidation of D-sorbitol as a model system. The
biomolecules are immobilized in an electrodeposited paint (EDP) layer.
Reproducible and efficient catalysis of D-sorbitol oxidation is recorded when
this system is immobilized on a gold electrode modified by a self-assembled
monolayer of 4-carboxy-(2,5,7-trinitro-9-fluorenylidene)malonitrile used as a
mediator. The insertion of mediator-modified gold nanoparticles into the EDP film
increases significantly the active surface area for the catalytic reaction, which
can be further enhanced when the whole system is immobilized in macroporous gold
electrodes. This multiscale architecture finally leads to a catalytic device with
optimized efficiency for potential use in biosensors, bioelectrosynthesis, and
biofuel cells.
PMID- 21899334
TI - Evaluation of the deuterium isotope effect in zwitterionic hydrophilic
interaction liquid chromatography separations for implementation in a
quantitative proteomic approach.
AB - Quantitative methodologies for the global in-depth comparison of proteomes are
frequently based on chemical derivatization of peptides with isotopically
distinguishable labeling agents. In the present work, we set out to study the
feasibility of the dimethyl labeling method in combination with ZIC-cHILIC
(zwitterionic hydrophilic interaction liquid chromatography) technology for
quantitative proteomics. We first addressed the potential issue of isotope
effects perturbing the essential coelution of differently labeled peptides under
ZIC-cHILIC separation. The deuterium incorporation-induced effect can be largely
eliminated by favoring the mixed-mode ZIC-cHILIC separation based on combined
hydrophilic and ionic interactions. Then, we evaluated the performance and
applicability of this strategy using a sample consisting of human cell lysate. We
demonstrate that our approach is suitable to perform unbiased quantitative
proteome analysis, still quantifying more than 2500 proteins when analyzing only
a few micrograms of sample.
PMID- 21899335
TI - Improved tumor targeting of polymer-based nanovesicles using polymer-lipid
blends.
AB - Block copolymer-based vesicles have recently garnered a great deal of interest as
nanoplatforms for drug delivery and molecular imaging applications due to their
unique structural properties. These nanovesicles have been shown to direct their
cargo to disease sites either through enhanced permeability and retention or even
more efficiently via active targeting. Here, we show that the efficacy of
nanovesicle targeting can be significantly improved when prepared from polymer
lipid blends compared with block copolymer alone. Polymer-lipid hybrid
nanovesicles were produced from the aqueous coassembly of the diblock copolymer,
poly(ethylene oxide)-block-polybutadiene (PEO-PBD), and the phospholipid,
hydrogenated soy phosphatidylcholine (HSPC). The PEG-based vesicles, 117 nm in
diameter, were functionalized with either folic acid or anti-HER2/neu affibodies
as targeting ligands to confer specificity for cancer cells. Our results revealed
that nanovesicles prepared from polymer-lipid blends led to significant
improvement in cell binding compared to nanovesicles prepared from block
copolymer alone in both in vitro cell studies and murine tumor models. Therefore,
it is envisioned that nanovesicles composed of polymer-lipid blends may
constitute a preferred embodiment for targeted drug delivery and molecular
imaging applications.
PMID- 21899336
TI - Substrate-triggered activation of a synthetic [Fe2(MU-O)2] diamond core for C-H
bond cleavage.
AB - An [Fe(IV)(2)(MU-O)(2)] diamond core structure has been postulated for
intermediate Q of soluble methane monooxygenase (sMMO-Q), the oxidant responsible
for cleaving the strong C-H bond of methane and its hydroxylation. By extension,
analogous species may be involved in the mechanisms of related diiron
hydroxylases and desaturases. Because of the paucity of well-defined synthetic
examples, there are few, if any, mechanistic studies on the oxidation of
hydrocarbon substrates by complexes with high-valent [Fe(2)(MU-O)(2)] cores. We
report here that water or alcohol substrates can activate synthetic
[Fe(III)Fe(IV)(MU-O)(2)] complexes supported by tetradentate tris(pyridyl-2
methyl)amine ligands (1 and 2) by several orders of magnitude for C-H bond
oxidation. On the basis of detailed kinetic studies, it is postulated that the
activation results from Lewis base attack on the [Fe(III)Fe(IV)(MU-O)(2)] core,
resulting in the formation of a more reactive species with a [X-Fe(III)-O
Fe(IV)?O] ring-opened structure (1-X, 2-X, X = OH(-) or OR(-)). Treatment of 2
with methoxide at -80 degrees C forms the 2-methoxide adduct in high yield,
which is characterized by an S = 1/2 EPR signal indicative of an
antiferromagnetically coupled [S = 5/2 Fe(III)/S = 2 Fe(IV)] pair. Even at this
low temperature, the complex undergoes facile intramolecular C-H bond cleavage to
generate formaldehyde, showing that the terminal high-spin Fe(IV)?O unit is
capable of oxidizing a C-H bond as strong as 96 kcal mol(-1). This intramolecular
oxidation of the methoxide ligand can in fact be competitive with intermolecular
oxidation of triphenylmethane, which has a much weaker C-H bond (D(C-H) 81 kcal
mol(-1)). The activation of the [Fe(III)Fe(IV)(MU-O)(2)] core is dramatically
illustrated by the oxidation of 9,10-dihydroanthracene by 2-methoxide, which has
a second-order rate constant that is 3.6 * 10(7)-fold larger than that for the
parent diamond core complex 2. These observations provide strong support for the
DFT-based notion that an S = 2 Fe(IV)?O unit is much more reactive at H-atom
abstraction than its S = 1 counterpart and suggest that core isomerization could
be a viable strategy for the [Fe(IV)(2)(MU-O)(2)] diamond core of sMMO-Q to
selectively attack the strong C-H bond of methane in the presence of weaker C-H
bonds of amino acid residues that define the diiron active site pocket.
PMID- 21899338
TI - Synthesis of titania-silica core-shell microspheres via a controlled interface
reaction in a microfluidic device.
AB - In this work, we describe a novel, simple microfluidic method for fabricating
titania-silica core-shell microspheres. Uniform droplets of silica sol were
dispersed into an oil phase containing tetrabutyl titanate via a coaxial
microfluidic device. The titanium alkoxide hydrolyzed at the water-oil interface
after the formation of the aqueous droplets. A gel shell containing the titanium
hydroxide formed around the droplets, and the titania-silica core-shell
microspheres were obtained after calcinations. The X-ray diffraction results show
that titania coatings crystallized into a pure anatase structure. The scanning
electron microscopy and energy-dispersive spectrometry characterization shows
that the microspheres are monodispersed with uniform titania coating on the
surface. The dispersity and size of the microspheres could easily be controlled
by changing the microfluidic flow parameters. The titania content on the surface
could be adjusted in the large range of 1.0-98.0 mol % by varying the continuous
phase composition and the reaction time, and the structures of the core-shell
microshperes could also be controlled.
PMID- 21899339
TI - Contact-independent measurement of electrical conductance of a thin film with a
nanoscale sensor.
AB - Contact effects are a common impediment to electrical measurements throughout the
fields of nanoelectronics, organic electronics, and the emerging field of
graphene electronics. We demonstrate a novel method of measuring electrical
conductance in a thin film of amorphous germanium that is insensitive to contact
effects. The measurement is based on the capacitive coupling of a nanoscale metal
oxide-semiconductor field-effect transistor (MOSFET) to the thin film so that the
MOSFET senses charge diffusion in the film. We tune the contact resistance
between the film and contact electrodes and show that our measurement is
unaffected. With the MOSFET, we measure the temperature and field dependence of
the conductance of the amorphous germanium, which are fit to a model of variable
range hopping. The device structure enables both a contact-independent and a
conventional, contact-dependent measurement, which makes it possible to discern
the effect of the contacts in the latter measurement. This measurement method can
be used for reliable electrical characterization of new materials and to
determine the effect of contacts on conventional electron transport measurements,
thus guiding the choice of optimal contact materials.
PMID- 21899337
TI - Elucidating the energetics of entropically driven protein-ligand association:
calculations of absolute binding free energy and entropy.
AB - The binding of proteins and ligands is generally associated with the loss of
translational, rotational, and conformational entropy. In many cases, however,
the net entropy change due to binding is positive. To develop a deeper
understanding of the energetics of entropically driven protein-ligand binding, we
calculated the absolute binding free energies and binding entropies for two HIV-1
protease inhibitors Nelfinavir and Amprenavir using the double-decoupling method
with molecular dynamics simulations in explicit solvent. For both ligands, the
calculated absolute binding free energies are in general agreement with
experiments. The statistical error in the computed DeltaG(bind) due to
convergence problem is estimated to be >=2 kcal/mol. The decomposition of free
energies indicates that, although the binding of Nelfinavir is driven by nonpolar
interaction, Amprenavir binding benefits from both nonpolar and electrostatic
interactions. The calculated absolute binding entropies show that (1) Nelfinavir
binding is driven by large entropy change and (2) the entropy of Amprenavir
binding is much less favorable compared with that of Nelfinavir. Both results are
consistent with experiments. To obtain qualitative insights into the entropic
effects, we decomposed the absolute binding entropy into different contributions
based on the temperature dependence of free energies along different legs of the
thermodynamic pathway. The results suggest that the favorable entropic
contribution to binding is dominated by the ligand desolvation entropy. The
entropy gain due to solvent release from binding site appears to be more than
offset by the reduction of rotational and vibrational entropies upon binding.
PMID- 21899340
TI - Size-selective enrichment of N-linked glycans using highly ordered mesoporous
carbon material and detection by MALDI-TOF MS.
AB - Many diseases are characterized by the changes of either glycan structure or
glycosylation site of glycoproteins. The glycan profiling can provide the
overview of glycosylation in despite of the absence of the glycosylation sites,
which in turn simplifies the complexity of disease diagnosis. Herein, we describe
a simple method to profile the N-linked glycans by MALDI-TOF MS with the
enrichment using oxidized ordered mesoporous carbon, taking advantages of the
size-exclusive effect of mesopore against proteins as well as the interaction
between glycans and carbon. Twenty four N-linked glycans derived from ovalbumin
could be efficiently detected with high signal-to-noise (S/N) ratios and
sufficient peak intensities. In the analysis of complex serum samples, 32 N
linked glycans could be profiled, and 5 (4 core-fucosylated glycans) of them were
distinguished from liver cancer and healthy samples.
PMID- 21899341
TI - Hydrodynamic forces and critical stresses in low-density aggregates under shear
flow.
AB - The distribution of stresses in rigid colloidal aggregates under a shear flow was
investigated numerically for particle-cluster and cluster-cluster aggregates with
fractal dimensions ranging from 1.7 to 2.3. stokesian dynamics was used to
calculate the hydrodynamic force on each monomer, while the internal intermonomer
interactions were calculated by applying force and torque balances on each
primary particle. Although the hydrodynamic forces act mainly on the periphery of
the clusters, their filamentous structure propagates and accumulates internal
stresses toward the inner region of the aggregates, where consequently the most
loaded intermonomer bonds are located. The spatial stress distribution, when
scaled by the proper power of the radius of gyration, is independent of aggregate
size and fractal dimension. This feature has made it possible to identify the
most probable locations of bond failure in the structure and to estimate the
relationship between shear rate and particle size for the occurrence of
restructuring and of breakage.
PMID- 21899342
TI - Electronic double slit interferometers based on carbon nanotubes.
AB - We report the realization of an electronic double slit interferometer based on
individual carbon nanotubes (SWNT). By performing transport spectroscopy on two
parallel SWNTs in close proximity, we observe superposition of conductance
oscillation with two different frequencies, "inverse" Coulomb blockade patterns,
and Fano-like line-shapes with abrupt phase shifts. These features arise from
coherent interference of electrons that traverse two conduction channels with
significantly different transmission coefficients, underscoring the potential of
SWNT for on-chip realization of electron optics.
PMID- 21899344
TI - G4-DNA-coated gold nanoparticles: synthesis and assembly.
AB - Here, we describe the preparation of stable 15 nm gold nanoparticles (Au-NPs)
coated with parallel-stranded G-quadruplexes (G4-DNA), comprising
phosphorothioate residues on both sides of the DNA. Phosphorothioate residues
located on the surface of the coated particles can anchor them to noncoated ones.
Their incubation with more than 20-fold excess of 15 nm citrate-stabilized Au-NPs
leads to the formation of flower-shaped structures comprising a central noncoated
particle and five to six G-quadruplex-coated ones at the periphery, as revealed
by TEM imaging analysis. The absorption band of the structures is shifted toward
long wavelengths compared to individual particles not connected to each other. We
show a strong dependence of plasmon coupling strength on the length of the DNA
connecting Au-NPs.
PMID- 21899345
TI - Bioreducible block copolymers based on poly(ethylene glycol) and poly(gamma
benzyl L-glutamate) for intracellular delivery of camptothecin.
AB - Poly(ethylene glycol)-b-poly(gamma-benzyl L-glutamate)s bearing the disulfide
bond (PEG-SS-PBLGs), which is specifically cleavable in intracellular
compartments, were prepared via a facile synthetic route as a potential carrier
of camptothecin (CPT). Diblock copolymers with different lengths of PBLG were
synthesized by ring-opening polymerization of benzyl glutamate N-carboxy
anhydride in the presence of a PEG macroinitiator (PEG-SS-NH(2)). Owing to their
amphiphilic nature, the copolymers formed spherical micelles in an aqueous
condition, and their particle sizes (20-125 nm in diameter) were dependent on the
block length of PBLG. Critical micelle concentrations of the copolymers were in
the range 0.005-0.065 mg/mL, which decreased as the block length of PBLG
increased. CPT, chosen as a model anticancer drug, was effectively encapsulated
up to 12 wt % into the hydrophobic core of the micelles by the solvent casting
method. It was demonstrated by the in vitro optical imaging technique that the
fluorescence signal of doxorubicin, quenched in the PEG-SS-PBLG micelles, was
highly recovered in the presence of glutathione (GSH), a tripeptide reducing
disulfide bonds in the cytoplasm. The micelles released CPT completely within 20
h under 10 mM GSH, whereas only 40% of CPT was released from the micelles in the
absence of GSH. From the in vitro cytotoxicity test, it was found that CPT-loaded
PEG-SS-PBLG micelles showed higher toxicity to SCC7 cancer cells than CPT-loaded
PEG-b-PBLG micelles without the disulfide bond. Microscopic observation
demonstrated that the disulfide-containing micelle could effectively deliver the
drug into nuclei of SCC7 cells. These results suggest that PEG-SS-PBLG diblock
copolymer is a promising carrier for intracellular delivery of CPT.
PMID- 21899343
TI - Histone deacetylase inhibitors: emerging mechanisms of resistance.
AB - The histone deacetylase inhibitors (HDIs) have shown promise in the treatment of
a number of hematologic malignancies, leading to the approval of vorinostat and
romidepsin for the treatment of cutaneous T-cell lymphoma and romidepsin for the
treatment of peripheral T-cell lymphoma by the U.S. Food and Drug Administration.
Despite these promising results, clinical trials with the HDIs in solid tumors
have not met with success. Examining mechanisms of resistance to HDIs may lead to
strategies that increase their therapeutic potential in solid tumors. However,
relatively few examples of drug-selected cell lines exist, and mechanisms of
resistance have not been studied in depth. Very few clinical translational
studies have evaluated resistance mechanisms. In the current review, we summarize
many of the purported mechanisms of action of the HDIs in clinical trials and
examine some of the emerging resistance mechanisms.
PMID- 21899346
TI - MicroRNA expression profiles associated with development of drug resistance in
Ehrlich ascites tumor cells.
AB - Multidrug resistance (MDR) poses a major obstacle to successful chemotherapeutic
treatment of cancer, and often involves multiple genes, which may be regulated
post-transcriptionally by microRNAs (miRNAs). The purpose of the present study
was therefore to identify any resistance-associated changes in miRNA expression
in a sensitive and five increasingly drug-resistant Ehrlich ascites tumor (EAT)
cell lines, representing different steps in the development of resistance. We
used an LNA-enhanced microarray platform to study the global miRNA expression
profiles in the six murine EAT cell lines, and identified growth-, hypoxia-, and
resistance-specific miRNA patterns. Among the differentially expressed miRNAs, we
found the two clusters miR-183~miR-96~miR-182 and miR-200b~miR-200a~miR-429 as
well as miR-141 to be consistently upregulated in the MDR cell lines, while miR
125b-5p and the two clusters miR-30d~miR-30b and miR-23b~miR-27b~miR-24-1 were
downregulated in most of the resistant EAT cells. Several of the target genes for
these miRNAs-including Zeb1/Zeb2 and members of the Fox gene family-could
contribute to the drug-resistant phenotype, although we did not find that the
degree of resistance was directly correlated to any specific changes in miRNA
expression. Probably, the observed miRNA expression patterns reflect the
underlying genomic instability of the tumor cells, and further studies are needed
to explore how the highly complex regulatory miRNA networks contribute to the
development of MDR.
PMID- 21899347
TI - Observation of Raman g-peak split for graphene nanoribbons with hydrogen
terminated zigzag edges.
AB - Raman scattering of individual hydrogen-terminated zigzag-edged graphene
nanoribbons (Z-GNRs) was studied with focus on the G-peak. In addition to the
bulk graphene G-peak appearing at ~1594 cm(-1) (G(+)), an edge-related G-peak at
~1583 cm(-1) (G(-)) was observed for Z-GNRs. This additional Raman vibrational
mode originates from the zigzag edges where localized metallic edge states are
present. The relative intensity ratio G(-)/G(+) displays a strong dependence on
the ribbon width (W). It increases gradually with decreasing W, and the G(+)
finally vanishes at W = 5(+/-3) nm. Polarized Raman scattering was also employed
to confirm the four-fold symmetry of the split TO modes, and the results are in
good agreement with previous theoretical predictions. Our work offers the first
direct experimental evidence to confirm the validity of predicted Raman
scattering of GNRs.
PMID- 21899348
TI - Synthesis and characterization of wurtzite ZnTe nanorods with controllable aspect
ratios.
AB - ZnTe nanorods with controllable aspect ratios were synthesized using
polytellurides a tellurium precursor. The use of polytellurides which allow
nucleation and growth at relatively low temperature is the key to formation of
wurtzite phase and controlled anisotropic growth along c-axis. The aspect ratio
of the resulting ZnTe nanorods was controlled by tuning the temperature that in
turn controls the kinetics of the nanocrystal growth. A diameter dependent
quantum confinement effect in ZnTe nanorods was observed by UV-vis absorption
spectroscopy. Transient absorption measurements show ultrafast charge injection
dynamics from ZnTe nanorods, suggesting their strong potential for applications
in photocatalysis.
PMID- 21899350
TI - Exploring the strength, mode, dynamics, and kinetics of binding interaction of a
cationic biological photosensitizer with DNA: implication on dissociation of the
drug-DNA complex via detergent sequestration.
AB - The present study aims at exploring a detailed characterization of the binding
interaction of a promising cancer cell photosensitizer, harmane (HM), with DNA
extracted from herring sperm. The polarity-sensitive prototropic transformation
of HM, a naturally occurring, fluorescent, drug-binding alkaloid, beta-carboline,
is remarkably modified upon interaction with DNA and is manifested through
significant modulations on the absorption and emission profiles of HM. From the
series of studies undertaken in the present program, for example, absorption;
steady-state emission; the effect of chaotrope (urea); iodide ion-induced steady
state fluorescence quenching; circular dichroism (CD); and helix melting from
absorption spectroscopy; the mode of binding of HM into the DNA helix has been
substantiated to be principally intercalative. Concomitantly, a discernible
dependence of the photophysics of the DNA-bound drug on the medium ionic strength
indicates that electrostatic attraction should not be ignored in the interaction.
Efforts have also been delivered to delineate the dynamical aspects of the
interaction, such as modulation in time-resolved fluorescence decay and
rotational relaxation dynamics of the drug within the DNA environment. In view of
the prospective biological applications of HM, the issue of facile dissociation
of intercalated HM from the DNA helix also comprises a crucial prerequisite for
the functioning as an effective therapeutic agent. In this context, our results
imply that the concept of detergent-sequestered dissociation of the drug from the
drug-DNA complex can be a prospective strategy through an appropriate choice of
the detergent molecule. The utility of the present work resides in exploring the
potential applicability of the fluorescence property of HM for studying its
interactions with a relevant biological target, for example, DNA. In addition,
the methods and techniques used in the present work can also be exploited to
study the interaction of HM with other biological, biomimicking assemblies and
drug delivery vehicles, and so forth.
PMID- 21899349
TI - Synthesis, structure, and biological activity of dumbbell-shaped nanocircular
RNAs for RNA interference.
AB - RNA interference (RNAi) is one of the most promising new approaches for disease
therapy. The design of a dumbbell-shaped nanocircular RNA allows it to act as a
short interfering RNA (siRNA) precursor. To optimize the design, we studied the
relationship between the nanostructure and RNAi activity by synthesizing various
RNA dumbbells. An RNA dumbbell with a 23-bp stem and 9-nt loops was the most
potent. Sequence analysis by mass spectrometry showed that Dicer could edit RNA
dumbbells to siRNA species. The reaction offered the slow release of siRNA
species, which conferred prolonged RNAi activity. Introduction of DNA into the
loop position significantly stabilized the dumbbell in biological fluid without
any loss of RNAi activity. In-depth pharmacological evaluation was performed by
introducing dumbbells into HeLa cells that stably express the target luciferase
gene. The dumbbells provided a rapid silencing effect and retained this effect
for a longer time even at a lower concentration than that at which standard siRNA
completely lost RNAi activity. We conclude that an RNA dumbbell with DNA loops is
the most promising design for in vivo applications for RNA medicine.
PMID- 21899351
TI - Novel strategy for microsphere-mediated DNA transfection.
AB - A new approach for microsphere-mediated delivery of plasmid DNA has been
developed and successfully evaluated. Basic molecular biology techniques were
used to linearize and functionalize plasmid DNA by aminomodification, enabling
efficient conjugation to carboxy-functionalized microspheres. A T cell hybridoma
line was successfully transfected as determined by the efficient expression of a
biologically relevant YFP fusion protein. Moreover, our data identified
microsphere-mediated delivery of plasmid DNA as a noninvasive, nontoxic, and
efficient gene delivery method with the potential to be applied to transfection
resistant, nondividing primary cells, including naive T cells.
PMID- 21899352
TI - Multimerized siRNA cross-linked by gold nanoparticles.
AB - In this study, siRNAs terminated with thiol groups were multimerized and cross
linked using ~5 nm gold nanoparticles (AuNPs) via Au-S chemisorption that can be
intracellularly reduced. AuNPs immobilized with single-stranded antisense siRNA
were assembled with those with single-stranded sense siRNA via complementary
hybridization or assembled with those with single-stranded dimeric sense siRNA.
The multimerized siRNA cross-linked by AuNPs showed increased charge density and
enhanced enzymatic stability, and exhibited good complexation behaviors with a
polycationic carrier, linear polyethylenimine (L-PEI). The resultant multi
siRNA/AuNPs/L-PEI polyelectrolyte complexes exhibited far greater gene silencing
efficiencies of green fluorescent protein (GFP) and vascular endothelial growth
factor (VEGF) compared to naked siRNA complexes. They could also be visualized by
micro-CT imaging. The results suggest that AuNP-mediated multimerization of
siRNAs could be a rational approach to achieve both gene silencing and imaging at
a target tissue simultaneously.
PMID- 21899353
TI - alpha-Conotoxin ImI incorporating stable cystathionine bridges maintains full
potency and identical three-dimensional structure.
AB - The two disulfide bonds of alpha-conotoxin ImI, a peptide antagonist of the
alpha7 nicotinic acetylcholine receptor (nAChR), were systematically replaced
with isosteric redox-stable cystathionine thioethers. Regioselective thioether
formation was accomplished on solid support through substitution of a gamma
chlorohomoalanine by an intramolecular cysteine thiol to produce hybrid
thioether/disulfide analogues (2 and 3) as well as a dual cystathionine analogue
(4) that were found to be structurally homologous to alpha-conotoxin ImI by (1)H
NMR. The antagonistic activity at the alpha7 nAChR of cystathionine analogue 3
(pIC(50) = 6.41 +/- 0.09) was identical to that of alpha-conotoxin ImI (1,
pIC(50) = 6.41 +/- 0.09), whereas those of 2 (pIC(50) = 5.96 +/- 0.09) and 4
(pIC(50) = 5.89 +/- 0.09) showed a modest decrease. The effect of oxidation of
the thioethers to sulfoxides was also investigated, with significant changes in
the biological activities observed ranging from a >30-fold reduction (2S?O) to a
3-fold increase (3S?O(B)) in potencies.
PMID- 21899354
TI - Unified molecular view of the air/water interface based on experimental and
theoretical chi(2) spectra of an isotopically diluted water surface.
AB - The energetically unfavorable termination of the hydrogen-bonded network of water
molecules at the air/water interface causes molecular rearrangement to minimize
the free energy. The long-standing question is how water minimizes the surface
free energy. The combination of advanced, surface-specific nonlinear spectroscopy
and theoretical simulation provides new insights. The complex chi((2)) spectra of
isotopically diluted water surfaces obtained by heterodyne-detected sum frequency
generation spectroscopy and molecular dynamics simulation show excellent
agreement, assuring the validity of the microscopic picture given in the
simulation. The present study indicates that there is no ice-like structure at
the surface--in other words, there is no increase of tetrahedrally coordinated
structure compared to the bulk--but that there are water pairs interacting with a
strong hydrogen bond at the outermost surface. Intuitively, this can be
considered a consequence of the lack of a hydrogen bond toward the upper gas
phase, enhancing the lateral interaction at the boundary. This study also
confirms that the major source of the isotope effect on the water chi((2))
spectra is the intramolecular anharmonic coupling, i.e., Fermi resonance.
PMID- 21899355
TI - Dynamics of water at the interface in reverse micelles: measurements of spectral
diffusion with two-dimensional infrared vibrational echoes.
AB - Water dynamics inside of reverse micelles made from the surfactant Aerosol-OT
(AOT) were investigated by observing spectral diffusion, orientational
relaxation, and population relaxation using two-dimensional infrared (2D IR)
vibrational echo spectroscopy and pump-probe experiments. The water pool sizes of
the reverse micelles studied ranged in size from 5.8 to 1.7 nm in diameter. It is
found that spectral diffusion, characterized by the frequency-frequency
correlation function (FFCF), significantly changes as the water pool size
decreases. For the larger reverse micelles (diameter 4.6 nm and larger), the 2D
IR signal is composed of two spectral components: a signal from bulk-like core
water, and a signal from water at the headgroup interface. Each of these signals
(core water and interfacial water) is associated with a distinct FFCF. The FFCF
of the interfacial water layer can be obtained using a modified center line slope
(CLS) method that has been recently developed. The interfacial FFCFs for large
reverse micelles have a single exponential decay (~1.6 ps) to an offset plus a
fast homogeneous component and are nearly identical for all large sizes. The
observed ~1.6 ps interfacial decay component is approximately the same as that
found for bulk water and may reflect hydrogen bond rearrangement of bulk-like
water molecules hydrogen bonded to the interfacial water molecules. The long time
offset arises from dynamics that are too slow to be measured on the accessible
experimental time scale. The influence of the chemical nature of the interface on
spectral diffusion was explored by comparing data for water inside reverse
micelles (5.8 nm water pool diameter) made from the surfactants AOT and Igepal CO
520. AOT has charged, sulfonate head groups, while Igepal CO-520 has neutral,
hydroxyl head groups. It is found that spectral diffusion on the observable time
scales is not overly sensitive to the chemical makeup of the interface. An
intermediate-sized AOT reverse micelle (water pool diameter of 3.3 nm) is
analyzed as a large reverse micelle because it has distinct core and interface
regions, but its core region is more constrained than bulk water. The interfacial
FFCF for this intermediate-sized reverse micelle is somewhat slower than those
found for the larger reverse micelles. The water nanopools in the smaller reverse
micelles cannot be separated into core and interface regions. In the small
reverse micelles, the FFCFs are biexponential decays to an offset plus a fast
homogeneous component. Each small reverse micelle exhibits an ~1 ps decay time,
which may arise from local hydrogen bond fluctuations and a slower, ~6-10 ps
decay, which is possibly due to slow hydrogen bond rearrangement of
noninterfacial water molecules or topography fluctuations at the interface.
PMID- 21899356
TI - Localization of multiple DNA sequences on nanopatterns.
AB - DNA oligonucleotides of different sequences were patterned at the nanoscale.
Areas of positive charge were generated by exposure of insulating substrates,
spin-on hydrogen silsesquioxane or vapor-deposited SiO(2) on Si, with ionizing
radiation sources used in electron beam and extreme ultraviolet lithography. Au
nanoparticles (NPs) with a diameter of 15 nm, carrying covalently bound
negatively charged single-stranded DNA oligonucleotides, were site specifically
immobilized directly on the exposed regions and presented oligonucleotides for
subsequent hybridization. Repeated exposure and deposition of NPs allowed for
patterning multiple DNA sequences. Patterns with dimensions as small as 15 nm
were fabricated using electron beam lithography. The use of DNA-functionalized
NPs rather than just DNA facilitates metrology in scanning electron microscopy
and improves the hybridization efficiency of the oligonucleotides on the surface.
PMID- 21899357
TI - High-yield production and transfer of graphene flakes obtained by anodic bonding.
AB - We report large-yield production of graphene flakes on glass by anodic bonding.
Under optimum conditions, we counted several tens of flakes with lateral size
around 20-30 MUm and a few tens of flakes with larger size. About 60-70% of the
flakes have a negligible D peak. We show that it is possible to easily transfer
the flakes by the wedging technique. The transfer on silicon does not damage
graphene and lowers the doping. The charge mobility of the transferred flakes on
silicon is on the order of 6000 cm(2)/V s (at a carrier concentration of 10(12)
cm(-2)), which is typical for devices prepared on this substrate with exfoliated
graphene.
PMID- 21899358
TI - High selectivity for primary C-H bond cleavage of propane sigma-complexes on the
PdO(101) surface.
AB - We investigated regioselectivity in the initial C-H bond activation of propane
sigma-complexes on the PdO(101) surface using temperature programmed reaction
spectroscopy (TPRS) experiments. We observe a significant kinetic isotope effect
(KIE) in the initial C-H(D) bond cleavage of propane on PdO(101) such that the
dissociation yield of C(3)H(8) is 2.7 times higher than that of C(3)D(8) at
temperatures between 150 and 200 K. Measurements of the reactivity of
(CH(3))(2)CD(2) and (CD(3))(2)CH(2) show that deuteration of the methyl groups is
primarily responsible for the lower reactivity of C(3)D(8) relative to C(3)H(8),
and thus that 1 degrees C-H bond cleavage is the preferred pathway for propane
activation on PdO(101). By analyzing the rate data within the context of a
kinetic model for precursor-mediated dissociation, we estimate that 90% of the
propane sigma-complexes which dissociate on PdO(101) during TPRS do so by 1
degrees C-H bond cleavage.
PMID- 21899359
TI - Protein-binding affinity of leucaena condensed tannins of differing molecular
weights.
AB - Depending on their source, concentration, chemical structure, and molecular
weight, condensed tannins (CTs) form insoluble complexes with protein, which
could lead to ruminal bypass protein, benefiting animal production. In this
study, CTs from Leuceana leucocephala hybrid were fractionated into five
fractions by a size exclusion chromatography procedure. The molecular weights of
the CT fractions were determined using Q-TOF LC-MS, and the protein-binding
affinities of the respective CT fractions were determined using a protein
precipitation assay with bovine serum albumin (BSA) as the standard protein. The
calculated number-average molecular weights (M(n)) were 1348.6, 857.1, 730.1,
726.0, and 497.1, and b values (the b value represents the CT quantity that is
needed to bind half of the maximum precipitable BSA) of the different molecular
weight fractions were 0.381, 0.510, 0.580, 0.636, and 0.780 for fractions 1, 2,
3, 4, and 5, respectively. The results indicated that, in general, CTs of higher
molecular weight fractions have stronger protein-binding affinity than those of
lower molecular weights. However, the number of hydroxyl units within the
structure of CT polymers also affects the protein-binding affinity.
PMID- 21899360
TI - Cell patterning using a template of microstructured organosilane layer fabricated
by vacuum ultraviolet light lithography.
AB - Micropatterning techniques have become increasingly important in cellular
biology. Cell patterning is achieved by various methods. Photolithography is one
of the most popular methods, and several light sources (e.g., excimer lasers and
mercury lamps) are used for that purpose. Vacuum ultraviolet (VUV) light that can
be produced by an excimer lamp is advantageous for fabricating material patterns,
since it can decompose organic materials directly and efficiently without
photoresist or photosensitive materials. Despite the advantages, applications of
VUV light to pattern biological materials are few. We have investigated cell
patterning by using a template of a microstructured organosilane layer fabricated
by VUV lithography. We first made a template of a microstructured organosilane
layer by VUV lithography. Cell adhesive materials (poly(d-lysine) and
polyethyleneimine) were chemically immobilized on the organosilane template,
producing a cell adhesive material pattern. Primary rat cardiac and neuronal
cells were successfully patterned by culturing them on the pattern substrate.
Long-term culturing was attained for up to two weeks for cardiac cells and two
months for cortex cells. We have discussed the reproducibility of cell patterning
and made suggestions to improve it.
PMID- 21899361
TI - On-surface covalent linking of organic building blocks on a bulk insulator.
AB - On-surface synthesis in ultrahigh vacuum provides a promising strategy for
creating thermally and chemically stable molecular structures at surfaces. The
two-dimensional confinement of the educts, the possibility of working at higher
(or lower) temperatures in the absence of solvent, and the templating effect of
the surface bear the potential of preparing compounds that cannot be obtained in
solution. Moreover, covalently linked conjugated molecules allow for efficient
electron transport and are, thus, particularly interesting for future molecular
electronics applications. When having these applications in mind, electrically
insulating substrates are mandatory to provide sufficient decoupling of the
molecular structure from the substrate surface. So far, however, on-surface
synthesis has been achieved only on metallic substrates. Here we demonstrate the
covalent linking of organic molecules on a bulk insulator, namely, calcite. We
deliberately employ the strong electrostatic interaction between the carboxylate
groups of halide-substituted benzoic acids and the surface calcium cations to
prevent molecular desorption and to reach homolytic cleavage temperatures. This
allows for the formation of aryl radicals and intermolecular coupling. By varying
the number and position of the halide substitution, we rationally design the
resulting structures, revealing straight lines, zigzag structures, and dimers,
thus providing clear evidence for the covalent linking. Our results constitute an
important step toward exploiting on-surface synthesis for molecular electronics
and optics applications, which require electrically insulating rather than
metallic supporting substrates.
PMID- 21899362
TI - Light-weight flexible carbon nanotube based organic composites with large
thermoelectric power factors.
AB - Typical organic materials have low thermal conductivities that are best suited to
thermoelectrics, but their poor electrical properties with strong adverse
correlations have prevented them from being feasible candidates. Our composites,
containing single-wall carbon nanotubes, poly(3,4
ethylenedioxythiophene):poly(styrenesulfonate) and/or polyvinyl acetate, show
thermopowers weakly correlated with electrical conductivities, resulting in large
thermoelectric power factors in the in-plane direction of the composites, ~160
MUW/m.K(2) at room temperature, which are orders of magnitude larger than those
of typical polymer composites. Furthermore, their high electrical conductivities,
~10(5) S/m at room temperature, make our composites very promising for various
electronic applications. The optimum nanotube concentrations for better power
factors were identified to be 60 wt % with 40 wt % polymers. It was noticed that
high nanotube concentrations above 60 wt % decreased the electrical conductivity
of the composites due to less effective nanotube dispersions. The thermal
conductivities of our 60 wt % nanotube composites in the out-of-plane direction
were measured to be 0.2-0.4 W/m.K at room temperature. The in-plane thermal
conductivity and thermal contact conductance between nanotubes were also
theoretically estimated.
PMID- 21899363
TI - Self-assembly of collagen-mimetic peptide amphiphiles into biofunctional
nanofiber.
AB - Molecular assembly of protein and peptide is highly specific and frequently
occurs in biological systems. Collagen, which is the most abundant component in
extracellular matrix, can assemble into fiber and play an essential role in cell
adhesion and growth. Since native collagen is difficult to modify and can
engender pathogenic and immunological side effects, its application on tissue
regeneration is limited. The preparation of collagen-mimetic materials, hence, is
gaining interest in the field of tissue regeneration. Collagen peptides have been
synthesized to mimic some properties of collagen, such as its triple helix.
However, few studies have been done to prepare artificial collagen fiber to mimic
its high-level structure and biofunctions. In this work, a novel collagen-mimetic
peptide amphiphile (CPA) was prepared by conjugating a single hydrophobic tail
with a collagen-mimetic peptide, supplemented with bioactive glycine
phenylalanine-hydroxyproline-glycine-glutamate-arginine (GFOGER). The physical
studies indicated that the CPA had a collagen-mimetic triple-helical conformation
and was able to self-assemble into nanofiber. In addition, the CPA conjugated
with the integrin-specific GFOGER sequence was shown to promote collagen-mimetic
cell adhesion and development. The self-assembled peptide nanofiber was shown to
have the ability to structurally and biologically mimic native collagen fiber. We
anticipate that this artificial collagen fiber holds great potential as collagen
mimetic materials for tissue regeneration applications.
PMID- 21899364
TI - Ent-2'-epi-Orobanchol and its acetate, as germination stimulants for Striga
gesnerioides seeds isolated from cowpea and red clover.
AB - Striga gesnerioides is a root parasitic weed of economic significance to cowpea
(Vigna unguiculata) crops in Western Africa. Seeds of the parasite germinate in
response to cowpea root exudates. Germination stimulants for the seeds were
isolated from the hydroponic culture filtrate of cowpea, and their structures
were unambiguously determined as (-)-(3aR,4R,8bR,2'R)-ent-2'-epi-orobanchol and
(+)-(3aR,4R,8bR,2'R)-ent-2'-epi-orobanchyl acetate, on the basis of mass, CD, and
(1)H NMR spectra; optical rotatory power; and chromatographic behavior on HPLC.
The alcohol was first isolated and identified from the cowpea root exudates, and
the acetate may be the same compound that had been previously isolated from the
exudates and designated as alectrol. Identity of the stimulants produced by
cowpea to those produced by red clover (Trifolium pratense) was confirmed.
PMID- 21899365
TI - Crotalaria medicaginea associated with horse deaths in northern Australia: new
pyrrolizidine alkaloids.
AB - Crotalaria medicaginea has been implicated in horse poisoning in grazing regions
of central-west Queensland, which resulted in the deaths of more than 35 horses
from hepatotoxicosis in 2010. Liver pathology was suggestive of pyrrolizidine
alkaloidosis, and we report here the isolation of two previously uncharacterized
pyrrolizidine alkaloids from C. medicaginea plant specimens collected from
pastures where the horses died. The first alkaloid was shown by mass spectometric
and NMR analyses to be 1beta,2beta-epoxy-7beta-hydroxy-1alpha-methoxymethyl
8alpha-pyrrolizidine, which, like other alkaloids previously isolated from C.
medicaginea, lacks the requisite functionality for hepatotoxcity. The second
alkaloid isolated in this investigation was a new macrocyclic diester of
otonecine, which we have named cromedine. The (1)H and (13)C NMR spectra of
cromedine were fully assigned by 2D NMR techniques and allowed the constitution
of the macrocyclic diester to be assigned unambiguously. C. medicaginea specimens
implicated in this investigation do not belong to any of the three recognized
Australian varieties (C. medicaginea var. neglecta, C. medicaginea var.
medicaginea, and C. medicaginea var. linearis) and appear to be a local variant
or form, referred to here as C. medicaginea (chemotype cromedine).
PMID- 21899366
TI - Metabolic dependence of green tea on plucking positions revisited: a metabolomic
study.
AB - The dependence of global green tea metabolome on plucking positions was
investigated through (1)H nuclear magnetic resonance (NMR) analysis coupled with
multivariate statistical data set. Pattern recognition methods, such as principal
component analysis (PCA) and orthogonal projection on latent structure
discriminant analysis (OPLS-DA), were employed for a finding metabolic
discrimination among fresh green tea leaves plucked at different positions from
young to old leaves. In addition to clear metabolic discrimination among green
tea leaves, elevations in theanine, caffeine, and gallic acid levels but
reductions in catechins, such as epicatechin (EC), epigallocatechin (EGC),
epicatechin-3-gallate (ECG), and epigallocatechin-3-gallate (EGCG), glucose, and
sucrose levels were observed, as the green tea plant grows up. On the other hand,
the younger the green tea leaf is, the more theanine, caffeine, and gallic acid
but the lesser catechins accumlated in the green tea leaf, revealing a reverse
assocation between theanine and catechins levels due to incorporaton of theanine
into catechins with growing up green tea plant. Moreover, as compared to the tea
leaf, the observation of marked high levels of theanine and low levels of
catechins in green tea stems exhibited a distinct tea plant metabolism between
the tea leaf and the stem. This metabolomic approach highlights taking insight to
global metabolic dependence of green tea leaf on plucking position, thereby
providing distinct information on green tea production with specific tea quality.
PMID- 21899367
TI - Molecular insight into conformational transition of amyloid beta-peptide 42
inhibited by (-)-epigallocatechin-3-gallate probed by molecular simulations.
AB - Considerable experimental evidence indicates that (-)-epigallocatechin-3-gallate
(EGCG) inhibits the fibrillogenesis of Abeta(42) and alleviates its associated
cytotoxicity. However, the molecular mechanism of the inhibition effect of EGCG
on the conformational transition of Abeta(42) remains unclear due to the
limitations of current experimental techniques. In this work, molecular dynamics
simulations and molecular mechanics-Poisson-Boltzmann surface area (MM-PBSA)
analysis were coupled to better understand the issue. It was found that the
direct interactions between EGCG and the peptide are the origin of its inhibition
effects. Specifically, EGCG molecules expel water from the surface of the
Abeta(42), cluster with each other, and interact directly with the peptide. The
results of free energy decomposition calculated by MM-PBSA indicate that the
nonpolar term contributes more than 71% to the binding free energy of the EGCG
Abeta(42) complex, while polar interactions (i.e., hydrogen bonding) play a minor
role. It was identified that there are 12 important residues of Abeta(42) that
strongly interact with EGCG (Phe4, Arg5, Phe19, Phe20, Glu22, Lys28, Gly29, Leu34
Gly37, and Ile41), while nonpolar interactions are mainly provided by the side
chains of some hydrophobic residues (Phe, Met and Ile) and the main chains of
some nonhydrophobic residues (Lys28 and Gly29). On the contrary, polar
interactions are mainly formed by the main chain of Abeta(42), of which the main
chains of Gly29 and Gly37 contribute greatly. The work has thus elucidated the
molecular mechanism of the inhibition effect of EGCG on the conformational
transition of Abeta(42), and the findings are considered critical for exploring
more effective agents for the inhibition of Abeta(42) fibrillogenesis.
PMID- 21899368
TI - Copper-free Sonogashira cross-coupling for functionalization of alkyne-encoded
proteins in aqueous medium and in bacterial cells.
AB - Bioorthogonal reactions suitable for functionalization of genetically or
metabolically encoded alkynes, for example, copper-catalyzed azide-alkyne
cycloaddition reaction ("click chemistry"), have provided chemical tools to study
biomolecular dynamics and function in living systems. Despite its prominence in
organic synthesis, copper-free Sonogashira cross-coupling reaction suitable for
biological applications has not been reported. In this work, we report the
discovery of a robust aminopyrimidine-palladium(II) complex for copper-free
Sonogashira cross-coupling that enables selective functionalization of a
homopropargylglycine (HPG)-encoded ubiquitin protein in aqueous medium. A wide
range of aromatic groups including fluorophores and fluorinated aromatic
compounds can be readily introduced into the HPG-containing ubiquitin under mild
conditions with good to excellent yields. The suitability of this reaction for
functionalization of HPG-encoded ubiquitin in Escherichia coli was also
demonstrated. The high efficiency of this new catalytic system should greatly
enhance the utility of Sonogashira cross-coupling in bioorthogonal chemistry.
PMID- 21899369
TI - Investigation of the interface in silica-encapsulated liposomes by combining
solid state NMR and first principles calculations.
AB - In the context of nanomedicine, liposils (liposomes and silica) have a strong
potential for drug storage and release schemes: such materials combine the
intrinsic properties of liposome (encapsulation) and silica (increased rigidity,
protective coating, pH degradability). In this work, an original approach
combining solid state NMR, molecular dynamics, first principles geometry
optimization, and NMR parameters calculation allows the building of a precise
representation of the organic/inorganic interface in liposils. {(1)H-(29)Si}(1)H
and {(1)H-(31)P}(1)H Double Cross-Polarization (CP) MAS NMR experiments were
implemented in order to explore the proton chemical environments around the
silica and the phospholipids, respectively. Using VASP (Vienna Ab Initio
Simulation Package), DFT calculations including molecular dynamics, and geometry
optimization lead to the determination of energetically favorable configurations
of a DPPC (dipalmitoylphosphatidylcholine) headgroup adsorbed onto a hydroxylated
silica surface that corresponds to a realistic model of an amorphous silica slab.
These data combined with first principles NMR parameters calculations by GIPAW
(Gauge Included Projected Augmented Wave) show that the phosphate moieties are
not directly interacting with silanols. The stabilization of the interface is
achieved through the presence of water molecules located in-between the head
groups of the phospholipids and the silica surface forming an interfacial H
bonded water layer. A detailed study of the (31)P chemical shift anisotropy (CSA)
parameters allows us to interpret the local dynamics of DPPC in liposils.
Finally, the VASP/solid state NMR/GIPAW combined approach can be extended to a
large variety of organic-inorganic hybrid interfaces.
PMID- 21899370
TI - SAR and LC/MS studies of beta-lactamic inhibitors of human fatty acid amide
hydrolase (hFAAH): evidence of a nonhydrolytic process.
AB - The endocannabinoid hydrolyzing enzyme FAAH uses a nonclassical catalytic triad
(namely, Ser-Ser-Lys instead of Ser-Asp-His) to cleave its endogenous substrates.
Because inhibiting FAAH has a clear therapeutic potential, we previously
developed beta-lactam-type inhibitors of hFAAH. Here, we report the synthesis of
five novel derivatives (5-9) of our lead compound 1-(pent-4-enoyl)-3(S)-[1(R)-(4
phenylbutanoyloxy)-ethyl]-azetidin-2-one (4, IC(50) = 5 nM) obtained via the
systematic replacement of one to three carbonyls by methylene groups. The SAR
results showed that the imide, but not the lactam, function is essential to the
inhibition of hFAAH. We also performed LC/MS analysis following incubation of our
inhibitors with hFAAH or mouse liver. We demonstrated that hFAAH interacts with
these beta-lactam-type inhibitors but, unexpectedly, does not open the beta
lactam moiety. This mechanism seems to be unique to FAAH because the beta-lactam
function of the inhibitors is hydrolyzed when they are incubated in the presence
of the serine hydrolases expressed in the mouse liver. Finally, we confirmed
these results by showing that a highly selective FAAH inhibitor (PF-750) does not
prevent this hydrolysis by liver homogenates.
PMID- 21899372
TI - Synthesis of a base-stabilized silanone-coordinated complex by oxygenation of a
(silyl)(silylene)tungsten complex.
AB - Base-stabilized silanone complex Cp*(OC)(2)W(SiMe(3)){O?SiMes(2)(DMAP)} (2) was
synthesized by the reaction of (silyl)(silylene)tungsten complex
Cp*(OC)(2)W(SiMe(3))(?SiMes(2)) (1) with 1 equiv of pyridine-N-oxide (PNO) in the
presence of 4-(dimethylamino)pyridine (DMAP). Further oxygenation of 2 with 3
equiv of PNO at 80 degrees C resulted in the formation of a W-O-Si-O-Si
framework to give disiloxanoxy complex Cp*(O)(2)W{OSiMes(2)(OSiMe(3))} (3).
Complex 3 was also obtained by the direct reaction of complex 1 with 4 equiv of
PNO at 80 degrees C.
PMID- 21899373
TI - New generation of dialkylsilylenes with stabilities comparable to
diaminosilylenes: a theoretical study.
AB - A new family of dialkylsilylenes is introduced which enjoys the stabilizing
effect of alpha-cyclopropyl substituents. The singlet and triplet states of
acyclic and saturated/unsaturated cyclic dicyclopropylsilylenes are fully
optimized using MP2/6-31G(d) and B3LYP/6-31+G(d) levels. Their higher DeltaE(S-T)
values compared to the corresponding analogues which possess isopropyl groups
instead of cyclopropyl represents the stabilizing interaction of the occupied
Walsh orbital of the cyclopropyls with the vacant p-orbital of the silylene
center. Appropriate isodesmic reactions clearly show that the stabilizing effect
of this interaction on the singlet state is much more considerable than the
corresponding triplet state. Cyclopropyls can serve as good sites for bulky
substitution and, hence, provide steric protection for silylene.
PMID- 21899371
TI - Simple, direct conjugation of bacterial O-SP-core antigens to proteins:
development of cholera conjugate vaccines.
AB - Bacterial O-SP-core antigens can be conjugated to proteins in the same, simple
way as synthetic, linker-equipped carbohydrates by applying squaric acid
chemistry. Introduction of spacers (linkers) to either O-SP-core antigens or
protein carriers, which is involved in commonly applied protocols, is not
required. The newly developed method described here consists of preparation of a
squaric acid monoester derivative of O-SP-core antigen, utilizing the amino group
inherent in the core, and reaction of the monoester with the carrier protein. The
intermediate monoester can be easily purified; its conjugation can be monitored
by SELDI-TOF mass spectrometry and, thus, readily controlled, since the
conjugation can be terminated when the desired carbohydrate-protein ratio is
reached. Here, we describe production of conjugates containing the O-SP-core
antigen of Vibrio cholerae O1, the major cause of cholera, a severe dehydrating
diarrheal disease of humans. The resultant products are recognized by
convalescent phase sera from patients recovering from cholera in Bangladesh, and
anti-O-SP-core-protein responses correlate with plasma antilipopolysaccharide and
vibriocidal responses, which are the primary markers of protection from cholera.
The results suggest that such conjugates have potential as vaccines for cholera
and other bacterial diseases.
PMID- 21899374
TI - Computational insight into the electronic structure and absorption spectra of
lithium complexes of N-confused tetraphenylporphyrin.
AB - The present work is a theoretical investigation on lithium complexes of N
confused tetraphenylporphyrins (aka inverted) employing density functional theory
(DFT) and time-dependent DFT, using the B3LYP, CAM-B3LYP, and M06-2X functionals
in conjunction with the 6-31G(d,p) basis set. The purpose of the present study is
to calculate the electronic structure and the bonding of the complexes to explain
the unusual coordination environment in which Li is found experimentally and how
the Li binding affects the Q and the Soret bands. The calculations show that,
unlike a typical tetrahedral Li(+) cation, this Li forms a typical bond with one
N and interacts with the remaining two N atoms, and it is located in the right
place to form an agostic-like interaction with the internal C atom. The reaction
energy, the enthalpy for the formation of the lithium complexes of N-confused
porphyrins, and the effect of solvation are also calculated. The insertion of Li
into N-confused porphyrin, in the presence of tetrahydrofuran, is exothermic with
a reaction energy calculated to be as high as -72.4 kcal/mol using the lithium
bis(trimethylsilyl)amide reagent. Finally, there is agreement in the general
shape among the vis-UV spectra determined with different functionals and the
experimentally available ones. The calculated geometries are in agreement with
crystallographic data, where available.
PMID- 21899375
TI - Determinants of apparent rural-urban differentials in measles vaccination uptake
in Indonesia.
AB - INTRODUCTION: Regional differences in vaccination uptake are common in both
developed and developing countries, and are often linked to the availability of
healthcare services and socioeconomic factors. In 2007, 0.9 million eligible
Indonesian children missed measles vaccination, and 19 456 cases of measles were
documented among Indonesian children. The authors investigated rural-urban
differentials in measles vaccination coverage among young Indonesian children,
and sought to identify key factors influencing the probability of a child
receiving the first dose of measles vaccination in Indonesia. METHODS: Data used
in the analyses were sourced from the nationally representative Indonesia
Demographic and Health Survey 2007. The influence of location of residence,
household wealth, maternal and paternal education, total children ever born and
use of skilled birth attendants on measles vaccination coverage was investigated
using bivariate analysis and chi-square tests. The independent effects of these
variables were established using binomial logistic regression analysis. RESULTS:
Indonesia's 2007 first-dose measles national vaccination coverage was, at 72.8%,
lower than the 2008 global first-dose measles vaccination average coverage of
82%. Bivariate analysis revealed that the first-dose measles vaccination coverage
in rural areas of Indonesia was 68.5%, compared with 80.1% in urban regions (p <
0.001). The apparent significance of rural residence in impairing vaccination
coverage was marginal after controlling for the sex of the child, maternal age,
maternal and paternal education, wealth, and access to skilled health workers.
CONCLUSION: Apart from sustainable initiatives to increase measles vaccination
coverage globally, it is important to close the rural-urban gap in Indonesia's
measles vaccination uptake. Addressing critical determinants of inferior measles
vaccination coverage in Indonesia's rural regions will facilitate major
improvements in Indonesia's child health trends. This article suggests
initiatives for addressing three of such determinants in Indonesia's rural areas:
poverty, parental education and access to skilled health workers.
PMID- 21899376
TI - Changes in CT cerebral blood flow and volume associated with rapid maxillary
expansion in a rabbit model.
AB - OBJECTIVE: To evaluate hemodynamic changes of the brain under the high forces of
a rapid maxillary expansion (RME) appliance in a rabbit model. MATERIALS AND
METHODS: Twenty-four male New Zealand white rabbits were selected (12 weeks old,
mean weight 3.01 +/- 0.22 kg). A modified acrylic resin bonded RME appliance was
used for expansion. A series of perfusion computed tomography examinations was
performed before expansion (T0), on the fifth day of expansion (T1), at the end
of the expansion process (T2), and after 10 days of retention (T3). Cerebral
blood flow, cerebral blood volume, and mean transit time maps were recorded and
parametrics evaluated. Statistical analyses were performed with analysis of
variance with post hoc tests. RESULTS: Mean cerebral blood flow showed an
increase from T0 to T2 and then a decrease from T2 to T3, but the change was not
statistically significant. Mean cerebral blood volume and mean transit time
increased significantly from T0 to T2, and all perfusion parameters increased
from T0 to T3. CONCLUSIONS: RME is a safe orthopedic method despite the high
expansion force. Perfusion computed tomography is effective for evaluating the
hemodynamic changes of the brain caused by some orthopedic therapies. The
increase in both cerebral blood volume and cerebral blood flow may help to
explain why RME could alleviate the symptoms of enuretic children.
PMID- 21899377
TI - Skeletal maturation evaluation using mandibular second molar calcification
stages.
AB - OBJECTIVE: To investigate (1) the relationships between the stages of mandibular
second molar calcification and skeletal maturity; and (2) whether second molar
calcification stages can be used as a reliable diagnostic tool to determine
skeletal maturity. MATERIALS AND METHODS: Samples were derived from panoramic
radiographs and lateral cephalograms of 300 subjects (137 males and 163 females)
with ages ranging from 9 to 18 years, and estimates of dental maturity (Demirjian
Index [DI]) and skeletal maturity (cervical vertebrae maturation indicators
[CVMI]) were made. RESULTS: A highly significant association (C* = 0.854 for
males and 0.866 for females) was found between DI and CVMI. DI stage E
corresponded to stage 2 of CVMI (pre-peak of pubertal growth spurt) and DI stages
F and G corresponded to stages 3 and 4 of CVMI (peak of pubertal growth spurt).
DI stage H was associated with stages 5 and 6 of CVMI (end of pubertal growth
spurt). CONCLUSION: A highly significant association exists between DI and CVMI.
Mandibular second molar DI stages are reliable indicators of skeletal maturity.
PMID- 21899378
TI - Risk factors for periodontal changes in adult patients with banded second molars
during orthodontic treatment.
AB - OBJECTIVE: To identify the risk factors for periodontal changes in adult patients
during orthodontic treatment by evaluating the periodontal status of banded
second molars using the gingival index (GI). MATERIAL AND METHODS: The sample
consisted of 100 adult patients divided into two groups: the Orthodontics group,
undergoing corrective treatment with fixed appliances and bands cemented to the
four second molars, and the Control group, with no prior history of orthodontic
treatment, age and sex matched. Group GI values were compared using the Wilcoxon
test. Additionally, a multivariate logistic regression was performed to study the
risk factors for increases in the GI. RESULTS: Individuals in the Orthodontics
group showed a significantly higher GI than those in the Control group. Logistic
regression revealed that among the risk factors found to increase GI, the
following proved significant (in order of importance): plaque index, subgingival
encroachment of the cervical margins of bands, probing depth, and length of
orthodontic treatment. CONCLUSIONS: Banded second molars of adult patients during
orthodontic treatment showed more clinical signs of gingival inflammation than
those of untreated individuals. Moreover, major risk factors identified included
the presence of plaque and the presence of subgingival band margins.
PMID- 21899379
TI - Maxillary surgical seeding of a clival chordoma.
AB - Seeding on surgical pathway is a rare form of clival chordoma treatment failure.
We report the case of a 42-year-old male with a clival chondroid chordoma removed
by a sublabial transsphenoidal approach followed by proton beam radiotherapy, who
developed a maxillary bone recurrence 3 years after surgery.
PMID- 21899380
TI - Estimation of cerebrospinal fluid compensation parameters in hydrocephalus using
short-lasting constant rate lumbar infusion tests.
AB - OBJECTIVES: The lumbar infusion test is an invasive technique for quantifying
cerebrospinal dynamics in patients with hydrocephalus. However, some patients
have difficulty tolerating the duration of this procedure. Therefore, we
investigated the limits of shortening the test by examining the reliability of
cerebrospinal fluid (CSF) compensatory parameters as a function of time. METHODS:
We analysed recordings of the intracranial cerebral pressure (ICP) response to a
constant, high-rate infusion of saline (2 ml/min) lasting 5.7-20 (12 +/- 10) min
in 30 patients with a preliminary diagnosis of hydrocephalus (13 men, aged 37-81
[65 +/- 10] years). We performed computerised identification of CSF outflow
resistance (R(out)), intracranial compliance parameters: elastance index (E) and
reference pressure (P(0)), based on the truncated ICP response (20-100% of the
available test length), estimating either all three parameters (3p method) or
only R(out) and E (2p method) assuming P(0) as the regression between the ICP and
its amplitude. RESULTS: Following considerable variation during the initial rise
of ICP, R(out) typically converged within +/- 10% of their final values within 10
15 min. Final R(out) values were 4-40 (12 +/- 6) mmHg/ml/min, and were method
independent (R(2) = 0.97). Compliance parameters (E, typically 0.1-0.5/ml; P(0):
10 to + 20 mmHg) agreed poorly between methods (R(2) = 0.3-0.7) and varied
considerably within the observed infusion periods. CONCLUSION: The lumbar
infusion test may be shortened to 10-15 min using a rapid infusion rate of 2
ml/min that fulfils the primary objective of obtaining reliable estimates of
R(out). This may benefit patients who do not tolerate the full procedure.
PMID- 21899381
TI - Cervical radiculopathy: discrepancy or concordance between electromyography and
magnetic resonance imaging?
AB - In the screening of patients with cervical radiculopathy, a relatively common
finding is the discrepancy between normal needle electromyography (EMG) and
abnormal MRI, or vice versa. We carried out a retrospective study to assess the
relationship between needle EMG and MRI findings in the preoperative evaluation
of patients with cervical radiculopathy. The records of 147 patients were
reviewed; 58 patients (M = 32, F = 26; age 53 +/- 9) were included in the study.
Needle EMG examination was abnormal in 28 patients. MRI abnormalities were found
in all 58 patients, showing degenerative changes not affecting the nerve root in
15 and foraminal stenosis affecting the nerve root in 43; in the latter, needle
EMG was abnormal in 28 patients and normal in the remainder. Concordance between
EMG and MRI level of abnormality was found in 71% of patients with non-dermatomal
symptom distribution. Concordance between clinical level, EMG and MRI abnormality
was found in 50% of patients with C5, in 70% with C6 and in 67% with C7 symptom
distribution. When EMG and MRI level of abnormality was discordant, the EMG
abnormalities corresponded to the clinical level of symptom distribution. The
results of our retrospective study reveal that in the majority of cases of
cervical radiculopathy, EMG and MRI level of abnormalities are concordant. When
there is discordance between EMG and MRI findings, the EMG helps in the guidance
of patient selection for surgical intervention because it provides evidence of
nerve root lesion and offers a dynamic tool in the follow-up evaluation.
PMID- 21899382
TI - Good airway reflexes and normal sensorium do not assure safe tracheal extubation
in patients with cerebral hemispheric pathology.
AB - Following brain injury, return of consciousness and cough reflex are presumed to
be associated with safe airway. We describe two patients who had a normal cough
reflex, but impaired swallowing, which led to prolonged hospital stay. This
report highlights the dissociation between the cough reflex and swallowing
function in such patients.
PMID- 21899383
TI - Incidental aneurysms in temporal lobe epilepsy surgery: report of three cases and
a review of the literature.
AB - OBJECTIVE AND IMPORTANCE: In rare instances, patients who are undergoing
evaluation for temporal lobe epilepsy surgery will also be found to have an
incidental aneurysm adjacent to the temporal lobe. Although busy epilepsy centers
will eventually come across cases like this, to our knowledge there are currently
no publications regarding such cases or the complex management decisions that
follow in caring for these patients. Factors affecting decision making in these
cases are discussed in detail. CLINICAL PRESENTATION: Three cases where an
incidental aneurysm was discovered during the evaluation and treatment of
temporal lobe epilepsy are discussed. Two cases of middle cerebral artery (MCA)
aneurysm, one ipsilateral to the planned temporal lobectomy and one contralateral
aneurysm unsuitable for coiling, were discovered preoperatively. In one case, an
incidental posterior cerebral artery (PCA) aneurysm was noted during an
amygdalohippocampectomy. Intervention. In the ipsilateral MCA aneurysm case, the
aneurysm was clipped during the temporal lobectomy. In the case of a
contralateral MCA aneurysm, the aneurysm was electively clipped prior to the
temporal lobectomy. In the PCA aneurysm case, the aneurysm was clipped at the
time of discovery during surgery. CONCLUSION: Incidentally noted unruptured
aneurysms in patients undergoing evaluation and treatment of medically
intractable epilepsy add a level of complexity to medical decision making in
these cases. Factors affecting treatment decisions include the size and location
of the aneurysm, risks of aneurysm treatment, patient age, severity of the
epilepsy condition and patient wishes after discussion of treatment options.
PMID- 21899385
TI - High-throughput screening and analysis of genes of Xanthomonas citri subsp. citri
involved in citrus canker symptom development.
AB - Citrus canker is caused by Xanthomonas citri subsp. citri and is one of the most
devastating diseases on citrus plants. To investigate the virulence mechanism of
this pathogen, a mutant library of strain 306 containing approximately 22,000
mutants was screened for virulence-deficient mutants in grapefruit (Citrus
paradise). Eighty-two genes were identified that contribute to citrus canker
symptom development caused by X. citri subsp. citri. Among the 82 identified
genes, 23 genes were classified as essential genes, as mutation of these genes
caused severe reduction of bacterial growth in M9 medium. The remaining 59 genes
were classified as putative virulence-related genes that include 32 previously
reported virulence-related genes and 27 novel genes. The 32 known virulence
related genes include genes that are involved in the type III secretion system
(T3SS) and T3SS effectors, the quorum-sensing system, extracellular
polysaccharide and lipopolysaccharide synthesis, and general metabolic pathways.
The contribution to pathogenesis by nine genes (pthA4, trpG, trpC, purD, hrpM,
peh-1, XAC1230, XAC1548, and XAC3049) was confirmed by complementation assays. We
further validated the mutated genes and their phenotypes by analyzing the EZ-Tn5
insertion copy number using Southern blot analysis. In conclusion, we have
significantly advanced our understanding of the putative genetic determinants of
the virulence mechanism of X. citri subsp. citri by identifying 59 putative
virulence-related genes, including 27 novel genes.
PMID- 21899384
TI - Establishing a biologic specimens repository for reproductive clinical trials:
technical aspects.
AB - The individual research group or independent investigator often requires access
to samples from a unique well characterized subject population. Cohorts of such
samples from a well-defined comparative population are rare and limited access
can impede progress. This bottleneck can be removed by accessing the samples
provided by biorepositories such as the NIH/NICHD Cooperative Reproductive
Medicine Network (RMN) Biorepository (detailed in the preceeding manuscript in
this issue. In those cases where the individual research group or independent
investigator already has access to a unique population, comparisons between well
defined groups are often sought to contextualize the data. In both cases seamless
integration of data resources associated with the samples is required to ensure
optimal comparisons. At the most basic level this requires standardization of
sample collection and storage, as well as a de-identified data base containing
demographic, clinical, and laboratory values. To facilitate such
interoperability, the reagents and protocols that have been adopted by the RMN
Biorepository for the collection and storage of serum, blood, saliva and sperm
are described.
PMID- 21899386
TI - Arbuscular mycorrhizal symbiosis limits foliar transcriptional responses to viral
infection and favors long-term virus accumulation.
AB - Tomato (Solanum lycopersicum) can establish symbiotic interactions with
arbuscular mycorrhizal (AM) fungi, and can be infected by several pathogenic
viruses. Here, we investigated the impact of mycorrhization by the fungus Glomus
mosseae on the Tomato spotted wilt virus (TSWV) infection of tomato plants by
transcriptomic and hormones level analyses. In TSWV-infected mycorrhizal plants,
the AM fungus root colonization limited virus-induced changes in gene expression
in the aerial parts. The virus-responsive upregulated genes, no longer induced in
infected mycorrhizal plants, were mainly involved in defense responses and
hormone signaling, while the virus-responsive downregulated genes, no longer
repressed in mycorrhizal plants, were involved in primary metabolism. The
presence of the AM fungus limits, in a salicylic acid-independent manner, the
accumulation of abscissic acid observed in response to viral infection. At the
time of the molecular analysis, no differences in virus concentration or symptom
severity were detected between mycorrhizal and nonmycorrhizal plants. However, in
a longer period, increase in virus titer and delay in the appearance of recovery
were observed in mycorrhizal plants, thus indicating that the plant's reaction to
TSWV infection is attenuated by mycorrhization.
PMID- 21899387
TI - Effect of 'Candidatus Liberibacter solanacearum' on fitness of its insect vector,
Bactericera cockerelli (Hemiptera: Triozidae), on tomato.
AB - The potato/tomato psyllid, Bactericera cockerelli transmits the bacterium
'Candidatus Liberibacter solanacearum', also known as 'Ca. L. psyllaurous', which
causes zebra chip disease in solanaceous crops. There have been no studies
addressing the effect of the bacterial plant pathogen on the biology of its
insect vector. We examined several life-history traits, including 7-day
fecundity, hatching percentage, incubation time, nymphal survival percentage,
nymphal development time, total development time, and sex-ratio of 'Ca. L.
solanacearum'-positive and -negative psyllid isofemale lines on tomato, as well
as adult mortality index of 'Ca. L. solanacearum'-positive and -negative insects.
The only two life-history traits that differed between the 'Ca. L. solanacearum'
positive and -negative psyllid isofemale lines were 7-day fecundity and nymphal
survival percentage, which were significantly lower in 'Ca. L. solanacearum'-
positive lines. The symbiotic bacteria associated with both psyllid isofemale
lines were similar, with the exception of 'Ca. L. solanacearum', which showed
100% infection in the 'Ca. L. solanacearum'-positive lines and was not detected
in the negative psyllid lines. These results suggest that 'Ca. L. solanacearum'
has a negative effect on population growth rate of its insect vector on tomato.
PMID- 21899388
TI - Variability in fusarium head blight epidemics in relation to global climate
fluctuations as represented by the El Nino-Southern Oscillation and other
atmospheric patterns.
AB - Cross-spectral analysis was used to characterize the relationship between climate
variability, represented by atmospheric patterns, and annual fluctuations of
Fusarium head blight (FHB) disease intensity in wheat. Time series investigated
were the Oceanic Nino Index (ONI), which is a measure of the El Nino-Southern
Oscillation (ENSO), the Pacific-North American (PNA) pattern and the North
Atlantic Oscillation (NAO), which are known to have strong influences on the
Northern Hemisphere climate, and FHB disease intensity observations in Ohio from
1965 to 2010 and in Indiana from 1973 to 2008. For each climate variable, mean
climate index values for the boreal winter (December to February) and spring
(March to May) were utilized. The spectral density of each time series and the
(squared) coherency of each pair of FHB-climate-index series were estimated.
Significance for coherency was determined by a nonparametric permutation
procedure. Results showed that winter and spring ONI were significantly coherent
with FHB in Ohio, with a period of about 5.1 years (as well as for some adjacent
periods). The estimated phase-shift distribution indicated that there was a
generally negative relation between the two series, with high values of FHB (an
indication of a major epidemic) estimated to occur about 1 year following low
values of ONI (indication of a La Nina); equivalently, low values of FHB were
estimated to occur about 1 year after high values of ONI (El Nino). There was
also limited evidence that winter ONI had significant coherency with FHB in
Indiana. At periods between 2 and 7 years, the PNA and NAO indices were coherent
with FHB in both Ohio and Indiana, although results for phase shift and period
depended on the specific location, climate index, and time span used in
calculating the climate index. Differences in results for Ohio and Indiana were
expected because the FHB disease series for the two states were not similar.
Results suggest that global climate indices and models could be used to identify
potential years with high (or low) risk for FHB development, although the most
accurate risk predictions will need to be customized for a region and will also
require use of local weather data during key time periods for sporulation and
infection by the fungal pathogen.
PMID- 21899389
TI - Characterization of biofumigated Ralstonia solanacearum cells using micro-Raman
spectroscopy and electron microscopy.
AB - Essential oils of palmarosa, lemongrass, and eucalyptus have shown promise as
biofumigants for control of the bacterial wilt disease of edible ginger (Zingiber
officinale) caused by Ralstonia solanacearum race 4 in previous potting medium
studies. Biochemical changes in R. solanacearum cells were evaluated with micro
Raman spectroscopy following treatment with essential oils at different
concentrations (0.04, 0.07, and 0.14% [vol/vol] of culture medium) and changes in
cell structure were observed using electron microscopy. All treatments except
palmarosa oil at 0.04% caused significant reductions in levels of amino acids,
purine and pyrimidine bases of nucleic acids, carbohydrates, and lipids, as
indicated by significant reduction in Raman peak heights at 621, 1,003, and 1,031
inverse centimeters (cm(-1)) (phenylalanine); 643, 827, 852, 1,158, and 1,172 cm(
1) (tyrosine); 758 cm(-1) (tryptophan); 725, 782, 1,337, and 1,578 cm(-1)
(adenine, cytosine plus uracil, adenine, and adenine plus guanine, respectively);
1,097 cm(-1) (carbohydrates); and 1,127, 1,450, and 2,932 cm(-1) (lipids)
compared with untreated controls. Lemongrass oil treatments were the most
effective in degrading cellular components. Scanning electron microscopy of
palmarosa and lemongrass-oil-treated cells showed rupture of cell walls and cell
debris but no degradation was noted for eucalyptus-oil-treated cells. Palmarosa-
and lemongrass-oil-treated cells were positively stained with uranyl acetate when
viewed by transmission electron microscopy whereas controls and eucalyptus-oil
treated cells were negatively stained, indicating that the cell membranes were
intact. The viability of eucalyptus-oil-treated cells was confirmed by cell
culture following treatment. Micro-Raman spectroscopy is a powerful tool which
can be further employed to better understand effects of fumigants and other
bactericides on bacterial cells.
PMID- 21899390
TI - Systemically induced resistance and microbial competitive exclusion: implications
on biological control.
AB - The root-knot nematode, Meloidogyne incognita, is among the most damaging
agricultural pests, particularly to tomato. The mutualistic endophytes Fusarium
oxysporum strain Fo162 (Fo162) and Rhizobium etli strain G12 (G12) have been
shown to systemically induce resistance toward M. incognita. By using triple
split-root tomato plants, spatially separated but simultaneous inoculation of
both endophytes did not lead to additive reductions in M. incognita infection.
More importantly, spatially separated inoculation of Fo162 and G12 led to a
reduction in Fo162 root colonization of 35 and 39% when G12 was inoculated on a
separate root section of the same plant in two independent experiments. In an
additional split-root experiment, spatial separation of Fo162 and G12 resulted in
a reduction of Fo162 root colonization of approximately 50% over the water
controls in two independent experiments. The results suggested that the
suppressive activity of G12 on Fo162 and M. incognita is possibly related to the
induction of specific plant defense mechanisms. Thus, although Fo162 and G12 have
the ability to systemically repress M. incognita infection in tomato, they can be
considered incompatible biocontrol agents when both organisms are present
simultaneously on the same root system.
PMID- 21899391
TI - Intradermal injections of equine allogeneic umbilical cord-derived mesenchymal
stem cells are well tolerated and do not elicit immediate or delayed
hypersensitivity reactions.
AB - BACKGROUND AIMS. The use of allogeneic mesenchymal stem cells (MSC) to treat
acute equine lesions would greatly expand equine cellular therapy options;
however, the safety and antigenicity of these cells have not been well-studied.
We hypothesized that equine allogeneic umbilical cord tissue (UCT)-derived MSC
would not elicit acute graft rejection or a delayed-type hypersensitivity
response when injected intradermally. METHODS. Six Quarterhorse yearlings
received 12 intradermal injections (autologous MSC, allogeneic MSC, positive
control and negative control, in triplicate) followed by the same series of 12
injections, 3-4 weeks later, at another site. Wheals were measured and palpated
at 0.25, 4, 24, 48, 72 h and 7 days post-injection. Biopsies were obtained at 48
and 72 h and 7 days post-injection. Mixed leukocyte reactions were performed 1
week prior to the first injections and 3 weeks after the second injections.
RESULTS. There were no adverse local or systemic responses to two intradermal
injections of allogeneic MSC. MSC injection resulted in minor wheal formation,
characterized by mild dermatitis, dermal edema and endothelial hyperplasia, that
fully resolved by 48-72 h. No differences were noted between allogeneic and
autologous MSC. The second injection of MSC did not elicit more significant
physical or histomorphologic alterations compared with the first MSC injection.
Neither allogeneic nor autologous UCT-derived MSC stimulated or suppressed
baseline T-cell proliferation in vitro prior to or after two MSC administrations.
CONCLUSIONS. Equine allogeneic UCT MSC may be safely administered intradermally
on multiple occasions without eliciting a measurable cellular immune response.
PMID- 21899392
TI - Correlation between serum linezolid concentration and the development of
thrombocytopenia.
AB - We evaluated the possible association between trough linezolid (LZD)
concentrations and platelet counts using a dose-response curve with a logit model
equation. We demonstrated that trough LZD concentrations correlated with platelet
counts. A significant decrease in platelet count was observed in patients with
trough LZD concentrations higher than 22.1 MUg/ml.
PMID- 21899393
TI - Development of IMAGINE: a three-pillar student initiative to promote social
accountability and interprofessional education.
PMID- 21899394
TI - Language, power and implications for interprofessional collaboration: reflections
on a transition from social work to medicine.
PMID- 21899395
TI - A critical realist model of complexity for interprofessional working.
AB - This paper presents a theoretical model of complexity for considering issues
relevant to interprofessional working. The need for such a model is introduced
with reference to the literature on collaboration and integration in health and
social care, particularly in children's services. It is argued that
interprofessional working is often seen as a response to complexity, but that
current models fail to build an appreciation of complex causality into their
approach to addressing needs through targeted interventions. The alternative
offered here is a critical realist model based on Bhaskar's domains of reality,
focusing on the implications of open systems, complex causality and contingency.
These ideas are used to examine some of the issues and dilemmas typically
encountered by interprofessional networks in coming together to work on complex
cases.
PMID- 21899396
TI - "Knowing more about the other professions clarified my own profession".
AB - The purpose of this study was to compare which learning outcomes relating to an
Interprofessional Training Unit (ITU) experience were found to be most important
by students and by alumni. A cohort of 428 students in the ITU was asked to write
three short statements describing the most important learning outcomes from the
ITU. Alumni from the same cohort were after graduation asked the same question.
Furthermore, they were asked to fill out a 12-item questionnaire. The statements
concerning learning outcome were analysed qualitatively and categorized. The
number of statements in each category was counted and tested for statistical
difference between students and alumni. Students stated "uniprofessionalism" as
the most important learning outcome followed by "interprofessionalism",
"professional identity" and "learning environment". Alumni on the other hand
stated "professional identity" as most important learning outcome followed with
"interprofessionalism", "learning environment" and "uniprofessionalism". The
study indicated that over time the perceived outcome of learning experiences from
an ITU change in priority.
PMID- 21899397
TI - Interprofessional education about patient decision support in specialty care.
AB - Specialty care involves services provided by health professionals who focus on
treating diseases affecting one body system. In contrast to primary care - aimed
at providing continuous, comprehensive care - specialty care often involves
intermittent episodes of care focused around specific medical conditions. In
addition, it typically includes multiple providers who have unique areas of
expertise that are important in supporting patients' care. Interprofessional care
involves multiple professionals from different disciplines collaborating to
provide an integrated approach to patient care. For patients to experience
continuity of care across interprofessional providers, providers need to
communicate and maintain a shared sense of responsibility to their patients. In
this article, we describe challenges inherent in providing interprofessional
patient decision support in specialty care. We propose ways for providers to
engage in interprofessional decision support and discuss promising approaches to
teaching an interprofessional decision support to specialty care providers.
Additional evaluation and empirical research are required before further
recommendations can be made about education for interprofessional decision
support in specialty care.
PMID- 21899398
TI - Simulated interprofessional education: an analysis of teaching and learning
processes.
AB - Simulated learning activities are increasingly being used in health professions
and interprofessional education (IPE). Specifically, IPE programs are frequently
adopting role-play simulations as a key learning approach. Despite this
widespread adoption, there is little empirical evidence exploring the teaching
and learning processes embedded within this type of simulation. This exploratory
study provides insight into the nature of these processes through the use of
qualitative methods. A total of 152 clinicians, 101 students and 9 facilitators
representing a range of health professions, participated in video-recorded role
plays and debrief sessions. Videotapes were analyzed to explore emerging issues
and themes related to teaching and learning processes related to this type of
interprofessional simulated learning experience. In addition, three focus groups
were conducted with a subset of participants to explore perceptions of their
educational experiences. Five key themes emerged from the data analysis:
enthusiasm and motivation, professional role assignment, scenario realism,
facilitator style and background and team facilitation. Our findings suggest that
program developers need to be mindful of these five themes when using role-plays
in an interprofessional context and point to the importance of deliberate and
skilled facilitation in meeting desired learning outcomes.
PMID- 21899399
TI - Interprofessional jargon: how is it exclusionary? Cultural determinants of
language use in health care practice.
AB - Language can impact significantly on the ways in which health care professionals
relate and provide clinical services, as well as the way in which patients
conceptualize their role in the healthcare encounter. The aim of this project was
to explore the barriers and challenges to developing a collaborative approach in
health care. A hermeneutic research approach was used with a convenience sample
of international key informants representing 6 disciplines. A total of 10
individual, semi-structured interviews were conducted. Findings emphasized the
need to be more inclusive by avoiding the use of exclusionary jargon so that all
members of the health care team, including patients and families, can adopt a
collaborative practice orientation.
PMID- 21899400
TI - Large-scale development of functional markers in Brassica species.
AB - Numerous quantitative trait loci (QTL) have been detected in Brassica species,
but fine-mapping of major QTL has advanced slowly. The development of functional
markers can overcome this barrier. We used publicly available PlantGDB-assembled
unique transcripts (PUTs) from Brassica species to design 7836 functional simple
sequence repeat (SSR) primer pairs. Functional annotation of the PUTs containing
SSRs was done by Blast2GO. The PUTs harbouring SSRs were mainly involved with
nucleotide or protein binding and enzyme activity, and preferentially functioned
in membranes and cytoplasm. Totally, 210 PUT primer pairs were selected to test
their polymorphism, stability, and PCR quality. Approximately 70% (147) of the
primer pairs resulted in successful amplification with an average polymorphic
information content (PIC) value of 0.49. The highest level of polymorphism was
dinucleotide repeat SSRs, followed by tri- and mononucleotide repeats.
Approximately 60% of the primer pairs showed good transferability among Brassica
species. These results show that the development of markers from PUTs is a
feasible and simple approach to develop functional SSR markers on a large scale
across Brassica species. In addition, these markers can provide a novel
alternative that is a putative approach for rapid determination of candidate
genes, genetic mapping, genetic diversity analysis, and comparative mapping in
Brassica species.
PMID- 21899401
TI - The influence of need for cognition and principal display panel factors on over
the-counter drug facts label comprehension.
AB - Nearly all work aimed at optimizing the ability of labeling to communicate over
the-counter (OTC) drug information has focused on back-of-the-package
characteristics, such as the Drug Facts label. The effects of front of the
package, or principal display panel (PDP) factors, have largely been neglected by
researchers. Similarly, heterogeneity in consumers' approach to new information
has received scant attention in the context of OTC drugs. This preliminary study
tested the hypothesis that display of a drug's brand name on the PDP and
individuals' need for cognition influence comprehension of Drug Facts label
information. University students (n = 212) that had experienced heartburn but not
used the drug class being studied constituted the primary analysis cohort.
Students were randomly assigned to review one of two PDPs (brand name or
generic), followed by a Drug Facts label and a series of questions related to
selection and usage of the drug. Participants with low need for cognition were
influenced by the brand name PDP, as those exposed to a PDP featuring a brand
(vs. generic) spent less time reading the Drug Facts label and demonstrated lower
comprehension of the label information on proper drug selection. These findings
suggest that further research is needed to understand the impact of PDP contents
and cognitive characteristics of consumers on the communication of OTC drug
information. Health care providers should consider communication strategies that
account for the challenges patients face in using OTC drugs properly.
PMID- 21899402
TI - Reframing motherhood through the culture-centered approach: articulations of
agency among young Nepalese women.
AB - Based upon the culture-centered approach that foregrounds the relevance of
interrogating the taken-for-granted assumptions that circulate in the dominant
models of health communication on family planning, this article argues that
traditional approaches to reproductive health campaigns are concerned with safe
motherhood (e.g., fertility, birth spacing, hospital delivery) rather than with
the processes through which women construct, negotiate, and maintain meanings of
motherhood and health within their cultural contexts. In doing so, this
traditional framework leaves out the broader sociocultural, political, and
economic contexts of social structures that constrain and enable the
possibilities for health in the realm of motherhood. The culture-centered
approach notes the erasure of these voices of women from dominant epistemic
structures, and seeks to interrupt knowledge production by co-constructing
meanings of reproductive health through dialogues with women at the margins.
Therefore, in-depth interviews were conducted to centralize experiences of the
cultural participants, allowing alternative health meanings to emerge within
their local contexts. In particular, highlighting narratives of young Nepalese
women living under poverty, we are able to understand how women actively
(re)construct meanings of motherhood within their localized cultural spaces.
PMID- 21899403
TI - Physician communication in the operating room: expanding application of face
negotiation theory to the health communication context.
AB - Communication variables that are associated with face-negotiation theory were
examined in a sample of operating-room physicians. A survey was administered to
anesthesiologists and surgeons at a teaching hospital in the southwestern United
States to measure three variables commonly associated with face-negotiation
theory: conflict-management style, face concern, and self-construal. The survey
instrument that was administered to physicians includes items that measured these
three variables in previous face-negotiation research with slight modification of
item wording for relevance in the medical setting. The physician data were
analyzed using confirmatory factor analysis, Pearson's correlations, and t-tests.
Results of this initial investigation showed that variables associated with face
negotiation theory were evident in the sample physician population. In addition,
the correlations were similar among variables in the medical sample as those
found in previous face-negotiation research. Finally, t-tests suggest variance
between anesthesiologists and surgeons on specific communication variables. These
findings suggest three implications that warrant further investigation with
expanded sample size: (1) An intercultural communication theory and instrument
can be utilized for health communication research; (2) as applied in a medical
context, face-negotiation theory can be expanded beyond traditional intercultural
communication boundaries; and (3) theoretically based communication structures
applied in a medical context could help explain physician miscommunication in the
operating room to assist future design of communication training programs for
operating-room physicians.
PMID- 21899404
TI - Using messages promoting descriptive norms to increase physical activity.
AB - While it has been known for some time that what others do (i.e., normative
behavior) can influence individual behavior, the effect of normative social
influence on physical activity behavior has not been well established. The
purpose of this study was to examine whether exposure to messages containing
descriptive norm information about the prevalence of others' physical activity
would affect individual physical activity behavior to a greater extent than
exposure to nonnormative messages. Two independent studies were conducted. The
first manipulated normative and nonnormative messages to examine effects on
physical activity in office workers. Participants were assigned to one of four
conditions (descriptive norm, health, appearance, or control) and received e-mail
messages specific to their condition encouraging them to be active. It was
hypothesized that participants in the descriptive norm condition would experience
the greatest increase in physical activity, and the results supported this
hypothesis for mild activity. A second study attempted to extend these results by
examining the effect of descriptive norms on the activity behavior of university
students, but no relationship was found. Typical activity levels and group
identity with the reference group were suggested as possible explanations for the
differing findings in these two studies.
PMID- 21899405
TI - Dimethyl sulfoxide attenuates TNF-alpha-induced production of MMP-9 in human
keratinocytes.
AB - Dimethyl sulfoxide (DMSO), an aprotic solvent, is found to be useful as a topical
agent with antioxidant effects in treatment of chronic wounds. However, the
effects of DMSO on matrix metalloproteinase-9 (MMP-9) production in the presence
of an inflammatory environment as in the case of disordered wound healing has not
been previously investigated. The aim of this study was to investigate whether
TNF-alpha-induced MMP-9 levels and MMP-9 mRNA expression from human keratinocytes
(HaCaT) might be attenuated by DMSO. Human keratinocytes were treated with DMSO
(0.1-1%) for 24 h and then exposed to tumor necrosis factor (TNF)-alpha (10
ng/ml) for an additional 24 h. Expression and production of MMP-9 from HaCaT
cells were determined by reverse transcription polymerase chain reaction (RT-PCR)
and gelatin zymography, respectively. Results showed that DMSO inhibited
production of both MMP-9 levels and MMP-9 mRNA expression in TNF-alpha-stimulated
cells in a concentration-dependent manner. Inhibition of MMP-9 levels was
statistically significant at DMSO concentrations of 0.75% and higher. Similarly,
the increase of MMP-9 mRNA expression levels in TNF-alpha-stimulated cells was
markedly reduced by DMSO. Data suggest that DMSO may attenuate the deleterious
effects of MMP-9 through downregulation at the transcription level. Therefore,
DMSO may provide a good strategy to prevent TNF-alpha-induced proteolytic
activity in cutaneous inflammatory reactions.
PMID- 21899406
TI - Determination of the effects of eNOS gene polymorphisms (T-786C and Glu298Asp) on
nitric oxide levels in a methylmercury-exposed population.
AB - Nitric oxide (NO) is a potent vasodilator with multiple protective effects
involved in the regulation of cardiovascular functions. Endothelial NO synthase
(eNOS) gene polymorphisms and environmental factors, such as mercury (Hg)
exposure, may influence NO levels and increase the risk of cardiovascular damage.
The aim of this study was to determine the role of the T-786C and Glu298Asp
polymorphisms of the eNOS gene on nitrite concentrations following Hg exposure in
humans. It was postulated that Hg exposure might decrease circulating nitrite
concentrations and that variants in the eNOS gene might enhance the adverse
effects of Hg resulting in increased risk of cardiovascular disease. Blood
samples were collected from 202 volunteers exposed to methylmercury (MeHg)
following fish consumption. Blood Hg concentrations (BHg) were determined by
inductively coupled plasma-mass spectrometry and nitrite plasma concentration by
a chemiluminescent method. The mean Hg concentration was 50.5 +/- 35.4 MUg/L and
mean nitrite concentration was 251.4 +/- 106.3 nM. There were no significant
differences in age, arterial blood pressure, body mass index, heart rate, and
concentrations of Hg and nitrite concentrations between the genotype groups .
When data were grouped together (TC + CC and TT group), there were still no
marked differences. A multiple regression model indicated that decreased NO
production was predominantly due to Hg, age, and gender. Polymorphisms did not
seem to influence this effect. Our findings suggest that eNOS gene polymorphisms
(T-786C and Glu298Asp) are not associated with an increased risk for
cardiovascular diseases in MeHg-exposed subjects.
PMID- 21899407
TI - Impact of repeated nicotine and alcohol coexposure on in vitro and in vivo
chlorpyrifos dosimetry and cholinesterase inhibition.
AB - Chlorpyrifos (CPF) is an organophosphorus insecticide, and neurotoxicity results
from inhibition of acetylcholinesterase (AChE) by its metabolite, chlorpyrifos
oxon. Routine consumption of alcohol and tobacco modifies metabolic and
physiological processes impacting the metabolism and pharmacokinetics of other
xenobiotics, including pesticides. This study evaluated the influence of repeated
ethanol and nicotine coexposure on in vivo CPF dosimetry and cholinesterase (ChE)
response (ChE- includes AChE and/or butyrylcholinesterase (BuChE)). Hepatic
microsomes were prepared from groups of naive, ethanol-only (1 g/kg/d, 7 d, po),
and ethanol + nicotine (1 mg/kg/d 7 d, sc)-treated rats, and the in vitro
metabolism of CPF was evaluated. For in vivo studies, rats were treated with
saline or ethanol (1 g/kg/d, po) + nicotine (1 mg/kg/d, sc) in addition to CPF (1
or 5 mg/kg/d, po) for 7 d. The major CPF metabolite, 3,5,6-trichloro-2-pyridinol
(TCPy), in blood and urine and the plasma ChE and brain acetylcholinesterase
(AChE) activities were measured in rats. There were differences in
pharmacokinetics, with higher TCPy peak concentrations and increased blood TCPy
AUC in ethanol + nicotine groups compared to CPF only (approximately 1.8- and 3.8
fold at 1 and 5 mg CPF doses, respectively). Brain AChE activities after ethanol
+ nicotine treatments showed significantly less inhibition following repeated 5
mg CPF/kg dosing compared to CPF only (96 +/- 13 and 66 +/- 7% of naive at 4 h
post last CPF dosing, respectively). Although brain AChE activity was minimal
inhibited for the 1-mg CPF/kg/d groups, the ethanol + nicotine pretreatment
resulted in a similar trend (i.e., slightly less inhibition). No marked
differences were observed in plasma ChE activities due to the alcohol + nicotine
treatments. In vitro, CPF metabolism was not markedly affected by repeated
ethanol or both ethanol + nicotine exposures. Compared with a previous study of
nicotine and CPF exposure, there were no apparent additional exacerbating effects
due to ethanol coexposure.
PMID- 21899408
TI - The acute and long-term effects of Middle East sand particles on the rat airway
following a single intratracheal instillation.
AB - Military personnel deployed in the Middle East have emphasized concerns regarding
high levels of dust generated from blowing desert sand and the movement of troops
and equipment. Airborne particulate matter levels (PM(10); PM < 10 MUm) in the
region may exceed 1500 MUg/m(3), significantly higher than the military exposure
guideline (MEG) of 50 MUg/m(3). Increases in PM(10) have been linked to a rise in
incidences of asthma, obstructive pulmonary disease, lung cancer, and
cardiovascular diseases. Male Sprague-Dawley rats received a single intratracheal
(IT) instillation of 1, 5, or 10 mg of Middle East PM(10) collected at a military
occupied site in Kuwait, silica (positive control), or titanium dioxide (TiO(2);
negative control) suspended in 400 MUl sterile saline, or saline alone (vehicle
control). Twenty-four hours, 3 d, 7 d and 6 mo postexposure (n = 15/group),
organs including lung were evaluated for histopathological changes and for
particle contaminants. Bronchoalveolar fluid (BALF) was also analyzed for
cellular and biochemical parameters, including cytokines and chemokines.
Instillation of silica resulted in early, pronounced, sustained inflammation
indicated by significant increases in levels of total protein and neutrophils,
and activities of lactate dehydrogenase activity and beta-glucuronidase activity.
Lower magnitude and transient changes using the same markers were observed in
animals exposed to TiO(2) and Middle East PM(10). The results suggest that for
acute exposures, this Middle East PM(10) is a nuisance-type dust with relatively
low toxicity. However, since average deployment of military personnel to the
Middle East is 180 d with potential for multiple follow-on tours, chronic
exposure studies are needed to fully understand the pulmonary effects associated
with Middle East PM exposure.
PMID- 21899410
TI - The challenges of intracranial revascularization for stroke prevention.
PMID- 21899411
TI - Cancer mortality according to lipid-lowering drugs and lipoproteins in a general
population.
AB - OBJECTIVE: The beneficial effect of lipid-lowering drugs (LLD) on cardiovascular
risk is established, but long term safety data remain scarce. Our aim was to
assess 10-year risk of cancer mortality according to blood lipoprotein levels and
LLD exposure, in a general population. METHODS: Our analysis was based on the
Third French MONICA survey on cardiovascular risk factors (1994-1997).
Participants were randomly recruited from the general population of three French
areas and were aged 35-64 years. Subjects with a history of cancer at baseline
were excluded from the analysis. Vital status and cause of mortality were
obtained 10 years after inclusion. RESULTS: There were 3262 participants and 177
deaths were recorded over the 10-year period (78 due to a cancer). The sample
comprised 64% of normolipidaemic, 25% of untreated dyslipidaemic and 11% of
dyslipidaemic subjects treated with LLD (4% statins, 6% fibrates and 1% other
hypolipidaemic drugs). After adjustment for centre, age, gender, smoking, gamma
glutamyl transpeptidase and mean corpuscular volume, the hazard ratios (HR) for
cancer mortality in subjects with non-HDL cholesterol <3.5 mmol/L (135 mg/dL) and
in those with HDL cholesterol <0.90 mmol/L (35 mg/dL) were 2.74 (95% confidence
interval: 1.66-4.52, p < 0.001) and 2.83 (1.62-4.96, p < 0.001), respectively.
The adjusted HR for cancer mortality was 0.31 (0.11-0.86, p = 0.025) in people on
LLD compared to untreated subjects. CONCLUSIONS: In the present study, we confirm
the significant association between low cholesterol and cancer mortality without
finding any harmful signal regarding cancer risk associated with the use of LLD.
The main limitations are remaining baseline differences between treated and
untreated subjects (due to the observational design but minimized by the use of
extensive adjustments and propensity score methods), and the lack of re
assessment of LLD exposure and cholesterol levels during follow-up, possibly
leading to a misclassification bias.
PMID- 21899412
TI - Preface: Satellite workshop on comparative genomics, research in computational
molecular biology (RECOMB-CG 2010).
PMID- 21899413
TI - Consistency of sequence-based gene clusters.
AB - In comparative genomics, differences or similarities of gene orders are
determined to predict functional relations of genes or phylogenetic relations of
genomes. For this purpose, various combinatorial models can be used to specify
gene clusters--groups of genes that are co-located in a set of genomes. Several
approaches have been proposed to reconstruct putative ancestral gene clusters
based on the gene order of contemporary species. One prevalent and natural
reconstruction criterion is consistency: For a set of reconstructed gene
clusters, there should exist a gene order that comprises all given clusters. For
permutation-based gene cluster models, efficient methods exist to verify this
condition. In this article, we discuss the consistency problem for different gene
cluster models on sequences with restricted gene multiplicities. Our results
range from linear-time algorithms for the simple model of adjacencies to NP
completeness proofs for more complex models like common intervals.
PMID- 21899414
TI - A 2-approximation for the minimum duplication speciation problem.
AB - We consider the following problem: given a set of gene family trees, spanning a
given set of species, find a first speciation which splits these species into two
subsets and minimizes the number of gene duplications that happened before this
speciation. We call this problem the Minimum Duplication Bipartition Problem.
Using a generalization of the Minimum Edge-Cut Problem, we propose a polynomial
time 2-approximation algorithm for the Minimum Duplication Bipartition Problem.
We apply this algorithm to the inference of species trees on synthetic datasets
and on two datasets of eukaryotic species.
PMID- 21899415
TI - A new genomic evolutionary model for rearrangements, duplications, and losses
that applies across eukaryotes and prokaryotes.
AB - Genomic rearrangements have been studied since the beginnings of modern genetics
and models for such rearrangements have been the subject of many papers over the
last 10 years. However, none of the extant models can predict the evolution of
genomic organization into circular unichromosomal genomes (as in most
prokaryotes) and linear multichromosomal genomes (as in most eukaryotes). Very
few of these models support gene duplications and losses--yet these events may be
more common in evolutionary history than rearrangements and themselves cause
apparent rearrangements. We propose a new evolutionary model that integrates gene
duplications and losses with genome rearrangements and that leads to genomes with
either one (or a very few) circular chromosome or a collection of linear
chromosomes. Our model is based on existing rearrangement models and inherits
their linear-time algorithms for pairwise distance computation (for rearrangement
only). Moreover, our model predictions fit observations about the evolution of
gene family sizes and agree with the existing predictions about the growth in the
number of chromosomes in eukaryotic genomes.
PMID- 21899416
TI - Genome aliquoting revisited.
AB - We prove that the genome aliquoting problem, the problem of finding a recent
polyploid ancestor of a genome, with breakpoint distance can be solved in
polynomial time. We propose an aliquoting algorithm that is a 2-approximation for
the genome aliquoting problem with double cut and join distance, improving upon
the previous best solution to this problem, Feijao and Meidanis' 4-approximation
algorithm.
PMID- 21899417
TI - The zero exemplar distance problem.
AB - Given two genomes with duplicate genes, Zero Exemplar Distance is the problem of
deciding whether the two genomes can be reduced to the same genome without
duplicate genes by deleting all but one copy of each gene in each genome. Blin,
Fertin, Sikora, and Vialette recently proved that Zero Exemplar Distance for
monochromosomal genomes is NP-hard even if each gene appears at most two times in
each genome, thereby settling an important open question on genome rearrangement
in the exemplar model. In this article, we give a very simple alternative proof
of this result. We also study the problem Zero Exemplar Distance for
multichromosomal genomes without gene order, and prove the analogous result that
it is also NP-hard even if each gene appears at most two times in each genome.
For the positive direction, we show that both variants of Zero Exemplar Distance
admit polynomial-time algorithms if each gene appears exactly once in one genome
and at least once in the other genome. In addition, we present a polynomial-time
algorithm for the related problem Exemplar Longest Common Subsequence in the
special case that each mandatory symbol appears exactly once in one input
sequence and at least once in the other input sequence. This answers an open
question of Bonizzoni et al. We also show that Zero Exemplar Distance for
multichromosomal genomes without gene order is fixed-parameter tractable in the
general case if the parameter is the maximum number of chromosomes in each
genome.
PMID- 21899418
TI - Detecting highways of horizontal gene transfer.
AB - In a horizontal gene transfer (HGT) event, a gene is transferred between two
species that do not have an ancestor-descendant relationship. Typically, no more
than a few genes are horizontally transferred between any two species. However,
several studies identified pairs of species between which many different genes
were horizontally transferred. Such a pair is said to be linked by a highway of
gene sharing. We present a method for inferring such highways. Our method is
based on the fact that the evolutionary histories of horizontally transferred
genes disagree with the corresponding species phylogeny. Specifically, given a
set of gene trees and a trusted rooted species tree, each gene tree is first
decomposed into its constituent quartet trees and the quartets that are
inconsistent with the species tree are identified. Our method finds a pair of
species such that a highway between them explains the largest (normalized)
fraction of inconsistent quartets. For a problem on n species and m input quartet
trees, we give an efficient O(m + n(2))-time algorithm for detecting highways,
which is optimal with respect to the quartets input size. An application of our
method to a dataset of 1128 genes from 11 cyanobacterial species, as well as to
simulated datasets, illustrates the efficacy of our method.
PMID- 21899419
TI - Mapping association between long-range cis-regulatory regions and their target
genes using synteny.
AB - In chordates, long-range cis-regulatory regions are involved in the control of
transcription initiation (either as repressors or enhancers). Their main
characteristics are that (i) they can be located as far as 1 Mb away from the
transcription start site of the target gene, (ii) they can regulate more than one
gene, and (iii) they are usually orientation-independent. Therefore, proper
characterization of functional interactions between long-range cis-regulatory
regions and their target genes remains problematic. We present a novel method to
predict such interactions based on the analysis of rearrangements between the
human and 16 other vertebrate genomes. Our method is based on the assumption that
genome rearrangements that would disrupt the functional interaction between a cis
regulatory region and its target gene are likely to be deleterious. Therefore,
conservation of synteny through evolution would be an indication of a functional
interaction. We use our algorithm to predict the association between a set of
123,905 human candidate regulatory regions to their target gene(s). This genome
wide map of interactions has many potential applications, including the selection
of candidate regions prior to in vivo experimental characterization, a better
characterization of regulatory regions involved in position effect diseases, and
an improved understanding of the mechanisms and importance of long-range
regulation.
PMID- 21899420
TI - Fast and accurate phylogenetic reconstruction from high-resolution whole-genome
data and a novel robustness estimator.
AB - The rapid accumulation of whole-genome data has renewed interest in the study of
genomic rearrangements. Comparative genomics, evolutionary biology, and cancer
research all require models and algorithms to elucidate the mechanisms, history,
and consequences of these rearrangements. However, even simple models lead to NP
hard problems, particularly in the area of phylogenetic analysis. Current
approaches are limited to small collections of genomes and low-resolution data
(typically a few hundred syntenic blocks). Moreover, whereas phylogenetic
analyses from sequence data are deemed incomplete unless bootstrapping scores (a
measure of confidence) are given for each tree edge, no equivalent to
bootstrapping exists for rearrangement-based phylogenetic analysis. We describe a
fast and accurate algorithm for rearrangement analysis that scales up, in both
time and accuracy, to modern high-resolution genomic data. We also describe a
novel approach to estimate the robustness of results-an equivalent to the
bootstrapping analysis used in sequence-based phylogenetic reconstruction. We
present the results of extensive testing on both simulated and real data showing
that our algorithm returns very accurate results, while scaling linearly with the
size of the genomes and cubically with their number. We also present extensive
experimental results showing that our approach to robustness testing provides
excellent estimates of confidence, which, moreover, can be tuned to trade off
thresholds between false positives and false negatives. Together, these two novel
approaches enable us to attack heretofore intractable problems, such as
phylogenetic inference for high-resolution vertebrate genomes, as we demonstrate
on a set of six vertebrate genomes with 8,380 syntenic blocks. A copy of the
software is available on demand.
PMID- 21899421
TI - Novel definition and algorithm for chaining fragments with proportional overlaps.
AB - Chaining fragments is a crucial step in genome alignment. Existing chaining
algorithms compute a maximum weighted chain with no overlaps allowed between
adjacent fragments. In practice, using local alignments as fragments, instead of
Maximal Exact Matches (MEMs), generates frequent overlaps between fragments, due
to combinatorial reasons and biological factors, i.e., variable tandem repeat
structures that differ in number of copies between genomic sequences. In this
article, in order to raise this limitation, we formulate a novel definition of a
chain, allowing overlaps proportional to the fragments lengths, and exhibit an
efficient algorithm for computing such a maximum weighted chain. We tested our
algorithm on a dataset composed of 694 genome pairs and accounted for significant
improvements in terms of coverage, while keeping the running times below
reasonable limits. Moreover, experiments with different ratios of allowed
overlaps showed the robustness of the chains with respect to these ratios. Our
algorithm is implemented in a tool called OverlapChainer (OC), which is available
upon request to the authors.
PMID- 21899422
TI - Robustness assessment of whole bacterial genome segmentations.
AB - Comparison of closely related bacterial genomes has revealed the presence of
highly conserved sequences forming a "backbone" that is interrupted by numerous,
less conserved, DNA fragments. Segmentation of bacterial genomes into backbone
and variable regions is particularly useful to investigate, among other things,
bacterial genome evolution. Several software tools have been designed to compare
complete bacterial chromosomes and a few online databases store pre-computed
genome comparisons. However, very few statistical methods are available to
evaluate the reliability of these software tools and to compare the results
obtained with them. To fill this gap, we have developed two local scores to
measure the robustness of bacterial genome segmentations. Our method uses a
simulation procedure based on random perturbations of the compared genomes. The
two scores described in this article provide useful information and are easy to
implement, and their interpretation is intuitive. We show that they are suited to
discriminate between robust and non-robust segmentations when genome aligners
such as MAUVE and MGA are used.
PMID- 21899423
TI - Double cut and join with insertions and deletions.
AB - Many approaches to compute the genomic distance are still limited to genomes with
the same content, without duplicated markers. However, differences in the gene
content are frequently observed and can reflect important evolutionary aspects.
While duplicated markers can hardly be handled by exact models, when duplicated
markers are not allowed, a few polynomial time algorithms that include genome
rearrangements, insertions and deletions were already proposed. In an attempt to
improve these results, in the present work we give the first linear time
algorithm to compute the distance between two multichromosomal genomes with
unequal content, but without duplicated markers, considering insertions,
deletions and double cut and join (DCJ) operations. We derive from this approach
algorithms to sort one genome into another one also using DCJ operations,
insertions and deletions. The optimal sorting scenarios can have different
compositions and we compare two types of sorting scenarios: one that maximizes
and one that minimizes the number of DCJ operations with respect to the number of
insertions and deletions. We also show that, although the triangle inequality can
be disrupted in the proposed genomic distance, it is possible to correct this
problem adopting a surcharge on the number of non-common markers. We use our
method to analyze six species of Rickettsia, a group of obligate intracellular
parasites, and identify preliminary evidence of clusters of deletions.
PMID- 21899424
TI - Genome halving and double distance with losses.
AB - Given a phylogenetic tree involving whole genome duplication events, we
contribute to solving the problem of computing the rearrangement and double cut
and-join (DCJ) distances on a branch of the tree linking a duplication node d to
a speciation node or a leaf s. In the case of a genome G at s containing exactly
two copies of each gene, the genome halving problem is to find a perfectly
duplicated genome D at d minimizing the rearrangement distance with G. We
generalize the existing exact linear-time algorithm for genome halving to the
case of a genome G with missing gene copies. In the case of a known ancestral
duplicated genome D, we develop a greedy approach for computing the distance
between G and D, called the double distance. Two algorithms are developed in both
cases of a genome G containing exactly two copies of each gene, or at most two
copies of each gene (with missing gene copies). These algorithms are shown time
efficient and very accurate for both the rearrangement and DCJ distances.
PMID- 21899409
TI - Stenting versus aggressive medical therapy for intracranial arterial stenosis.
AB - BACKGROUND: Atherosclerotic intracranial arterial stenosis is an important cause
of stroke that is increasingly being treated with percutaneous transluminal
angioplasty and stenting (PTAS) to prevent recurrent stroke. However, PTAS has
not been compared with medical management in a randomized trial. METHODS: We
randomly assigned patients who had a recent transient ischemic attack or stroke
attributed to stenosis of 70 to 99% of the diameter of a major intracranial
artery to aggressive medical management alone or aggressive medical management
plus PTAS with the use of the Wingspan stent system. The primary end point was
stroke or death within 30 days after enrollment or after a revascularization
procedure for the qualifying lesion during the follow-up period or stroke in the
territory of the qualifying artery beyond 30 days. RESULTS: Enrollment was
stopped after 451 patients underwent randomization, because the 30-day rate of
stroke or death was 14.7% in the PTAS group (nonfatal stroke, 12.5%; fatal
stroke, 2.2%) and 5.8% in the medical-management group (nonfatal stroke, 5.3%;
non-stroke-related death, 0.4%) (P=0.002). Beyond 30 days, stroke in the same
territory occurred in 13 patients in each group. Currently, the mean duration of
follow-up, which is ongoing, is 11.9 months. The probability of the occurrence of
a primary end-point event over time differed significantly between the two
treatment groups (P=0.009), with 1-year rates of the primary end point of 20.0%
in the PTAS group and 12.2% in the medical-management group. CONCLUSIONS: In
patients with intracranial arterial stenosis, aggressive medical management was
superior to PTAS with the use of the Wingspan stent system, both because the risk
of early stroke after PTAS was high and because the risk of stroke with
aggressive medical therapy alone was lower than expected. (Funded by the National
Institute of Neurological Disorders and Stroke and others; SAMMPRIS
ClinicalTrials.gov number, NCT00576693.).
PMID- 21899425
TI - Listing all parsimonious reversal sequences: new algorithms and perspectives.
AB - In comparative genomics studies, finding a minimum length sequences of reversals,
so-called sorting by reversals, has been the topic of a huge literature. Since
there are many minimum length sequences, another important topic has been the
problem of listing all parsimonious sequences between two genomes, called the All
Sorting Sequences by Reversals (ASSR) problem. In this article, we revisit the
ASSR problem for uni-chromosomal genomes when no duplications are allowed and
when the relative order of the genes is known. We put the current body of work in
perspective by illustrating the fundamental framework that is common for all of
them, a perspective that allows us for the first time to theoretically compare
their running times. The article also proposes an improved framework that
empirically speeds up all known algorithms.
PMID- 21899426
TI - An algorithm to solve the motif alignment problem for approximate nested tandem
repeats in biological sequences.
AB - An approximate nested tandem repeat (NTR) in a string T is a complex repetitive
structure consisting of many approximate copies of two substrings x and X
("motifs") interspersed with one another. NTRs fall into a class of repetitive
structures broadly known as subrepeats. NTRs have been found in real DNA
sequences and are expected to be important in evolutionary biology, both in
understanding evolution of the ribosomal DNA (where NTRs can occur), and as a
potential marker in population genetic and phylogenetic studies. This article
describes an alignment algorithm for the verification phase of the software tool
NTRFinder developed for database searches for NTRs. When the search algorithm has
located a subsequence containing a possible NTR, with motifs X and x, a
verification step aligns this subsequence against an exact NTR built from the
templates X and x, to determine whether the subsequence contains an approximate
NTR and its extent. This article describes an algorithm to solve this alignment
problem in O(|T|(|X| + |x|)) space and time. The algorithm is based on Fischetti
et al.'s wrap-around dynamic programming.
PMID- 21899427
TI - Theory and practice of ultra-perfection.
AB - Perfection has been used as a criteria to classify rearrangement scenarios since
2004. However, there is a fundamental bias towards extant species in the original
definition: ancestral species are not bound to perfection. Here we develop a new
theory of perfection that takes an egalitarian view of species, and we examine
the fitness of this theory on several datasets. Supplementary Material is
available at www.liebertonline.com/cmb.
PMID- 21899428
TI - Restricted DCJ model: rearrangement problems with chromosome reincorporation.
AB - We study three classical problems of genome rearrangement--sorting, halving, and
the median problem--in a restricted double cut and join (DCJ) model. In the DCJ
model, introduced by Yancopoulos et al., we can represent rearrangement events
that happen in multichromosomal genomes, such as inversions, translocations,
fusions, and fissions. Two DCJ operations can mimic transpositions or block
interchanges by first extracting an appropriate segment of a chromosome, creating
a temporary circular chromosome, and then reinserting it in its proper place. In
the restricted model, we are concerned with multichromosomal linear genomes and
we require that each circular excision is immediately followed by its
reincorporation. Existing linear-time DCJ sorting and halving algorithms ignore
this reincorporation constraint. In this article, we propose a new algorithm for
the restricted sorting problem running in O(n log n) time, thus improving on the
known quadratic time algorithm. We solve the restricted halving problem and give
an algorithm that computes a multilinear halved genome in linear time. Finally,
we show that the restricted median problem is NP-hard as conjectured.
PMID- 21899429
TI - The complexity of the gapped consecutive-ones property problem for matrices of
bounded maximum degree.
AB - The Gapped Consecutive-Ones Property (C1P) Problem, or the (k, delta)-C1P Problem
is: given a binary matrix M and integers k and delta, decide if the columns of M
can be ordered such that each row contains at most k blocks of 1's, and no two
neighboring blocks of 1's are separated by a gap of more than delta 0's. This
problem was introduced by Chauve et al. ( 2009b ). The classical polynomial-time
solvable C1P Problem is equivalent to the (1, 0)-C1P problem. It has been shown
that, for every unbounded or bounded k >= 2 and unbounded or bounded delta >= 1,
except when (k, delta) = (2, 1), the (k, delta)-C1P Problem is NP-complete
(Manuch et al., 2011 ; Goldberg et al., 1995 ). In this article, we study the
Gapped C1P Problem with a third parameter d, namely the bound on the maximum
number of 1's in any row of M, or the bound on the maximum degree of M. This is
motivated by the reconstruction of ancestral genomes (Ma et al., 2006 ; Chauve
and Tannier, 2008 ), where, in binary matrices obtained from the experiments of
Chauve and Tannier ( 2008 ), we have observed that the majority of the rows have
low degree, while each high degree row contains many rows of low degree. The (d,
k, delta)-C1P Problem has been shown to be polynomial-time solvable when all
three parameters are fixed (Chauve et al., 2009b ). Since fixing d also fixes k
(k <= d), the only case left to consider is the case when delta is unbounded, or
the (d, k, infinity)-C1P Problem. Here we show that for every d > k >= 2, the (d,
k, infinity)-C1P Problem is NP-complete.
PMID- 21899430
TI - Efficient computation of approximate gene clusters based on reference
occurrences.
AB - Whole genome comparison based on the analysis of gene cluster conservation has
become a popular approach in comparative genomics. While gene order and gene
content as a whole randomize over time, it is observed that certain groups of
genes which are often functionally related remain co-located across species.
However, the conservation is usually not perfect which turns the identification
of these structures, often referred to as approximate gene clusters, into a
challenging task. In this article, we present an efficient set distance based
approach that computes approximate gene clusters by means of reference
occurrences. We show that it yields highly comparable results to the
corresponding non-reference based approach, while its polynomial runtime allows
for approximate gene cluster detection in parameter ranges that used to be
feasible only with simpler, e.g., max-gap based, gene cluster models. To
illustrate further the performance and predictive power of our algorithm, we
compare it to a state-of-the art approach for max-gap gene cluster computation.
PMID- 21899431
TI - Feasibility and efficacy of isoniazid prophylaxis for latent tuberculosis in HIV
infected clients patients in Thailand.
AB - A prospective study was conducted in 4339 HIV-positive clients at the Thai Red
Cross AIDS Research Centre (TRC-ARC) Anonymous clinic, Bangkok, Thailand between
January 2003 and April 2008. A tuberculin skin test (TST) was done for all
patients without a previous history of tuberculosis (TB). Nine months of
isoniazid (INH) was given for all positive TST/no active TB. TST-negative clients
were asked to repeat the TST annually. The study aim was to evaluate the
feasibility and efficacy of INH prophylaxis for preventing TB in HIV-positive
Thai patients. Of those patients, 4111 (94.7%) had a TST done; 1157 (28.1%) were
TST positive and 799 patients started INH prophylaxis. In all, 551 (69%) and 633
(79.2%) patients completed 9 months and 6 months of INH, respectively; 176
(20.2%) patients had a negative TST at baseline and subsequently converted to
positive. Only patients with a baseline CD4 >200 cells/MUL (p=0.000) and
currently on antiretroviral (ARV) treatment (p=0.000) were related to having a
positive TST. This baseline CD4 level was also significantly related to higher
INH completion rates at 6 months (p=0.000). Interestingly, none of INH completion
patients developed active TB. The feasibility of INH prophylaxis in TST-positive
patients in this setting is possible. However, the long-term advantage of INH
prophylaxis in terms of TB prevention, especially in HIV-1-infected patients on
highly active antiretroviral therapy (HAART), is still an issue that needs more
research.
PMID- 21899432
TI - Overexpression of manganese superoxide dismutase does not increase clonogenic
cell survival despite effect on apoptosis in irradiated lymphoblastoid cells.
AB - Gene therapy-mediated overexpression of superoxide dismutases (SOD) appears to be
a promising strategy for modulating radiosensitivity based on detoxification of
superoxide radicals and suppression of apoptosis. Using recombinant lentiviral
based vectors, the effects of SOD overexpression on both were tested in human
lymphoblastoid cells (TK6) that are sensitive to radiation-induced apoptosis. TK6
cells were transduced with vectors containing CuZnSOD, MnSOD or inverted MnSOD
(MSODi) cDNA. Gene transfer efficiency, SOD activity, superoxide-radical
resistance, apoptosis and clonogenic survival were determined. A six- to
eightfold increase in SOD activity was observed after transduction, rendering
MnSOD-overexpressing TK6 cells significantly more resistant to paraquat-induced
superoxide radical production than controls. Although significant differences in
sensitivity to apoptosis were observed for MnSOD, no differences in clonogenic
survival after irradiation were detected between any groups. Our data show that
efficient cellular SOD overexpression, an increased superoxide radical
detoxifying ability and, for MnSOD, decreased apoptosis did not result in
increased clonogenic survival after irradiation. This strengthens the hypothesis
of differences in the radiation-modulating effects of SOD on normal and malignant
cells (protective and nonprotective, respectively), thereby showing its potential
to increase the therapeutic index in future clinical SOD-based radioprotection
approaches.
PMID- 21899433
TI - Sesamol as a potential radioprotective agent: in vitro studies.
AB - Protection against radiation-induced DNA strand breaks is an important aspect in
the design and development of a radioprotector. In this study, the
radioprotective efficacy of sesamol, a natural antioxidant, was investigated in
aqueous solution of plasmid DNA (pBR322) and compared with that of melatonin, a
known antioxidant-based radioprotector. Thermal denaturation studies on
irradiated calf thymus DNA were also carried out with sesamol and melatonin.
Sesamol demonstrated greater radioprotective efficacy in both plasmid DNA and
calf thymus DNA. To assess the radical scavenging capacity of sesamol and
melatonin, 2-deoxyribose degradation, DPPH and ABTS assays were performed.
Sesamol exhibited more scavenging capacity compared to melatonin. In vitro
studies with V79 cells showed that sesamol is 20 times more potent than
melatonin. It is proposed that the greater radioprotective efficacy of sesamol
could be due to its greater capacity for scavenging of free radicals compared to
melatonin. The results will be helpful in understanding the mechanisms and
development of sesamol as a radioprotector.
PMID- 21899434
TI - Influence of risk and protective factors on substance use outcomes across
developmental periods: a comparison of youth and young adults.
AB - Data were collected from samples of youth (ages 11-18; N = 38,268) and young 10
adults (ages 18-24; N = 602) across 30 Tennessee counties using surveys and
telephone interviews conducted in 2006-2008. Data were analyzed using
hierarchical nonlinear modeling to determine: (1) which risk and protective
factors predicted alcohol and marijuana use, and (2) whether predictors differed
as a function of developmental period. Findings provide preliminary evidence that
prevention efforts need to take into consideration the changing environment and
related influences as youth age, especially as they move from a more protected
community environment to one where they live somewhat independently. Implications
and limitations are discussed.
PMID- 21899435
TI - Agroinoculation of Citrus tristeza virus causes systemic infection and symptoms
in the presumed nonhost Nicotiana benthamiana.
AB - Citrus tristeza virus (CTV) naturally infects only some citrus species and
relatives and within these it only invades phloem tissues. Failure to agroinfect
citrus plants and the lack of an experimental herbaceous host hindered
development of a workable genetic system. A full-genome cDNA of CTV isolate T36
was cloned in binary plasmids and was used to agroinfiltrate Nicotiana
benthamiana leaves, with or without coinfiltration with plasmids expressing
different silencing-suppressor proteins. A time course analysis in
agroinfiltrated leaves indicated that CTV accumulates and moves cell-to-cell for
at least three weeks postinoculation (wpi), and then, it moves systemically and
infects the upper leaves with symptom expression. Silencing suppressors expedited
systemic infection and often increased infectivity. In systemically infected
Nicotiana benthamiana plants, CTV invaded first the phloem, but after 7 wpi, it
was also found in other tissues and reached a high viral titer in upper leaves,
thus allowing efficient transmission to citrus by stem-slash inoculation.
Infected citrus plants showed the symptoms, virion morphology, and phloem
restriction characteristic of the wild T36 isolate. Therefore, agroinfiltration
of Nicotiana benthamiana provided the first experimental herbaceous host for CTV
and an easy and efficient genetic system for this closterovirus.
PMID- 21899436
TI - Nonhost resistance of rice to rust pathogens.
AB - Rice is atypical in that it is an agricultural cereal that is immune to fungal
rust diseases. This report demonstrates that several cereal rust species
(Puccinia graminis f. sp tritici, P. triticina, P. striiformis, and P. hordei)
can infect rice and produce all the infection structures necessary for plant
colonization, including specialized feeding cells (haustoria). Some rust
infection sites are remarkably large and many plant cells are colonized,
suggesting that nutrient uptake occurs to support this growth. Rice responds with
an active, nonhost resistance (NHR) response that prevents fungal sporulation and
that involves callose deposition, production of reactive oxygen species, and,
occasionally, cell death. Genetic variation for the efficacy of NHR to wheat stem
rust and wheat leaf rust was observed. Unlike cereal rusts, the rust pathogen
(Melampsora lini) of the dicotyledenous plant flax (Linum usitatissimum) rarely
successfully infects rice due to an apparent inability to recognize host-derived
signals. Morphologically abnormal infection structures are produced and
appressorial-like structures often don't coincide with stomata. These data
suggest that basic compatibility is an important determinate of nonhost infection
outcomes of rust diseases on cereals, with cereal rusts being more capable of
infecting a cereal nonhost species compared with rust species that are adapted
for dicot hosts.
PMID- 21899437
TI - A novel multidomain polyketide synthase is essential for zeamine production and
the virulence of Dickeya zeae.
AB - Dickeya zeae is the causal agent of the rice foot rot disease, but its mechanism
of infection remains largely unknown. In this study, we identified and
characterized a novel gene designated as zmsA. The gene encodes a large protein
of 2,346 amino acids in length, which consists of multidomains arranged in the
order of N-terminus, beta-ketoacyl synthase, acyl transferase, acyl carrier
protein, beta-ketoacyl reductase, dehydratase. This multidomain structure and
sequence alignment analysis suggest that ZmsA is a member of the polyketide
synthase family. Mutation of zmsA abolished antimicrobial activity and attenuated
the virulence of D. zeae. To determine the relationship between antimicrobial
activity and virulence, active compounds were purified from D. zeae EC1 and were
structurally characterized. This led to identification of two polyamino
compounds, i.e., zeamine and zeamine II, that were phytotoxins and potent
antibiotics. These results have established the essential role of ZmsA in zeamine
biosynthesis and presented a new insight on the molecular mechanisms of D. zeae
pathogenicity.
PMID- 21899439
TI - HflB gene-based phytopathogenic classification of 'Candidatus phytoplasma mali'
strains and evidence that strain composition determines virulence in multiply
infected apple trees.
AB - Analysis of pathological and molecular data of 'Candidatus Phytoplasma mali'
accessions from 27 apple trees differing considerably in symptomatology was used
to molecularly characterize and classify strains of the infecting apple
proliferation phytoplasma. Single-strand conformation polymorphism and sequence
analysis of a variable fragment of ATP00464-type hflB gene revealed that these
sources consisted of single-strain and multiple-strain accessions that occurred
in similar numbers. The latter group was composed of two to five distinct
strains. Analysis of cloned sequences of mild and severe single-strain accessions
resulted in two groups of reads that clustered, according to their virulence,
distantly in the phylogram. Based on this data, the clustering patterns of
multiple-strain accession sequences indicated that nearly all of them were
composed of mild and severe strains. The distinct clustering of sequences
representing mild and severe strains was associated with a range of molecular
markers at the nucleotide and amino acid level. Data indicate that the virulence
of multiple-strain accessions is determined by the ratio of the occurring mild
and severe strains in that mild accessions were characterized by the predominance
of sequences representing mild strains and vice versa. There is evidence that
shifts in the population and other events may occur that drastically alter
virulence of multiple-strain accessions.
PMID- 21899438
TI - A high level of transgenic viral small RNA is associated with broad potyvirus
resistance in cucurbits.
AB - Gene-silencing has been used to develop resistance against many plant viruses but
little is known about the transgenic small-interfering RNA (t-siRNA) that confers
this resistance. Transgenic cucumber and melon lines harboring a hairpin
construct of the Zucchini yellow mosaic potyvirus (ZYMV) HC-Pro gene accumulated
different levels of t-siRNA (6 to 44% of total siRNA) and exhibited resistance to
systemic ZYMV infection. Resistance to Watermelon mosaic potyvirus and Papaya
ring spot potyvirus-W was also observed in a cucumber line that accumulated high
levels of t-siRNA (44% of total siRNA) and displayed significantly increased
levels of RNA-dependent RNA (RDR)1 and Argonaute 1, as compared with the other
transgenic and nontransformed plants. The majority of the t-siRNA sequences were
21 to 22 nucleotides in length and sense strand biased. The t-siRNA were not
uniformly distributed throughout the transgene but concentrated in "hot spots" in
a pattern resembling that of the viral siRNA peaks observed in ZYMV-infected
cucumber and melon. Mutations in ZYMV at the loci associated with the siRNA peaks
did not break this resistance, indicating that hot spot t-siRNA may not be
essential for resistance. This study shows that resistance based on gene
silencing can be effective against related viruses and is probably correlated
with t-siRNA accumulation and increased expression of RDR1.
PMID- 21899440
TI - Sulfur-oxidizing chemolithotrophic proteobacteria dominate the microbiota in high
arctic thermal springs on Svalbard.
AB - The thermal springs Trollosen and Fisosen, located on the High Arctic archipelago
Svalbard, discharge saline groundwaters rich in hydrogen sulfide and ammonium
through a thick layer of permafrost. Large amounts of biomass that consist of
filamentous microorganisms containing sulfur granules, as analyzed with energy
dispersive X-ray analysis, were found in the outflow. Prokaryotic 16S rRNA gene
libraries and quantitative polymerase chain reaction (qPCR) analyses reported
bacteria of the gamma- and E-proteobacterial classes as the dominant organisms in
the filaments and the planktonic fractions, closely related to known
chemolithoautotrophic sulfur oxidizers (Thiotrix and Sulfurovum). Archaea
comprised ~1% of the microbial community, with the majority of sequences
affiliated with the Thaumarchaeota. Archaeal and bacterial genes coding for a
subunit of the enzyme ammonia monooxygenase (amoA) were detected, as well as 16S
rRNA genes of Nitrospira, all of which is indicative of potential complete
nitrification in both springs. 16S rRNA sequences related to methanogens and
methanotrophs were detected as well. This study provides evidence that the
microbial communities in Trollosen and Fisosen are sustained by chemolithotrophy,
mainly through the oxidation of reduced sulfur compounds, and that ammonium and
methane might be minor, additional sources of energy and carbon.
PMID- 21899442
TI - DNA repair gene 8-oxoguanine DNA glycosylase Ser326Cys polymorphism and
colorectal cancer risk in a Kashmiri population.
AB - 8-Oxoguanine DNA glycosylase (OGG1) is one of the important base excision repair
enzymes that repair 8-oxoguanine lesion incorporated within the DNA of an
individual by reactive oxygen species. The aim of this study was to detect the
role of OGG1 Ser326Cys polymorphism in susceptibility to colorectal cancer (CRC)
in a Kashmiri population. We investigated the genotype distribution of the OGG1
gene in 114 CRC cases in comparison with 200 healthy subjects. There was no
significant association between OGG1 Ser326Cys polymorphism and CRC, but the
homozygous Cys/Cys variant genotype was associated with an increased risk of
colon cancer (p<0.05). This study suggests that the OGG1 polymorphism is not
associated with the risk of development of CRC in the Kashmiri population in
general but modulates the risk of cancer development in colon via interaction
with many dietary factors.
PMID- 21899441
TI - Frequency Determination of alpha-1,3 Glucosyltransferase p.Y131H and p.F304S
Polymorphisms in the Croatian Population Revealed Five Novel Single Nucleotide
Polymorphisms in the hALG6 Gene.
AB - The congenital disorder of glycosylation (CDG)-Ic (ALG6-CDG, CDG-Ic) is caused by
mutations in the hALG6 gene that encodes the N-glycosylation pathway enzyme,
alpha-1,3-glucosyltransferase (NP_037471.2). The aim of our study was to estimate
the frequencies of ALG6-CDG related p.Y131H and p.F304S polymorphisms in the
Croatian population. Genomic DNA was isolated from blood samples collected from
600 healthy individuals. Functional single-nucleotide polymorphisms rs35383149
and rs17856039 causing p.Y131H and p.F304S, respectively, were genotyped by the
TaqMan method and direct sequencing. The frequency of p.F304S polymorphism in the
studied cohort was shown to be similar to the frequencies found in other tested
populations (27%), whereas the frequency of p.Y131H was found to be three times
higher (6.7%). Five novel base substitutions in the hALG6 gene were also found:
three in exon 5 (c.383T>C, c.390G>A, and c.429G>C) and two in a downstream
intervening sequence (IVS5+17C/T and IVS5+34G/A).
PMID- 21899443
TI - Health care reform and the health care workforce--the Massachusetts experience.
AB - Massachusetts' experience with health care reform may be predictive of the
effects of national health care reform. Data on employment in the health care
industry were examined to determine the impact of the Massachusetts reform on the
state's health care workforce.
PMID- 21899444
TI - Massachusetts' health care reform and emergency department utilization.
PMID- 21899445
TI - The uncertain future of Medicare and graduate medical education.
PMID- 21899446
TI - Images in clinical medicine. Chemotherapy-induced hyperpigmentation of the
tongue.
PMID- 21899447
TI - HIV vaccine development--improving on natural immunity.
PMID- 21899448
TI - Global noncommunicable diseases--lessons from the HIV-AIDS experience.
PMID- 21899449
TI - Drunk driving, distracted driving, moralism, and public health.
PMID- 21899450
TI - Genetic basis for in vivo daptomycin resistance in enterococci.
AB - BACKGROUND: Daptomycin is a lipopeptide with bactericidal activity that acts on
the cell membrane of enterococci and is often used off-label to treat patients
infected with vancomycin-resistant enterococci. However, the emergence of
resistance to daptomycin during therapy threatens its usefulness. METHODS: We
performed whole-genome sequencing and characterization of the cell envelope of a
clinical pair of vancomycin-resistant Enterococcus faecalis isolates from the
blood of a patient with fatal bacteremia; one isolate (S613) was from blood drawn
before treatment and the other isolate (R712) was from blood drawn after
treatment with daptomycin. The minimal inhibitory concentrations (MICs) of these
two isolates were 1 and 12 MUg per milliliter, respectively. Gene replacements
were made to exchange the alleles found in isolate S613 with those in isolate
R712. RESULTS: Isolate R712 had in-frame deletions in three genes. Two genes
encoded putative enzymes involved in phospholipid metabolism, GdpD (which denotes
glycerophosphoryl diester phosphodiesterase) and Cls (which denotes cardiolipin
synthetase), and one gene encoded a putative membrane protein, LiaF (which
denotes lipid II cycle-interfering antibiotics protein but whose exact function
is not known). LiaF is predicted to be a member of a three-component regulatory
system (LiaFSR) involved in the stress-sensing response of the cell envelope to
antibiotics. Replacement of the liaF allele of isolate S613 with the liaF allele
from isolate R712 quadrupled the MIC of daptomycin, whereas replacement of the
gdpD allele had no effect on MIC. Replacement of both the liaF and gdpD alleles
of isolate S613 with the liaF and gdpD alleles of isolate R712 raised the
daptomycin MIC for isolate S613 to 12 MUg per milliliter. As compared with
isolate S613, isolate R712--the daptomycin-resistant isolate--had changes in the
structure of the cell envelope and alterations in membrane permeability and
membrane potential. CONCLUSIONS: Mutations in genes encoding LiaF and a GdpD
family protein were necessary and sufficient for the development of resistance to
daptomycin during the treatment of vancomycin-resistant enterococci. (Funded by
the National Institute of Allergy and Infectious Diseases and the National
Institutes of Health.).
PMID- 21899451
TI - General and abdominal obesity and risk of death among black women.
AB - BACKGROUND: Recent pooled analyses show an increased risk of death with
increasing levels of the body-mass index (BMI, the weight in kilograms divided by
the square of the height in meters) of 25.0 or higher in populations of European
ancestry, a weaker association among East Asians, and no association of an
increased BMI with an increased risk of death among South Asians. The limited
data available on blacks indicate that the risk of death is increased only at
very high levels of BMI (>=35.0). METHODS: We prospectively assessed the relation
of both BMI and waist circumference to the risk of death among 51,695 black women
with no history of cancer or cardiovascular disease who were 21 to 69 years of
age at study enrollment. Our analysis was based on follow-up data from 1995
through 2008 in the Black Women's Health Study. Multivariable proportional
hazards models were used to estimate hazard ratios and 95% confidence intervals.
RESULTS: Of 1773 deaths identified during follow-up, 770 occurred among 33,916
women who had never smoked. Among nonsmokers, the risk of death was lowest for a
BMI of 20.0 to 24.9. For a BMI above this range, the risk of death increased as
the BMI increased. With a BMI of 22.5 to 24.9 as the reference category,
multivariable-adjusted hazard ratios were 1.12 (95% confidence interval [CI],
0.87 to 1.44) for a BMI of 25.0 to 27.4, 1.31 (95% CI, 1.01 to 1.72) for a BMI of
27.5 to 29.9, 1.27 (95% CI, 0.99 to 1.64) for a BMI of 30.0 to 34.9, 1.51 (95%
CI, 1.13 to 2.02) for a BMI of 35.0 to 39.9, and 2.19 (95% CI, 1.62 to 2.95) for
a BMI of 40.0 to 49.9 (P<0.001 for trend). A large waist circumference was
associated with an increased risk of death from any cause among women with a BMI
of less than 30.0. CONCLUSIONS: The risk of death from any cause among black
women increased with an increasing BMI of 25.0 or higher, which is similar to the
pattern observed among whites. Waist circumference appeared to be associated with
an increased risk of death only among nonobese women. (Funded by the National
Cancer Institute.).
PMID- 21899452
TI - Drug-resistant epilepsy.
PMID- 21899453
TI - Mendelian disorders of membrane trafficking.
PMID- 21899454
TI - Images in clinical medicine. Ortner's syndrome.
PMID- 21899455
TI - Case records of the Massachusetts General Hospital. Case 27-2011. A 17-year-old
boy with abdominal pain and weight loss.
PMID- 21899456
TI - What has kept the antibiotic miracle alive?
PMID- 21899457
TI - Illuminating immune privilege--a role for regulatory T cells in preventing
rejection.
PMID- 21899458
TI - Transcatheter aortic-valve replacement.
PMID- 21899460
TI - Treatment of hepatitis C by primary care providers.
PMID- 21899462
TI - BRAF mutations in hairy-cell leukemia.
PMID- 21899463
TI - BRAF mutations in hairy-cell leukemia.
PMID- 21899465
TI - Health risks of accidents at nuclear power plants.
PMID- 21899466
TI - Health risks of accidents at nuclear power plants.
PMID- 21899467
TI - Health risks of accidents at nuclear power plants.
PMID- 21899468
TI - Health risks of accidents at nuclear power plants.
PMID- 21899470
TI - Intravenous thrombolytic therapy for acute ischemic stroke.
PMID- 21899471
TI - Intravenous thrombolytic therapy for acute ischemic stroke.
PMID- 21899472
TI - Intravenous thrombolytic therapy for acute ischemic stroke.
PMID- 21899474
TI - "Bath salts" intoxication.
PMID- 21899476
TI - The pharmacogenetics of antimalaria artemisinin combination therapy.
AB - INTRODUCTION: Plasmodium falciparum malaria is one of the world's most lethal
infectious diseases, commanding millions of drug administrations per year. The
pharmacogenetics of these drugs is poorly known, although its application can be
pivotal for the optimized management of this disease. AREAS COVERED: The main
components of artemisinin combination therapy (ACT), the worldwide main
antimalarial strategy, are metabolized by the polymorphic CYP3A4 (mefloquine,
artemether, lumefantrine), CYP2C8 (amodiaquine), CYP2A6 (artesunate) and CYP1A1/2
(amodiaquine/desethylamodiaquine), with dihydroartemisinin being acted by Phase
II UDP-glucuronosyltransferases. The worldwide adoption of ACT is leading to a
large number of antimalarial treatments. Simultaneously, the feared development
of parasite drug resistance might drive dosing increases. In these scenarios of
increased drug exposure, pharmacogenetics can be a key tool supporting evidence
based medicine aiming for the longest possible useful lifespan of this important
chemotherapy. EXPERT OPINION: Translation in this moment is not operationally
possible at an individual level, but large population studies are achievable for:
i) the development of robust pharmacogenetics markers; and ii) the parallel
development of a pharmacogenetic cartography of malaria settings. Advances in the
understanding of antimalarial pharmacogenetics are urgent in order to protect the
exposed populations, enhance the effectiveness of ACT and, consequently,
contributing for the long aimed elimination of the disease.
PMID- 21899477
TI - Antithrombotic lipids from Semen Persicae.
AB - Chemical investigation of Semen Persicae has led to the isolation of decane (1),
triolein (2), nonacosanoic acid (3), oleic acid ethyl ester (4), palmitic acid
(5), oleic acid (6) and 15,16-dihydroxy-9Z,12Z-octadecadienoic acid 2,3
dihydroxypropyl ester (7). Amongst these, compound 7 is a new lipid. Their
structures were elucidated by chemical and extensive spectral analysis. Their
anticoagulative activities were also evaluated in vitro, which showed that
petroleum ether extract and compounds 5-6 could significantly prolong thrombin
time while methanol extract could obviously inhibit platelet aggregation.
PMID- 21899479
TI - Mirror agnosia and the mirrored-self misidentification delusion: a hypnotic
analogue.
AB - INTRODUCTION: Mirrored-self misidentification is the delusional belief that one's
reflection in the mirror is a stranger. Current theories suggest that one pathway
to the delusion is mirror agnosia (a deficit in which patients are unable to use
mirror knowledge when interacting with mirrors). This study examined whether a
hypnotic suggestion for mirror agnosia can recreate features of the delusion.
METHOD: Ten high hypnotisable participants were given either a suggestion to not
understand mirrors or to see the mirror as a window. Participants were asked to
look into a mirror and describe what they saw. Participants were tested on their
understanding of mirrors and received a series of challenges. Participants then
received a detailed postexperimental inquiry. RESULTS: Three of five participants
given the suggestion to not understand mirrors reported seeing a stranger and
maintained this belief when challenged. These participants also showed signs of
mirror agnosia. No participants given the suggestion to see a window reported
seeing a stranger. CONCLUSION: Results indicate that a hypnotic suggestion for
mirror agnosia can be used to recreate the mirrored-self misidentification
delusion. Factors influencing the effectiveness of hypnotic analogues of
psychopathology, such as participants' expectations and interpretations, are
discussed.
PMID- 21899480
TI - Production of camptothecin by hairy roots and regenerated transformed shoots of
Ophiorrhiza rugosa var. decumbens.
AB - Camptothecin (CPT), the derivatives of which are used clinically for the
treatment of metastatic colon cancer, is isolated from intact plants that can be
subjected to environmental fluctuations. In vitro cultures may be an alternate
and continuous source for year-round production of CPT. Since CPT production by
undifferentiated cell cultures is low, differentiated tissues such as root
cultures may be a viable alternate source for CPT production. Hairy roots were
induced in Ophiorriza rugosa, a source of CPT, using Agrobacterium rhizogenes
strain LBA9402. The hairy roots, when cultured in light, showed spontaneous
regeneration of shoots. Analysis of CPT levels in the hairy roots and in vitro
grown transformed shoots revealed 0.009% d.w. and 0.012% d.w., respectively.
PMID- 21899481
TI - New epothilone congeners from Sorangium cellulosum strain So0157-2.
AB - As a continuous work to find more epothilone congeners produced by the
epothilones A and B producing Sorangium cellulosum strain So0157-2 in the large
scale fermentation (5000 L), we reinvestigated the chemical compositions of the
fermentation broth. Consequently, two new epothilone variants (1-2) and one new
natural epothilone derivative (3) were isolated from the fermentation broth.
Their structures were established as 16-ethyl epothilone B (1), 6-desmethyl-16
hydroxymethyl epothilone C (2) and 20-ethyl epothilone A (3), respectively, by an
extensive NMR analysis.
PMID- 21899482
TI - Two antifungal active triterpenoid saponins from the seeds of Lathyrus plants.
AB - Two novel triterpenoid glycosides have been isolated from butanolic seeds extract
of two varieties of Lathyrus plants, i.e. Lathyrus ratan and Lathyrus aphaca.
Their structures were elucidated as 3-O-[beta-D-glucuronopyranosyl-(1 -> 4)-alpha
L-arabinopyranosyl-(1 -> 2)-alpha-L-arabinopyranosyl]-olean-11,13(18)-dien-28-oic
acid (1) and 3-O-{beta-D-xylopyranosyl-(1 -> 2)-beta-D-glcopyranosyl-(1 -> 4)
[beta-D-glucopyranosyl-(1 -> 2)]-beta-D-xylopyranosyl}-2,16alpha-dihydroxy-4
hydroxymethyl urs-12-en-28-oic acid (2) on the basis of spectral evidences, i.e.
FTIR, (1)H-NMR, (13)C-NMR, ESI-MS and FAB-MS data. The isolated saponins were
tested for their antifungal activity. Compound 1 showed maximum inhibition
against Colletotrichum dematium (77.8%), whereas compound 2 showed maximum
inhibition against Alternaria alternata (53.9%).
PMID- 21899483
TI - YKL-40 protein is a marker of asthma.
AB - BACKGROUND: Gaining asthma control is still a challenge in a large number of
patients. It could be facilitated by using biomarkers indicating the grade of
inflammation and correlating with clinical picture. Chitinases and chitinase-like
proteins play a role in Th2-type inflammation. Thus, they may be useful in
diagnosing and monitoring of asthma. OBJECTIVES: The aim of the study was to
investigate the relevance of YKL-40 as a good biomarker of asthma, its control,
and severity. METHODS: Level of YKL-40 was determined by means of immunoassay in
sera of 59 asthmatics (39 women, 20 men, aged 23-76 years) and 29 healthy
controls (18 women, 11 men, aged 20-80 years). Asthma severity and control were
assessed according to GINA guidelines. Differences between groups were compared
with the use of Mann-Whitney's U-test. Correlations between variables were
assessed with Pearson's test. RESULTS: Symptoms of asthma were found to be
controlled in 12 (20%), partly controlled in 17 (29%), and uncontrolled in 30
(51%) patients. YKL-40 levels were significantly higher, on average, in
asthmatics compared to control group (median levels: 125.3 U and 84.1 U,
respectively, p < .001). YKL-40 correlated with the number of blood eosinophils
(r = 0.376, p = 0.05). However, no relations have been found between YKL-40 level
and asthma severity, control, or total serum IgE (r = -0.05, p = .05).
CONCLUSION: YKL-40 seems to be a good marker of asthma. However, its level may
not correlate with clinical outcome.
PMID- 21899484
TI - Preparation of a polypyrrole-polyvinylsulphonate composite film biosensor for
determination of phenol based on entrapment of polyphenol oxidase.
AB - Abstract: In this paper, a novel amperometric phenol biosensor with
immobilization of polyphenol oxidase (tyrosinase) on electrochemically
polymerized polypyrrole-polyvinylsulphonate (PPy-PVS) film has been accomplished
via the entrapment technique on the surface of a platinum electrode. The
amperometric determination is based on the electrochemical reduction of quinon
generated in the enzymatic reaction of phenol. The effects of pH and temperature
were investigated and optimum parameters were found to be 8.0 and 30 degrees C,
respectively. The linear working range of the electrode was 1.0 * 10(-7) - 5.0 *
10(-6) M. The storage stability and operation stability of the enzyme electrode
were also studied.
PMID- 21899485
TI - Coverage of insulin delivery devices and basal insulin analogs by US managed care
organizations.
AB - OBJECTIVE: The perception in the US is that insulin formulations prescribed for
type 1 and type 2 diabetes and delivered via insulin pens are more costly to
patients than the same or similar products provided in vials, and that basal
insulin analogs offered either in pens or vials are likewise more costly to
patients than human insulin formulations. This study compares levels of coverage
and copays by private and Medicare Part D plans for insulin pens and vials
containing basal insulin analogs and for NPH formulations in vials. METHODS: A
commercially available formulary database (Access Point, Pinsonault Associates;
updated quarterly) was analyzed as of January 2010 for private insurance plans
and as of March 2010 for Medicare Part D plans. Analyses were performed for Tier
level coverage and copays per prescription for basal insulin analogs in pens and
vials, and NPH in vials. RESULTS: Basal insulin analogs in pens were covered by
>91% of private and Part D plans. NPH coverage was reported by >92% of private
plans and 69-95% of Part D plans, depending on brand. Irrespective of delivery
mode, copays in the majority of private plans for basal insulin analogs and NPH
were in the >$10-35 range. Copays were higher in Part D plans, with the majority
of plans and subscribers in a >$35-50 range. Prior authorization was required by
<10% of insurance plans for insulin analog pen prescriptions, and <3% of plans
for insulin analog or NPH prescriptions in vials. LIMITATIONS: This analysis was
descriptive, copay stratification was not based on a statistical model but on
copay ranges typically used by the plans, and there were no direct correlations
performed on the numbers of subscribers per plan vs copay or Tier level.
CONCLUSION: These results counter the widely held perception that insurance
coverage is less extensive for insulin pens vs vials. Medicare Part D plans often
had higher copay requirements than private plans for the same product at the same
copay Tier.
PMID- 21899486
TI - The impact of rheumatoid arthritis on the burden of disease in urban China.
AB - OBJECTIVES: The aim of this study is to assess the burden of disease associated
with the impact of rheumatoid arthritis in urban China. Burden of disease is
considered from four perspectives: (i) health-related quality-of-life (HRQoL);
(ii) health status; (iii) employment status; and (iv) absenteeism and
presenteeism. METHODS: Data are from the 2009 National Health and Wellness Survey
(NHWS) of urban China. This is an internet-based survey and details the health
experience of 13,007 respondents. The survey is representative of the urban China
population at 18 years of age and over (18.1% of the total population). Of those
responding to the survey, a total of 353 reported that they had been diagnosed
with rheumatoid arthritis--an unweighted estimate of 2.65%. The sample design
allows a comparison of those reporting rheumatoid arthritis with those not
reporting this disease and, hence, a quantitative assessment of the burden of
disease. Estimates of the quantitative impact of the presence of rheumatoid
arthritis are through a series of generalized linear regression models. HRQoL is
evaluated through the SF-12 instrument together with responses to the first item
of the SF-12, self-reported health status. The SF-12 instrument generates three
measures of HRQoL: the physical component summary (PCS), the mental component
summary (MCS) and SF-6D utilities. Health status is captured as a self-report on
a 5-point scale. Employment status is considered in terms of self-reported labor
force participation, while absenteeism and presenteeism are estimated from the
Work Productivity Activity Index (WPAI). Apart from a binary variable capturing
the presence or absence of rheumatoid arthritis, control variables were included
to capture the impact of other potential determinants of HRQoL and health status.
RESULTS: The presence of rheumatoid arthritis in urban China has a significant
deficit impact on HRQoL as measured by the PCS and MCS components of the SF-12,
SF-6D absolute utilities and on self-assessed health status. In the case of PCS,
the deficit impact of rheumatoid arthritis is -2.289 (95%CI: -3.042 to -1.536);
for MCS -1.472 (95%CI: -2.338 to -0.605) and for utilities -0.025 (95% CI: -0.036
to -0.014). In the case of health status the odds ratio for the presence of
rheumatoid arthritis is 1.275 (95%CI 1.031-1.576). The presence of rheumatoid
arthritis has a marked negative effect, just under 8%, on the likelihood of
workforce participation. Finally, the presence of rheumatoid arthritis is
associated with an increased likelihood of absenteeism and presenteeism.
LIMITATIONS: The NHWS survey has a number of limitations. As the NHWS is an
internet-based survey, biases may be present due to the lack of internet
penetration in the urban China population. The extent to which individuals and
households have internet access is unknown. In addition, the NHWS relies upon
respondents reporting they have been diagnosed with one or more specific disease
states. These are not, given the nature of the survey, clinically verified. This
also introduces a degree of uncertainty. Care should be taken in uncritically
generalizing these results to the wider China population. CONCLUSIONS: The burden
of disease associated with self-reported, diagnosed rheumatoid arthritis in urban
China is substantial. Utilizing a series of multivariate models, substantial
deficits are associated not only in reported HRQoL and health status but also in
respect of employment status and, for those in employment, rates of absenteeism
and presenteeism.
PMID- 21899487
TI - Adapting the Asthma Life Impact Scale (ALIS) for use in Southern European
(Italian) and Eastern European (Russian) cultures.
AB - BACKGROUND: The Asthma Life Impact Scale (ALIS) is a disease-specific measure
used to assess the quality-of-life of people with asthma. It was developed in the
UK and US and has proven to be acceptable to patients, to have good psychometric
properties, and to be unidimensional. OBJECTIVE: This paper reports on the
adaptation and validation of the ALIS for use in representative Southern European
(Italian) and Eastern European (Russian) languages. METHODS: The ALIS was
translated for both cultures using the dual-panel process. The newly translated
versions were then tested with asthma patients to ensure face and content
validity. Psychometric properties of the new language versions were assessed via
a test?re-test postal survey conducted in both countries. LIMITATIONS: It is
possible that some cultural or language differences still exist between the
different language versions. Further research should be undertaken to determine
responsiveness. Further studies designed to determine the clinical validity of
the Italian ALIS would be valuable. RESULTS: Linguistic nuances were easily
resolved during the translation process for both language adaptations. Cognitive
debriefing interviews (Russia n=9, male=11.1%, age mean (SD)=55.4 (13.2); Italy
n=15, male=66.7%, age mean (SD)=63.5 (11.2)) indicated that the ALIS was easy to
read and acceptable to patients. Psychometric testing was conducted on the data
(Russia n=61, age mean (SD)=40.7 (15.4); Italy n=71, male=42.6%, age mean
(SD)=49.5 (14.1)). The results showed that the new versions of the ALIS were
consistent (Russian and Italian Cronbach's alpha=0.92) and reproducible (Russian
test-re-test=0.86; Italian test-re-test=0.94). The Italian adaptation showed the
expected correlations with the NHP and the Russian adaptation showed strong
correlations with the CASIS and CAFS and weak-to-moderate correlations with %FEV1
and %PEF. In both adaptations the ALIS was able to distinguish between
participants based on self-reported general health, self-reported severity, and
whether or not they were hospitalized in the previous week.
PMID- 21899488
TI - "+CLICK": pilot of a web-based training program to enhance ART adherence among
HIV-positive youth.
AB - Youth account for almost half of all new HIV infections in the United States.
Adherence to antiretroviral treatment (ART) is critical for successful
management, yet reported adherence rates for youth are often low. This study
pilot-tested "+CLICK," an innovative, web-based, adherence intervention for HIV
positive youth as an adjunct to traditional clinic-based, self-management
education. The theory-based application, developed for HIV-infected youth, 13-24
years of age, provides tailored activities addressing attitudes, knowledge,
skills, and self-efficacy related to ART adherence. HIV-positive youth (N=10)
pilot-tested "+CLICK" to assess usability (ease of use, credibility,
understandability, acceptability, motivation) and short-term psychosocial
outcomes (importance and self-efficacy related to ART adherence) using a single
group, pre-/post-test study design in a hospital-based pediatric clinic (n=8) and
home (n=2) location. Youth were mostly female (80%) and Black (80%). Mean age was
17.8 years (SD=2.65, range 14-22). All were infected perinatally and had been
living with HIV all their lives. Most learned their HIV status by age 10 years.
Sixty percent reported an undetectable viral load, whilst 10% reported a viral
load of over 50,000. Half (50%) reported a normal CD4 count, whilst 20% reported
having low CD4 (<200). Usability ratings indicated "+CLICK" was very easy to use
(70%), trustworthy, and understandable (both>90%). Most (70%) indicated they
would use "+CLICK" again. Short-term psychosocial outcomes indicate significant
increase in medication adherence self-efficacy (p<0.05), perceived importance of
taking antiretroviral medicine close to the right time every day (p<0.05), and
knowledge about HIV and adherence (p<0.01). Other psychosocial variables and
behavioral intentions were not significantly impacted. Results suggest that
"+CLICK" has the potential to affect psychological antecedents to ART adherence.
Further research on long-term and behavioral effects is indicated prior to
broader dissemination into clinical practice.
PMID- 21899489
TI - Developmental changes in the control of saccadic eye movements in response to
directional eye gaze and arrows.
AB - We investigated developmental differences in oculomotor control between 10-year
old children and adults using a central interference task. In this task, the
colour of a fixation point instructed participants to saccade either to the left
or to the right. These saccade directions were either congruent or incongruent
with two types of distractor cue: either the direction of eye gaze of a centrally
presented schematic face, or the direction of arrows. Children had greater
difficulties inhibiting the distractor cues than did adults, which revealed
itself in longer saccade latencies for saccades that were incongruent with the
distractor cues as well as more errors on these incongruent trials than on
congruent trials. Counter to our prediction, in terms of saccade latencies, both
children and adults had greater difficulties inhibiting the arrow than the eye
gaze distractors.
PMID- 21899490
TI - Syntheses and evaluation of anti-inflammatory, analgesic and ulcerogenic
activities of 1,3,4-oxadiazole and 1,2,4-triazolo[3,4-b]-1,3,4-thiadiazole
derivatives.
AB - Several 2,5-disubstituted-1,3,4-oxadiazoles (4a-f) and 3,6-disubstituted-1,2,4
triazolo[3,4-b]-1,3,4-thiadiazoles (7a-f) were synthesized and characterized by
elemental analyses and spectral data. These compounds were screened for their
anti-inflammatory, analgesic, ulcerogenic and lipid peroxidation activities.
Compound 7c showed excellent anti-inflammatory and remarkable analgesic activity
with reduced ulcerogenic and lipid peroxidation activity when compared with
ibuprofen.
PMID- 21899491
TI - QSARs on human carbonic anhydrase VA and VB inhibitors of some new not yet
synthesized, substituted aromatic/heterocyclic sulphonamides as anti-obesity
agent.
AB - This paper presents result of quantitative structure-activity relationships
(QSAR) study realized with the PRECLAV, omega, brood and MOPAC software. The
dependent property is the inhibitory activity against human carbonic anhydrase
mitochondrial isoforms VA and VB. The calibration set includes 17
aromatic/heterocyclic sulphonamides incorporating phenacetyl, pyridylacetyl and
thienylacetyl tails with three clinically used CA inhibitors namely AZA, TPM and
ZNS molecules. The prediction set contains 24 others not yet synthesized
substituted aromatic/heterocyclic sulphonamides having unknown observed values of
activity. In the presence of prediction set, the predictive quality of QSAR of
hCA VA (r(2) = 0.9789, F = 418.115, r(2)(CV) = 0.9689) and hCA VB (r(2) = 0.9768;
F = 379.717; r(2)(CV) = 0.9637) is large. The obtained models suggest a slightly
different inhibition mechanism for the two isoforms. Large percentage, in weight,
of CONH molecular fragments seems to be favourable to inhibitory activity of both
VA and VB.
PMID- 21899492
TI - Synthesis and cytotoxicity studies of bifunctional hybrids of nitrogen mustards
with potential enzymes inhibitors based on melamine framework.
AB - The new class of hybrid anticancer drugs were obtained by selective
functionalization of the triazine scaffold. These were prepared by rearrangement
of mono-, bis- and/or tris-(1,3,5-triazin-2-yl)-1,4-diazabicyclo[2.2.2]octanium
chlorides leading to formation of 2-chloroethylamino fragments attached to 1,3,5
triazine via one, two or three piperazine rings respectively. Their inhibitory
effect was found strongly dependent on the structure of substituents in triazine
ring. The anti-proliferative activity of the hybrids evaluated in vitro by using
mammalian tumour cells estimated as IC(50) was in the range 0.62-139,78 uM. Both
cytotoxicity and alkylating activity depended on the substituents of triazine
ring, however, also the mono-functional analogues of nitrogen mustards, which are
unable to form liaisons between two DNA strands, induced apoptosis and necrosis
in the tested cells.
PMID- 21899493
TI - Structural analysis of structurally diverse alpha-glucosidase inhibitors for
active site feature analysis.
AB - In the present investigation, a QSAR analysis on structurally diverse alpha
glucosidase inhibitors (andrographolide, chromenone, triazole derivatives) was
performed and the developed models were validated by various validation methods
(LMO, LOO, LSO, bootstrapping, Y-randomization and test set). The statistical
parameters calculated for the models show that the developed models are
statistically significant and have predicted the activities with small residual
errors. The crossvalidated correlation coefficient (Q(2)) values obtained from
different validation methods show >0.7 for both the models. Other correlations
coefficient statistical parameters (R(2)(pred) and R(2)(m)) show that the
developed models are reliable and robust. The leave-series-out (LSO) results
reveal that the developed models can predict the activity of new compounds and
its crossvalidated correlation coefficients' values are comparable with the Q(2)
values obtained from other validation methods. The descriptors contributed in the
selected models are suggested that the lower/reduced polarizability on the vdW
surface area of the molecules and the presence of flexible bonds allow the
substituents/side chains in the molecules with free movement and with lesser
stretching energy which are favourable for the alpha-glucosidase inhibitory
activity. These results reveal that the developed models are statistically
significant and can be used with other molecular modelling works for designing
novel alpha-glucosidase inhibitors with multiple activities (HIV, diabetics,
cancer, etc).
PMID- 21899494
TI - To mix or not to mix venous blood samples collected in vacuum tubes?
AB - BACKGROUND: There are recommendations to mix venous blood samples by inverting
the tubes immediately after venipuncture. Though mixing allows efficient
anticoagulation in plasma tubes and fast initiation of coagulation in serum
tubes, the effect on laboratory analyses and risk of haemolysis has not been
thoroughly evaluated. METHODS: Venous blood samples were collected by
venipuncture in vacuum tubes from 50 patients (10 or 20 patients in each group).
Four types of tubes and 18 parameters used in routine clinical chemistry were
evaluated. For each patient and tube, three types of mixing strategies were used:
instant mixing, no mixing and 5 min of rest followed by mixing. RESULTS: Most
analyses did not differ significantly in samples admitted to different mixing
strategies. Plasma lactate dehydrogenase and haemolysis index showed a small but
significant increase in samples omitted to instant mixing compared to samples
without mixing. However, in one out of twenty non-mixed samples, activated
partial thromboplastin time was seriously affected. CONCLUSIONS: These results
indicate that mixing blood samples after venipuncture is not mandatory for all
types of tubes. Instant mixing may introduce interference for those analyses
susceptible to haemolysis. However, tubes with liquid-based citrate buffer for
coagulation testing should be mixed to avoid clotting.
PMID- 21899495
TI - Direct sequencing in cytological specimens as a useful strategy for detecting
EGFR mutations in non-small cell lung cancer patients.
AB - BACKGROUND: New therapeutics targeting epidermal growth factor receptor (EGFR)
have significantly improved tumor responses to therapy in non-small cell lung
cancer (NSCLC) patients. Molecular testing for EGFR mutations informs important
therapeutic decisions in clinical practice. In this study, we sought to validate
the clinical relevance of sequencing-based EGFR mutation testing combined with
cytological analysis using body fluid specimens. METHODS: Two NSCLC cell lines
were used in sensitivity analyses. In addition, we performed cytological analyses
and directly sequencing of exons 18-21, for 32 specimens. The absence of EGFR
mutations determined by direct sequencing in 14 specimens was confirmed by real
time PCR. Changes made to patients' therapeutic strategies after reports of EGFR
mutation status were investigated by querying electronic medical records.
RESULTS: Sensitivity studies showed that detection of in-frame deletions in exon
19 and point mutations in exon 21 was possible in specimens containing 10% and 5%
mutant DNA, respectively. In clinical practice, EGFR mutations were detected in
18 of 32 specimens (56.3%). Twelve patients with EGFR mutations detected by
direct sequencing were started on treatment with EGFR tyrosine kinase inhibitor
(TKI) after reports of EGFR mutation. EGFR-TKI therapy was discontinued for two
patients with TKI-resistant T790M mutation. The results of real-time PCR were
consistent with those of direct sequencing in 13 of 14 specimens (92.9%) in which
no mutation was detected by direct sequencing. CONCLUSIONS: Combined direct
sequencing and cytological analysis of body fluid specimen might be clinically
useful and sensitive test for the detection of EGFR mutations in NSCLC patients.
PMID- 21899496
TI - Heterophilic antibody interference in commercial immunoassays; a screening study
using paired native and pre-blocked sera.
AB - BACKGROUND: Heterophilic antibodies are still an important source of interference
in immunoassays. We have conducted a screening study for interference in a panel
of commercially available assays using two sera known to contain high titer Fc
reactive heterophilic antibodies. METHODS: The sera were distributed to
laboratories participating in the Nordic External Quality Assessment cooperation
(EQANord). Duplicate samples pre-blocked with aggregated murine monoclonal MAK33
were also supplied. Discrepancies (>50%) between the results for native and
blocked samples were used to classify the tested assays as susceptible to
interference. A total of 170 different assay kits covering 91 analytes were
tested. RESULTS: We found that 21 assays, covering 19 different analytes, were
susceptible to interference from the heterophilic antibodies in the two sera.
Many of these are clinically and commercially important assays. Some of the false
results were grossly elevated and could have been detrimental to patient care in
a clinical setting. CONCLUSIONS: Heterophilic antibodies with Fc-reactivity
remain a threat. A more widespread use of antibody fragments and aggregated
immunoglobulin could potentially improve the heterophilic antibody resistance of
assays intended for clinical use.
PMID- 21899498
TI - Solid-phase microextraction: a multi-purpose microtechnique.
PMID- 21899500
TI - Bioanalysis: challenges and solutions seminar.
AB - Industry challenges and solutions for bioanalysis were top of the agenda for the
Spring Seminar organized by Quotient Bioresearch in Munich, Germany. The seminar
was attended by representatives from pharmaceutical and biotechnology
organisations across Europe and featured debates and panel discussions from
leading industry speakers on new techniques and hot topics, including the latest
industry guidelines.
PMID- 21899501
TI - Quantitation of locked nucleic acid antisense oligonucleotides in mouse tissue
using a liquid-liquid extraction LC-MS/MS analytical approach.
AB - BACKGROUND: A significant challenge of oligonucleotide bioanalysis is the
selective extraction from complex tissue samples, where the molecules that
distribute into the intracellular space are extensively protein bound and sit
amongst a high concentration of endogenous nucleic acid material. Published
analytical methodology currently purports extensive sample preparation
requirements that include cell lysis steps, homogenization and dual cleanup with
liquid-liquid extraction and solid-phase extraction, prior to injection. RESULTS:
We have developed a simple liquid-liquid extraction approach to rapidly isolate
antisense oligonucleotides from biological tissues with high recovery and
combined these preparative steps with a robust monolithic column LC-MS/MS setup.
The platform showed improved chromatographic resolution and detection sensitivity
over standard reversed-phase columns and required a low sample volume.
CONCLUSION: The high-throughput method was sufficient to accurately quantify
multiple antisense oligonucleotides in mouse tissue and plasma down to low ng/g
and ng/ml levels, respectively, for pharmacokinetic determination, and exhibited
a high degree of specificity.
PMID- 21899502
TI - Evaluation of homogenization techniques for the preparation of mouse tissue
samples to support drug discovery.
AB - BACKGROUND: In early drug-discovery research, understanding the tissue
distribution of drug at the site of action can help to predict the toxicity,
efficacy and exposure level of the drug. The bottleneck of tissue analysis by LC
MS/MS is the time-consuming homogenization step. RESULTS: Both mechanical and
enzymatic techniques for mouse tissue homogenization were evaluated, which
included bead beater, polytron and enzymatic digestion. Brain, bone marrow,
kidney, spleen and liver tissues can be homogenized effectively using the bead
beater alone. Lung and heart tissues were best treated with collagenase first and
then homogenized by the bead beater. CONCLUSION: Homogenization conditions for
seven mouse tissues have been evaluated and optimized. These findings will
expedite the preparation of tissue samples for analysis.
PMID- 21899503
TI - Large-volume injection of sample diluents not miscible with the mobile phase as
an alternative approach in sample preparation for bioanalysis: an application for
fenspiride bioequivalence.
AB - BACKGROUND: Liquid-liquid extraction of target compounds from biological matrices
followed by the injection of a large volume from the organic layer into the
chromatographic column operated under reversed-phase (RP) conditions would
successfully combine the selectivity and the straightforward character of the
procedure in order to enhance sensitivity, compared with the usual approach of
involving solvent evaporation and residue re-dissolution. Large-volume injection
of samples in diluents that are not miscible with the mobile phase was recently
introduced in chromatographic practice. The risk of random errors produced during
the manipulation of samples is also substantially reduced. RESULTS: A
bioanalytical method designed for the bioequivalence of fenspiride containing
pharmaceutical formulations was based on a sample preparation procedure involving
extraction of the target analyte and the internal standard (trimetazidine) from
alkalinized plasma samples in 1-octanol. A volume of 75 ul from the octanol layer
was directly injected on a Zorbax SB C18 Rapid Resolution, 50 mm length * 4.6 mm
internal diameter * 1.8 um particle size column, with the RP separation being
carried out under gradient elution conditions. Detection was made through
positive ESI and MS/MS. Aspects related to method development and validation are
discussed. CONCLUSIONS: The bioanalytical method was successfully applied to
assess bioequivalence of a modified release pharmaceutical formulation containing
80 mg fenspiride hydrochloride during two different studies carried out as single
dose administration under fasting and fed conditions (four arms), and multiple
doses administration, respectively. The quality attributes assigned to the
bioanalytical method, as resulting from its application to the bioequivalence
studies, are highlighted and fully demonstrate that sample preparation based on
large-volume injection of immiscible diluents has an increased potential for
application in bioanalysis.
PMID- 21899504
TI - Quantitative determination of free and total dopamine in human plasma by LC
MS/MS: the importance of sample preparation.
AB - BACKGROUND: Two methods have been developed and validated for the determination
of free and total dopamine in human plasma. They are based on solid-phase
extraction of the analyte from the matrix by covalent complexation with
phenylboronic acid, followed by derivatization with ethylchloroformate. The
derivative is quantified by reversed-phase liquid chromatography on a C18 column
and positive electrospray ionization MS/MS. RESULTS: The high selectivity
obtained, in combination with the stable and relatively non-polar nature of the
derivatized analyte, enables the reliable quantification of dopamine in the range
0.05 to 20 ng/ml in a 5 min run time, using only 100 ul of sample. Total dopamine
concentrations are determined (range 1 to 400 ng/ml) by including an acidic
hydrolysis step, which converts the sulphate and glucuronide conjugates to free
dopamine prior to extraction. The method was applied to quantify free and total
dopamine levels in human plasma after dosing with the anti-Parkinson's drug
combination L-dopa/carbidopa with and without entacapone. CONCLUSION: A sensitive
and selective LC-MS/MS method has been developed and validated for the
determination of free and total dopamine in human plasma. This article
demonstrates how essential careful optimization of the sample preparation
procedures was for developing a successful method.
PMID- 21899505
TI - Direct injection of lipophilic compounds in the organic phase from liquid-liquid
extracted plasma samples onto a reversed-phase column.
AB - BACKGROUND: A high-throughput bioanalytical methodology for analysis and
quantification of lipophilic pharmaceutical compounds in plasma using liquid
liquid extraction (LLE) was developed. RESULTS: A fast and robust alternative to
the widely used protein precipitation of plasma samples is sometimes required in
order to avoid matrix effects in MS detection. LLE is known to produce clean
extracts and hence reduce levels of matrix components that cause ion suppression.
The proposed sample preparation was automated LLE using 96-well plates and a
Tecan GenMate 96-tips liquid handling robot. With direct injection of the organic
phase (methyl tert-butyl ether: iso-hexane 50:50 v/v) onto a reversed-phase
column and without evaporation of the organic phase and reconstitution of the
sample, the LLE was no more time consuming than standard protein precipitation,
furthermore, matrix effects were minimized. The small injection volume (5 ul)
when used with lipophilic compounds and a rapid gradient elution made it possible
to inject the organic phase with maintained chromatographic performance. Good
chromatographic behavior was confirmed for eight commercially available
lipophilic compounds. CONCLUSIONS: The proposed method of LLE with injection of
the organic phase onto a reversed-phase column in LC-MS/MS is no more time
consuming than standard protein precipitation, and matrix effects were minimized,
thus making it suitable as a high-throughput bioanalytical methodology for use in
drug discovery.
PMID- 21899506
TI - An automation-assisted generic approach for biological sample preparation and LC
MS/MS method validation.
AB - BACKGROUND: Although it is well known that automation can provide significant
improvement in the efficiency of biological sample preparation in quantitative LC
MS/MS analysis, it has not been widely implemented in bioanalytical laboratories
throughout the industry. This can be attributed to the lack of a sound strategy
and practical procedures in working with robotic liquid-handling systems.
RESULTS: Several comprehensive automation assisted procedures for biological
sample preparation and method validation were developed and qualified using two
types of Hamilton Microlab liquid-handling robots. The procedures developed were
generic, user-friendly and covered the majority of steps involved in routine
sample preparation and method validation. CONCLUSION: Generic automation
procedures were established as a practical approach to widely implement
automation into the routine bioanalysis of samples in support of drug-development
programs.
PMID- 21899507
TI - Overview of extraction methods for analysis of vitamin D and its metabolites in
biological samples.
AB - In the last decade the scientific and medical community was confronted with a
renewed interest in vitamin D and its metabolites, interest prompted by new
discoveries regarding the association between members of the vitamin D family and
a great number of physiological functions and pathological states. An impressive
number of research projects have helped clear the path towards a better
understanding of the functions of vitamin D and have resulted in the development
of numerous methods of analysis. This review focuses on the various extraction
methods used for analysis of vitamin D in research or clinical settings. Two main
extractive methods are usually employed: liquid-liquid extraction and solid-phase
extraction. Some methods use no extraction step and direct analysis is performed
at the cost of significantly increased matrix interference. On the other hand,
other methods use combined extraction techniques, and even additional
derivatization steps in order to increase the sensitivity and accuracy of the
analysis. The method of choice ultimately depends on the research question and
the purpose of the study.
PMID- 21899508
TI - Sample treatment based on extraction techniques in biological matrices.
AB - The importance of sample preparation methods as the first stage in bioanalysis is
described. In this article, the sample preparation concept and strategies will be
discussed, along with the requirements for good sample preparation. The most
widely used sample preparation methods in the pharmaceutical industry are
presented; for example, the need for same-day rotation of results from large
numbers of biological samples in pharmaceutical industry makes high throughput
bioanalysis more essential. In this article, high-throughput sample preparation
techniques are presented; examples are given of the extraction and concentration
of analytes from biological matrices, including protein precipitation, solid
phase extraction, liquid-liquid extraction and microextraction-related
techniques. Finally, the potential role of selective extraction methods,
including molecular imprinted phases, is considered.
PMID- 21899509
TI - Novel strategies for sample preparation in forensic toxicology.
AB - This paper provides a review of novel strategies for sample preparation in
forensic toxicology. The review initially outlines the principle of each
technique, followed by sections addressing each class of abused drugs separately.
The novel strategies currently reviewed focus on the preparation of various
biological samples for the subsequent determination of opiates, benzodiazepines,
amphetamines, cocaine, hallucinogens, tricyclic antidepressants, antipsychotics
and cannabinoids. According to our experience, these analytes are the most
frequently responsible for intoxications in Greece. The applications of
techniques such as disposable pipette extraction, microextraction by packed
sorbent, matrix solid-phase dispersion, solid-phase microextraction, polymer
monolith microextraction, stir bar sorptive extraction and others, which are
rapidly gaining acceptance in the field of toxicology, are currently reviewed.
PMID- 21899511
TI - PD-1 and autoimmunity.
AB - An initiating T cell response requires both costimulatory signaling and T cell
receptor/MHC binding. The immune system balances positive and negative
costimulatory signal pathways to activate and deactivate T cells. This review
focuses primarily on PD-1 and its ligands, which form a crucial inhibitory
costimulatory pathway for maintaining peripheral tolerance, and their
contribution to autoimmunity. Since 1992, when PD-1 was isolated, many studies
have described the physiological roles of PD-1 signaling, reported relationships
between Pdcd-1 gene polymorphism and autoimmune diseases, and applied PD-1/PD-1
ligand modulation to clinical trials. This review summarizes recent advances and
future therapeutic applications of PD-1 and its ligands to autoimmune diseases.
PMID- 21899512
TI - Intracellular pattern recognition receptors and renal ischemia.
AB - Renal ischemia is a common cause of acute kidney injury in hospitalized patients.
In certain settings renal ischemia is unavoidable, such as in kidneys harvested
for transplantation. The molecular and cellular mechanisms that lead to the
syndrome of ischemic renal injury are complicated and involve multiple cell types
within the kidney, including renal epithelium and vasculature. Although it has
been difficult to define pharmacologic targets for AKI, emerging information
about a newly discovered host defense system is providing hope for novel
pharmacologic targets to prevent and treat AKI. Molecular initiators of damage
associated with hypoxia involve a phylogenically conserved host defense system
called the innate immune system. Data point to an essential role for receptors of
the innate immune system, particularly the membrane-bound Toll-like receptors and
the intracellular nucleotide-binding oligomerization domain-like receptors. These
receptors have been identified in human and rodent kidneys, and many
investigators have shown that their deletion protects from experimental
ischemia/reperfusion injury (a model for ischemic acute kidney injury). This
review details current information about the innate immune system and the
ischemic kidney with a focus on the emerging role of intracellular innate immune
receptors.
PMID- 21899513
TI - Mechanisms behind the anti-inflammatory actions of insulin.
AB - The epidemic of diabetes mellitus is worsening worldwide. Diabetes mellitus is a
chronic metabolic disease characterized by inappropriate recurrent or persistent
hyperglycemia. Numerous studies have demonstrated that hyperglycemia, the most
significant predictor of poor clinical outcome in diabetes mellitus patients, can
directly promote an inflammatory response and oxidative stress. Although there
are various causes of DM, all eventually lead to absolute or relative insulin
deficiency and death of pancreatic beta-cells. Thus, insulin inevitably becomes
the primary medication used to treat the disease and prevent diabetic
complications in all DM patients. Interestingly, an emerging body of evidence
suggests that insulin suppresses the inflammatory process, not only through
preventing hyperglycemia but also by modulating key inflammatory molecules. In
this review, we discuss the findings of studies done in vitro as well as clinical
trials that have demonstrated an anti-inflammatory action of insulin and that
have pointed to mechanisms responsible for this effect. Further, we discuss how
the anti-inflammatory action of insulin bears on our current understanding of the
pathophysiology and complications of both type 1 and type 2 diabetes.
PMID- 21899514
TI - Chemokines in respiratory viral infections: focus on their diagnostic and
therapeutic potential.
AB - Chemokines are small chemoattractant cytokines involved in cell trafficking and
activation. Despite the general nonspecific nature of chemokine activity in
certain instances, specific chemokine expression patterns have been associated
with specific disease states. In the field of respiratory viral infection,
evidence suggests that response to viral invasion is regulated by a distinct
chemokine expression profile involving more CC chemokines than CXC chemokines.
Moreover, among the CC chemokines, CCL3 and CCL5 appear to be most commonly
implicated in viral respiratory disease. Most data available in this field have
been derived from in vitro studies, as well as studies conducted in animal models
with limited evidence obtained in settings of actual human disease. In the
present review, we focus on the diagnostic, prognostic, and therapeutic potential
of virus-induced chemokine activity as reflected by studies conducted in actual
disease states, either in animal models or humans. We further discuss whether
these data advocate chemokines as a realistic clinical tool for the management of
viral infection.
PMID- 21899515
TI - Ectopic study of calcium phosphate cement seeded with pBMP-2 modified canine
bMSCs mediated by a non-viral PEI derivative.
AB - We have evaluated the ectopic new bone formation effects of CPC (calcium
phosphate cement) seeded with pBMP-2 (plasmids containing bone morphogenetic
protein-2 gene) transfected canine bMSCs (bone marrow stromal cells) mediated by
a non-viral PEI (polyethylenimine) derivative (GenEscortTM II) in nude mice.
Canine bMSCs were transfected with pBMP-2 or pEGFP (plasmids containing enhanced
green fluorescent protein gene) mediated by GenEscortTM II in vitro, and the
osteoblastic differentiation was explored by ALP (alkaline phosphatase) staining,
ARS (alizarin red S) staining and RT-qPCR (real-time quantitative PCR) analysis.
Ectopic bone formation effects of CPC/pBMP-2 transfected bMSCs were evaluated and
compared with CPC/pEGFP transfected bMSCs or CPC/untransfected bMSCs through
histological, histomorphological and immunohistochemical analysis 8 and 12 weeks
post-operation in nude mice. Transfection efficiency was up ~35% as demonstrated
by EGFP (enhanced green fluorescent protein) expression. ALP and ARS staining
were stronger with pBMP-2 gene transfection, and mRNA expression of BMP-2 (bone
morphogenetic protein-2), Col 1 (collagen 1) and OCN (osteocalcin) in pBMP-2
group was significantly up-regulated at 6 and 9 days. Significantly higher NBV
(new bone volume) was achieved in pBMP-2 group than in the control groups at 8
and 12 weeks (P<0.05). In addition, immunohistochemical analysis indicated higher
OCN expression in pBMP-2 group (P<0.01). We conclude that CPC seeded with pBMP-2
transfected bMSCs mediated by GenEscortTM II could enhance ectopic new bone
formation in nude mice, suggesting that GenEscortTM II mediated pBMP-2 gene
transfer is an effective non-viral method and CPC is a suitable scaffold for gene
enhanced bone tissue engineering.
PMID- 21899516
TI - Relaxin-like factor (RLF)/insulin-like peptide 3 (INSL3) is secreted from
testicular Leydig cells as a monomeric protein comprising three domains B-C-A
with full biological activity in boars.
AB - RLF (relaxin-like factor), also known as INSL3 (insulin-like peptide 3), is a
novel member of the relaxin/insulin gene family that is expressed in testicular
Leydig cells. Despite the implicated role of RLF/INSL3 in testis development, its
native conformation remains unknown. In the present paper we demonstrate for the
first time that boar testicular RLF/INSL3 is isolated as a monomeric structure
with full biological activity. Using a series of chromatography steps, the native
RLF/INSL3 was highly purified as a single peak in reverse-phase HPLC. MS/MS
(tandem MS) analysis of the trypsinized sample provided 66% sequence coverage and
revealed a distinct monomeric structure consisting of the B-, C- and A-domains
deduced previously from the RLF/INSL3 cDNA. Moreover, the N-terminal peptide was
four amino acid residues longer than predicted previously. MS analysis of the
intact molecule and PMF (peptide mass fingerprinting) analysis at 100% sequence
coverage confirmed this structure and indicated the existence of three site
specific disulfide bonds. RLF/INSL3 retained full bioactivity in HEK (human
embryonic kidney)-293 cells expressing RXFP2 (relaxin/insulin-like family peptide
receptor 2), the receptor for RLF/INSL3. Furthermore, RLF/INSL3 was found to be
secreted from Leydig cells into testicular venous blood. Collectively, these
results indicate that boar RLF/INSL3 is secreted from testicular Leydig cells as
a B-C-A monomeric structure with full biological activity.
PMID- 21899517
TI - The Golgi apparatus in the endomembrane-rich gastric parietal cells exist as
functional stable mini-stacks dispersed throughout the cytoplasm.
AB - BACKGROUND INFORMATION: Acid-secreting gastric parietal cells are polarized
epithelial cells that harbour highly abundant and specialized, H+,K+ ATPase
containing, tubulovesicular membranes in the apical cytoplasm. The Golgi
apparatus has been implicated in the biogenesis of the tubulovesicular membranes;
however, an unanswered question is how a typical Golgi organization could
regulate normal membrane transport within the membrane-dense cytoplasm of
parietal cells. RESULTS: Here, we demonstrate that the Golgi apparatus of
parietal cells is not the typical juxta-nuclear ribbon of stacks, but rather
individual Golgi units are scattered throughout the cytoplasm. The Golgi membrane
structures labelled with markers of both cis- and trans-Golgi membrane,
indicating the presence of intact Golgi stacks. The parietal cell Golgi stacks
were closely aligned with the microtubule network and were shown to participate
in both anterograde and retrograde transport pathways. Dispersed Golgi stacks
were also observed in parietal cells from H+,K+ ATPase-deficient mice that lack
tubulovesicular membranes. CONCLUSIONS: These results indicate that the unusual
organization of individual Golgi stacks dispersed throughout the cytoplasm of
these terminally differentiated cells is likely to be a developmentally regulated
event.
PMID- 21899518
TI - Respiration characteristics of mitochondria in parental and giant transformed
cells of the murine Nemeth-Kellner lymphoma.
AB - Respiration characteristics of mitochondria of the parental and giant cells of
murine NK/Ly (Nemeth-Kellner lymphoma) were studied. The giant cell-enriched
ascites were obtained by serial intraperitoneal injections of vinblastine in
tumour-bearing mice. Ascites containing >70% giant cells were used. Their
diameter of was over 17 MUm (~2800 MUm(3)), while the diameter of the parental
cells was 12.7 MUm (1100 MUm(3)). The respiration rate of mitochondria in situ
was measured by oxygen consumption in intact and digitonin-permeabilized NK/Ly
cells. Endogenous respiration of intact giant NK/Ly cells was three times higher
compared to the parental ones, roughly in agreement with the volume change. The
giant NK/Ly cells were far more resistant to permeabilization with digitonin than
the parental cells, as shown by Trypan Blue and LDH (lactate dehydrogenase)
release tests. After digitonin permeabilization, oxygen consumption was reduced
to a minimal level (0.06 ng atom O/(s * 106 cells) in both types of cells.
Addition of alpha-ketoglutarate or succinate to the incubation medium increased
oxygen consumption in the parental cells by 46 and 164% respectively. In the
giant NK/Ly cells, the corresponding increases were 164 and 276%. Addition of ADP
to alpha-ketoglutarate- or succinate-supplemented medium further stimulated
oxygen consumption of the permeabilized NK/Ly cells; however, the effect of ADP
was more pronounced in the giant cells. In addition, indices of respiratory
control were significantly higher in the giant cells. Oligomycin suppressed
considerably the respiration of the intact giant cells but had a much weaker
effect on parental cells. Thus, giant NK/Ly cells possess much higher respiration
rates and show tighter coupling between the respiration and oxidative
phosphorylation compared with parental cells.
PMID- 21899519
TI - B*13:50, a novel HLA-B*13 allele, identified by sequence-based typing.
AB - We report a novel HLA-B*13 allele, B*13:50, found using high-resolution sequence
based typing in a Chinese donor. B*13:50 differs from B*13:01:01 by a single
nucleotide substitution (A->T) at position 482, in exon 3.
PMID- 21899520
TI - Coming of age in Spain: the self-identification, beliefs and self-esteem of the
second generation.
AB - We review the literature on determinants of ethnic/national self-identities and
self-esteem as a prelude to examining these outcomes among a large, statistically
representative sample of second generation adolescents in Madrid and Barcelona.
While these psycho-social outcomes are malleable, they still represent important
dimensions of immigrant adaptation and can have significant consequences both for
individual mobility and collective mobilizations. Current theories are largely
based on data from the USA and other Anglophone countries. The availability of a
new large Spanish survey allows us to test those theories in an entirely
different socio-cultural context. The analysis concludes with a structural
equations model that summarizes key determinants of national identities and self
esteem among children of immigrants in Spain. Theoretical and practical
implications of these findings are discussed.
PMID- 21899521
TI - Telling a modest story: accounts of men's upward mobility from the National Child
Development Study.
AB - While the pattern of social mobility in postwar Britain has been extensively
studied, revealing considerable upward mobility, much less is known about the
subjective dimension to mobility. In this article, we employ a new sample of in
depth interviews with 50-year old men from the National Child Development Study
to examine in detail the link between objective mobility patterns and the way the
upwardly mobile narrate their life trajectories. In contrast to the mobility
ideology suggested by the Oxford mobility survey of the early 1970s, in which the
upwardly mobile recognized and internalized their success as a project of the
self, we report how members of this later generation of men with highly
successful careers prefer instead to articulate 'modest' life stories. By
treating the career as a narrative device, we are able to show how the disavowal
of the dominant, linear hierarchical career model by these men allows them to
tell particular and distinctive stories which establish their individuality and
personhood, while, paradoxically, recognizing the cultural power of the dominant
model. In particular, we highlight the use of 'linear contingent' narratives by
these men, in which specific events, especially those connected with occupational
and geographical transitions, are deployed as contingent thresholds to mark out
key shifts and passages in their lives. We then compare their accounts with those
of immobile and downwardly men, who instead deploy 'ghostly' stories, preoccupied
by the past, or defensive accounts, displaying unease with their failure to live
up to the expectations of the linear career model. Having shown that men's
accounts of mobility are suffused with an awareness of their need to establish
their own individuality through repudiating the social trope of the instrumental
careerist, we conclude that the links between career identities and objective
mobility patterns are not straightforward and need careful unravelling.
PMID- 21899522
TI - Homeless identities: enacted and ascribed.
AB - Homelessness has been a perennial concern for sociologists. It is a confronting
phenomenon that can challenge western notions of home, a discrete family unit and
the ascetics and order of public space. To be without a home and to reside in
public places illustrates both an intriguing way of living and some fundamental
inadequacies in the functioning of society. Much homelessness research has had
the consequence of isolating the 'homeless person' as distinct category or indeed
type of individual. They are ascribed with homeless identities. The homeless
identity is not simply presented as one dimensional and defining, but this
imposed and ill-fitting identity is rarely informed by a close and long-term
engagement with the individuals it is supposed to say something about. Drawing on
a recent Australian ethnographic study with people literally without shelter,
this article aims to contribute to understandings of people who are homeless by
outlining some nuanced and diverse aspects of their identities. It argues that
people can and do express agency in the way they enact elements of the self, and
the experience of homelessness is simultaneously important and unimportant to
understand this. Further, the article suggests that what is presumably known
about the homeless identity is influenced by day-to-day lives that are on public
display.
PMID- 21899523
TI - 'Being there': multidimensionality, reflexivity and the study of emotional lives.
AB - Emotional lives tend to be untidy. Yet despite a growing recognition of this,
sociological research designs rarely mirror the multidimensionality they are
striving to represent. This article takes as its starting point a recent study of
beliefs and practices about emotional support and emotions talk in Britain, to
illustrate how a methodologically mixed approach offers particular purchase on
what passes between us in our everyday emotional lives and in research about
these lives. The notion of 'being there' is drawn on to help make this argument.
Moving between 'being there' as topic, a form of emotional support, and 'being
there' as a methodological resource, the article concludes that the analytical
claims we make about our emotional lives are strengthened through a
methodologically mixed - and by necessity, reflexive - approach which explores,
rather than smooths out, the ragged, sometimes indeterminate, edges between
methods.
PMID- 21899524
TI - Rational choice and the political bases of changing Israeli counterinsurgency
strategy.
AB - Israeli counterinsurgency doctrine holds that the persistent use of credible
threat and disproportionate military force results in repeated victories that
eventually teach the enemy the futility of aggression. The doctrine thus endorses
classical rational choice theory's claim that narrow cost-benefit calculations
shape fixed action rationales. This paper assesses whether Israel's strategic
practice reflects its counterinsurgency doctrine by exploring the historical
record and the association between Israeli and Palestinian deaths due to low
intensity warfare. In contrast to the expectations of classical rational choice
theory, the evidence suggests that institutional, cultural and historical forces
routinely override simple cost-benefit calculations. Changing domestic and
international circumstances periodically cause revisions in counterinsurgency
strategy. Credible threat and disproportionate military force lack the predicted
long-term effect.
PMID- 21899525
TI - Hazards of neoliberalism: delayed electric power restoration after Hurricane Ike.
AB - This case study explores how neoliberal policies shape the impacts of a natural
disaster. We investigate the reactions to major damages to the electric power
system and the restoration of power in the wake of Hurricane Ike, which
devastated the Houston, Texas, metropolitan area in September 2008. We argue that
the neoliberal policy agenda insured a minimalist approach to the crisis and
generated dissatisfaction among many residents. The short-term profitability
imperative shifted reconstruction costs to consumers, and prevented efforts to
upgrade the electric power infrastructure to prepare for future disasters. We
illustrate the serious obstacles for disaster mitigation and recovery posed by
neoliberal policies that privatize public goods and socialize private costs.
Neoliberalism neither addresses the needs of a highly stratified public nor their
long-term interests and safety.
PMID- 21899526
TI - Tightening the focus: moral panic, moral regulation and liberal government.
AB - The purpose of this article is to tighten the focus of moral panic studies by
clarifying and elaborating on an analytical framework that conceptualizes moral
panic as a form of moral regulation. The first part of the article explains why
moral panic should be conceptualized as a form of moral regulation. The second
part presents a rejoinder to Critcher's (2009) critique of the widening focus of
moral panic studies. The third part elaborates on the conceptual relationship
between the sociologies of moral panic and moral regulation by offering fresh
insights into the sociological and political importance of moral panic as a
technique of liberal government.
PMID- 21899527
TI - Review essay: empires, ancient and modern.
AB - This essay drews attention to two books on empires by historians which deserve
the attention of sociologists. Bang's model of the workings of the Roman economy
powerfully demonstrates the tributary nature of per-industrial tributary empires.
Darwin's analysis concentrates on modern overseas empires, wholly different in
character as they involved the transportation of consumption items for the many
rather than luxury goods for the few. Darwin is especially good at describing the
conditions of existence of late nineteenth century empires, noting that their
demise was caused most of all by the failure of balance of power politics in
Europe. Concluding thoughts are offered about the USA.
PMID- 21899530
TI - Different transcriptional ratios of male and female transmitted mitochondrial DNA
and tissue-specific expression patterns in the blue mussel, Mytilus
galloprovincialis.
AB - In some bivalve species, paternal mitochondrial DNA (mtDNA) from sperm is
transmitted to the offspring. This is called "doubly uniparental inheritance"
(DUI). Under DUI, male offspring receive both paternal (M type) and maternal (F
type) mtDNA. Females predominantly receive F type. Expression levels of M and F
type mtDNA and mitochondrial RNA localization have not been studied extensively.
In this study, we quantified M and F type mtDNA and their expression levels in
male and female somatic tissues and gonads with real-time polymerase chain
reaction (PCR) in the blue mussel, Mytilus galloprovincialis. M and F type
expression patterns were studied with in situ hybridization, using probes
specific to M and F type mtDNA in the cytochrome b region. We found that (i) F
type mtDNA was expressed in somatic tissues and female gonads, while M type was
not expressed in these tissues; (ii) M type expression in male gonads was
limited, but strong expression was observed during early spermatogenesis; and
(iii) F type expression ratios were significantly lower in female gonads than in
somatic tissues and lower than both M and F type expression ratios in male
gonads. We propose (i) different systems for M and F type tissue-specific
transcriptional regulation; and (ii) different functions for F and M type mtDNA,
with F type being functional in somatic tissues and female gonads and M type
functioning only in spermatogenetic cells.
PMID- 21899531
TI - Norrin immunolocalization and its possible functions in rat endometrium during
the estrus cycle and early pregnancy.
AB - Mutations in Norrie Disease Pseudoglioma (NDP) gene cause serious sight loss,
deafness and mental retardation in Norrie disease patients via the impairment of
angiogenesis. Since norrin is a Wnt pathway ligand, it could function in several
tissues other than eye and nervous systems. Therefore, the aim of the present
study was to determine the possible function of norrin in angiogenesis, cellular
differentiation in stroma and in decidua and the survival of those cells using
immunofluorescent labeling. While norrin had a uniform distribution in stroma and
in blood vessels, it had a strong expression in luminal and glandular epithelia
during the estrus cycle. Norrin had strong immunolocalization in the
antimesometrial decidual reaction zone on day 7 of gestation, whereas it had a
decreased expression in the mesometrial uterine luminal epithelium along with an
increased localization in blood vessels and decidual cells of the same region on
day 8 of gestation. As from day 9 of gestation, norrin demonstrated rather strong
expression in the decidual cells and blood vessels of the mesometrial region in
which the chorioallantoic placenta was going to develop. In all periods studied,
norrin had rather weak expression in the primary decidual zone surrounding the
embryo. Findings of the present study suggested that norrin might regulate the
decidual reaction and the placental angiogenesis along with the survival and the
differentiation of luminal and glandular epithelial and decidual cells in rats.
In addition, it could play indirect important roles in the control of
trophoblastic invasion and the programmed cell death.
PMID- 21899532
TI - Radiofrequency lesioning for epileptogenic periventricular nodular heterotopia: a
rational approach.
AB - Periventricular nodular heterotopias (PNHs) are frequently associated with
pharmacoresistant epilepsy. They are considered part of a dysfunctional network,
connected to the overlying cortex. Therefore, removal of the PNHs and additional
cortectomy or lobectomy seem to be essential for significant and long-lasting
seizure reduction. These procedures, however, can have considerable limitations,
especially in patients with functional eloquent cortex adjacent to the PNH.
Alternatively, stereotactic neurosurgery can reduce the surgical trauma.
Presented is a 56-year-old man who became seizure-free after stereotactically
guided radiofrequency lesioning of a solitary PNH.
PMID- 21899534
TI - Positive shifts of the GABAA receptor reversal potential due to altered chloride
homeostasis is widespread after status epilepticus.
AB - PURPOSE: gamma-Aminobutyric acid (GABA)ergic transmission plays an important role
in the initiation of epileptic activity and the generation of ictal discharges.
The functional alterations in the epileptiform hippocampus critically depend on
GABAergic mechanisms and cation-chloride cotransporters. METHODS: To understand
the cellular basis of specific functional alterations in the epileptic
hippocampus, we studied physiologic characteristics and pharmacologically
isolated evoked GABA(A) receptor-mediated inhibitory postsynaptic currents
(IPSCs) recorded from principal neurons in hippocampal slices from status
epilepticus (SE) and control rats using whole-cell and gramicidin perforated
patch-clamp recordings. KEY FINDINGS: Whereas the resting membrane potential and
input resistance were not significantly different between control and epileptic
tissue, the reversal potential (E(GABA) ) of IPSCs was significantly shifted to
more positive values in SE rats with regard to the resting membrane potential.
Pharmacologic experiments and quantitative reverse transcriptase polymerase chain
reaction (RT-PCR) showed that the observed changes in the epileptic tissue were
due to a decreased ratio of the main Cl(-) extrusion transporter (K(+) -Cl(-)
cotransporter, KCC2) to the main Cl(-) uptake transporter (Na(+) -K(+) -2Cl(-)
cotransporter, NKCC1). SIGNIFICANCE: Our results suggest that alterations of
cation-chloride cotransporter functions, comprising a higher NKCC1 action,
contribute to hyperexcitability within the hippocampus following SE.
PMID- 21899535
TI - "Blinders, phenotype, and fashionable genetic analysis": setting the record
straight for epilepsy!
PMID- 21899536
TI - Standards for epidemiologic studies and surveillance of epilepsy.
AB - Worldwide, about 65 million people are estimated to have epilepsy. Epidemiologic
studies are necessary to define the full public health burden of epilepsy; to set
public health and health care priorities; to provide information needed for
prevention, early detection, and treatment; to identify education and service
needs; and to promote effective health care and support programs for people with
epilepsy. However, different definitions and epidemiologic methods complicate the
tasks of these studies and their interpretations and comparisons. The purpose of
this document is to promote consistency in definitions and methods in an effort
to enhance future population-based epidemiologic studies, facilitate comparison
between populations, and encourage the collection of data useful for the
promotion of public health. We discuss: (1) conceptual and operational
definitions of epilepsy, (2) data resources and recommended data elements, and
(3) methods and analyses appropriate for epidemiologic studies or the
surveillance of epilepsy. Variations in these are considered, taking into account
differing resource availability and needs among countries and differing purposes
among studies.
PMID- 21899537
TI - Editorial: focusing on a moving target: key themes for research and practice in
adolescent mental health.
PMID- 21899538
TI - Loss of activated CaMKII at the synapse underlies Alzheimer's disease memory
loss.
PMID- 21899539
TI - Inhibition of neuronal cholesterol biosynthesis with lovastatin leads to impaired
synaptic vesicle release even in the presence of lipoproteins or geranylgeraniol.
AB - Cholesterol is highly enriched in the brain, and plays a key role in synapse
formation and function. The brain does not derive cholesterol from the
circulation; instead, the majority of cholesterol is made in glia and secreted in
form of lipoproteins. Neurons can synthesize cholesterol, but the extent of
neuronal cholesterol biosynthesis in the adult brain is unknown. Cholesterol
biosynthesis inhibitors of the statin family are widely used to lower circulating
cholesterol and cardiovascular risk. Lipophilic statins can cross the blood brain
barrier and inhibit brain cholesterol biosynthesis with possible consequences for
synaptic cholesterol homeostasis. We have investigated the effects of lovastatin
on synapse maturation and synaptic vesicle release. Treatment of primary
hippocampal neurons with low levels of lovastatin for one week reduced synapse
density and impaired synaptic vesicle release. Neither lipoproteins nor
geranylgeraniol fully counteracted the lovastatin-induced decrease of synaptic
vesicle exocytosis, even when cholesterol depletion was prevented. In contrast,
restoration of neuronal cholesterol synthesis with mevalonate prevented defects
in vesicle exocytosis without fully normalizing neuronal cholesterol content.
These results raise the possibility that chronic exposure of neurons to
lipophilic statins may affect synaptic transmission, and indicate that
hippocampal neurons need a certain level of endogenous cholesterol biosynthesis.
PMID- 21899540
TI - Recent advances in bacteriophage therapy: how delivery routes, formulation,
concentration and timing influence the success of phage therapy.
AB - OBJECTIVES: Bacteriophages are bacteria-specific viruses that infect and, in the
case of obligately lytic phages, destroy their host bacteria. Phage therapy has
been used therapeutically to combat bacterial infections since their discovery.
This paper reviewed recent in-vivo phage therapy studies, with a distinct focus
on the effect of delivery routes, phage concentration and timing of
administration on the success of the therapy. KEY FINDINGS: It was found that the
most successful route of administration for the treatment of systemic infections
was via the parenteral route. Oral delivery is mainly used to treat
gastrointestinal infections. However, in some cases phages can also reach the
systemic circulation. Local delivery (skin, ears, teeth) has proved extremely
successful in the treatment of topical infections, as has the inhalation of
phages for the treatment of lung infections. The ability of phages to prevent
biofilm formation on medical devices has received much attention, mainly in the
area of catheter coatings. This review also highlights areas in which phage
therapy needs substantial development. Many papers were lacking in formulation
details, with crude phage stocks being used in most cases. No phage stability
data were included in any of the papers. SUMMARY: The review concluded that
although phage therapy is an excellent alternative for the treatment of bacterial
infections, optimisation of formulations and long-term stability data is required
before it can be widely used within a clinical setting.
PMID- 21899541
TI - Novel gel formulations with catanionic aggregates enable prolonged drug release
and reduced skin permeation.
AB - OBJECTIVES: The aim of this study was to investigate skin permeation rates of a
drug substance when applied in novel gel formulations with catanionic aggregates.
METHODS: Reference gel without catanionic aggregates was compared with
formulations with catanionic aggregates composed of tetracaine and either sodium
dodecyl sulphate (SDS) or capric acid. Carbomer and SoftCAT were used to compare
the effect of different gel types to elucidate if physically cross-linked, 'self
destructing' systems had benefits compared with classical, covalently cross
linked, gels. KEY FINDINGS: The rheological investigation showed that the
interactions between the SoftCAT polymer and tetracaine/SDS aggregates were
stronger than when the tetracaine/capric acid aggregates were used. The skin
permeation was measured ex vivo in horizontal Ussing chambers and the permeation
of tetracaine was significantly lower when formulations with tetracaine/SDS
aggregates were applied (P < 0.001), but not statistically different from the
reference when capric acid was used. CONCLUSIONS: No morphological differences
could be distinguished between the skin samples exposed to the different
formulations or the reference. Skin permeation was compared with silicone sheet
permeation and the results indicated that silicone sheets could be used as a
model of skin when using these formulations.
PMID- 21899542
TI - In-situ gel formulations of econazole nitrate: preparation and in-vitro and in
vivo evaluation.
AB - OBJECTIVES: This study describes the in-situ gelling of econazole nitrate
containing thermosensitive polymers composed of poloxamer 407 and 188 as a novel
treatment platform for vaginal candidiasis. METHODS: Aqueous thermosensitive
formulations containing 1% of econazole nitrate and poloxamer 407 and/or 188 were
prepared and their rheological, mechanical and drug-release properties determined
at 20 +/- 0.1 degrees C and/or 37 +/- 0.1 degrees C. Based on their biologically
suitable thermorheological properties, formulations containing the mixtures of
poloxamer 407 and 188 in ratios of 15:15 (F1), 15:20 (F2) and 20:10 (F3) were
chosen for comprehensive analysis. KEY FINDINGS: Formulations based on F3
exhibited typical gel-type mechanical spectra (G' > G") at 37 degrees C whereas
formulations based on F1 and F2 exhibited properties akin to weakly cross-linked
gels. Texture profile analysis demonstrated that F3 showed the highest
cohesiveness, adhesiveness, hardness and compressibility. No statistically
significant differences (P > 0.5) were observed in the release of econazole
nitrate from the formulations at pH 4.5, which in all cases followed anomalous
diffusion kinetics. Formulations based on 20% poloxamer 407:10% poloxamer 188
were chosen for in-vivo studies and were shown to be effective for the treatment
of the vaginal candidiasis. Histopathologic evaluation also supported the
effectiveness of the thermosensitive formulation administered intravaginally.
CONCLUSION: By careful engineering of the rheological properties, in-situ
thermosensitive gel formulations of econazole nitrate were prepared and were
shown to be efficacious in the treatment of vaginal candidiasis.
PMID- 21899543
TI - An investigation of the chick chorioallantoic membrane as an alternative model to
various biological tissues for permeation studies.
AB - OBJECTIVES: The chick chorioallantoic membrane (CAM) was explored as a biological
membrane for use in the study of drug permeation with a Franz diffusion cell.
METHODS: The CAM was removed from fertilized chicken eggs of embryo age 9-18
days. The permeation profiles of nicotine through the fresh CAM were first
obtained with a Franz diffusion cell. The permeation profiles of nicotine through
frozen CAM, snake skin, pig skin, pig retina and pig buccal mucosa were also
determined and compared with those of the fresh CAM. KEY FINDINGS: The
permeability coefficient of the CAM varied with its age. The CAM at embryo age 13
was the most robust, showing the lowest standard error in permeability. It was
thus chosen for comparative studies with snake skin, pig skin, retina and buccal
mucosa. The CAM was found to be most similar to the buccal mucosa in terms of
permeation profile and permeability coefficient values. Frozen CAM was also found
to have a higher permeability coefficient than fresh CAM. The enhanced
permeability was attributed to freezing, which affected the integrity of the CAM
structure. CONCLUSIONS: From the findings, CAM shows potential as an alternative
to the pig buccal mucosa as an in-vitro buccal model. The robustness of the CAM
for drug permeation studies is affected by its age.
PMID- 21899544
TI - Effect of chronic supplementation with methylsulfonylmethane on oxidative stress
following acute exercise in untrained healthy men.
AB - OBJECTIVE: This study was conducted to assess the effects of chronic daily
methylsulfonylmethane (MSM) supplementation on known markers of oxidative stress
following acute bouts of exercise in untrained healthy young men. METHODS:
Eighteen untrained men volunteered for this study. Participants were randomized
in a double-blind placebo-controlled fashion into two groups: MSM (n = 9) and
placebo (n = 9). The participants took supplementation or placebo daily for 10
days before running. Participants ran 14 km. The MSM supplementation was prepared
in water at 50 mg/kg body weight. The placebo group received water. Serum
malondialdehyde (MDA), protein carbonyl (PC) and plasma oxidized glutathione
(GSSG) were measured as markers of oxidative stress. The plasma-reduced
glutathione (GSH) level and the GSH/GSSG ratio were determined as markers of
plasma antioxidant capacity. KEY FINDINGS: Acute exercise led to elevated levels
of serum MDA, PC and plasma GSSG. MSM supplementation maintained PC, MDA and GSSG
at lower levels after exercise than the placebo. The plasma level of GSH and the
ratio of GSH/GSSG were significantly higher in the MSM supplemented group.
CONCLUSIONS: These results suggest that chronic daily oral supplementation of MSM
has alleviating effects on known markers of oxidative stress following acute
bouts of exercise in healthy young men.
PMID- 21899545
TI - Proton pump inhibitors omeprazole, lansoprazole and pantoprazole induce
relaxation in the rat lower oesophageal sphincter.
AB - OBJECTIVES: We aimed to investigate effects of the proton pump inhibitors (PPIs)
omeprazole, lansoprazole and pantoprazole, which are currently used for the
treatment of hyperacidity and gastro-oesophageal reflux, on the reactivity of the
isolated rat lower oesophageal sphincter. METHODS: Omeprazole, lansoprazole and
pantoprazole (all 10(-9) -10(-3) m, cumulatively) were tested on carbachol
induced (10(-6) m) contraction. In addition, the effects of PPI preincubation
(all 10(-3) m) on the contractions induced by cumulative carbachol (10(-9) -10(
5) m), angiotensin-2 (10(-9) -10(-5) m) or electrical field stimulation (EFS; 40
V, 32 Hz, 1 ms, 10 s) were assessed. Finally, the effects of PPI on the
spontaneous contractile activity of the tissue were also evaluated. KEY FINDINGS:
PPI relaxed precontracted lower oesophageal sphincter in a concentration
dependent manner and suppressed carbachol-, angiotensin- and EFS-induced
contractions. Furthermore, PPI attenuated spontaneous contractile activity of the
tissue. CONCLUSIONS: Omeprazole, lansoprazole and pantoprazole had a suppressor
effect on lower oesophageal sphincter contractions.
PMID- 21899546
TI - KIOM-79 inhibits aldose reductase activity and cataractogenesis in Zucker
diabetic fatty rats.
AB - OBJECTIVES: KIOM-79, a combination of four plant extracts, has a preventive
effect on diabetic nephropathy and retinopathy in diabetic animal models. In this
study, we have investigated the inhibitory effects of KIOM-79 on diabetic
cataractogenesis. METHODS: We evaluated aldose reductase activity during
cataractogenesis using Zucker diabetic fatty (ZDF) rat, an animal model of type 2
diabetes. ZDF rats were treated orally with KIOM-79 (50 mg/kg body weight) once a
day for 13 weeks. KEY FINDINGS: In vehicle-treated ZDF rats, lens opacity was
increased, and lens fibre swelling and membrane rupture were observed. In
addition, aldose reductase activity and aldose reductase protein expression in
diabetic lens were markedly enhanced. However, the administration of KIOM-79
inhibited the development of diabetic cataract through the inhibition of aldose
reductase activity and protein expression in diabetic lenses. CONCLUSIONS: These
observations suggested that KIOM-79 was useful against the treatment of diabetic
cataractogenesis.
PMID- 21899547
TI - Acteoside inhibits melanogenesis in B16F10 cells through ERK activation and
tyrosinase down-regulation.
AB - OBJECTIVES: Acteoside is a phenylpropanoid glycoside extracted from the leaves of
Rehmannia glutinosa that displays various biological activities. In this study,
we tested the effects of acteoside on tyrosinase activity and melanin
biosynthesis in B16F10 melanoma cells. We also explored molecular mechanisms for
the inhibition of melanogenesis observed, focusing on the signalling pathway of
extracellular signal-regulated kinase (ERK). METHODS: The effects of acteoside
were determined using several cell-free assay systems and B16F10 melanoma cells
for melanin content and tyrosinase activity. To investigate effects on
melanogenic regulatory factors we performed reverse transcription polymerase
chain reaction, cAMP assay and Western blot analyses. KEY FINDINGS: Acteoside
showed an inhibitory effect on tyrosinase activity and melanin synthesis in both
cell-free assay systems and cultured B16F10 melanoma cells. Acteoside decreased
levels of tyrosinase, tyrosinase-related protein-1 (TRP-1) and microphthalmia
associated transcription factor (MITF) proteins, whereas it increased ERK
phosphorylation. A specific ERK inhibitor, PD98059, abolished the acteoside
induced down-regulation of MITF, tyrosinase and TRP-1 proteins. The ERK inhibitor
increased tyrosinase activity and melanin production and reversed the acteoside
induced decrease in tyrosinase activity and melanin content. In addition,
acteoside suppressed melanogenesis induced by alpha-melanocyte-stimulating
hormone and showed UV-absorbing effects. CONCLUSIONS: Acteoside decreased
tyrosinase activity and melanin biosynthesis in B16F10 cells by activating ERK
signalling, which down-regulated MITF, tyrosinase and TRP-1 production.
PMID- 21899548
TI - Azithromycin suppresses proliferation, interleukin production and mitogen
activated protein kinases in human peripheral-blood mononuclear cells stimulated
with bacterial superantigen.
AB - OBJECTIVES: Macrolide antibiotics are used for the treatment of immunological
disorders such as psoriasis. However, few studies have investigated the
immunoregulatory efficacy of macrolides in bacterial superantigen-stimulated
immune cells. METHODS: The suppressive efficacies of azithromycin,
clarithromycin, roxithromycin and prednisolone were evaluated in vitro against
the concanavalin A- or toxic shock syndrome toxin 1 (TSST-1)-induced
proliferation of peripheral-blood mononuclear cells (PBMCs) obtained from nine
healthy subjects. The concentrations of six cytokines in a PBMC-culture medium
were measured using bead-array procedures followed by flow cytometry. Cellular c
jun N-terminal kinase (JNK) and extracellular signal-regulated kinase (ERK)
activity were measured using cell-based ELISA procedures. KEY FINDINGS:
Azithromycin, clarithromycin and roxithromycin inhibited the proliferation of
both the concanavalin A- and superantigen-stimulated PBMCs dose-dependently. The
effect of azithromycin was the strongest, with IC50 values of less than 5 ug/ml.
Furthermore, the suppressive efficacy of prednisolone against concanavalin A- or
TSST-1-stimulated PBMCs was significantly promoted in combination with 5 ug/ml
azithromycin (P < 0.002). The concentrations of TNF-alpha, interleukin (IL)-2,
4, -5 and -10 in the supernatant of concanavalin A- or TSST-1-stimulated PBMCs
cultured for 72 h decreased by 65-98% in the presence of 5 ug/ml azithromycin.
The stimulation of PBMCs with concanavalin A or TSST-1 increased cellular JNK and
ERK activity, and 5 ug/ml azithromycin significantly attenuated the increased
activity of JNK in the TSST-1-stimulated cells and ERK in the concanavalin A- and
TSST-1-stimulated PBMCs, respectively (P < 0.05). CONCLUSIONS: Azithromycin
suppresses mitogen- or superantigen-induced proliferation of PBMCs by possibly
inhibiting both cellular JNK and ERK activity.
PMID- 21899549
TI - Alternative therapeutic advantages of catfish bile on atopic dermatitis:
protection of T cell-mediated skin disease via antioxidant activities.
AB - OBJECTIVES: In the present study, we aimed to examine the anti-atopic properties
of bile from the cat fish, Silurus asotus, to determine its possible use as a
pharmaceutical product. METHODS: The anti-atopic activities of cat fish bile were
examined in a non-cell antioxidant, in-vitro assay (splenocytes and mast cells)
and a 2,4-dinitrochlorobenzene (DNCB)-induced atopic dermatitis-like mouse model.
RESULTS: The results of these experiments revealed that Silurus asotus bile (SAB)
scavenges radicals and protects proteins from superoxide attacks, suggesting that
SAB suppresses the T helper (Th) type 2-skewed immune response. Th1/Th2 mRNA
cytokines (interleukin (IL)-2, interferon (IFN)-gamma and IL-4) from mouse
splenocytes were effectively inhibited, and the release of beta-hexosaminidase in
RBL-2H3 mast cells was significantly suppressed by SAB. These results were
supported by screening the Th1/Th2 cytokine mRNAs (IL-2, IFN-gamma and IL-4) from
lymph nodes in DNCB-treated mice. More dramatic results were observed in the
histological changes at higher SAB concentrations (5%) compared to the
therapeutic control, visualized using hematoxylin-eosin (H&E) staining.
CONCLUSIONS: The results presented in this study suggest that SAB may provide
functional advantages with regard to treating atopic dermatitis because of its
antioxidant and immune-suppressive effects.
PMID- 21899550
TI - Mangifera indica L. extract (Vimang) and mangiferin reduce the airway
inflammation and Th2 cytokines in murine model of allergic asthma.
AB - OBJECTIVES: The aim was to study the effects of Mangifera indica extract and its
major component mangiferin on lung inflammation response and Th2 cytokine
production using a murine experimental model of allergic asthma. METHODS: BALB/c
mice were intraperitoneally sensitized with 10 ug of ovoalbumin (OVA) adsorbed on
aluminium hydroxide on days 0, 7 and 14. Seven days after the last injection, the
mice were challenged with 2% aerosolized OVA inhalation for 30 min beginning on
day 21 and continuing until day 24. To evaluate the protective effect, mice were
orally treated with M. indica extract (50, 100 or 250 mg/kg) or mangiferin (50
mg/kg) from days 0 to 24. Anti-OVA immunoglobulin E, interleukin (IL)-4 and IL-5
were determined by ELISA and lungs were analysed by histology. KEY FINDINGS: M.
indica extract and mangiferin produced a marked reduction of airway inflammation
around vessels and bronchi, inhibition of IL-4 and IL-5 cytokines in
bronchoalveolar lavage fluid and lymphocyte culture supernatant, IgE levels and
lymphocyte proliferation. CONCLUSION: This is the first pre-clinical report of
the anti-inflammatory properties of M. indica extract and mangiferin in
experimental asthma and it could be an important part of pre-clinical requirement
necessary for its use to complement the treatment of this complex disease.
PMID- 21899552
TI - Leaf extract of Rhus verniciflua Stokes protects dopaminergic neuronal cells in a
rotenone model of Parkinson's disease.
AB - OBJECTIVES: The present study investigated the neuroprotective effects of Rhus
verniciflua Stokes (RVS) leaf extract on rotenone-induced apoptosis in human
dopaminergic cells, SH-SY5Y. METHODS: Cells were pretreated with RVS extract for
1 h then treated with vehicle or rotenone for 24 h. Cell viability, cell
cytotoxicity, cell morphology and nuclear morphology were examined by MTT assay,
lactate dehydrogenase release assay, phase contrast microscopy and staining with
Hoechast 33342, respectively. Reactive oxygen species were measured by 2'7'
dichlorofluorescein diacetate and fragmented DNA was observed by TUNEL assay.
Mitochondrial membrane potential was determined by Rhodamine 123. Pro-apoptotic
and anti-apoptotic proteins and tyrosine hydroxylase were analysed by Western
blotting. KEY FINDINGS: Results showed that RVS suppressed rotenone-induced
reactive oxygen species generation, cellular injury and apoptotic cell death. RVS
also prevented rotenone-mediated changes in Bax/Bcl-2 levels, mitochondrial
membrane potential dissipation and Caspase 3 activation. Moreover, RVS
pretreatment increased the tyrosine hydroxylase levels in SH-SY5Y cells.
CONCLUSIONS: These findings demonstrate that RVS protects SH-SY5Y cells against
rotenone-induced injury and suggest that RVS may have potential therapeutic value
for neurodegenerative disease associated with oxidative stress.
PMID- 21899551
TI - Flavonoids in Scutellaria immaculata and S. ramosissima (Lamiaceae) and their
biological activity.
AB - OBJECTIVES: The aim of this study was to investigate the flavonoid composition of
Scutellaria immaculata and S. ramosissima (Lamiaceae) and the in-vitro biological
activity of their extracts and flavonoids. METHODS: The flavonoid composition of
S. immaculata (Si) and S. ramosissima (Sr) were analysed using LC-MS.
Antimicrobial activity was studied in vitro against a range of bacteria and fungi
using diffusion and microdilution methods. Anti-trypanosomal and cell
proliferation inhibitory activity of the extracts and flavonoids was assessed
using MTT. The antioxidant activity of the flavonoids and extracts were evaluated
using DPPH* test. KEY FINDINGS: LC-MS investigation of Si and Sr plants allowed
the identification, for the first time, of an additional 9 and 16 flavonoids,
respectively. The methanol, chloroform and water extracts from these plants and
six flavonoids (scutellarin, chrysin, apigenin, apigenin-7-O-glucoside,
cynaroside and pinocembrine) exhibited significant inhibition of cell growth
against HeLa, HepG-2 and MCF-7 cells. The chloroform extract of Sr showed potent
cytotoxic effects with IC50 (drug concentration which resulted in a 50% reduction
in cell viability) values of 9.25 +/- 1.07 ug/ml, 12.83 +/- 1.49 ug/ml and 17.29
+/- 1.27 ug/ml, respectively. The highest anti-trypanosomal effect against T. b.
brucei was shown by the chloroform extract of Sr with an IC50 (drug concentration
which resulted in a 50% inhibition of the biological activity) of 61 ug/ml. The
pure flavonoids showed an IC50 range between 3 and 29 um, with cynaroside as the
most active compound with an IC50 value of 3.961 +/- 0.133 um. The chloroform
extract of Sr has potent antimicrobial activity against Streptococcus pyogenes
(minimum inhibitory concentration, MIC = 0.03 mg/ml). Pinocembrine exhibited a
strong activity against the all bacteria except Escherichia coli and yeasts.
Water extracts of Sr and Si exhibited potent antioxidant activity with IC50
values of 5.62 +/- 0.51 ug/ml and 3.48 +/- 0.02 ug/ml, respectively. Scutellarin
exerted stronger antioxidant activity than other flavonoids. CONCLUSIONS: This is
the first study reporting an in-vitro biological investigation for Si and Sr.
Especially the chloroform extract of Sr showed potent anticancer and
antimicrobial activity. Cynaroside had a highly selective and strong cytotoxicity
against T. b. brucei while showing only mild effects against cancer cells.
PMID- 21899553
TI - Myrtenal inhibits acetylcholinesterase, a known Alzheimer target.
AB - OBJECTIVES: Inhibition of acetylcholinesterase (AChE) is a common treatment for
early stages of the most general form of dementia, Alzheimer's disease. In this
study selected components of essential oils, which carry a variety of important
functional groups, were tested for their in-vitro anti-acetylcholinesterase
activity. METHODS: In-vitro anti-acetylcholinesterase activity was measured by an
adapted version of Ellman's colorimetric assay. KEY FINDINGS: 1,8-cineole,
carvacrol, myrtenal and verbenone apparently inhibited AChE; the highest
inhibitory activity was observed for myrtenal (IC50 = 0.17 mm). This is the first
study showing the AChE inhibitory activity of myrtenal. CONCLUSIONS: Our
investigations provided evidence for the efficacy of monoterpenes as inhibitors
of AChE.
PMID- 21899554
TI - Contrasting wetland CH4 emission responses to simulated glacial atmospheric CO2
in temperate bogs and fens.
AB - Wetlands were the largest source of atmospheric methane (CH(4) ) during the Last
Glacial Maximum (LGM), but the sensitivity of this source to exceptionally low
atmospheric CO(2) concentration ([CO(2) ]) at the time has not been examined
experimentally. We tested the hypothesis that LGM atmospheric [CO(2) ] reduced
CH(4) emissions as a consequence of decreased photosynthate allocation to the
rhizosphere. We exposed minerotrophic fen and ombrotrophic bog peatland mesocosms
to simulated LGM (c. 200 ppm) or ambient (c. 400 ppm) [CO(2) ] over 21 months (n
= 8 per treatment) and measured gaseous CH(4) flux, pore water dissolved CH(4)
and volatile fatty acid (VFA; an indicator of plant carbon supply to the
rhizosphere) concentrations. Cumulative CH(4) flux from fen mesocosms was
suppressed by 29% (P < 0.05) and rhizosphere pore water [CH(4) ] by c. 50% (P <
0.01) in the LGM [CO(2) ], variables that remained unaffected in bog mesocosms.
VFA analysis indicated that changes in plant root exudates were not the driving
mechanism behind these results. Our data suggest that the LGM [CO(2) ]
suppression of wetland CH(4) emissions is contingent on trophic status. The
heterogeneous response may be attributable to differences in species assemblage
that influence the dominant CH(4) production pathway, rhizosphere supplemented
photosynthesis and CH(4) oxidation.
PMID- 21899555
TI - Examining the large-scale convergence of photosynthesis-weighted tree leaf
temperatures through stable oxygen isotope analysis of multiple data sets.
AB - The idea that photosynthesis-weighted tree canopy leaf temperature (T(candelta))
can be resolved through analysis of oxygen isotope composition in tree wood
cellulose (delta(18) O(wc)) has led to the observation of boreal-to-subtropical
convergence of T(candelta) to c. 20 degrees C. To further assess the validity of
the large-scale convergence of T(candelta), we used the isotope approach to
perform calculation of T(candelta) for independent delta(18) O(wc) data sets that
have broad coverage of climates. For the boreal-to-subtropical data sets, we
found that the deviation of T(candelta) from the growing season temperature
systemically increases with the decreasing mean annual temperature. Across the
whole data sets we calculated a mean T(candelta) of 19.48 degrees C and an SD of
2.05 degrees C, while for the tropical data set, the mean T(candelta) was 26.40
+/- 1.03 degrees C, significantly higher than the boreal-to-subtropical mean. Our
study thus offers independent isotopic support for the concept that boreal-to
subtropical trees display conserved T(candelta) near 20 degrees C. The isotopic
analysis cannot distinguish between the possibility that leaf temperatures are
generally elevated above ambient air temperatures in cooler environments and the
possibility that leaf temperature equals air temperature, whereas the leaf/air
temperature at which photosynthesis occurs has a weighted average of near 20
degrees C in cooler environments. Future work will separate these potential
explanations.
PMID- 21899556
TI - Histone modifications and expression of DAM6 gene in peach are modulated during
bud dormancy release in a cultivar-dependent manner.
AB - * Bud dormancy release in many woody perennial plants responds to the seasonal
accumulation of chilling stimulus. MADS-box transcription factors encoded by
DORMANCY ASSOCIATED MADS-box (DAM) genes in peach (Prunus persica) are implicated
in this pathway, but other regulatory factors remain to be identified. In
addition, the regulation of DAM gene expression is not well known at the
molecular level. * A microarray hybridization approach was performed to identify
genes whose expression correlates with the bud dormancy-related behaviour in 10
different peach cultivars. Histone modifications in DAM6 gene were investigated
by chromatin immunoprecipitation in two different cultivars. * The expression of
DAM4-DAM6 and several genes related to abscisic acid and drought stress response
correlated with the dormancy behaviour of peach cultivars. The trimethylation of
histone H3 at K27 in the DAM6 promoter, coding region and the second large intron
was preceded by a decrease in acetylated H3 and trimethylated H3K4 in the region
of translation start, coinciding with repression of DAM6 during dormancy release.
* Analysis of chromatin modifications reinforced the role of epigenetic
mechanisms in DAM6 regulation and bud dormancy release, and highlighted common
features with the vernalization process in Arabidopsis thaliana and cereals.
PMID- 21899558
TI - Cross-cultural adaptation of the Brazilian-Portuguese version of the chronic
urticaria quality-of-life questionnaire - CU-Q2oL.
AB - BACKGROUND: Chronic urticaria (CU) is a debilitating skin disorder that affects
patients' health related quality of life and the only questionnaire prepared
specifically to CU is the Chronic Urticaria Quality of Life Questionnaire (CU
Q(2)oL). OBJECTIVE: The purpose of this study was to cross-culturally adapt and
validate the CU-Q(2)oL Brazilian-Portuguese version. METHODS: Forward and back
translation by three bilingual translators followed by pre-test was used to adapt
the questionnaire. The CU-Q(2)oL was self-administered along with the Dermatology
Life Quality Index (DLQI) in 112 patients with CU. Disease activity was assessed
using the Urticaria Activity Score. Factor analysis was used to identify scales
of the Brazilian portuguese CU-Q(2)oL. Internal consistency, convergent validity
and known-group validity was determined. Reproducibility was evaluated by
interclass correlation coefficient (ICC). Multiple linear regression was used to
determine the predicting factors of CU-Q(2)oL results. RESULTS: Factor analysis
revealed a three-dimensional structure: sleep/mental status/eating (I),
pruritus/impact on life activities (II) and swelling/limits/look (III), which
explained 52.49% of the total variance. All scales showed excellent internal
consistency. External construct validity was supported by correlations between
the CU-Q(2)oL and DLQI. The tool was found to be able to differentiate between
patients with high and low levels of urticaria activity. Test-retest reliability
was good to excellent (ICC = 0.69-0.86). Disease severity and urticaria type were
the only factors predicting results. CONCLUSIONS: The CU-Q(2)oL Brazilian
portuguese version was easily filled out, well accepted by the patients,
demonstrated an acceptable validity and reliability and might be used to evaluate
treatment outcomes and in clinical research.
PMID- 21899557
TI - Responses to deviants are modulated by subthreshold variability of the standard.
AB - Auditory mechanisms automatically detect both basic features of sounds and the
rules governing their presentation. In the oddball paradigm, the auditory system
detects the sameness (or no-variability) rule when the same reference tone is
consistently repeated. We used two oddball protocols, the classical one with a
fixed reference and a modified one with a jittered reference, to determine
whether the auditory system can detect subthreshold violations of sameness. We
found that the response to the repeated standard was not modified by the small
jitter. However, the response to the frequency oddball was smaller under the
jittered protocol, indicating hypersensitivity to sameness. The sensitivity to
jitter was largest when the oddball deviated by 8%, was smaller for 40%, and
disappeared at 100% deviation, indicating that sensitivity to sameness is context
dependent; namely, it is scaled with respect to the overall range of stimuli.
PMID- 21899559
TI - Mithridates VI Eupator of Pontus and mithridatism.
PMID- 21899560
TI - Differential expression of interleukin-32 in chronic rhinosinusitis with and
without nasal polyps.
AB - BACKGROUND: Chronic rhinosinusitis (CRS) is a heterogeneous disease
characterized by local inflammation of the upper airways and sinuses and is
frequently divided into polypoid CRS (CRSwNP) and nonpolypoid CRS (CRSsNP).
However, the mechanism of inflammation in CRS has still not been fully
elucidated. The aim of the study was to investigate the role of interleukin-32
(IL-32), a recently discovered proinflammatory cytokine, in CRS. METHODS: We
collected nasal epithelial cells and nasal tissue from patients with CRS and
control subjects. We assayed mRNA for IL-32 by real-time PCR and measured IL-32
protein using ELISA, Western blot, and immunohistochemistry. RESULTS: The
expression of mRNA for IL-32 was elevated in epithelial cells from uncinate
tissue from patients with CRSsNP compared with patients with CRSwNP (P < 0.05),
control subjects (P=0.06), and epithelial cells from nasal polyp (NP) tissue (P <
0.05). Production of IL-32 was induced by IFN-gamma, TNF, and dsRNA in primary
airway epithelial cells. In whole-tissue extracts, the expression of IL-32
protein was significantly elevated in patients with CRSwNP compared with patients
with CRSsNP and control subjects. Immunohistochemistry data showed that IL-32 was
detected in mucosal epithelial cells and inflammatory cells in the lamina
propria. Levels of IL-32 were correlated with the levels of CD3 and macrophage
mannose receptor in NP tissue. Immunofluorescence data showed IL-32 co
localization with CD3-positive T cells and CD68-positive macrophages in NPs.
CONCLUSION: Overproduction of IL-32 may be involved in the pathogenesis of CRS,
although the role of IL-32 in the inflammation in CRSsNP and CRSwNP may be
different.
PMID- 21899561
TI - The construction of meaning by experts and would-be parents in assisted
reproductive technology.
AB - This article explores the construction of meaning regarding assisted reproductive
technology by legal framers, medical practitioners and would-be parents, through
the concept of ecology of knowledge. It is argued that these inter-relationships
between experts and lay people can be understood in terms of the formation of a
social structure of ecology of knowledge, which depends on local and emotional
knowledge co-produced by medical doctors, jurists and lay people in dynamic ways
without compromising the autonomy of medical, legal and lay knowledge and skills.
The assessment of the benefits and risks of assisted reproductive technology
partially represents negotiations of knowledge between these social and
professional groups, aiming to reproduce existing relations and practices,
particularly the social power of medicine and technology, the dominant
perceptions about women's and men's bodies and the geneticisation of genealogy.
These negotiations of knowledge generate new rights, new social actors, new
scientific fields and new ways of thinking and talking about individual and
institutional responsibilities. Ecology of knowledge comes imbued with hope,
trust, power, credibility of institutions and moralisation whereby some citizens'
rights may be weakened.
PMID- 21899562
TI - Politics, welfare regimes, and population health: controversies and evidence.
AB - In recent years, a research area has emerged within social determinants of health
that examines the role of politics, expressed as political traditions/parties and
welfare state characteristics, on population health. To better understand and
synthesise this growing body of evidence, the present literature review, informed
by a political economy of health and welfare regimes framework, located 73
empirical and comparative studies on politics and health, meeting our inclusion
criteria in three databases: PubMed (1948-), Sociological Abstracts (1953-), and
ISI Web of Science (1900-). We identified two major research programmes, welfare
regimes and democracy, and two emerging programmes, political tradition and
globalisation. Primary findings include: (1) left and egalitarian political
traditions on population health are the most salutary, consistent, and
substantial; (2) the health impacts of advanced and liberal democracies are also
positive and large; (3) welfare regime studies, primarily conducted among wealthy
countries, find that social democratic regimes tend to fare best with absolute
health outcomes yet consistently in terms of relative health inequalities; and
(4) globalisation defined as dependency indicators such as trade, foreign
investment, and national debt is negatively associated with population health. We
end by discussing epistemological, theoretical, and methodological issues for
consideration for future research.
PMID- 21899563
TI - Fracture resistance of roots filled with gutta-percha or RealSeal(r).
AB - AIM: To evaluate the vertical root fracture resistance of maxillary central
incisors filled with different root filling materials and sealers. METHODOLOGY:
Forty maxillary central incisor root canals were instrumented and divided
randomly into four groups. Each group was filled using lateral compaction, with
gutta-percha and AH Plus, gutta-percha and RealSeal((r)) sealer, RealSeal((r))
cone and RealSeal((r)) sealer, or RealSeal((r)) cone and AH Plus, respectively.
The roots were loaded vertically by a conical spreader tip inserted into the
canal and attached to an Instron testing machine until root fracture occurred.
The load at fracture and the pattern of fracture were recorded. Mechanical
properties of both core materials were tested under compressive loading. Results
were analysed statistically by two-way analysis of variance and post hoc Tukey's
tests. An independent sample t-test was used to compare the mechanical properties
of the filling materials. RESULTS: Load at fracture of roots filled with gutta
percha and AH Plus (255 +/- 74 N) and gutta-percha and RealSeal((r)) sealer (237
+/- 38 N) was significantly greater than those filled using the RealSeal((r))
system (163 +/- 29 N) and RealSeal((r)) cone with AH Plus sealer (134 +/- 17 N).
Most fracture lines were in a bucco-lingual direction. In compressive tests of
the core materials, RealSeal((r)) had greater flow in response to load than gutta
percha, suggesting more efficient transmission of forces to the canal wall in the
fracture tests. CONCLUSIONS: The lower fracture resistance of roots filled using
RealSeal((r)) is probably the result of more efficient transmission of forces
within the canal, rather than a direct effect of the material itself.
PMID- 21899564
TI - Overexpression of interleukin-6 and -8, cell growth inhibition and morphological
changes in 2-hydroxyethyl methacrylate-treated human dental pulp mesenchymal stem
cells.
AB - AIM: To evaluate morphological features, cell growth and interleukin-6 (IL-6) and
interleukin-8 (IL-8) secretion in expanded ex vivo human dental pulp mesenchymal
stem cells (DP-MSCs) after exposure to 2-hydroxyethyl methacrylate (HEMA).
METHODOLOGY: Dental pulp mesenchymal stem cells were derived from the dental
pulps of 10 young donors. After in vitro isolation, DP-MSCs were treated with 3
and 5 mmol L(-1) HEMA, and after 24, 48 and 72 h of incubation, their
morphological features, cell growth, IL-6 and IL-8 secretion were analysed.
Differences in the cell growth and in the interleukin secretion were analysed for
statistical significance with two-way anova tests and the Holm-Sidak method for
multiple comparisons. RESULTS: Dental pulp mesenchymal stem cells revealed a
decrease in cell growth with both treatments (P < 0.05), more evident at 5 mmol
L(-1) . Microscopic analysis displayed extensive cytotoxic effects in treated
cells, which lost their fibroblastoid features and became retracted, even
roundish, with a large number of granules. An up-regulation of IL-6 and IL-8 in
treated cells cytokines was evident (P < 0.05). CONCLUSIONS: 2-Hydroxyethyl
methacrylate exhibited cytotoxicity, inhibited cell growth and induced
morphological changes in cultured DP-MSCs. Moreover, in treated samples, an up
regulation of soluble mediators of inflammation such as IL-6 and IL-8 cytokines
was found. The direct application of HEMA potentially induces an inflammation
process that could be the starting point for toxic response and cell damage in DP
MSCs.
PMID- 21899565
TI - The efficacy of five techniques for removing root filling material: microscopic
versus radiographic evaluation.
AB - AIM: To test and compare the efficacy of five methods for the removal of root
filling material and to test the hypothesis that radiographs fail to represent
the real extent of remaining material on canal walls. METHODOLOGY: Fifty
maxillary anterior single-rooted teeth with straight root canals were selected.
The coronal third of each root canal was prepared with Gates-Glidden drills to
number 3, whilst the apical two-thirds were prepared with manual K-files to size
40. Root fillings were performed using lateral compaction with gutta-percha and
AH-26. After full setting, the coronal third of the root filling was removed with
Gates-Glidden drills and the teeth divided into five groups (n=10). The remaining
root filling material was then removed with either Hedstrom files and chloroform
(25 MUL), using size 40 as the last file, SafeSider files, using a NiTi Pleezer
reamer with a 0.06 taper followed by size 40 reciprocating file, with or without
chloroform, or ProTaper Universal retreatment files (D2, D3) with or without
chloroform. Reaching working length with no more gutta-percha on the last file
was defined as the endpoint for all procedures. The presence of remaining filling
material was first evaluated radiographically and then by the microscopic
evaluation of split roots. The time required to accomplish the procedure was also
recorded. anova and anova with repeated measures were used for statistical
analysis of the results. RESULTS: Overall, 11-26% of the canal wall remained
covered with filling material; no significant difference was found between the
groups. The mechanized methods were faster than manual removal of filling
material (P < 0.01); the use of solvent did not speed up the mechanized
procedures. Radiographic evaluation failed to adequately and reliably detect the
extent of filling material remaining on the canal walls, which was later observed
by microscopic evaluation. CONCLUSIONS: All methods left root canal filling
material on the canal walls. Radiographic evaluation failed to detect the extent
of remaining root filling material, which could only be detected using
microscopy.
PMID- 21899566
TI - Effect of intracanal irrigants on the bond strength of epoxy resin-based and
methacrylate resin-based sealers to root canal walls.
AB - AIM: To assess the bond strength of Epiphany and AH Plus sealers to root canal
walls using a push-out test after use of several endodontic irrigants.
METHODOLOGY: Roots of 100 maxillary canines were sectioned horizontally 5 mm
below the cemento-enamel junction to provide 4-mm-thick dentine disks that were
embedded in acrylic resin and had their root canals prepared with a tapered bur
(larger diameter=2.70 mm; smaller diameter=2.30 mm; length = 4 mm). The specimens
were randomly assigned to five groups (n=20) according to the dentine surface
treatment: I - 1% NaOCl (30 min); II - 1% NaOCl (30 min) + 17% EDTA (5 min); III
17% EDTA (30 min); IV - 24% EDTA gel (30 min); V - 2% chlorhexidine gluconate
(CHX) gel (30 min). In each group, two specimens were prepared for scanning
electron microscopy to examine the surface of root canal dentine after use of
each irrigant. The other 18 specimens were filled with AH plus (n = 9) or
Epiphany (n=9) and subjected to a push-out test in an Instron machine. Data (in
MPa) were subjected to statistical analysis by two-way anova and post-hoc Tukey
Kramer test (P < 0.05). RESULTS: AH Plus had a significantly higher bond
strength (8.74 +/- 2.75) than Epiphany (6.74 +/- 3.97) (P < 0.05). One per cent
NaOCl/17% EDTA was associated with significantly higher bond strength values
(10.88 +/- 3.05) than the other irrigants (P < 0.05). Seventeen per cent EDTA
(8.75 +/- 1.75), 24% EDTA gel (7.48 +/- 3.48) and 2% CHX gel (7.89 +/- 3.41) had
intermediate values that were not significantly different from each other (P >
0.05). One per cent NaOCl was associated with the lowest mean values (3.70 +/-
0.86) (P < 0.05). The interaction between surface treatment and sealer revealed
significantly higher bond strength for 24% EDTA gel with AH Plus, 2% CHX gel with
AH Plus and 1%NaOCl/17%EDTA with Epiphany (P < 0.05). CONCLUSION: Except for 1%
NaOCl, the removal of smear layer with the other irrigants increased the bond
strength of AH Plus to intracanal dentine. The use of 1% NaOCl for 30 min with
17% EDTA as final irrigant for 5 min increased the bond strength of Epiphany.
PMID- 21899567
TI - The influence of pressure changes on endodontically treated teeth during
simulated dives.
AB - AIM: To measure and evaluate pressure changes in the pulp chambers of extracted
teeth exposed to hyperbaric conditions during root canal treatment. METHODOLOGY:
A pressure sensor was inserted and sealed into the pulp chambers of extracted
human molars (n = 6). The teeth were subjected to simulated dives to 4.5 bar in a
diving chamber. During the simulated ascents and descents, the pressure within
the pulp chamber was measured, and the difference between the pressure inside the
pulp chamber and the pressure in the diving chamber was calculated. Each tooth
underwent two dives with an intact pulp chamber, with a calcium hydroxide
dressing, after root canal filling, and after adhesive sealing of the pulp
chamber floor with a composite. Differences were analyzed statistically (P <
0.05) using one-way analysis of variance (anova). RESULTS: There were no
significant pressure differences in teeth with an intact pulp chamber and teeth
with a calcium hydroxide dressing. After root filling, however, the increase in
pressure inside the pulp chamber was significantly lower (P < 0.05) than that in
the diving chamber. After adhesive sealing of the pulp chamber floor with a
composite, the pressure inside the pulp chamber was significantly lower (P <
0.05) than the pressure in the diving chamber. CONCLUSIONS: In root canal
treatment, canal orifices should be sealed with an adhesively bonded composite
filling before a dive. The use of a calcium hydroxide dressing after root canal
preparation does not disqualify patients from diving.
PMID- 21899568
TI - Nanostructured hydroxyapatite as filler for methacrylate-based root canal
sealers.
AB - AIM: To evaluate the effect of different concentrations of nanostructured
hydroxyapatite on the radiopacity, flow and film thickness of an experimental
root canal sealer. METHODOLOGY: An experimental dual-cured root canal sealer
was produced with a methacrylate-based co-monomer blend. Nanostructured
hydroxyapatite/calcium tungstate solutions (ratios 10:90, 20:80, 30:70 and 40:60)
were added to produce the sealer. Radiopacity was evaluated using a digital
system and an aluminium step wedge (n=5). Flow and thickness tests were conducted
in accordance with ISO 6876 (n=3). The data were analysed using one-way anova and
Tukey's test (alpha=0.05). RESULTS: All groups had levels of radiopacity in
accordance with ISO 6876. The flow of the experimental sealers was not
significantly different (P=0.204). All groups had a film thickness in accordance
with ISO 6876 and with no statistical difference (P = 0.654). CONCLUSION: The
addition of up to 40% HA(nano) to root canal sealers did not alter their
radiopacity and film thickness.
PMID- 21899569
TI - Effect of heat stress on the expression levels of receptor activator of NF-kappaB
ligand and osteoprotegerin in human periodontal ligament cells.
AB - AIM: To investigate the expression levels of the receptor activator of NF
kappaB ligand (RANKL) and osteoprotegerin (OPG) in human periodontal ligament
fibroblasts (PDLs) when stimulated with heat. METHODOLOGY: Periodontal ligament
fibroblasts were subjected to various temperature increases for 5 min and then
maintained at 37 degrees C. After that, cell viability was determined using an
MTT assay. The expression levels of RANKL and OPG were investigated using real
time RT-PCR and ELISA. As a control, the cells were cultured at 37 degrees C.
Data were analysed using one-way anova at a significant level of P = 0.05.
Results Cell viability was reduced significantly in the heated groups (P <
0.05). Heat stress downregulated the mRNA expression levels of RANKL and OPG (P <
0.05). When the cells were heated at 39 degrees C, the protein release of OPG
was increased (P < 0.05). There were no significant differences between the
heated groups and the control in the release of soluble RANKL (P > 0.05). The
relative RANKL/OPG expression ratios were decreased at 39, 43 and 50 degrees C
(P < 0.05), but increased at 47 degrees C (P < 0.05). CONCLUSIONS: Heat
influenced the balance between RANKL and OPG in PDLs. Low heat downregulated
their relative ratio, whilst high heat upregulated it.
PMID- 21899570
TI - Hepatocyte growth factor-transfected skeletal myoblasts to limit the development
of postinfarction heart failure.
AB - Stem cells transplanted to an injured heart affect the host myocardium
indirectly. The cytokine hepatocyte growth factor (HGF) may play a key role in
this paracrine activity. We hypothesized that HGF-overexpressing stem cells would
restore cardiac function after myocardial infarction (MI). Because there is a
high rate of cell death when injecting the cells intramyocardially, we used
scaffold-based cell transfer. Skeletal myoblasts (SkMs) were isolated and
expanded from newborn Lewis rats. Cells were transfected with pcDNA3-huHGF and
seeded on polyurethane (PU) scaffolds or diluted in medium for cell injection.
The seeded scaffolds were transplanted in rats two weeks after MI (group: PU-HGF
SkM) or the infection solution was intramyocardially injected (group: Inj-HGF
SkM). Two groups (Inj-SkM and PU-SkM) have been prepared with untransfected cells
and sham group without any cell therapy served as control (n = 10 each group). At
the beginning of treatment (baseline) and six weeks later, hemodynamic parameters
were assessed. At the end of the study, histological analysis was employed. In
sham animals we detected a decrease in systolic and diastolic function during the
observation time. Treatment with untransfected myoblasts did not lead to any
significant changes in hemodynamic parameters between the intervention and six
weeks later. In group PU-HGF-SkM, systolic parameters like dP/dt(max), dP/dt(min)
and isovolumic contraction improved significantly from baseline to study end.
Some diastolic parameters were inferior as compared to baseline (SB-Ked, pressure
half time [PHT], Tau). In group Inj-HGF-SkM, only PHT was impaired as compared to
preinterventional values. Histological analysis showed significantly more
capillaries in the infarction border zone in groups PU-HGF-SkM than in sham and
Inj-SkM group. The infarction size was not affected by the therapy. Transplanting
HGF-transfected myoblasts after MI can limit the development of ventricular
dysfunction. Scaffold-based therapy in combination with gene therapy accelerates
this capacity. This hemodynamic amelioration is accompanied by
neovascularization, but not by smaller infarction sizes.
PMID- 21899571
TI - Mechanical cavopulmonary assistance of a patient-specific Fontan physiology:
numerical simulations, lumped parameter modeling, and suction experiments.
AB - This study investigated the performance of a magnetically levitated,
intravascular axial flow blood pump for mechanical circulatory support of the
thousands of Fontan patients in desperate need of a therapeutic alternative. Four
models of the extracardiac, total cavopulmonary connection (TCPC) Fontan
configuration were evaluated to formulate numerical predictions: an idealized
TCPC, a patient-specific TCPC per magnetic resonance imaging data, and each of
these two models having a blood pump in the inferior vena cava (IVC). A lumped
parameter model of the Fontan physiology was used to specify boundary conditions.
Pressure-flow characteristics, energy gain calculations, scalar stress levels,
and blood damage estimations were executed for each model. Suction limitation
experiments using the Sylgard elastomer tubing were also conducted. The pump
produced pressures of 1-16 mm Hg for 2000-6000 rpm and flow rates of 0.5-4.5
L/min. The pump inlet or IVC pressure was found to decrease at higher rotational
speeds. Maximum scalar stress estimations were 3 Pa for the nonpump models and
290 Pa for the pump-supported cases. The blood residence times for the pump
supported cases were shorter (0.9 s) as compared with the nonsupported
configurations (2.5 s). However, the blood damage indices were higher (1.5%) for
the anatomic model with pump support. The pump successfully augmented pressure in
the TCPC junction and increased the hydraulic energy of the TCPC as a function of
flow rate and rotational speed. The suction experiments revealed minimal
deformation (<3%) at 9000 rpm. The findings of this study support the continued
design and development of this blood pump.
PMID- 21899573
TI - Overview on "Chinese-Finnish workshop on biomanufacturing and evaluation
techniques".
PMID- 21899572
TI - Hemodynamic evaluation of the Avalon Elite bi-caval dual lumen cannulae.
AB - In previous studies, we have evaluated the hemodynamic properties of selected
oxygenators, pumps (centrifugal and roller), and single lumen cannulae. Because
the dual lumen cannulae are widely used in veno-venous extracorporeal life
support (ECLS) and are receiving popularity due to their advantages over the
single lumen cannulae, we evaluated the flow ranges and pressure drops of three
different sizes of Avalon Elite dual lumen cannulae (13Fr, 16Fr, and 19Fr) in a
simulated neonatal ECLS circuit primed with human blood. The experimental ECLS
circuit was composed of a RotaFlow centrifugal pump, a Capiox BabyRX05
oxygenator, 3 ft of 1/4-in venous and arterial line tubing, an Avalon Elite dual
lumen cannula, and a soft reservoir as a pseudo-right atrium. All experiments
were conducted at 37 degrees C using an HCU 30 heater-cooling unit and with human
blood at a hematocrit of 36%. The blood pressure in the pseudo-right atrium was
continuously monitored and maintained at 4-5 mm Hg. For each cannula, pump flow
rates and pressures at both the arterial and venous sides were recorded at
revolutions per minute (RPMs) from 1750 to 3750 in 250 intervals. For each RPM,
six data sets were recorded for a total of 162 data sets. The total volume of the
system was 300 mL. The flow range for the 13Fr, 16Fr, and 19Fr cannulae were from
228 to 762 mL/min, 478 to 1254 mL/min, and 635 to 1754 mL/min, respectively. The
pressure drops at the arterial side were higher than the venous side at all
tested conditions except at 1750 rpm for the 19Fr cannula. The results of this
study showed the flow ranges and the pressure drops of three different sized dual
lumen cannulae using human blood, which is more applicable in clinical settings
compared with evaluations using water.
PMID- 21899574
TI - Abdominal hernia repair with a decellularized dermal scaffold seeded with
autologous bone marrow-derived mesenchymal stem cells.
AB - Surgeons usually use synthetic polymer meshes for abdominal wall hernia repair.
However, synthetic polymer meshes exhibit a lack of growth and related
complications. In this study, we produced a tissue-engineered patch for abdominal
hernia repair. Autologous bone-marrow-derived mesenchymal stem cells (BMSCs) were
isolated and proliferated in vitro; decellularized dermal scaffolds (DSs) were
prepared using enzymatic process; and then BMSCs were seeded onto the DSs for the
construction of tissue-engineered patches. Under general anesthesia, rabbits
underwent creation of abdominal wall defects and which were repaired with BMSC
seeded DSs, acellular DSs, and skin sutures only, respectively. Animals were
sacrificed after 2 months for assessing the histological and gross examination.
Abdominal hernias were absent in animals repaired with cell-seeded group, and
abdominal hernias or bulges appeared in all animals repaired with acellular
group. All the animals that were not repaired died within 10 days. The cell
seeded implants were thicker and indicated good angiogenesis compared with that
of the acellular implants, both in histological and gross examination. The tissue
engineered patches prepared with BMSCs seeding on DSs can be used for abdominal
wall hernia repair.
PMID- 21899575
TI - Radial artery pseudoaneurysm in a Maine Coon cat.
AB - OBJECTIVE: To report the diagnosis and treatment of a radial artery
pseudoaneurysm in a cat. STUDY DESIGN: Clinical report. ANIMAL: Maine Coon cat (8
year-old neutered male). METHODS: Ultrasonographic and angiographic examination
of a fluctuant, nonpainful, 3 cm * 1.5 cm subcutaneous swelling on the
craniomedial distal aspect of the right radius that occurred 40 days after
suspected cat bite trauma was consistent with a radial artery pseudoaneurysm.
After ligation of the radial artery proximal to the lesion, the pseudoaneurysm
was surgically excised. RESULTS: The excised tissue had hemorrhage and fibrin
surrounded by a thick fibrous granulating capsule of variably mature fibroblasts
and focal areas of inflammatory cells (lymphocytes, plasma cells, and
macrophages) consistent with a pseudoaneurysm. Surgical excision resulted in
resolution of clinical signs. CONCLUSIONS: Ultrasonography enabled prompt,
noninvasive diagnosis of pseudoaneurysm. Angiography or computed tomography may
be useful to aid diagnosis and assess the collateral blood supply to the manus
before surgical treatment.
PMID- 21899576
TI - Total hip replacement with dorsal acetabular rim augmentation using the SOP(TM)
implant and polymethylmethacrylate cement in seven dogs with dorsal acetabular
rim deficiency.
AB - OBJECTIVE: To describe a surgical technique for reinforced augmentation of the
dorsal acetabular rim (DAR) using a string-of-pearls (SOPTM) locking plate and
polymethylmethacrylate (PMMA) bone cement, and to report clinical outcome in 7
dogs. STUDY DESIGN: Case series. ANIMALS: Dogs (n=7). METHODS: Medical records
(November 2009-April 2010) of 7 dogs with large DAR deficits, that had total hip
replacement (THR) with reinforced augmentation of the DAR using a SOPTM plate and
PMMA were evaluated retrospectively. Reinforced augmentation of the DAR involved
anchorage of a precontoured 2.0 or 2.7 mm SOPTM plate dorsal to the acetabulum
followed by application of PMMA cement to cover the reamed acetabulum and plate.
Cemented acetabular components were used. Implant associated complications were
recorded and >=6-month follow-up obtained. RESULTS: In all dogs, lameness
improved at medium-term reassessment (median, 8 months; range, 6-11 months).
Complications included transient sciatic neurapraxia in 2 dogs, which resolved by
3 months. CONCLUSIONS: Reinforced augmentation of the DAR using a SOPTM plate and
PMMA cement may facilitate placement of acetabular THR component implantation in
dogs with severe DAR insufficiency.
PMID- 21899577
TI - String-of-pearls locking plate and cerclage wire stabilization of periprosthetic
femoral fractures after total hip replacement in six dogs.
AB - OBJECTIVE: To report use of, and outcome after, string-of-pearls (SOPTM) plate
and multiple cerclage wire fixation for treatment of periprosthetic femoral
fractures (PFF) associated with total hip replacement (THR) in dogs. STUDY
DESIGN: Case series. ANIMALS: Dogs (n=6) with PFF after THR. METHODS: Clinical
records (2005-2010) and radiographic evaluations of dogs that had PFF associated
with THR, treated with a SOPTM plate and cerclage wires were retrospectively
reviewed. Clinical and radiographic postoperative assessments were performed 4,
12, and 24 weeks postoperatively. Telephone follow-up was performed >12 months
postoperatively. RESULTS: Three fractures occurred intraoperatively and 3
occurred postoperatively. One SOPTM failed at 2 weeks necessitating revision
using 2 parallel SOPTM implants. One dog was euthanatized because of quadriceps
muscle tie-down at 6 weeks. Other dogs were free of lameness with full range of
motion of the stifle and hip joints at final clinical examination, and positive
outcomes were maintained at >12 month telephone questionnaire. There was no
evidence of implant failure and positive evidence of fracture healing at final
radiographic follow-up. CONCLUSIONS: Stabilization resulted in bone healing in 5
dogs; failure in 1 dog may be attributable to technical error. Optimal technical
guidelines for use of the SOPTM in this circumstance are unknown, particularly
where fracture configuration varies.
PMID- 21899578
TI - Standing ovariectomy in mares using a transvaginal natural orifice transluminal
endoscopic surgery (NOTES(r)) approach.
AB - OBJECTIVE: To develop and assess the feasibility of standing transvaginal Natural
Orifice Transluminal Endoscopic Surgery (NOTES(r)) ovariectomy in the mare. STUDY
DESIGN: Descriptive study. ANIMALS: Mares (n=10). METHODS: The technique was
developed in 6 mares and then evaluated in a short-term study in 4 mares.
Abdominal access was developed using controlled access vaginal cannula placement
under endoscopic guidance. With viewing provided by a flexible endoscope,
hemostasis, and transection of ovarian pedicles was performed using a customized
bipolar vessel-sealing device. The ovaries were retrieved and the colpotomy was
sutured. Surgical time, intra-, and postoperative complications were recorded.
Necropsy was performed immediately after surgery in 6 mares and 15 days after
surgery in 4 mares to assess short-term complications. RESULTS: After the
instruments and techniques were developed, transvaginal NOTES(r) ovariectomy was
successfully performed. Analgesia during the procedure was adequate in all cases.
The visual field provided by the endoscope was acceptable. The customized 60 cm
vessel-sealing device provided good hemostasis and a comfortable working length.
Intraoperative complications included difficult viewing that prolonged operative
time and inability to remove the second ovary in 1 mare. Postoperative recovery
was excellent. Postmortem findings of 1 true positive microbial culture, elevated
cell counts in abdominal fluid, and adhesion formation raise questions that must
be addressed in future studies. CONCLUSION: Using specialized instruments,
transvaginal NOTES(r) ovariectomy is technically feasible in mares.
PMID- 21899579
TI - Significance of the rdar and bdar morphotypes in the hydrophobicity and
attachment to abiotic surfaces of Salmonella Sofia and other poultry-associated
Salmonella serovars.
AB - AIMS: To investigate the relative role of the red dry and rough (rdar) and brown
dry and rough (bdar) morphotypes on hydrophobicity and ability to attach to
abiotic surfaces of poultry-associated Salmonella strains with a focus on S.
Sofia. METHODS AND RESULTS: Cellulose synthase gene null mutants were constructed
in five Salmonella strains converting them from rdar to bdar morphotypes. One S.
Sofia null mutant displayed reduced hydrophobicity and attachment to Teflon(r)
relative to its parent strain. The S. Virchow and S. Infantis null mutants
attached less well to glass relative to their parent strains. CONCLUSIONS: The
rdar or bdar morphotype may influence S. Sofia persistence but did not explain
why bdar strains predominate in this serotype. SIGNIFICANCE AND IMPACT OF THE
STUDY: This work provides some insight into why some Salmonella strains survive
in poultry environments and may ultimately contribute to their control.
PMID- 21899580
TI - Microbiological contamination of digested products from anaerobic co-digestion of
bovine manure and agricultural by-products.
AB - AIMS: This study was performed to investigate the microbiological contamination
of digestate product (DP) obtained from the anaerobic co-digestion of bovine
manure and agricultural by-products. METHODS AND RESULTS: Microbiological
analyses were performed on bovine manure, fresh DP, liquid and solid fractions
and stored liquid fraction of DP. A statistically significant reduction of faecal
bacterial indicator was found after anaerobic digestion except for enterococci.
After liquid/solid DP separation, bacteria tend to be concentrated in the solid
fraction. Storage does not seem to influence the indicator parameters, except for
enterococci. Escherichia coli O157:H7 and Yersinia were not found in any samples
analysed. Salmonella was rarely detected in DP samples and its derivates, while
Listeria monocytogenes was encountered in many samples. CONCLUSIONS: The results
obtained indicate that the hygienic quality of DP is for almost all
microbiological parameters better than that of the bovine manure (range of
reduction 1.6-3.1 log10) and suggest the need to identify specific pathogen
indicators related to the hygienic characteristics of DPs. SIGNIFICANCE AND
IMPACT OF THE STUDY: This study highlights that the anaerobic co-digestion of
bovine manure and agricultural by-products in a field-scale biogas plant does not
increase human health risk with respect to the use of animal manure for
agricultural fertilization.
PMID- 21899581
TI - Modelling the inhibitory effect of copper sulfate on the growth of Penicillium
expansum and Botrytis cinerea.
AB - AIMS: This study aimed to investigate the effect of copper sulfate (from 0 to 8
mmol kg(-1)) on radial growth rate and lag time of two moulds responsible for
vine grapes spoilage: Penicillium expansum strain 25.03 and Botrytis cinerea,
strains BC1 and BC2. METHODS AND RESULTS: A new model was developed to describe
tailing and shoulders in the inhibition curves. Because of tailing, the minimum
inhibitory concentration (MIC), was not defined as the concentration at which no
growth was observed, but as the concentration at which the lag time was infinite.
The concentrations at which MU = MU(opt)/2, (Cu50), were in the range of 2.2-2.6
mmol kg(-1). Radial growth rate of P. expansum and the reciprocal of the lag time
were linearly correlated (r = 0.84). In contrast, in the range 0-4 mmol kg(-1),
an inhibition of growth of B. cinerea was observed whereas germination remained
unaffected (i.e. the lag time was constant). In the range 4-8 mmol kg(-1) , the
radial growth rate of B. cinerea was almost constant (c. 1 mm day(-1)), but
germination was inhibited (i.e. the lag time was increased). CONCLUSIONS: The MIC
values were 4.7 mmol kg(-1) for P. expansum, 8.2 and 7.3 mmol kg(-1) for B.
cinerea strain BC1 and BC2, respectively, demonstrating that some isolates of
these moulds are resistant to copper. SIGNIFICANCE AND IMPACT OF THE STUDY:
Copper concentrations at 4 mmol kg(-1) would be sufficient to control the
development of these isolates, but the toxicity of copper should be extended to
other isolates and evaluated in vineyards.
PMID- 21899582
TI - Meta-analysis: predictors of rebleeding after endoscopic treatment for bleeding
peptic ulcer.
AB - BACKGROUND: Determining the risk of rebleeding after endoscopic therapy for
peptic ulcer bleeding (PUB) may be useful for establishing additional haemostatic
measures in very high-risk patients. AIM: To identify predictors of rebleeding
after endoscopic therapy. METHODS: Bibliographic database searches were performed
to identify studies assessing rebleeding after endoscopic therapy for PUB. All
searches and data abstraction were performed in duplicate. A parameter was
considered to be an independent predictor of rebleeding when it was detected as
prognostic by multivariate analyses in >=2 studies. Pooled odds ratios (pOR) were
calculated for prognostic variables. RESULTS: Fourteen studies met the
prespecified inclusion criteria. Pre-endoscopic predictors of rebleeding were:
(i) Haemodynamic instability: significant in 9 of 13 studies evaluating the
variable (pOR: 3.30, 95% CI: 2.57-4.24); (ii) Haemoglobin value: significant in 2
of 10 (pOR: 1.73, 95% CI: 1.14-2.62) and (iii) Transfusion: significant in two of
six (pOR not calculable). Endoscopic predictors of rebleeding were: (i) Active
bleeding: significant in 6 of 12 studies (pOR: 1.70, 95% CI: 1.31-2.22); (ii)
Large ulcer size: significant in 8 of 12 studies (pOR: 2.81, 95% CI: 1.98-4.00);
(iii) Posterior duodenal ulcer location: significant in four of eight studies
(pOR: 3.83, 95% CI: 1.38-10.66) and (iv) High lesser gastric curvature ulcer
location: significant in three of eight studies (pOR: 2.86; 95% CI: 1.69-4.86).
CONCLUSIONS: Major predictors for rebleeding in patients receiving endoscopic
therapy are haemodynamic instability, active bleeding at endoscopy, large ulcer
size, ulcer location, haemoglobin value and the need for transfusion. These risk
factors may be useful for guiding clinical management in patients with PUB.
PMID- 21899583
TI - Randomised clinical trial: the synbiotic food supplement Probiotical vs. placebo
for acute gastroenteritis in children.
AB - BACKGROUND: Some probiotic strains reduce the duration of acute diarrhoea. As a
result of strain and product specificity, each product needs support by clinical
data. AIM: In children with acute diarrhoea, to test the efficacy of the
synbiotic food supplement Probiotical (Streptoccoccus thermophilus, Lactobacillus
rhamnosus, Lactobacillus acidophilus, Bifidobacterium lactis, Bifidobacterium
infantis, fructo-oligosaccharides). The primary end-points were duration of
diarrhoea and the number of children that had a normalised stool consistency.
METHOD: A total of 111 children with acute diarrhoea (median age 40 months) were
included in this randomised, prospective placebo-controlled parallel clinical
trial in primary health care. All children were treated with oral rehydration
solution ad libitum and with the synbiotic (n=57) or placebo (n = 54). RESULTS:
The median duration of diarrhoea was 3 days (IQ 25-75: 2-4 days) in the
Probiotical group, compared with 4 days (IQ 25-75: 4-5 days) in the placebo group
(P<0.005). The number of children with normal stool consistency (defined as stool
Bristol score <=4) was higher in the synbiotic group on days 2 and 3 [21 vs. 2%
(P<0.001) and 50 vs. 24% (P<0.001) respectively]. Less additional medication
(antipyretics, antiemetics, antibiotics) was administered in the synbiotic group.
Physicians were globally more satisfied with the synbiotic food supplement
treatment than with placebo (P=0.005). One patient in the placebo group was
hospitalised. CONCLUSION: The median duration of diarrhoea was significantly 1
day shorter in the synbiotic than in the placebo group, associated with decreased
prescription of additional medications.
PMID- 21899584
TI - Meta-analysis: the effects of Lactobacillus rhamnosus GG supplementation for the
prevention of healthcare-associated diarrhoea in children.
AB - BACKGROUND: In children, healthcare-associated diarrhoea, in particular, due to
rotavirus, may prolong the hospital stay and increase medical costs, prompting
interest in effective, low-cost, preventive strategies. AIM: To review
systematically data on the efficacy of administering Lactobacillus rhamnosus GG
(LGG) for the prevention of healthcare-associated diarrhoea. METHODS: MEDLINE,
EMBASE, Health Source: Nursing/Academic Edition, the Cochrane Library, trial
registries and proceedings of major meetings were systematically searched for
randomised controlled trials (RCTs) performed in children aged 1 month to 18
years that compared administration of LGG with placebo or no intervention. Two
reviewers assessed studies for inclusion and risk of bias and extracted the data.
Outcome measures included the incidences of healthcare-associated diarrhoea and
rotavirus gastroenteritis. If appropriate, meta-analyses were carried out using
the fixed effects model. RESULTS: Three RCTs involving 1092 children were
included. Compared with placebo, LGG administration for the duration of hospital
stay was associated with significantly lower rates of diarrhoea (two RCTs, n =
823, relative risk, RR 0.37, 95% confidence interval, CI 0.23-0.59) and
symptomatic rotavirus gastroenteritis (three RCTs, n = 1043, RR 0.49, 95% CI 0.28
0.86). There was no significant difference between the LGG and the control groups
in the incidence of asymptomatic rotavirus infection, duration of hospitalisation
or duration of diarrhoea. LGG was well tolerated, and no harms were reported in
any of the trials. CONCLUSION: In hospitalised children, the administration of
Lactobacillus rhamnosus GG compared with placebo has the potential to reduce the
overall incidence of healthcare-associated diarrhoea, including rotavirus
gastroenteritis.
PMID- 21899585
TI - TGFBI gene mutation in a Chinese pedigree with Reis-Bucklers corneal dystrophy.
AB - PURPOSE: To characterize the molecular defects in the TGFBI gene in a Chinese
family with Reis-Bucklers corneal dystrophy (RBCD), and to study the relationship
between the gene mutations and the clinical manifestations. METHODS: Four
generations of this family with RBCD were enrolled in the study. In addition to
ophthalmic and histopathological examinations, polymerase chain reaction (PCR)
amplification and analysis of nucleotide sequencing of exons 4, 12, 14 of TGFBI
were performed. RESULTS: The clinical manifestations of the disease were
characterized by geographic opacities in the subepithelial layers and anterior
stroma of the cornea. Confocal microscopy images of the cornea showed focal hyper
reflective materials deposited in the subepithelium and anterior stroma. It was
confirmed by histopathology that Bowman's membrane was mainly replaced by
extracellular fibril material, which extended downwards into the superficial
corneal stroma. Molecular genetic analysis revealed a single heterozygous G>T
change at nucleotide 124 in exon 4 of TGFBI in all members (22) of the pedigree
affected with RBCD, but not in the unaffected members. CONCLUSIONS: A p.Arg124Leu
mutation of the TGFBI gene was detected in this Chinese pedigree with Reis
Bucklers corneal dystrophy. The phenotype of Reis-Bucklers corneal dystrophy in
this family belongs to the geographic type. The molecular genetic studies
combined with histopathology may be useful for the accurate diagnosis of this
type of corneal dystrophy.
PMID- 21899586
TI - TLR2 and TLR4 gene promoter methylation status during chronic periodontitis.
AB - AIM: The objective of this study was to analyse the status of DNA methylation in
the promoter region of the toll-like receptor (TLR)2 and TLR4 genes in gingival
tissue samples from healthy subjects, smokers and non-smokers affected by chronic
periodontitis. MATERIAL AND METHODS: Genomic DNA and total RNA were purified from
gingival tissue using the TRIZOL reagent protocol. Genomic DNA was then digested
by methylation-sensitive restriction enzymes, amplified by polymerase chain
reaction (PCR), electrophoresed on a 10% polyacrylamide gel and stained using
SYBR Gold. Real-time PCR was also performed to verify the transcript levels.
RESULTS: The CpG dinucleotides analysed were observed to be unmethylated in the
majority of DNA samples of the three groups and statistical differences were not
found among groups (p>0.05). However, a trend towards methylation was observed in
the TLR2 HhaI site in the samples of the periodontitis non-smoker groups. In
fact, the analysis of all CpG sites together shows which complete methylation is
observed in the shortest level in the samples of periodontitis non-smoker group.
The analysis of transcript levels demonstrated no difference among groups
(p>0.05). CONCLUSION: The results demonstrated major unmethylation of the TLR4
gene promoter in all groups. However, the results for the TLR2 gene promoter are
inconclusive; this gene was found as a mosaic of methylated and unmethylated DNA
in the majority of samples of the three groups and we also observed a trend
towards the DNA methylation of CpG sites recognized by the HhaI enzyme.
PMID- 21899587
TI - Oral arteriovenous hemangioma in patient with hepatitis C.
PMID- 21899588
TI - Agminated cellular neurothekeoma.
AB - Cellular neurothekeoma represents a benign, slow-growing neoplasm that typically
occurs as a solitary lesion on the face, neck or arm. Reports of multiple lesions
are rare. To our knowledge, multiple lesions occurring as eruptive clusters
localized to a single anatomical site has not been previously reported. This
report details a case of an agminated cellular neurothekeoma occurring on the
nose of a 28-year-old man. Recognition of multiple localized eruptive lesions of
cellular neurothekeoma is important in order to facilitate correct diagnosis and
avoid unnecessary treatment.
PMID- 21899589
TI - Genitogluteal porokeratosis involving the scrotum: an unusual presentation of an
uncommon disease.
AB - Porokeratosis represents a heterogeneous group of keratinization disorders
typified by the presence of annular plaques with distinct, raised borders that
include cornoid lamellae. Histopathologically, a cornoid lamella is a column of
parakeratotic scale overlying an epidermal invagination that displays nearby
dyskeratotic keratinocytes and loss of the granular layer. Porokeratosis
ptychotropica constitutes a rare variant that classically presents as a plaque in
the gluteal cleft that mimics a dermatitis and microscopically contains numerous
cornoid lamellae. We report a 28 year-old man with a two-month history of scrotal
burning and itching associated with the development of multiple thin red plaques
with distinct elevated borders and a pebbled appearance. Histopathological
examination revealed psoriasiform acanthosis and multiple cornoid lamellae, which
is consistent with a diagnosis of porokeratosis ptychotropica. Our patient's
presentation may represent a distinct variant with clinical features of verrucous
porokeratosis and histopathological features of porokeratosis ptychotropica which
may suggest that the finding of multiple cornoid lamellae is not unique to
porokeratosis ptychotropica.
PMID- 21899590
TI - Follicular mucinosis in a mycosis fungoides-like hypersensitivity syndrome
induced by oxcarbamazepine.
PMID- 21899591
TI - Persistent pigmented purpuric dermatitis: granulomatous variant.
AB - The persistent pigmented purpuric dermatitides (PPPD) are a spectrum of
dermatologic disorders characterized by petechial and pigmented macules usually
confined to the lower limbs. Their etiology is unknown and several clinical
variants are recognized. At the microscopic level they are characterized by
angiocentric lymphocytic inflammation, red blood cell extravasation and
hemosiderin deposition. A granulomatous variant of the PPPD has recently been
described and to date eleven cases have been reported in the literature. In
contrast to the conventional type, this variant is characterized
histopathologically by ill-defined, non-necrotizing granulomata admixed with the
lymphocytic inflammatory background. Although initially the granulomatous variant
of the PPPD was thought to occur only in Asian patients, this sole racial
predilection has not been substantiated. A tenuous association with
hyperlipidemia has been noted but this requires further study. The principal
importance of recognizing this entity lies in the need to include it in the
histopathological differential diagnosis of granulomatous dermal infiltrates. We
report here an additional patient with the granulomatous variant of PPPD and
elaborate on this entity in the context of existing information in the
literature.
PMID- 21899592
TI - Etanercept-induced cutaneous and pulmonary sarcoid-like granulomas resolving with
adalimumab.
AB - A 59-year-old female with rheumatoid arthritis on etanercept therapy presented
with a 7-cm-large subcutaneous forearm mass. Multiple smaller nodules
subsequently developed on the upper and lower extremities. Except for a new
cough, the patient was systemically well. Biopsy of the mass showed sarcoidal
type granulomatous inflammation with nodular aggregations of non-necrotizing
epithelioid histiocytes in the subcutis. A chest computed tomography (CT) scan
showed mediastinal adenopathy consistent with pulmonary sarcoidosis. Etanercept
was discontinued, and the patient was started on adalimumab for rheumatoid
arthritis control. The cutaneous nodules fully resolved in 6 months with no
additional treatment. A 4-month follow-up CT scan showed significant regression
of mediastinal adenopathy. The patient has since been maintained on adalimumab
therapy for 2 years with no recurrence of sarcoid-like manifestations. Biologic
response modifiers targeting tumor necrosis factor alpha (TNFalpha) are effective
treatments of chronic inflammatory conditions such as rheumatoid arthritis and
psoriasis. TNFalpha represents a major cytokine in granuloma formation, and
TNFalpha inhibitors are sometimes efficacious in the treatment of sarcoidosis.
Paradoxically, there is a small volume of literature implicating TNFalpha
inhibitors in the development of sarcoid-like disease. We present this case to
promote the recognition of TNFalpha inhibitor-induced sarcoidosis and to
illustrate the wide clinicopathologic differential of sarcoidal type granulomas.
PMID- 21899594
TI - Nurse practitioners and physician assistants in Dutch hospitals: their role,
extent of substitution and facilitators and barriers experienced in the
reallocation of tasks.
AB - AIMS: This paper is a report of a study exploring the role of nurse
practitioners and physician assistants, the extent of substitution and the
barriers and facilitators experienced by them as a consequence of substitution in
public hospitals. BACKGROUND: Nurse practitioners and physician assistants are
emerging worldwide. However, despite the large amount of evidence showing the
added value of these professionals, little evidence is available concerning the
role, extent of substitution and facilitators and barriers experienced by them as
a consequence of substitution. METHODS: Interviews were conducted and a
questionnaire was completed by 43 nurse practitioners and 13 physician assistants
employed in public hospitals in the south of the Netherlands in 2007. Results.
Nurse practitioners and physician assistants performed a broad spectrum of tasks,
but differed significantly in the time spent and the kind of tasks performed.
Nurse practitioners spent 25% (10.4 hours; SD = 5.5) and physician assistants 40%
(18.7 hours; SD = 7.6) of their time on medical procedures. They both also
performed new tasks or tasks for which there previously was insufficient
capacity. Many of them experienced policy/organizational, legal, financial or
facility problems in the reallocation of tasks. CONCLUSION: Nurse practitioners
and physician assistants have wide ranging but different responsibilities in
public hospitals. By performing medical procedures and new tasks or tasks for
which there was not enough capacity, they function as substitutes and supplements
for doctors. However, barriers are affecting the extent of substitution. The
challenge ahead is to remove the barriers experienced by nurse practitioners and
physician assistants.
PMID- 21899595
TI - The past, present and future of nursing education in the People's Republic of
China: a discussion paper.
AB - AIM: This article presents a discussion of nursing education development in the
People's Republic of China in its historical, economic and sociopolitical
contexts. BACKGROUND: China has a population of 1.3 billion with about 2.18
million nurses. With the recent surging economic and social development in China,
nursing education has undergone transformation changes in the past two decades.
DATA SOURCES: Online bibliographical databases from 1990 to 2010 were searched
including CINAHL, MEDLINE, Wan Fang Data and Chinese National Knowledge
Infrastructure. Search terms included nursing education, China and development.
METHODS: Thematic analysis and narrative synthesis were used to identify and
report themes from literature. RESULTS: Database searches yielded 1674 papers,
and 34 met the inclusion criteria for review. The standard of nursing education
varies greatly in different parts of China, because of its huge size and
population, with pre-registration programmes offered at the secondary, associate
degree and baccalaureate level. Multi-level nursing education is one of the major
barriers for professional development. There is a need to upgrade the pre
registration education to at least associate degree level. There is also a need
to enhance graduate nursing education at master and doctoral level to prepare
advanced practice nurses, nurse scientists and nursing faculty. conclusion: The
challenges for nursing education development in China are echoed and encountered
in many parts of the world. The experience in China and the lessons learned would
be relevant to developing countries. Nursing in China must continue to develop in
parallel to international trends. Promoting communication and maintaining
international links are important for the global development of nursing practice.
PMID- 21899596
TI - The experiences of women (65-74 years) living with a long-term condition in the
shadow of ageing.
AB - AIMS: This paper reports on a study that explored experiences of women (65-74
years) as they grow older while living with a long-term condition. The phenomenon
of focus was 'ageing with a long-term condition', rather than the experience of
developing a long-term condition after reaching older adulthood. BACKGROUND:
People with long-term conditions are living into older age. There is limited
literature on the nexus of ageing with a long-term condition. It is known that
ageing shapes and is shaped by women's experiences of living with a long-term
condition. METHODS: In this interpretive descriptive study, nine women
participated in a series of three focus groups held in 2007 and 2008. Transcripts
were analysed thematically and participants given the opportunity to respond to
the analysis. FINDINGS: 'In the shadow of ageing' was the overarching theme. The
women reported that although their long-term condition remained the referent
point in their daily lives, for others including health professionals, the focus
was on their appearance and the effects of older age. To overcome the back
grounding of their long-term condition, the women used 'strategies already-in
place'; it became 'just another thing to deal with'. Ageing, however, remained 'a
privilege'. CONCLUSION: If health professionals focus on age and its concomitant
effects, rather than a woman's long-term condition, they are at risk of
delivering inappropriate care. They need to be prepared to advocate for the
special needs of older women who live with a long-term condition and remain
cognizant of the women's resourcefulness and expertise developed over time.
PMID- 21899597
TI - Recurrent aphthous ulcers--a Toll-like receptor-mediated disease?
AB - BACKGROUND: Recurrent aphthous ulcer (RAU) is characterized by acute and painful
inflammatory ulcerations, which heal spontaneously but tend to recur. Many
pathogens have been proposed as causative agents, but none has been consistently
proven. According to our hypothesis, RAU is an autoinflammatory disorder
triggered by pathogen-associated molecular patterns (PAMPs) shared by different
pathogenic and commensal microbes. METHODS: PAMP-reactive Toll-like receptors
(TLRs) were mapped in oral epithelium in healthy controls compared to RAU.
RESULTS: In controls, the superficial epithelium formed a TLR(-), a PAMP non
reactive physical barrier zone, but all TLRs were found deeper in the epithelium,
usually restricted to suprabasal and basal cell layers. In RAU, the epithelial
TLR polarity was lost: TLRs 1, 2, 5, 7, and 8 were found throughout the
epithelium, but also TLRs 4, 6, and 10 extended higher up than normally, whereas
TLR-3 was almost lost in RAU. In RAU lesions, connective tissue stroma was
heavily infiltrated by TLR(+) inflammatory cells. CONCLUSIONS: Normal TLR
architecture prevents inflammatory responses against normal microbes but still
contains a deep TLR(+) , PAMP-reactive dormant defense zone. In RAU, the TLR(+),
PAMP-reactive zone extends to surface or subsurface exposed to microbial PAMPs.
TLR reactivity is further enhanced by recruitment of inflammatory leukocytes
forming a new deep line of defense. The organization of the TLR system in healthy
mucosa and its changes in RAU are compatible with active pathogenic involvement
of TLRs, which together with the typical clinical picture and course suggest that
RAU is a TLR-mediated disease.
PMID- 21899598
TI - Expression and regulation of murine SPINK12, a potential orthologue of human
LEKTI2.
AB - A balanced proteolytic activity in the epidermis is vital to maintain epidermal
homoeostasis and barrier function. Distinct protease-inhibitor systems are
operating in different epidermal layers. In the uppermost layer, the stratum
corneum, kallikrein-like proteases and their inhibitors are responsible for
desquamation of the cornified keratinocytes, thus regulating the integrity of the
epidermal barrier. Following discovery and characterisation of the human
multidomain inhibitor LEKTI (lympho-epithelial Kazal-type-related inhibitor,
encoded by hspink5), several new members of the Kazal-type inhibitor family have
been identified. Here we describe expression and regulation of murine SPINK12, a
potential orthologue of human LEKTI2. Its expression was analysed by RT-PCR and
immunohistochemistry revealing organ-specific pattern with high level of
expression in the epidermis and several epithelia including the stomach, kidney
and uterus. In addition, mSPINK12 expression in the epidermis of skin at
footpads, where stratification is markedly pronounced, was several folds higher
than in the abdominal epidermis. mSPINK12 mRNA levels were not affected by any
cytokines tested while treatment of primary murine keratinocytes with the
combination of calcium and sorbitol resulted in a strong increase in its mRNA. It
appears that mspink12 is especially expressed in the epidermal areas with thick
skin and that its regulation generally responds to differentiation signals.
mrSPINK12 shows an inhibitory activity against murine keratinocyte-derived
trypsin-like proteolytic activity, thus, the protein does appear orthologous to
human LEKTI2 and may play an role in the regulation of epithelial cell functions.
PMID- 21899599
TI - Meta-analysis of studies of a specific delivery mode for a modified-carbohydrate
diet.
AB - BACKGROUND: Obesity is highly prevalent throughout the world. Although modified
carbohydrate diets (MCDs) comprise one popular approach, questions remain about
their utility for weight loss. The objective of the present study was to conduct
a meta-analysis of randomised controlled trials (RCTs) of a specific MCD compared
with various control diets on weight loss. METHODS: Data from four RCTs (three
obtained from the sponsor and one indentified through literature searches) were
included. Intent-to-treat analyses were conducted using multiple imputation to
handle missing data, where possible. Because inter-study heterogeneity was
demonstrated with fixed-effects meta-analysis, a random-effects meta-analysis
also was conducted. RESULTS: When considered separately, all four studies showed
greater reduction in body weight with the MCD compared to control diets at 12
week follow-up; the results at 24 weeks (available for three of the studies) were
not as consistent. Results for body mass index (BMI) were similar. Greater
reductions in waist circumference with the MCD were seen at either time point in
only one study. When fixed-effects meta-analysis was applied, significantly
greater reductions in weight, BMI and waist circumference with the MCD at both 12
weeks (1.66 kg, 0.53 kg m(-2) and 1.02 cm, respectively) and 24 weeks (1.20 kg,
0.43 kg m(-2) and 0.69 cm, respectively) were evident. Random-effects meta
analysis revealed similar results; however, the 24-week difference for a
reduction in waist circumference was no longer statistically significant.
CONCLUSIONS: Meta-analysis of individual RCT results demonstrated consistent
benefits of this MCD compared to control diets on weight loss up to 24 weeks and
waist circumference up to 12 weeks.
PMID- 21899600
TI - SPARC/osteonectin, an endogenous mechanism for targeting albumin to the blood
cerebrospinal fluid interface during brain development.
AB - Specialized populations of choroid plexus epithelial cells have previously been
shown to be responsible for the transfer of individual plasma proteins from blood
to the cerebrospinal fluid (CSF), contributing to their characteristically high
concentrations in CSF of the developing brain. The mechanism of this protein
transfer remains elusive. Using a marsupial, Monodelphis domestica, we
demonstrate that the albumin-binding protein SPARC (osteonectin/BM-40/culture
shock protein) is present in a subset of choroid plexus epithelial cells from its
first appearance, throughout development, and into adulthood. The synthesis of
SPARC by the lateral ventricular plexus was confirmed with real-time PCR. The
expression level of SPARC was higher in plexuses of younger than older animals.
Western blot analysis of the gene product confirmed the quantitative PCR results.
The co-localization of SPARC and albumin shown by immunocytochemistry and its
cellular location indicate that this glycoprotein may act as a recognition site
for albumin. In addition, the numbers of SPARC-immunopositive cells and its
expression were responsive to experimental changes of albumin concentration in
the blood. It is suggested that SPARC may be one of the molecules that govern the
uptake and delivery of proteins from blood to the CSF. The results also confirm
that protein transfer across the blood-CSF barrier is developmentally and
physiologically regulated.
PMID- 21899602
TI - Dissecting mechanisms of reconsolidation: octopamine reveals differences between
appetitive and aversive memories in the crab Chasmagnathus.
AB - Ample evidence suggests that, when reactivated by a reminder, a consolidated
memory may return to a labile state and needs to be stabilized again in order to
persist, a process known as reconsolidation. In a previous study, performed in
the crab Chasmagnathus, we found a dual role for the biogenic amine octopamine
(OA) during memory consolidation. On the one hand, it was necessary for
appetitive memory formation and, on the other, it had a deleterious effect on
aversive memory consolidation. Thus, OA could be a good candidate to dissect the
neurochemical mechanisms of appetitive and aversive reconsolidation. Here, we
initially characterized the reconsolidation of an appetitive memory. Then, we
compared appetitive reconsolidation with its aversive counterpart regarding the
implication of OA in these processes, and contrasted them with previous findings
obtained in the consolidation phase. Our results demonstrate that appetitive
reconsolidation takes place when animals are re-exposed to the training context,
as shown by the amnesic effect of cycloheximide when applied before the reminder.
In addition, the no-reinforcement during the reminder is a necessary condition
for appetitive reconsolidation to occur. Remarkably, appetitive reconsolidation
is neither impaired by OA receptor antagonists nor facilitated by exogenous OA,
whereas aversive reconsolidation can be interfered with by OA administration.
Thus, our results indicate that appetitive reconsolidation does not involve OA
signaling, while aversive reconsolidation is negatively modulated by OA. All in
all, these results could constitute a step towards the identification of
particular features of appetitive and aversive reconsolidation.
PMID- 21899601
TI - Computational modelling of 5-HT receptor-mediated reorganization of the brainstem
respiratory network.
AB - Brainstem respiratory neurons express the glycine alpha(3) receptor (Glyalpha(3)
R), which is a target of modulation by several serotonin (5-HT) receptor
agonists. Application of the 5-HT(1A) receptor (5-HT(1A) R) agonist 8-OH-DPAT
was shown (i) to depress cellular cAMP, leading to dephosphorylation of
Glyalpha(3) R and augmentation of postsynaptic inhibition of neurons expressing
Glyalpha(3) R (Manzke et al., 2010) and (ii) to hyperpolarize respiratory neurons
through 5-HT-activated potassium channels. These processes counteract opioid
induced depression and restore breathing from apnoeas often accompanying
pharmacotherapy of pain. The effect is postulated to rely on the enhanced
Glyalpha(3) R-mediated inhibition of inhibitory neurons causing disinhibition of
their target neurons. To evaluate this proposal and investigate the neural
mechanisms involved, an established computational model of the brainstem
respiratory network (Smith et al., 2007), was extended by (i) incorporating
distinct subpopulations of inhibitory neurons (glycinergic and GABAergic) and
their synaptic interconnections within the Botzinger and pre-Botzinger complexes
and (ii) assigning the 5-HT(1A) R-Glyalpha(3) R complex to some of these
inhibitory neuron types in the network. The modified model was used to simulate
the effects of 8-OH-DPAT on the respiratory pattern and was able to realistically
reproduce a number of experimentally observed responses, including the shift in
the onset of post-inspiratory activity to inspiration and conversion of the
eupnoeic three-phase rhythmic pattern into a two-phase pattern lacking the post
inspiratory phase. The model shows how 5-HT(1A) R activation can produce a
disinhibition of inspiratory neurons, leading to the recovery of respiratory
rhythm from opioid-induced apnoeas.
PMID- 21899603
TI - A retrospective cohort study of dermatological problems observed in paediatric
intensive care unit.
AB - BACKGROUND: Dermatological manifestations are often encountered in paediatric
intensive care units (PICU). Spectrum of dermatological problems that may arise
in critically ill children in intensive care unit remains unknown. OBJECTIVES:
The aim of this study was to find out the burden of dermatological problems and
to describe the proportional distribution of paediatric dermatoses in ICU set-up.
METHODS: In a retrospective cohort study, we analysed all types of paediatric
dermatological conditions manifesting in children admitted to a tertiary level
ICU in South India. RESULTS: During the study period of 25 months, 1180 new cases
were admitted to PICU. A total of 318 children with 361 skin manifestations were
observed. Majority of the skin lesions were minor and were secondary to systemic
disease. Infection was the leading cause of dermatoses in ICU. Dengue infection
was detected in 64% of total cases included in the study. Stevens-Johnson
syndrome was the only primary dermatological condition leading to PICU admission
in the present cohort. CONCLUSIONS: The spectrum and proportional distribution of
skin conditions in children differ from adult ICU-data. Further large-scale
investigations are needed to define the characteristics and distribution of
infections along with other disease conditions leading to ICU-admissions and
mortality among critically ill paediatric patients.
PMID- 21899604
TI - Roles of p53 and p27(Kip1) in the regulation of neurogenesis in the murine adult
subventricular zone.
AB - The tumor suppressor protein p53 (Trp53) and the cell cycle inhibitor p27(Kip1)
(Cdknb1) have both been implicated in regulating proliferation of adult
subventricular zone (aSVZ) cells. We previously reported that genetic ablation of
Trp53 (Trp53-/-) or Cdknb1 (p27(Kip1-/-) ) increased proliferation of cells in
the aSVZ, but differentially affected the number of adult born neuroblasts. We
therefore hypothesized that these molecules might play non-redundant roles. To
test this hypothesis we generated mice lacking both genes (Trp53-/- ;p27(Kip1-/-)
) and analysed the consequences on aSVZ cells and adult neuroblasts.
Proliferation and self-renewal of cultured aSVZ cells were increased in the
double mutants compared with control, but the mice did not develop spontaneous
brain tumors. In contrast, the number of adult-born neuroblasts in the double
mutants was similar to wild-type animals and suggested a complementation of the
p27(Kip1-/-) phenotype due to loss of Trp53. Cellular differences detected in the
aSVZ correlated with cellular changes in the olfactory bulb and behavioral data
on novel odor recognition. The exploration time for new odors was reduced in
p27(Kip1-/-) mice, increased in Trp53-/- mice and normalized in the double Trp53
/- ;p27(Kip1-/-) mutants. At the molecular level, Trp53-/- aSVZ cells were
characterized by higher levels of NeuroD and Math3 and by the ability to generate
neurons more readily. In contrast, p27(Kip1-/-) cells generated fewer neurons,
due to enhanced proteasomal degradation of pro-neural transcription factors.
Together, these results suggest that p27(Kip1) and p53 function non-redundantly
to modulate proliferation and self-renewal of aSVZ cells and antagonistically in
regulating adult neurogenesis.
PMID- 21899605
TI - Change in the balance of excitatory and inhibitory midline fiber crossing as an
explanation for the hopping phenotype in EphA4 knockout mice.
AB - Neuronal networks in the spinal cord termed central pattern generators (CPGs) are
responsible for the generation of rhythmic movements, such as walking. The axon
guidance molecule EphA4 has been suggested to play a role in the configuration of
spinal CPG networks in mammals. In EphA4 knockout (EphA4-KO) mice, the normal
alternating walking pattern is replaced by a rabbit-like hopping gait, which can
be reproduced when locomotor-like activity is induced in the isolated spinal
cord. This hopping phenotype has been explained by an abnormal midline crossing
of ipsilateral axons. Here, we investigated the nature of this overcrossing in
heterozygous EphA4 (EphA4(lacZ/+) ) mice that showed normal alternating gait and
homozygous EphA4 (EphA4(lacZ/lacZ) ) mice with hopping gait. Localized lesions
showed that the hopping phenotype is maintained by fibers crossing in the ventral
commissure. Using transgenic mouse lines in which glutamatergic, GABAergic and
glycinergic neurons are intrinsically labeled, we showed a significant increase
in the number of crossing excitatory beta-galactosidase-positive neurons and a
decrease in the number of inhibitory neurons crossing the midline in
EphA4(lacZ/lacZ) mice compared with EphA4(lacZ/+) mice. These results show that
the hopping phenotype is the result of a change in the balance between excitatory
and inhibitory signals across the midline and that EphA4-positive neurons play an
essential role in the mammalian CPG.
PMID- 21899606
TI - Establishment of diagnostic criteria for feline nonflea-induced hypersensitivity
dermatitis.
AB - Hypersensitivity dermatitides (HD) are commonly seen in cats, and they are
usually caused by environmental, food and/or flea allergens. Affected cats
normally present with one of the following clinical reaction patterns: head and
neck excoriations, usually symmetrical self-induced alopecia, eosinophilic skin
lesions or miliary dermatitis. Importantly, none of these clinical presentations
is considered to be pathognomonic for HD skin diseases, and the diagnosis of HD
is usually based on the exclusion of other pruritic diseases and on a positive
response to therapy. The objectives of this study were to propose sets of
criteria for the diagnosis of nonflea-induced HD (NFHD). We recruited 501 cats
with pruritus and skin lesions and compared clinical parameters between cats with
NFHD (encompassing those with nonflea, nonfood HD and those with food HD), flea
HD and other pruritic conditions. Using simulated annealing techniques, we
established two sets of proposed criteria for the following two different
clinical situations: (i) the diagnosis of NFHD in a population of pruritic cats;
and (ii) the diagnosis of NFHD after exclusion of cats with flea HD. These
criteria sets were associated with good sensitivity and specificity and may be
useful for homogeneity of enrolment in clinical trials and to evaluate the
probability of diagnosis of NFHD in clinical practice. Finally, these criteria
were not useful to differentiate cats with NFHD from those with food HD.
PMID- 21899607
TI - The homologous HD-Zip I transcription factors HaHB1 and AtHB13 confer cold
tolerance via the induction of pathogenesis-related and glucanase proteins.
AB - Plants deal with cold temperatures via different signal transduction pathways.
The HD-Zip I homologous transcription factors HaHB1 from sunflower and AtHB13
from Arabidopsis were identified as playing a key role in such cold response. The
expression patterns of both genes were analyzed indicating an up-regulation by
low temperatures. When these genes were constitutively expressed in Arabidopsis,
the transgenic plants showed similar phenotypes including cell membrane
stabilization under freezing treatments and cold tolerance. An exploratory
transcriptomic analysis of HaHB1 transgenic plants indicated that several
transcripts encoding glucanases and chitinases were induced. Moreover, under
freezing conditions some proteins accumulated in HaHB1 plants apoplasts and these
extracts exerted antifreeze activity in vitro. Three genes encoding two
glucanases and a chitinase were overexpressed in Arabidopsis and these plants
were able to tolerate freezing temperatures. All the obtained transgenic plants
exhibited cell membrane stabilization after a short freezing treatment. Finally,
HaHB1 and AtHB13 were used to transiently transform sunflower and soybean leading
to the up-regulation of HaHB1/AtHB13-target homologues thus indicating the
conservation of cold response pathways. We propose that HaHB1 and AtHB13 are
involved in plant cold tolerance via the induction of proteins able to stabilize
cell membranes and inhibit ice growth.
PMID- 21899609
TI - Informing your patients about their medicines: a daily challenge.
PMID- 21899608
TI - Two glycosyltransferases involved in anthocyanin modification delineated by
transcriptome independent component analysis in Arabidopsis thaliana.
AB - To identify candidate genes involved in Arabidopsis flavonoid biosynthesis, we
applied transcriptome coexpression analysis and independent component analyses
with 1388 microarray data from publicly available databases. Two
glycosyltransferases, UGT79B1 and UGT84A2 were found to cluster with anthocyanin
biosynthetic genes. Anthocyanin was drastically reduced in ugt79b1 knockout
mutants. Recombinant UGT79B1 protein converted cyanidin 3-O-glucoside to cyanidin
3-O-xylosyl(1->2)glucoside. UGT79B1 recognized 3-O-glucosylated
anthocyanidins/flavonols and uridine diphosphate (UDP)-xylose, but not 3,5-O
diglucosylated anthocyanidins, indicating that UGT79B1 encodes anthocyanin 3-O
glucoside: 2''-O-xylosyltransferase. UGT84A2 is known to encode sinapic acid: UDP
glucosyltransferase. In ugt84a2 knockout mutants, a major sinapoylated
anthocyanin was drastically reduced. A comparison of anthocyanin profiles in
ugt84a knockout mutants indicated that UGT84A2 plays a major role in
sinapoylation of anthocyanin, and that other UGT84As contribute the production of
1-O-sinapoylglucose to a lesser extent. These data suggest major routes from
cyanidin 3-O-glucoside to the most highly modified cyanidin in the potential
intricate anthocyanin modification pathways in Arabidopsis.
PMID- 21899610
TI - British pharmacy professionals' beliefs and participation in continuing
professional development: a review of the literature.
AB - OBJECTIVES Continuing professional development (CPD) has potential to be useful
in pharmacy revalidation but past uptake and attitudes to CPD in Great Britain
(GB) need to be mapped. This review examines published literature to chart the
participation and beliefs of pharmacy professionals towards CPD in GB in a decade
that had seen a formal transition from continuing education to CPD. METHODS A
comprehensive review of the published literature was conducted to identify
studies of the uptake of, or attitudes towards, CPD cross different sectors of
pharmacy in GB from 2000 to 2010. KEY FINDINGS Twenty-two studies were included
and analysed, including 13 research papers, six conference papers, two news items
reporting survey outcomes and one commissioned study. Eight barriers to CPD were
identified as: time, financial costs and resource issues, understanding of CPD,
facilitation and support for CPD, motivation and interest in CPD, attitudes
towards compulsory CPD, system constraints, and technical problems. Pharmacy
professionals on the whole agreed with the principle of engaging with CPD but
there was little evidence to suggest widespread and wholehearted acceptance and
uptake of CPD, essential for revalidation. CONCLUSIONS If CPD is to succeed,
people's beliefs and attitudes must be addressed by recognising and modifying
perceived barriers through a combination of regulatory, professional, work
related and personal channels. A number of recommendations are made. Direct
experience of effective CPD in the absence of perceived barriers could impact on
personal development, career development and patient benefit thus strengthening
personal beliefs in the value of CPD in an iterative manner.
PMID- 21899611
TI - Treatment experience of people with obstructive sleep apnoea seeking continuous
positive airways pressure device provision through community pharmacies: a role
for pharmacists?
AB - OBJECTIVES This study aimed to explore the unique experiences of people with
obstructive sleep apnoea (OSA) who source their treatment through community
pharmacies. METHODS A qualitative study employing the phenomenological approach
was used. In-depth semi-structured interviews with a purposive convenience sample
of 20 participants were conducted. Twenty participants were recruited from
community pharmacies offering continuous positive airways pressure (CPAP) device
provision and a teaching hospital in Sydney, Australia. Interviews were digitally
recorded and transcribed verbatim, coded using Nvivo8 software and analysed based
on the 'framework' method. KEY FINDINGS The quality and delivery of information
at diagnosis was reported to have been inappropriate for participants' personal
needs. Many barriers emerged in regards to CPAP use, consistent with current
literature. Participants' self-reported individual styles, coping practices and
health beliefs appeared to be the most influential factors in CPAP uptake and
adherence, regardless of mechanical advancements and environmental support. High
satisfaction was expressed with CPAP obtainment from pharmacy services listing
convenience and good service as notable characteristics. CONCLUSIONS Community
pharmacies have the potential to increase OSA awareness and improve optimal usage
of CPAP. Psychosocial based models of adherence intervention could potentially be
implemented through CPAP providers, including the community pharmacy, to address
some of these factors which impede CPAP adherence.
PMID- 21899612
TI - Pharmacist prescribing in primary care: the views of patients across Great
Britain who had experienced the service.
AB - OBJECTIVE To evaluate the views of patients across primary care settings in
Great Britain who had experienced pharmacist prescribing. METHODS All Royal
Pharmaceutical Society of Great Britain (RPSGB) prescribers (n = 1622) were
invited to participate. Those consenting were asked to invite up to five
consecutive patients who had experienced their prescribing to participate.
Patients were mailed one questionnaire and a reminder. The questionnaire included
five sections: demographics; you and your pharmacist prescriber; you and your
general practitioner; your views and experiences based on your most recent
pharmacist prescriber consultation; and additional views. KEY FINDINGS Of the
482 (29.7%) pharmacists who responded, 92 (19.1%) were eligible to participate,
of whom 49 (53.3%) consented. Of those excluded, 193 (49.5%) were prescribing in
secondary care and 171 (43.8%) were not prescribing. Between September 2009 and
March 2010, 143 patients were recruited. Patient response rate was 73.4% (n =
105/143). Consultation settings were largely general practice (85.7%) or
community pharmacy (11.4%). Attitudes were overwhelmingly positive with the vast
majority agreeing/strongly agreeing that they were totally satisfied with their
consultation and confident that their pharmacist prescribed as safely as their
general practitioner (GP). Pharmacists were considered approachable and thorough,
and most would recommend consulting a pharmacist prescriber. A slightly smaller
majority would prefer to consult their GP if they thought their condition was
getting worse and a small minority felt that there had been insufficient privacy
and time for all their queries to be answered. CONCLUSIONS Patients were
satisfied with, and confident in the skills of, pharmacist prescribers. However,
the sample was small, may be biased and the findings lack generalisability.
PMID- 21899613
TI - Cost analysis for reimbursement-rate setting of hospital pharmaceutical services
in Thailand.
AB - OBJECTIVES This study aimed to develop a hospital pharmaceutical service model,
together with a costing template for unit cost analysis and to analyse unit costs
of hospital pharmaceutical services. METHODS The study was designed on the basis
of activity-based costing. A model of the services was set up by consensus of the
working group. Pharmaceutical services among the study hospitals were
standardised. A Microsoft Excel-based costing template was developed. Finally,
the costing template was used for the unit cost analysis. Sensitivity analysis
and descriptive statistics were used for further analysis. KEY FINDINGS Four
general and seven regional hospitals participated in the study. Hospital
pharmaceutical services were divided into nine supporting activities and nine
patient-service activities. Unit costs of drug dispensing per prescription by
regional hospitals were approximately double that of general hospitals. In
contrast, the cost of aseptic dispensing per item in regional hospitals was lower
than those in general hospitals. In comparing the unit costs from standard labour
costs with those from actual labour costs, both increases and decreases were
found. CONCLUSIONS Costing and the use of Microsoft Excel can be applied to the
development of a costing template for unit cost analysis of hospital
pharmaceutical services. This programme can provide accurate unit costs for
services. The results can be used when considering pharmacy service
reimbursement, efficiency and service development.
PMID- 21899614
TI - Cost analysis for efficient management: diabetes treatment at a public district
hospital in Thailand.
AB - OBJECTIVE The study estimated cost of illness from the provider's perspective
for diabetic patients who received treatment during the fiscal year 2008 at
Waritchaphum Hospital, a 30-bed public district hospital in Sakhon Nakhon
province in northeastern Thailand. METHODS This retrospective, prevalence-based
cost-of-illness study looked at 475 randomly selected diabetic patients,
identified by the World Health Organization's International Classification of
Diseases, 10th revision, codes E10-E14. Data were collected from the hospital
financial records and medical records of each participant and were analysed with
a stepwise multiple regression. KEY FINDINGS The study found that the average
public treatment cost per patient per year was US$94.71 at 2008 prices. Drug cost
was the highest cost component (25% of total cost), followed by inpatient cost
(24%) and outpatient visit cost (17%). A cost forecasting model showed that
length of stay, hospitalization, visits to the provincial hospital, duration of
disease and presence of diabetic complications (e.g. diabetic foot complications
and nephropathy) were the significant predictor variables (adjusted R(2) =
0.689). CONCLUSIONS According to the fitted model, avoiding nephropathy and foot
complications would save US$19 386 and US$39 134 respectively per year. However,
these savings are missed savings for the study year and the study hospital only
and not projected savings, as that would depend on the number of diabetic
patients managed in the year, the ratio of complicated to non-complicated cases
and effectiveness of the prevention programmes. Nonetheless, given the high
avoidable cost associated with complications of diabetes, healthcare providers in
Thailand should focus on initiatives that delay the progression of complications
in diabetic patients.
PMID- 21899615
TI - A qualitative study of physicians' and nurses' experiences of multidisciplinary
collaboration with pharmacists participating at case conferences.
AB - OBJECTIVES Previous studies have revealed a range of drug-related problems for
nursing home and hospital patients. Different attempts to reduce drug-related
problems have been tested. Medication reviews performed by pharmacists and
subsequent presentation of findings at case conferences is one of these methods.
Physicians' and nurses' experiences from multidisciplinary collaboration with
pharmacists have to a lesser degree been investigated. This study aims to
describe how Norwegian physicians and nurses experience collaborating with
pharmacists at case conferences to reduce drug-related problems in elderly
patients. METHODS This was a qualitative interview study using systematic text
condensation. The setting was nursing homes (long-term care) and hospital wards
(gerontology and rheumatology). Four physicians and eight nurses participated and
the main outcome was physicians' and nurses' experiences of multidisciplinary
collaboration with pharmacists. KEY FINDINGS Organizational problems were
experienced including, among others, what professional contribution team members
could expect from pharmacists and what professional role the pharmacist should
have in the multidisciplinary team. Both professions reported that ambiguities as
to when and if the pharmacist was supposed to attend their regular meetings
resulted in some aggravation. On the other hand, the participants valued
contributions from pharmacists with regard to pharmaceutical skills, and felt
that this raised awareness on prescribing quality. CONCLUSIONS Physicians and
nurses valued the pharmacists' services and reported that this collaboration
improved patients' drug therapy. However, before implementing this service in
nursing homes there is a need to make an organizational framework for this
collaboration to support the professional role of the pharmacist.
PMID- 21899616
TI - Severity and probability of harm of medication errors intercepted by an emergency
department pharmacist.
AB - OBJECTIVES The objective of this study was to evaluate the severity and
probability of harm of medication errors (MEs) intercepted by an emergency
department pharmacist. The phases of the medication-use process where MEs were
most likely to be intercepted were determined. METHODS The emergency department
was staffed with a full-time pharmacist during the 7-month study period. The MEs
that were intercepted by the pharmacist were recorded in a database. Each ME in
the database was independently scored for severity and probability of harm by two
pharmacists and one physician investigator who were not involved in the data
collection process. KEY FINDINGS There were 237 ME interceptions by the
pharmacist during the study period. The final classification of MEs by severity
was as follows: minor (n = 42; 18%), significant (n = 160; 67%) and serious (n =
35; 15%). The final classification of MEs by probability of harm was as follows:
none (n = 13; 6%), very low (n = 96; 41%), low (n = 84; 35%), medium (n = 41;
17%) and high (n = 3; 1%). Inter-rater reliability for classification was as
follows: error severity (agreement = 75.5%, kappa = 0.35) and probability of harm
(agreement = 76.8%, kappa = 0.42). The MEs were most likely to be intercepted
during the prescribing phase of the medication-use process (n = 236; 90.1%).
CONCLUSIONS A high proportion of MEs intercepted by the emergency department
pharmacist are considered to be significant or serious. However, a smaller
percentage of these errors are likely to result in patient harm.
PMID- 21899617
TI - Characteristics of clinical decision support alert overrides in an electronic
prescribing system at a tertiary care paediatric hospital.
AB - CONTEXT Electronic prescribing (EP) systems are advocated as a solution to
minimise medication errors. Benefits in patient safety are often as a result of
some clinical decision support (CDS) within the system. OBJECTIVE To study the
characteristics of the CDS alerts generated within a commercially available EP
system in use at a tertiary care paediatric hospital in the UK. METHODS
Retrospective review and characterisation of CDS alerts recorded in the EP system
over 1 year. RESULTS A total of 16 182 conflict alerts were recorded when
ordering 26 836 items, of which 3507 (13 alerts per 100 prescription orders (95%
confidence interval, 12.8 to 13.6)) were visible to the user. Eighty nine percent
(3119/3507) of all visible alerts were overridden by the user at point of
prescribing. Drug-allergy conflict alerts were the most accepted, and exact drug
duplication alerts the least. CONCLUSION We found a high incidence of alert
override, which is undesirable but consistent with that reported in the
literature. The results suggest that the underlying algorithms for alert
generation in many EP systems are not specific and need to be reviewed.
PMID- 21899618
TI - Pharmacists subjected to disciplinary action: characteristics and risk factors.
AB - OBJECTIVE To establish whether there are any characteristics of pharmacists that
predict their likelihood of being subjected to disciplinary action. METHODS The
setting was the Royal Pharmaceutical Society of Great Britain's Disciplinary
Committee. One hundred and seventeen pharmacists, all of whom had been referred
to the Disciplinary Committee, were matched with a quota sample of 580
pharmacists who had not been subjected to disciplinary action but that matched
the disciplined pharmacists on a set of demographic factors (gender, country of
residence, year of registration). Frequency analysis and regression analysis were
used to compare the two groups of pharmacists in terms of sector of work,
ethnicity, age and country of training. Descriptive statistics were also obtained
from the disciplined pharmacists to further explore characteristics of
disciplinary cases and those pharmacists who undergo them. KEY FINDINGS While a
number of characteristics appeared to increase the likelihood of a pharmacist
being referred to the disciplinary committee, only one of these - working in a
community pharmacy - was statistically significant. Professional misconduct
accounted for a greater proportion of referrals than did clinical malpractice,
and approximately one-fifth of pharmacists who went before the Disciplinary
Committee had previously been disciplined by the Society. CONCLUSIONS This study
provides initial evidence of pharmacist characteristics that are associated with
an increased risk of being disciplined, based upon the data currently available.
It is recommended that follow-up work is carried out using a more extensive
dataset in order to confirm the statistical trends identified here.
PMID- 21899619
TI - Assessment of levels of moral reasoning in pharmacy students at different stages
of the undergraduate curriculum.
AB - OBJECTIVES The principal aim of this study was to demonstrate the maturation of
moral reasoning among pharmacy students as they progress through a 4-year degree
programme at a school of pharmacy in the UK. METHODS The moral reasoning of 332
students from across all 4 years of the Master of Pharmacy (M Pharm) degree,
together with 13 faculty members, was assessed using Rest's Defining Issues Test
over a 1-week period. KEY FINDINGS The results demonstrate clear increase moral
reasoning scores through all years of study and on into membership of the
faculty. This trend was highly significant (t = 7.09; df = 1; P < 0.001). The
coefficient of variability (R(2) ) was calculated as 0.92 using linear least
squares regression. There was a wide range of moral reasoning scores at each
educational level: the top 18% of the Level 1 cohort achieved higher scores than
the bottom 11% of faculty. CONCLUSIONS The students at a school of pharmacy at a
UK university experienced significant moral growth throughout the course of their
studies. A further, longitudinal study of the cohort, which attempts to correlate
the moral development with age, sex, level of education and mode of delivery of
moral education is warranted.
PMID- 21899620
TI - Using probability modelling and genetic parentage assignment to test the role of
local mate availability in mating system variation.
AB - The formal testing of mating system theories with empirical data is important for
evaluating the relative importance of different processes in shaping mating
systems in wild populations. Here, we present a generally applicable probability
modelling framework to test the role of local mate availability in determining a
population's level of genetic monogamy. We provide a significance test for
detecting departures in observed mating patterns from model expectations based on
mate availability alone, allowing the presence and direction of behavioural
effects to be inferred. The assessment of mate availability can be flexible and
in this study it was based on population density, sex ratio and spatial
arrangement. This approach provides a useful tool for (1) isolating the effect of
mate availability in variable mating systems and (2) in combination with genetic
parentage analyses, gaining insights into the nature of mating behaviours in
elusive species. To illustrate this modelling approach, we have applied it to
investigate the variable mating system of the mountain brushtail possum
(Trichosurus cunninghami) and compared the model expectations with the outcomes
of genetic parentage analysis over an 18-year study. The observed level of
monogamy was higher than predicted under the model. Thus, behavioural traits,
such as mate guarding or selective mate choice, may increase the population level
of monogamy. We show that combining genetic parentage data with probability
modelling can facilitate an improved understanding of the complex interactions
between behavioural adaptations and demographic dynamics in driving mating system
variation.
PMID- 21899621
TI - Recent long-distance transgene flow into wild populations conforms to historical
patterns of gene flow in cotton (Gossypium hirsutum) at its centre of origin.
AB - Over 95% of the currently cultivated cotton was domesticated from Gossypium
hirsutum, which originated and diversified in Mexico. Demographic and genetic
studies of this species at its centre of origin and diversification are lacking,
although they are critical for cotton conservation and breeding. We investigated
the actual and potential distribution of wild cotton populations, as well as the
contribution of historical and recent gene flow in shaping cotton genetic
diversity and structure. We evaluated historical gene flow using chloroplast
microsatellites and recent gene flow through the assessment of transgene presence
in wild cotton populations, exploiting the fact that genetically modified cotton
has been planted in the North of Mexico since 1996. Assessment of geographic
structure through Bayesian spatial analysis, BAPS and Genetic Algorithm for Rule
set Production (GARP), suggests that G. hirsutum seems to conform to a
metapopulation scheme, with eight distinct metapopulations. Despite evidence for
long-distance gene flow, genetic variation among the metapopulations of G.
hirsutum is high (He = 0.894 +/- 0.01). We identified 46 different haplotypes,
78% of which are unique to a particular metapopulation, in contrast to a single
haplotype detected in cotton cultivars. Recent gene flow was also detected (m =
66/270 = 0.24), with four out of eight metapopulations having transgenes. We
discuss the implications of the data presented here with respect to the
conservation and future breeding of cotton populations and genetic diversity at
its centre of crop origin.
PMID- 21899622
TI - Opportunities and challenges facing the future global nursing and midwifery
workforce.
PMID- 21899623
TI - Assessing the relationships between nurse working conditions and patient
outcomes: systematic literature review.
AB - AIM: The purpose of the study was to systematically evaluate nurse working
conditions and to review the literature dealing with their association with
patient outcomes. BACKGROUND: Improving nurse working conditions is essential to
address nursing shortages. Although general reviews of the literature support the
positive link between working conditions and patient outcomes, definitive
evidence has been lacking. EVALUATION: A search of six electronic bibliographic
databases was conducted for the primary research published in English, from
January 2000 to October 2009. KEY ISSUES: The concepts of working conditions were
categorized into 10 groups of working conditions. A total of 69 relationships
between working conditions and patient outcomes were examined. CONCLUSIONS:
Increased attention has been drawn to nurse working conditions resulting from
nursing shortages. The findings of this review suggested that the evidence
supporting positive relationships between working conditions and patient outcomes
is inconclusive. Further studies of a longitudinal and interventional nature in
various settings are needed to advance knowledge of the complex contextual and
multivariate influences among nurse working conditions and patient outcomes.
IMPLICATIONS FOR NURSING MANAGEMENT: Efforts to improve working conditions should
be made in various health-care work settings to ensure patient safety and improve
patient quality of outcomes.
PMID- 21899624
TI - The relationship between nurses' stress and nurse staffing factors in a hospital
setting.
AB - AIM: The present study objective was to examine the relationships between nurses'
stress and nurse staffing in a hospital setting. BACKGROUND: Nurses have many job
related stressors. There is a lack of research exploring the relationship between
job stressors to staffing and day of week worked. METHODS: The sample consisted
of registered nurses (RNs) (N = 197) providing direct patient care. Data were
collected via electronic software. Variables included demographic information,
work setting information, Perceived Stress Scale (PSS) scores and Nursing Stress
Scale (NSS) scores. Data analysis included descriptive statistics, correlations
and analysis of variance. RESULTS: Among respondents, a positive correlation (r =
0.363, P 0.05) was found between the NSS and PSS and between age and patient
work load (i.e. number of patients the nurse cared for) (r = 0.218, P < 0.05). A
negative correlation (r = -0.142, P < 0.05) existed between NSS and respondents'
age. Analysis of variance showed that younger nurses had more nursing stress than
older nurses (F(1,195) = 4.283, P < 0.05). CONCLUSIONS: Age, patient work load
and day of the week worked are important factors affecting nurses' stress levels.
IMPLICATIONS FOR NURSING MANAGEMEN: Nurse managers should consider scheduling as
a potential stressor for nurses.
PMID- 21899625
TI - Role stress amongst nurses at the workplace: concept analysis.
AB - AIM: The present study explicates the concept of role stress amongst nurses
through an analysis adopted from Walker and Avant; Strategies for Theory
Construction in Nursing, 4th edn, Prentice Hall, New Jersey, NY. BACKGROUND: Role
stress has become a significant problem amongst nurses and has created much
distress leading to burnout among many in the nursing profession. It is
significant to analyse the concept of role stress and its relative attributes and
consequences, in order to recognize the necessary antecedents needed to create
better conditions for nurses at the workplace. EVALUATION: A modified method
developed by Walker and Avant was used for this concept analysis. KEY ISSUES: A
model representing the concept of role stress was developed through careful
consideration of the attributes, consequences, antecedents and empirical
referents of role stress. CONCLUSION: The concept analysis of role stress among
nurses at the workplace recognized the vulnerability of the nursing discipline
towards burnout and distress in general. IMPLICATIONS FOR NURSING MANAGEMENT: It
is critical to be aware of the current state of health care and note the
increased workload created for nurses. Nurses are at a greater vulnerability for
role stress, making it imperative for health care organizations to critically
evaluate and establish preventative measures for the concept of role stress.
PMID- 21899626
TI - Working life and stress symptoms among caregivers in elderly care with formal and
no formal competence.
AB - AIM: The aim of the present study was to describe and compare caregivers with
formal and no formal competence on job satisfaction, psychosomatic health,
structural and psychological empowerment and perceptions of care quality. A
further aim was to study relationships among study variables. METHODS: A
convenience sample of 572 caregivers in elderly care participated. RESULTS:
Caregivers with no formal competence perceived higher workload, more
communication obstacles, less competence, poorer sleep and more stress symptoms
than did their colleagues. Linear regression analyses revealed that the factor
self-determination was an explanatory variable of stress levels among caregivers
with no formal competence, and self-determination and impact among caregivers
with formal competence. Linear regression analysis revealed that different
dimensions in structural and psychological empowerment explained the variance in
staff job satisfaction, perceived stress symptoms and quality of care.
CONCLUSIONS: No formal competence seems to be a risk factor for psychosomatic
health problems. IMPLICATIONS FOR NURSING MANAGEMENT: Managers need to have a
strategic plan for how to create a working environment for caregivers with no
formal competence. Caregivers' self-determination seems to be important for
stress symptoms. Meaning, self-determination, impact and opportunities appear to
be important for job satisfaction and competence, opportunities, resources and
formal power for quality of care.
PMID- 21899627
TI - Bullying and employee turnover among healthcare workers: a three-wave prospective
study.
AB - AIM: To investigate the risk of turnover among targets of bullying at work.
BACKGROUND: Exposure to bullying seems to leave targets with intentions to leave
their workplaces. However, it is uncertain to what extent they actually leave.
METHOD: Data were collected by questionnaires in a three-wave study among Danish
healthcare workers at the time of graduation (T1 ), 1 (T2 ) and 2 years (T3 )
later. We followed 2154 respondents who participated in all three waves. RESULTS:
The first year after graduation, 9.2% reported being bullied at work, 1.8%
frequently. Follow-up analyses showed a strong relationship between exposure to
bullying at T2 and turnover at T3 [odds ratio (OR) for frequently bullied = 3.1].
The inclusion of push factors such as low social support and low sense of
community, intention to leave and ill health did not change the relation between
bullying and turnover significantly. Three reasons for quitting stood out among
reasons given by the bullied respondents: poor leadership, being exposed to
negative behaviour and health problems. CONCLUSION: Bullying may be costly to an
organization in terms of staff turnover and subsequent recruitment and training
of replacements. IMPACT FOR NURSING MANAGEMENT: Managers should regularly monitor
the psychosocial work environment. To prevent bullying local policies and
procedures should be developed, implemented and evaluated.
PMID- 21899628
TI - One-year prospective study on the effect of workplace bullying on long-term
sickness absence.
AB - AIMS: To examine the effect of workplace bullying on long-term sickness absence
using a prospective design. BACKGROUND: Although bullying has been identified as
a serious problem in the health care sector, little attention has been given to
the possible effect of workplace bullying on long-term sickness absence and its
implications. METHODS: The sample consisted of 9949 employees (78.1% response
rate) working in the elderly-care sector in 36 Danish municipalities. Long-term
sickness absence was measured by linking a survey on work and health to the
national register on social transfer payments. RESULTS: Among the 1171 employees
that were bullied at work in the past 12 months, 1.8% were frequently bullied and
7.3% were occasionally bullied. The risk of long-term sickness absence was higher
for those frequently bullied even after adjusting for psychosocial work
characteristics [rate ratio (RR) = 1.92, confidence interval (CI): 1.29-2.84; P <
0.05]. CONCLUSION: This is the first prospective study that explored the effect
of both frequent and occasional bullying on long-term sickness absence among
health care employees. The effect of frequent bullying on long-term sickness
absence was independent of the psychosocial work characteristics. IMPLICATIONS
FOR NURSING MANAGEMENT: Workplace bullying might impact negatively the quality of
care and patients safety.
PMID- 21899629
TI - Occupational stress, job satisfaction and job performance among hospital nurses
in Kampala, Uganda.
AB - AIMS: To assess levels of occupational stress, job satisfaction and job
performance among hospital nurses in Kampala, Uganda; and how they are influenced
by work and personal characteristics. BACKGROUND: Occupational stress is reported
to affect job satisfaction and job performance among nurses, thus compromising
nursing care and placing patients' lives at risk. Although these factors have
been studied extensively in the US and Europe, there was a need to explore them
from the Ugandan perspective. METHODS: A correlational study was conducted with
333 nurses from four hospitals in Kampala, Uganda. A questionnaire measuring
occupational stress, job satisfaction and job performance was used. Data were
analysed using descriptive statistics and anova. RESULTS: There were significant
differences in levels of occupational stress, job satisfaction and job
performance between public and private not-for-profit hospitals, nursing
experience and number of children. CONCLUSIONS: Organizational differences
between public and private not-for-profit hospitals influence the study
variables. IMPLICATIONS FOR NURSING MANAGEMENT: On-the-job training for nurse
managers in human resource management to increase understanding and advocacy for
organizational support policies was recommended. Research to identify
organizational, family or social factors which contribute to reduction of
perceived occupational stress and increase job satisfaction and job performance
was recommended.
PMID- 21899630
TI - Relations among depression, self-efficacy and optimism in a sample of nurses in
Taiwan.
AB - AIMS: The present study investigated the level of depression among hospital
nurses, to examine personality contributions to depression and to offer managers
relevant organizational strategies to reduce levels of depression. BACKGROUND:
The World Health Organization's Global Burden of Disease Study estimates that
major depression is the leading cause of disability among women in the world
today. It is surprising that there is a relative dearth of research investigating
depression among nursing staff. METHOD: A cross-sectional survey of 314 staff
nurses in a general hospital in Taiwan. Participants completed a set of
questionnaires and a demographic information form. A number of statistical
methods were used including descriptive statistics, product-moment correlations
and multiple regression analysis. RESULTS: In all, 52.5% of nurses reported mild
to-moderate depressive symptoms. Self-efficacy and optimism were significant
buffers against depression. CONCLUSIONS: The results of the present study confirm
the importance of self-efficacy and optimism. Nurses with positive evaluation and
expectation towards their self and others tend to report lower depression levels.
IMPLICATIONS FOR NURSING MANAGEMENT: The results of the present study indicate
that there is an immediate need to pay further attention to nurses' depression
issues. It is therefore suggested that Nursing Managers take an empowering
approach to strengthen nurses' self-efficacy and optimism levels to prevent
depression in this profession.
PMID- 21899631
TI - Changing the model of care delivery: nurses' perceptions of job satisfaction and
care effectiveness.
AB - AIM: To examine nurses' perceptions of job satisfaction, empowerment, and care
effectiveness following a change from team to a modified total patient care (TPC)
delivery model. BACKGROUND: Empirical data related to TPC is limited and
inconclusive. Similarly, evidence demonstrating nurses' experience with change
and restructuring is limited. METHOD: A mixed method, longitudinal, descriptive
design was used. Registered nurses and licenced practical nurses in two acute
care nursing units completed quantitative and qualitative surveys. Lewin's change
theory provided the framework for the study. RESULTS: No significant change in
job satisfaction was observed; however, it was less than optimal at all three
time-periods. Nurses were committed to their jobs but relatively dissatisfied
with their input into the goals and processes of the organization. Client care
was perceived to be more effective under TPC. CONCLUSION: Job satisfaction
remained consistent following the transition to TPC. However, nurses perceived
that client care within the modified TPC model was more effective than in the
previous model. IMPLICATIONS FOR NURSING MANAGEMENT: Nursing administration must
work collaboratively with nurses to improve processes in nursing practice that
could enhance nurses' job satisfaction and improve client care delivery.
PMID- 21899632
TI - A review of nursing workforce policies in five European countries: Denmark,
Finland, Ireland, Portugal and United Kingdom*/England.
AB - AIM: Review nursing workforce policies in five European countries: Denmark,
Finland, Ireland, Portugal and the United Kingdom*. BACKGROUND: Imbalances in
registered nurse (RN) supply and demand is a global, significant and recurring
issue that impacts on healthcare systems, organizations, staff and patients.
METHOD: Policy Review using resources located by a systematic search of relevant
healthcare databases and policies in Danish, English, Finnish and Portuguese over
the time period 2003-2007. Content analysis was used to identify themes and
compare policies. RESULTS: Common nursing workforce policy themes were identified
across the five countries: (1) improving retention through effective human
resource management, improving the practice environment and nurses' working lives
and (2) improving recruitment through attracting more new recruits and RNs back
to practice, and international recruitment. The present study also identified
methodological issues relating to data quality and quantity. Lack of an agreed
definition and standardized measures of nursing need and shortage makes
comparison and evaluation of policy effectiveness and impact difficult.
IMPLICATIONS FOR NURSING MANAGEMENT: Healthcare systems and organizations need to
identify and implement effective policies that promote the retention of RNs in
the workforce, or risk threats to healthcare system sustainability, as well as
patient care quality and safety.
PMID- 21899633
TI - The management of poor performance in nursing and midwifery: a case for concern.
AB - AIM(S): To examine the evidence of how poorly performing nurses and midwives are
managed in the UK National Health Service (NHS). BACKGROUND: Nurses and midwives
form the largest clinical group in the NHS. There is little evidence, however,
about poor performance and its management in nursing and midwifery literature.
METHOD(S): The present study comprised a literature search, analysis of recent
Nursing and Midwifery Council (NMC) data and observation at NMC fitness to
practice hearings. RESULT: Nurses and midwives are the clinical groups most
likely to be suspended in the NHS; Trusts do not report data on suspensions
therefore no data exist on numbers, reasons for suspensions, managerial
processes, gender, area of work, or ethnicity of those suspended; the few major
research projects identify variable management practices, the significant
financial cost to the NHS and the personal cost to those suspended; there is
evidence that inexperienced, poorly trained, or poorly supported managers use
suspension inappropriately. Our observation supported this. CONCLUSION(S): There
is a need for robust data gathering and research in the field of NHS managerial
practice. IMPLICATIONS FOR NURSING MANAGEMENT: Managers should refrain from
adopting punitive forms of performance management. Frontline staff and management
need better training and support for dealing with poor performance.
PMID- 21899634
TI - Implementation of a multi-professional standardized care plan in electronic
health records for the care of stroke patients.
AB - AIMS: To compare staff opinions about standardized care plans and self-reported
habits with regard to documentation, and their perceived knowledge about the
evidence-based guidelines in stroke care before and after implementation of an
evidence-based-standardized care plan (EB-SCP) and quality standard for stroke
care. The aim was also to describe staff opinions about, and their use of, the
implemented EB-SCP. BACKGROUND: To facilitate evidence-based practice (EBP), a
multi-professional EB-SCP and quality standard for stroke care was implemented in
the electronic health record (EHR). METHOD: Quantitative, descriptive and
comparative, based on questionnaires completed before and after implementation.
RESULTS: Perceived knowledge about evidence-based guidelines in stroke care
increased after implementation of the EB-SCP. The majority agreed that the EB-SCP
is useful and facilitates their work. There was no change between before and
after implementation with regard to opinions about standardized care plans, self
reported documentation habits or time spent on documentation. CONCLUSIONS: An
evidence-based SCP seems to be useful in patient care and improves perceived
knowledge about evidence-based guidelines in stroke care. IMPLICATIONS FOR
NURSING MANAGEMENT: For nursing managers, introduction of evidence-based SCP in
the EHR may improve the prerequisites for promoting high-quality EBP in multi
professional care.
PMID- 21899635
TI - Nurse consultants 10 years on: an insight to the role for nurse managers.
AB - AIMS: To evaluate the Non-Medical Consultant role in the North West of England.
The objective was to identify the current number of Non-Medical Consultants, what
they do and the impact of the role in practice. BACKGROUND: The Non-Medical
Consultant role for nursing and midwifery was introduced in the UK in 2000 to
provide better outcomes for patients by improving service and quality;
strengthening clinical leadership; and providing a new career opportunity to help
retain experienced and expert professionals in practice. DESIGN: A combined
qualitative and quantitative design was adopted. This included desktop review of
previous studies, a survey questionnaire to current consultants, focus group
meetings with Non-Medical Consultants, sponsors and champions. RESULTS: The role
is effective, flexible, responsive and outward facing both internal to the
organization and externally on a local, regional and national basis. A key
challenge for the Non-Medical Consultants was organizational understanding of the
role. The small size of the Non-Medical Consultant workforce can limit individual
organizations experience of establishing and supporting the role. CONCLUSION:
Effective Non-Medical Consultants lead, drive and support quality improvement,
increased productivity and service effectiveness. Other impacts include sharing
and promoting best practice with colleagues, income generation and financial
savings through service redesign and/or staff skill mix changes. IMPLICATIONS FOR
NURSING MANAGEMENT: Managerial issues identified may assist Nurse Managers
seeking to introduce new consultant roles and/or support, and retain existing
consultants to reach their full potential.
PMID- 21899636
TI - Higher in vitro resistance to oxidative stress in extra-pair offspring.
AB - Oxidative stress is considered to act as a universal physiological constraint in
life-history evolution of animals. This should be of interest for extra-pair
paternity behaviour, and we tested here the prediction that offspring arising
from extra-pair matings of female great tits show higher resistance to oxidative
stress than within-pair offspring. Resistance to oxidative stress, measured as
the whole blood resistance to a controlled free-radical attack, was significantly
higher for extra-pair offspring as predicted although these were not heavier or
in better body condition than within-pair offspring. Since resistance to
oxidative stress has been suggested to enhance survival and reproductive rates,
extra-pair offspring with superior resistance to oxidative stress, be it through
maternal effects or paternal inheritance, may achieve higher fitness and thus
provide significant indirect fitness benefits to their mothers. In addition,
because oxidative stress affects colour signals and sperm traits, females may
also gain fitness benefits by producing sons that are more attractive (sexy-sons
hypothesis) and have sperm of superior quality (sexy-sperm hypothesis).
Heritability of resistance to oxidative stress as well as maternal effects may
both act as proximate mechanisms for the observed result. Disentangling these two
mechanisms would require an experimental approach. Future long-term studies
should also aim at experimentally testing whether higher resistance to oxidative
stress of EP nestlings indeed translates into fitness benefits to females.
PMID- 21899637
TI - The role of mobility for the emergence of diversity in victim-exploiter systems.
AB - Theoretical and empirical studies indicate that exploitation is a possible driver
of exploiter and victim diversification. However, there are many factors which
could promote and limit this diversification process. Using a spatially explicit
individual-based model, where an exploiter's success depends on matching between
its own and a victim's continuous trait, we simulate local communities of victims
and exploiters. We investigate how exploiter mobility (searching ability and
movement strategies) can influence diversification of victims. We find that if
victim traits are under intermediate intensity of stabilizing selection,
disruptive selection exerted by exploiters can indeed lead to diversification in
victim population and the victim trait distribution can split into two or more
groups. Searching ability and movement strategy of exploiters (local vs. global
movement) play a role in determining the number of victim trait groups emerging.
Moreover, they affect the proportion of infected victims and the formation of
spatial patterns in the victim trait distribution. In addition, with a high
searching ability, exploiters with global movement drive victims to be more
diverse than exploiters with local movement.
PMID- 21899638
TI - Diversification in temporally heterogeneous environments: effect of the grain in
experimental bacterial populations.
AB - Although theory established the necessary conditions for diversification in
temporally heterogeneous environments, empirical evidence remains controversial.
One possible explanation is the difficulty of designing experiments including the
relevant range of temporal grains and the appropriate environmental trade-offs.
Here, we experimentally explore the impact of the grain on the diversification of
the bacterium Pseudomonas fluorescens SBW25 in a temporally fluctuating
environment by including 20 different pairs of environments and four temporal
grains. In general, higher levels of diversity were observed at intermediate
temporal grains. This resulted in part from the enhanced capacity of disruptive
selection to generate negative genotypic correlations in performance at
intermediate grains. However, the evolution of reciprocal specialization was an
uncommon outcome. Although the temporal heterogeneity is in theory less powerful
than the spatial heterogeneity to generate and maintain the diversity, our
results show that diversification under temporal heterogeneity is possible
provided appropriate environmental grains.
PMID- 21899639
TI - Monitoring for and preventing the long-term sequelae of bariatric surgery.
AB - PURPOSE: To present a case study of a patient with multiple comorbid diseases who
undergoes bariatric surgery. DATA SOURCES: Recent clinical and research articles,
bariatric professional society guidelines, and government sources were culled to
provide recommendations for the care of the person who chooses bariatric surgery
as the treatment for the comorbid conditions of obesity, type 2 diabetes,
obstructive sleep apnea, hypertension, and hyperlipidemia. CONCLUSIONS: As
surgical management of obesity becomes more prevalent in an attempt to improve
health-related quality of life, reduce mortality, and address the comorbidities
that are prevalent in this population, nurse practitioners (NPs) need to
understand what long-term management these patients will require. IMPLICATIONS
FOR PRACTICE: NPs are primary care providers for patients with chronic diseases.
It is likely that they will make referrals for this surgery and follow the
patient after the procedure at some point. Knowledge of what the procedures
involve, what changes to expect in the comorbid conditions, and what long-term
monitoring and treatment should take place in the care of these patients will
provide these patients with optimal care.
PMID- 21899640
TI - Guidance of pharmacotherapy in a complex psychiatric case by CYP450 DNA typing.
AB - PURPOSE: To illustrate the utility of CYP450 genotyping to guide clinical
psychopharmacological treatment decisions and minimize or avoid harmful and
costly adverse drug reactions (ADRs). DATA SOURCES: DNA was extracted from a
whole blood sample from the case study subject and tested for CYP450 gene
polymorphisms in the CLIA certified Laboratory of Personalized Health at Genomas,
Inc. Clinical data were obtained from patient records and clinician observations.
CONCLUSIONS: We present a case in which the ascertainment of multiple CYP450
isoenzyme deficiencies resulted in a dramatic change in psychotropic treatment
approach. Shortly after making these adjustments, the patient saw a significant
improvement in most of her debilitating psychiatric symptoms. IMPLICATIONS FOR
PRACTICE: In complex cases, CYP450 DNA testing can guide pharmacotherapy by
exposing innate hepatic metabolic deficiencies as a result of DNA polymorphism.
In such cases, clinicians can favor treatments that target functional isoenzyme
pathways rather than deficient or null pathways thus leading to decreased risk of
ADRs and improved patient response.
PMID- 21899641
TI - Management of amyotrophic lateral sclerosis (ALS) by the family nurse
practitioner: a timeline for anticipated referrals.
AB - PURPOSE: This article reviews characteristics of amyotrophic lateral sclerosis
(ALS) and appropriate timing of referrals by the nurse practitioner (NP). DATA
SOURCES: Selected research and clinical articles. CONCLUSION: Management of
patients with ALS by the NP requires anticipation of needed referrals based on
symptom assessment and knowledge of the common timeline of ALS progression. Close
collaboration with specialists such as neurologists, pulmonologists, and a
palliative care team provides patients and families with much needed support and
improves outcomes. IMPLICATIONS FOR PRACTICE: Anticipating and initiating
appropriate and timely referrals for patients with ALS may improve quality of
life for patients with this devastating condition.
PMID- 21899642
TI - Genetic disparities in the development of type 2 diabetes among African
Americans.
AB - PURPOSE: The purpose of this paper is to discover whether biological and genetic
differences play an important role in the pathogenesis of developing type 2
diabetes in the African-American population DATA SOURCES: Review of original
studies and meta-analyses from Medline, PubMed, CINAHL, Scopus CONCLUSION: It is
now well established that the development of type 2 diabetes results from the
interaction between the individuals' biological and genetic makeup and their
environment. Even though some genetic variants have been found, the full genetic
landscape of type 2 diabetes, especially among African-Americans, is not yet
discovered. Further researches or studies on pathophysiology and genetic
susceptibility to diabetes may suggest new therapeutic targets for the treatment
and prevention of insulin resistance. IMPLICATIONS FOR PRACTICE: Considering
genetics as a potential cause of type 2 diabetes may suggest new therapeutic
targets for the treatment and prevention, and new detecting tools for the
undiagnosed patients. Nurse practitioners may gain a better understanding of the
particular genetic defect influencing individual health and create the
appropriate care plan to achieve an optimal health outcome for a patient.
PMID- 21899643
TI - Interpreting clinical trial results for moderate-to-severe rheumatoid arthritis:
practical applications for rheumatology healthcare providers.
AB - PURPOSE: To provide a general overview of clinical trials and more specifically
define measurements common to rheumatoid arthritis clinical trials for the
purpose of providing a foundation for rheumatology healthcare providers to
translate clinical trial findings into their clinical practice and enhance their
patient education discussions. DATA SOURCES: English-language publications cited
in the MEDLINE database were used to develop the content of this review article.
CONCLUSIONS: The role of rheumatology healthcare providers has evolved to include
numerous vital functions, such as expanding communication between specialists and
primary care providers, patient education and counseling, assistance with coping
strategies, monitoring response to therapy, and administration of therapy.
Education regarding clinical trial design, rationale, and discussion of endpoints
has not been strongly emphasized for rheumatology healthcare providers who are
increasingly introduced to novel agents and need to assimilate findings from
clinical trials into daily practice. IMPLICATIONS FOR PRACTICE: Familiarity with
the basics of clinical trial design and efficacy endpoints of new rheumatoid
arthritis therapeutics, translation and application of that knowledge into daily
practice, and the ability to explain this information with patients will further
enhance the ability of the rheumatology healthcare provider to optimize care for
their patients with rheumatoid arthritis.
PMID- 21899644
TI - The feasibility and effectiveness of emergency department based hypertension
screening: a systematic review.
AB - PURPOSE: Hypertension is a highly prevalent risk factor for cardiovascular
disease, and its early identification and management results in reductions in
morbidity and mortality. Our objectives were to: (1) determine the extent to
which the emergency department (ED) has been used to screen patients for
undiagnosed hypertension; (2) estimate the incidence of undiagnosed hypertension
in the ED population; (3) identify and describe the programs for ED hypertension
screening; and (4) determine the feasibility of ED-based hypertension screening
programs and the requirements for further study. DATA SOURCES: An online search
of databases (i.e., OVID Search, CINAHL, Scopus, Web of Science), unpublished
sources (i.e., ProQuest Dissertation & Theses and Papers First), and grey
literature (i.e., OpenSIGLE and the New York Academy of Grey Literature) was
conducted. A manual search of the reference lists of relevant studies was also
completed. CONCLUSION: Hypertension screening in the ED is feasible. Individuals
with elevated blood pressure (BP) in the ED should be referred for follow-up.
Further study is needed to develop an ED screening tool that is predictive of
persistently elevated BP in undiagnosed individuals. IMPLICATIONS FOR PRACTICE:
Nurse practitioners in the ED should identify patients with elevated BP, provide
hypertension education, and ensure appropriate intervention and referral.
PMID- 21899645
TI - Perceived barriers to immunizations as identified by Latino mothers.
AB - PURPOSE: Identify low-income Latino mothers' perceived barriers to immunizations.
DATA SOURCES: A 1-month survey was conducted in a Southern California, low-income
primary care clinic. Mothers of Latino children aged 2 months to 18 years were
surveyed in Spanish using a 52-question immunization survey. CONCLUSIONS: Latino
mothers' perception of immunizations and knowledge of up-to-date status greatly
influenced their children's immunization status. In addition, Latino children had
lower immunization rates and encountered more barriers to immunizations than
children in other population groups despite government efforts to provide
vaccines to healthcare providers at no cost to assist low-income children. Most
mothers (92%) believed their children were up-to-date on immunizations; however,
immunization records documented that 42% of the children were current based on
age. The most common barriers reported were that the child was sick at the time
of the visit (51%) and/or transportation problems (39%). IMPLICATIONS FOR
PRACTICE: When working with low-income, uninsured Latinos, nurse practitioners
and other healthcare professionals with low immunization rates in their clinic
must assess the barriers to immunization and implement an action plan.
PMID- 21899646
TI - Commitment strength in motivational interviewing and movement in exercise stage
of change in women.
AB - PURPOSE: Motivational interviewing (MI) increasingly is used in behavior change
counseling. We explored whether commitment verbalized in an initial MI session
predicted subsequent physical activity behavior. DATA SOURCES: As part of a 12
week walking program targeted at rural women, an initial MI session was held that
was audio-recorded. We measured commitment strength during this MI session, stage
of change (SOC) pre- and postintervention, and minutes of physical activity
performed during the 12-week intervention for the 20 women in the intervention.
CONCLUSIONS: Commitment strength was significantly correlated with SOC, and SOC
was significantly correlated with physical activity behavior. However, commitment
strength did not predict physical activity. Further research is needed to
determine if commitment strength predicts subsequent physical activity.
IMPLICATIONS FOR PRACTICE: Understanding the role of the strength of a commitment
statement made during an initial MI session could assist the APN in directing
follow-up MI sessions. This information could be useful in improving the cost
effectiveness and efficiency of conducting MI.
PMID- 21899647
TI - Reversible vasoconstriction syndrome with bilateral basal ganglia hemorrhages.
AB - Reversible cerebral vasoconstriction syndrome (RCVS) is an increasingly
recognized acute cerebrovascular condition that may produce myriad transient and
sustained neurologic deficits as well as a host of radiologic features. We report
the case of a woman with RCVS and a severe clinical syndrome with bilateral basal
ganglia hemorrhages, cerebral infarctions, and marked vascular abnormalities. The
patient made a near complete clinical recovery, representing an extreme and
illustrative form of RCVS.
PMID- 21899648
TI - Breast conservation therapy in the 21st century.
PMID- 21899649
TI - Adjuvant chemotherapy with TAC (docetaxel, doxorubicin, and cyclophosphamide) in
patients with breast cancer--incidence of neutropenic fever outside clinical
trials.
PMID- 21899651
TI - Primary radiotherapy with or without chemotherapy in non-metastatic esophageal
squamous cell carcinoma: a retrospective study.
AB - The purpose of this study was to report the outcome of radio(chemo)therapy in the
curative management of esophageal squamous cell carcinoma (ESCC). We
retrospectively analyzed 163 patients with T1-T4, N0-1, M0 ESCC who were treated
between January 1988 and December 2006 at the Technische Universitat Munchen. One
hundred sixty patients were inoperable due to a poor performance status,
comorbidities or locally advanced unresectable disease. External beam radiation
therapy (EBRT) was performed with (n= 146) or without (n= 17) systemic
chemotherapy. Fifty-four patients received an additional boost with intraluminal
brachytherapy (IBT). Surviving patients were followed for a median of 72 months
(range 10-173 months). The estimated overall survival (OS) at 2 and 5 years was
27 +/- 4% and 11 +/- 3%, respectively. Loco-regional recurrence at the primary
site was observed in 29% of patients (n= 47). The recurrence-free survival (RFS)
at 2 and 5 years was 24 +/- 3% and 9 +/- 2%, respectively. In multivariate
analyses, the ECOG performance status (P= 0.004), 3D conformal (vs conventional)
radiotherapy (P= 0.031) and continuous standard fractionation (vs split-course
radiotherapy, P= 0.048) were associated with a better OS. Simultaneous
chemotherapy (P= 0.49) or IBT (P= 0.31) had no significant impact on survival.
Outcome for patients with ESCC is poor. Despite the very unfavorable patient
selection (poor performance status, high rate of comorbidities, and advanced
disease), long-term survival with radio(chemo)therapy was achieved in about 10%
of patients. The introduction of modern treatment techniques/modalities (3D
conformal planning/ continuous standard fractionation) might be associated with
better outcomes.
PMID- 21899652
TI - Robot-assisted minimally invasive esophagectomy is equivalent to thoracoscopic
minimally invasive esophagectomy.
AB - The use of the surgical robot has been increasing in thoracic surgery. Its three
dimensional view and instruments with surgical wrists may provide advantages over
traditional thoracoscopic techniques. Our initial experience with thoracoscopic
robot-assisted minimally invasive esophagectomy (RAMIE) for esophageal cancer was
compared with our traditional thoracoscopic minimally invasive esophagectomy
(MIE) approach for esophageal cancer. A retrospective review of a prospective
database was performed. From July 2008 to October 2009, 43 patients underwent MIE
resection. Patients who had benign disease and intrathoracic anastomosis were
excluded. Results are presented as mean +/- SD. Significance was set as P < 0.05.
Eleven patients who underwent RAMIE and 26 who underwent MIE were included in the
cohort. No differences in age, sex, race, body mass index, or preoperative
radiotherapy or chemotherapy between the groups were observed. No significant
differences in operative time, blood loss, number of resected lymph nodes,
postoperative complications, days of mechanical ventilation, length of intensive
care unit stay, or length of hospital stay were also observed. In this short-term
study, RAMIE was found to be equivalent to thoracoscopic MIE and did not offer
clear advantages.
PMID- 21899653
TI - Recombinant vascular endothelial growth factor165 gene therapy improves
anastomotic healing in an animal model of ischemic esophagogastrostomy.
AB - Proper anastomotic healing is dependent upon many factors including adequate
blood flow to healing tissue. The aim of this study was to investigate the impact
of vascular endothelial growth factor (VEGF(165)) transfection on anastomotic
healing in an ischemic gastrointestinal anastomosis model. Utilizing an
established opossum model of esophagogastrectomy followed by esophageal-gastric
anastomosis, the gastric fundus was transfected with recombinant human vascular
endothelial growth factor via direct injection of a plasmid-based nonviral
delivery system. Twenty-nine animals were divided into three groups: two
concentrations of VEGF and a control group. Outcomes included VEGF mRNA
transcript levels, neovascularization, tissue blood flow, and anastomotic
bursting pressure. To determine whether local injection resulted in a systemic
effect, distant tissues were evaluated for VEGF transcript levels. Successful
gene transfection was demonstrated by quantitative polymerase chain reaction
analysis of anastomotic tissue, with significantly higher VEGF mRNA expression in
treated animals compared to controls. At the gastric side of the anastomosis,
there was significantly increased neovascularization, blood flow, and bursting
pressure in experimental animals compared to controls. There were no differences
in outcome measures between low- and high-dose VEGF groups; however, the high
dose group demonstrated increased VEGF mRNA expression across the anastomosis.
VEGF production was not increased at distant sites in treated animals. In this
animal model, VEGF gene therapy increased VEGF transcription at a healing
gastrointestinal anastomosis without systemic VEGF upregulation. This treatment
led to improved healing and strength of the acutely ischemic anastomosis. These
findings suggest that VEGF gene therapy has the potential to reduce anastomotic
morbidity and improve surgical outcomes in a wide array of patients.
PMID- 21899654
TI - Combined stent insertion and single high-dose brachytherapy in patients with
advanced esophageal cancer--results of a prospective safety study.
AB - Previous randomized studies comparing the two commonly used palliative treatments
for incurable esophageal cancer, i.e. stent insertion and intraluminal
brachytherapy, have revealed the pros and cons of each therapy. While stent
treatment offers a more prompt effect, brachytherapy results in more long-lasting
relief of dysphagia and a better health-related quality of life (HRQL) in those
living longer. This prospective pilot study aimed to explore the feasibility and
safety of combining these two regimes and incorporating a single high dose of
internal radiation. Patients with newly diagnosed, incurable cancer of the
esophagus and dysphagia were eligible for inclusion, and stent insertion followed
by a single dose (12 Gy) of brachytherapy was performed as a two-stage procedure.
Clinical parameters including HRQL and adverse events were registered at
inclusion, and 1, 2, 3, 6, and 12 months later. Twelve patients (nine males) with
a median age of 73 years (range 54-85) were included. Stent insertion followed by
a single dose of brachytherapy was successfully performed in all but one patient
who was treated with stent only. Relief of dysphagia was achieved in the majority
of cases (10/11, P < 0.05), but HRQL did not improve except for dysphagia-related
items. Only minor adverse events, including chest pain, reflux, and restenosis,
were reported. The median survival time after inclusion was 6.6 months. Our
conclusion is that the combination of stent insertion and single high-dose
brachytherapy seems to be a feasible and safe palliative regime in patients with
advanced esophageal cancer. Randomized trials comparing the efficacy of this
strategy to stent insertion or brachytherapy alone are warranted.
PMID- 21899655
TI - The prevalence of autoimmune disease in patients with esophageal achalasia.
AB - Achalasia is a rare disease of the esophagus that has an unknown etiology.
Genetic, infectious, and autoimmune mechanisms have each been proposed.
Autoimmune diseases often occur in association with one another, either within a
single individual or in a family. There have been separate case reports of
patients with both achalasia and one or more autoimmune diseases, but no study
has yet determined the prevalence of autoimmune diseases in the achalasia
population. This paper aims to compare the prevalence of autoimmune disease in
patients with esophageal achalasia to the general population. We retrospectively
reviewed the charts of 193 achalasia patients who received treatment at Toronto's
University Health Network between January 2000 and May 2010 to identify other
autoimmune diseases and a number of control conditions. We determined the general
population prevalence of autoimmune diseases from published epidemiological
studies. The achalasia sample was, on average, 10-15 years older and had slightly
more men than the control populations. Compared to the general population,
patients with achalasia were 5.4 times more likely to have type I diabetes
mellitus (95% confidence interval [CI] 1.5-19), 8.5 times as likely to have
hypothyroidism (95% CI 5.0-14), 37 times as likely to have Sjogren's syndrome
(95% CI 1.9-205), 43 times as likely to have systemic lupus erythematosus (95% CI
12-154), and 259 times as likely to have uveitis (95% CI 13-1438). Overall,
patients with achalasia were 3.6 times more likely to suffer from any autoimmune
condition (95% CI 2.5-5.3). Our findings are consistent with the impression that
achalasia's etiology has an autoimmune component. Further research is needed to
more conclusively define achalasia as an autoimmune disease.
PMID- 21899656
TI - Spinal blocks.
AB - Every anesthetist should have the expertise to perform lumbar puncture that is
the prerequisite to induce spinal anesthesia. Spinal anesthesia is easy and
effective technique: small amount of local anesthetic injected in the lumbar
cerebrospinal fluid provides highly effective anesthesia, analgesia, and
sympathetic and motor block in the lower part of the body. The main limitation of
spinal anesthesia is a variable and relatively short duration of the block with a
single-injection of local anesthetic. With appropriate use of adjuvant or
combining spinal anesthesia with epidural anesthesia, the analgesic action can be
controlled in case of early recovery of initial block or in patients with
prolonged procedures. Contraindications are rare. Bleeding disorders and any
major dysfunction in coagulation system are rare in children, but spinal
anesthesia should not be used in children with local infection or increased
intracranial pressure. Children with spinal anesthesia may develop the same
adverse effects as has been reported in adults, but in contrast to adults,
cardiovascular deterioration is uncommon in children even with high blocks. Most
children having surgery with spinal anesthesia need sedation, and in these cases,
close monitoring of sufficient respiratory function and protective airway
reflexes is necessary. Postdural puncture headache and transient neurological
symptoms have been reported also in pediatric patients, and thus, guardians
should be provided instructions for follow-up and contact information if symptoms
appear or persist after discharge. Epidural blood patch is effective treatment
for prolonged, severe headache, and nonopioid analgesic is often sufficient for
transient neurological symptoms.
PMID- 21899657
TI - Disease-free survival of patients after surgical resection of non-small cell lung
carcinoma and correlation with excision repair cross-complementation group 1
expression and genotype.
AB - BACKGROUND AND OBJECTIVE: Expression of excision repair cross-complementation
group 1 (ERCC1) is recognized as a favourable prognostic marker in patients who
have undergone surgical resection of non-small cell lung cancer (NSCLC). However,
in patients treated with adjuvant chemotherapy after surgical resection, ERCC1
correlated with poor prognosis. Class III beta tubulin (TUBB3) is also known to
be a predictive marker of the efficacy of treatment with taxanes or vinorelbine.
METHODS: Tumour tissues (n = 363) from patients with surgically resected NSCLC
were analysed retrospectively. Tissue sections were labelled with ERCC1- and
TUBB3-specific antibodies. Using genomic DNA from 262 patients, single nucleotide
polymorphisms of the ERCC1 gene (T19007C and C8092A) were genotyped by PCR
restriction fragment length polymorphism analysis. RESULTS: Only 5.9% of patients
with stage I disease (14/238) and 61.6% of patients with stages II-III disease
(77/125) received adjuvant chemotherapy. Relapses were noted in 30.6% (111) of
patients, and among these, 31 ultimately succumbed. The relapse rate (RR) was
24.8% for stage I disease, and 41.6% for stages II-III disease. The RR was
significantly lower in ERCC1-positive (24.3%) as compared with ERCC1-negative
patients (36.3%, P = 0.014) and was lower in patients with the AA/CA genotype at
the ERCC1 C8092A locus (29.5%) compared with those with the CC genotype (42.1%, P
= 0.034). The median disease-free survival (DFS) time was 62.3 months. DFS was
significantly greater in ERCC1-positive patients (62.3 months) than in ERCC1
negative patients (48.0 months, P = 0.042). In a multivariate analysis, ERCC1
expression and the C8092A polymorphism were independent prognostic factors in
patients with stage I disease who were naive to chemotherapy. CONCLUSIONS: ERCC1
expression and the AA/CA genotype at the C8092A locus were correlated with a good
prognosis in patients who had undergone surgical resection of NSCLC.
PMID- 21899658
TI - Pilot study comparing SPECT perfusion scintigraphy with CT pulmonary angiography
in chronic thromboembolic pulmonary hypertension.
AB - BACKGROUND AND OBJECTIVE: The management of chronic thromboembolic pulmonary
hypertension (CTEPH) is dependent on the extent of pulmonary artery obstruction,
which is usually evaluated by planar perfusion scanning and CT pulmonary
angiography (CTPA). We previously reported that SPECT perfusion scanning is more
sensitive than planar scanning for detecting vascular obstruction in CTEPH. The
purpose of this study is to compare SPECT with CTPA for detecting segmental
pulmonary artery obstruction in CTEPH. METHODS: SPECT and CTPA were carried out
before pulmonary endarterectomy in 12 CTEPH patients. Field experts documented
the anatomical distribution of perfusion defects disclosed by SPECT, the
anatomical distribution of pulmonary arterial filling defects disclosed by CTPA
and the segmental anatomy of the vascular obstructions based on a review of
clinical and pathology records, without knowledge of scan results. RESULTS:
Clinical/pathological evaluation disclosed 140 obstructed (15.5 +/- 2.5 per
patient) and 40 unobstructed lung segments. SPECT scanning identified 87/140
(62%) of the obstructed and 29/40 (72%) of the unobstructed segments. By
comparison, CTPA identified 67/140 (47.8%) of the obstructed and 32/40 (80%) of
the unobstructed segments. Sensitivity for detecting obstructed segments was
significantly higher for SPECT compared with CTPA (62 +/- 4.1% vs 47.8 +/- 2.9%,
respectively; P = 0.03). CONCLUSIONS: SPECT is more sensitive than CTPA for
identifying obstructed segments in this small sample of CTEPH patients. However,
even SPECT under-represents the extent of vascular obstruction from this disease.
PMID- 21899659
TI - Skin delivery of short hairpin RNA of indoleamine 2,3 dioxygenase induces
antitumor immunity against orthotopic and metastatic liver cancer.
AB - Liver cancer is one of the most malignant cancers in the world and has a high
rate of metastasis. Therefore, development of a novel therapy for liver cancer is
a critical issue. Indoleamine 2,3-dioxygenase (IDO) is known as a negative immune
regulator in dendritic cells. Our previous study demonstrated that skin delivery
of IDO short hairpin RNA (shRNA) induced antitumor immunity in subcutaneous
bladder and colon tumor models. Because the immunological environment is quite
different between skin and liver, it is essential to evaluate whether skin
delivery of IDO shRNA is an effective treatment in metastatic and orthotopic
animal tumor models. In the present study, IDO shRNA inhibited tumor growth in
subcutaneous, metastatic and orthotopic liver tumor models. The cytotoxicity of
splenocytes was significantly elevated in mice treated with IDO shRNA in the
orthotopic and metastatic tumor models. Interleukin (IL)-12 and interferon (IFN)
gamma mRNA expression were upregulated while IL-10 was downregulated in the
inguinal lymph nodes, which were collected from IDO shRNA-treated mice. Similar
results were observed in the spleens of mice inoculated with IDO shRNA by gene
gun. The results indicate that skin administration of IDO shRNA is an effective
therapy in orthotopic and metastatic liver cancer animal models. Indoleamine 2,3
dioxygenase shRNA might be a potential new treatment for liver cancer in the
future.
PMID- 21899660
TI - Reduction of nitric oxide level enhances the radiosensitivity of hypoxic non
small cell lung cancer.
AB - The epidermal growth factor receptor (EGFR) tyrosine kinase inhibitor (E-TKI)
resistance has emerged as an important clinical issue. To overcome this
resistance, researchers have examined different modalities, either for use as a
monotherapy or in combination with E-TKI therapy. In the present study, we
investigated whether a decrease in nitric oxide (NO) levels affects the
radiosensitization of non-small cell lung cancer (NSCLC) cell lines. A549 and
H3255 NSCLC cells were examined. They were subjected to hypoxic conditions and
monotherapy, or combined therapy using radiation and N(G) -monomethyl-l-arginine,
monoacetate (LNMMA). Reductions in nitric oxide levels enhanced the
radiosensitivity of both cell lines and significantly reduced the expression of
both hypoxia-inducible factor-1alpha (HIF-1alpha) and EGFR in H3255 cells
compared to A549 cells. Since NO is significantly associated with cell
metabolism, we measured the levels of pyruvate dehydrogenase kinase-1 (PDK-1),
reactive oxygen species, and oxygen and observed that the expression of PDK-1 was
significantly reduced. This reduction was seen simultaneously after the silencing
of HIF-1alpha; however, not following LNMMA treatment. The oxygen concentration
was significantly increased in the treated cells, and their viability decreased
in parallel. Reactive oxygen species were decreased after LNMMA and radiation
treatment. Adding EGFR-TKI to cells with reduced NO levels further suppressed
cell viability when combined with radiation. This study suggests that a reduction
in the NO level might substantially overcome the radioresistance of mutant NSCLC
cells.
PMID- 21899661
TI - Regulation of microRNA expression by hepatocyte growth factor in human head and
neck squamous cell carcinoma.
AB - Hepatocyte growth factor (HGF) is a multifunctional molecule that acts as
mitogen, motogen, and/or morphogen in a variety of cells. MET, a specific
receptor tyrosine kinase for HGF, is upregulated in various tumors including
squamous cell carcinoma of the human head and neck (HNSCC), but how HGF affects
the expression of downstream functional genes has not yet been elucidated in
detail. In the present study, we examined the expression of microRNA (miRNA), non
coding small RNA that regulate cell proliferation and functions by interfering
with the translation of target mRNA, with or without HGF stimulation in HNSCC
cell line HSC3. Among several miRNAs, in which the expression was altered after
HGF stimulation, we focused on miR-200c and miR-27b, both of which were
drastically downregulated after HGF stimulation. Expression of ZEB1, a target
mRNA for miR-200c, was upregulated 3 and 6 h after HGF stimulation, and that of E
cadherin, a downstream molecule of ZEB1, was downregulated 12 h after HGF
stimulation. Expression of ST14/matriptase, an enzyme for extracellular matrix
(ECM) degradation and HGF activation and a target mRNA for miR-27b, was
drastically upregulated in the protein level after HGF stimulation, although it
was not statistically altered in the mRNA level. These results suggest that miR
200c and miR-27b downregulated by HGF might play an important role in epithelial
mesenchymal transition mediated by ZEB1/E-cadherin and ECM degradation and HGF
autoactivation mediated by ST14/matriptase, respectively. Altered expression of
miRNA directly regulated by HGF might contribute enhanced progressive and
invasive characteristics of HNSCC by regulating the translation of HGF-induced
functional molecules.
PMID- 21899662
TI - A single-arm, open-label study of alemtuzumab in treatment-refractory patients
with multiple sclerosis.
AB - BACKGROUND: Alemtuzumab (CD52-specific humanized monoclonal antibody) was found
to be an effective therapy for treatment-naive patients with relapsing-remitting
multiple sclerosis. OBJECTIVE: Evaluate alemtuzumab's effects in patients with
treatment-refractory relapsing-remitting multiple sclerosis. METHODS: Forty-five
relapsing-remitting multiple sclerosis patients who experienced >=2 relapses
during 2 years prior to the study entry whilst receiving interferon therapy were
administered 24 mg i.v. alemtuzumab/day for 5 days at baseline and 3 days 12
months later. Patients received premedication with 1 g i.v. methylprednisolone on
days 1-3 at both times. RESULTS: After 2-year follow-up, the annualized relapse
rate was reduced by 94% compared to pre-treatment levels, from 1.6 (2 years prior
to treatment) to 0.17 for the 2 years following (P<0.0001). Moreover, 86% of
patients showed stable or improved scores on the Expanded Disability Status
Scale, and only 1 experienced an increase in disability lasting >=6 months. The
majority (70-88%) showed stable or improved leg, arm and cognitive function as
measured by the Multiple Sclerosis Functional Composite. Serious adverse events
observed in single patients were transient neutropenia and pneumonia, pulmonary
emboli and deep vein thrombosis. Five patients developed clinical thyroid
disorders but no opportunistic infections or cases of immune thrombocytopenic
purpura were observed. CONCLUSIONS: Alemtuzumab effectively reduced relapse rates
and improved clinical scores in patients with active relapsing-remitting multiple
sclerosis not controlled by interferon therapy.
PMID- 21899663
TI - Outcomes of mentored, grant-funded fellowship training in haemostasis
/thrombosis: findings from a nested case-control survey study.
AB - Successful strategies by which to effectively recruit and retain academic
subspecialists in benign haematology have not been established. To evaluate the
effectiveness of a grant-funded, mentored fellowship with respect to retention
and early career goals in haemostasis/thrombosis, we sought to compare outcomes
for graduates of a grant-funded, mentored fellowship training programme in
haemostasis/thrombosis [the National Hemophilia Foundation (NHF)-Baxter Clinical
Fellowship Award] during conventional haematology/oncology fellowship training
(cases), vs. their training peers who were graduates of conventional
haematology/oncology fellowship training alone (controls), via a nested case
control survey study. Survey response rate was 85% (11/13) for cases and 90%
(9/10) for controls. All respondents had pursued careers in academic
haematology/oncology. Median (range) percent time spent in benign haematology
postfellowship was 98% (70-100%) for cases vs. 0% (0-20%) for controls. Time
spent in research was significantly greater among cases than controls (median 80%
[range: 42-90%] vs. 55% [10-80%], respectively; P = 0.01). By years 3-4
postfellowship, median annual number of peer-reviewed publications was higher for
cases than controls (3.5 vs. 1.0; P = 0.01). Cases were also more successful in
grant funding (including K-awards). These data suggest that a grant-funded,
mentored fellowship training programme in haemostasis/thrombosis may be superior
to conventional haematology/oncology fellowship training alone with respect to
outcomes of retention in clinical care/research, early-career grant funding and
publication productivity.
PMID- 21899664
TI - The influence of prophylactic factor VIII in severe haemophilia A.
AB - Haemophilia A individuals displaying a similar genetic defect have heterogeneous
clinical phenotypes. Our objective was to evaluate the underlying effect of
exogenous factor (f)VIII on tissue factor (Tf)-initiated blood coagulation in
severe haemophilia utilizing both empirical and computational models. We
investigated twenty-five clinically severe haemophilia A patients. All
individuals were on fVIII prophylaxis and had not received fVIII from 0.25 to 4
days prior to phlebotomy. Coagulation was initiated by the addition of Tf to
contact-pathway inhibited whole blood +/- an anti-fVIII antibody. Aliquots were
quenched over 20 min and analyzed for thrombin generation and fibrin formation.
Coagulation factor levels were obtained and used to computationally predict
thrombin generation with fVIII set to either zero or its value at the time of the
draw. As a result of prophylactic fVIII, at the time of the blood draw, the
individuals had fVIII levels that ranged from <1% to 22%. Thrombin generation
(maximum level and rate) in both empirical and computational systems increased as
the level of fVIII increased. FXIII activation rates also increased as the fVIII
level increased. Upon suppression of fVIII, thrombin generation became comparable
in both systems. Plasma composition analysis showed a negative correlation
between bleeding history and computational thrombin generation in the absence of
fVIII. Residual prophylactic fVIII directly causes an increase in thrombin
generation and fibrin cross-linking in individuals with clinically severe
haemophilia A. The combination of each individual's coagulation factors (outside
of fVIII) determine each individual's baseline thrombin potential and may affect
bleeding risk.
PMID- 21899665
TI - A case of three-year-old boy with severe haemophilia A on prophylaxis identified
with a chronic subdural haematoma 2 years after the first episode of acute
subdural bleeding.
PMID- 21899666
TI - Autoantibodies in Sjogren's syndrome patients acutely inhibit muscarinic receptor
function.
AB - OBJECTIVES: Autoantibodies from the sera of Sjogren's syndrome patients (SS IgG)
have been suggested to inhibit muscarinic receptor function. However, the acute
nature of such an inhibitory effect remains controversial. In this study, we
investigated the acute effects of SS IgG on muscarinic receptor function in human
submandibular gland (HSG) cells. METHODS: The effects of autoantibodies on
muscarinic receptor function were studied using microspectrofluorimetry, whole
cell patch clamp, immunofluorescence confocal microscopy, and a co
immunoprecipitation assay. RESULTS: Carbachol (CCh) was found to consistently
increase intracellular calcium concentration ([Ca(2+) ](i) ) and activate K(+)
current in HSG cells. However, pretreatment of the cells with SS IgG for 5 or 30
min significantly attenuated these responses, with a substantially more prominent
effect after 30 min of treatment. Like CCh, adenosine 5'-triphosphate (ATP) also
increased [Ca(2+) ](i) and activated K(+) currents in HSG cells, although
pretreatment with SS IgG did not affect the cellular response to ATP. CCh was
found to reorganize alpha-fodrin in HSG cells in a Ca(2+) -dependent manner.
However, pretreatment with SS IgG prevented the cytoskeletal reorganization of
alpha-fodrin induced by CCh. CONCLUSIONS: SS IgG acutely and reversibly inhibited
muscarinic receptor function, thereby inhibiting the Ca(2+) mobilization
necessary for the activation of K(+) currents and alpha-fodrin reorganization in
HSG cells.
PMID- 21899667
TI - Distinct immunomodulatory properties of Lactobacillus paracasei strains.
AB - AIMS: This study was performed to ascertain the immunomodulatory effect of
Lactobacillus paracasei strains. These strains were also genetically
characterized. METHODS AND RESULTS: The strains were genetically differentiated
by using the fluorescent-amplified fragment length polymorphism technique, which
led to the identification of several molecular markers unique to each strain. To
determine the immunomodulatory properties, we evaluated the effect of strains on
dendritic cell maturation, dextran uptake, ability to induce proliferation of
allogenic T cells and cytokine secretion. The results indicated that all the
strains stimulated phenotypic maturation of dendritic cells (DCs), but they acted
differently on DCs in relation to the other tested properties; notably, a
different effect on cytokine secretion was detected. CONCLUSIONS: The results of
this study revealed different immunomodulatory properties of strains of the
species Lact. paracasei. Strain IMPC 4.1 showed an interesting anti-inflammatory
ability. Probiotic strains IMPC 2.1 and LMG P-17806 were characterized by a
similar and intermediate ability to induce cytokine secretion in contrast to the
very low ability of strain LMG 23554. SIGNIFICANCE AND IMPACT OF STUDY: Our
results confirm that each single strain of a bacterial species appears to
influence the immune system in a peculiar manner. The evaluation of the different
types and/or levels of cytokines whose secretion is induced by each strain could
be relevant to define its pro- or anti-inflammatory properties and its more
appropriate clinical use.
PMID- 21899668
TI - Investigating interactional competencies in Parkinson's disease: the potential
benefits of a conversation analytic approach.
AB - BACKGROUND: Around 70% of people who develop Parkinson's disease (PD) experience
speech and voice changes. Clinicians often find that when asked about their
primary communication concerns, PD clients will talk about the difficulties they
have 'getting into' conversations. This is an important area for clients and it
has implications for quality of life and clinical management. AIMS: To review the
extant literature on PD and communication impairments in order to reveal key
topic areas, the range of methodologies applied, and any gaps in knowledge
relating to PD and social interaction and how these might be usefully addressed.
METHODS & PROCEDURES: A systematic search of a number of key databases and
available grey literatures regarding PD and communication impairment was
conducted (including motor speech changes, intelligibility, cognitive/language
changes) to obtain a sense of key areas and methodologies applied. Research
applying conversation analysis in the field of communication disability was also
reviewed to illustrate the value of this methodology in uncovering common
interactional difficulties, and in revealing the use of strategic collaborative
competencies in naturally occurring conversation. In addition, available speech
and language therapy assessment and intervention approaches to PD were examined
with a view to their effectiveness in promoting individualized intervention
planning and advice-giving for everyday interaction. MAIN CONTRIBUTION: A great
deal has been written about the deficits underpinning communication changes in PD
and the impact of communication disability on the self and others as measured in
a clinical setting. Less is known about what happens for this client group in
everyday conversations outside of the clinic. Current speech and language therapy
assessments and interventions focus on the individual and are largely impairment
based or focused on compensatory speaker-oriented techniques. A conversation
analysis approach would complement basic research on what actually happens in
everyday conversation for people with PD and their co-participants. CONCLUSIONS &
IMPLICATIONS: The potential benefits of a conversation analysis approach to
communication disability in PD include enabling a shift in clinical focus from
individual impairment onto strategic collaborative competencies. This would have
implications for client-centred intervention planning and the development of new
and complementary clinical resources addressing participation. The impact would
be new and improved support for those living with the condition as well as their
families and carers.
PMID- 21899669
TI - Acquired dysarthria in conversation: methods of resolving understandability
problems.
AB - BACKGROUND: People with acquired progressive dysarthria typically experience
increased problems with intelligibility in everyday conversation as their disease
progresses. Such problems are likely to impact on both the person with dysarthria
and those with whom they interact. If this is the case then we may ask questions
not just about the nature of these problems but how it is that such problems are
dealt with by participants when they occur. AIMS: To investigate ways through
which problems resulting from dysarthria in everyday conversation are resolved by
participants. Further, to examine some of the features of repair resolution,
particularly where understanding of self-repair attempts themselves prove
difficult. METHODS & PROCEDURES: Video data of natural conversation from two
dyads were selected for this paper. One dyad features a 58 year-old man with
multiple sclerosis and moderate intelligibility problems, the other a 79 year-old
woman with motor neurone disease with mild to moderate intelligibility problems.
Both elected to be recorded in conversation with their spouses. The dyads were
video-recorded at home with no researcher present. Using the methods of
Conversation Analysis (CA) a collection of sequences was identified and
transcribed. The sequences were analysed with reference to how the participants
resolve problems in the understanding of dysarthric speech. OUTCOMES & RESULTS:
It is shown how some problems resulting from dysarthria in conversation can be
resolved relatively quickly, particularly where a specific element of a prior
turn is highlighted by the recipient as problematic. In other instances, the
recipient's understanding problem may be more global. These result in longer
repair sequences in which problematic elements are addressed individually. Such a
resolution method is ultimately successful but may also be characterised by
additional understanding problems. These findings draw attention to an important
distinction between intelligibility and understandability. CONCLUSIONS &
IMPLICATIONS: It is concluded that problems resulting from dysarthria in
conversation can require extensive repair work involving both parties. This has
implications for the assessment of dysarthria in everyday conversation and also
the promotion of intervention strategies that encompass the activities of both
participants when dealing with dysarthria in interaction. These findings may be
usefully employed in informing both direct clinical work and through training
those who work with this client group and their significant others.
PMID- 21899670
TI - Why do people lose their friends after a stroke?
AB - BACKGROUND: It is well-known that people lose friends after a stroke; what is
less well understood is why this occurs. AIMS: This study explored why people
lose contact with their friends, and whether there are any protective factors. It
also examined how friendship loss and change is perceived by the individual.
METHODS AND PROCEDURES: Participants with a first stroke were recruited from one
acute stroke unit in the UK. In-depth qualitative interviews took place between 8
and 15 months post stroke. OUTCOMES AND RESULTS: 29 participants were recruited
of whom 10 had aphasia. The main reasons given for losing friends were: loss of
shared activities, reduced energy levels, physical disability, aphasia, unhelpful
responses of others, environmental barriers, and changing social desires. The
subset of participants who experienced the most extensive loss of friends were
those who described a sense that they were 'closing in' on themselves leading to
a withdrawal from social contact and a new preference for meeting only close
friends and family. Those with aphasia experienced the most hurtful negative
responses from others and found it more difficult to retain their friends unless
they had strong supportive friendship patterns prior to the stroke. The factors
which helped to protect friendships included: having a shared history, friends
who showed concern, who lived locally, where the friendship was not activity
based, and where the participant had a 'friends-based' social network prior to
the stroke. CONCLUSIONS AND IMPLICATIONS: Given the link between depression and
loss of friends post stroke, supporting an individual in maintaining a social
network is likely to be beneficial. For intervention to be effective, however, it
may need to take into account not only the impact of new physical and language
disabilities, but also changing social desires.
PMID- 21899671
TI - Objective eye-gaze behaviour during face-to-face communication with proficient
alaryngeal speakers: a preliminary study.
AB - BACKGROUND: There is a large body of research demonstrating the impact of visual
information on speaker intelligibility in both normal and disordered speaker
populations. However, there is minimal information on which specific visual
features listeners find salient during conversational discourse. AIMS: To
investigate listeners' eye-gaze behaviour during face-to-face conversation with
normal, laryngeal and proficient alaryngeal speakers. METHODS & PROCEDURES: Sixty
participants individually participated in a 10-min conversation with one of four
speakers (typical laryngeal, tracheoesophageal, oesophageal, electrolaryngeal; 15
participants randomly assigned to one mode of speech). All speakers were > 85%
intelligible and were judged to be 'proficient' by two certified speech-language
pathologists. Participants were fitted with a head-mounted eye-gaze tracking
device (Mobile Eye, ASL) that calculated the region of interest and mean duration
of eye-gaze. Self-reported gaze behaviour was also obtained following the
conversation using a 10 cm visual analogue scale. OUTCOMES & RESULTS: While
listening, participants viewed the lower facial region of the oesophageal speaker
more than the normal or tracheoesophageal speaker. Results of non-hierarchical
cluster analyses showed that while listening, the pattern of eye-gaze was
predominantly directed at the lower face of the oesophageal and electrolaryngeal
speaker and more evenly dispersed among the background, lower face, and eyes of
the normal and tracheoesophageal speakers. Finally, results show a low
correlation between self-reported eye-gaze behaviour and objective regions of
interest data. CONCLUSIONS & IMPLICATIONS: Overall, results suggest similar eye
gaze behaviour when healthy controls converse with normal and tracheoesophageal
speakers and that participants had significantly different eye-gaze patterns when
conversing with an oesophageal speaker. Results are discussed in terms of
existing eye-gaze data and its potential implications on auditory-visual speech
perception.
PMID- 21899672
TI - Botox((r)) to reduce drooling in a paediatric population with neurological
impairments: a Phase I study.
AB - BACKGROUND: The treatment of drooling in a paediatric population with
neurological impairments is clinically challenging. Surgery is considered
invasive, while behaviour modification techniques, correction of situational
factors and oral-motor therapy do not always produce sustained improvement. In
recent years the use of Botox(r) to decrease drooling has been investigated.
AIMS: To review the clinical data from a Drooling Treatment Project for children
with neurological impairments and to establish the validity of the drooling
severity and frequency rating scales, establishing Phase I-level information
about the therapeutic use of submandibular salivary gland injections of Botox(r)
in various contexts. METHOD & PROCEDURES: A retrospective, explanatory design was
used to review the data. Nine children, seven with cerebral palsy and two with
operculum syndrome, ranging in age from 5 to 17 years (mean = 9;3 years) were
included. Drooling was assessed by qualified speech-language therapists using
drooling rating scales, in five different situations and at different time points
pre- and post-Botox(r) injection up to 6 months. Quantitative and qualitative
analyses were computed. Parents'/primary caregivers' perceptions of drooling and
treatment with Botox(r) were also considered using an interview form and a
quality of life questionnaire. OUTCOMES & RESULTS: Statistically significant
reductions in drooling with large effect sizes were obtained in the communicating
and general appearance situations. There was a difference in the pattern of
response between the children with cerebral palsy and those with operculum
syndrome. Discrepancies between the parents and the speech-language therapists
regarding the context of drooling reduction were found. Most parents/primary
caregivers felt their children's lives and their own had improved following the
Botox(r) injection and would repeat the treatment. The drooling rating scales
were a valid method to assess drooling in a clinical situation. CONCLUSIONS &
IMPLICATIONS: In the clinical setting of the Drooling Treatment Project, the
results indicated that the context in which drooling occurs is an important
factor and suggested the value of considering the situational context when making
drooling judgments. Further, there was a difference in the pattern of response
between the children with cerebral palsy and those with operculum syndrome,
suggesting that aetiology may be involved in the response to Botox(r).
PMID- 21899673
TI - A cross-linguistic study of real-word and non-word repetition as predictors of
grammatical competence in children with typical language development.
AB - BACKGROUND: Although relationships among non-word repetition, real-word
repetition and grammatical ability have been documented, it is important to study
whether the specific nature of these relationships is tied to the characteristics
of a given language. AIMS: The aim of this study is to explore the potential
cross-linguistic differences (Italian and English) in the relationship among non
word repetition, real-word repetition, and grammatical ability in three-and four
year-old children with typical language development. METHODS & PROCEDURES: To
reach this goal, two repetition tasks (one real-word list and one non-word list
for each language) were used. In Italian the grammatical categories were the
third person plural inflection and the direct-object clitic pronouns, while in
English they were the third person singular present tense inflection and the past
tense in regular and irregular forms. OUTCOMES & RESULTS: A cross-linguistic
comparison showed that in both Italian and English, non-word repetition was a
significant predictor of grammatical ability. However, performance on real-word
repetition explained children's grammatical ability in Italian but not in
English. CONCLUSIONS & IMPLICATIONS: Abilities underlying non-word repetition
performance (e.g., the processing and/or storage of phonological material) play
an important role in the development of children's grammatical abilities in both
languages. Lexical ability (indexed by real-word repetition) showed a close
relationship to grammatical ability in Italian but not in English. Implications
of the findings are discussed in terms of cross-linguistic differences, genetic
research, clinical intervention and methodological issues.
PMID- 21899674
TI - Responsiveness of children with specific language impairment and maternal repairs
during shared book reading.
AB - BACKGROUND: Previous studies indicate that (1) children with specific language
impairment (SLI) produce a high number of problematic answers during shared book
reading, and that (2) adult communicative input has a key role in supporting
child responsiveness. However, little is known about the strategies used by
parents to repair children's inadequate answers and their effectiveness in
supporting appropriate responses. AIMS: Repairs (any utterance aimed to correct a
child's problematic answer) produced by mothers of children with SLI and mothers
of typically developing children during shared reading conversation were
compared: (1) to examine whether there are significant differences in their
features, and to what extent these differences (if any) are accounted for by
limitations in child responsiveness and language abilities; and (2) to assess
whether-and in which cases-maternal repairs are effective in eliciting
appropriate answers from children with SLI. METHODS & PROCEDURES: Ten preschool
aged children with SLI, ten age-matched typically developing children, and ten
mean length of utterance-matched typically developing children with their mothers
participated in the study. Each mother-child dyad was videotaped during four
sessions of shared book reading at home. Each maternal repair was coded according
to the level of support (i.e., presence of crucial information and familiar
topic) provided to elicit the child's correct answer. Each child's answer
following a maternal repair was coded on the basis of both linguistic production
and content appropriateness. OUTCOMES & RESULTS: Mothers of children with SLI
produced significantly more high-supportive repairs than mothers of age-matched
children, but not more than mothers of mean length of utterance-matched younger
children. Sequential analysis applied to maternal repairs and children's answers
showed that supportive repairs significantly affected the occurrence of minimally
acceptable answers produced by children with SLI, while non-supportive repairs
affected significantly the occurrence of inadequate answers. Children with SLI
behaved in a similar way to mean length of utterance-matched younger children,
showing spontaneous motivation to imitate crucial information included in high
supportive repairs. CONCLUSIONS & IMPLICATIONS: The findings suggest that mothers
of children with SLI adjust their repairs to their children's linguistic
limitations. The use of supportive repairs is functional to model the children's
answers, enables them to practise new words, and contributes to the children's
experience of being an active interlocutor. These findings have a potential
clinical value that can be used in language intervention programmes based on
shared book reading.
PMID- 21899675
TI - Maximizing health literacy and client recall in a developing context: speech
language therapist and client perspectives.
AB - BACKGROUND: In the field of speech-language therapy, limited research has been
conducted with regards to health literacy and client recall. However, speech
language therapists frequently provide a considerable amount of information for
clients to understand, apply and review in order to manage their (or their
child's) health. AIMS: This study aimed to investigate (1) issues around clients'
health literacy and recall of information; and (2) how these issues can be
overcome in speech-language therapy in a developing context. METHOD & PROCEDURES:
An exploratory study was undertaken with specific focus on speech-language
therapists and their clients who had previously received treatment for dysphagia,
voice disorders (including laryngectomies), and cleft lip and/or palate
management. They were recruited at public tertiary hospitals and primary
healthcare clinics in Cape Town, South Africa. Data were gathered through focus
group discussions and qualitatively analysed using a content-driven
immersion/crystallization style. OUTCOMES & RESULTS: Five themes and 13 subthemes
were identified. Speech-language therapists currently use mostly low-technology
strategies to manage issues of health literacy and client recall, and frequently
view poor outcomes as being related to clients themselves and a lack of
compliance. CONCLUSIONS & IMPLICATIONS: An understanding of context,
intercultural health literacy and client-provider concordance are important
factors that should inform the clinical practice of speech-language therapy.
There is a need to develop effective strategies for information provision and
review post-consultation. Speech-language therapists have an important mediating
role in cross-cultural communication.
PMID- 21899676
TI - Using a microscope to change a speech valve.
AB - Replacing a speech valve can be a difficult procedure to learn and perform. In
this letter the authors propose a method using an operating microscope, which
their department has found useful in performing this procedure, and in teaching
trainees.
PMID- 21899677
TI - Cdc42 regulates multiple membrane traffic events in fission yeast.
AB - Fission yeast Cdc42 regulates polarized growth and is involved in For3 formin
activation and actin cable assembly. We show here that a thermosensitive strain
carrying the cdc42L160S allele has membrane traffic defects independent of the
actin cable defects. This strain has decreased acid phosphatase (AP) secretion,
intracellular accumulation of vesicles and fragmentation of vacuoles. In
addition, the exocyst is not localized to the tips of these cells. Overproduction
of the scaffold protein Pob1 suppressed cdc42L160S thermosensitive growth and
restored exocyst localization and AP secretion. The GTPase Rho3 also suppressed
cdc42L160S thermosensitivity, restored exocyst localization and AP secretion.
However, Rho3 did not restore the actin cables in these cells as Pob1 does.
Similarly, overexpression of psy1(+) , coding a syntaxin (t-SNARE) homolog, or of
ypt2(+) , coding an SEC4 homolog in fission yeast, rescued growth at high
temperature but did not restore actin cables, nor the exocyst-polarized
localization. cdc42L160S cells also have defects in vacuole formation that were
rescued by Pob1, Rho3 and Psy1. All together, we propose that Cdc42 and the
scaffold Pob1 are required for membrane trafficking and fusion, contributing to
polarized secretion, endosome recycling, vacuole formation and growth.
PMID- 21899678
TI - Identification of sorting motifs of AtbetaFruct4 for trafficking from the ER to
the vacuole through the Golgi and PVC.
AB - Although much is known about the molecular mechanisms involved in transporting
soluble proteins to the central vacuole, the mechanisms governing the trafficking
of membrane proteins remain largely unknown. In this study, we investigated the
mechanism involved in targeting the membrane protein, AtbetaFructosidase 4
(AtbetaFruct4), to the central vacuole in protoplasts. AtbetaFruct4 as a green
fluorescent protein (GFP) fusion protein was transported as a membrane protein
during transit from the endoplasmic reticulum (ER) through the Golgi apparatus
and the prevacuolar compartment (PVC). The N-terminal cytosolic domain of
AtbetaFruct4 was sufficient for transport from the ER to the central vacuole and
contained sequence motifs required for trafficking. The sequence motifs, LL and
PI, were found to be critical for ER exit, while the EEE and LCPYTRL sequence
motifs played roles in trafficking primarily from the trans Golgi network (TGN)
to the PVC and from the PVC to the central vacuole, respectively. In addition,
actin filaments and AtRabF2a, a Rab GTPase, played critical roles in vacuolar
trafficking at the TGN and PVC, respectively. On the basis of these results, we
propose that the vacuolar trafficking of AtbetaFruct4 depends on multiple
sequence motifs located at the N-terminal cytoplasmic domain that function as
exit and/or sorting signals in different stages during the trafficking process.
PMID- 21899679
TI - Using computed tomography coronary angiography to evaluate patients with acute
chest pain: putting the horse before the cart.
PMID- 21899680
TI - Practical guidelines for the acute emergency sedation of the severely agitated
older patient.
AB - The vulnerability of older people to serious underlying medical illness and
adverse effects of psychotropics means that the safe and effective treatment of
severe agitation can be lifesaving, the primary management goals being to create
a safe environment for the patient and others, and to facilitate assessment and
treatment. We review the literature on acute sedation and provide practical
guidelines for the management of this problem addressing a range of issues,
including aetiology, assessment, pharmacological and non-pharmacological
strategies, restraint and consent. The assessment of the agitated older patient
must include concurrent assessment of the likely aetiology of, the risks posed
by, and the risks/benefits of management options for, the agitation. A range of
environmental modifications and non-pharmacological strategies might be
implemented to maximize the safety of the patient and others. Physical restraints
should only be considered after appropriate assessment and trial of alternative
management and if the risk of restraint is less than the risk of the behaviour.
Limited evidence supports a range of pharmacological options from traditional
antipsychotics to atypical antipsychotics and benzodiazepines. It is advised to
start low and go slow, using small increments of dose increase. Medical staff are
frequently called to sedate agitated older patients in hospital settings, often
after hours, with limited access to relevant medical information and history.
Safe and effective management necessitates adequate assessment of the aetiology
of the agitation, exhausting all non-pharmacological strategies, and resorting to
pharmacological and/or physical restraint only when necessary, judiciously and
for a short-term period, with frequent review and the obtaining of consent as
soon as possible.
PMID- 21899681
TI - Combined catheter thrombus fragmentation and fibrinolysis for acute pulmonary
embolism.
AB - AIMS: The aim of this study is to evaluate the effectiveness and safety of
combined catheter thrombus fragmentation and fibrinolysis for acute pulmonary
embolism (PE). METHODS: Forty-six patients (19 men and 27 women, average age 52.3
+/- 13.4 years) with acute PE and right ventricular dysfunction were treated by
mechanical thrombus fragmentation with a percutaneous transluminal coronary
angioplasty guide catheter. Urokinase was injected into the culprit pulmonary
artery after catheter thrombus fragmentation in all patients. RESULT: Clinical
success was achieved in all patients. After the treatment, the average pulmonary
artery pressure was decreased from 57.2 +/- 6.2 to 36.3 +/- 4.1 mmHg (P < 0.01).
The oxygen saturation rate was raised from 81.4 +/- 4.3% to 97.0 +/- 2.0% (P <
0.01), and the right ventricular function was improved. There was no in-hospital
mortality and there were no major complications, such as haemorrhage. Patients
were treated with warfarin for 6 months with no signs of PE recurrence during the
follow up. CONCLUSION: In PE patients with right ventricular dysfunction and
unstable haemodynamics, combined catheter thrombus fragmentation and thrombolysis
appears to be a useful therapeutic strategy. In PE patients with right
ventricular dysfunction and stable haemodynamics, randomized trials are still
required to show that combination of catheter-directed thrombus fragmentation and
thrombolysis is superior to standard anticoagulation.
PMID- 21899682
TI - Hypertension is an independent predictor of mean platelet volume in patients with
acute ischaemic stroke.
AB - INTRODUCTION: Mean platelet volume (MPV) was shown to be significantly increased
in patients with acute ischaemic stroke, especially in non-lacunar strokes.
Moreover, some studies concluded that increased MPV is related to poor functional
outcome after ischaemic stroke, although this association is still controversial.
However, the determinants of MPV in patients with acute ischaemic stroke have
never been investigated. SUBJECTS AND METHODS: We recorded the main demographic,
clinical and laboratory data of consecutive patients with acute (admitted within
24 h after stroke onset) ischaemic stroke admitted in our Neurology Service
between January 2003 and December 2008. MPV was generated at admission by the
Sysmex XE-2100 automated cell counter (Sysmex Corporation, Kobe, Japan) from
ethylenediaminetetraacetic acid blood samples stored at room temperature until
measurement. The association of these parameters with MPV was investigated in
univariate and multivariate analysis. RESULTS: A total of 636 patients was
included in our study. The median MPV was 10.4 +/- 0.82 fL. In univariate
analysis, glucose (beta= 0.03, P= 0.05), serum creatinine (beta= 0.002, P= 0.02),
haemoglobin (beta= 0.009, P < 0.001), platelet count (beta=-0.002, P < 0.001) and
history of arterial hypertension (beta= 0.21, P= 0.005) were found to be
significantly associated with MPV. In multivariate robust regression analysis,
only hypertension and platelet count remained as independent determinants of MPV.
CONCLUSIONS: In patients with acute ischaemic stroke, platelet count and history
of hypertension are the only determinants of MPV.
PMID- 21899683
TI - Metastatic parathyroid carcinoma initially misdiagnosed as parathyroid adenoma:
the role of parafibromin in increasing diagnostic accuracy.
AB - Parathyroid carcinoma, although a rare cause of primary hyperparathyroidism,
carries a significant morbidity and mortality from severe symptomatic
hypercalcaemia and related complications. We report a case where the diagnosis
was not considered from the outset and review the current clinical and
histopathological markers available to assist in the diagnosis of parathyroid
carcinoma.
PMID- 21899684
TI - Immune reconstitution inflammatory syndrome manifesting as development of
multiple autoimmune disorders and skin cancer progression.
AB - We report the case of a 56-year-old man with the rare autoimmune pathologies of
alternating hypothyroidism and hyperthyroidism due to thyroid-stimulating hormone
receptor antibodies, and rheumatoid arthritis as manifestations of a human
immunodeficiency virus-related immune reconstitution inflammatory syndrome. The
patient also developed overt progression of a pre-existing skin malignancy that
may also be related. This case highlights immune reconstitution syndrome as an
important differential diagnosis following antiretroviral therapy commencement,
and that a high index of suspicion should be maintained for this rare but
important cluster of conditions. Furthermore, the patient's genetic
predisposition to autoimmunity provides helpful insights into the pathogenesis of
these disorders.
PMID- 21899685
TI - Massive hiatus hernia presenting as acute chest pain.
PMID- 21899686
TI - Gallstone ileus.
PMID- 21899687
TI - Has the disease identity of restless legs syndrome developed or been distorted?
Astronauts in zero gravity may know the answer.
PMID- 21899688
TI - Laugh headaches: a rare form of headache associated with type 1 Arnold-Chiari
malformation.
PMID- 21899689
TI - Dangers of ripping in body building.
PMID- 21899690
TI - Erythrocytapheresis treatment in severe malaria.
PMID- 21899692
TI - Scurvy: old and new.
PMID- 21899693
TI - Tri-trophic interactions affect density dependence of seed fate in a tropical
forest palm.
AB - Natural enemies, especially host-specific enemies, are hypothesised to facilitate
the coexistence of plant species by disproportionately inflicting more damage at
increasing host abundance. However, few studies have assessed such Janzen-Connell
mechanisms on a scale relevant for coexistence and no study has evaluated
potential top-down influences on the specialized pests. We quantified seed
predation by specialist invertebrates and generalist vertebrates, as well as
larval predation on these invertebrates, for the Neotropical palm Attalea
butyracea across ten 4-ha plots spanning 20-fold variation in palm density. As
palm density increased, seed attack by bruchid beetles increased, whereas seed
predation by rodents held constant. But because rodent predation on bruchid
larvae increased disproportionately with increasing palm density, bruchid
emergence rates and total seed predation by rodents and bruchids combined were
both density-independent. Our results demonstrate that top-down effects can limit
the potential of host-specific insects to induce negative-density dependence in
plant populations.
PMID- 21899694
TI - CHD6 chromatin remodeler is a negative modulator of influenza virus replication
that relocates to inactive chromatin upon infection.
AB - The influenza virus establishes close functional and structural connections with
the nucleus of the infected cell. Thus, viral ribonucleoproteins (RNPs) are
closely bound to chromatin components and the main constituent of viral RNPs, the
nucleoprotein (NP) protein, interacts with histone tails. Using a yeast two
hybrid screening, we previously found that the PA influenza virus polymerase
subunit interacts with the CHD6 protein, a member of the CHD family of chromatin
remodelers. Here we show that CHD6 also interacts with the viral polymerase
complex and colocalizes with viral RNPs in the infected cells. To study the
relationships between RNPs, chromatin and CHD6, we have analysed whether NP and
CHD6 binds to peptides representing trimethylated lysines of histone 3 tails that
mark transcriptionally active or inactive chromatin. Upon infection, NP binds to
marks of repressed chromatin and, interestingly an important recruitment of CHD6
to these heterochromatin marks occurs in this situation. Silencing experiments
indicate that CHD6 acts as a negative modulator of influenza virus replication.
Hence, the CHD6 association with inactive chromatin could be part of a process
where the influenza virus triggers modifications of chromatin-associated proteins
that could contribute to the pathogenic events used by the virus to induce host
cell shut-off.
PMID- 21899695
TI - Phosphatidylinositol-3-kinase (PI3K) is activated by influenza virus vRNA via the
pathogen pattern receptor Rig-I to promote efficient type I interferon
production.
AB - The phosphatidylinositol-3-kinase (PI3K) was identified to be activated upon
influenza A virus (IAV) infection. An early and transient induction of PI3K
signalling is caused by viral attachment to cells and promotes virus entry. In
later phases of infection the kinase is activated by the viral NS1 protein to
prevent premature apoptosis. Besides these virus supporting functions, it was
suggested that PI3K signalling is involved in dsRNA and IAV induced antiviral
responses by enhancing the activity of interferon regulatory factor-3 (IRF-3).
However, molecular mechanisms of activation remained obscure. Here we show that
accumulation of vRNA in cells infected with influenza A or B viruses results in
PI3K activation. Furthermore, expression of the RNA receptors Rig-I and MDA5 was
increased upon stimulation with virion extracted vRNA or IAV infection. Using
siRNA approaches, Rig-I was identified as pathogen receptor necessary for
influenza virus vRNA sensing and subsequent PI3K activation in a TRIM25 and MAVS
signalling dependent manner. Rig-I induced PI3K signalling was further shown to
be essential for complete IRF-3 activation and consequently induction of the type
I interferon response. These data identify PI3K as factor that is activated as
part of the Rig-I mediated anti-pathogen response to enhance expression of type I
interferons.
PMID- 21899696
TI - The organization of the wall filaments and characterization of the matrix
structures of Toxoplasma gondii cyst form.
AB - The encystation process is a key step in Toxoplasma gondii life cycle, allowing
the parasite to escape from the host immune system and the transmission among the
hosts. A detailed characterization of the formation and structure of the cyst
stage is essential for a better knowledge of toxoplasmosis. Here we isolated
cysts from mice brains and analysed the cyst wall structure and cyst matrix
organization using different electron microscopy techniques. Images obtained
showed that the cyst wall presented a filamentous aspect, with circular openings
on its surface. The filaments were organized in two layers: a compact one, facing
the exterior of the whole cyst and a more loosen one, facing the matrix. Within
the cyst wall, we observed tubules and a large number of vesicles. The cyst
matrix presented vesicles of different sizes and tubules, which were organized in
a network connecting the bradyzoites to each other and to the cyst wall. Large
vesicles, with a granular material in their lumen of glycidic nature were
observed. Similar vesicles were also found associated with the posterior pole of
the bradyzoites and in proximity to the cyst wall.
PMID- 21899697
TI - Gastric epithelial cell death caused by Helicobacter suis and Helicobacter pylori
gamma-glutamyl transpeptidase is mainly glutathione degradation-dependent.
AB - Helicobacter (H.) suis is the most prevalent non-H. pylori Helicobacter species
colonizing the stomach of humans suffering from gastric disease. In the present
study, we aimed to unravel the mechanism used by H. suis to induce gastric
epithelial cell damage. H. suis lysate induced mainly apoptotic death of human
gastric epithelial cells. Inhibition of gamma-glutamyl transpeptidase (GGT)
activity present in H. suis lysate and incubation of AGS cells with purified
native and recombinant H. suis GGT showed that this enzyme was partly responsible
for the observed apoptosis. Supplementation of H. suis or H. pylori GGT-treated
cells with glutathione strongly enhanced the harmful effect of both enzymes and
resulted in the induction of oncosis/necrosis, demonstrating that H. suis and H.
pylori GGT-mediated degradation of glutathione and the resulting formation of
glutathione degradation products play a direct and active role in the induction
of gastric epithelial cell death. This was preceded by an increase of
extracellular H(2)O(2) concentrations, generated in a cell-independent manner and
causing lipid peroxidation. In conclusion, H. suis and H. pylori GGT-mediated
generation of pro-oxidant glutathione degradation products brings on cell damage
and causes apoptosis or necrosis, dependent on the amount of extracellular
glutathione available as a GGT substrate.
PMID- 21899698
TI - Experimentally controlled downregulation of the histone chaperone FACT in
Plasmodium berghei reveals that it is critical to male gamete fertility.
AB - Human FACT (facilitates chromatin transcription) consists of the proteins SPT16
and SSRP1 and acts as a histone chaperone in the (dis)assembly of nucleosome (and
thereby chromatin) structure during transcription and DNA replication. We
identified a Plasmodium berghei protein, termed FACT-L, with homology to the
SPT16 subunit of FACT. Epitope tagging of FACT-L showed nuclear localization with
high expression in the nuclei of (activated) male gametocytes. The gene encoding
FACT-L could not be deleted indicating an essential role during blood-stage
development. Using a 'promoter-swap' approach whereby the fact-l promoter was
replaced by an 'asexual blood stage-specific' promoter that is silent in
gametocytes, transcription of fact-l in promoter-swap mutant gametocytes was
downregulated compared with wild-type gametocytes. These mutant male gametocytes
showed delayed DNA replication and gamete formation. Male gamete fertility was
strongly reduced while female gamete fertility was unaffected; residual ookinetes
generated oocysts that arrested early in development and failed to enter
sporogony. Therefore FACT is critically involved in the formation of fertile male
gametes and parasite transmission. 'Promoter swapping' is a powerful approach for
the functional analysis of proteins in gametocytes (and beyond) that are
essential during asexual blood-stage development.
PMID- 21899700
TI - Echovirus 1 infection depends on biogenesis of novel multivesicular bodies.
AB - Non-enveloped picornavirus echovirus 1 (EV1) clusters its receptor alpha2beta1
integrin and causes their internalization and accumulation in alpha2beta1
integrin enriched multivesicular bodies (alpha2-MVBs). Our results here show that
these alpha2-MVBs are distinct from acidic late endosomes/lysosomes by several
criteria: (i) live intra-endosomal pH measurements show that alpha2-MVBs are not
acidic, (ii) they are not positive for the late endosomal marker LBPA or Dil-LDL
internalized to lysosomes, and (iii) simultaneous stimulation of epidermal growth
factor receptor (EGFR) and alpha2beta1 integrin clustering leads to their
accumulation in separate endosomes. EGFR showed downregulation between 15 min and
2 h, whereas accumulation of alpha2beta1 integrin/EV1 led to an increase of
integrin fluorescence in cytoplasmic vesicles further suggesting that EV1 pathway
is separate from the lysosomal downregulation pathway. In addition, the results
demonstrate the involvement of ESCRTs in the biogenesis of alpha2-MVBs.
Overexpression of dominant-negative form of VPS4 inhibited biogenesis of alpha2
MVBs and efficiently prevented EV1 infection. Furthermore, alpha2-MVBs were
positive for some members of ESCRTs such as Hrs, VPS37A and VPS24 and the siRNA
treatment of TSG101, VPS37A and VPS24 inhibited EV1 infection. Our results show
that the non-enveloped EV1 depends on biogenesis of novel multivesicular
structures for successful infection.
PMID- 21899699
TI - Activation of cell stress response pathways by Shiga toxins.
AB - Shiga toxin-producing bacteria cause widespread outbreaks of bloody diarrhoea
that may progress to life-threatening systemic complications. Shiga toxins
(Stxs), the main virulence factors expressed by the pathogens, are ribosome
inactivating proteins which inhibit protein synthesis by removing an adenine
residue from 28S rRNA. Recently, Stxs were shown to activate multiple stress
associated signalling pathways in mammalian cells. The ribotoxic stress response
is activated following the depurination reaction localized to the alpha
sarcin/ricin loop of eukaryotic ribosomes. The unfolded protein response (UPR)
may be initiated by toxin unfolding within the endoplasmic reticulum, and
maintained by production of truncated, misfolded proteins following intoxication.
Activation of the ribotoxic stress response leads to signalling through MAPK
cascades, which appears to be critical for activation of innate immunity and
regulation of apoptosis. Precise mechanisms linking ribosomal damage with MAPK
activation require clarification but may involve recognition of ribosomal
conformational changes and binding of protein kinases to ribosomes, which
activate MAP3Ks and MAP2Ks. Stxs appear capable of activating all ER membrane
localized UPR sensors. Prolonged signalling through the UPR induces apoptosis in
some cell types. The characterization of stress responses activated by Stxs may
identify targets for the development of interventional therapies to block cell
damage and disease progression.
PMID- 21899701
TI - Stage-specific depletion of myosin A supports an essential role in motility of
malarial ookinetes.
AB - Functional analysis of Plasmodium genes by classical reverse genetics is
currently limited to mutants that are viable during erythrocytic schizogony, the
pathogenic phase of the malaria parasite where transfection is performed. Here,
we describe a conceptually simple experimental approach to study the function of
genes essential to the asexual blood stages in a subsequent life cycle stage by a
promoter-swap approach. As a proof of concept we targeted the unconventional
class XIV myosin MyoA, which is known to be required for Toxoplasma gondii
tachyzoite locomotion and host cell invasion. By placing the corresponding
Plasmodium berghei gene, PbMyoA, under the control of the apical membrane antigen
1 (AMA1) promoter, expression in blood stages is maintained but switched off
during transmission to the insect vector, i.e. ookinetes. In those mutant
ookinetes gliding motility is entirely abolished resulting in a complete block of
life cycle progression in Anopheles mosquitoes. Similar approaches should permit
the analysis of gene function in the mosquito forms that are shared with the
erythrocytic stages of the malaria parasite.
PMID- 21899702
TI - The ERM protein, ezrin, regulates neutrophil transmigration by modulating the
apical localization of MRP2 in response to the SipA effector protein during
Salmonella Typhimurium infection.
AB - In human disease induced by Salmonella enterica serovar Typhimurium (S.
Typhimurium), transepithelial migration of neutrophils rapidly follows attachment
of the bacteria to the epithelial apical membrane. We have previously shown that
during S. Typhimurium infection the multidrug resistance-associated protein 2
(MRP2) is highly expressed at the apical surface of the intestinal epithelia, and
that it functions as an efflux pump for the potent neutrophil chemoattractant
hepoxilin A(3) . However, the molecular mechanisms regulating its apical
localization during active states of inflammation remain unknown. Thus, our
objective was to determine the mechanistic basis for the translocation of MRP2 to
the apical surface of intestinal epithelial cells during S. Typhimurium
infection. We show that suppression of ezrin, through either RNAi or truncation
of the C-terminus, results not only in a decrease in S. Typhimurium-induced
neutrophil transmigration but also significantly attenuates the apical membrane
expression of MRP2 during Salmonella infection. In addition, we determined that
S. Typhimurium induces the activation of ezrin via a PKC-alpha-dependent pathway
and that ezrin activation is coupled to apical localization of MRP2. Based on
these results we propose that activation of ezrin is required for the apical
localization of MRP2 during S. Typhimurium infection.
PMID- 21899703
TI - The Salmonella effector AvrA mediates bacterial intracellular survival during
infection in vivo.
AB - The enteric pathogen Salmonella typhimurium secretes the preformed AvrA effector
protein into host cells. This acetyltransferase has been shown to modulate
mammalian intestinal immune and survival responses by inhibition of JNK MAPK. To
study the role of this effector in natural enteric infection, we used a mouse
model to compare wild-type S. typhimurium to an isogenic AvrA null Salmonella
mutant. Salmonella lacking AvrA induced increased intestinal inflammation, more
intense systemic cytokine responses, and increased apoptosis in epithelial cells.
Increased apoptosis was also observed in extra epithelial macrophages. AvrA null
infected mice consistently showed higher bacterial burden within mucosal lymphoid
tissues, spleen and liver by 5 days post infection, which indicated a more severe
clinical course. To study the molecular mechanisms involved, recombinant
adenoviruses expressing AvrA or mutant AvrA proteins were constructed, which
showed appropriate expression and mediated the expected inhibition of JNK
signalling. Cultured epithelial cells and macrophages transduced with AvrA
expressing adenovirus were protected from apoptosis induced by exogenous stimuli.
In conclusion, the results demonstrated that Salmonella AvrA modulates survival
of infected macrophages likely via JNK suppression, and prevents macrophage death
and rapid bacterial dissemination. AvrA suppression of apoptosis in infected
macrophages may allow for establishment of a stable intracellular niche typical
of intracellular pathogens.
PMID- 21899704
TI - Involvement of suppressor of cytokine signalling-1-mediated degradation of MyD88
adaptor-like protein in the suppression of Toll-like receptor 2-mediated
signalling by the murine C-type lectin SIGNR1-mediated signalling.
AB - Dendritic cells recognize pathogens through pattern recognition receptors such as
Toll-like receptors and phagocytose and digest them by phagocytic receptors for
antigen presentation. This study was designed to clarify the cross-talk between
recognition and phagocytosis of microbes in dendritic cells. The murine dendritic
cell line XS106 cells were stimulated with the murine C-type lectin SIGNR1 ligand
lipoarabinomannan and the Toll-like receptor 2 ligand FSL-1. The co-stimulation
significantly suppressed FSL-1-mediated activation of NF-kappaB as well as
production of TNF-alpha, IL-6 and IL-12p40 in a dose-dependent manner. The
suppression was significantly but not completely recovered by knock-down of
SIGNR1. SIGNR1 was associated with Toll-like receptor 2 in XS106 cells. The co
stimulation upregulated the expression of suppressor of cytokine signalling-1 in
XS106 cells, the knock-down of which almost completely recovered the suppression
of the FSL-1-mediated cytokine production by lipoarabinomannan. In addition, it
was found that the MyD88-adaptor-like protein in XS106 cells was degraded by co
stimulation with FSL-1 and lipoarabinomannan in the absence, but not the
presence, of the proteasome inhibitor MG132 and the degradation was inhibited by
knock-down of suppressor of cytokine signalling-1. This study suggests that Toll
like receptor 2-mediated signalling is negatively regulated by SIGNR1-mediated
signalling in dendritic cells, possibly through suppressor of cytokine signalling
1-mediated degradation of the MyD88-adaptor-like protein.
PMID- 21899705
TI - The Entamoeba histolytica methylated LINE-binding protein EhMLBP provides
protection against heat shock.
AB - Adaptation to environmental stress is a key process that allows the unicellular
parasite Entamoeba histolytica to survive in its human host. We previously
characterized EhMLBP as an essential protein for the growth and the virulence of
the parasite. EhMLBP binds to methylated repetitive DNA, and is one of the core
proteins of the parasite's epigenetic machinery. Here, we show that EhMLBP and
heat shock proteins have common properties. EhMLBP is induced by heat shock and
its expression is regulated by a heat shock element binding site that is located
in its 5' non-coding region. Following heat shock, the perinuclear localization
of EhMLBP in control trophozoites is replaced by an even distribution within the
nucleus alongside with an enhanced recruitment of EhMLBP to the reverse
transcriptase of a long interspersed nucleotide element (LINE) DNA. Constitutive
overexpression of EhMLBP protects trophozoites against heat shock and reduces
protein aggregation. This protective function is lost in trophozoites that
overexpress a mutated form of EhMLBP which is devoid of its heat shock domain. To
the best of our knowledge, this is the first report of a methyl DNA-binding
protein that plays a protective role against heat shock.
PMID- 21899706
TI - Laparoscopic restorative proctocolectomy: safety and critical level of the ileal
pouch anal anastomosis.
AB - AIM: The study reports the longer-term results of laparoscopic-assisted
restorative proctocolectomy (RPC), with particular reference to safety and the
level of the stapled ileal pouch-anal anastomosis (IPAA). METHOD: Data were
collected prospectively from all patients who underwent laparoscopic RP from July
2006 to July 2010. In each patient the operation involved the use of a short (6
cm) Pfannenstiel incision to facilitate placement of the linear stapler for
anorectal division. RESULTS: Seventy-five patients underwent RPC either with
total proctocolectomy (n = 53) or after previous emergency colectomy (n = 22).
Early postoperative morbidity occurred in 18 (24%) patients and readmission
within 30 days occurred in 18 (24%). Morbidity during follow up developed in 29
(39%). A pouchogram was carried out in all 75 patients before ileostomy closure
with an abnormality shown in eight. The median level of the IPAA was at 3.0 cm
(1.0-5.0 cm) above the dentate line. At a median of 33 (9-57) months, there has
been one case of small bowel obstruction and no incisional hernia. CONCLUSION: In
laparoscopic-assisted RPC a limited Pfannenstiel incision allows safe
construction of the IPAA at an appropriate level. Laparoscopic RPC is safe and
the emerging long-term follow-up data show the benefit of this approach, with
very low rates of small bowel obstruction and incisional hernia formation.
PMID- 21899707
TI - Infliximab and surgical treatment of complex anal Crohn's disease.
AB - AIM: Perianal fistulae in Crohn's disease are frequently complex, involve the
anal sphincter complex and surgical treatment can be associated with poor healing
of wounds and damage to the mechanism of continence. The aim of this study was to
evaluate the efficacy and duration of response to infliximab in the long-term
management of perianal fistulae in Crohn's disease in routine clinical practice.
METHOD: A prospectively maintained database was used to identify patients with
Crohn's disease and complex anal fistulae who were treated with infliximab
(primary treatment, three initial infusions followed by maintenance therapy).
Patients who received infliximab for luminal disease or for enterocutaneous,
peristomal or rectovaginal fistulae were excluded from this study. RESULTS: Fifty
two patients [25 male, median age 24 (range 15-72) years] were treated with
infliximab for perianal Crohn's fistulae for a median of 66 (7-124) months.
Twenty-six of the patients underwent pre-infliximab MRI scans and 38 had an
examination under anaesthetic (EUA) prior to commencement of treatment, 22 of
whom had seton(s) inserted into their fistulae. Maintenance therapy was possible
in 42 (81%) of 52 patients. Twenty-two (42.3%) patients had a complete response
to treatment, 23 (44.2%) had a partial response and 7 (13.5%) had no response.
Less than complete response to infliximab was associated with a greater risk of
requiring surgical intervention (Fisher's exact test, d.f. 1, P = 0.005).
CONCLUSION: The response rates of Crohn's related complex perianal fistulae to
infliximab are good. Complete response is associated with a reduced need for
surgical intervention.
PMID- 21899709
TI - Current practice in preoperative therapy and surgical management of locally
advanced rectal cancer: a bi-national survey.
AB - AIM: The Australasian colorectal surgeon's current approach to preoperative
rectal cancer management was compared with international guidelines. METHOD:
Members of the Colorectal Surgical Society of Australia and New Zealand were
surveyed in 2010, on the use of MRI and the management of locally advanced rectal
cancer. Surgeons had to decide the appropriate management in five scenarios that
were developed from national guidelines. RESULTS: Of 174 invitations sent, 108
(62.1%) replies were received. Most surgeons (98.1%) had access to MRI. Ninety
three (86.1%) would use MRI routinely for staging. The majority selected a tumour
specific mesorectal resection for upper rectal cancer (58.2%) and a total
mesorectal excision for distal cancer (100%). Almost all restorative operations
included a covering ileostomy. One third of surgeons recommended that patients
with a favourable cT3 mid-rectal tumour (N0, clear circumferential resection
margins) should not have preoperative therapy and should proceed directly to
surgery. When high-risk features, such as threatened resection margins or cN1
stage, were present, 5% and 15% of surgeons, respectively, would continue to
treat by standard resection without preoperative therapy. CONCLUSION: Evidence
based international guidelines for the management of rectal cancer have changed
little in the last 10 years. Despite this, there is a clear gap between these and
clinical practice. The main variance relates to the role of radiotherapy in
locally advanced rectal cancer. Despite considerable evidence that radiotherapy
reduces local recurrence for all stages of rectal cancer, current practice in
Australasia is for its selective use.
PMID- 21899708
TI - No effect of perianal application of topical anaesthetic on patient comfort
during nonsedated flexible sigmoidoscopy: a randomized, placebo-controlled
clinical trial.
AB - AIM: A literature review revealed no data on the effects of topical anaesthetic
on patient comfort during flexible sigmoidoscopy. We therefore aimed to evaluate
this in a randomized manner. METHOD: One hundred and forty-six patients who
underwent flexible sigmoidoscopy were randomly allocated to one of three groups.
Vaseline (n = 49), 2% lidocaine gel (n = 51) or a cream of 2.5% lidocaine plus
2.5% prilocaine (n = 46) were applied to the patients 30 min before the
procedure. Demographic data and haemodynamic monitoring during procedures were
recorded. Pain was assessed by visual analogue scale (VAS) and anxiety levels by
the State-Trait Anxiety Inventory (STAI-I and STA-II). RESULTS: Median pre
procedural STAI-I scores were 45, 46 and 40.5 and median post-procedural STAI-I
scores were 35, 34 and 33.5 for the vaseline, lidocaine, and lidocaine/prilocaine
treatments, respectively. There was no statistical difference among the groups in
terms of STAI-I and II scores. However, post-procedural STAI-I scores were
significantly lower than pre-procedural values in each group (P < 0.001). There
was no significant difference in VAS scores among the groups. In all groups there
were statistically higher VAS scores during the procedure compared with the pre-
and post-procedural scores (P < 0.001). CONCLUSION: Perianal application of
topical anaesthetic does not influence patient comfort during sigmoidoscopy.
PMID- 21899710
TI - [New information about fumaric acid esters (Fumaderm): results of a workshop of
experts].
PMID- 21899711
TI - Can acute abdominal CT prioritize patients with suspected diverticulitis for a
subsequent clean colonic examination?
AB - AIM: The aim of this study was to investigate whether patients with
diverticulitis can be prioritized with higher urgency for a subsequent full
colonic examination based upon the emergency abdominal CT scan performed at the
time of presentation. METHOD: All patients with a diagnosis of diverticulitis,
hospitalized during 2006 and having a CT scan on admission and a subsequent
'clean colon' examination, were reviewed. The CT scan was reviewed by two
independent and blinded senior radiologists (Radiologist A and Radiologist B) for
signs inconsistent with diverticulitis and suggestive of malignancy. The patients
were classified on the CT scan results into group 1 (normal findings, nontumour
pathology or benign polyps < 1 cm) or group 2 (benign polyps >= 1 cm and cancer).
RESULTS: Ninety-three patients (83 in group 1 and 10 in group 2) were reviewed.
Radiologist A suggested high-priority colonic examination in 18% and 50% of
patients in groups 1 and 2, respectively, and Radiologist B in 63% and 90% of
patients, respectively. There was a statistically significant interobserver
difference, and also lower accuracy of Radiologist B compared with Radiologist A
in predicting a subsequent 'clean colon' examination. CONCLUSION: Use of an
emergency acute CT scan at the time of diagnosis of diverticulitis to predict a
clean colon examination for neoplasia is not reliable because there is a
considerable degree of interobserver difference between radiologists.
PMID- 21899712
TI - Initial results of a randomized controlled trial comparing clinical and
pathological downstaging of rectal cancer after preoperative short-course
radiotherapy or long-term chemoradiotherapy, both with delayed surgery.
AB - AIM: The aim of this study was to compare the downstaging achieved after long
course chemoradiotherapy (chRT) and short-term radiotherapy (sRT) followed by
delayed surgery. METHOD: A randomized controlled trial was carried out. Eighty
three patients with resectable stage II and III rectal adenocarcinoma were
randomized to receive long-course chemoradiotherapy (46) and short-term
radiotherapy (5*5 Gy) (37). Surgery was performed 6 weeks after preoperative
treatment in both groups. RESULTS: The R0 resection rate was 91.3% in the chRT
and 86.5% in the sRT group (P=0.734). Sphincter preservation rates were 69.6%vs
70.3% (P=0.342) and postoperative complication rates were 26.1%vs 40.5%
(P=0.221). There were more patients with early pT stage [pT0 (complete
pathological response) pT1] in the chRT group [21.8%vs 2.7% (P=0.03)] and more
patients with pT3 disease in the sRT group [75.7%vs 52.2% (P=0.036)]. There were
no differences in pN stage and lymphatic or vascular invasion in either group.
Pathological downstaging (stage 0 and I) was observed in eight (21.6%) patients
in the sRT group and in 18 (39.1%) in the chRT group (P=0.07). Tumours were
smaller after preoperative ChRT (2.5 cm vs 3.3 cm; P=0.04). CONCLUSION: Long
course preoperative chemoradiation resulted in greater statistically significant
tumour downsizing and downstaging compared with short-term radiation, but there
was no difference in the R0 resection rates. Similar postoperative morbidity was
observed in each group.
PMID- 21899714
TI - Palliative excisional surgery for primary colorectal cancer in patients with
incurable metastatic disease. Is there a survival benefit? A systematic review.
AB - AIM: Patients with stage IV colorectal cancer with unresectable metastases can
either receive chemotherapy or palliative resection of the primary lesion. In the
absence of any randomized data the choice of initial treatment in stage IV
colorectal cancer is not based on firm evidence. METHOD: A search of MEDLINE,
Pubmed, Embase and the Cochrane Library database was performed from 1980 to 2010
for studies comparing palliative resection in stage IV colorectal cancer with
other treatment modalities. Audits and observational studies were excluded.
Median survival was the primary outcome measure. The morbidity and mortality of
surgical and nonsurgical treatments were compared. RESULTS: Twenty-one studies
(no randomized controlled trials) were identified. Most demonstrated a survival
benefit for patients who underwent palliative resection. Multivariate analysis
indicates that tumour burden and performance status are both major independent
prognostic variables. Selection bias, incomplete follow up and nonstandardized
reporting of complications make the data difficult to interpret. CONCLUSION: The
studies indicate that there may be a survival benefit for primary resection of
colorectal cancer in stage IV disease. The findings suggest that resection of the
primary tumour should be based on tumour burden and performance status rather
than on the presence or absence of symptoms alone.
PMID- 21899713
TI - The effect of ursodesoxycholic acid on duodenal adenomas in familial adenomatous
polyposis: a prospective randomized placebo-control trial.
AB - AIM: Duodenal adenomas occur in about 90% of patients with familial adenomatous
polyposis (FAP) and are the second cause of death of patients who have had a
prophylactic proctocolectomy. Studies suggest that biliary acids have a role in
the development of duodenal adenomas. The aim of this study was to evaluate the
impact of ursodesoxycholic acid (UDCA) on duodenal adenoma formation in patients
with FAP. METHOD: A randomized, double-blinded, placebo-controlled study was
carried out of 71 patients (20-65 years) who already had a restorative
proctocolectomy. Subjects received either 10 mg/kg of UDCA orally per day or a
placebo tablet for 24 months. The Spigelman severity score was determined after
duodenal axial and lateral view endoscopy at 12 and 24 months. RESULTS: At 2
years 55 patients had completed the entire period of treatment. At the end of the
follow-up period, nine (25%) patients in the UDCA group and seven (20%) in the
placebo group had a decrease in the Spigelman score (P = 0.6142). Patients
receiving UDCA had no side-effects (0%) compared with four (14%) in the placebo
group (P = 0.0392). CONCLUSION: UDCA had no effect on the development of duodenal
adenomas in FAP patients (NCT: 00134758).
PMID- 21899715
TI - Patterns and correlates of prescription opioid use in OEF/OIF veterans with
chronic noncancer pain.
AB - OBJECTIVES: Little is known about the treatment Operation Enduring
Freedom/Operation Iraqi Freedom (OEF/OIF) veterans receive for chronic noncancer
pain (CNCP). We sought to describe the prevalence of prescription opioid use,
types, and doses of opioids received and to identify correlates of receiving
prescription opioids for CNCP among OEF/OIF veterans. DESIGN: Retrospective
review of Veterans Affairs (VA) administrative data. SETTING: Ambulatory clinics
within a VA regional health care network. PATIENTS: OEF/OIF veterans who had at
least three elevated pain screening scores within a 12-month period in 2008.
Within this group, those prescribed opioids (N = 485) over the next 12 months
were compared with those not prescribed opioids (N = 277). In addition, patients
receiving opioids short term (<90 days, N = 284) were compared with patients
receiving them long term (>=90 consecutive days, N = 201). RESULTS: Of 762
OEF/OIF veterans with CNCP, 64% were prescribed at least one opioid medication
over the 12 months following their index dates. Of those prescribed an opioid,
59% were prescribed opioids short term and 41% were prescribed opioids long term.
The average morphine-equivalent opioid dose for short-term users was 23.7 mg
(standard deviation [SD] = 20.5) compared with 40.8 mg (SD = 36.1) for long-term
users (P < 0.001). Fifty-one percent of long-term opioid users were prescribed
short-acting opioids only, and one-third were also prescribed sedative hypnotics.
In adjusted analyses, diagnoses of low back pain, migraine headache,
posttraumatic stress disorder, and nicotine use disorder were associated with an
increased likelihood of receiving an opioid prescription. CONCLUSION:
Prescription opioid use is common among OEF/OIF veterans with CNCP and is
associated with several pain diagnoses and medical conditions.
PMID- 21899716
TI - The influence of Chinook winds and other weather patterns upon neuropathic pain.
AB - OBJECTIVE: Although Chinook winds are often viewed positively during a cold
prairie winter, patients suffering with neuropathic pain (NeP) anecdotally report
exacerbations of NeP during Chinooks and during other weather changes. Our
objective was to identify if Chinook winds lead to acute exacerbations in pain
severity in a NeP patient population. DESIGN: Prospective diary-based assessments
of patients with at least moderate NeP over 6-month periods during different
seasons of the year were performed. Concurrent weather conditions were tracked
hourly, with Chinook winds defined using accepted meteorological definition. We
also examined other aspects of weather including precipitation, temperature, and
humidity. Days with acute exacerbations were defined when a daily visual analog
score pain score was >=2 points above their average NeP score over the entire 6
month period. RESULTS: Chinooks were not associated with individual acute
exacerbations in NeP. Instead, Chinook days were found to be protective against
acute exacerbations in NeP (odds ratio 0.52 [0.33-0.71]). Post hoc study
associated Chinooks with NeP relief (odds ratio 1.83 [1.17-2.49]). We could not
identify relationship between precipitation or humidity with acute NeP
exacerbation. However, days with cold temperature <= -14 degrees C were
associated with greater risk of NeP exacerbation. CONCLUSION: Weather-mediated
changes occur for patients with NeP, manifesting as relief from Chinook winds
while cold temperature conditions can provoke exacerbations in NeP.
PMID- 21899717
TI - Use of machine learning theory to predict the need for femoral nerve block
following ACL repair.
AB - OBJECTIVE: We report on a classification approach using machine learning (ML)
algorithms for prediction of postoperative femoral nerve block (FNB) requirement
following anterior cruciate ligament (ACL) reconstruction. BACKGROUND: FNBs are
commonly performed for ACL reconstruction to control postoperative pain. Ideally,
anesthesiologists would target preoperative FNB only to ACL reconstruction
patients expected to experience severe postoperative pain. Perioperative factors
associated with postoperative FNB placement following ACL reconstruction remain
unclear, may differ among separate surgical facilities, and render such
predictions difficult. METHODS: We conducted a chart review of 349 patients who
underwent ACL reconstruction at a single outpatient surgical facility. Standard
perioperative data commonly available during routine preoperative examination
were recorded. ML classifiers based on logistic regression, BayesNet, multilayer
perceptron, support vector machine, and alternating decision tree (ADTree)
algorithms were then developed to predict which patients would require
postoperative FNB. RESULTS: Each of the ML algorithms outperformed traditional
logistic regression using a very limited data set as measured by the area under
the receiver operating curve, with ADTree achieving the highest score of 0.7 in
the cross-validated sample. Logistic regression outperformed all other
classifiers with regard to kappa statistics and percent correctly classified. All
models were prone to overfitting in comparisons of training vs cross-validated
samples. CONCLUSION: ML classifiers may offer improved predictive capabilities
when analyzing medical data sets compared with traditional statistical
methodologies in predicting severe postoperative pain requiring peripheral nerve
block.
PMID- 21899719
TI - Comparison of time within therapeutic INR range with percentage INR within
therapeutic range for assessing long-term anticoagulation control in children:
reply to a rebuttal.
PMID- 21899718
TI - Predictors of pain medication selection among patients diagnosed with
fibromyalgia.
AB - OBJECTIVES: Several pharmacologic therapies have been recommended for managing
fibromyalgia. However, the factors associated with each treatment initiation have
not been well established. This study assessed factors that were associated with
the use of duloxetine vs. other pain medications among patients with
fibromyalgia. RESEARCH DESIGN AND METHODS: Administrative claims from a large,
U.S. commercially insured population were analyzed using a retrospective cohort
design. Patients with fibromyalgia who were 18 to 64 years old and initiated
duloxetine vs. selective serotonin reuptake inhibitors (SSRIs), tricyclic
antidepressants (TCAs), venlafaxine, gabapentin, pregabalin, tramadol, or
nontramadol opioids between January 1, 2007 and December 12, 2008 were selected.
Treatment initiation was defined as no access to the same medication over the
previous 90 days, with the most recent initiation date as the index date. All
patients selected had at least one fibromyalgia diagnosis (ICD-9-CM: 729.1) in
the 12 months prior to initiation of each study medication. Multiple logistic
regression models were estimated to assess the predictors of initiating
duloxetine vs. each of the other medications. RESULTS: The study included 117,305
patients with fibromyalgia (48 years of age on average; 76% women) who initiated
duloxetine (n = 5,827), SSRIs (n = 8,620), TCAs (n = 5,424), venlafaxine (n =
2,038), gabapentin (n = 5,733), pregabalin (n = 11,152), tramadol (n = 7,312), or
nontramadol opioids (n = 71,199). Common fibromyalgia-related comorbidities were
low back pain (31% to 49%), osteoarthritis (14% to 21%), and sleep disturbance
(10% to 15%). Controlling for demographic and clinical characteristics, patients
who received pregabalin in the prior 12-month period were more likely to initiate
duloxetine. Patients from other treatment cohorts, except for those in the
pregabalin and nontramadol opioid cohorts, were more likely to re-initiate the
same prior medication than to begin treatment with duloxetine. Other predictors
of duloxetine initiation included history of rheumatoid and sleep disturbance.
CONCLUSIONS: The presence of select comorbidities and prior use of certain
medications were associated with the duloxetine initiation among working-age,
commercially insured patients with fibromyalgia.
PMID- 21899720
TI - Relaxin-3 null mutation mice display a circadian hypoactivity phenotype.
AB - Characterizing the neurocircuits and neurotransmitters that underlie arousal and
circadian sleep/wake patterns is an important goal of neuroscience research, with
potential implications for understanding human mental illnesses, such as major
depression. Recent anatomical and functional studies suggest that relaxin-3
neurons and their ascending projections contribute to these functions via actions
on key cortical, limbic and hypothalamic circuits. This study reports the
behavioral phenotype of C57BL/6J backcrossed relaxin-3 knockout (KO) mice.
Cohorts of adult, male and female relaxin-3 KO and wild-type (WT) littermate mice
were subjected to a battery of behavioral tests to assess sensorimotor function
and complex behavior. No overt deficits were detected in motor-coordination,
spatial memory, sensorimotor gating, anxiety-like behavior or locomotor behavior
in novel environments; and no marked genotype differences were observed in
response to a chronic stress protocol. Notably however, compared to WT mice,
relaxin-3 KO mice displayed robust hypoactivity during the dark/active phase when
provided with free home-cage access to voluntary running wheels. This circadian
hypoactivity was reflected by reduced time spent and distance traveled on running
wheels, coupled with an increase in the time spent immobile, possibly reflecting
increased sleeping. Overall, these studies support a role for relaxin-3 signaling
in the control of arousal and sleep/wakefulness, and identify the relaxin-3 KO
mouse as a useful model to study this role further.
PMID- 21899721
TI - Cutaneous malakoplakia.
PMID- 21899722
TI - Comment on 'Birdstrikes and barcoding: can DNA methods help make the airways
safer?'.
AB - GenBank is the database of record for public sequence data. Results reported in
the scientific literature that are based on sequence data cannot be evaluated if
the underlying data is not in the public record.
PMID- 21899723
TI - JML: testing hybridization from species trees.
AB - I introduce the software JML that tests for the presence of hybridization in
multispecies sequence data sets by posterior predictive checking following Joly,
McLenachan and Lockhart (2009, American Naturalist 174, e54). Although their
method could potentially be applied on any data set, the lack of appropriate
software made its application difficult. The software JML thus fills a need for
an easy application of the method but also includes improvements such as the
possibility to incorporate uncertainty in the species tree topology. The JML
software uses a posterior distribution of species trees, population sizes and
branch lengths to simulate replicate sequence data sets using the coalescent with
no migration. A test quantity, defined as the minimum pairwise sequence distance
between sequences of two species, is then evaluated on the simulated data sets
and compared to the one estimated from the original data. Because the test
quantity is a good predictor of hybridization events, departure from the
bifurcating species tree model could be interpreted as evidence of hybridization.
Software performance in terms of computing time is evaluated for several
parameters. I also show an application example of the software for detecting
hybridization among native diploid North American roses.
PMID- 21899726
TI - Sorting through the many opportunities for melanoma therapy.
PMID- 21899729
TI - Treatment of imported severe malaria with artesunate instead of quinine--more
evidence needed?
AB - Rapid and fast acting anti-malarials are essential to treat severe malaria.
Quinine has been the only option for parenteral therapy until recently. While
current evidence shows that intravenous artesunate is more effective than quinine
in treating severe malaria in endemic countries, some questions remain regarding
safety profiles and drug resistance. For imported severe malaria, additional
unanswered questions are related to generalizability of the findings from endemic
countries and to legal aspects, as there is no Good Manufacturing Practice
conform drug available yet. Here, the implications of existing evidence for the
treatment of imported severe malaria are discussed.
PMID- 21899728
TI - c-Myc dependent expression of pro-apoptotic Bim renders HER2-overexpressing
breast cancer cells dependent on anti-apoptotic Mcl-1.
AB - BACKGROUND: Anti-apoptotic signals induced downstream of HER2 are known to
contribute to the resistance to current treatments of breast cancer cells that
overexpress this member of the EGFR family. Whether or not some of these signals
are also involved in tumor maintenance by counteracting constitutive death
signals is much less understood. To address this, we investigated what role anti-
and pro-apoptotic Bcl-2 family members, key regulators of cancer cell survival,
might play in the viability of HER2 overexpressing breast cancer cells. METHODS:
We used cell lines as an in vitro model of HER2-overexpressing cells in order to
evaluate how anti-apoptotic Bcl-2, Bcl-xL and Mcl-1, and pro-apoptotic Puma and
Bim impact on their survival, and to investigate how the constitutive expression
of these proteins is regulated. Expression of the proteins of interest was
confirmed using lysates from HER2-overexpressing tumors and through analysis of
publicly available RNA expression data. RESULTS: We show that the depletion of
Mcl-1 is sufficient to induce apoptosis in HER2-overexpressing breast cancer
cells. This Mcl-1 dependence is due to Bim expression and it directly results
from oncogenic signaling, as depletion of the oncoprotein c-Myc, which occupies
regions of the Bim promoter as evaluated in ChIP assays, decreases Bim levels and
mitigates Mcl-1 dependence. Consistently, a reduction of c-Myc expression by
inhibition of mTORC1 activity abrogates occupancy of the Bim promoter by c-Myc,
decreases Bim expression and promotes tolerance to Mcl-1 depletion. Western blot
analysis confirms that naive HER2-overexpressing tumors constitutively express
detectable levels of Mcl-1 and Bim, while expression data hint on enrichment for
Mcl-1 transcripts in these tumors. CONCLUSIONS: This work establishes that, in
HER2-overexpressing tumors, it is necessary, and maybe sufficient, to
therapeutically impact on the Mcl-1/Bim balance for efficient induction of cancer
cell death.
PMID- 21899727
TI - State of the Art Review: Emerging Therapies: The Use of Insulin Sensitizers in
the Treatment of Adolescents with Polycystic Ovary Syndrome (PCOS).
AB - PCOS, a heterogeneous disorder characterized by cystic ovarian morphology,
androgen excess, and/or irregular periods, emerges during or shortly after
puberty. Peri- and post-pubertal obesity, insulin resistance and consequent
hyperinsulinemia are highly prevalent co-morbidities of PCOS and promote an
ongoing state of excess androgen. Given the relationship of insulin to androgen
excess, reduction of insulin secretion and/or improvement of its action at target
tissues offer the possibility of improving the physical stigmata of androgen
excess by correction of the reproductive dysfunction and preventing metabolic
derangements from becoming entrenched. While lifestyle changes that concentrate
on behavioral, dietary and exercise regimens should be considered as first line
therapy for weight reduction and normalization of insulin levels in adolescents
with PCOS, several therapeutic options are available and in wide use, including
oral contraceptives, metformin, thiazolidenediones and spironolactone.
Overwhelmingly, the data on the safety and efficacy of these medications derive
from the adult PCOS literature. Despite the paucity of randomized control trials
to adequately evaluate these modalities in adolescents, their use, particularly
that of metformin, has gained popularity in the pediatric endocrine community. In
this article, we present an overview of the use of insulin sensitizing
medications in PCOS and review both the adult and (where available) adolescent
literature, focusing specifically on the use of metformin in both mono- and
combination therapy.
PMID- 21899730
TI - Recent increase of genetic diversity in Plasmodium vivax population in the
Republic of Korea.
AB - BACKGROUND: The reemergence of Plasmodium vivax in South Korea since 1993
represents a serious public health concern. Despite the importance in
understanding genetic diversity for control strategies, however, studies remain
inconclusive with the general premise that due to low rate of malaria
transmission, there is generally low genetic diversity with very few strains
involved. In this study, the genetic diversity and population structure of P.
vivax in South Korea were explored by analysing microsatellite polymorphism.
METHODS: Sequences for 13 microsatellite loci distributed across the twelve
chromosomes of P. vivax were obtained from 58 South Korean isolates collected
during two sampling periods, namely 1997-2000 and 2007. The sequences were used
for the analysis of expected heterozygosity and multilocus genotype diversity.
Population structure was evaluated using STRUCTURE version 2.3.2. Linkage
disequilibrium was also analysed to investigate the extent of outbreeding in the
P. vivax population. RESULTS: Mean expected heterozygosity significantly
increased from 0.382 in 1997-2000 to 0.545 in 2007 (P < 0.05). The number of
multilocus genotypes was 7 and 27; and genotype diversity was statistically
significant (P < 0.01) at 0.661 and 0.995 in 1997-2000 and 2007, respectively.
Analysis by STRUCTURE showed a more complex population structure in 2007 than in
1997-2000. Linkage disequilibrium between 13 microsatellites, although
significant in both time points, was notably lower in 2007. CONCLUSIONS: The
present microsatellite analysis clearly showed recent increase of genetic
diversity and recent relaxation of the strong population structure observed in
1997-2000. These results suggest that multiple genotypes not present previously
recently migrated into South Korea, accompanied by substantial outbreeding
between different genotypes.
PMID- 21899731
TI - Malaria and pre-eclampsia in an area with unstable malaria transmission in
Central Sudan.
AB - BACKGROUND: Placental malaria and pre-eclampsia occur frequently in women in
tropics and are leading causes of maternal and perinatal morbidities and
mortality. Few data exist concerning the interaction between placental malaria
and pre-eclampsia. METHODS: A case control study was conducted in Medani
Hospital, which locates in an area of unstable malaria transmission in Central
Sudan. Case (N = 143) were women with pre-eclampsia, which was defined as
systolic blood pressure >= 140 mm Hg or diastolic blood pressure >= 90 mm Hg and
proteinuria. Controls were parturient women (N = 143) without any blood pressure
values > 139/89 mm Hg or proteinuria. Obstetrical and medical characteristics
were gathered from both groups through structured questionnaires. Placental
histopathology examinations for malaria were performed. RESULTS: Twenty-eight
(19.6%) vs. 16 (11.2%); P = 0.04 of the cases vs. controls, had placental malaria
infections. Five (2%), 1 (2%) and 22 (28.0%) vs. 1, 2 and 13 of the placentae
showed acute, chronic and past infection on histopathology examination in the two
groups respectively, while 115 (80.4%) vs.127 (88.8%) of them showed no
infection, P = 0.04. In multivariate analysis, while there were no associations
between age, parity, educational level, lack of antenatal care, blood groups and
body mass index and pre-eclampsia; family history of hypertension and placental
malaria (OR = 2.3, 95% CI = 1.0-5.2; P = 0.04) were significantly associated with
pre-eclampsia. CONCLUSION: Placental malaria was associated with pre-eclampsia.
Further research is needed.
PMID- 21899732
TI - The role of common variants of the cholesteryl ester transfer protein gene in
left main coronary artery disease.
AB - BACKGROUND: The cholesteryl ester transfer protein (CETP) has a central role in
the lipid metabolism and therefore may alter the susceptibility to
atherosclerosis. METHODS: The DNA of 471 subjects [133 subjects with
angiographically documented left main coronary artery disease (LMCAD), 241
subjects with more peripheral coronary artery disease (MPCAD) and 97 subjects
self reported healthy (Controls)] was analyzed for the frequency of TaqIB and
I405V polymorphisms in the gene coding CETP. RESULTS: There is no significant
difference in CETP allele frequency or genotype distribution among LMCAD and
MPCAD patients although there is statistical difference between LMCAD and
Controls (p = 0.001). Specifically, patients with LMCAD and B1B1 genotype of
TaqIB polymorphism were more frequent present compared to Controls (33.8% vs
22.9%, respectively). The frequency of B2B2 genotype was 3 times lower in the
LMCAD group compared to Controls (10.5% vs 30.2%, respectively). In the LMCAD
group the frequency of B1 allele compared to Controls was higher (62% vs 46%,
respectively, p = 0.001). The relationship between TaqIB gene polymorphism and
the LMCAD was independent of lipid profile, with the exception of apolipoprotein
A. CONCLUSIONS: These findings indicate that the TaqIB polymorphism may have
potential importance in screening individuals at high risk for developing CAD.
However, this polymorphism cannot distinguish between LMCAD and MPCAD. Further
prospective investigations in larger populations are required to confirm these
findings.
PMID- 21899733
TI - A multi-nutrient supplement reduced markers of inflammation and improved physical
performance in active individuals of middle to older age: a randomized, double
blind, placebo-controlled study.
AB - BACKGROUND: While exercise acts to combat inflammation and aging, the ability to
exercise may itself be compromised by inflammation and inflammation's impact on
muscle recovery and joint inflammation. A number of nutritional supplements have
been shown to reduce inflammation and improve recovery. The purpose of the
current investigation was to examine the effect of a multi-nutrient supplement
containing branched chain amino acids, taurine, anti-inflammatory plant extracts,
and B vitamins on inflammatory status, endothelial function, physical function,
and mood in middle-aged individuals. METHODS: Thirty-one healthy and active men
(N = 16, mean age 56 +/- 6.0 yrs) and women (N = 15, mean age = 52 +/- 7.5 yrs)
participated in this investigation. Subjects completed one 28 day cycle of
placebo supplementation and one 28 day cycle of multi-nutrient supplementation
(separated by a one week washout period) in a balanced, randomized, double-blind,
cross-over design. Subjects completed weekly perceptual logs (PROMIS-57, KOOS)
and pre- and post- testing around the supplementation period. Testing consisted
of brachial artery flow mediated dilation (FMD), blood measures, and physical
performance on vertical jump, handgrip strength, and balance (dispersion from
center of pressure). Significance for the investigation was p <= 0.05. RESULTS:
IL-6 significantly decreased in both men (from 1.2 +/- 0.2 to 0.7 +/- 0.4 pg.mL(
1)) and women (from 1.16 +/- 0.04 to 0.7 +/- 0.4 pg.mL(-1)). Perceived energy
also improved for both men (placebo: 1.8 +/- 0.7; supplement: 3.7 +/- 0.8 AUC)
and women (placebo: 1.2 +/- 0.7; supplement: 2.8 +/- 0.8 AUC). Alpha-1
antichymotrypsin (from 108.9 +/- 38.6 to 55.5 +/- 22.2 ug.mL(-1)), Creatine
Kinase (from 96 +/- 34 to 67 +/- 23 IU.L(-1)), general pain, and joint pain
decreased in men only, while anxiety and balance (from 0.52 +/- 0.13 to 0.45 +/-
0.12 cm) improved in women only. Men showed increased performance in vertical
jump power (from 2642 +/- 244 to 3134 +/- 282 W) and grip strength (from 42.1 +/-
5.9 to 48.5 +/- 4.9 kg). CONCLUSIONS: A multi-nutrient supplement is effective in
improving inflammatory status in both men and women, markers of pain, joint pain,
strength, and power in men only, and both anxiety and balance (a risk factor for
hip fracture) in women. Therefore, a multi-nutrient supplement may help middle
aged individuals to prolong physical function and maintain a healthy, active
lifestyle.
PMID- 21899734
TI - Proteomics study of changes in soybean lines resistant and sensitive to
Phytophthora sojae.
AB - BACKGROUND: Phytophthora sojae causes soybean root and stem rot, resulting in an
annual loss of 1-2 billion US dollars in soybean production worldwide. A
proteomic technique was used to determine the effects on soybean hypocotyls of
infection with P. sojae. RESULTS: In the present study, 46 differentially
expressed proteins were identified in soybean hypocotyls infected with P. sojae,
using two-dimensional electrophoresis and matrix-assisted laser
desorption/ionization tandem time of flight (MALDI-TOF/TOF). The expression
levels of 26 proteins were significantly affected at various time points in the
tolerant soybean line, Yudou25, (12 up-regulated and 14 down-regulated). In
contrast, in the sensitive soybean line, NG6255, only 20 proteins were
significantly affected (11 up-regulated and 9 down-regulated). Among these
proteins, 26% were related to energy regulation, 15% to protein destination and
storage, 11% to defense against disease, 11% to metabolism, 9% to protein
synthesis, 4% to secondary metabolism, and 24% were of unknown function.
CONCLUSION: Our study provides important information on the use of proteomic
methods for studying protein regulation during plant-oomycete interactions.
PMID- 21899736
TI - Leucine supplementation improves adiponectin and total cholesterol concentrations
despite the lack of changes in adiposity or glucose homeostasis in rats
previously exposed to a high-fat diet.
AB - BACKGROUND: Studies suggest that leucine supplementation (LS) has a therapeutic
potential to prevent obesity and to promote glucose homeostasis. Furthermore,
regular physical exercise is a widely accepted strategy for body weight
maintenance and also for the prevention of obesity. The aim of this study was to
determine the effect of chronic LS alone or combined with endurance training (ET)
as potential approaches for reversing the insulin resistance and obesity induced
by a high-fat diet (HFD) in rats. METHODS: Forty-seven rats were randomly divided
into two groups. Animals were fed a control diet-low fat (n = 10) or HFD (n =
37). After 15 weeks on HFD, all rats received the control diet-low fat and were
randomly divided according to treatment: reference (REF), LS, ET, and LS+ET (n =
7-8 rats per group). After 6 weeks of treatment, the animals were sacrificed and
body composition, fat cell volume, and serum concentrations of total cholesterol,
HDL-cholesterol, triacylglycerol, glucose, adiponectin, leptin and tumor necrosis
factor-alpha (TNF-alpha) were analyzed. RESULTS: At the end of the sixth week of
treatment, there was no significant difference in body weight between the REF,
LS, ET and LS+ET groups. However, ET increased lean body mass in rats (P =
0.019). In addition, ET was more effective than LS in reducing adiposity (P =
0.019), serum insulin (P = 0.022) and TNF-alpha (P = 0.044). Conversely, LS
increased serum adiponectin (P = 0.021) levels and reduced serum total
cholesterol concentration (P = 0.042). CONCLUSIONS: The results showed that LS
had no beneficial effects on insulin sensitivity or adiposity in previously obese
rats. On the other hand, LS was effective in increasing adiponectin levels and in
reducing total cholesterol concentration.
PMID- 21899735
TI - Osteoarthritis accelerates and exacerbates Alzheimer's disease pathology in mice.
AB - BACKGROUND: The purpose of this study was to investigate whether localized
peripheral inflammation, such as osteoarthritis, contributes to neuroinflammation
and neurodegenerative disease in vivo. METHODS: We employed the inducible Col1
IL1betaXAT mouse model of osteoarthritis, in which induction of osteoarthritis in
the knees and temporomandibular joints resulted in astrocyte and microglial
activation in the brain, accompanied by upregulation of inflammation-related gene
expression. The biological significance of the link between peripheral and brain
inflammation was explored in the APP/PS1 mouse model of Alzheimer's disease (AD)
whereby osteoarthritis resulted in neuroinflammation as well as exacerbation and
acceleration of AD pathology. RESULTS: Induction of osteoarthritis exacerbated
and accelerated the development of neuroinflammation, as assessed by glial cell
activation and quantification of inflammation-related mRNAs, as well as Abeta
pathology, assessed by the number and size of amyloid plaques, in the APP/PS1;
Col1-IL1betaXAT compound transgenic mouse. CONCLUSION: This work supports a model
by which peripheral inflammation triggers the development of neuroinflammation
and subsequently the induction of AD pathology. Better understanding of the link
between peripheral localized inflammation, whether in the form of osteoarthritis,
atherosclerosis or other conditions, and brain inflammation, may prove critical
to our understanding of the pathophysiology of disorders such as Alzheimer's,
Parkinson's and other neurodegenerative diseases.
PMID- 21899737
TI - Mimivirus reveals Mre11/Rad50 fusion proteins with a sporadic distribution in
eukaryotes, bacteria, viruses and plasmids.
AB - BACKGROUND: The Mre11/Rad50 complex and the homologous SbcD/SbcC complex in
bacteria play crucial roles in the metabolism of DNA double-strand breaks,
including DNA repair, genome replication, homologous recombination and non
homologous end-joining in cellular life forms and viruses. Here we investigated
the amino acid sequence of the Mimivirus R555 gene product, originally annotated
as a Rad50 homolog, and later shown to have close homologs in marine microbial
metagenomes. RESULTS: Our bioinformatics analysis revealed that R555 protein
sequence is constituted from the fusion of an N-terminal Mre11-like domain with a
C-terminal Rad50-like domain. A systematic database search revealed twelve
additional cases of Mre11/Rad50 (or SbcD/SbcC) fusions in a wide variety of
unrelated organisms including unicellular and multicellular eukaryotes, the
megaplasmid of a bacterium associated to deep-sea hydrothermal vents
(Deferribacter desulfuricans) and the plasmid of Clostridium kluyveri. We also
showed that R555 homologs are abundant in the metagenomes from different aquatic
environments and that they most likely belong to aquatic viruses. The observed
phyletic distribution of these fusion proteins suggests their recurrent creation
and lateral gene transfers across organisms. CONCLUSIONS: The existence of the
fused version of protein sequences is consistent with known functional
interactions between Mre11 and Rad50, and the gene fusion probably enhanced the
opportunity for lateral transfer. The abundance of the Mre11/Rad50 fusion genes
in viral metagenomes and their sporadic phyletic distribution in cellular
organisms suggest that viruses, plasmids and transposons played a crucial role in
the formation of the fusion proteins and their propagation into cellular genomes.
PMID- 21899738
TI - Lentviral-mediated RNAi to inhibit target gene expression of the porcine integrin
alphav subunit, the FMDV receptor, and against FMDV infection in PK-15 cells.
AB - BACKGROUND: shRNA targeting the integrin alphav subunit, which is the foot-and
mouth disease virus (FMDV) receptor, plays a key role in virus attachment to
susceptible cells. We constructed a RNAi lentiviral vector, ialphav pLenti6/BLOCK
-iTTM, which expressed siRNA targeting the FMDV receptor, the porcine integrin
alphav subunit, on PK-15 cells. We also produced a lentiviral stock, established
an ialphav-PK-15 cell line, evaluated the gene silencing efficiency of mRNA using
real-time qRT-PCR, integrand alphav expression by indirect immunofluorescence
assay (IIF) and cell enzyme linked immunosorbent assays (cell ELISA), and
investigated the in vivo inhibitory effect of shRNA on FMDV replication in PK-15
cells. RESULTS: Our results indicated successful establishment of the ialphav U6
RNAi entry vector and the ialphav pLenti6/BLOCK -iT expression vector. The
functional titer of obtained virus was 1.0 * 10(6) TU/mL. To compare with the
control and mock group, the ialphav-PK-15 group alphav mRNA expression rate in
group was reduced by 89.5%, whilst IIF and cell ELISA clearly indicated
suppression in the experimental group. Thus, ialphav-PK-15 cells could reduce
virus growth by more than three-fold and there was a > 99% reduction in virus
titer when cells were challenged with 10(2) TCID(50) of FMDV. CONCLUSIONS:
Ialphav-PK-15 cells were demonstrated as a cell model for anti-FMDV potency
testing, and this study suggests that shRNA could be a viable therapeutic
approach for controlling the severity of FMD infection and spread.
PMID- 21899739
TI - Heterologous prime-boost-boost immunisation of Chinese cynomolgus macaques using
DNA and recombinant poxvirus vectors expressing HIV-1 virus-like particles.
AB - BACKGROUND: There is renewed interest in the development of poxvirus vector-based
HIV vaccines due to the protective effect observed with repeated recombinant
canarypox priming with gp120 boosting in the recent Thai placebo-controlled
trial. This study sought to investigate whether a heterologous prime-boost-boost
vaccine regimen in Chinese cynomolgus macaques with a DNA vaccine and recombinant
poxviral vectors expressing HIV virus-like particles bearing envelopes derived
from the most prevalent clades circulating in sub-Saharan Africa, focused the
antibody response to shared neutralising epitopes. METHODS: Three Chinese
cynomolgus macaques were immunised via intramuscular injections using a regimen
composed of a prime with two DNA vaccines expressing clade A Env/clade B Gag
followed by boosting with recombinant fowlpox virus expressing HIV-1 clade D Gag,
Env and cholera toxin B subunit followed by the final boost with recombinant
modified vaccinia virus Ankara expressing HIV-1 clade C Env, Gag and human
complement protein C3d. We measured the macaque serum antibody responses by
ELISA, enumerated T cell responses by IFN-gamma ELISpot and assessed
seroneutralisation of HIV-1 using the TZM-bl beta-galactosidase assay with
primary isolates of HIV-1. RESULTS: This study shows that large and complex
synthetic DNA sequences can be successfully cloned in a single step into two
poxvirus vectors: MVA and FPV and the recombinant poxviruses could be grown to
high titres. The vaccine candidates showed appropriate expression of recombinant
proteins with the formation of authentic HIV virus-like particles seen on
transmission electron microscopy. In addition the b12 epitope was shown to be
held in common by the vaccine candidates using confocal immunofluorescent
microscopy. The vaccine candidates were safely administered to Chinese cynomolgus
macaques which elicited modest T cell responses at the end of the study but only
one out of the three macaques elicited an HIV-specific antibody response.
However, the antibodies did not neutralise primary isolates of HIV-1 or the V3
sensitive isolate SF162 using the TZM-bl beta-galactosidase assay. CONCLUSIONS:
MVA and FP9 are ideal replication-deficient viral vectors for HIV-1 vaccines due
to their excellent safety profile for use in humans. This study shows this novel
prime-boost-boost regimen was poorly immunogenic in Chinese cynomolgus macaques.
PMID- 21899740
TI - Characterization of a ViI-like phage specific to Escherichia coli O157:H7.
AB - Phage vB_EcoM_CBA120 (CBA120), isolated against Escherichia coli O157:H7 from a
cattle feedlot, is morphologically very similar to the classic phage ViI of
Salmonella enterica serovar Typhi. Until recently, little was known genetically
or physiologically about the ViI-like phages, and none targeting E. coli have
been described in the literature. The genome of CBA120 has been fully sequenced
and is highly similar to those of both ViI and the Shigella phage AG3. The core
set of structural and replication-related proteins of CBA120 are homologous to
those from T-even phages, but generally are more closely related to those from T4
like phages of Vibrio, Aeromonas and cyanobacteria than those of the
Enterobacteriaceae. The baseplate and method of adhesion to the host are,
however, very different from those of either T4 or the cyanophages. None of the
outer baseplate proteins are conserved. Instead of T4's long and short tail
fibers, CBA120, like ViI, encodes tail spikes related to those normally seen on
podoviruses. The 158 kb genome, like that of T4, is circularly permuted and
terminally redundant, but unlike T4 CBA120 does not substitute hmdCyt for
cytosine in its DNA. However, in contrast to other coliphages, CBA120 and related
coliphages we have isolated cannot incorporate 3H-thymidine (3H-dThd) into their
DNA. Protein sequence comparisons cluster the putative "thymidylate synthase" of
CBA120, ViI and AG3 much more closely with those of Delftia phage phiW-14,
Bacillus subtilis phage SPO1, and Pseudomonas phage YuA, all known to produce and
incorporate hydroxymethyluracil (hmdUra).
PMID- 21899741
TI - Effect of cinnamon on gastric emptying, arterial stiffness, postprandial lipemia,
glycemia, and appetite responses to high-fat breakfast.
AB - BACKGROUND: Cinnamon has been shown to delay gastric emptying of a high
carbohydrate meal and reduce postprandial glycemia in healthy adults. However, it
is dietary fat which is implicated in the etiology and is associated with
obesity, type 2 diabetes and cardiovascular disease. We aimed to determine the
effect of 3 g cinnamon (Cinnamomum zeylanicum) on GE, postprandial lipemic and
glycemic responses, oxidative stress, arterial stiffness, as well as appetite
sensations and subsequent food intake following a high-fat meal. METHODS: A
single-blind randomized crossover study assessed nine healthy, young subjects. GE
rate of a high-fat meal supplemented with 3 g cinnamon or placebo was determined
using the 13C octanoic acid breath test. Breath, blood samples and subjective
appetite ratings were collected in the fasted and during the 360 min postprandial
period, followed by an ad libitum buffet meal. Gastric emptying and 1-day fatty
acid intake relationships were also examined. RESULTS: Cinnamon did not change
gastric emptying parameters, postprandial triacylglycerol or glucose
concentrations, oxidative stress, arterial function or appetite (p < 0.05).
Strong relationships were evident (p < 0.05) between GE Thalf and 1-day
palmitoleic acid (r = -0.78), eiconsenoic acid (r = -0.84) and total omega-3
intake (r = -0.72). The ingestion of 3 g cinnamon had no effect on GE, arterial
stiffness and oxidative stress following a HF meal. CONCLUSIONS: 3 g cinnamon did
not alter the postprandial response to a high-fat test meal. We find no evidence
to support the use of 3 g cinnamon supplementation for the prevention or
treatment of metabolic disease. Dietary fatty acid intake requires consideration
in future gastrointestinal studies. TRIAL REGISTRATION: TRIAL REGISTRATION
NUMBER: at http://www.clinicaltrial.gov: NCT01350284.
PMID- 21899742
TI - Tumor cell-selective apoptosis induction through targeting of K(V)10.1 via
bifunctional TRAIL antibody.
AB - BACKGROUND: The search for strategies to target ion channels for therapeutic
applications has become of increasing interest. Especially, the potassium channel
K(V)10.1 (Ether-a-go-go) is attractive as target since this surface protein is
virtually not detected in normal tissue outside the central nervous system, but
is expressed in approximately 70% of tumors from different origins. METHODS: We
designed a single-chain antibody against an extracellular region of K(V)10.1
(scFv62) and fused it to the human soluble TRAIL. The K(V)10.1-specific scFv62
antibody -TRAIL fusion protein was expressed in CHO-K1 cells, purified by
chromatography and tested for biological activity. RESULTS: Prostate cancer
cells, either positive or negative for K(V)10.1 were treated with the purified
construct. After sensitization with cytotoxic drugs, scFv62-TRAIL induced
apoptosis only in K(V)10.1-positive cancer cells, but not in non-tumor cells, nor
in tumor cells lacking K(V)10.1 expression. In co-cultures with K(V)10.1-positive
cancer cells the fusion protein also induced apoptosis in bystander K(V)10.1
negative cancer cells, while normal prostate epithelial cells were not affected
when present as bystander. CONCLUSIONS: K(V)10.1 represents a novel therapeutic
target for cancer. We could design a strategy that selectively kills tumor cells
based on a K(V)10.1-specific antibody.
PMID- 21899743
TI - Growth of vertically aligned ZnO nanorods using textured ZnO films.
AB - A hydrothermal method to grow vertical-aligned ZnO nanorod arrays on ZnO films
obtained by atomic layer deposition (ALD) is presented. The growth of ZnO
nanorods is studied as function of the crystallographic orientation of the ZnO
films deposited on silicon (100) substrates. Different thicknesses of ZnO films
around 40 to 180 nm were obtained and characterized before carrying out the
growth process by hydrothermal methods. A textured ZnO layer with preferential
direction in the normal c-axes is formed on substrates by the decomposition of
diethylzinc to provide nucleation sites for vertical nanorod growth.
Crystallographic orientation of the ZnO nanorods and ZnO-ALD films was determined
by X-ray diffraction analysis. Composition, morphologies, length, size, and
diameter of the nanorods were studied using a scanning electron microscope and
energy dispersed x-ray spectroscopy analyses. In this work, it is demonstrated
that crystallinity of the ZnO-ALD films plays an important role in the vertical
aligned ZnO nanorod growth. The nanorod arrays synthesized in solution had a
diameter, length, density, and orientation desirable for a potential application
as photosensitive materials in the manufacture of semiconductor-polymer solar
cells. PACS: 61.46.Hk, Nanocrystals; 61.46.Km, Structure of nanowires and
nanorods; 81.07.Gf, Nanowires; 81.15.Gh, Chemical vapor deposition (including
plasma-enhanced CVD, MOCVD, ALD, etc.).
PMID- 21899745
TI - Correlation between measures of insulin resistance in fasting and non-fasting
blood.
AB - BACKGROUND: Epidemiological investigation of insulin resistance is difficult.
Standard measures of insulin resistance require invasive investigations, which
are impractical for large-scale studies. Surrogate measures using fasting blood
samples have been developed, but even these are difficult to obtain in population
based studies. Measures of insulin resistance have not been validated in non
fasting blood samples. Our objective was to assess the correlations between
fasting and non-fasting measures of insulin resistance/sensitivity. METHODS:
Fasting and non-fasting measurements of metabolic function were compared in 30
volunteers (15 male) aged 28 to 48 years. Participants provided a morning blood
sample after an overnight fast and a second sample approximately 4 hours after
lunch on the same day. RESULTS: Non-fasting levels of the adipokines leptin,
adiponectin, and leptin:adiponectin ratios were not significantly different and
highly correlated with fasting values (r values 0.95, 0.96, and 0.95
respectively, P values < 0.001). There were moderate correlations between fasting
and non-fasting estimates of insulin sensitivity using the McAuley (r = 0.60, P =
0.001) and QUICKI formulae (r = 0.39, P = 0.037). The HOMA-IR estimate of insulin
resistance was also moderately correlated (r = 0.45, P = 0.016). CONCLUSIONS:
Semi-fasting measures of leptin, adiponectin, and leptin:adiponectin ratios
correlate closely with fasting values and are likely to be sufficient for
population-based research. Other measures of insulin resistance or sensitivity in
semi-fasted blood samples are moderately correlated with values obtained after an
overnight fast. These estimates of insulin resistance/sensitivity may also be
adequate for many epidemiological studies and would avoid the difficulties of
obtaining fasting blood samples.
PMID- 21899744
TI - Deciphering the role of Epstein-Barr virus in the pathogenesis of T and NK cell
lymphoproliferations.
AB - Epstein-Barr virus (EBV) is a highly successful herpesvirus, colonizing more
than 90% of the adult human population worldwide, although it is also associated
with various malignant diseases. Primary infection is usually clinically silent,
and subsequent establishment of latency in the memory B lymphocyte compartment
allows persistence of the virus in the infected host for life. EBV is so markedly
B-lymphotropic when exposed to human lymphocytes in vitro that the association of
EBV with rare but distinct types of T and NK cell lymphoproliferations was quite
unexpected. Whilst relatively rare, these EBV-associated T and NK
lymphoproliferations can be therapeutically challenging and prognosis for the
majority of patients is dismal. In this review, we summarize the current
knowledge on the role of EBV in the pathogenesis of these tumours, and the
implications for treatment.
PMID- 21899746
TI - Lynch syndrome: barriers to and facilitators of screening and disease management.
AB - BACKGROUND: Lynch syndrome is a hereditary cancer with confirmed carriers at high
risk for colorectal (CRC) and extracolonic cancers. The purpose of the current
study was to develop a greater understanding of the factors influencing decisions
about disease management post-genetic testing. METHODS: The study used a grounded
theory approach to data collection and analysis as part of a multiphase project
examining the psychosocial and behavioral impact of predictive DNA testing for
Lynch syndrome. Individual and small group interviews were conducted with
individuals from 10 families with the MSH2 intron 5 splice site mutation or exon
8 deletion. The data from confirmed carriers (n = 23) were subjected to re
analysis to identify key barriers to and/or facilitators of screening and disease
management. RESULTS: Thematic analysis identified personal, health care provider
and health care system factors as dominant barriers to and/or facilitators of
managing Lynch syndrome. Person-centered factors reflect risk perceptions and
decision-making, and enduring screening/disease management. The perceived
knowledge and clinical management skills of health care providers also influenced
participation in recommended protocols. The health care system
barriers/facilitators are defined in terms of continuity of care and coordination
of services among providers. CONCLUSIONS: Individuals with Lynch syndrome often
encounter multiple barriers to and facilitators of disease management that go
beyond the individual to the provider and health care system levels. The current
organization and implementation of health care services are inadequate. A
coordinated system of local services capable of providing integrated, efficient
health care and follow-up, populated by providers with knowledge of hereditary
cancer, is necessary to maintain optimal health.
PMID- 21899747
TI - Intrauterine growth retardation--small events, big consequences.
AB - Intrauterine growth retardation refers to a rate of growth of a fetus that is
less than normal for the growth potential of a fetus (for that particular
gestational age). As one of the leading causes of perinatal mortality and
morbidity, intrauterine growth retardation has immense implications for the short
term and long term growth of children. It is an important public health concern
in the developing countries. Health statistics encompassing parameters for
maternal and child health in the Indian subcontinent have shown improvement in
the past few years but they are still far from perfect. Maternal health,
education and empowerment bears a strong influence on perinatal outcomes
including intrauterine growth retardation and should be the primary focus of any
stratagem targeted at reducing the incidence of intrauterine growth retardation.
A concerted liaison of various medical and social disciplines is imperative in
this regard.
PMID- 21899748
TI - Comparative performance of precommercial cellulases hydrolyzing pretreated corn
stover.
AB - BACKGROUND: Cellulases and related hydrolytic enzymes represent a key cost factor
for biochemical conversion of cellulosic biomass feedstocks to sugars for
biofuels and chemicals production. The US Department of Energy (DOE) is cost
sharing projects to decrease the cost of enzymes for biomass saccharification.
The performance of benchmark cellulase preparations produced by Danisco, DSM,
Novozymes and Verenium to convert pretreated corn stover (PCS) cellulose to
glucose was evaluated under common experimental conditions and is reported here
in a non-attributed manner. RESULTS: Two hydrolysis modes were examined,
enzymatic hydrolysis (EH) of PCS whole slurry or washed PCS solids at pH 5 and 50
degrees C, and simultaneous saccharification and fermentation (SSF) of washed PCS
solids at pH 5 and 38 degrees C. Enzymes were dosed on a total protein mass
basis, with protein quantified using both the bicinchoninic acid (BCA) assay and
the Bradford assay. Substantial differences were observed in absolute cellulose
to glucose conversion performance levels under the conditions tested. Higher
cellulose conversion yields were obtained using washed solids compared to whole
slurry, and estimated enzyme protein dosages required to achieve a particular
cellulose conversion to glucose yield were extremely dependent on the protein
assay used. All four enzyme systems achieved glucose yields of 90% of theoretical
or higher in SSF mode. Glucose yields were reduced in EH mode, with all enzymes
achieving glucose yields of at least 85% of theoretical on washed PCS solids and
75% in PCS whole slurry. One of the enzyme systems ('enzyme B') exhibited the
best overall performance. However in attaining high conversion yields at lower
total enzyme protein loadings, the relative and rank ordered performance of the
enzyme systems varied significantly depending upon which hydrolysis mode and
protein assay were used as the basis for comparison. CONCLUSIONS: This study
provides extensive information about the performance of four precommercial
cellulase preparations. Though test conditions were not necessarily optimal for
some of the enzymes, all were able to effectively saccharify PCS cellulose. Large
differences in the estimated enzyme dosage requirements depending on the assay
used to measure protein concentration highlight the need for better consensus
methods to quantify enzyme protein.
PMID- 21899749
TI - An extended phylogenetic analysis reveals ancient origin of "non-green"
phosphoribulokinase genes from two lineages of "green" secondary photosynthetic
eukaryotes: Euglenophyta and Chlorarachniophyta.
AB - BACKGROUND: Euglenophyta and Chlorarachniophyta are groups of photosynthetic
eukaryotes harboring secondary plastids of distinct green algal origins. Although
previous phylogenetic analyses of genes encoding Calvin cycle enzymes
demonstrated the presence of genes apparently not derived from green algal
endosymbionts in the nuclear genomes of Euglena gracilis (Euglenophyta) and
Bigelowiella natans (Chlorarachniophyta), the origins of these "non-green" genes
in "green" secondary phototrophs were unclear due to the limited taxon sampling.
RESULTS: Here, we sequenced five new phosphoribulokinase (PRK) genes (from one
euglenophyte, two chlorarachniophytes, and two glaucophytes) and performed an
extended phylogenetic analysis of the genes based on a phylum-wide taxon sampling
from various photosynthetic eukaryotes. Our phylogenetic analyses demonstrated
that the PRK sequences form two genera of Euglenophyta formed a robust
monophyletic group within a large clade including stramenopiles, haptophytes and
a cryptophyte, and three genera of Chlorarachniophyta were placed within the red
algal clade. These "non-green" affiliations were supported by the taxon-specific
insertion/deletion sequences in the PRK alignment, especially between
euglenophytes and stramenopiles. In addition, phylogenetic analysis of another
Calvin cycle enzyme, plastid-targeted sedoheptulose-bisphosphatase (SBP), showed
that the SBP sequences from two genera of Chlorarachniophyta were positioned
within a red algal clade. CONCLUSIONS: Our results suggest that PRK genes may
have been transferred from a "stramenopile" ancestor to Euglenophyta and from a
"red algal" ancestor to Chlorarachniophyta before radiation of extant taxa of
these two "green" secondary phototrophs. The presence of two of key Calvin cycle
enzymes, PRK and SBP, of red algal origins in Chlorarachniophyta indicate that
the contribution of "non-green" algae to the plastid proteome in the "green"
secondary phototrophs is more significant than ever thought. These "non-green"
putative plastid-targeted enzymes from Chlorarachniophyta are likely to have
originated from an ancestral red alga via horizontal gene transfer, or from a
cryptic red algal endosymbiosis in the common ancestor of the extant
chlorarachniophytes.
PMID- 21899750
TI - Infarctions in the vascular territory of the posterior cerebral artery: clinical
features in 232 patients.
AB - BACKGROUND: Ischemic stroke caused by infarction in the territory of the
posterior cerebral artery (PCA) has not been studied as extensively as
infarctions in other vascular territories. This single centre, retrospective
clinical study was conducted a) to describe salient characteristics of stroke
patients with PCA infarction, b) to compare data of these patients with those
with ischaemic stroke due to middle cerebral artery (MCA) and anterior cerebral
artery (ACA) infarctions, and c) to identify predictors of PCA stroke. FINDINGS:
A total of 232 patients with PCA stroke were included in the "Sagrat Cor Hospital
of Barcelona Stroke Registry" during a period of 19 years (1986-2004). Data from
stroke patients are entered in the stroke registry following a standardized
protocol with 161 items regarding demographics, risk factors, clinical features,
laboratory and neuroimaging data, complications and outcome. The characteristics
of these 232 patients with PCA stroke were compared with those of the 1355
patients with MCA infarctions and 51 patients with ACA infarctions included in
the registry.Infarctions of the PCA accounted for 6.8% of all cases of stroke (n
= 3808) and 9.6% of cerebral infarctions (n = 2704). Lacunar infarction was the
most frequent stroke subtype (34.5%) followed by atherothrombotic infarction
(29.3%) and cardioembolic infarction (21.6%). In-hospital mortality was 3.9% (n =
9). Forty-five patients (19.4%) were symptom-free at hospital discharge.
Hemianopia (odds ratio [OR] = 6.43), lacunar stroke subtype (OR = 2.18), symptom
free at discharge (OR = 1.92), limb weakness (OR = 0.10), speech disorders (OR =
0.33) and cardioembolism (OR = 0.65) were independent variables of PCA stroke in
comparison with MCA infarction, whereas sensory deficit (OR = 2.36), limb
weakness (OR = 0.11) and cardioembolism as stroke mechanism (OR = 0.43) were
independent variables associated with PCA stroke in comparison with ACA
infarction. CONCLUSIONS: Lacunar stroke is the main subtype of infarction
occurring in the PCA territory. Several clinical features are more frequent in
stroke patients with PCA infarction than in patients with ischaemic stroke due to
infarction in the MCA and ACA territories. In-hospital mortality in patients with
PCA territory is low.
PMID- 21899751
TI - Deep resequencing of the voltage-gated potassium channel subunit KCNE3 gene in
chronic tinnitus.
AB - Membrane-stabilizing drugs have long been used for the treatment of chronic
tinnitus, suggesting an underlying disturbance of sensory excitability due to
changes in ion conductance. The present study addresses the potassium channel
subunit gene KCNE3 as a potential candidate for tinnitus susceptibility. 288
Caucasian outpatients with a diagnosis of chronic tinnitus were systematically
screened for mutations in the KCNE3 open reading frame and in the adjacent region
by direct sequencing. Allele frequencies were determined for 11 known variants of
which two (F66F and R83H) were polymorphic but were not associated with the
disorder. No novel variants were identified and only three carriers of R83H were
noted. However, owing to a lack of power, our study can neither rule out effects
of KCNE3 on the risk for developing chronic tinnitus, nor can it exclude a role
in predicting the severity of tinnitus. More extensive investigations are
invited, including tests for possible effects of variation in this ion channel
protein on the response to treatment.
PMID- 21899752
TI - Methods to recognize work-related cancer in workplaces, the general population,
and by experts in the clinic, a Norwegian experience.
AB - BACKGROUND: In most countries, the numbers of work-related cancer identified are
much lower than are the estimated total burden of cancer caused by exposure at
work. Therefore, there is a great need to use all available practical as well as
epidemiological methods for identification as well as to develop new methods of
recognizing cases of work-related cancers. METHODS: Primarily based on practical
experiences from Norway, methods to identify cases of possible work-related
cancers in the general population and at workplaces as well as methods to
recognize more specific cases after referral to specialized clinics are reviewed
in this publication. RESULTS: Countries applying a number of the available
methods to detect work-related cancer reach a reporting rate of 60 such cases per
million, while other countries that do not employ such methods hardly identify
any cases. As most subjects previously exposed to cancer causing agents and
substances at work are gradually recruited out of work, methods should be
versatile for identification of cases in the general population, as well as at
work. CONCLUSIONS: Even in countries using a number of the available methods for
identification, only a limited fraction of the real number of work-related cancer
are notified to the labour inspectorate. Clinicians should be familiar with the
methods and do the best to identify work-related cancer to serve prevention.
PMID- 21899753
TI - Tailored Implementation For Chronic Diseases (TICD): a project protocol.
AB - BACKGROUND: The assumption underlying tailoring is that implementation
interventions are most helpful if these effectively address the most important
determinants of practice for improvement in the targeted setting. The aim of the
Tailored Implementation For Chronic Diseases (TICD) project is to develop valid
and efficient methods of tailoring implementation interventions to determinants
of practice for knowledge implementation in chronic illness care. METHODS: The
TICD project has organized the planned empirical research in three work packages
that follow the three main steps of tailoring: identification of determinants of
healthcare practice, matching implementation interventions to identified
determinants of practice, and applying and assessing the tailored implementation
interventions. These three key steps of tailored implementation will be applied
to targeted chronic conditions in five different healthcare systems:
cardiovascular disease in the Netherlands, obesity in England, depression in
Norway, chronic obstructive pulmonary disease in Poland, and multimorbidity in
Germany. The design and interpretation of empirical research will be informed by
systematic reviews of previous research on tailoring implementation
interventions. DISCUSSION: The TICD project will provide much needed evidence on
the advantages and disadvantages of different methods of identifying important
determinants of practice and selecting implementation strategies that take
account of those. It will also provide five rigorous evaluations of tailored
implementation interventions for five different chronic conditions.
PMID- 21899754
TI - A comparison of policy and direct practice stakeholder perceptions of factors
affecting evidence-based practice implementation using concept mapping.
AB - BACKGROUND: The goal of this study was to assess potential differences between
administrators/policymakers and those involved in direct practice regarding
factors believed to be barriers or facilitating factors to evidence-based
practice (EBP) implementation in a large public mental health service system in
the United States. METHODS: Participants included mental health system county
officials, agency directors, program managers, clinical staff, administrative
staff, and consumers. As part of concept mapping procedures, brainstorming groups
were conducted with each target group to identify specific factors believed to be
barriers or facilitating factors to EBP implementation in a large public mental
health system. Statements were sorted by similarity and rated by each participant
in regard to their perceived importance and changeability. Multidimensional
scaling, cluster analysis, descriptive statistics and t-tests were used to
analyze the data. RESULTS: A total of 105 statements were distilled into 14
clusters using concept-mapping procedures. Perceptions of importance of factors
affecting EBP implementation varied between the two groups, with those involved
in direct practice assigning significantly higher ratings to the importance of
Clinical Perceptions and the impact of EBP implementation on clinical practice.
Consistent with previous studies, financial concerns (costs, funding) were rated
among the most important and least likely to change by both groups. CONCLUSIONS:
EBP implementation is a complex process, and different stakeholders may hold
different opinions regarding the relative importance of the impact of EBP
implementation. Implementation efforts must include input from stakeholders at
multiple levels to bring divergent and convergent perspectives to light.
PMID- 21899755
TI - Dysembryoplastic neuroepithelial tumor and probable sudden unexplained death in
epilepsy: a case report.
AB - INTRODUCTION: This is the first report of the case of a patient with a natural
history of dysembryoplastic neuroepithelial tumor associated with probable sudden
unexplained death in epilepsy. These tumors are benign, arising within the
supratentorial cortex. Over 100 cases have been reported in the literature since
the first description by Daumas-Duport in 1988. CASE PRESENTATION: A 24- year-old
Caucasian woman had a long period of intractable complex partial seizures,
sometimes with tonic-clonic generalization and neuropsychological abnormalities.
Magnetic resonance imaging showed a cortico-subcortical parietal tumor with all
the characteristics of these types of tumors. After 14 years of evolution, our
patient died suddenly during sleep. CONCLUSION: To the best of our knowledge,
this is the first case of probable sudden unexplained death in symptomatic
epilepsy due to dysembryoplastic neuroepithelial tumor with natural history.
Early and complete excision, with functional studies before and during the
surgery, leads to better control of seizures, avoiding neuropsychological changes
and the risk of death. Patients with refractory epilepsy should be evaluated for
any sleep disorders and should have complete cardiology assessments including
electrocardiographic evaluation of cardiac rhythm disturbances.
PMID- 21899756
TI - Giant right coronary artery aneurysm presenting with non-ST elevation myocardial
infarction and severe mitral regurgitation: a case report.
AB - INTRODUCTION: Coronary artery aneurysms are seen in 1.5-5% of patients presenting
for coronary angiography, but giant aneurysms, defined as being greater than 2 cm
in diameter, are rare. Given the paucity of cases and limited experience in
diagnosis and management of the disease, each case is a learning tool in itself.
CASE PRESENTATION: We report the rare case of a 78-year-old Caucasian man who
presented to a peripheral emergency department with chest pain and was
subsequently found to have a giant right coronary artery aneurysm. Following
initial investigation and treatment he was referred to our hospital for
definitive management. CONCLUSION: The case described illustrates one of the
varied presentations and subsequent management of an ill-defined and
heterogeneous disease process. Given the limited experience with giant aneurysms
in the coronary circulation, this case provides valuable insight into the
clinical presentation of the disease and gives an example of the management of
the most recent such case at our hospital.
PMID- 21899757
TI - Pigmented villonodular synovitis of the hip in systemic lupus erythematosus: a
case report.
AB - INTRODUCTION: Pigmented villonodular synovitis is a rare disease of unknown
etiology mostly affecting the knee and foot. Until now an association with
autoimmune diseases has not been reported. CASE PRESENTATION: The diagnosis of
systemic lupus erythematosus was made in a 15-year-old Caucasian girl based on
otherwise unexplained fatigue, arthralgia, tenosynovitis, leukopenia, low
platelets and the presence of antinuclear and deoxyribonucleic antibodies. At the
age of 20 a renal biopsy revealed lupus nephritis class IV and she went into
complete remission with mycophenolate mofetil and steroids. She was kept on
mycophenolate mofetil for maintenance therapy. At the age of 24 she experienced a
flare-up of lupus nephritis with nephrotic syndrome and new onset of pain in her
right hip. Magnetic resonance imaging, arthroscopy and subtotal synovectomy
identified pigmented villonodular synovitis as the underlying diagnosis. Although
her systemic lupus erythematosus went into remission with another course of
steroids and higher doses of mycophenolate mofetil, the pigmented villonodular
synovitis persisted and she had to undergo open synovectomy to control her
symptoms. CONCLUSION: Systemic lupus erythematosus is associated with many
different musculoskeletal manifestations including synovitis and arthritis.
Pigmented villonodular synovitis has not previously been reported in association
with systemic lupus erythematosus, but as its etiology is still unknown, the
present case raises the question about a causal relationship between systemic
lupus erythematosus and pigmented villonodular synovitis.
PMID- 21899758
TI - Acute abdomen due to spontaneous splenic rupture as the first presentation of
lung malignancy: a case report.
AB - INTRODUCTION: Spontaneous splenic rupture is well recognized in the context of
hematological malignancies (lymphoproliferative and myeloproliferative
disorders); a few case reports have also linked solid tumors, such as pancreatic
and liver cancer, with the occurrence of spontaneous splenic rupture. This is the
first case report of lung cancer as a likely cause of spontaneous splenic
rupture. CASE PRESENTATION: A 61-year-old Caucasian woman presented to our
hospital with non-specific symptoms. She developed an 'acute' abdomen and went
into a state of shock within twelve hours of her presentation. She was diagnosed
with spontaneous splenic rupture with radiology and following a laparotomy. She
made an uneventful recovery postoperatively and was simultaneously found to have
a bronchial adenocarcinoma. CONCLUSION: Spontaneous splenic rupture is a
potentially fatal but often unrecognized cause of acute abdomen. It should be
routinely considered in the differential diagnosis of acute ('surgical') abdomen
and when present it should be promptly dealt with, most commonly with a
laparotomy. Once the diagnosis is confirmed there should be an aggressive drive
to identify an underlying etiology; malignancy is the commonest culprit. Solid
tumors should be considered as underlying causes despite being less common than
hematological neoplasms. This case report demonstrates lung malignancy as an
underlying precipitating cause of spontaneous splenic rupture.
PMID- 21899759
TI - The putative Notch ligand HyJagged is a transmembrane protein present in all cell
types of adult Hydra and upregulated at the boundary between bud and parent.
AB - BACKGROUND: The Notch signalling pathway is conserved in pre-bilaterian animals.
In the Cnidarian Hydra it is involved in interstitial stem cell differentiation
and in boundary formation during budding. Experimental evidence suggests that in
Hydra Notch is activated by presenilin through proteolytic cleavage at the S3
site as in all animals. However, the endogenous ligand for HvNotch has not been
described yet. RESULTS: We have cloned a cDNA from Hydra, which encodes a bona
fide Notch ligand with a conserved domain structure similar to that of Jagged
like Notch ligands from other animals. Hyjagged mRNA is undetectable in adult
Hydra by in situ hybridisation but is strongly upregulated and easily visible at
the border between bud and parent shortly before bud detachment. In contrast,
HyJagged protein is found in all cell types of an adult hydra, where it localises
to membranes and endosomes. Co-localisation experiments showed that it is present
in the same cells as HvNotch, however not always in the same membrane structures.
CONCLUSIONS: The putative Notch ligand HyJagged is conserved in Cnidarians.
Together with HvNotch it may be involved in the formation of the parent-bud
boundary in Hydra. Moreover, protein distribution of both, HvNotch receptor and
HyJagged indicate a more widespread function for these two transmembrane proteins
in the adult hydra, which may be regulated by additional factors, possibly
involving endocytic pathways.
PMID- 21899760
TI - Comprehensive SNP array study of frequently used neuroblastoma cell lines; copy
neutral loss of heterozygosity is common in the cell lines but uncommon in
primary tumors.
AB - BACKGROUND: Copy neutral loss of heterozygosity (CN-LOH) refers to a special case
of LOH occurring without any resulting loss in copy number. These alterations is
sometimes seen in tumors as a way to inactivate a tumor suppressor gene and have
been found to be important in several types of cancer. RESULTS: We have used high
density single nucleotide polymorphism arrays in order to investigate the
frequency and distribution of CN-LOH and other allelic imbalances in
neuroblastoma (NB) tumors and cell lines. Our results show that the frequency of
these near-CN-LOH events is significantly higher in the cell lines compared to
the primary tumors and that the types of CN-LOH differ between the groups. We
also show that the low-risk neuroblastomas that are generally considered to have
a "triploid karyotype" often present with a complex numerical karyotype (no
segmental changes) with 2-5 copies of each chromosome. Furthermore a comparison
has been made between the three related cell lines SK-N-SH, SH-EP and SH-SY5Y
with respect to overall genetic aberrations, and several aberrations unique to
each of the cell lines has been found. CONCLUSIONS: We have shown that the NB
tumors analyzed contain several interesting allelic imbalances that would either
go unnoticed or be misinterpreted using other genome-wide techniques. These
findings indicate that the genetics underlying NB might be even more complex than
previously known and that SNP arrays are important analysis tools. We have also
showed that these near-CN-LOH events are more frequently seen in NB cell lines
compared to NB tumors and that a set of highly related cell lines have continued
to evolve secondary to the subcloning event. Taken together our analysis
highlights that cell lines in many cases differ substantially from the primary
tumors they are thought to represent, and that caution should be taken when
drawing conclusions from cell line-based studies.
PMID- 21899761
TI - WebMGA: a customizable web server for fast metagenomic sequence analysis.
AB - BACKGROUND: The new field of metagenomics studies microorganism communities by
culture-independent sequencing. With the advances in next-generation sequencing
techniques, researchers are facing tremendous challenges in metagenomic data
analysis due to huge quantity and high complexity of sequence data. Analyzing
large datasets is extremely time-consuming; also metagenomic annotation involves
a wide range of computational tools, which are difficult to be installed and
maintained by common users. The tools provided by the few available web servers
are also limited and have various constraints such as login requirement, long
waiting time, inability to configure pipelines etc. RESULTS: We developed WebMGA,
a customizable web server for fast metagenomic analysis. WebMGA includes over 20
commonly used tools such as ORF calling, sequence clustering, quality control of
raw reads, removal of sequencing artifacts and contaminations, taxonomic
analysis, functional annotation etc. WebMGA provides users with rapid metagenomic
data analysis using fast and effective tools, which have been implemented to run
in parallel on our local computer cluster. Users can access WebMGA through web
browsers or programming scripts to perform individual analysis or to configure
and run customized pipelines. WebMGA is freely available at http://weizhongli
lab.org/metagenomic-analysis. CONCLUSIONS: WebMGA offers to researchers many fast
and unique tools and great flexibility for complex metagenomic data analysis.
PMID- 21899762
TI - A method for zooming of nonlinear models of biochemical systems.
AB - BACKGROUND: Models of biochemical systems are typically complex, which may
complicate the discovery of cardinal biochemical principles. It is therefore
important to single out the parts of a model that are essential for the function
of the system, so that the remaining non-essential parts can be eliminated.
However, each component of a mechanistic model has a clear biochemical
interpretation, and it is desirable to conserve as much of this interpretability
as possible in the reduction process. Furthermore, it is of great advantage if we
can translate predictions from the reduced model to the original model. RESULTS:
In this paper we present a novel method for model reduction that generates
reduced models with a clear biochemical interpretation. Unlike conventional
methods for model reduction our method enables the mapping of predictions by the
reduced model to the corresponding detailed predictions by the original model.
The method is based on proper lumping of state variables interacting on short
time scales and on the computation of fraction parameters, which serve as the
link between the reduced model and the original model. We illustrate the
advantages of the proposed method by applying it to two biochemical models. The
first model is of modest size and is commonly occurring as a part of larger
models. The second model describes glucose transport across the cell membrane in
baker's yeast. Both models can be significantly reduced with the proposed method,
at the same time as the interpretability is conserved. CONCLUSIONS: We introduce
a novel method for reduction of biochemical models that is compatible with the
concept of zooming. Zooming allows the modeler to work on different levels of
model granularity, and enables a direct interpretation of how modifications to
the model on one level affect the model on other levels in the hierarchy. The
method extends the applicability of the method that was previously developed for
zooming of linear biochemical models to nonlinear models.
PMID- 21899763
TI - How often is a work-up for Legionella pursued in patients with pneumonia? a
retrospective study.
AB - BACKGROUND: It is unclear how often patients with pneumonia are assessed for
Legionella in endemic areas. Additionally, the sensitivity of the IDSA/ATS
criteria for recommended Legionella testing is undefined. METHODS: We performed a
single-center, retrospective study of patients diagnosed with Legionella
pneumonia at our hospital to determine: 1) how often Legionella diagnostic
testing is obtained on patients with pneumonia at the time of hospitalization or
when pneumonia developed during hospitalization; and 2) how often patient's with
Legionella pneumonia met at least one of the five criteria in the IDSA/ATS
guidelines recommending a work-up for Legionella. Patients with Legionella
pneumonia were identified using an infection control software program. Medical
records of these patients were then reviewed. RESULTS: Thirty-five percent of
patients with a discharge diagnosis of pneumonia had Legionella urine antigen
testing and/or a Legionella culture performed. Forty-four percent of patients who
had a bronchoscopic specimen sent for microbiologic testing had a Legionella
culture performed on the bronchoscopic specimen and/or Legionella urine antigen
testing. Of 37 adult patients with Legionella pneumonia, 22 (59%) met the IDSA
ATS criteria recommending Legionella testing. CONCLUSION: Following current
recommendations for Legionella testing missed 41% of Legionella cases in adults
in our single-center study. A work-up for Legionella (i.e., urine antigen test
and/or culture) was performed in less than half of patients who have a
bronchoscopic specimen sent for microbiologic testing.
PMID- 21899764
TI - Peer-based behavioral health program for drug users in China: a pilot study.
AB - BACKGROUND: Many injection drug users (IDUs) in China have high risk sexual
behaviors that contribute to the spread of HIV infection. Although many IDUs in
China move through drug rehabilitation centers, this opportunity for sexual
health education has largely been overlooked. METHODS: A convenience sample of
667 drug users from two rehabilitation centers in South China was recruited in
the study. Two hundred and forty seven drug users from a single Guangdong
Province rehabilitation center received the peer-based education intervention,
while 420 drug users from another rehabilitation center received routine HIV/STI
education and was used as the control. One hundred and eighty nine (22.1%)
individuals refused to participate in the study. HIV/STI behavioral and knowledge
domains were assessed at 3 months in rehabilitation centers after the
intervention (first follow-up) and at 2-23 months in the community after release
(second follow-up). RESULTS: Drug users who completed the intervention reported
more frequent condom use with casual sex partners (60.0% vs. 12.5% condom use
every time, p = 0.011) and less frequent injection (56.7% vs. 26.4% no injection
per day, p = 0.008) at the second follow-up compared to those in the routine
education group. Loss to follow up was substantial in both control and
intervention groups, and was associated with living far from the detention center
and having poor HIV knowledge at baseline. CONCLUSIONS: This study shows that
rehabilitation centers may be a useful location for providing behavioral HIV/STI
prevention services and referral of individuals to community-based programs upon
release. More research is needed on behalf of detained drug users in China who
have complex social, medical, and legal needs.
PMID- 21899765
TI - Pertussis resurgence in Toronto, Canada: a population-based study including test
incidence feedback modeling.
AB - BACKGROUND: Pertussis continues to challenge medical professionals; recently
described increases in incidence may be due to age-cohort effects, vaccine
effectiveness, or changes in testing patterns. Toronto, Canada has recently
experienced increases in pertussis incidence, and provides an ideal jurisdiction
for evaluating pertussis epidemiology due to centralized testing. We evaluated
pertussis trends in Toronto using all available specimen data, which allowed us
to control for changing testing patterns and practices. METHODS: Data included
all pertussis culture and PCR test records for Greater Toronto from 1993 to 2007.
We estimated incidence trends using Poisson regression models; complex
relationships between disease incidence and test submission were explored with
vector autoregressive models. RESULTS: From 1993 to 2007, 26988 specimens were
submitted for testing; 2545 (9.4%) were positive. Pertussis incidence was 2 per
100,000 from 1993 to 2004 and increased to 10 per 100,000 from 2005-2007, with a
concomitant 6-fold surge in test specimen submissions after the introduction of a
new, more sensitive PCR assay. The relative change in incidence was less marked
after adjustment for testing volumes. Bidirectional feedbacks between test
positivity and test submissions were identified. CONCLUSIONS: Toronto's recent
surge in pertussis reflects a true increase in local disease activity; the
apparent size of the outbreak has likely been magnified by increasing use of
pertussis testing by clinicians, and by improved test sensitivity since 2005.
These findings may be applicable to changes in pertussis epidemiology that have
been noted elsewhere in North America.
PMID- 21899766
TI - Impact of information letters on the reporting rate of adverse drug reactions and
the quality of the reports: a randomized controlled study.
AB - BACKGROUND: Spontaneous reporting of adverse drug reactions (ADRs) is an
important method for pharmacovigilance, but under-reporting and poor quality of
reports are major limitations. The aim of this study was to evaluate if repeated
one-page ADR information letters affect (i) the reporting rate of ADRs and (ii)
the quality of the ADR reports. METHODS: All 151 primary healthcare units in the
Region Vastra Gotaland, Sweden, were randomly allocated (1:1) to an intervention
(n = 77) or a control group (n = 74). The intervention consisted of one-page ADR
information letters administered at three occasions during 2008 to all physicians
and nurses in the intervention units. The number of ADR reports received from the
151 units was registered, as was the quality of the reports, which was defined as
high if the ADR was to be reported according to Swedish regulations, that is, if
the ADR was (i) serious, (ii) unexpected, and/or (iii) related to the use of new
drugs and not labelled as common in the Summary of Product Characteristics. A
questionnaire was administered to evaluate if the ADR information letter had
reached the intended recipient. RESULTS: Before the intervention, no significant
differences in reporting rate or number of high quality reports could be detected
between the randomization groups. In 2008, 79 reports were sent from 37
intervention units and 52 reports from 30 control units (mean number of reports
per unit +/- standard deviation: 1.0 +/- 2.5 vs. 0.7 +/- 1.2, P = 0.34). The
number of high quality reports was higher in intervention units than in control
units (37 vs. 15 reports, 0.5 +/- 0.9 vs. 0.2 +/- 0.6, P = 0.048). According to
the returned questionnaires (n = 1,292, response rate 57%), more persons in the
intervention than in the control group had received (29% vs. 19%, P < 0.0001) and
read (31% vs. 26%, P < 0.0001) an ADR information letter. CONCLUSIONS: This study
suggests that repeated ADR information letters to physicians and nurses do not
increase the ADR reporting rate, but may increase the number of high quality
reports.
PMID- 21899767
TI - Evaluating risk factor assumptions: a simulation-based approach.
AB - BACKGROUND: Microsimulation models are an important tool for estimating the
comparative effectiveness of interventions through prediction of individual-level
disease outcomes for a hypothetical population. To estimate the effectiveness of
interventions targeted toward high risk groups, the mechanism by which risk
factors influence the natural history of disease must be specified. We propose a
method for evaluating these risk factor assumptions as part of model-building.
METHODS: We used simulation studies to examine the impact of risk factor
assumptions on the relative rate (RR) of colorectal cancer (CRC) incidence and
mortality for a cohort with a risk factor compared to a cohort without the risk
factor using an extension of the CRC-SPIN model for colorectal cancer. We also
compared the impact of changing age at initiation of screening colonoscopy for
different risk mechanisms. RESULTS: Across CRC-specific risk factor mechanisms,
the RR of CRC incidence and mortality decreased (towards one) with increasing
age. The rate of change in RRs across age groups depended on both the risk factor
mechanism and the strength of the risk factor effect. Increased non-CRC mortality
attenuated the effect of CRC-specific risk factors on the RR of CRC when both
were present. For each risk factor mechanism, earlier initiation of screening
resulted in more life years gained, though the magnitude of life years gained
varied across risk mechanisms. CONCLUSIONS: Simulation studies can provide
insight into both the effect of risk factor assumptions on model predictions and
the type of data needed to calibrate risk factor models.
PMID- 21899768
TI - Prioritizing orphan proteins for further study using phylogenomics and gene
expression profiles in Streptomyces coelicolor.
AB - BACKGROUND: Streptomyces coelicolor, a model organism of antibiotic producing
bacteria, has one of the largest genomes of the bacterial kingdom, including 7825
predicted protein coding genes. A large number of these genes, nearly 34%, are
functionally orphan (hypothetical proteins with unknown function). However, in
gene expression time course data, many of these functionally orphan genes show
interesting expression patterns. RESULTS: In this paper, we analyzed all
functionally orphan genes of Streptomyces coelicolor and identified a list of
"high priority" orphans by combining gene expression analysis and additional
phylogenetic information (i.e. the level of evolutionary conservation of each
protein). CONCLUSIONS: The prioritized orphan genes are promising candidates to
be examined experimentally in the lab for further characterization of their
function.
PMID- 21899769
TI - High prevalence of methicillin resistant Staphylococcus aureus in the surgical
units of Mulago hospital in Kampala, Uganda.
AB - BACKGROUND: There is limited data on Methicillin resistant Staphylococcus aureus
(MRSA) in Uganda where, as in most low income countries, the routine use of
chromogenic agar for MRSA detection is not affordable. We aimed to determine MRSA
prevalence among patients, healthcare workers (HCW) and the environment in the
burns units at Mulago hospital, and compare the performance of CHROMagar with
oxacillin for detection of MRSA. RESULTS: One hundred samples (from 25 patients;
36 HCW; and 39 from the environment, one sample per person/item) were cultured
for the isolation of Staphylococcus aureus. Forty one S. aureus isolates were
recovered from 13 patients, 13 HCW and 15 from the environment, all of which were
oxacillin resistant and mecA/femA/nuc-positive. MRSA prevalence was 46% (41/89)
among patients, HCW and the environment, and 100% (41/41) among the isolates. For
CHROMagar, MRSA prevalence was 29% (26/89) among patients, HCW and the
environment, and 63% (26/41) among the isolates. There was high prevalence of
multidrug resistant isolates, which concomitantly possessed virulence and
antimicrobial resistance determinants, notably biofilms, hemolysins, toxin and
ica genes. One isolate positive for all determinants possessed the bhp homologue
which encodes the biofilm associated protein (BAP), a rare finding in human
isolates. SCCmec type I was the most common at 54% prevalence (22/41), followed
by SCCmec type V (15%, 6/41) and SCCmec type IV (7%, 3/41). SCCmec types II and
III were not detected and 10 isolates (24%) were non-typeable. CONCLUSIONS: Hyper
virulent methicillin resistant Staphylococcus aureus is prevalent in the burns
unit of Mulago hospital.
PMID- 21899770
TI - Genetic variation in the tau protein phosphatase-2A pathway is not associated
with Alzheimer's disease risk.
AB - BACKGROUND: Tau abnormal hyperphosphorylation and the formation of
neurofibrillary tangles in AD brain is the result of upregulation of tau kinases
and downregulation of tau phosphatases. METHODS: In a group of 729 Spanish late
onset Alzheimer's disease (AD) patients and 670 healthy controls, we examined
variations into a set of candidate genes (PPP2CA, PPP2R2A, ANP32A, LCMT1, PPME1
and PIN1) in the tau protein phosphatase-2A (PP2A) pathway, to address hypotheses
of genetic variation that might influence AD risk. RESULTS: There were no
differences in the genotypic, allelic or haplotypic distributions between cases
and controls in the overall analysis or after stratification by age, gender or
APOE epsilon4 allele. CONCLUSION: Our negative findings in the Spanish population
argue against the hypothesis that genetic variation in the tau protein
phosphatase-2A (PP2A) pathway is causally related to AD risk.
PMID- 21899771
TI - Demographic differences between health care workers who did or did not respond to
a safety and organizational culture survey.
AB - BACKGROUND: Areas for institutional improvement to enhance patient safety are
commonly identified by surveying health care workers' (HCWs) attitudes, values,
beliefs, perceptions and assumptions regarding institutional practices. An ideal
response rate of 100% is rarely achieved in such surveys, and non-response bias
can occur when non-respondents differ from respondents on a dimension likely to
influence survey conclusions. The conditions for non-response bias to occur can
be detected by comparing demographic characteristics of respondents and non
respondents and relating any differences to findings in the literature of
differences in the construct of interest as a function of these demographic
characteristics. The current study takes this approach. FINDINGS: All 5,609 HCWs
at a university medical center were invited to participate in a survey measuring
safety and organizational culture (response rate = 53.40%). Respondents indicated
their professional group, gender, age group, years of working in the hospital and
executive function. Because all HCWs were invited, the demographic composition of
the group who did not respond was known. Differences in the demographic
composition of respondents and non-respondents were compared using separate
Pearson's chi-square tests for each demographic characteristic.Nurses and
clinical workers were generally more likely to respond than were physicians,
laboratory workers and non-medical workers. Male HCWs were less likely to respond
than were females, HCWs aged younger than 45 years old had a lower response rate
than did HCWs aged 45 to 54 years old, HCWs who had worked in the hospital for
less than 5 years were less likely to respond than were those who had worked in
the hospital for 5 years or more and HCWs without an executive function were less
likely to respond than were executives. CONCLUSIONS: Demographic characteristics
can be linked to response rates and need to be considered in conducting surveys
among HCWs. The possibility of non-response bias can be reduced by conducting
analyses separately as a function of relevant demographic characteristics,
sampling a higher percentage of groups that are known to be less likely to
respond, or weighting responses with the reciprocal of the response rate for the
respective demographic group.
PMID- 21899772
TI - PCR could be a method of choice for identification of both pulmonary and extra
pulmonary tuberculosis.
AB - BACKGROUND: Nucleic acid amplification assays including PCR have revolutionized
the detection of Mycobacterium tuberculosis (MTB). Tuberculosis spread to almost
every organ of the body and is characterized on the basis of localization of
infection. Therefore, different types of body fluids and tissues can be used for
the detection of MTB.From 2004 to 2010 total 766 different types of smear
negative samples from patients, clinically suspected for tuberculosis were
received and investigated at Division of Molecular Diagnostics, University of the
Punjab Lahore for the diagnosis of tuberculosis. Mycobacterial DNA was extracted
followed by PCR amplification. FINDINGS: A total of 356 (46.5%) samples were
found positive by PCR for MTB. These included; serum (4.8%), blood (36.3%), urine
(46.6%), cerebro spinal fluid (CSF) (42.1%), ascetic fluid (67.6%), pleural fluid
(52%), pericardial fluid (30%), pus (38.6%), bone marrow (60%), sputum (38.8%)
and bronchoalveolar lavage (BAL) (70%). Over all there was no significant
difference in males and females neither in different age groups for the
identification of MTB. CONCLUSION: We conclude that PCR is a useful and sensitive
tool for the early diagnosis of MTB in variety of clinical samples.
PMID- 21899773
TI - The value of age and medical history for predicting colorectal cancer and
adenomas in people referred for colonoscopy.
AB - BACKGROUND: Colonoscopy is an invasive and costly procedure with a risk of
serious complications. It would therefore be useful to prioritise colonoscopies
by identifying people at higher risk of either cancer or premalignant adenomas.
The aim of this study is to assess a model that identifies people with colorectal
cancer, advanced, large and small adenomas. METHODS: Patients seen by
gastroenterologists and colorectal surgeons between April 2004 and December 2006
completed a validated, structured self-administered questionnaire prior to
colonoscopy. Information was collected on symptoms, demographics and medical
history. Multinomial logistic regression was used to simultaneously assess
factors associated with findings on colonoscopy of cancer, advanced adenomas and
adenomas sized 6 -9 mm, and <= 5 mm. The area under the curve of ROC curve was
used to assess the incremental gain of adding demographic variables, medical
history and symptoms (in that order) to a base model that included only age.
RESULTS: Sociodemographic variables, medical history and symptoms (from 8,204
patients) jointly provide good discrimination between colorectal cancer and no
abnormality (AUC 0.83), but discriminate less well between adenomas and no
abnormality (AUC advanced adenoma 0.70; other adenomas 0.67). Age is the dominant
risk factor for cancer and adenomas of all sizes. Having a colonoscopy within the
last 10 years confers protection for cancers and advanced adenomas. CONCLUSIONS:
Our models provide guidance about which factors can assist in identifying people
at higher risk of disease using easily elicited information. This would allow
colonoscopy to be prioritised for those for whom it would be of most benefit.
PMID- 21899774
TI - Agile parallel bioinformatics workflow management using Pwrake.
AB - BACKGROUND: In bioinformatics projects, scientific workflow systems are widely
used to manage computational procedures. Full-featured workflow systems have been
proposed to fulfil the demand for workflow management. However, such systems tend
to be over-weighted for actual bioinformatics practices. We realize that quick
deployment of cutting-edge software implementing advanced algorithms and data
formats, and continuous adaptation to changes in computational resources and the
environment are often prioritized in scientific workflow management. These
features have a greater affinity with the agile software development method
through iterative development phases after trial and error.Here, we show the
application of a scientific workflow system Pwrake to bioinformatics workflows.
Pwrake is a parallel workflow extension of Ruby's standard build tool Rake, the
flexibility of which has been demonstrated in the astronomy domain. Therefore, we
hypothesize that Pwrake also has advantages in actual bioinformatics workflows.
FINDINGS: We implemented the Pwrake workflows to process next generation
sequencing data using the Genomic Analysis Toolkit (GATK) and Dindel. GATK and
Dindel workflows are typical examples of sequential and parallel workflows,
respectively. We found that in practice, actual scientific workflow development
iterates over two phases, the workflow definition phase and the parameter
adjustment phase. We introduced separate workflow definitions to help focus on
each of the two developmental phases, as well as helper methods to simplify the
descriptions. This approach increased iterative development efficiency. Moreover,
we implemented combined workflows to demonstrate modularity of the GATK and
Dindel workflows. CONCLUSIONS: Pwrake enables agile management of scientific
workflows in the bioinformatics domain. The internal domain specific language
design built on Ruby gives the flexibility of rakefiles for writing scientific
workflows. Furthermore, readability and maintainability of rakefiles may
facilitate sharing workflows among the scientific community. Workflows for GATK
and Dindel are available at http://github.com/misshie/Workflows.
PMID- 21899775
TI - A life course approach to injury prevention: a "lens and telescope" conceptual
model.
AB - BACKGROUND: Although life course epidemiology is increasingly employed to
conceptualize the determinants of health, the implications of this approach for
strategies to reduce the burden of injuries have received little recognition to
date. METHODS: The authors reviewed core injury concepts and the principles of
the life course approach. Based on this understanding, a conceptual model was
developed, to provide a holistic view of the mechanisms that underlie the
accumulation of injury risk and their consequences over the life course. RESULTS:
A "lens and telescope" model is proposed that particularly draws on (a) the
extended temporal dimension inherent in the life course approach, with links
between exposures and outcomes that span many years, or even generations, and (b)
an ecological perspective, according to which the contexts in which individuals
live are critical, as are changes in those contexts over time. CONCLUSIONS: By
explicitly examining longer-term, intergenerational and ecological perspectives,
life course concepts can inform and strengthen traditional approaches to injury
prevention and control that have a strong focus on proximal factors. The model
proposed also serves as a tool to identify intervention strategies that have co
benefits for other areas of health.
PMID- 21899777
TI - Conservative treatment of fractures of the clavicle.
AB - BACKGROUND: In the treatment of clavicle fractures, the choice of procedure
depends on the possibility of restoring the anatomical functional integrity of
the shoulder. METHODS: We examined 71 patients (51 males and 20 females, mean age
38.9 years) who were affected by clavicle fracture sequelae. Demographic and
clinical data and the site of the lesion were recorded for each partecipant. The
dissatisfaction of the patient was determined by the presence of 1 or more
affirmative answers on the Simple Shoulder Test. The Constant Shoulder Score was
also included in the functional and clinical exams. We measured the length of the
healthy clavicle and the previously fractured clavicle, and we expressed the
difference in length in mm and in percentage shortening. We then examined the
correlations between the shortening of the bone and the clinical and functional
outcomes of the patients. RESULTS: Sixty patients had a lesion of the diaphysis,
8 patients had a lesion of the lateral third of the clavicle, and 3 patients had
a lesion of the medial third of the clavicle. The mean Constant Shoulder Score
was 77.9, and 51 of the 71 patients were satisfied with their treatment.
Radiography showed a mean clavicle shortening of 10 mm (mean percentage 6.5%). In
the 20 dissatisfied patients, the mean clavicle shortening was 15.2 mm (9.7%). In
these patients, we found a highly significant association between dissatisfaction
with treatment and the amount of bone shortening, (p < 0.0001), as well as with a
diaphyseal location (p < 0.05) and with the female sex (p = 0.004). No other
variable related to the patient, the type of treatment or the fracture
characteristics correlated with the treatment outcome. CONCLUSIONS: In the
literature, measurements of the shortening of the bone segment following a
fracture range between 15 and 23 mm, and marked shortening is correlated with the
failure of conservative treatment. However, these data need to be reinterpreted
in light of the physiological variability of the clavicle length, which ranges
from 140 to 158 mm in the healthy population. Shortening of the bone by more than
9.7% should be the cut-off for predicting failure of conservative treatment.
PMID- 21899776
TI - Identification of ionotrophic purinergic receptors in Huh-7 cells and their
response towards structural proteins of HCV genotype 3a.
AB - Hepatitis C virus (HCV) is a major health problem in developing countries
including Pakistan. Chronic HCV infection results in progressive liver disease
including fibrosis, cirrhosis, insulin resistance and eventually hepatocellular
carcinoma (HCC). Ionotrophic purinergic (P2X) receptors are identified to involve
in a spectrum of physiological and pathophysiological processes. However, the
role of P2X receptors in HCV liver associated diseases still remains to be
investigated. The current study was designed to identify the presence of P2X
receptors in human liver cells. Furthermore, it investigates the response of P2X
receptors towards HCV structural proteins (E1E2). To determine that how many
isoforms of P2X receptors are expressed in human liver cells, human hepatoma cell
line (Huh-7) was used. Transcripts (mRNA) of five different isoforms of P2X
receptors were identified in Huh-7 cells. To examine the gene expression of
identified isoforms of P2X receptors in presence of HCV structural proteins E1E2,
Huh-7/E1E2 cell line (stably expressing HCV structural proteins E1E2) was used.
The results showed significant increase (6.2 fold) in gene expression of P2X4
receptors in Huh-7/E1E2 cells as compared to control Huh-7 cells. The findings of
present study confirmed the presence of transcripts of five different isoforms of
P2X receptors in human liver cells and suggest that P2X4 receptors could be
represented an important component of the purinergic signaling complex in HCV
induced liver pathogenesis.
PMID- 21899778
TI - Safety, feasibility and effects of an individualised walking intervention for
women undergoing chemotherapy for ovarian cancer: a pilot study.
AB - BACKGROUND: Exercise interventions during adjuvant cancer therapy have been shown
to increase functional capacity, relieve fatigue and distress and may assist
rates of chemotherapy completion. These studies have been limited to breast,
gastric and mixed cancer groups and it is not yet known if a similar intervention
is even feasible among women with ovarian cancer. We aimed to assess safety,
feasibility and potential effect of a walking intervention in women undergoing
chemotherapy for ovarian cancer. METHODS: Women newly diagnosed with ovarian
cancer were recruited to participate in an individualised walking intervention
throughout chemotherapy and were assessed pre- and post-intervention. Feasibility
measures included session adherence, compliance with exercise physiologist
prescribed walking targets and self-reported program acceptability. Changes in
objective physical functioning (6-minute walk test), self-reported distress
(Hospital Anxiety and Depression Scale), symptoms (Memorial Symptom Assessment
Scale - Physical) and quality of life (Functional Assessment of Cancer Therapy -
Ovarian) were calculated, and chemotherapy completion and adverse intervention
effects recorded. RESULTS: Seventeen women were enrolled (63% recruitment rate).
Mean age was 60 years (SD = 8 years), 88% were diagnosed with FIGO stage III or
IV disease, 14 women underwent adjuvant and three neo-adjuvant chemotherapy. On
average, women adhered to > 80% of their intervention sessions and complied with
76% of their walking targets, with the majority walking four days a week at
moderate intensity for 30 minutes per session. Meaningful improvements were found
in physical functioning, physical symptoms, physical well-being and ovarian
cancer-specific quality of life. Most women (76%) completed >=85% of their
planned chemotherapy dose. There were no withdrawals or serious adverse events
and all women reported the program as being helpful. CONCLUSIONS: These positive
preliminary results suggest that this walking intervention for women receiving
chemotherapy for ovarian cancer is safe, feasible and acceptable and could be
used in development of future work. TRIAL REGISTRATION: ACTRN12609000252213.
PMID- 21899779
TI - Exploring the bases for a mixed reality stroke rehabilitation system, Part II:
design of interactive feedback for upper limb rehabilitation.
AB - BACKGROUND: Few existing interactive rehabilitation systems can effectively
communicate multiple aspects of movement performance simultaneously, in a manner
that appropriately adapts across various training scenarios. In order to address
the need for such systems within stroke rehabilitation training, a unified
approach for designing interactive systems for upper limb rehabilitation of
stroke survivors has been developed and applied for the implementation of an
Adaptive Mixed Reality Rehabilitation (AMRR) System. RESULTS: The AMRR system
provides computational evaluation and multimedia feedback for the upper limb
rehabilitation of stroke survivors. A participant's movements are tracked by
motion capture technology and evaluated by computational means. The resulting
data are used to generate interactive media-based feedback that communicates to
the participant detailed, intuitive evaluations of his performance. This article
describes how the AMRR system's interactive feedback is designed to address
specific movement challenges faced by stroke survivors. Multimedia examples are
provided to illustrate each feedback component. Supportive data are provided for
three participants of varying impairment levels to demonstrate the system's
ability to train both targeted and integrated aspects of movement. CONCLUSIONS:
The AMRR system supports training of multiple movement aspects together or in
isolation, within adaptable sequences, through cohesive feedback that is based on
formalized compositional design principles. From preliminary analysis of the
data, we infer that the system's ability to train multiple foci together or in
isolation in adaptable sequences, utilizing appropriately designed feedback, can
lead to functional improvement. The evaluation and feedback frameworks
established within the AMRR system will be applied to the development of a novel
home-based system to provide an engaging yet low-cost extension of training for
longer periods of time.
PMID- 21899780
TI - DNA secondary structure is influenced by genetic variation and alters
susceptibility to de novo translocation.
AB - BACKGROUND: Cumulative evidence suggests that DNA secondary structures impact DNA
replication, transcription and genomic rearrangements. One of the best studied
examples is the recurrent constitutional t(11;22) in humans that is mediated by
potentially cruciform-forming sequences at the breakpoints, palindromic AT-rich
repeats (PATRRs). We previously demonstrated that polymorphisms of PATRR
sequences affect the frequency of de novo t(11;22)s in sperm samples from normal
healthy males. These studies were designed to determine whether PATRR
polymorphisms affect DNA secondary structure, thus leading to variation in
translocation frequency. METHODS: We studied the potential for DNA cruciform
formation for several PATRR11 polymorphic alleles using mobility shift analysis
in gel electrophoresis as well as by direct visualization of the DNA by atomic
force microscopy. The structural data for various alleles were compared with the
frequency of de novo t(11;22)s the allele produced. RESULTS: The data indicate
that the propensity for DNA cruciform structure of each polymorphic allele
correlates with the frequency of de novo t(11;22)s produced (r = 0.77, P = 0.01).
CONCLUSIONS: Although indirect, our results strongly suggest that the PATRR
adopts unstable cruciform structures during spermatogenesis that act as
translocation hotspots in humans.
PMID- 21899781
TI - Paradoxical euthyroid hormone profile in a case of Graves' disease with cardiac
failure.
AB - Cardiac failure is an uncommon complication of juvenile hyperthyroidism. We
describe an adolescent boy with Graves' disease who developed manifestations of
heart failure while on antithyroid medications. There was no evidence of any
underlying cardiac disease. He had paradoxical euthyroid hormone profile which
rose to hyperthyroid range when the manifestations of the cardiac failure
subsided. The case highlights several unusual features of Graves' disease.
PMID- 21899782
TI - Identification of factors associated with good response to growth hormone therapy
in children with short stature: results from the ANSWER Program(r).
AB - OBJECTIVE: To identify factors associated with growth in children on growth
hormone (GH) therapy using data from the American Norditropin Studies: Web
enabled Research (ANSWER) Program(r) registry. METHODS: GH-naive children with GH
deficiency, multiple pituitary hormone deficiency, idiopathic short stature,
Turner syndrome, or a history of small for gestational age were eligible (N =
1,002). Using a longitudinal statistical approach, predictive factors were
identified in patients with GHD for change from baseline in height standard
deviation score (DeltaHSDS) following 2 years of treatment. RESULTS: Gradual
increases in DeltaHSDS over time were observed for all diagnostic categories.
Significant predictive factors of DeltaHSDS, ranked by significance were: height
velocity (HV) at 4 months > baseline age > baseline HSDS > baseline body mass
index (BMI) SDS > baseline insulin-like growth factor I (IGF-I) SDS; gender was
not significant. HV at 4 months and baseline BMI SDS were positively correlated,
whereas baseline age, HSDS, and IGF-I SDS were negatively correlated with
DeltaHSDS. CONCLUSIONS: These results may help guide GH therapy based on
pretreatment characteristics and early growth response.
PMID- 21899783
TI - Don't sue the surgeon: remineralisation of the skull base or a defect that never
existed?
AB - INTRODUCTION: Intracranial complications are recognised as rare, but serious,
sequelae of endoscopic sinus surgery. CASE REPORT: A 56-year-old woman was
referred after developing meningitis following elective functional endoscopic
sinus surgery. Computed tomography demonstrated a significant defect of the skull
base in the right posterior ethmoid, clearly visible on both coronal and sagittal
sections. Operative exploration demonstrated the skull base to be intact in the
posterior ethmoid area identified on the scan, and the overlying mucosa appeared
undisturbed. Scans were reviewed in the light of operative findings; coronal and
sagittal images were found to be reconstructions. Directly acquired coronal
computed tomography, undertaken three weeks after surgery, demonstrated a
complete bony plate in the right posterior ethmoid at the site previously
identified as dehiscent. DISCUSSION AND CONCLUSION: We speculate that the
posterior ethmoid defect was actually an artefact of reconstruction. We cannot
exclude the alternative possibility of remineralisation, but given the time frame
this seems unlikely. This case highlights the need for caution when interpreting
reconstructed images of the thin bony plates of the skull base and lamina
papyracea, as regards both clinical significance and medicolegal reporting. While
virtual defects have been reported in the superior semicircular canals as a
result of reconstructed images, we believe this to be the first reported case
demonstrating a similar problem in the anterior skull base.
PMID- 21899784
TI - YouTube resources for the otolaryngology trainee.
AB - Contemporary surgical training faces many challenges. However, modern technology,
including internet-based resources, provides the trainee with a wealth of
materials to complement their traditional teaching. YouTube is an online
repository of video clips, and contains many instructional resources of value to
the otolaryngology trainee.
PMID- 21899785
TI - Prognostic role of epidermal growth factor-like domain 7 protein expression in
laryngeal squamous cell carcinoma.
AB - OBJECTIVE: This study aimed to retrospectively analyse the expression of
epidermal growth factor-like domain 7 protein in cases of laryngeal squamous cell
carcinoma. METHODS: We studied 116 patients retrospectively. Expression of
epidermal growth factor-like domain 7 protein was determined in tumour and
nontumour tissue samples, by immunohistochemistry. RESULTS: Expression levels
were significantly increased in 94 cases. Increased expression levels correlated
well with tumour stage (p = 0.001) and lymph node metastasis (p = 0.002). Log
rank survival testing showed a significant difference between patients with
marked versus limited expression levels (p = 0.03). Multivariable Cox regression
analysis showed that epidermal growth factor-like domain 7 protein expression
level was an independent predictor of laryngeal squamous cell carcinoma
prognosis. CONCLUSION: These findings provide evidence that increased epidermal
growth factor-like domain 7 protein expression is associated with laryngeal
squamous cell carcinoma stage, lymph node metastasis and poor survival. This
suggests that this protein may be a potential marker for laryngeal squamous cell
carcinoma.
PMID- 21899786
TI - Measures of the home environment related to childhood obesity: a systematic
review.
AB - OBJECTIVE: Due to a proliferation of measures for different components of the
home environment related to childhood obesity, the purpose of the present
systematic review was to examine these tools and the degree to which they can
validly and reliably assess the home environment. DESIGN: Relevant manuscripts
published between 1998 and 2010 were obtained through electronic database
searches and manual searches of reference lists. Manuscripts were included if the
researchers reported on a measure of the home environment related to child eating
and physical activity (PA) and childhood obesity and reported on at least one
psychometric property. RESULTS: Of the forty papers reviewed, 48 % discussed some
aspect of parenting specific to food. Fifty-per cent of the manuscripts measured
food availability/accessibility, 18 % measured PA availability/accessibility, 20
% measured media availability/accessibility, 30 % focused on feeding style, 23 %
focused on parenting related to PA and 20 % focused on parenting related to
screen time. CONCLUSIONS: Many researchers chose to design new measures for their
studies but often the items employed were brief and there was a lack of
transparency in the psychometric properties. Many of the current measures of the
home food and PA environment focus on one or two constructs; more comprehensive
measures as well as short screeners guided by theoretical models are necessary to
capture influences in the home on food and PA behaviours of children. Finally,
the current measures of the home environment do not necessarily translate to
specific sub-populations. Recommendations were made for future validation of
measures in terms of appropriate psychometric testing.
PMID- 21899787
TI - A bean-free diet increases the risk of all-cause mortality among Taiwanese women:
the role of the metabolic syndrome.
AB - OBJECTIVE: To evaluate the associations with chronic disease risk and mortality
of the consequences of bean-free diets in Taiwanese adults with regard to gender.
DESIGN: A sub-sample of the National Health Interview Survey (NHIS) in 2001
agreed to physical examination in the subsequent year. This group then took part
in the Taiwanese Survey of Hyperglycaemia, Hyperlipidaemia and Hypertension
(TwSHHH) in 2002. SETTING: Individual records were linked to the eventual death
files from 2002 to 2008. SUBJECTS: Up to the end of 2008, a total of 2820 men and
2950 women were tracked by death registry over the 6.8 years of follow-up.
RESULTS: Among 38,077 person-years, an average follow-up 6.5 years, 225 all-cause
deaths were identified. Generalized linear models showed beans to be favourable
for metabolic syndrome (other than for fasting glucose) in men; in women, beans
were favourable for waist circumference and HbA1c. Cumulative logistic regression
models for the effect of a bean-free diet on metabolic syndrome scores according
to the Taiwanese-modified National Cholesterol Education Program-Adult Treatment
Panel III (NCEP-tw) gave adjusted odds ratios of 1.83 in men and 1.45 in women.
Cox regression models for the bean-free diet showed an increased hazard ratio for
all-cause mortality among women (1.98, 95% CI 1.03, 3.81) but not men (1.28, 95%
CI 0.76, 2.16). CONCLUSIONS: A bean-free diet may play a role in developing the
metabolic syndrome in both genders, and is a significant predictor of all-cause
mortality in Taiwanese women but not men.
PMID- 21899788
TI - Reference curves for BMI, waist circumference and waist-to-height ratio for
Azorean adolescents (Portugal).
AB - OBJECTIVE: There are no percentile curves for BMI, waist circumference (WC) or
waist-to-height ratio (WHtR) available for Portuguese children and adolescents.
The purpose of the present study was to develop age- and sex-specific BMI, WC and
WHtR percentile curves for a representative sample of adolescents living in the
Portuguese islands of Azores, one of the poorest regions of Europe, and to
compare them with those from other countries. DESIGN: Cross-sectional school
based study. Weight, height and WC were objectively measured according to
standard procedures. Smoothed percentile curves were estimated using Cole's LMS
method. SETTING: Azores, Portugal. SUBJECTS: Proportionate stratified random
sample of 1500 adolescents, aged 15-18 years. RESULTS: Results showed some sex
differences in the shape of the BMI curves: in girls, the upper percentile values
tend to decrease by the age of 16 and 17 years; whereas in boys, the upper
percentiles tend to be flat between 15 and 16 years and then increase until the
age of 18 years. In both sexes, the upper percentile values of both WC and WHtR
decreased slightly by the age of 16 years and then increased steeply. In both
sexes, the Azorean values for the 50th and 90th WC percentiles were higher than
those reported for adolescents from the majority of other countries. CONCLUSIONS:
The reference curves presented herein provide baseline data for the long-term
surveillance of Azorean adolescents, as well as for national and international
comparisons.
PMID- 21899789
TI - The quality of midday meals eaten at school by adolescents; school lunches
compared with packed lunches and their contribution to total energy and nutrient
intakes.
AB - OBJECTIVE: To compare food and nutrient intakes from midday meals provided by
schools with those from packed lunches and to estimate the contribution from food
eaten at midday to the total daily energy and nutrient intakes of teenagers.
DESIGN: Dietary data were recorded in 4 d estimated diaries of which 2 d were
school days. The school day data were analysed for total and midday energy and
nutrient intakes. The latter were compared with the recommendations of the
Caroline Walker Trust (CWT). SETTING: Cambridgeshire, UK, 2006. SUBJECTS:
Teenagers (n 757) aged 14-15 years, from eighteen secondary schools, who reported
food eaten at school. RESULTS: The contribution to total daily energy intake from
all lunches eaten at school was 29 % (boys) and 28 % (girls). School meals
provided greater quantities of protein, starch, carotene and folate but also more
saturated fats and Na than packed lunches. Intakes of energy and several
nutrients fell below the CWT recommendations for both types of lunch. School
meals and packed lunches provided different types of foods; greater quantities of
rice, pasta and vegetables in school meals; more yoghurt, cheese, fruit and
juices but also more confectionery and soft drinks in packed lunches.
CONCLUSIONS: There has been concern that schoolchildren who are not opting for
lunch provided by schools are compromising the overall quality of their diet, but
the present study showed small differences in nutrient content between packed and
school lunches. These data were collected in 2005-2007 before the government
programme of improvements reached secondary schools.
PMID- 21899790
TI - Breakfast consumption and daily physical activity in 9-10-year-old British
children.
AB - OBJECTIVE: To examine the association between breakfast consumption and physical
activity in a well-characterised sample of English children. DESIGN: Cross
sectional study using food diaries to record breakfast consumption and
accelerometry to assess physical activity. SETTING: Norfolk county, England.
SUBJECTS: Children (n 1697) aged 9-10 years from the SPEEDY (Sport, Physical
Activity and Eating behaviour: Environmental Determinants in Young people) study.
RESULTS: Boyswho consumed a poor-quality breakfast based on dairy product, cereal
and fruit intakes spent approximately 7 min more time in moderate-to-vigorous
physical activity (MVPA) during weekday afternoons and evenings compared with
those who did not consume breakfast (P,0?05). On weekend days, boys who consumed
a poor- or good-quality breakfast spent approximately 6 and 5min less time
respectively being sedentary during the mornings compared with breakfast
nonconsumers (P,0?05). Boys who consumed a good-quality breakfast spent almost
3min more in MVPA during the morning on weekend days compared with nonconsumers,
and boys who consumed a poor- or good-quality breakfast were 22% and 16% more
active overall respectively than breakfast non-consumers (P,0?05). During the
rest of the day, boys who consumed a good-quality breakfast spent about 11 min
less time being sedentary (P,0?05) and 7minmore time in MVPA (P,0?01).
CONCLUSIONS: Although some associations between breakfast consumption and
physical activity were detected for boys, the present study does not provide
strong evidence that failing to consume breakfast, or having a low energy intake
at breakfast time, is detrimental to children's physical activity levels.
PMID- 21899791
TI - Food insecurity among adults residing in disadvantaged urban areas: potential
health and dietary consequences.
AB - OBJECTIVE: Food insecurity is the limited or uncertain availability or access to
nutritionally adequate, culturally appropriate and safe foods. Food insecurity
may result in inadequate dietary intakes, overweight or obesity and the
development of chronic disease. Internationally, few studies have focused on the
range of potential health outcomes related to food insecurity among adults
residing in disadvantaged locations and no such Australian studies exist. The
objective of the present study was to investigate associations between food
insecurity, sociodemographic and health factors and dietary intakes among adults
residing in disadvantaged urban areas. DESIGN: Data were collected by mail survey
(n 505, 53 % response rate), which ascertained information about food security
status, demographic characteristics (such as age, gender, household income,
education) fruit and vegetable intakes, takeaway and meat consumption, general
health, depression and chronic disease. SETTING: Disadvantaged suburbs of
Brisbane city, Australia, 2009. SUBJECTS: Individuals aged >= 20 years. RESULTS:
Approximately one in four households (25 %) was food insecure. Food insecurity
was associated with lower household income, poorer general health, increased
health-care utilisation and depression. These associations remained after
adjustment for age, gender and household income. CONCLUSIONS: Food insecurity is
prevalent in urbanised disadvantaged areas in developed countries such as
Australia. Low-income households are at high risk of experiencing food
insecurity. Food insecurity may result in significant health burdens among the
population, and this may be concentrated in socio-economically disadvantaged
suburbs.
PMID- 21899792
TI - Rewards can be used effectively with repeated exposure to increase liking of
vegetables in 4-6-year-old children.
AB - OBJECTIVE: To examine whether parents offering a sticker reward to their child to
taste a vegetable the child does not currently consume is associated with
improvements in children's liking and consumption of the vegetable. DESIGN: A
randomized controlled trial evaluated the effectiveness of exposure only (EO) and
exposure plus reward (E + R), relative to a control group, on children's liking
and consumption of a target vegetable. Assessments were conducted at baseline and
2 weeks from baseline (post-intervention). Follow-up assessments were conducted
at 4 weeks and 3 months from baseline. SETTING: The study took place in Adelaide,
South Australia. Participants were self-selected in response to local media
advertisements seeking to recruit parents finding it difficult to get their
children to eat vegetables. SUBJECTS: Participants were 185 children (110 boys,
seventy-five girls) aged 4-6 years and their primary caregiver/parent (172
mothers, thirteen fathers). RESULTS: The E + R group was able to achieve more
days of taste exposure. Both EO and E + R increased liking at post-intervention
compared with control and no further change occurred over the follow-up period.
All groups increased their intake of the target vegetable at post-intervention.
Target vegetable consumption continued to increase significantly over the follow
up period for E + R and control but not for EO. CONCLUSIONS: The findings provide
support for the effectiveness of using a sticker reward with a repeated exposure
strategy. In particular, such rewards can facilitate the actual tastings
necessary to change liking.
PMID- 21899793
TI - Who is missing the message? Targeting strategies to increase food label use among
US adults.
AB - OBJECTIVE: To evaluate the associations between sociodemographic and psychosocial
characteristics and food label (FL) use in US adults. DESIGN: Data from the 1994
1996 Continuing Survey of Food Intakes by Individuals and the Diet and Health
Knowledge Survey were used. High socio-economic status (SES) was defined as >high
school education and poverty-income ratio (PIR) >350 %, low SES as = 25
kg/m2), those who perceived their weight 'about right' were 51 % less likely to
use FL than those perceiving themselves as overweight. CONCLUSIONS: Men,
especially black men, women of low SES, rural residents and overweight Americans
with inaccurate self-perception of body weight are less likely to use FL and
should be targeted for increased intervention.
PMID- 21899794
TI - Oxytocin and vasopressin genes are significantly associated with schizophrenia in
a large Arab-Israeli pedigree.
AB - We have previously studied the genetics of schizophrenia in a large inbred Arab
Israeli pedigree and found evidence for linkage on chromosome 20p13. This locus
harbours four strong candidate genes for schizophrenia: atractin (ATRN),
pantonate-kinase2 (PANK2), oxytocin (OXT) and arginine-vasopressin (AVP). In this
study we further explored the association of these genes with schizophrenia in
the pedigree and searched for the disease-causing variants. A mutation screening
of affected individuals from the pedigree was performed by using intensive
sequencing in these four genes of interest. Then, we studied the prevalence of
the identified variants in all family members (n=56) as well as in Arab-Israeli
nuclear families (n=276) and a Jewish case-control sample (n=545). We also
studied the possible functional role of these variants by examining their
association with gene expression in the brain (n=104). We identified seven
genetic variants in the OXT-AVP cluster in affected individuals from the
pedigree. Three of these variants were significantly associated with
schizophrenia in this pedigree. A 7-SNP haplotype was also significantly
associated with disease. We found significant association of some of these
variants in the two samples from the general population. Expression data analysis
showed a possible functional role of two of these variants in regulation of gene
expression. Involvement of OXT and AVP in the aetiology of schizophrenia has been
suggested in the past. This study demonstrates, for the first time, a significant
genetic association of these neuropeptides with schizophrenia and strongly
supports this hypothesis.
PMID- 21899795
TI - Cannabis and cognitive performance in psychosis: a cross-sectional study in
patients with non-affective psychotic illness and their unaffected siblings.
AB - BACKGROUND: The relationship between cannabis use and cognitive functioning in
patients with psychosis has yielded contradictory findings. In individuals at
genetic high risk for psychosis, information is sparse. The aim of this study was
to assess the association between recency and frequency of cannabis use and
cognitive functioning in patients with psychosis and their unaffected siblings.
METHOD: We conducted a cross-sectional study in 956 patients with non-affective
psychosis, 953 unaffected siblings, and 554 control subjects. Participants
completed a cognitive test battery including assessments of verbal learning, set
shifting, sustained attention, processing speed, working memory, acquired
knowledge, reasoning and problem solving and social cognition. Cannabis use was
assessed by urinalysis and by the Composite International Diagnostic Interview.
Using random-effect regression models the main effects of cannabis (recency and
frequency) and the interaction with status (patient, sibling, control) on
cognitive functioning were assessed. RESULTS: Current cannabis use was associated
with poorer performance on immediate verbal learning, processing speed and
working memory (Cohen's d -0.20 to -0.33, p<0.005). Lifetime cannabis use was
associated with better performance on acquired knowledge, facial affect
recognition and face identity recognition (Cohen's d+0.17 to +0.33, p<0.005).
There was no significant interaction between cannabis and status on cognitive
functioning. CONCLUSIONS: Lifetime cannabis-using individuals might constitute a
subgroup with a higher cognitive potential. The residual effects of cannabis may
impair short-term memory and processing speed.
PMID- 21899797
TI - Natto and viscous vegetables in a Japanese-style breakfast improved insulin
sensitivity, lipid metabolism and oxidative stress in overweight subjects with
impaired glucose tolerance.
AB - We previously suggested that the consumption of natto and viscous vegetables as
part of a Japanese-style meal based on white rice (WR) reduced postprandial
glucose and insulin levels in healthy subjects. The aim of the present study was
to assess whether a single breakfast of natto and viscous vegetables or the same
breakfast consumed for 2 weeks could improve glucose control, insulin
sensitivity, lipid metabolism and oxidative stress in overweight subjects with
impaired glucose tolerance (IGT). A total of eleven free-living subjects with IGT
followed a randomised, crossover breakfast intervention for 2 weeks. The test
meal included boiled WR with natto (viscous fermented soyabeans), Japanese yam
and okra. The control meal included WR with non-viscous boiled soyabeans,
potatoes and broccoli. Both meals contained comparable amounts of carbohydrate,
fat, protein and fibre. The test meal reduced acute glucose and insulin responses
compared to the control meal in the study participants. Insulin sensitivity was
assessed using the composite insulin sensitivity index (CISI) after both the test
and control meal periods. The test meal resulted in improvements in CISI compared
to the baseline, whereas no significant changes were observed after the control
meal period. Serum levels of both total and LDL-cholesterol were assessed before
and after the test meal period and found to decrease significantly. There was
also a tendency towards reduced serum malondialdehyde-modified LDL and N(E)
carboxymethyllysine. No differences were observed in the measures of chronic
glycaemic control. Thus, we conclude that a breakfast of natto and viscous
vegetables consumed for 2 weeks improves insulin sensitivity, serum lipid and
oxidative stress.
PMID- 21899798
TI - Evaluation of the immune benefits of two probiotic strains Bifidobacterium
animalis ssp. lactis, BB-12(r) and Lactobacillus paracasei ssp. paracasei, L.
casei 431(r) in an influenza vaccination model: a randomised, double-blind,
placebo-controlled study.
AB - The present study investigated the ability of Bifidobacterium animalis ssp.
lactis (BB-12(r)) and Lactobacillus paracasei ssp. paracasei (L. casei 431(r)) to
modulate the immune system using a vaccination model in healthy subjects. A
randomised, double-blind, placebo-controlled, parallel-group study was conducted
in 211 subjects (56 % females, mean age 33.2 (sd 13.1) years). Subjects consumed
a minimum of 109 colony-forming units of BB-12(r) (capsule) or L. casei 431(r)
(dairy drink) or a matching placebo once daily for 6 weeks. After 2 weeks, a
seasonal influenza vaccination was given. Plasma and saliva samples were
collected at baseline and after 6 weeks for the analysis of antibodies, cytokines
and innate immune parameters. Changes from baseline in vaccine-specific plasma
IgG, IgG1 and IgG3 were significantly greater in both probiotic groups v. the
corresponding placebo group (L. casei 431(r), P = 0.01 for IgG; P < 0.001 for
remaining comparisons). The number of subjects obtaining a substantial increase
in specific IgG (defined as >= 2-fold above baseline) was significantly greater
in both probiotic groups v. placebo (BB-12(r), P < 0.001 for IgG, IgG1 and IgG3;
L. casei 431(r), P < 0.001 for IgG1 and IgG3). Significantly greater mean fold
increases for vaccine-specific secretory IgA in saliva were observed in both
probiotic groups v. placebo (BB-12(r), P = 0.017; L. casei 431(r), P = 0.035).
Similar results were observed for total antibody concentrations. No differences
were found for plasma cytokines or innate immune parameters. Data herein show
that supplementation with BB-12(r) or L. casei 431(r) may be an effective means
to improve immune function by augmenting systemic and mucosal immune responses to
challenge.
PMID- 21899800
TI - Vitamin C and fibre consumption from fruits and vegetables improves oxidative
stress markers in healthy young adults.
AB - The aim of the present cross-sectional study was to assess the potential
relationships between fruit and vegetable (FV) consumption and some oxidative
stress markers in young adults, with particular emphasis on fibre and vitamin C
intake. The study enrolled 246 healthy subjects (eighty-eight men and 158 women),
with a mean age of 22 (sd 3) years and a mean BMI of 21.9 (sd 2.8) kg/m2. Dietary
intake, anthropometry, blood pressure, lifestyle features and blood biochemical
data were assessed with validated procedures. Those subjects in the highest
tertile (T) of FV consumption ( >= 705 g/d) had statistically lower oxidised LDL
(ox-LDL) concentrations as well as higher plasma total antioxidant capacity (TAC)
and glutathione peroxidase (GPx) activity (P for trend <0.05), after adjusting
for sex, age, energy intake, physical activity, smoking, BMI, vitamin supplement
use and other confounding factors. Moreover, plasma ox-LDL concentrations showed
a decreasing trend and TAC an increasing trend across tertiles of fibre (T3: >=14
g/d) and vitamin C (T3: >=150 mg/d) from FV intake, while GPx activity was
positively associated with vitamin C intake (P for trend < 0.05). In conclusion,
greater FV consumption was independently associated with reduced ox-LDL as well
as increased TAC and GPx activity in healthy young adults, with dietary fibre and
vitamin C from FV clearly being implicated in this beneficial relationship.
PMID- 21899801
TI - Marine collagen peptides protect against early alcoholic liver injury in rats.
AB - Marine collagen peptides (MCP) have been reported to exhibit antioxidative
activity, which is the common property of numerous hepatoprotective agents.
Previous studies have shown that MCP have biological functions including anti
hypertension, anti-ulcer, anti-skin ageing and extending the life span. However,
its role in alcoholic liver injury remains unknown. The present study aimed to
investigate the effects of MCP on early alcoholic liver injury in rats. Rats were
administered with alcohol at a dose of 6 g/kg body weight intragastrically per d
to induce early liver injury, which was then evaluated by serum markers and
histopathological examination. Treatment with MCP could reverse the increased
level of serum aminotransferase and reduce hepatic histological damage. In
addition, MCP attenuated the alteration in serum superoxide dismutase and
malondialdehyde levels. MCP also counteracted the increased levels of total
cholesterol and TAG. However, no significant difference was observed in the
contents of alcohol dehydrogenase both in liver and serum protein of rats. These
findings suggest that MCP have a protective effect on early alcoholic liver
injury in rats by their antioxidative activity and improving lipid metabolism.
PMID- 21899799
TI - Dietary patterns and risk of oesophageal cancers: a population-based case-control
study.
AB - Epidemiological studies investigating the association between dietary intake and
oesophageal cancer have mostly focused on nutrients and food groups instead of
dietary patterns. We conducted a population-based case-control study, which
included 365 oesophageal adenocarcinoma (OAC), 426 oesophagogastric junction
adenocarcinoma (OGJAC) and 303 oesophageal squamous cell carcinoma (OSCC) cases,
with frequency matched on age, sex and geographical location to 1580 controls.
Data on demographic, lifestyle and dietary factors were collected using self
administered questionnaires. We used principal component analysis to derive three
dietary patterns: 'meat and fat', 'pasta and pizza' and 'fruit and vegetable',
and unconditional logistic regression models to estimate risks of OAC, OGJAC and
OSCC associated with quartiles (Q) of dietary pattern scores. A high score on the
meat-and-fat pattern was associated with increased risk of all three cancers:
multivariable-adjusted OR 2.12 (95 % CI 1.30, 3.46) for OAC; 1.88 (95% CI 1.21,
2.94) for OGJAC; 2.84 (95% CI 1.67, 4.83) for OSCC (P-trend <0.01 for all three
cancers). A high score on the pasta-and-pizza pattern was inversely associated
with OSCC risk (OR 0.58, 95 % CI 0.36, 0.96, P for trend=0.009); and a high score
on the fruit-and-vegetable pattern was associated with a borderline significant
decreased risk of OGJAC (OR for Q4 v. Q1 0.66, 95% CI 0.42, 1.04, P=0.07) and
significantly decreased risk of OSCC (OR 0.41, 95% CI 0.24, 0.70, P for
trend=0.002). High-fat dairy foods appeared to play a dominant role in the
association between the meat-and-fat pattern and risk of OAC and OGJAC. Further
investigation in prospective studies is needed to confirm these findings.
PMID- 21899802
TI - Western and Mediterranean dietary patterns among Balearic Islands' adolescents:
socio-economic and lifestyle determinants.
AB - OBJECTIVE: To assess prevailing food patterns among Balearic Islands'
adolescents, and socio-economic and lifestyle determinants. DESIGN: Cross
sectional nutritional survey carried out (2007-2008) in the Balearic Islands, a
Mediterranean region. Dietary assessment was based on a 145-item semi
quantitative FFQ and two non-consecutive 24 h recalls. Anthropometric
measurements and questions related to socio-economic, lifestyle, physical
activity and body image were assessed. SETTING: Data obtained from a
representative sample of all inhabitants living in the Balearic Islands aged 12
17 years. SUBJECTS: A random sample (n 1231) of the adolescent population (12-17
years old) was interviewed. RESULTS: Factor analysis identified two major dietary
food patterns: 'Western' and 'Mediterranean'. The 'Western' dietary pattern was
higher among boys than girls, associated with spending >=4 h/d on media screen
time, but less prevalent among those adolescents who desired a thinner body and
those girls who desired to remain the same weight. The 'Mediterranean' dietary
pattern was mainly followed by girls, and also boys who spent < 2 h/d on media
screen time and girls with high parental socio-economic status. CONCLUSIONS: The
present study shows the existence of two major dietary patterns among Balearic
Islands' adolescents: 'Western' and 'Mediterranean', but girls are more
'Mediterranean' than boys. This evidence supports that the food pattern of
Balearic Islands' adolescents is in a transitional state characterised by the
loss of the traditional Mediterranean dietary pattern towards a Western dietary
pattern. Low parental socio-economic status, much leisure-time on sedentary
behaviours such as media screen time and body image are factors associated with
the 'Western' dietary pattern.
PMID- 21899804
TI - Antihyperglycaemic activity of Asparagus racemosus roots is partly mediated by
inhibition of carbohydrate digestion and absorption, and enhancement of cellular
insulin action.
AB - Asparagus racemosus roots have been shown to enhance insulin secretion in
perfused pancreas and isolated islets. The present study investigated the effects
of ethanol extracts of A. racemosus roots on glucose homeostasis in diabetic
rats, together with the effects on insulin action in 3T3 adipocytes. When
administered orally together with glucose, A. racemosus extract improved glucose
tolerance in normal as well as in two types of diabetic rats. To investigate the
possible effects on carbohydrate absorption, the sucrose content of the
gastrointestinal tract was examined in 12 h fasted rats after an oral sucrose
load (2.5 g/kg body weight). The extract significantly suppressed postprandial
hyperglycaemia after sucrose ingestion and reversibly increased unabsorbed
sucrose content throughout the gut. The extract also significantly inhibited the
absorption of glucose during in situ gut perfusion with glucose. Furthermore, the
extract enhanced glucose transport and insulin action in 3T3-L1 adipocytes. Daily
administration of A. racemosus to type 2 diabetic rats for 28 d decreased serum
glucose, increased pancreatic insulin, plasma insulin, liver glycogen and total
oxidant status. These findings indicate that antihyperglycaemic activity of A.
racemosus is partly mediated by inhibition of carbohydrate digestion and
absorption, together with enhancement of insulin secretion and action in the
peripheral tissue. Asparagus racemosus may be useful as a source of novel
antidiabetic compounds or a dietary adjunct for the management of diabetes.
PMID- 21899803
TI - The effects of micronutrient-fortified complementary/replacement food on
intestinal permeability and systemic markers of inflammation among maternally HIV
exposed and unexposed Zambian infants.
AB - The present randomised trial investigated the effects of feeding Zambian infants
from 6 to 18 months old either a richly or basal micronutrient-fortified
complementary/replacement food on gut integrity and systemic inflammation. Blood
samples were obtained from all infants (n 743) at 6 and 18 months for the
assessment of serum C-reactive protein (CRP) and alpha1-acid glycoprotein (AGP).
A subsample of 502 infants, selected from the main cohort to include a larger
proportion of infants with HIV-positive mothers, was assigned to
lactulose/mannitol gut permeability tests. Lactulose:mannitol (L:M) ratio
analyses were adjusted for baseline urinary L:M ratio, socio-economic status,
mother's education, season of birth and baseline stunting, and stratified by
maternal antenatal HIV status, child's sex, concurrent breast-feeding status and
anaemia at baseline. There was no significant difference in geometric mean L:M
ratio between the richly fortified and basal-fortified porridge arms at 12 months
(0.47 (95 % CI 0.41, 0.55) v. 0.41 (95 % CI 0.34, 0.49); P = 0.16 adjusted). At
18 months, the richly fortified porridge group had a significantly higher
geometric mean L:M ratio than the basal-fortified group (0.23 (95 % CI 0.19,
0.28) v. 0.15 (95 % CI 0.12, 0.19); P = 0.02 adjusted). This effect was evident
for all stratifications, significantly among boys (P = 0.04), among the infants
of HIV-negative mothers (P = 0.01), among the infants of HIV-negative mothers not
concurrently breast-fed (P = 0.01) and among those who were not anaemic at
baseline (P = 0.03). CRP, but not AGP, was positively associated with L:M ratio,
but there were no significant effects of the diet on either CRP or AGP. In
conclusion, a richly fortified complementary/replacement food did not benefit and
may have worsened intestinal permeability.
PMID- 21899806
TI - Low concentrations of serum 25-hydroxyvitamin D associated with increased risk
for chronic bronchitis among US adults.
AB - Increasing evidence suggests that vitamin D benefits both innate and adaptive
immunity, thereby eliciting an anti-inflammatory effect and reducing the risk of
infectious disease. The present study examined the association between serum 25
hydroxyvitamin D (25(OH)D) levels and the risk of chronic bronchitis among US
adults. We analysed data from 6872 US adults aged >= 20 years who participated in
the 2003-6 National Health and Nutrition Examination Survey. Prevalence and OR
with 95 % CI of having self-reported chronic bronchitis were estimated by
quintiles of 25(OH)D or vitamin D-deficiency status after adjustment for
potential confounders. The results showed that the adjusted prevalence of chronic
bronchitis ranged from 2.4 (95 % CI 1.4, 3.3) % among adults in the highest
quintile of 25(OH)D ( >= 30 ng/ml) to 4.1 (95 % CI 2.5, 5.6) % among adults in
the lowest quintile ( < 15 ng/ml; P for trend < 0.01). The adjusted OR for
chronic bronchitis was 1.85 (95 % CI 1.06, 3.24) in adults with < 15 ng/ml
25(OH)D and 1.77 (95 % CI 1.19, 2.65) in those with 15 to < 20 ng/ml 25(OH)D
compared with adults with >= 30 ng/ml 25(OH)D. Additionally, the adjusted OR for
chronic bronchitis was 1.52 (95 % CI 1.03, 2.26) among adults with vitamin D
deficiency ( < 20 ng/ml 25(OH)D) compared with those with >= 20 ng/ml 25(OH)D.
For every 1 ng/ml increase in 25(OH)D, the likelihood of having chronic
bronchitis fell by 2.6 % (P = 0.016). In conclusion, low serum 25(OH)D levels are
associated with the increased risk of chronic bronchitis among US adults. The
present results provide support for continuing research on the role of vitamin D
in lung diseases.
PMID- 21899805
TI - Lutein and zeaxanthin intake and the risk of age-related macular degeneration: a
systematic review and meta-analysis.
AB - Lutein and zeaxanthin are thought to decrease the incidence of age-related
macular degeneration (AMD); however, findings have been inconsistent. We
conducted a systematic literature review and meta-analysis to evaluate the
relationship between dietary intake of lutein and zeaxanthin and AMD risk.
Relevant studies were identified by searching five databases up to April 2010.
Reference lists of articles were retrieved, and experts were contacted.
Literature search, data extraction and study quality assessment were performed
independently by two reviewers and results were pooled quantitatively using meta
analysis methods. The potential sources of heterogeneity and publication bias
were also estimated. The search yielded six longitudinal cohort studies. The
pooled relative risk (RR) for early AMD, comparing the highest with the lowest
category of lutein and zeaxanthin intake, was 0.96 (95 % CI 0.78, 1.17). Dietary
intake of these carotenoids was significantly related with a reduction in risk of
late AMD (RR 0.74; 95 % CI 0.57, 0.97); and a statistically significant inverse
association was observed between lutein and zeaxanthin intake and neovascular AMD
risk (RR 0.68; 95 % CI 0.51, 0.92). The results were essentially consistent among
subgroups stratified by participant characteristics. The findings of the present
meta-analysis indicate that dietary lutein and zeaxanthin is not significantly
associated with a reduced risk of early AMD, whereas an increase in the intake of
these carotenoids may be protective against late AMD. However, additional studies
are needed to confirm these relationships.
PMID- 21899807
TI - Relative validity of a FFQ in measuring carbohydrate fractions, dietary glycaemic
index and load: exploring the effects of subject characteristics.
AB - FFQ require validation as part of epidemiological research of diet-disease
relationships. Studies exploring associations between carbohydrate type and
chronic diseases are rapidly increasing, but information on the validity of
carbohydrate fractions, dietary glycaemic index (GI) and the glycaemic load (GL)
estimated by FFQ is scarce. Likewise, the effects of subject characteristics on
FFQ validity have been poorly documented. The present study evaluates the
relative validity of an 131-item FFQ in relation to two 3 d food records (FR)
performed 6 months apart focusing on the intake of carbohydrate fractions,
dietary GI and the GL. Furthermore, we assessed the extent to which subjects'
age, education and BMI explain differences between these methods. The study
sample comprised 218 men and 292 women aged 25-74 years participating in a large
population-based survey in Finland. Energy-adjusted Spearman's rank correlations
ranged from 0.27 (sugars) to 0.70 (lactose) for men and from 0.37 (sugars) to
0.69 (lactose) for women. On average, 73 % of the subjects were categorised into
the same or adjacent distribution quintile based on the two methods. In general,
the FFQ overestimated the intakes compared with FR. Especially in women, FFQ
validity for some nutrients was associated with the level of intake, subjects'
age and, to a lesser extent, education but not BMI. In conclusion, the FFQ
appears to be reasonably valid in the assessment of carbohydrate exposure
variables, but the findings show a need for adjustment of diet-disease
relationships for subjects' age and education.
PMID- 21899808
TI - Paranasal sinus computed tomography anatomy: a surgeon's perspective.
AB - Computed tomography scans serve as a critical 'roadmap' for functional endoscopic
sinus surgery. A systematic evaluation of such scans, and an awareness of any
anatomical variants that may modify one's surgical approach, allow one to pre
empt complications. This article describes, from a novice's perspective, two
methods of evaluating paranasal sinus computed tomography scans: a quick
assessment technique; and a step-wise, operative approach covering radiological
features relevant to pre- and peri-operative management.
PMID- 21899809
TI - Closed-cell foam skin thickness measurement using a scanning electron microscope.
AB - Closed cell polymer foam skin thickness can be assessed by taking backscatter
electron (BSE) images in a scanning electron microscope (SEM) at a series of
accelerating voltages. Under a given set of experimental conditions, the electron
beam mostly passes through thin polymer skin cell walls. That cell appears dark
compared to adjacent thicker-skinned cells. Higher accelerating voltages lead to
a thicker skin being penetrated. Monte Carlo modeling of beam-sample interactions
indicates that at 5 keV, skin less than ~0.5 MUm in thickness will appear dark,
whereas imaging at 30 keV allows skin thicknesses up to ~4 MUm to be identified.
The distribution of skin thickness can be assessed over square millimeters of
foam surface in this manner. Qualitative comparisons of the skin thicknesses of
samples can be made with a simple visual inspection of the images. A
semiquantitative comparison is possible by applying image analysis. The proposed
method is applied to two example foams. Characterizing foam skin thickness by
this method is possible using any SEM that is capable of collecting useful BSE
images over a range of accelerating voltages. Imaging in low vacuum, where an
electrically conductive metal coating is not required, leads to more sensitivity
in skin thickness characterization.
PMID- 21899810
TI - Electron image series reconstruction of twin interfaces in InP superlattice
nanowires.
AB - The twin interface structure in twinning superlattice InP nanowires with
zincblende structure has been investigated using electron exit wavefunction
restoration from focal series images recorded on an aberration-corrected
transmission electron microscope. By comparing the exit wavefunction phase with
simulations from model structures, it was possible to determine the twin
structure to be the ortho type with preserved In-P bonding order across the
interface. The bending of the thin nanowires away from the intended 110 axis
could be estimated locally from the calculated diffraction pattern, and this
parameter was successfully taken into account in the simulations.
PMID- 21899811
TI - Minerals and aligned collagen fibrils in tilapia fish scales: structural analysis
using dark-field and energy-filtered transmission electron microscopy and
electron tomography.
AB - The mineralized structure of aligned collagen fibrils in a tilapia fish scale was
investigated using transmission electron microscopy (TEM) techniques after a thin
sample was prepared using aqueous techniques. Electron diffraction and electron
energy loss spectroscopy data indicated that a mineralized internal layer
consisting of aligned collagen fibrils contains hydroxyapatite crystals. Bright
field imaging, dark-field imaging, and energy-filtered TEM showed that the
hydroxyapatite was mainly distributed in the hole zones of the aligned collagen
fibrils structure, while needle-like materials composed of calcium compounds
including hydroxyapatite existed in the mineralized internal layer. Dark-field
imaging and three-dimensional observation using electron tomography revealed that
hydroxyapatite and needle-like materials were mainly found in the matrix between
the collagen fibrils. It was observed that hydroxyapatite and needle-like
materials were preferentially distributed on the surface of the hole zones in the
aligned collagen fibrils structure and in the matrix between the collagen fibrils
in the mineralized internal layer of the scale.
PMID- 21899812
TI - EVerT: cryotherapy versus salicylic acid for the treatment of verrucae--a
randomised controlled trial.
AB - OBJECTIVE: To compare the clinical effectiveness and cost-effectiveness of
cryotherapy using liquid nitrogen versus patient daily self-treatment with 50%
salicylic acid for the treatment of verrucae (plantar warts). DESIGN: A
multicentre, pragmatic, open, two-armed randomised controlled trial with an
economic evaluation. Randomisation was simple, with the allocation sequence
generated by a computer in a 1 : 1 ratio. SETTING: Podiatry clinics, university
podiatry schools and primary care in England, Scotland and Ireland. PARTICIPANTS:
Patients were eligible if they presented with a verruca which, in the opinion of
the health-care professional, was suitable for treatment with both salicylic acid
and cryotherapy, and were aged 12 years and over. INTERVENTIONS: Cryotherapy
using liquid nitrogen delivered by a health-care professional compared with daily
patient self-treatment with 50% salicylic acid (Verrugon, William Ransom & Son
Plc, Hitchin, UK) for a maximum of 8 weeks. MAIN OUTCOME MEASURES: The primary
outcome was complete clearance of all verrucae at 12 weeks. Secondary outcomes
were complete clearance of all verrucae at 12 weeks, controlling for age, whether
or not the verrucae had been previously treated and type of verrucae, with a
second model to explore the effect of patient preferences, time to clearance of
verrucae, clearance of verrucae at 6 months, number of verrucae at 12 weeks and
patient satisfaction with the treatment. RESULTS: In total, 240 eligible patients
were recruited, with 117 patients allocated to the cryotherapy group and 123 to
the salicylic acid group. There was no evidence of a difference in clearance
rates between the treatment groups in the primary outcome [17/119 (14.3%) in the
salicylic acid group vs 15/110 (13.6%) in the cryotherapy group; p = 0.89]. The
results of the study did not change when controlled for age, whether or not the
verrucae had been previously treated and type of verrucae, or when patient
preferences were explored. There was no evidence of a difference in time to
clearance of verrucae between the two groups [hazard ratio (HR) 0.80, 95%
confidence interval (CI) 0.51 to 1.25; p = 0.33] or in the clearance of verrucae
at 6 months (33.7% cryotherapy vs 30.5% salicylic acid). There was no evidence of
a difference in the number of verrucae at 12 weeks between the two groups
(incidence rate ratio 1.08, 95% CI 0.81 to 1.43; p = 0.62). Nineteen participants
reported 28 adverse events, 14 in each group, with two treatment-related non
serious adverse events in the cryotherapy group. Cryotherapy was also associated
with higher mean costs per additional healed patient (L101.17, 95% bias-corrected
and accelerated CI L85.09 to L117.26). The probability of cryotherapy being cost
effective is 40% for a range of willingness-to-pay thresholds of L15,000-30,000
per patient healed. CONCLUSIONS: There is no evidence for a difference in terms
of clearance of verrucae between cryotherapy and salicylic acid (at both 12 weeks
and 6 months), number of verrucae at 12 weeks and time to clearance of verrucae.
Cryotherapy was associated with higher mean costs per additional healed patient
compared with salicylic acid. TRIAL REGISTRATION: Current Controlled Trials
ISRCTN18994246. FUNDING: This project was funded by the NIHR Health Technology
Assessment programme and will be published in full in Health Technology
Assessment; Vol. 15, No. 32. See the HTA programme website for further project
information.
PMID- 21899813
TI - Evidence for the use of l-methylfolate combined with antidepressants in MDD.
AB - Helping patients with major depressive disorder achieve symptom-free remission
with antidepressant therapy remains challenging. In this activity, 3
investigators discuss the results of a 2-trial, multicenter, randomized, double
blind, placebo-controlled study of adjunctive l-methylfolate, the bioavailable
form of folate, in patients who had inadequately responded to an SSRI. The
efficacy of doses of 7.5 mg/d versus 15 mg/d is examined, and the safety and
tolerability of l-methylfolate are compared with those of other adjunctive
treatments. Different types of folate are explained, and the characteristics of
patients who might benefit from adjunctive l-methylfolate are proposed.
PMID- 21899814
TI - Safety and tolerability of antipsychotic treatment in young patients with
schizophrenia.
AB - Antipsychotics have proven efficacy for treating patients with early-onset
schizophrenia, and aripiprazole, olanzapine, quetiapine, and risperidone have FDA
approval for treating adolescents aged 13 to 17 years. Children and adolescents
appear to have a higher risk than adults for experiencing adverse events such as
extrapyramidal symptoms, prolactin elevation, weight gain, and metabolic effects
when taking antipsychotic medications. Pediatric studies indicate that adverse
effect profiles differ among commonly used antipsychotics. Clinicians should
consider the differing adverse effect profiles when choosing medications for
young patients.
PMID- 21899815
TI - Selecting appropriate treatment for patients who are nonresponsive to initial
therapy.
AB - Depression can be a chronic illness, and several treatment steps are often needed
to achieve sustained symptom remission and return patients to premorbid levels of
functioning. Patients with chronic depressive illness, early onset, concurrent
psychiatric or medical conditions, difficult psychosocial problems, or comorbid
melancholic and anxious features may require additional treatment steps. Next
step strategies, after optimizing the dose and extending the treatment trial of
the initial antidepressant, include switching antidepressants, adding another
antidepressant, and augmenting with a nonantidepressant agent.
PMID- 21899816
TI - PTSD comorbidity and suicidal ideation associated with PTSD within the Ohio Army
National Guard.
AB - OBJECTIVE: To study the relation between posttraumatic stress disorder (PTSD)
psychiatric comorbidity and suicidal ideation in a representative sample of Ohio
Army National Guard soldiers. METHOD: Using retrospective data collected on the
telephone from a random sample of 2,616 National Guard soldiers who enrolled in a
10-year longitudinal study (baseline data collected November 2008-November 2009),
we examined (1) the prevalence of other psychopathologies among those with DSM-IV
diagnosed PTSD compared to those without PTSD and (2) the association between
PTSD comorbidity and suicidal ideation (reporting thoughts of being better off
dead or hurting themselves). All analyses were carried out using logistic
regression. RESULTS: Of guard members with PTSD in the last year, 61.7% had at
least 1 other psychopathology; 20.2% had at least 2 other co-occurring
conditions. The most common co-occurring psychopathology was depression. While
those with PTSD overall were 5.4 (95% CI, 3.8-7.5) times more likely to report
suicidality than those without PTSD, those who had at least 2 additional
conditions along with PTSD were 7.5 (95% CI, 3.0-18.3) times more likely to
report suicidal ideation at some point in their lifetime than those with PTSD
alone. CONCLUSIONS: Soldiers with PTSD were at increased risk for suicidality,
and, among those with PTSD, those with at least 2 additional conditions were at
the highest risk of suicidal ideation. Future research should address the
mechanisms that contribute to multimorbidity in this population and the
appropriate treatment methods for this high-risk group.
PMID- 21899817
TI - The prevalence and comorbidity of social anxiety disorder among United States
Latinos: a retrospective analysis of data from 2 national surveys.
AB - OBJECTIVE: Social anxiety disorder (SAD) is increasingly being recognized as a
prevalent, unremitting, and highly comorbid disorder, yet studies focusing on
this disorder among US Latinos and immigrant populations are not available. This
article evaluates ethnic differences in the prevalence and comorbidity of SAD as
well as the clinical and demographic characteristics associated with SAD.
Cultural and contextual factors associated with risk of SAD are also examined
within the Latino population more specifically. METHOD: Data are analyzed from
the National Latino and Asian American Study and the National Comorbidity Survey
Replication. Both studies utilized the World Health Organization-Composite
International Diagnostic Interview, which estimates the prevalence of lifetime
and 12-month psychiatric disorders according to DSM-IV criteria. RESULTS: Latinos
reported a lower lifetime and 12-month SAD prevalence and a later age at onset
than US-born non-Latino whites. On the other hand, Latinos diagnosed with 12
month SAD reported higher impairment across home, work, and relationship domains
than their non-Latino white counterparts. Relative to non-Latino whites, Latinos
who entered the United States after the age of 21 years were less likely to have
lifetime SAD comorbidity with drug abuse and dependence and more likely to report
lifetime SAD comorbidity with agoraphobia. CONCLUSIONS: The pattern of risk and
associated characteristics of SAD varies for Latinos as compared to non-Latino
whites. This is reflected by differences between these 2 groups across SAD
prevalence, onset, impairment, and comorbidity. The particularly high comorbidity
found with agoraphobia among Latinos who arrive in the United States as adults
suggests that cultural factors and timing of immigration play a role in the
manifestation and course of anxiety disorders. Interventions designed to decrease
the levels of impairment associated with SAD are needed as well as efforts to
target Latinos suffering from this disorder, specifically.
PMID- 21899818
TI - Assessing the adequacy of past antidepressant trials: a clinician's guide to the
antidepressant treatment response questionnaire.
PMID- 21899820
TI - Treatment outcome for bereavement-excluded depression: results of the study by
Corruble et al are not what they seem.
PMID- 21899821
TI - Searching for serendipity.
PMID- 21899824
TI - Exposure histories derived from selenium in otoliths of three cold-water fish
species captured downstream from coal mining activity.
AB - Establishing exposure to contaminants within a given environment is often
difficult for fish species with large home ranges. Chemical analyses of muscle or
visceral tissue are useful indicators of recent exposure, but depuration,
metabolic transformation, and tissue redistributions can alter temporal
resolution. Otoliths are metabolically stable and thus provide complete chemical
records within their calcified tissues that, when coupled to the annular
structure, can provide temporal resolution for exposure to trace metals. Otoliths
from bull trout, cutthroat trout, and mountain whitefish from an area rich in
seleniferous soils and with active coal mining activity were analyzed for
selenium to determine any history of exposure to elevated levels of selenium.
Selenium concentrations in otolith primordia tended to be low, indicating that
these fish emerged in low selenium areas. Later life stages showed peaks of high
Se concentrations, suggesting that individuals moved into areas of increased
selenium later in life. Individuals captured from the same area had a wide
variety of selenium exposure profiles, indicating that these fish do not move en
masse into and out of high-selenium areas. Year-to-year variability of selenium
exposure patterns within an otolith suggests inconsistent utilization of high-
and low-selenium areas by the individual. The inconsistent exposure profiles for
these fish, in addition to their home range of tens of kilometres, indicate that
soft tissue concentrations, while useful indicators of recent exposure, cannot be
relied upon to provide a life history recording of exposure.
PMID- 21899825
TI - Risks of seawater ozonation in recirculation aquaculture--effects of oxidative
stress on animal welfare of juvenile turbot (Psetta maxima, L.).
AB - Ozone is frequently used for water treatment and disinfection in recirculating
aquaculture systems. However, due to the fragmentary data on chronic toxicity of
ozone produced oxidants (OPO) and its safe concentrations, the daily application
of ozone in aquaculture is challenging. To evaluate the chronic effects of
sublethal OPO concentrations, juvenile turbot (Psetta maxima, L.) were exposed to
OPO concentrations of 0.06, 0.10 and 0.15 mg/l for 21 days. Gills were analysed
for histopathological alterations and mRNA expression of heat shock protein 70
(hsp70), hsp90 as well as glutathione-S-transferase (gst) were determined in the
gills and the liver after 1d, 7d and 21 d. Histopathologic findings confirmed
adverse effects at 0.10-0.15 mg/l, but these (necrosis, lamellar clubbing,
hypertrophy, hyperplasia) could only be observed after an extended exposure
(mostly 21 d), and were considered as irreversible tissue damage. Hsp70
expression in the gills was only significantly increased at the highest OPO
concentration (0.15 mg/l) on 1d and 7d, and returned to basic levels until day
21. Hsp90 mRNA was already increased at 0.10mg/l after 1 and 7 days of exposure,
and again was comparable to the control group on day 21. In contrast, elevated
gst mRNA expression was only observed on day 7 at 0.10mg and 0.15 mg/l. Although
similar trends were observed in the liver for all markers, differences were only
significant in exceptional cases due to the high individual variation observed.
Thus, mRNA expression in the gills rather than in the liver is recommended as a
marker to characterize OPO-induced oxidative stress in turbot. It has to be noted
that mRNA expression returned to basic levels on day 21 regardless the actual OPO
concentration, suggesting a collapse of adaptive mechanisms as a possible
explanation for the observed tissue damage.
PMID- 21899827
TI - Glucuronidation of the steroid enantiomers ent-17beta-estradiol, ent-androsterone
and ent-etiocholanolone by the human UDP-glucuronosyltransferases.
AB - Steroids enantiomers are interesting compounds for detailed exploration of drug
metabolizing enzymes, such as the UDP-glucuronosyltransferases (UGTs). We have
now studied the glucuronidation of the enantiomers of estradiol, androsterone and
etiocholanolone by the 19 human UGTs of subfamilies 1A, 2A and 2B. The results
reveal that the pattern of human UGTs of subfamily 2B that glucuronidate ent
17beta-estradiol, particularly 2B15 and 2B17, resembles the glucuronidation of
epiestradiol (17alpha-estradiol) rather than 17beta-estradiol, the main
physiological estrogen. The UGTs of subfamilies 1A and 2A exhibit higher degree
of regioselectivity than enantioselectivity in the conjugation of these
estradiols, regardless of whether the activity is primarily toward the non-chiral
site, 3-OH (UGT1A1, UGT1A3, UGT1A7, UGT1A8 and, above all, UGT1A10), or the 17-OH
(UGT1A4). In the cases of etiocholanolone and androsterone, glucuronidation of
the ent-androgens, like the conjugation of the natural androgens, is mainly
catalyzed by UGTs of subfamilies 2A and 2B. Nevertheless, the glucuronidation of
ent-etiocholanolone and ent-androsterone by both UGT2B7 and UGT2B17 differs
considerably from their respective activity toward the corresponding endogenous
androgens, whereas UGT2A1-catalyzed conjugation is much less affected by the
stereochemistry differences. Kinetic analyses reveal that the K(m) value of
UGT2A1 for ent-estradiol is much higher than the corresponding value in the other
two high activity enzymes, UGT1A10 and UGT2B7. Taken together, the results
highlight large enantioselectivity differences between individual UGTs,
particularly those of subfamily 2B.
PMID- 21899828
TI - [Rapidly growing ulcer in a patient with neurofibromatosis type I].
PMID- 21899826
TI - Endocrine disrupting chemicals and disease susceptibility.
AB - Environmental chemicals have significant impacts on biological systems. Chemical
exposures during early stages of development can disrupt normal patterns of
development and thus dramatically alter disease susceptibility later in life.
Endocrine disrupting chemicals (EDCs) interfere with the body's endocrine system
and produce adverse developmental, reproductive, neurological, cardiovascular,
metabolic and immune effects in humans. A wide range of substances, both natural
and man-made, are thought to cause endocrine disruption, including
pharmaceuticals, dioxin and dioxin-like compounds, polychlorinated biphenyls, DDT
and other pesticides, and components of plastics such as bisphenol A (BPA) and
phthalates. EDCs are found in many everyday products--including plastic bottles,
metal food cans, detergents, flame retardants, food additives, toys, cosmetics,
and pesticides. EDCs interfere with the synthesis, secretion, transport,
activity, or elimination of natural hormones. This interference can block or
mimic hormone action, causing a wide range of effects. This review focuses on the
mechanisms and modes of action by which EDCs alter hormone signaling. It also
includes brief overviews of select disease endpoints associated with endocrine
disruption.
PMID- 21899829
TI - [Risk factors for in-transit metastasis in patients with cutaneous melanoma].
AB - BACKGROUND: In-transit metastases have been associated with the presence of
various negative prognostic factors in patients with cutaneous melanoma. It has
recently been suggested that sentinel lymph node biopsy (SLNB) may lead to an
increase in the incidence of this particular type of metastasis. In this study,
we analyzed risk factors for the appearance of in-transit metastasis and its
potential association with the use of SLNB. MATERIAL AND METHODS: A prospective
study was undertaken in a cohort of 404 patients with cutaneous melanoma seen in
the melanoma unit of Hospital San Cecilio in Granada, Spain. Statistical analysis
was performed with SPSS 15.0 and Epidat 3.1 using the chi(2) and Fisher exact
tests. RESULTS: Out of 93 (23%) patients with recurrence at any time, 28 (6.9%)
had in-transit metastases. The occurrence of in-transit metastasis was associated
with age greater than 50 years, greater Breslow depth and Clark level, the
presence of ulceration, positive SLNB, and the presence of other types of
recurrence (local recurrence, lymph node metastasis, or distant metastasis).
There was no relationship between surgical treatment or performing SLNB and the
presence of in-transit metastasis. CONCLUSIONS: The risk factors for in-transit
metastasis are the same as those for any type of recurrence and coincide with
factors linked to poor prognosis. Given that in-transit metastases are much more
common in patients with positive SLNB, while the technique itself is not linked
to their occurrence, these findings suggest that the appearance of in-transit
metastasis is linked to biological characteristics of the tumor cells rather than
an influence of the surgical technique.
PMID- 21899830
TI - Low-dose contrast-enhanced time-resolved MR angiography at 3T: diagnostic
accuracy for treatment planning and follow-up of vascular malformations.
AB - AIM: To assess the accuracy of low-dose contrast-enhanced time-resolved 3T
magnetic resonance angiography (MRA) for the morphological and functional
assessment of vascular malformations (VM), and to evaluate its diagnostic
potential for the depiction of treatment-induced changes. MATERIALS AND METHODS:
Twenty-five patients with known VM underwent MRA to evaluate the location and
extent of lesions and their haemodynamic characteristics. Three-dimensional (3D)
T1-weighted time-resolved sequences were acquired following the administration of
0.05mmol/kg of gadobenate dimeglumine. VM were classified according to their
morphology and haemodynamic characteristics. All patients thereafter underwent
conventional angiography to confirm the diagnosis and to treat the lesions
(embolization or sclerotherapy). Follow-up MRA was performed 30 days after
treatment to assess morphological and functional changes. A visual analogue scale
(VAS) was used to clinically assess the severity of symptoms before and after
therapy. RESULTS: Based on haemodynamic characteristics, VM were classified as
predominantly arterial [4 (16%)], artero-venous [19 (76%)] or venous [2 (8%)].
Twenty-three (92%) lesions were classified as high-flow VM and two (8%) as low
flow VM. Intralesional thrombosis was present in 17 (68%) lesions before therapy
and in 10 lesions (40%) after therapy. The median VAS scores were 5+/-1 before
treatment and 4+/-2 after treatment. Very good correlation (Spearman's
correlation coefficient: rho=0.87; p=0.000) was noted between the reduction of
lesion size on follow-up MRA and pain relief as assessed by VAS. CONCLUSION: Low
dose contrast-enhanced time-resolved 3T MRA can be used to define morphological
and functional aspects of VM accurately during treatment planning and follow-up,
and can identify post-therapy changes that positively correlate with treatment
outcome.
PMID- 21899831
TI - Recovery of right and left ventricular function after acute pulmonary embolism.
AB - AIM: To evaluate recovery of cardiac function after acute pulmonary embolism
(PE). MATERIALS AND METHODS: Routine breath-held computed tomography (CT)
pulmonary angiography was performed in patients with suspected PE to confirm or
exclude the diagnosis of PE at initial presentation. Electrocardiogram (ECG)
triggered cardiac CT was performed to assess biventricular function. After 6
months, cardiac magnetic resonance imaging (MRI) was performed. In total, 15
consecutive patients with PE and 10 without were studied. A significant change in
ventricular volume was defined as a >15% change in end-diastolic or -systolic
volumes (EDV, ESV), and significant ventricular function improvement as a >5%
increase in ejection fraction (EF) as based on reported cut-off values. RESULTS:
Right and left ventricular (RV and LV) EDV and ESV changed non-significantly
(<1.3%) in the patients without PE, indicating good comparability of those values
measured by CT and MRI. PE patients with baseline normal RV function (RVEF >=
47%) revealed a >5% improvement in the RVEF (+5.4 +/- 3.1%) due to a decrease in
the RVESV. Patients with baseline abnormal RV function showed a >5% improvement
in the RVEF (+14 +/- 15%) due to decreases in both the RVESV and RVEDV.
Furthermore, the LVEDV increased in this latter patient group. CONCLUSIONS: The
present study demonstrated an improvement in RV function in the majority of
patients with PE, independent of baseline RV function. The degree of RV and LV
recovery was dependent on the severity of baseline RV dysfunction.
PMID- 21899833
TI - Prediction of acute hypotensive episodes by means of neural network multi-models.
AB - This work proposes the application of neural network multi-models to the
prediction of adverse acute hypotensive episodes (AHE) occurring in intensive
care units (ICU). A generic methodology consisting of two phases is considered.
In the first phase, a correlation analysis between the current blood pressure
time signal and a collection of historical blood pressure templates is carried
out. From this procedure the most similar signals are determined and the
respective prediction neural models, previously trained, selected. Then, in a
second phase, the multi-model structure is employed to predict the future
evolution of current blood pressure signal, enabling to detect the occurrence of
an AHE. The effectiveness of the methodology was validated in the context of the
10th PhysioNet/Computers in Cardiology Challenge-Predicting Acute Hypotensive
Episodes, applied to a specific set of blood pressure signals, available in MIMIC
II database. A correct prediction of 10 out of 10 AHE for event 1 and of 37 out
of 40 AHE for event 2 was achieved, corresponding to the best results of all
entries in the two events of the challenge. The generalization capabilities of
the strategy was confirmed by applying it to an extended dataset of blood
pressure signals, also collected from the MIMIC-II database. A total of 2344
examples, selected from 311 blood pressure signals were tested, enabling to
obtain a global sensitivity of 82.8% and a global specificity of 78.4%.
PMID- 21899834
TI - Simulated temperature distribution of the proximal forearm.
AB - Temperature changes in the resting proximal human forearm have been studied non
invasively, using computer simulation. A procedure for spatial model generation,
based on digitized slice data, has been applied. A mathematical model and a 3-D
computer simulation program have been implemented. Heat transfer in the non
homogenous tissue was modeled with a well known bio-heat equation. The heat
production by tissue metabolism was modeled using the Q10 rule, while the heat
exchange between the blood and tissue was modeled as a function of local
temperature and regional blood flow. The stability and accuracy of the method was
confirmed by varying the simulation parameters, the initial and boundary values,
and the model dimensions, with subsequent analysis of the results. We have
explained, by computer simulation, the variations in the Pennes' well-known in
vivo measurements of the steady-state temperatures along the transverse axis of
the proximal forearm. Suspecting that the anatomical positioning of his measuring
probes varied, we have reconstructed their possible positions by searching for
the simulated positions that result in the best agreement between simulated and
measured temperature fields. Our simulations indicate that the fluctuations of
the measured steady-state temperatures should not be smoothed out because they
are the natural consequence of a complex interplay between the position of the
measuring probes, anatomical position of the main arteries, dimensions of the
forearm, blood flow, inhomogeneity of tissues, and environmental temperature.
PMID- 21899832
TI - Numerosity and number signs in deaf Nicaraguan adults.
AB - What abilities are entailed in being numerate? Certainly, one is the ability to
hold the exact quantity of a set in mind, even as it changes, and even after its
members can no longer be perceived. Is counting language necessary to track and
reproduce exact quantities? Previous work with speakers of languages that lack
number words involved participants only from non-numerate cultures. Deaf
Nicaraguan adults all live in a richly numerate culture, but vary in counting
ability, allowing us to experimentally differentiate the contribution of these
two factors. Thirty deaf and 10 hearing participants performed 11 one-to-one
matching and counting tasks. Results suggest that immersion in a numerate culture
is not enough to make one fully numerate. A memorized sequence of number symbols
is required, though even an unconventional, iconic system is sufficient.
Additionally, we find that within a numerate culture, the ability to track
precise quantities can be acquired in adulthood.
PMID- 21899835
TI - [Risk of osteoporotic fracture and hip fracture in patients with chronic
obstructive pulmonary disease].
AB - AIM: Osteoporosis is a frequent comorbidity in patients with chronic obstructive
pulmonary disease (COPD). We have studied the risk of major osteoporotic fracture
and hip fracture in patients with COPD. PATIENTS AND METHODS: A multicenter cross
sectional study was performed in Spain in 26 hospitals of 16 regional
communities. Patients diagnosed with COPD who required admission to the Internal
Medicine Service due to exacerbation of their respiratory disease were enrolled.
COPD was confirmed by post-bronchodilator spirometry in stable state: maximum
expiratory volume in the first second (FEV1) < 80% of the theoretical value and
quotient FEV(1)/FVC < 0.70 and percent predicted after the administration of a
bronchodilator. Dyspnea was evaluated with the modified Medical Research Council
(mMRC) dyspnea scale. The principal variable was the likelihood of fracture
evaluated with the FRAX(r) tool for the Spanish population. RESULTS: Three
hundred and ninety two patients, 347 (88%) men, with a mean (SD) age of 73.7
(8.9) years and a mean FEV1 of 1.23 liters (43.3% of predicted) were enrolled.
Only 37 patients (9.4%), 27 men and 10 women had been diagnosed previously of
osteoporosis. Overall, 1.8% (95% CI: 0.9-3.6) had a 10-year probability of major
osteoporotic fracture >= 20% and 49.7% (95% CI: 44.8-54.7) had a probability of
hip fracture >= 3%. No relationship was observed between the probability of
fracture and GOLD stage or mMRC dyspnea scale. CONCLUSIONS: The diagnosis of
osteoporosis is uncommon in our COPD patients. However, half of them have a high
probability of a hip fracture in the next 10 years.
PMID- 21899836
TI - The reliability of osteometric techniques for the sex determination of burned
human skeletal remains.
AB - The influence of heat-induced shrinkage on the osteometric sexual dimorphism of
human skeletons is still poorly known. In order to investigate this issue, a
sample composed of 84 Portuguese individuals cremated at a modern crematorium was
examined using standard measurements from the femur, the talus and the calcaneus.
In addition, sex determination of the sample was attempted by using osteometric
standards developed from the Coimbra collection of identified skeletons. This was
carried out to assess the extent of the effect of heat-induced shrinkage on the
correct classification of known-sex skeletons while using standards developed on
unburned skeletons. Results demonstrated that sexual dimorphism was still
observable in the sample of calcined bones despite shrinkage. However, the
application of conventional osteometric standards was unsuccessful. As expected,
shrinkage caused most females to be correctly classified according to sex, but
the sex allocation of males was very poor for all standard measurements. The
results were obtained on a small sample but suggest that univariate metric
techniques specifically developed for calcined bones may be valuable for sex
determination. This would bring new methodological possibilities for biological
anthropology and would enlarge the set of techniques regarding sex determination
of burned skeletal remains.
PMID- 21899837
TI - Intramedullary nailing of trochanteric fractures--operative technical tips.
PMID- 21899838
TI - Anticoagulation management in hip fracture patients: a clinical conundrum.
PMID- 21899839
TI - Percutaneous screw fixation for the acetabular fracture with quadrilateral plate
involved by three-dimensional fluoroscopy navigation: surgical technique.
AB - BACKGROUND: The percutaneous three-dimensional (3D)-fluoroscopic-navigated screw
directing to the quadrilateral plate was attempted. MATERIALS AND METHODS: Five
patients with acetabular fractures were treated by 3D navigated percutaneous
screw. The quadrilateral plate was involved in all the patients. The Arcadis 3D
(ARCADIS Orbic 3D((r)); Siemens AG Healthcare Sector, Erlangen, Germany) and
computer navigation system (stryker navigation system) were employed, screwing
trajectory was attempted to anchor the quadrilateral plate perpendicularly to the
fracture line and close to the joint cartilage as much as possible. Parameters
including fracture gap closure (P1), distance to the joint cartilage (P2),
angulations between the screw and the fracture line (P3), were measured with the
software installed on the machine of Arcadis 3D. RESULT: Seven screws were
inserted with the use of 3D fluoroscopic navigation. The quadrilateral plate was
hold by percutaneous screws. The closure of fracture gap was achieved in 3
patients by 2-3mm. The nearest distance from the screw to the joint cartilage was
ranged from <1mm to 6mm. The angulations between the screw and the fracture line
was 80-90 degrees in three patients, it was 60 degrees and 65 degrees
respectively on the rest two patients. All patients felt pain free 1week after
the operation. No complication was noted postoperatively. CONCLUSION: The
surgical technique of percutaneous screwing for the acetabular fracture with
three-dimensional fluoroscopy-based navigation was demonstrated.
PMID- 21899840
TI - Mental health nurses' attitudes towards the physical health care of people with
severe and enduring mental illness: the development of a measurement tool.
AB - BACKGROUND: It is well established that people with schizophrenia and related
serious mental illnesses die prematurely and have significantly higher medical co
morbidity compared with the general population. Mental health nurses have a key
role in improving the physical health of patients but their attitudes to this
aspect of their role have not been systematically examined. OBJECTIVES: To
develop and validate a measure of mental nurses' attitudes towards physical
health care. DESIGN: The measurement tool was developed from a literature review,
focus groups and responses to a postal questionnaire. PARTICIPANTS AND SETTING:
All registered nursing staff working within a NHS mental health trust in the UK
were sent the questionnaire and 585 (52%) staff responded. METHODS: Completed
questionnaires were analysed by standard descriptive statistical methods.
Exploratory factor analysis (principal component analysis) was used to examine
and reduce attitude items to a coherent and parsimonious scale. RESULTS: A 28
item measure comprised of four factors accounted for 42% of the variance. The
factor solution appeared to provide meaningful dimensions, and the internal
consistency of the measure and of its derived subscales was adequate (Cronbach's
alpha between 0.76 and 0.61). The factors were labelled nurses' attitudes to
involvement in physical health care; nurses' confidence in delivering physical
health care; perceived barriers to physical health care delivery and nurses'
attitudes to smoking. Validity was established by associations between the total
scale and subscales with pre-determined respondent variables. CONCLUSION: The
Physical Health Attitude Scale for mental health nurses (PHASe) is a first
attempt to develop a valid and reliable measure of this important area. The
initial development methods and its testing in a large sample provide indications
of content and construct validity. Further testing in different samples and
consequent refinement are necessary, however the PHASe appears to be a useful
tool for measuring attitudes among this professional group and evaluating the
effects of professional development.
PMID- 21899842
TI - Early arterial abnormalities in young adulthood.
PMID- 21899841
TI - Proteomic analysis of intra-arterial thrombus secretions reveals a negative
association of clusterin and thrombospondin-1 with abdominal aortic aneurysm.
AB - OBJECTIVE: Abdominal aortic aneurysm (AAA) is usually accompanied by the
formation of a large volume of intra-luminal thrombus (ILT). ILT-derived proteins
have been suggested as circulating markers for AAA. We conducted a proteomic
study screening whole and hexapeptide ligand library (HLL) treated ILT explant
secretions to identify potential ILT-derived markers for AAA. METHODS:
Unfractionated and HLL-treated ILT secretions from 3 AAA patients were analysed
in parallel using liquid chromatography tandem mass spectrometry (LC-MS/MS). In
silico analyses were employed to identify proteins with biomarker potential.
Proteomic findings were validated by measuring serum concentrations of 2
representative ILT proteins in 313 AAA patients and 690 controls. RESULTS: A
total of 150 proteins were identified from thrombus conditioned media; HLL
treatment enabled the detection of 53 previously unseen polypeptides. Gene
ontology analysis revealed high representation of platelet-secreted proteins.
Thrombospondin-1 (TSP-1) and clusterin were selected for further assessment.
Serum TSP-1 and clusterin were negatively associated with AAA after adjusting for
other risk factors. Odds ratio and 95% confidence intervals were 0.62, 0.41-0.94,
and 0.50, 0.33-0.75, for men with serum TSP-1 and clusterin in the fourth
compared to first quartiles, respectively. CONCLUSION: This proteomic analysis
has identified a group of proteins concentrated in AAA ILT. Assessment of
circulating concentrations of two representative polypeptides suggests for the
first time that the ILT selectively sequesters proteins rather than actively
releasing them. Further work is required to assess the mechanisms underpinning
this observation and the associated clinical implications.
PMID- 21899843
TI - Clinically evident polyvascular disease and regression of coronary
atherosclerosis after intensive statin therapy in patients with acute coronary
syndrome: serial intravascular ultrasound from the Japanese assessment of
pitavastatin and atorvastatin in acute coronary syndrome (JAPAN-ACS) trial.
AB - AIM: To clarify whether the effects of statin treatment on plaque regression vary
according to the presence or absence of polyvascular disease (PVD) in patients
with acute coronary syndrome (ACS). METHODS: 307 patients with ACS who underwent
percutaneous coronary intervention for the culprit lesion at 33 centers were
treated with atorvastatin or pitavastatin. Noncoronary atherosclerosis was
defined as coexistent, clinically recognized arterial disease other than coronary
artery disease (CAD) (cerebral, aortic, or lower extremity). Intravascular
ultrasound (IVUS) was performed to assess non-culprit coronary atherosclerosis at
baseline and at 8-12 months follow-up. Serial IVUS examinations were obtained in
252 patients. Atheroma volume and percent change in atheroma volume of the target
plaque was assessed. RESULTS: Patients of the CAD+PVD (n = 19) were older (68 vs.
62 years, p = 0.02), had lower low-density lipoprotein cholesterol (LDL-C) levels
at baseline (116 vs. 134 mg/dL, p=0.03) than those of the CAD-only group (n =
233), whereas LDL-C levels at follow-up were similar (81 vs. 83 mg/dL). Although
the baseline plaque volume was similar in the two groups (59 vs. 57 mm(3)),
patients of the CAD+PVD group showed milder regression of atherosclerosis than
those of the CAD-only group (-8.9% vs. -18.2%, p = 0.005). This difference
remained significant even after adjustment for coronary risk factors including
age and serum LDL-C (p = 0.047). CONCLUSIONS: Statin treatment results in milder
regression of coronary atherosclerosis in CAD patients with polyvascular disease
compared to those with CAD only.
PMID- 21899844
TI - Limits of recovery against slip-induced falls while walking.
AB - Slip-induced falls in gait often have devastating consequences. The purposes of
this study were 1) to select the determinants that can best discriminate the
outcomes (recoveries or falls) of an unannounced slip induced in gait (and to
find their corresponding threshold, i.e., the limits of recovery, which can
clearly separate these two outcomes), and 2) to verify these results in a subset
of repeated-slip trials. Based on the data collected from 69 young subjects
during a slip induced in gait, nine different ways of combining the center of
mass (COM) stability, the hip height, and its vertical velocity were investigated
with the aid of logistic regression. The results revealed that the COM stability
(s) and limb support (represented by the quotient of hip vertical velocity to hip
height, S(hip)) recorded at the instant immediately prior to the recovery step
touchdown were sufficiently sensitive to account for all (100%) variance in
falls, and specific enough to account for nearly all (98.3%) variability in
recoveries. This boundary (S(hip)=-0.22s-0.25), which quantifies the risk of
falls in the stability-limb support quotient (s-S(hip)) domain, was fully
verified using second-slip and third-slip trials (n=76) with classification of
falls at 100% and recoveries at 98.6%. The severity of an actual fall is likely
to be greater further below the boundary, while the likelihood of a fall
diminishes above it. Finally, the slope of the boundary also indicates the
tradeoff between the stability and limb support, whereby high stability can
compensate for the insufficiency in limb support, or vice versa.
PMID- 21899845
TI - The effects of pad geometry and material properties on the biomechanical
effectiveness of 26 commercially available hip protectors.
AB - Wearable hip protectors (padded garments) represent a promising strategy to
decrease impact force and hip fracture risk during falls, and a wide range of
products are currently marketed. However, little is known about how design
features of hip protectors influence biomechanical effectiveness. We used a
mechanical test system (simulating sideways falls) to measure the attenuation in
femoral neck force provided by 26 commercially available hip protectors at three
impact velocities (2, 3, and 4m/s). We also used a materials testing machine to
characterize the force-deflection properties of each device. Regression analyses
were performed to determine which geometric (e.g., height, width, thickness,
volume) and force-deflection properties were associated with force attenuation.
At an impact velocity of 3m/s, the force attenuation provided by the various hip
protectors ranged between 2.5% and 40%. Hip protectors with lower stiffness
(measured at 500N) provided greater force attenuation at all velocities.
Protectors that absorbed more energy demonstrated greater force attenuation at
the higher impact velocities (3 and 4m/s conditions), while protectors that did
not directly contact (but instead bridged) the skin overlying the greater
trochanter attenuated more force at velocities of 2 and 3m/s. At these lower
velocities, the force attenuation provided by protectors that contacted the skin
overlying the greater trochanter increased with increasing pad width, thickness,
and energy dissipation. By providing a comparison of the protective value of a
large range of existing hip protectors, these results can help to guide consumers
and researchers in selecting hip protectors, and in interpreting the results of
previous clinical trials. Furthermore, by determining geometric and material
parameters that influence biomechanical performance, our results should assist
manufacturers in designing devices that offer improved performance and clinical
effectiveness.
PMID- 21899846
TI - Pressure oscillation delivery to the lung: Computer simulation of neonatal
breathing parameters.
AB - Preterm newborn infants may develop respiratory distress syndrome (RDS) due to
functional and structural immaturity. A lack of surfactant promotes collapse of
alveolar regions and airways such that newborns with RDS are subject to increased
inspiratory effort and non-homogeneous ventilation. Pressure oscillation has been
incorporated into one form of RDS treatment; however, how far it reaches various
parts of the lung is still questionable. Since in-vivo measurement is very
difficult if not impossible, mathematical modeling may be used as one way of
assessment. Whereas many models of the respiratory system have been developed for
adults, the neonatal lung remains essentially ill-described in mathematical
models. A mathematical model is developed, which represents the first few
generations of the tracheo-bronchial tree and the 5 lobes that make up the
premature ovine lung. The elements of the model are derived using the lumped
parameter approach and formulated in SimulinkTM within the MatlabTM environment.
The respiratory parameters at the airway opening compare well with those measured
from experiments. The model demonstrates the ability to predict pressures, flows
and volumes in the alveolar regions of a premature ovine lung.
PMID- 21899847
TI - The mechanics of the primary cilium: an intricate structure with complex
function.
AB - The primary cilium is a non-motile singular cellular structure that extends from
the surface of nearly every cell in the body. The cilium has been shown to play
numerous roles in maintaining tissue homeostasis, through regulating signaling
pathways and sensing both biophysical and biochemical changes in the
extracellular environment. The structural performance of the cilium is paramount
to its function as defective cilia have been linked to numerous pathologies. In
particular, the cilium has demonstrated a mechanosensory role in tissues such as
the kidney, liver, endothelium and bone, where cilium deflection under mechanical
loading triggers a cellular response. Understanding of how cilium structure and
subsequent mechanical behavior contributes to the roles that cilium plays in
regulating cellular behavior is a compelling question, yet is a relatively
untouched research area. Recent advances in biophysical measurements have
demonstrated the cilium to be a structurally intricate organelle containing an
array of load bearing proteins. Furthermore advances in modeling of this
organelle have revealed the importance of these proteins at regulating the
cilium's mechanosensitivity. Remarkably, the cilium is capable of adapting its
mechanical state, altering its length and possibly it's bending resistance, to
regulate its mechanosensitivity demonstrating the importance of cilium mechanics
in cellular responses. In this review, we introduce the cilium as a
mechanosensor; discuss the advances in the mechanical modeling of cilia; explore
the structural features of the cilium, which contribute to its mechanics and
finish with possible mechanisms in which alteration in structure may affect
ciliary mechanics, consequently affecting ciliary based mechanosensing.
PMID- 21899848
TI - Advances in food analysis.
PMID- 21899849
TI - Electromembrane extraction of stimulating drugs from undiluted whole blood.
AB - For the first time, electromembrane extraction (EME) of six basic drugs of abuse
from undiluted whole blood and post mortem blood in a totally stagnant system is
reported. Cathinone, methamphetamine, 3,4-methylenedioxy-amphetamine (MDA), 3,4
methylenedioxy-methamphet-amine (MDMA), ketamine and 2,5-dimethoxy-4
iodoamphetamine (DOI) were extracted from the whole blood sample, through a
supported liquid membrane (SLM) consisting of 1-ethyl-2-nitrobenzene (ENB)
immobilized in the pores of a hollow fiber, and into an aqueous acceptor solution
inside the lumen of the hollow fiber. The SLM acts as a barrier with efficient
exclusion of all macromolecules and acidic substances in the sample. Due to the
application of the electrical field, only the cationic compounds of interest are
extracted efficiently across the membrane, thus providing extremely clean
extracts for analysis with liquid chromatography-mass spectrometry, LC-MS.
Recoveries in the range 10-30% were obtained from 80 MUl whole blood within 5 min
extraction time and an applied voltage of 15V across the SLM. The optimized
technique was tested on real forensic whole blood samples taken from three
forensic autopsy cases and on five forensic whole blood samples from living
persons. The results were in agreement with the analysis using standard sample
preparation methods (liquid-liquid extraction) performed on the same samples by
Norwegian Institute of Public Health (NIPH), Division of Forensic Toxicology and
Drug Abuse Research. Evaluation data were acceptable, with limit of detections
(LODs) in the range 40-2610 pg/mL, well below concentrations associated with drug
abuse; linearites in the range between 10 and 250 ng/mL with r(2) values above
0.9939, and with repeatability (RSD) of 7-32%.
PMID- 21899851
TI - Comprehensive analysis of mate (Ilex paraguariensis) compounds: development of
chemical strategies for matesaponin analysis by mass spectrometry.
AB - Ilex paraguariensis (mate) is an important plant from southern Brazil, Paraguay,
Uruguay and Argentina, where its leaves are widely used in hot or cold beverages.
We now present a comprehensive ULPC-PDA-MS analysis of the compounds found in its
leaves. The analysis was rapid, less than 13 min and many compounds were
identified, among these, the chlorogenic acid series, and other quinic acid
derivatives, xanthines, and several saponins, many of which have not been
previously described, including many isomers. The isomerism could occur in the
aglycone moiety, namely ursolic or oleanolic acid, or in the carbohydrate moiety.
Additionally, many acetylated saponins were detected. The structure of known and
novel saponins was determined using per-O-methylation with ESI-MS analysis, as
well as with GC-MS of their partially O-methylated alditol acetate (PMAA)
derivatives, along with ESI-MS analysis of the O-isopropylidene (IPP) derivatives
provided fundamental information on interglycosidic linkages, avoiding the
purification steps.
PMID- 21899850
TI - Morphological modification of nanostructured ultrathin-layer chromatography
stationary phases.
AB - Ultrathin-layer chromatography (UTLC) provides the high sensitivities and rapid
separations over short distances desirable in many analytical applications. The
dependence of these performance benefits on UTLC layer microstructure motivates
continued stationary phase engineering efforts. A new method of modifying the
elution behaviours of nanostructured thin film UTLC stationary phases is
investigated in this report. Macroporous normal phase silica thin films ~5 MUm
thick were fabricated using glancing angle deposition (GLAD). Reactive ion
etching (RIE) and a subsequent annealing treatment modified stationary phase
morphology to tune migration velocity, analyte retention, and overall separation
performance. Combining this technique with a RIE shadow mask enabled fabrication
of adjacent concentration and separation zones with markedly different elution
properties. Although produced using an entirely new approach, GLAD UTLC
concentration zone media behaved in a manner consistent with traditional thin
layer chromatography (TLC) and high-performance TLC (HPTLC) concentration zone
plates. In particular, these new media focused large volume, low concentration
dye mixture spots into narrow bands to achieve high-quality separations. The
described approach to modifying the morphology and resultant elution behaviours
of nanostructured stationary phases expands the capabilities of the GLAD UTLC
technique.
PMID- 21899852
TI - Coupling frontal elution paper chromatography with desorption corona beam
ionization mass spectrometry for rapid analysis of chlorphenamine in herbal
medicines and dietary supplements.
AB - We developed a convenient method by coupling frontal elution paper chromatography
with desorption corona beam ionization mass spectrometry (DCBI-MS) for rapid
determination of chlorphenamine added in herbal medicines or dietary supplements.
In this method, the ethanol extract of the herbal products was spotted directly
onto an isosceles triangular filter paper sheet, and then the paper sheet was
developed under strong elution condition with the sample zone migrating at the
solvent front. The analyte was finally condensed at the V-shaped tip which could
then be placed under the visible plasma beam of DCBI for ionization. The overall
procedure took less than 5 min. The frontal elution paper chromatography on a
triangular plate used in this work improved the signal intensity of
chlorphenamine by 30-fold due to the analyte condensing at the tip and the
reduction of the background suppression. Furthermore, the paper sheet also
functioned as a filter in the analysis of solid or powder samples, which can
increase the analytical throughput by omitting the step of centrifugation. The
proposed method in current study was successfully applied in the determination of
chlorphenamine in herbal medicines. Chlorphenamine was detected in four of the
twelve types of herbal medicines examined in this study. The limit of detection
was 200 ng/mL (2.0 ng absolute) in full-scan positive-ion mode and the linear
range was from 5.0 MUg/mL to 50 MUg/mL with satisfactory linear coefficient (R(2)
(the square of the correlation coefficient)=0.895). Good reproducibility was
achieved with relative standard deviations (RSDs) less than 15.0% and the
recoveries of chlorphenamine ranged from 84.3 to 90.6%.
PMID- 21899853
TI - Development of a liquid chromatography tandem mass spectrometry method for
simultaneous determination of eight adulterants in slimming functional foods.
AB - A method for simultaneous determination of eight adulterants including two
appetite suppressants, two energy expenditure-enhancing drugs, one diuretic and
three cathartics in slimming functional foods by high performance liquid
chromatography with electrospray ionization-tandem mass spectrometry (HPLC-ESI
MS/MS) was established. After samples were ultrasonically extracted with 70%
(v/v) methanol aqueous solution and centrifuged, the components of ephedrine,
norpseudoephedrine, fenfluramine, sibutramine, clopamide, emodin, rhein, and
chrysophanol in sample solution were separated by a Hypersil Gold column (2.1 mm
* 150 mm, 5 MUm) using a programmed gradient elution. A mobile phase consisting
of 0.02% (v/v) formic acid-ammonium formate buffer solution (pH=3.50) and
methanol was used for elution with a flow rate set at 250 MUL/min and column
temperature of 25 degrees C. Qualitative determination was based on
characteristic ion pairs and retention time of the targeted compounds using SRM
(selective reaction monitoring) mode. Clenbuterol and ibuprofen were internal
standards in positive and negative ionization mode, respectively. The internal
standard curves were used for quantification measurement. The average recoveries
of three different concentrations were from 80.2% to 94.5%. The limits of
detection (LODs) were from 0.03 to 0.66 mg/kg (except chrysophanol 1.6 mg/kg).
The linear dynamic range covered from 1 to 500 MUg/L (except chrysophanol 50-5000
MUg/L) for the twelve samples analyzed. Adulterants in four different kinds of
slimming functional foods were determined by this developed method, and
satisfactory results were obtained. These experimental results showed that,
adulteration of sibutramine or/and fenfluramine were the major adulterating
components with contents varying from 6.1 to 1.3*10(3) mg/kg and 1.9 to 9.7*10(3)
mg/kg, respectively. In addition, three cathartic compounds were detected in six
of those tested samples, and ephedrine, norpseudoephedrine and clopamide were not
detected in all samples.
PMID- 21899854
TI - Application of QuEChERS method for extraction of selected persistent organic
pollutants in fish tissue and analysis by gas chromatography mass spectrometry.
AB - The QuEChERS method developed for 22 organochlorine pesticides (OCPs) and 7
polychlorinated biphenyls (PCBs) in fish tissue involves a simple and efficient
freezing technique for removal of lipids. The equipment developed consists of
disposable syringes and a freezing block constructed from simple materials found
in most laboratories. The freezing block keeps the temperature in the extract at
20.5 degrees C up to 10 min after being exposed to room temperature. After the
freezing step 69% of the lipids in tilapia and 61% in salmon are removed. Further
reduction of co-extractives up to 96% in tilapia and 87% in salmon can be made by
treatment with CaCl(2) and primary secondary amino sorbent (PSA) which removes
the fatty acids. Spiking experiments in tilapia at 5 and 50 ng/g and extracted
with acetonitrile show recovery range from 70 to 115% for all compounds. In
salmon the recoveries are in the range 43-118% for the OCPs and 26-65% for the
PCBs. Analysis of a standard reference material shows acceptable results for most
of the pesticides but low results for the PCBs. The estimated LOQs were in the
range 1-5 ng/g for tilapia and 2-10 ng/g for salmon. The method has been applied
to analyse fish samples from Lake Koka in Ethiopia. It was investigated if
addition of a less polar water miscible solvent than acetonitrile could increase
the recovery of OCPs and PCBs. The results show that a mixture of 75%
acetonitrile and 25% tetrahydrofurane (ACN/THF 75/25) clearly enhances the
recoveries for most OCPs (47-101%) and PCBs (42-79%) from salmon. The recovery of
aldrin increases significantly from 55% to over 80%. The method using ACN/THF
75/25 is applicable to the extraction of OCPs and PCBs from fish tissue having a
lipid content of up to about 11% (salmon) with recoveries >=70% for most of the
OCPs and >=42% for the PCBs.
PMID- 21899855
TI - Development of an on-column enrichment technique based on C18-functionalized
magnetic silica nanoparticles for the determination of lidocaine in rat plasma by
high performance liquid chromatography.
AB - In this study, a novel on-column enrichment technique filled with C(18)
functionalized magnetic silica nanoparticles was successfully developed for the
determination of lidocaine in rat plasma by high performance liquid
chromatography (HPLC). The synthesized Fe(3)O(4)@SiO(2)-C(18) nanoparticles were
locally packed into the capillary by the application of magnets. Lidocaine in the
sample solutions pumped into the capillary tube could be easily adsorbed by
Fe(3)O(4)@SiO(2)-C(18) through hydrophobic interaction by the interior C(18)
groups, and eluted by acetonitrile solution. Different extraction conditions were
investigated. Method validations including linear range, quantification limit,
detection limit, precision, accuracy and recovery were also studied. The results
showed that the proposed method based on on-column enrichment by Fe(3)O(4)@SiO(2)
C(18) was a novel, little solvent and efficient approach for the determination of
lidocaine in the complex plasma samples.
PMID- 21899856
TI - High efficiency, high temperature separations on silica based monolithic columns.
AB - The effect of temperature on separation using reversed-phase monolithic columns
has been investigated using a nano-LC pumping system for gradient separation of
tryptic peptides with MS detection. A goal of this study was to find optimal
conditions for high-speed separations. The chromatographic performance of the
columns was evaluated by peak capacity and peak capacity per time unit. Column
lengths ranging from 20 to 100 cm and intermediate gradient times from 10 to 30
min were investigated to assess the potential of these columns in a final step
separation, e.g. after fractionation or specific sample preparation. Flow rates
from 250 to 2000 nL/min and temperatures from 20 to 120 degrees C were
investigated. Temperature had a significant effect on fast separations, and a
flow rate of 2000 nL/min and a temperature of 80 degrees C gave the highest peak
capacity per time unit. These settings produced 70% more protein identifications
in a biological sample compared to a conventional packed column. Alternatively,
an equal amount of protein identifications was obtained with a 40% reduction in
run time compared to the conventional packed column.
PMID- 21899858
TI - The value of immunohistochemical expression of BAX in formulating a prognosis for
canine cutaneous mast cell tumours.
AB - Immunohistochemical expression of BAX was evaluated in 24 canine cutaneous mast
cell tumours in order to verify the relationship of this expression to the
histopathological grade of the lesions and its prognostic value for clinical
outcome. BAX expression increased with higher histopathological grades (P=0.0148;
P<0.05 between grades I and III). Animals with high levels of BAX expression were
4.25 times more likely to die from the disease and had shorter post-surgical
survival times (P=0.0009). These results suggest that alterations in BAX
expression may be related to the aggressiveness of canine cutaneous mast cell
tumours, indicating that immunohistochemical detection of BAX may be predictive
of clinical outcome.
PMID- 21899857
TI - Dual infection of fetal and neonatal small ruminants with border disease virus
and peste des petits ruminants virus (PPRV): neuronal tropism of PPRV as a novel
finding.
AB - Dual infection of 26 fetal and neonatal small ruminants with border disease virus
(BDV) and peste des petits ruminants virus (PPRV) is reported. The animals
included five aborted lamb fetuses, 19 neonatal lambs and two neonatal kids from
flocks in regions of the Black Sea and the Aegean region. BDV and PPRV antigens
were detected immunohistochemically in the brain, oral mucosa, intestine and lung
of infected animals. Reverse transcriptase-polymerase chain reaction was used to
demonstrate PPRV and BDV in samples of the spleen, lymph node, lung and brain
from infected animals. On the basis of observations made, it is concluded that
brain damage following intrauterine infection with BDV facilitates the passage of
PPRV to the brain and results in infection of neuronal and glial cells by PPRV.
PMID- 21899859
TI - Carotid body remodelling in l-NAME-induced hypertension in the rat.
AB - The carotid body (CB) is a chemoreceptor organ located at the bifurcation of the
common carotid artery. It is made up of the carotid glomus, a structure
containing type 1 cells surrounded by type 2 cells. The aim of this study was to
evaluate the morphological changes of the CB and carotid glomus in the rat model
of l-NAME-induced hypertension. Male Wistar rats were divided in two groups:
control untreated rats (C) and rats receiving l-NAME 40 mg/kg/day (LN) for 6
weeks. At the end of the experiment, the systolic blood pressure was 63% higher
in the LN group compared with the C group. Morphometric analysis showed that the
area of the CB was 29% greater in the LN group compared with the C group. The
density of nuclei in the CB was similar between groups, but it was 31% less in
the carotid glomus of the LN group. Cells in the CB of the LN group displayed
cytoplasmic vacuolation and expressed several biogenic amines. There were more
elastic fibres, proteoglycans and collagen fibres in the LN group compared with
the C group. Immunohistochemistry showed increased expression of nuclear factor
kB, substance P, vascular endothelial growth factor and neuronal nitric oxide
synthase in the LN group, while expression of the protein gene product 9.5 was
decreased. l-NAME alters cell morphology and the expression of extracellular
matrix molecules in the CB and carotid glomus in rats with l-NAME-induced
hypertension.
PMID- 21899860
TI - The power of well-connected arguments: early sensitivity to the connective
because.
AB - Connectives, such as because, are routinely used by parents when addressing their
children, yet we do not know to what extent children are sensitive to their use.
Given children's early developing abilities to evaluate testimony and produce
arguments containing connectives, it was hypothesized that young children would
show an appropriate reaction to the presence of connectives. Three experiments
were conducted to test this hypothesis. In each, two informants gave
contradicting statements regarding the location of an object and justified their
positions by using a similar argument. Only one of the informants used the
connective because to link his argument to the statement. In each experiment, the
3-year-olds performed at chance in selecting choices containing the connective
because, but the 4- and 5-year-olds performed above chance. Moreover, in
Experiments 2 and 3, the 4-year-olds, 5-year-olds, and adults performed
significantly better than the 3-year-olds. These findings show that 4-year-olds,
5-year-olds, and adults are sensitive to the presence of connectives. An
interpretation of the difference in performance between the 3-year-olds and the 4
and 5-year-olds in terms of metarepresentational skills is suggested.
PMID- 21899862
TI - [Orbital subperiotal hematoma associated with extradural hematoma: one case
report].
AB - Subperiotal hematoma of the orbit associated with extradural hematoma has been
rarely reported in the literature. We report a case of an 8-year-old girl who
presented after traumatism, a subperiotal hematoma of the orbit with two
extradural hematomas. During the first clinical examination realized while the
patient was unconscious, left exophthalmia was found, but after conscious
improvement, we discovered ophtalmoplegia and a grade I papilla edema. The CT
scan showed two extradural hematomas and a left intraorbital hematoma. The
intraorbital hematoma was drained by surgical way but the extradural hematomas
were not surgical. The outcome has been marked by the neurological improvement
and the oculomotricity recovering.
PMID- 21899863
TI - [Nasal dermoid cyst with intracranial extension: which approach?].
AB - Dermoid cyst is the most common midline congenital nasal tumor. Intracranial
extension is rare but possible, must be suspected and confirmed by a cerebral
magnetic resonance imagining (MRI). Only total surgical removal via a combined
intracranial/extracranial approach appears to provide a complete resolution and
effective protection against late recurrence. We report a case of a 2 years old
patient who was operated for a nasal congenital cyst extending to the nasal
septum. Anatomopathology showed a dermoid cyst. Five years later, he presented
local recurrence of the dermoid cyst with intracranial extension through a bifid
crista galli. We conclude that to avoid recurrence, the removal of the nasal cyst
and sinus tract must be followed to its dural attachment. A transfacial approach
can be associated with frontal craniotomy, which can provide adequate exposure
for complete removal of the intracranial component of the cyst and sinus tract. A
literature review was performed.
PMID- 21899864
TI - Isolation, identification and quantitation of hydroxycinnamic acid conjugates,
potential platform chemicals, in the leaves and stems of Miscanthus * giganteus
using LC-ESI-MSn.
AB - Miscanthus*giganteus is a source of platform chemicals and bioethanol through
fermentation. Cinnamates in leaves and stems were analysed by LC-ESI-MS(n). Free
phenols were extracted and separated chromatographically. More than 20
hydroxycinnamates were identified by UV and LC-ESI-MS(n). Comparative LC-MS
studies on the leaf extract showed isomers of O-caffeoylquinic acid (3-CQA, 4-CQA
and 5-CQA), O-feruloylquinic acid (3-FQA, 4-FQA and 5-FQA) and para
coumaroylquinic acid (3-pCoQA and 5-pCoQA). Excepting 3-pCoQA, all were also
detected in stem. 5-CQA dominated in leaf; a mandelonitrile-caffeoylquinic acid
dominated in stem. Three minor leaf components were distinguished by
fragmentation patterns in a targetted MS(2) experiment as dicaffeoylquinic acid
isomers. Others (M(r) 516) were tentatively identified as hexosylcaffeoyl
quinates. Three positional isomers of O-caffeoylshikimic acid were minor
components. p-Hydroxybenzaldehyde was also a major component in stem. This is the
first report of the hydroxycinnamic acid profile of leaves and stems of
M.*giganteus.
PMID- 21899865
TI - Triterpenes and a triterpene glucoside from Dysoxylum cumingianum.
AB - Six triterpenes and a triterpene glucoside were isolated from the MeOH extract of
the leaves of Dysoxylum cumingianum together with three known triterpenes. Their
structures were elucidated by extensive spectroscopic analyses. In cytotoxicity
assays against three human cancer cell lines, including a multi-drug resistant
cancer cell line (KB-C2), compounds 1, 2 and 5 demonstrated significantly
enhanced cytotoxicity against KB-C2 cells in the presence of 2.5 MUM colchicine,
as compared with those in the absence of colchicine. This result suggested that
these triterpenes might show some MDR-reversing effects.
PMID- 21899866
TI - Neurotoxicity of the CNS: diagnosis, treatment and prevention.
AB - Treatment-induced CNS toxicity remains a major cause of morbidity in patients
with cancer. Real advances in the design of safer radiation procedures have been
counterbalanced by a wider use of combined radiotherapy (RT)-chemotherapy
regimens, the development of radiosurgery, and the increasing number of long-term
survivors. While classic radionecrosis or chemonecrosis have become less common,
more subtle changes such as progressive cognitive dysfunction are increasingly
reported after RT (radiation-induced leukoencephalopathy) or chemotherapy
(administered alone or in combination). The most important and controversial
complications of RT, chemotherapy and combined treatments in the CNS are reviewed
here, including new diagnostic tools, practical management and prevention that
will influence the future management of cancer patients.
PMID- 21899867
TI - Viscoelastic clot strength predicts coagulation-related mortality within 15
minutes.
AB - BACKGROUND: Predicting refractory coagulopathy early in resuscitation of injured
patients may decrease a leading cause of preventable death. We hypothesized that
clot strength (G) measured by point-of-care rapid thrombelastography (r-TEG) on
arrival in the emergency department can predict massive transfusion (MT) and
coagulation-related mortality (MT-death). METHODS: Trauma alerts/activations from
May 2008 to September 2010 were reviewed. The variables included the following:
age, sex, injury severity score (ISS), systolic blood pressure (SBP), base
deficit (BD), traditional coagulation tests (international normalized ratio
([INR], partial thromboplastin time [PTT]), TEG-derived G, and blood products
transfused within the first 6 hours. Independent predictors of 2 outcomes (MT
[>=10 packed red blood cells units/6 h] and MT-related death) were identified
using logistic regression. The individual predictive values of BD, INR, PTT, and
G were assessed comparing the areas under the receiver operating characteristic
curves (AUC ROC), while adjusting for age, ISS, and SBP. RESULTS: Among the 80
study patients, 48% required MT, and 21% died of MT-related complications. INR,
ISS, and G were independent predictors of MT, whereas age, ISS, SBP, and G were
independently associated with MT-death. The predictive power for outcome MT did
not differ among INR (adjusted AUC ROC = 0.92), PTT (AUC ROC = 0.90, P = .41), or
G (AUC ROC = 0.89, P = .39). For outcome MT-death, G had the greatest adjusted
AUC ROC (0.93) compared with the AUC ROC for BD (0.87, P = .05), INR (0.88, P =
.11), and PTT (0.89; P = .19). CONCLUSION: These data suggest that the point-of
care TEG parameter clot strength (G) provides consistent, independent prediction
of MT and MT-death early in the resuscitation of injured patients.
PMID- 21899870
TI - Transverse (lateral) instantaneous force of an acoustical first-order Bessel
vortex beam centered on a rigid sphere.
AB - In a recent report [F.G. Mitri, Z.E.A. Fellah, Ultrasonics 51 (2011) 719-724], it
has been found that the instantaneous axial force (i.e. acting along the axis of
wave propagation) of a Bessel acoustic beam centered on a sphere is only
determined for the fundamental order (i.e. m=0) but vanishes when the beam is of
vortex type (i.e. m>0, where m is the order (or helicity) of the beam). It has
also been recognized that for circularly symmetric beams (such as Bessel beams of
integer order), the transverse (lateral) instantaneous force should vanish as
required by symmetry. Nevertheless, in this commentary, the present analysis
unexpectedly reveals the existence of a transverse instantaneous force on a rigid
sphere centered on the axis of a Bessel vortex beam of unit magnitude order (i.e.
|m|=1) not reported in [F.G. Mitri, Z.E.A. Fellah, Ultrasonics 51 (2011) 719
724]. The presence of the transverse instantaneous force components of a first
order Bessel vortex beam results from mathematical anti-symmetry in the surface
integrals, but vanishes for the fundamental (m=0) and higher-order Bessel
(vortex) beams (i.e. |m|>1). Here, closed-form solutions for the instantaneous
force components are obtained and examples for the transverse components for
progressive waves are computed for a fixed and a movable rigid sphere. The
results show that only the dipole (n=1) mode in the scattering contributes to the
instantaneous force components, as well as how the transverse instantaneous force
per unit cross-sectional surface varies versus the dimensionless frequency ka (k
is the wave number in the fluid medium and a is the sphere's radius), and the
half-cone angle beta of the beam. Moreover, the velocity of the movable sphere is
evaluated based on the concept of mechanical impedance. The proposed analysis may
be of interest in the analysis of transverse instantaneous forces on spherical
particles for particle manipulation and rotation in drug delivery and other
biomedical or industrial applications.
PMID- 21899869
TI - In vivo differentiation of adipose-derived stem cells in an injectable poloxamer
octapeptide hybrid hydrogel.
AB - A hybrid hydrogel (PP) composed of Polomaxer-407 (PO) and octapeptide with amino
acid sequence of KFEFKFEF (PE) was prepared to make a scaffold material
incorporating PO's high and tunable mechanical strength and integrity with PE's
superior bioactivity. Human adipose-derived mesenchymal stem cells (hASCs) were
encapsulated into PE, PO and PP hydrogels respectively and injected
subcutaneously at the dorsal neck area of nude mice. Adipose-like tissue
regeneration was only observed in the mice injected with cell-encapsulated PP
hydrogel. No adipose regeneration was found in the mice injected with PO or PE.
Immunohistochemistry analysis with mouse anti-human nuclei monoclonal antibody
demonstrated that the cells in the regenerated adipose-like tissue was originated
from the injected hASCs. The growth of blood capillaries indicated that the
regenerated adipose-like tissue was living tissue. In addition, human-originated
cells were also found in nude mice skin. These cells were positive with mouse
anti-human cells keratin antibody, suggesting that the injected hASCs migrated to
the skin and differentiated into epithelial cells in vivo.
PMID- 21899868
TI - Morphologic changes and prognosis of the respiratory tract epithelium in
inhalation injury and their relationship with clinical manifestations.
AB - BACKGROUND: We set out to observe the morphologic changes and determine prognosis
based on airway epithelial injury after inhalation injury of varying severity
using a fiberbronchoscope and to explore the relationship between the severity of
epithelial injury and its clinical manifestation. METHODS: Sixty burn patients
with an inhalation injury underwent fiberoptic bronchoscopy. Morphologic changes
of the airway epithelium were observed, and the inhalation injury was divided
into 3 categories based on the severity of the epithelial injury: first-degree
injury, in which the airway epithelium had slight hyperemia and edema; second
degree injury, in which obvious epithelial hyperemia and edema, epithelial
erosion, or petechial hemorrhage was observes; and third-degree, in which the
airway epithelium had necrosis and exfoliation. For all groups, chest radiographs
were examined. The duration of ventilation was recorded. RESULTS: Among 60
patients, 16 (27%) had first-degree injury, 33 (55%) had second-degree injury,
and 11 (18%) had third-degree injury. Among this last group, 4 patients with
epithelial exfoliation had airway hemorrhage. In this study, 38 of 60 (63%)
patients presented with abnormal findings on lung radiographs and 9 of 60 (15%)
developed pulmonary infections. The duration of ventilation averaged 2 days for
second-degree injury and 14 days for third-degree injury. The recovery times for
first-, second-, and third-degree injury were 7, 16, and 29 days, respectively.
CONCLUSION: Fiberoptic bronchoscopy contributes to the accurate evaluation of
tracheal epithelial injury severity. As the severity of inhalation injury
increased, the clinical symptoms also increased. Severe complications (eg,
bleeding and asphyxia caused by epithelial slough) may occur in patients with
third-degree injury and should be closely observed by clinicians. The airway
epithelium has a substantial potential for repair. Even if the airway epithelial
injury is severe, the damaged epithelium will recover.
PMID- 21899871
TI - Analysis of 2-D motion tracking in ultrasound with dual transducers.
AB - We study displacement and strain measurement error of dual transducers (two
linear arrays, aligned orthogonally and coplanar). Displacements along the beam
of each transducer are used to obtain measurements in two-dimensions. Simulations
(5MHz) and experiments (10MHz) are compared to measurements with a single linear
array, with and without angular compounding. Translation simulations demonstrate
factors of 1.07 larger and 8.0 smaller biases in the axial and lateral directions
respectively, for dual transducers compared to angular compounding. As the angle
between dual transducers decreases from 90 degrees to 40 degrees , for 1%
compression simulations, the lateral RMS error ranges from 2.1 to 3.9MUm compared
to 9MUm with angular compounding. Simulation of dual transducer misalignment of
1mm and 2 degrees result in errors of less than 9MUm. Experiments demonstrate
factors of 3.0 and 5.2 lower biases for dual transducers in the axial and lateral
directions respectively compared to angular compounding.
PMID- 21899872
TI - Morphological architecture of dual-layer hollow fiber for membrane distillation
with higher desalination performance.
AB - A new strategy to enhance the desalination performance of polyvinylidene fluoride
(PVDF) hollow fiber membrane for membrane distillation (MD) via architecture of
morphological characteristics is explored in this study. It is proposed that a
dual-layer hollow fiber consisting of a fully finger-like macrovoid inner-layer
and a sponge-like outer-layer may effectively enhance the permeation flux while
maintaining the wetting resistance. Dual-layer fibers with the proposed
morphology have been fabricated by the dry-jet wet spinning process via careful
choice of dopes composition and coagulation conditions. In addition to high
energy efficiency (EE) of 94%, a superior flux of 98.6 L m(-2) h(-1) is obtained
during the direct contact membrane distillation (DCMD) desalination experiments.
Moreover, the liquid entry pressure (LEP) and long-term DCMD performance test
show high wetting resistance and long-term stability. Mathematical modeling has
been conducted to investigate the membrane mass transfer properties in terms of
temperature profile and apparent diffusivity of the membranes. It is concluded
that the enhancement in permeation flux arises from the coupling effect of two
mechanisms; namely, a higher driving force and a lower mass transfer resistance,
while the later is the major contribution. This work provides an insight on MD
fundamentals and strategy to tailor making ideal membranes for DCMD application
in desalination industry.
PMID- 21899873
TI - Role of histidine 225 in adenosylcobalamin-dependent ornithine 4,5-aminomutase.
AB - Pyridoxal 5'-phosphate (PLP), in the active site of ornithine 4,5-aminomutase
(OAM), forms a Schiff base with N(delta) of the d-ornithine side chain and
facilitates interconversion of the amino acid to (2R, 4S) 2,4-diaminopentanoic
acid via a radical-based mechanism. The crystal structure of OAM reveals that
His225 is within hydrogen bond distance to the PLP phenolic oxygen, and may
influence the pK(a) of the Schiff base during radical rearrangement. To evaluate
the role of His225 in radical stabilization and catalysis, the residue was
substituted with a glutamine and alanine. The H225Q and H225A variants have a 3-
and 10-fold reduction in catalytic turnover, respectively, and a decrease in
catalytic efficiency (7-fold for both mutants). Diminished catalytic performance
is not linked to an increase in radical-based side reactions leading to enzyme
inactivation. pH-dependence studies show that k(cat) increases with the
ionization of a functional group, but it is not attributed to His225. Binding of
2,4-diaminobutyric acid to native OAM leads to formation of an overstabilized 2,4
diaminobutyryl-PLP derived radical. In the H225A and the H225Q mutants, the
radical forms and then decays, as evidenced by accumulation of cob(III)alamin.
From these data, we propose that His225 enhances radical stability by acting as a
hydrogen bond acceptor to the phenolic oxygen, which favors the deprotonated
state of the imino nitrogen and leads to greater resonance stabilization of the
2,4-diaminobutyryl-PLP radical intermediate. The potential role of His225 in
lowering the activation energy barrier to mediate PLP-dependent radical
rearrangement is discussed.
PMID- 21899874
TI - Chemometric data analysis application to Sparus aurata samples from two offshore
farming plants along the Apulian (Italy) coastline.
AB - The levels of polychlorodibenzo-p-dioxins (PCDDs), polychlorodibenzofurans
(PCDFs), dioxin-like polychlorobiphenyls (DL-PCBs), non-dioxin-like
polychlorobiphenyls (NDL-PCBs), and polybromodiphenyl ethers (PBDEs) in fish
collected from two marine offshore farming plants were determined. Each sample
was constituted by specimens of the same size collected at the same time in four
different seasons along the farming year. The feeds given were of industrial
origin and the plants were positioned in two different sites respectively exposed
to different environmental characteristics. A chemometric approach was applied to
interpret the subtle differences observed in fish body burdens across the three
chemical groups taken into consideration. The approach consisted in a stepwise
multivariate process including a hierarchical cluster analysis (CA) and a linear
discriminant analysis (DA). The two main clusters determined by CA were subjected
to the canonical DA, backward and forward selection procedures to select the best
discriminative functions. A clear temporal and spatial discrimination was found
among the samples. Across the three chemical groups, the monthly separation
seemed to depend on the growth process and the main exposure was due to the feed.
In addition, the two plants differed significantly from the environmental point
of view and the most important discriminating group of chemicals were the NDL
PCBs. The approach resulted really effective in discriminating the subtle
differences and in individuating suggestions to improve the quality of culturing
conditions.
PMID- 21899875
TI - 28-Homobrassinolide mitigates boron induced toxicity through enhanced antioxidant
system in Vigna radiata plants.
AB - The objective of this study was to establish relationship between boron induced
oxidative stress and antioxidant system in Vigna radiata plants and also to
investigate whether brassinosteroids will enhance the level of antioxidant system
that could confer tolerance to the plants from the boron induced oxidative
stress. The mung bean (V. radiata cv. T-44) plants were administered with 0.50,
1.0 and 2.0 mM boron at 6 d stage for 7 d along with nutrient solution. At 13 d
stage, the seedlings were sprayed with deionized water (control) or 10(-8) M of
28-homobrassinolide and plants were harvested at 21 d stage to assess growth,
leaf gas-exchange traits and biochemical parameters. The boron treatments
diminished growth, water relations and photosynthetic attributes along with
nitrate reductase and carbonic anhydrase activity in the concentration dependent
manner whereas, it enhanced lipid peroxidation, electrolyte leakage, accumulation
of H(2)O(2) as well as proline, and various antioxidant enzymes in the leaves of
mung bean which were more pronounced at higher concentrations of boron. However,
the follow-up application of 28-homobrassinolide to the boron stressed plants
improved growth, water relations and photosynthesis and further enhanced the
various antioxidant enzymes viz. catalase, peroxidase and superoxide dismutase
and content of proline. The elevated level of antioxidant enzymes as well as
proline could have conferred tolerance to the B-stressed plants resulting in
improved growth, water relations and photosynthetic attributes.
PMID- 21899876
TI - Record of PCB congeners, sorbents and potential toxicity in core samples in
Indiana Harbor and Ship Canal.
AB - Indiana Harbor and Ship Canal (IHSC) is an active navigational system that serves
a heavily industrial area of southern Lake Michigan. We have determined the
amount of polychlorinated biphenyls (PCBs), congener distributions, sorbent types
and potential for dioxin-like PCB toxicity from two IHSC sediment cores. Vertical
distributions of SigmaPCBs (sum of 161 individual or coeluting congeners) ranged
from 410 to 91000 and 1800 to 41000 ng g(-1) dry weight (d.w.) for cores 1 and 2,
respectively. Core 1 showed its highest accumulation rate for the year ~1979 and
exhibits a strong Aroclor 1248 signal in sediments accumulating over the last 60
years. It appears that from the late 1930s until the beginning of the 1980s there
was a large and constant input of PCBs into this system. This pattern differs
from lake cores from the Great Lakes region which commonly exhibit a rapid
increase, a peak, followed by a sharp decrease in the PCB accumulation rates.
Core 2 also has a strong Aroclor 1248 signal in the top layers, but deeper layers
show evidence of mixtures of Aroclors and/or weathering processes. High levels of
black carbon as a fraction of total organic carbon were found in both cores
(median ~30%), which reflect the long history of local combustion sources. No
strong relationship was found between SigmaPCB concentration and sorbents. Both
cores contain dioxin-like PCBs that are highest in concentration below the
surface. The high levels of PCBs in the deep sediments are of concern because of
plans to dredge this system.
PMID- 21899877
TI - Water- and sediment-quality effects on Pimephales promelas spawning vary along an
agriculture-to-urban land-use gradient.
AB - Many streams in the U.S. are "impaired" due to anthropogenic influence. For
watershed managers to achieve practical understanding of these impairments, a
multitude of factors must be considered, including point and nonpoint-source
influence on water quality. A spawning assay was developed in this study to
evaluate water- and sediment-quality effects that influenced Pimephales promelas
(fathead minnow) egg production over a gradient of urban and agricultural land
use in 27 small watersheds in Eastern Wisconsin. Six pairs of reproducing fathead
minnows were contained in separate mesh cartridges within one larger flow-through
chamber. Water- and sediment quality were sampled for an array of parameters. Egg
production was monitored for each pair providing an assessment of spawning
success throughout the 21-day test periods. Incidences of low dissolved oxygen
(DO) in many of these streams negatively impacted spawning success. Nine of 27
streams experienced DO less than 3.1mg/L and 15 streams experienced DO less than
4.8mg/L. Low DO was observed in urban and agricultural watersheds, but the upper
threshold of minimum DO decreased with increasing urban development. An increase
in specific conductance was related to a decrease in spawning success. In
previous studies for streams in this region, specific conductance had a linear
relation with chloride, suggesting the possibility that chloride could be a
factor in egg production. Egg production was lower at sites with substantial
urban development, but sites with low egg production were not limited to urban
sites. Degradation of water- and sediment-quality parameters with increasing
urban development is indicated for multiple parameters while patterns were not
detected for others. Results from this study indicate that DO must be a high
priority watershed management consideration for this region, specific conductance
should be investigated further to determine the mechanism of the relation with
egg production, and water- and sediment-quality degrade in relation to urban
influence.
PMID- 21899878
TI - High levels of inorganic arsenic in rice in areas where arsenic-contaminated
water is used for irrigation and cooking.
AB - Rice is the staple food for the people of arsenic endemic South (S) and South
East (SE) Asian countries. In this region, arsenic contaminated groundwater has
been used not only for drinking and cooking purposes but also for rice
cultivation during dry season. Irrigation of arsenic-contaminated groundwater for
rice cultivation has resulted high deposition of arsenic in topsoil and uptake in
rice grain posing a serious threat to the sustainable agriculture in this region.
In addition, cooking rice with arsenic-contaminated water also increases arsenic
burden in cooked rice. Inorganic arsenic is the main species of S and SE Asian
rice (80 to 91% of the total arsenic), and the concentration of this toxic
species is increased in cooked rice from inorganic arsenic-rich cooking water.
The people of Bangladesh and West Bengal (India), the arsenic hot spots in the
world, eat an average of 450g rice a day. Therefore, in addition to drinking
water, dietary intake of arsenic from rice is supposed to be another potential
source of exposure, and to be a new disaster for the population of S and SE Asian
countries. Arsenic speciation in raw and cooked rice, its bioavailability and the
possible health hazard of inorganic arsenic in rice for the population of S and
SE Asia have been discussed in this review.
PMID- 21899879
TI - Arsenic accumulation in irrigated agricultural soils in Northern Greece.
AB - The accumulation of arsenic in soils and food crops due to the use of arsenic
contaminated groundwater for irrigation has created worldwide concern. In the
Chalkidiki prefecture in Northern Greece, groundwater As reach levels above
1000MUg/L within the Nea Triglia geothermal area. While this groundwater is no
longer used for drinking, it represents the sole source for irrigation. This
paper provides a first assessment of the spatial extent of As accumulation and of
As mobility during rainfall and irrigation periods. Arsenic content in sampled
soils ranged from 20 to 513mg/kg inside to 5-66mg/kg outside the geothermal area.
Around irrigation sprinklers, high As concentrations extended horizontally to
distances of at least 1.5m, and to 50cm in depth. During simulated rain events in
soil columns (pH=5, 0MUg As/L), accumulated As was quite mobile, resulting in
porewater As concentrations of 500-1500MUg/L and exposing plant roots to high
As(V) concentrations. In experiments with irrigation water (pH=7.5, 1500MUg
As/L), As was strongly retained (50.5-99.5%) by the majority of the soils.
Uncontaminated soils (<30mg As/kg) kept soil porewater As concentrations to below
50MUg/L. An estimated retardation factor R(f)=434 for weakly contaminated soil
(<100mg/kg) indicates good ability to reduce As mobility. Highly contaminated
soils (>500mg/kg) could not retain any of the added As. Invoked mechanisms
affecting As mobility in those soils were adsorption on solid phases such as
Fe/Mn-phases and As co-precipitation with Ca. Low As accumulation was found in
collected olives (0.3-25MUg/kg in flesh and 0.3-5.6MUg/kg in pits). However, soil
arsenic concentrations are frequently elevated to far above recommended levels
and arsenic uptake in faster growing plants has to be assessed.
PMID- 21899880
TI - Tackling NCDs: a different approach is needed.
PMID- 21899881
TI - The influence of surface topography of a porous perfluoropolyether polymer on
corneal epithelial tissue growth and adhesion.
AB - Design principles for corneal implants are challenging and include permeability
which inherently involves pore openings on the polymer surface. These
topographical cues can be significant to a successful clinical outcome where a
stratified epithelium is needed over the device surface, such as with a corneal
onlay or corneal repair material. The impact of polymer surface topography on the
growth and adhesion of corneal epithelial tissue was assessed using porous
perfluoropolyether membranes with a range of surface topography. Surfaces were
characterised by AFM and XPS, and the permeability and water content of membranes
was measured. Biological testing of membranes involved a 21-day in vitro tissue
assay to evaluate migration, stratification and adhesion of corneal epithelium.
Similar parameters were monitored in vivo by surgically implanting membranes into
feline corneas for up to 5 months. Data showed optimal growth and adhesion of
epithelial tissue in vitro when polymer surface features were below a 150 nm RMS
value. Normal processes of tissue growth and adhesion were disrupted when RMS
values approached 300 nm. Data from the in vivo study confirmed these findings.
Together, outcomes demonstrated the importance of surface topography in the
design of implantable devices that depend on functional epithelial cover.
PMID- 21899883
TI - Perfluorodecalin-enriched fibrin matrix for human islet culture.
AB - Disruption of microenvironment and decrease in oxygen supply during isolation and
culture lead to pancreatic islet injury and their poor survival after
transplantation. This study aimed to create a matrix for culturing islets, using
fibrin as scaffold and perfluorodecalin as oxygen diffusion enhancing medium.
Human pancreatic islets were divided in four groups: control, islets cultured in
fibrin, islets in fibrin containing non-emulsified perfluorodecalin, and finally
islets in fibrin supplemented with emulsified perfluorodecalin. After an
overnight culture, cell damage (viability, proinsulin and insulin unregulated
release, apoptosis (caspase-3 activation), secretory function, and presence of
hypoxia markers (HIF-1a and VEGF expression) were assessed. Islets cultured in a
matrix, had similar islet viability to controls (no matrix) but decreased levels
of active caspase-3 and unregulated hormone release, but high level of hypoxia
markers expression. Although the supplementation of fibrin with non-emulsified
perfluorodecalin improves secretory response, there was no decrease in hypoxia
markers expression. In contrast, emulsified perfluorodecalin added to the matrix
improved islet function, islet viability and maintained level of hypoxia markers
similar to control. Fibrin matrix supplemented with emulsified perfluorodecalin
can provide a beneficial physical and chemical environment for improved
pancreatic human islet function and viability in vitro.
PMID- 21899882
TI - Actin and ERK1/2-CEBPbeta signaling mediates phagocytosis-induced innate immune
response of osteoprogenitor cells.
AB - Wear particles at the host bone-implant interface are a major challenge for
successful bone implant arthoplasties. Current understanding of aseptic loosening
consists of macrophage-mediated inflammatory responses and increasing
osteoclastogenesis, which lead to an imbalance between bone formation and
resorption. Despite its significant role in bone regeneration and implant
osteointegration, the osteoprogenitor response to wear particles has been
examined recent years. More specifically, the intracellular mechanism of
osteoprogenitor mediated inflammation has not been fully elucidated. In this
study, we examined the role of osteoprogenitors and the cellular mechanism by
which metal wear particles elicit an inflammatory cascade. Through both in vivo
and in vitro experiments, we have demonstrated that osteoprogenitor cells are
capable of initiating inflammatory responses by phagocytosing wear particles,
which lead to subsequent accumulation of macrophages and osteoclastogenesis, and
the ERK_CEBP/beta intracellular signaling is a key inflammatory pathway that
links phagocytosis of wear particles to inflammatory gene expression in
osteoprogenitors. AZD6244 treatment, a potent inhibitor of the ERK pathway,
attenuated particle mediated inflammatory osteolysis both in vivo and in vitro.
This study advances our understanding of the mechanisms of osteoprogenitor
mediated inflammation, and provides further evidence that the ERK_CEBP/beta
pathway may be a suitable therapeutic target in the treatment of inflammatory
osteolysis.
PMID- 21899884
TI - Contribution of different placental cells to the expression and stimulation of
antimicrobial proteins (AMPs).
AB - The placenta is a major barrier that prevents potentially infectious agents from
causing fetal diseases or related complications during pregnancy. Therefore, we
postulated that the placenta might express a broad repertoire of antimicrobial
proteins as well as inflammatory chemokines and cytokines to combat invading
microorganisms. Here we demonstrate that placental cells indeed express a wide
range of AMPs (antimicrobial peptides and proteins) including
bactericidal/permeability-increasing protein (BPI), secretory leukocyte protease
inhibitor (SLPI), human beta-defensin 2 (hBD2), acyloxyacyl hydrolase (AOAH), and
cathelicidin (CAP18). In addition, these cells also secrete pro-inflammatory
cytokines and chemokines upon stimulation with bacterial ligands. Notably, we
show that BPI expression by placental cells could be completely attributed to
granulocytes while highly purified placental trophoblasts expressed only a subset
of the AMPs like SLPI. Unexpectedly, trophoblast AMPs did not exhibit inducible
secretion in response to various TLR ligands and further investigations showed
that the unresponsiveness of trophoblasts to lipopolysaccharide (LPS) was due to
a lack of TLR4 expression. In summary, we have shown that the expression of
different AMPs can be allocated to various cells in the placenta and the
repertoire of the AMPs expressed by placental cells is a result of a cooperation
of leukocytes as well as cells from embryonic origin.
PMID- 21899886
TI - Acquisition of the novel ABL kinase domain mutation T315L in a relapsed
Philadelphia-positive acute lymphoblastic leukemia patient.
PMID- 21899885
TI - High expression of IGFBP2 is associated with chemoresistance in adult acute
myeloid leukemia.
AB - Insulin-like growth factor (IGF) signaling plays an important role in many tumors
and overexpression of IGF Binding Protein (IGFBP) 2 has been associated with
adverse outcome in childhood leukemia. Here, we evaluated IGFBP2 mRNA expression
and its prognostic implications in 99 adult acute myeloid leukemia (AML) patients
by quantitative real-time RT-PCR. High IGFBP2 was associated with a high
incidence of primary resistant disease (IGFBP2 high 65%, IGFBP2 low 32%; P=0.02)
and was independently predictive for therapy resistance [OR 3.6 (95% CI 1.2-11);
P=0.02] in multivariate analyses. Gene-expression profiling revealed an up
regulation of genes implicated in leukemogenesis (MYB, MEIS1, HOXB3, HOXA9) and
genes associated with adverse outcome (ERG, WT1) in patients with high IGFBP2
expression. Thus, our data suggest a role of IGFBP2 and IGF signaling in
chemoresistance of AML. Patients with high IGFBP2 expression might benefit from
molecular therapies targeting the IGF pathway.
PMID- 21899887
TI - A rare case of childhood precursor B-cell lymphoblastic lymphoma in the mandible.
PMID- 21899888
TI - Oxidative biomarkers in leaf tissue of barley seedlings in response to aluminum
stress.
AB - Cellular responses to Al-stress in Hordeum vulgare seedling bioassay were
evaluated with an objective to identify the possible biomarkers in leaf tissue
that would be best suited to biomonitor aluminum (Al) in the environment.
Germinating seeds were treated with different concentrations of AlCl(3) at pH 4.5
for 12h. Al-uptake and accumulation in root and leaf, generation of reactive
oxygen species (ROS: O(2)(-), H(2)O(2) and ()OH), cell death, activity of
antioxidant enzymes: catalase, superoxide dismutase, guaiacol peroxidase,
ascorbate peroxidase, lipid peroxidation, protein oxidation, DNase activity and
DNA damage were measured in leaf tissue of the seedlings on day 6 after
treatment. The above parameters assessed in leaf tissue that followed a dose
response exhibited significant correlation with concentration of Al(3+) in
experimental solution as well as in root tissue. The findings underscored the
sensitivity as well as potential of Hordeum vulgare seedling bioassay for
biomonitoring of Al in the ambient environment.
PMID- 21899889
TI - How research ethics' protections can contribute to public policy: the case of
community treatment orders.
AB - Though community treatment orders (CTOs) were first used in 1986 in Australia,
debate about their clinical and ethical merits continues even today. For some,
the benefits of reduced frequency and duration of involuntary hospitalizations
are believed to adequately outweigh the harms of restricted liberties in
community living. For others, however, such benefits are believed to be
achievable by simply arranging integrated, devoted community resources sans any
threat of forced re-hospitalization. In response to this enduring controversy,
this article examines the ethical merits of community orders using a novel
approach. "Novel" because the examination is based on research ethics and its
foundational principles. When hospital and community clinicians, family members,
consumer/survivors, and advocacy groups discussed the idea of amending Ontario's
mental health legislation to permit CTOs in the late 1990s, evidence of their
effects and efficacy was very limited. Moreover, an order was characterized much
like standard pharmacological or medical therapies because the person or an
appropriate substitute decision maker's consent was necessary to authorize the
order or make it valid. These two factors prompted this retrospective analysis:
if CTOs--as a public policy initiative--had been treated like most other
promising therapies, would any different ethics-related concerns have been raised
that, in turn, would have benefited the public debate and the legislature's
decisions? In other words, if respected safeguards that apply to new drugs and
medical devices had applied to CTOs, would anything have changed?
PMID- 21899890
TI - Psychopathy, intelligence and conviction history.
AB - The current study examined the relationship between psychopathy, intelligence and
two variables describing the conviction history (length of conviction and number
of prior convictions). It was hypothesized that psychopathy factors
(interpersonal and antisocial factors assuming a 2-factor model or interpersonal,
affective, lifestyle and antisocial factors assuming a 4-factor model) would be
related in different ways to IQ scores, length of conviction and number of prior
convictions. Psychopathy and IQ were assessed using the PCL:SV and the CFT 20-R
respectively. Results indicated no association between interpersonal psychopathy
features (Factor 1, two-factor model), IQ and the number of prior convictions but
a positive association between Factor 1 and the length of conviction. Antisocial
features (Factor 2, two-factor model) were negatively related to IQ and the
length of conviction and positively related to the number of prior convictions.
Results were further differentiated for the four-factor model of psychopathy. The
relationship between IQ and psychopathy features was further assessed by
statistically isolating the effects of the two factors of psychopathy. It was
found that individuals scoring high on interpersonal features of psychopathy are
more intelligent than those scoring high on antisocial features, but less
intelligent than those scoring low on both psychopathy features. The results
underpin the importance of allocating psychopathic individuals to subgroups on
the basis of personality characteristics and criminological features. These
subgroups may identify different types of offenders and may be highly valuable
for defining treatment needs and risk of future violence.
PMID- 21899891
TI - Hypoalgesic and motor effects of kaltenborn mobilization on elderly patients with
secondary thumb carpometacarpal osteoarthritis: a randomized controlled trial.
AB - OBJECTIVE: This study evaluated the effects of Kaltenborn manual therapy on
sensory and motor function in elderly patients with secondary carpometacarpal
osteoarthritis (CMC OA). METHOD: Twenty-nine female patients with secondary CMC
OA (70-90 years old) were randomized into Kaltenborn manual therapy and sham
groups. This study was designed as a double-blind, randomized controlled trial
(RCT). Therapy consisted of Kaltenborn mobilization of posterior-anterior gliding
with distraction in grade 3 of the carpometacarpal (CMC) joint of the dominant
hand during 6 sessions over 2 weeks. Pain was measured by algometry, as the
pressure pain threshold (PPT) at the CMC joint and tubercle of the scaphoid bone.
The tip and tripod pinch strength was also measured. Grip strength was measured
by a grip dynamometer. Measurements were taken before treatment and after 1 week
(first follow-up [FU]) and 2 weeks (second FU). RESULTS: All values in the sham
group remained unchanged during the treatment period. In the treated group, the
PPT in the CMC joint was 2.98 +/- 0.30 kg/cm(2), which increased after treatment
to 4.07 +/- 0.53, and was maintained at the same level during the first FU (3.46
+/- 0.31) and second FU (3.84 +/- 0.36). Similarly, the PPT in the scaphoid bone
was 3.61 +/- 0.29 kg/cm(2), which increased after treatment to 4.87 +/- 0.37, and
was maintained at the same level during the first FU (4.44 +/- 0.43) and second
FU (4.22 +/- 0.32). In contrast, we found no differences in the tip, tripod
pinch, and grip strength measurements between the treatment and sham groups.
CONCLUSIONS: This study showed that Kaltenborn manual therapy decreased pain in
the CMC joint and scaphoid bone areas of elderly female patients; however, it did
not confer an increase in motor function in patients with CMC OA.
PMID- 21899892
TI - Clinical tests for screening and diagnosis of cervical spine myelopathy: a
systematic review.
AB - OBJECTIVE: The purpose of this review was to investigate the diagnostic accuracy
for screening and confirmation of clinical tests for cervical spine myelopathy
(CSM) and to investigate the quality of the studies that have investigated these
values. METHODS: This study was a systematic review that used the Preferred
Reporting Items for Systematic Reviews and Meta-Analyses guidelines. Search terms
for PubMed included myelopathy; diagnosis, differential; sensitivity and
specificity; and physical examination. Search terms for Cumulative Index to
Nursing and Allied Health Literature were limited to myelopathy and sensitivity
and specificity. Qualitative assessment included report of diagnostic accuracy
metrics (sensitivity, specificity, and positive and negative likelihood ratios)
and quality scores using the Quality Assessment of Diagnostic Accuracy Studies
tool. Scores were created for single tests and clustered test findings. RESULTS:
After evaluation, 12 full-text articles were selected, scored, and tabulated.
Nearly all of the 18 tests demonstrated high levels of specificity and low levels
of sensitivity, suggesting that they are poor screening tools. Only one study was
scored as high quality. One study involved clustering of test findings but was
considered low quality. CONCLUSION: Nearly all of the clinical tests for CSM seem
to be poor screening tools, which implies that manually oriented clinicians may
perform treatment methods in a situation of doubt or uncertainly. More high
quality studies are needed, and manual therapists need to be cognizant that the
current clinical tests for CSM lack strong diagnostic accuracy measures that are
necessary for clinical decision making.
PMID- 21899893
TI - MAPK/AP-1 activation mediates TLR2 agonist-induced SPLUNC1 expression in human
lung epithelial cells.
AB - BACKGROUND: Short Palate Lung and Nasal epithelium Clone 1 (SPLUNC1) is a newly
described host defense protein, primarily expressed in large airway epithelial
cells. Reduced SPLUNC1 has been reported in allergic and cigarette smoke-exposed
airways. We found that Mycoplasma pneumoniae increases SPLUNC1 in airway
epithelium in part via activating TLR2-NF-kappaB pathway. However, the
contribution of additional signaling pathways to TLR2-mediated SPLUNC1 expression
remains unclear. In the present study, we investigated if TLR2-induced mitogen
activated protein kinase (MAPK)/activator protein-1 (AP-1) signaling regulates
SPLUNC1 expression in human lung epithelial cells. METHODS: Human lung epithelial
NCI-H292 cells were stimulated with a TLR2 agonist Palmitoyl (3)-Cys-Ser-Lys (4)
OH (Pam(3)CSK(4)). MAPK/AP-1 activation and its role in SPLUNC1 regulation were
investigated by Western blot, c-Jun activation assay, chromatin
immunoprecipitation (ChIP) and real-time PCR. SPLUNC1 promoter activity was
assessed by a luciferase reporter assay. RESULTS: Pam(3)CSK(4) increased SPLUNC1
expression in NCI-H292 cells in a dose- and time-dependent manner, and enhanced
SPLUNC1 promoter activity. Pam(3)CSK(4)-treated cells demonstrated activated MAPK
and c-Jun compared to untreated cells. ChIP assay indicated increased c-Jun
binding to the SPLUNC1 promoter following Pam(3)CSK(4) stimulation. Inhibition of
ERK1/2 significantly reduced Pam(3)CSK(4)-mediated c-Jun activation and SPLUNC1
expression. CONCLUSIONS: Our results for the first time demonstrate that TLR2
mediated MAPK/AP-1 activation up-regulates lung epithelial SPLUNC1 expression at
the transcriptional level. Understanding SPLUNC1 gene regulation should provide
more specific therapeutic targets to restore deficient SPLUNC1 production in
diseased airways.
PMID- 21899894
TI - Evaluating the conformation of recombinant domain I of beta(2)-glycoprotein I and
its interaction with human monoclonal antibodies.
AB - Pathogenic antiphospholipid antibodies (aPL) cause the antiphospholipid syndrome
(APS) by interacting with domain I (DI) of beta-2-glycoprotein I (beta(2)GPI).
The aPL/beta(2)GPI complex then exerts pathogenic effects on target cells. We
previously described periplasmic bacterial expression of native and mutated
variants of DI, and reported the presence of immunodominant epitopes at positions
8-9 (D8/D9) and position 39 (R39). Mutations at these positions strongly
influenced the ability of recombinant DI to bind patient-derived IgG aPL and to
inhibit pathogenic effects of these aPL in a mouse model of APS. We now describe
an improved cytoplasmic bacterial expression system allowing higher yield of DI.
We demonstrate that the nuclear magnetic resonance (NMR) spectra of a (15)N,(13)C
isotope-labelled sample of the recombinant DI protein exhibit properties
consistent with the structure of DI in crystal structure of intact beta(2)GPI.
Mutations at D8/D9 and R39 had limited impact on the NMR spectrum of DI
indicating maintenance of the overall fold of the DI domain. We investigated
interactions between five variants of DI and ten monoclonal human IgG antibodies,
all derived from the IgG aPL antibody IS4 by sequence manipulation and in vitro
expression. Arginine residues at positions 100 and 100g in IS4V(H) CDR3 play a
particularly important role in binding to DI, but this is unlikely to be due to
electrostatic interactions with negatively charged amino acids on DI. Both the
strength of binding to DI and the ability to discriminate different DI variants
varies between the different IgG antibodies tested. There was no simple
relationship between these binding properties and antibody pathogenicity.
PMID- 21899895
TI - Preliminary study of Internet addiction and cognitive function in adolescents
based on IQ tests.
AB - The potential relationship between Internet addiction and certain cognitive
function problems has been suggested by several studies. However, few or no
studies have examined the differences in cognitive functioning between persons
addicted to the Internet and persons not addicted using a standard
neuropsychological test. This study screened 253 middle school students and 389
high school students for Internet addiction and compared 59 Internet-addicted
students with 43 non-addicted students using an IQ test. The Internet-addicted
group had comprehension sub-item scores that were significantly lower than those
of the non-addicted group. As the comprehension item reflects ethical judgement
and reality testing, there may be a relationship between Internet addiction and
weak social intelligence. Earlier onset of Internet addiction and longer
addiction duration were associated with lower participant performance in areas
related to attention. As this study is a cross-sectional study, it is not clear
whether the persons who display weak cognitive functioning are susceptible to
Internet addiction or if Internet addiction causes cognitive problems. However,
as brain development remains active during adolescence, the possibility that
Internet addiction adversely affects the cognitive functioning of adolescents
cannot be ruled out.
PMID- 21899896
TI - High intravascular tissue factor expression in dogs with idiopathic immune
mediated haemolytic anaemia.
AB - A high mortality occurs in dogs with idiopathic immune-mediated haemolytic
anaemia (IMHA) during the first 2 weeks after the diagnosis. The aim of this
study was to investigate the inflammatory response and coagulation abnormalities
in dogs with IMHA in relation to the prognosis and to establish the contribution
of whole blood tissue factor (TF) and IL-8 gene expressions. Gene expressions in
dogs with IMHA were compared to healthy dogs, dogs with DIC, dogs with sepsis,
and in two groups of dogs that underwent intensive care treatment but had no
evidence for either DIC or sepsis. The whole blood TF and IL-8 expressions were
up regulated in all non-IMHA groups. Similarly, the TF expression in IMHA dogs
was high, but the intravascular IL-8 expression was not increased. The dogs with
IMHA had a pronounced inflammatory response that included a high WBC, left shift
and monocytosis in comparison to the other disease groups. Coagulation factor
activities in IMHA dogs were decreased fitting consumptive coagulopathy and the
acute phase proteins FVIII and fibrinogen were increased. The platelet parameters
suggested platelet activation and high platelet turnover in IMHA dogs. The model
that best explained mortality contained monocytosis, increased activated partial
thromboplastin time and elevated creatinine. Whole blood TF gene expression is up
regulated and may contribute to consumptive coagulopathy in dogs with IMHA.
Increased TF expression by activated platelets is an alternative explanation and
should be investigated.
PMID- 21899897
TI - Mitochondrial interference by anti-HIV drugs: mechanisms beyond Pol-gamma
inhibition.
AB - The combined pharmacological approach to the treatment of HIV infection, known as
highly active antiretroviral therapy (HAART), has dramatically reduced AIDS
related morbidity and mortality. However, its use has been associated with
serious adverse reactions, of which those resulting from mitochondrial
dysfunction are particularly widespread. Nucleos(t)ide-reverse transcriptase
inhibitors (NRTIs) have long been considered the main source of HAART-related
mitochondrial toxicity due to their ability to inhibit Pol-gamma, the DNA
polymerase responsible for the synthesis of mitochondrial DNA. Nevertheless,
accumulating evidence points to a more complex relationship between these
organelles and NRTIs. Also, alternative pathways by which other groups of anti
HIV drugs (non-nucleoside reverse transcriptase inhibitors and protease
inhibitors) interfere with mitochondria have been suggested, although their
implications, both pharmacological and clinical, are open to debate. This review
aims to provide a comprehensive overview of the mechanisms and factors which
influence the mitochondrial involvement in the toxicity of all three major
classes of anti-HIV drugs.
PMID- 21899898
TI - Determinants of acute hospital care use by elderly patients in Italy from 1996 to
2006.
AB - To determine the trend of elderly hospitalization rates in Italy, following the
economic rationalization of health systems in Western countries, and to evaluate
which alternatives to acute hospitalization have been developed during the period
1996-2006 an ecological observational study has been carried out. Data from the
Italian Hospital-Discharge Registries (HDRs) of the years 1996, 2001, and 2006
have been analyzed in order to assess the variations among the elderly in terms
of hospitalization rates, hospital stay, and bed rates. The results were compared
with nursing home admission rates and home care offer. Relations among these
variables were explored by univariate and multivariate analyses. Elderly hospital
admission rates decreased in Italy from 324.2/1000 in 1996, to 258.7 in 2006.
Mean hospital stay of elderly patients was 9.4 days in 2006, 9.5 in 2001 and 10.1
in 1996. A multivariate linear regression model was statistically significant in
explaining the variations in hospitalization rates (F: 5.68; p=0.004; R(2)=0.77).
The main determinants linked to such variations were the bed rate (beta=0.67;
p=0.004) and the hospital length of stay (beta=-0.77; p=0.03). The analysis
showed a reduction in hospitalization rates among the elderly, which was not
counterbalanced by an increased offer of home care and/or nursing home services,
but was mainly linked to a decrease in the supply of acute beds, with possible
consequences on the quality of health care.
PMID- 21899899
TI - Predictors of functional capacity changes in a US population of Medicare home
health care (HHC) patients with heart failure (HF).
AB - PURPOSE: Functional capacity is widely recognized as a key factor in maintaining
the ability of older people to live independently and safely at home. Promoting
functional capacity is an important priority particularly in HHC. The purpose of
the study was to examine predictors of functional capacity change among HHC
patients with HF. MATERIALS AND METHODS: Clinical and administrative data from
2005 from the Medicare Chronic Conditions Warehouse were linked at the population
level for HHC patients with a primary diagnosis of HF. The primary outcome was
change in functional capacity score from HHC admission to HHC discharge. RESULTS:
Over the course of the episode (M=44 days), most (70%) patients improved, 15.6%
stayed the same, and 14.4% declined in activities of daily living (ADL) scores.
The mean change score was modest (mean=-0.74, SD=1.11) with a median change of
0.58. Multivariate analyses (R(2)=0.23) showed that the largest influence was the
admission ADL score followed by receiving any physical therapy (PT), admission
ability to manage oral medications, cognitive functioning, rehabilitation
prognosis, and urinary incontinence. DISCUSSION: There is a modest rate of
improvement from admission to discharge that likely represents the progressive
nature of HF and/or the short time frames over which HHC is provided. Providers
may want to use the predictive factors to identify patients most at risk for
functional decline.
PMID- 21899900
TI - Admission Norton scale scores (ANSS) are associated with post-operative
complications following spine fracture surgery in the elderly.
AB - We sought to determine if low ANSS, usually associated with high pressure ulcer
risk, are also associated with post-operative complications following spine
fracture surgery in the elderly. This was a retrospective cross-sectional study
conducted at the division of orthopedic surgery in a tertiary medical center
between January 2008 and October 2010. The medical charts of consecutive elderly
(>= 65 years) patients admitted for spine fracture surgery were studied for the
following measurements: ANSS, demographic data, co-morbidities, and post
operative complications. Except for pressure ulcers, post-operative complications
included: acute coronary syndrome, acute renal failure, confusion, pneumonia,
urinary tract infection, venous thromboembolism, and wound infection. The final
cohort included 90 patients: 66 (73.3%) females and 24 (26.7%) males. Mean age
for the entire cohort was 78.9 +/- 0.7 years. Most patients had lumbar fractures
(n=49; 54.4%) or thoracal fractures (n=26; 28.9%). Most patients underwent
kyphoplasty (n=65; 72.2%). Mean ANSS was 15.9 +/- 0.3, and 29 (32.2%) patients
had low (<15) ANSS. Patients with low ANSS had significantly more post-operative
complications relative to patients with high ANSS (1.0 +/- 0.2 vs. 0.2 +/- 0.1;
p<0.0001). Among all post-operative complications, urinary tract infection was
independently associated with ANSS (p<0.0001). Binary regression analysis showed
that ANSS were independently associated with post-operative complications
(p=0.001). We conclude that low ANSS are associated with post-operative
complications and urinary tract infection in particular, following spine fracture
surgery in the elderly. Hence, the Norton scoring system may be used for
predicting and preventing post-operative complications in this population.
PMID- 21899901
TI - Familial Brugada syndrome unmasked by carbon monoxide intoxication.
PMID- 21899902
TI - Non-invasive assessment of endothelial shear stress and coronary stiffness using
multislice computed tomography.
PMID- 21899903
TI - Myocardial iron homeostasis in advanced chronic heart failure patients.
AB - BACKGROUND: Although, correction of iron deficiency and/or anemia in heart
failure (HF) with iron seems promising, little is known about myocardial iron
load and homeostasis. Moreover iron supplementation indications are solely based
on iron serum markers. The purpose was to assess myocardial iron (M-Iron),
ferritin (M-FR), transferrin receptor (M-sTfR) in HF in relation to serum Iron
markers. METHODS AND RESULTS: Study group 33 patients, left/right ventricle
(LV/RV) (LVEDV 245 +/- 84 ml; LVESV 189 +/- 85 ml; LVEF 22 +/- 11%; RVD 32 +/- 10
mm), NTproBNP (5464 +/- 4825 pg/ml). Iron homeostasis assessment serum: iron, FR,
transferrin/saturation (TSAT), sTfR; myocardial: M-Iron (Instrumental Neutron
Activation Analysis, MUg/g), M-FR, M-sTfR (ELISA - ng/mg protein) in the
explanted failing hearts (FH), compared to non-failing hearts (NFH n=11). In FH
as compared to NFH, M-Iron was reduced in RV (174 +/- 45 vs 233 +/- 97,
respectively, p=0.07), LV (189 +/- 58 vs 265 +/- 119, p=0.04), without
significant changes in M-FR/M-sTfR. Out of all serum iron markers only sTfR was
negatively correlated with M-Iron in either ventricle (RV r=-0.44, p=0.03, LV r=
0.38, p=0.07). With regard to serum iron status, based on TSAT, patients were
divided into two subgroups: reduced (TSAT<15%; n=11) and not-reduced serum iron
(TSAT >= 15%; n=22). Both subgroups had similar grade of LV/RV dysfunction, NT
proBNP levels. M-FR was lower in TSAT<15% than in TSAT >= 15% (LV -31 +/- 26 vs
46 +/- 29; p=0.07) and (RV -24 +/- 24 vs 43 +/- 29; p=0.02), without differences
in M-Iron and M-sTfR. CONCLUSIONS: In HF, M-Iron levels were reduced. Serum iron
markers did not reflect M-Iron levels, except for serum sTfR. In reduced serum
iron group, decrease in myocardial storage protein M-FR was observed.
PMID- 21899904
TI - Perceived risk and strategy efficacy as motivators of risk management strategy
adoption to prevent animal diseases in pig farming.
AB - For Dutch fattening pig farms, this study explored (1) farmers' perceptions
towards animal disease risks and animal health risk management; (2) factors
underlying farmers' adoption of the two risk management strategies, namely,
biosecurity measures and animal health programs. The risks included endemic and
epidemic diseases. Data were obtained through a questionnaire (n=164). A
behavioral model was developed using the Health Belief Model and tested using
structural equation modeling. Endemic and epidemic diseases were regarded as an
operational and catastrophic risk, respectively. Farmers considered severity of
epidemics as slightly more important, compared to endemics. For both disease
categories, farmers valued biosecurity measures as a more effective strategy than
animal health programs. In the behavioral model, perceived benefits in terms of
strategy efficacy was the strongest direct predictor of strategy adoption. Other
behavioral components had a minor indirect effect, namely, via perceived
benefits, and only in case of biosecurity measures. The indirect effect path did,
however, vary per disease category. For endemics, such a path captured the effect
of perceived susceptibility on perceived benefits mediated by perceived severity.
For epidemics, it only captured the effect of perceived severity on perceived
benefits. The results also revealed the importance of innate risk characteristics
of farmers in their adoption decisions. In particular, general self-protection
behavior directly contributed to decisions to adopt a certain strategy. The
obtained knowledge highlights possible ways of improvement of programs aimed at
promoting effective risk management strategies.
PMID- 21899905
TI - Regulatory policy and the location of bio-pharmaceutical foreign direct
investment in Europe.
AB - This paper examines the relationship between cross-country differences in drug
price regulation and the location of biopharmaceutical Foreign Direct Investment
(FDI) in Europe. Simple theory predicts that price regulation in one country
might affect total investment, but not the location of that investment, if sales
are global. Nevertheless, some manufacturers threaten that the introduction of
price regulation in a country will motivate them to move their investments to
other countries. Are such threats cheap talk, or is there evidence that firms
avoid price-controlling countries when making FDI location choices? We use data
on 527 investments initiated in 27 European countries between 2002 and 2009 and
find that investors are less likely to choose countries with price controls,
after controlling for other determinants of investment. We also observe a
relative decline in investment in countries that increased the stringency of
regulatory regimes during our sample period. The effect is restricted to non
manufacturing investments and is most robust for those related to administrative
functions.
PMID- 21899906
TI - Differences in cortical activity related to motor planning between experienced
guitarists and non-musicians during guitar playing.
AB - The influence of motor skill learning on movement-related brain activity was
investigated using electroencephalography. Previous research has indicated that
experienced performers display movement-related cortical potentials (MRCPs) of
smaller amplitude and later onset compared to novices. Unfortunately, previous
studies have lacked ecological validity with experimenters recording the MRCP
prior to simple motor tasks and applying the results to more complex motor
skills. This study replicated previous research using an ecologically valid motor
skill; recording the MRCP from a group of experienced guitarists and a control
group of non-musicians while they played a simple scale on the guitar. Results
indicated no difference between groups in early motor planning. In contrast, the
later, negative slope and motor potential components were of smaller amplitude
and the negative slope began later in the experienced guitarists. The data may
indicate that, for experienced guitarists, a reduced level of effort is required
during the motor preparation phase of the task. These findings have implications
for musical instrument learning as well as motor skill acquisition in general.
PMID- 21899907
TI - Application of a bacteriophage cocktail to reduce Salmonella Typhimurium U288
contamination on pig skin.
AB - Multidrug-resistant Salmonella Typhimurium U288 is a significant pathogen of
pigs, accounting for over half of all outbreaks on UK pig production premises.
The potential of this serovar, and other salmonellae, to enter the food chain
during the slaughtering process requires that efforts be made to reduce the
prevalence of these bacteria at both the pre- and post-harvest stages of
production. A bacteriophage cocktail (PC1) capable of lysing various Salmonella
enterica serovars was designed using the broad host-range phage Felix 01, and
three phages isolated from sewage. PC1 applied to pig skin experimentally
contaminated with U288 achieved significant reductions (P<0.05) in Salmonella
counts when stored at 4 degrees C over 96 h. Reductions of >1 log10 unit were
observed when the ratio of phage applied was in excess of the bacterial
concentration. The treatment was found to be effective at a multiplicity of
infection (MOI) of 10 or above, with no significant reductions taking place when
the MOI was less than 10. Under these conditions U288 counts of log10 4.1-4.3 CFU
were reduced to undetectable levels following the application of PC1 to pig skin
(>99% reduction). These data suggest phage cocktails could be employed post
slaughter as a means to reduce Salmonella contamination of pig carcasses.
PMID- 21899908
TI - Mechanisms involved in reduction of ochratoxin A produced by Aspergillus
westerdijkiae using Debaryomyces hansenii CYC 1244.
AB - Aspergillus westerdijkiae is one of the most relevant ochratoxin A (OTA)
producing species within the Section Circumdati contaminating a number of
agroproducts. The yeast Debaryomyces hansenii CYC 1244 was previously reported to
be able to reduce growth and extracellular OTA produced by A. westerdijkiae. In
this work, we examined several mechanisms possibly involved in this OTA reduction
in in vitro experiments. OTA biosynthesis was evaluated by quantitation of
expression levels of pks (polyketide synthase) and p450-B03 (cytochrome p450
monooxygenase) genes using newly developed and specific real time RT-PCR
protocols. Both genes showed significant lower levels in presence of D. hansenii
CYC 1244 suggesting an effect on regulation of OTA biosynthesis at
transcriptional level. High levels of removal of extracellular OTA were observed
by adsorption to yeast cell walls, particularly at low pH (98% at pH 3). On the
contrary, no evidences were obtained of absorption of OTA into yeast cells or the
production of constitutively expressed enzymes that degrade OTA by D. hansenii
CYC 1244. These results described the potential of this yeast strain as a safe
and efficient biocontrol agent to decrease OTA in A. westerdijkiae and two
important mechanisms involved which may permit its application at different
points of the food chain.
PMID- 21899909
TI - Biological characterization of two marine Bdellovibrio-and-like organisms
isolated from Daya bay of Shenzhen, China and their application in the
elimination of Vibrio parahaemolyticus in oyster.
AB - Bdellovibrio-and-like organisms (BALOs) are a group of highly motile delta
proteobacteria that prey on other gram-negative bacteria. However, nothing is
known of the application potential of marine BALOs in safeguarding seafood
safety. Here, biological characterization of two marine BALOs strains and their
application in the elimination of Vibrio parahaemolyticus in oyster (Crassostrea
ariakensis) at the laboratory scale were investigated. BALOs strains BDH12 and
BDHSH06 were isolated from sediment of Daya bay in Shenzhen of China, with
Shewanella putrefaciens strain 12 and V. parahaemolyticus strain SH06 as preys,
respectively, when using double layer agar technique. They were identified as
BALOs morphologically by transmission electron microscopy, while partial 16S rDNA
sequencing analysis revealed that they showed no close relationships with members
of the known genera Bdellovibrio, Bacteriolyticum, Bacteriovorax, or
Peredibacter. Biological characterizations revealed that both strains had the
optimal pH, salinity and temperature at 7.2, 3% and 30 degrees C,
correspondingly. They could not utilize autoclaved, dead cells as hosts. Prey
range analysis revealed that individually, BDH12 and BDHSH06 lysed 82.5% (47
strains) and 84.2% (48 strains) of the total 57 preys tested respectively. In
combination, they lysed 98.2% (56 of 57) strains. All strains of V.
parahaemolyticus, Vibrio cholerae and Vibrio alginolyticus tested could be lysed
by both strains. A 7-day laboratory-scale V. parahaemolyticus elimination
experiment in oyster showed that in the control, the cell counts of total vibrios
and V. parahaemolyticus strain Vp plus in water and in oyster intestines were on
the rise, whereas in the BALOs treated groups, their numbers were down from
8.09+/-0.05 log CFU/ml and 8.02+/-0.04 log CFU/ml to 2.39+/-0.01 log CFU/ml and
2.33+/-0.01 log CFU/ml, respectively. The same patterns could also be observed in
oyster intestines. Results of this study indicate the feasibility of using BALOs
to biologically control or even eliminate V. parahaemolyticus in seafood oyster.
PMID- 21899910
TI - Effect of Sanguis draconis (a dragon's blood resin) on streptozotocin- and
cytokine-induced beta-cell damage, in vitro and in vivo.
AB - The study was to examine the effects of Sanguis draconis ethanol extract (SDEE)
on streptozotocin (STZ)- and cytokine-induced beta-cell damage. In vitro, SDEE
did not cause cytotoxicity below 200 MUg/ml, and can prevent STZ (5mM)-induced
cell death and apoptosis below 100 MUg/ml on RIN-m5F cells. SDEE inhibits IL
1beta/IFN-gamma-stimulated NO, TNF-alpha release, and iNOS expression.
Furthermore, SDEE suppressed the IL-1beta/IFN-gamma- or STZ-induced p65
expression of NF-kappaB, which is associated with inhibition of IkappaB-alpha
degradation. In vivo, treatment of ICR mice with STZ (100 mg/kg, i.p. single
injection) resulted in hyperglycemia and hypoinsulinemia, which was further
evidenced by blood glucose and plasma insulin. The diabetogenic effects of STZ
were completely prevented when mice were orally administered with SDEE for 3
weeks, however, the blood glucose and plasma insulin showed no significant change
after SDEE administration alone. In addition, SDEE also can inhibit STZ-induced
iNOS protein expression, pancreatic injury and lipid peroxidation. In
conclusions, the molecular mechanism by which SDEE inhibits iNOS gene expression
appears to involve the inhibition of NF-kappaB activation. These results suggest
the possible therapeutic value of S. draconis and could be potentially developed
into a novel drug for preventing the progression of diabetes mellitus.
PMID- 21899911
TI - How patients with type 2 diabetes mellitus respond to motivational interviewing.
AB - AIM: To determine how patients with type 2 DM feel about a motivational
interviewing (MI) intervention designed to promote positive behavior change.
METHOD: Qualitative study using focus groups conducted by the same facilitator.
SETTING: Family or general internal medicine practice clinics affiliated with an
academic medical center and a community general hospital. One site consisted of
primarily low income Hispanic patients. PARTICIPANTS: Four focus groups
consisting of nineteen adult patients with type 2 diabetes mellitus solicited
from a large NIH-funded randomized controlled trial on MI and diabetes. RESULTS:
Across and within group analysis was performed on transcripts of the taped
interviews. Patient perceptions of standard care were largely negative, with
several individuals describing paternalistic and demeaning attitudes. Five themes
related to MI emerged: Nonjudgmental Accountability, Being Heard and Responded to
as a Person, Encouragement and Empowerment, Collaborative Action Planning and
Goal Setting, and Coaching rather than Critiquing. CONCLUSIONS: Some patients
with type 2 diabetes are receptive to motivational interviewing which is a
provider approach that is more patient-centered and empowering than traditional
care.
PMID- 21899913
TI - Computer simulation of structured treatment interruption for HIV infection.
AB - The use of highly active antiretroviral therapy (HAART) for suppression of
measurable levels of virus in the body has greatly contributed to restoration and
preservation of the immune system in HIV positive patients. However, short and
long term problems associated with HAART have led to proposals for alternative
treatment strategies for controlling HIV infection. In particular, structured
treatment interruptions (STIs) that consist of therapy withdrawal and re
initiation according to specific criteria have been considered. The aim of these
STIs was one or both of: (i) to stimulate the immune system to react to HIV, (ii)
to allow re-emergence of wild-type virus and thereby reduce problems of drug
resistance. However, a number of clinical trials of STIs have shown adverse
outcomes for patients under discontinuous therapy, including serious health risks
associated with treatment interruptions. In this paper we consider in some detail
two of the larger clinical studies, namely, (a) strategies for management of anti
retroviral therapy (SMART); (b) Staccato study. For each of these studies we
perform computer simulations of the treatment strategies. These simulations
suggest several underlying reasons for the adverse outcomes during treatment
interruption. In particular, HIV infection exhibits rapid dynamic load changes,
and therefore measurement based treatment regimes need to be carefully designed
to avoid large transients in healthy CD4+ T cell count. Furthermore, repeated
treatment interruptions may accelerate the emergence of resistant mutant virus
and may increase the infection of long term reservoirs such as macrophages which
will accelerate progression to AIDS.
PMID- 21899914
TI - Raising the bar for systematic conservation planning.
AB - Systematic conservation planning (SCP) represents a significant step toward cost
effective, transparent allocation of resources for biodiversity conservation.
However, research demonstrates important consequences of uncertainties in SCP and
of basing methods on simplified circumstances involving few real-world
complexities. Current research often relies on single case studies with unknown
forms and amounts of uncertainty as well as low statistical power for
generalizing results. Consequently, conservation managers have little evidence
for the true performance of conservation planning methods in their own complex,
uncertain applications. To build effective and reliable methods in SCP, there is
a need for more challenging and integrated testing of their robustness to
uncertainty and complexity, and much greater emphasis on generalization to real
world situations.
PMID- 21899912
TI - Contributing factors related to efficacy of the dipeptidyl peptidase-4 inhibitor
sitagliptin in Japanese patients with type 2 diabetes.
PMID- 21899915
TI - Complement polymorphisms: geographical distribution and relevance to disease.
AB - The evolution of man has been characterised by recurrent episodes of migration
and settlement with infectious disease a constant threat. This long history of
demographic change, together with the action of evolutionary forces such as
natural selection and genetic drift, has shaped human genetic diversity. In
particular, the interaction between humans, pathogens and the environment has
played a crucial role in generating patterns of human genetic variation. The
complement system plays a crucial role in the early protective immune response
after exposure to a pathogen. Pathogens, over time, have developed mechanisms to
circumvent the effects of complement which in turn has led to development of a
more complex complement system. During the evolution of the complement system
genes coding complement proteins have evolved polymorphisms, some of which have a
functional effect, and this may reflect human-pathogen interaction and
geographical origin. An example is the polymorphism Ile62Val (rs800292 (A>G)) in
the complement regulator Factor H gene which alters the susceptibility to age
related macular degeneration (AMD), with the Ile62 polymorphism protecting
against AMD. When sub-Saharan African and European populations are compared, the
frequency of this polymorphism shows a very marked geographical distribution.
Polymorphisms in other complement genes such as complement factor B show similar
trends. This paper describes the geographical variation present in complement
genes and discusses the implications of these observations. The analysis of
genetic variation in complement genes is a promising tool to unravel mechanisms
of host-pathogen interaction and can provide new insights into the evolution of
the human immune system.
PMID- 21899916
TI - Expression of let-7i is associated with Toll-like receptor 4 signal in coronary
artery disease: effect of statins on let-7i and Toll-like receptor 4 signal.
AB - Toll-like receptor (TLR) 4 signal plays an important role in immunity in coronary
artery disease (CAD). A recent report has demonstrated that one of the let-7
family microRNAs, let-7i, directly regulates Toll-like receptor 4 (TLR4)
expression and contributes to immune response. The aim of this study was to
determine whether let-7i is expressed with TLR4 in patients with CAD, and whether
statins (atorvastatin or rosuvastatin) might affect these levels. To determine
the effects of let-7i on TLR4 expression, human THP-1 cells transfected with let
7i were analyzed for TLR4 levels. This study included 98 patients with CAD and 48
subjects without CAD (non-CAD). Patients with CAD were randomized to 12 months of
treatment with atorvastatin or rosuvastatin. Monocytes were obtained from
peripheral blood at baseline and after 12 months of each type of therapy. Levels
of let-7i and TLR4 were measured by real-time RT-PCR and FACS. Functional
approaches to let-7i showed that transfection of let-7i into human THP-1 cells
resulted in regulation of TLR4 expression. Levels of let-7i were lower in the CAD
group than in the non-CAD group (0.98+/-0.42 vs. 4.65+/-1.21, P<0.01). There was
a negative correlation between let-7i and TLR4 levels in patients with CAD (let
7i vs. TLR4 mRNA: r=-0.60, P<0.01; let-7i vs. TLR4 MFI: r=-0.32, P<0.01). The
atorvastatin group had markedly increased let-7i levels and diminished TLR4
levels (all P<0.01), whereas the rosuvastatin group showed no change in these
levels. This study suggests that atorvastatin down-regulates TLR4 signal via let
7i expression in CAD patients, possibly contributing to the beneficial effects of
atorvastatin on let-7i-mediated TLR4 signal in this disorder.
PMID- 21899917
TI - Galectin-3 inhibits the chemotaxis of human polymorphonuclear neutrophils in
vitro.
AB - In the recent years, the participation of the animal lectin galectin (gal)-3 in
inflammation and in host defence mechanisms was extensively studied. In vivo
studies implied - among others - a role of gal-3 in the recruitment of
polymorphonuclear neutrophils (PMN) to sites of bacterial infection. In that
context, we asked the question whether gal-3 was chemotactic for PMN. Functional
assays revealed that gal-3 was not chemotactic for PMN, but that it inhibited the
spontaneous migration and the chemotaxis of PMN towards complement C5a,
interleukin (IL)-8, or ATP. Moreover, gal-3 inhibited the shape change and the
actin polymerisation of PMN that occurs in response to C5a or IL-8. By use of
FITC-labelled gal-3, we found that it attached rapidly to the PMN membrane in a
lactose-sensitive manner. In response to gal-3 the MAP kinase p38 was
phosphorylated. This kinase is crucial for the migration of PMN towards end
target chemokines, such as C5a, and is activated in response to C5a or IL-8. When
PMN were preincubated with gal-3, the C5a-induced p38 phosphorylation was
transiently enhanced, but eventually down-modulated. We conclude that by
interfering with the chemokine-induced p38 phosphorylation gal-3 inhibits
chemotaxis of PMN.
PMID- 21899918
TI - Human Th1 and Th2 lymphocytes are distinguished by calcium flux regulation during
the first 10 min of lymphocyte activation.
AB - Preliminary data suggest different intracellular calcium handling of Th1 and Th2
lymphocytes that may contribute to distinct cytokine production patterns. In this
study we explored the contribution of the main mechanisms in charge of the
elevation and decrease of cytoplasmic free calcium levels, i.e., the endoplasmic
calcium release, the calcium release activated calcium (CRAC) channel, the
mitochondrial calcium uniporter (MCU), the sarco/endoplasmic reticulum calcium
ATPase (SERCA), and the plasma membrane calcium ATPase (PMCA) during the first 10
min of activation in human Th1 and Th2 lymphocytes applying a kinetic flow
cytometry approach. We isolated peripheral blood mononuclear cells from 10
healthy individuals. Cells were stained with CD4, CXCR3 and CCR4 cell surface
markers to identify Th1 and Th2 cells, respectively and loaded with Fluo-3/AM
calcium sensitive dye. Cells were activated with phytohemagglutinine and
alterations of cytoplasmic free calcium levels were monitored for 10 min after
specific inhibition of the above mechanisms. Our results revealed delicate
differences in calcium flux kinetics of Th1 and Th2 lymphocytes. The lower
activity of MCU, and therefore of CRAC channels, along with the higher activity
of the SERCA pump account for the notion that Th2 cells go through a lower level
of lymphocyte activation compared with Th1 cells upon identical activating
stimuli. The observed differences in calcium flux of Th1 and Th2 cells may
contribute to different calcium handling kinetics and, hence, to distinct
cytokine production patterns by these subsets.
PMID- 21899919
TI - Eyelash growth in subjects treated with bimatoprost: a multicenter, randomized,
double-masked, vehicle-controlled, parallel-group study.
AB - BACKGROUND: Bimatoprost 0.03% is associated with increased growth and prominence
of eyelashes. OBJECTIVE: We sought to compare the safety and efficacy of once
daily bimatoprost 0.03% versus vehicle in increasing eyelash length, thickness,
and darkness after topical administration to upper eyelid margins. METHODS: In
this 5-month study, subjects were randomized to receive once-daily bimatoprost
0.03% (n = 137) or vehicle (n = 141). The primary end point was eyelash
prominence assessed by the investigator global eyelash assessment scale.
Secondary efficacy measures included eyelash length, thickness, and darkness
measured by digital image analysis and patient-reported outcomes. Safety data
included adverse event monitoring and ophthalmic examinations. RESULTS: A higher
percentage of subjects treated with bimatoprost 0.03% (78.1%) versus vehicle
(18.4%) demonstrated at least a 1-grade increase in global eyelash assessment
score at week 16 (P < .0001). Subjects in the bimatoprost 0.03% group also had
statistically significantly greater increases in eyelash length, thickness, and
darkness (P < .0001) than those in the vehicle group. For adverse events, only
conjunctival hyperemia occurred at a statistically significant higher incidence
rate in the bimatoprost 0.03% versus the vehicle group (P = .03). LIMITATIONS:
Short-term duration of the trial was a limitation; black subjects were not
enrolled secondary to technical requirements of digital image analysis.
CONCLUSION: Bimatoprost 0.03% was found to be effective at enhancing eyelashes in
adults with a very good safety profile.
PMID- 21899920
TI - Burden of infections among 44,869 elderly in nursing homes: a cross-sectional
cluster nationwide survey.
AB - In France, although there are 445,000 beds in nursing homes (NHs) for elderly
people, no studies on the burden of infections in NHs have been published. We
sought to estimate the prevalence of infection among the residents and to assess
the extent to which infections were associated with the residents' risk factors.
We performed a nationwide, multicentre, cross-sectional, clustered period
prevalence survey over five one-month periods. Cases of infection were classified
as definite or, in the absence of laboratory confirmation, as probable. A total
of 44,869 residents in 578 volunteer facilities were enrolled in the survey. The
overall prevalence rate of infections was 11.23% [95% confidence interval (CI):
10.50-11.97] and differed significantly (P<0.001) across survey periods (the time
of the year the survey was carried out). The rate of definite cases was 4.60%
(95% CI: 4.04-5.54) and the rate of probable cases was 6.63% (95% CI: 5.77-7.98).
Respiratory tract infections were the most frequent and accounted for 41% of all
infections. Infections were significantly associated with age, length of stay,
full disability, urinary device, bedsores and the survey period. Only prevention
programmes may reduce the impact of infections on this frail population.
PMID- 21899921
TI - Presence of biofilm containing viable multiresistant organisms despite terminal
cleaning on clinical surfaces in an intensive care unit.
AB - BACKGROUND: Despite recent attention to surface cleaning and hand hygiene
programmes, multiresistant organisms (MROs) continue to be isolated from the
hospital environment. Biofilms, consisting of bacteria embedded in exopolymeric
substances (EPS) are difficult to remove due to their increased resistance to
detergents and disinfectants, and periodically release free-swimming planktonic
bacteria back into the environment which may may act as an infection source. AIM:
To establish whether reservoirs of MROs exist in the environment as biofilms.
METHODS: Following terminal cleaning, equipment and furnishings were removed
aseptically from an intensive care unit (ICU) and subjected to culture and
scanning electron microscopy (SEM). Samples were placed in 5 mL of tryptone soya
broth, sonicated for 5 min before plate culture on horse blood agar, Brillance
MRSA and Brilliance VRE agar plates. Samples for SEM were fixed in 3%
glutaraldehyde and hexamethyldisilizane (HMDS) prior to sputter-coating with gold
and examination in an electron microscope. FINDINGS: Biofilm was demonstrated
visually on the sterile supply bucket, the opaque plastic door, the venetian
blind cord, and the sink rubber, whereas EPS alone was seen on the curtain.
Viable bacteria were grown from three samples, including MRSA from the venetian
blind cord and the curtain. CONCLUSION: Biofilm containing MROs persist on
clinical surfaces from an ICU despite terminal cleaning, suggesting that current
cleaning practices are inadequate to control biofilm development. The presence of
MROs being protected within these biofilms may be the mechanism by which MROs
persist within the hospital environment.
PMID- 21899922
TI - Cluster of non-tuberculous mycobacteraemia associated with water supply in a
haemato-oncology unit.
AB - Non-tuberculous mycobacteria (NTM) are ubiquitous environmental organisms but
rarely cause infections. Clinical, microbiological and epidemiological
investigations and subsequent management of a cluster of NTM bacteraemia on a
haemato-oncology unit are reported. From October 2007 to July 2008, five patients
being managed for haematological malignancies developed pyrexia and general
malaise. Mycobacterium mucogenicum (four patients) and Mycobacterium neoaurum
(one patient) were identified from their blood cultures. The environment, in
particular the water system, was investigated to identify the source of the
infection and multiple water samples were cultured according to established
criteria. NTM were also isolated from the hospital water system. Central venous
catheters (CVCs) were removed and the patients were successfully treated with
antibiotics. Environmental measures and changes in CVC care were introduced to
prevent further episodes of NTM bacteraemia in these patients. Despite these
measures, NTM continued to be present in the water system, but new clinical cases
were not identified. NTM are common environmental organisms and are recognized as
being difficult to remove from water systems. CVCs were presumed to be the portal
of entry in this cluster of NTM bacteraemia, and the implementation of changes to
CVC care protocols was successful in preventing further infections in this
immunocompromised patient group.
PMID- 21899923
TI - Surgical site infection after central venous catheter-related infection in
cardiac surgery. Analysis of a cohort of 7557 patients.
AB - The aim of this study was to establish the relationship between the occurrence of
a surgical site infection (SSI) and the presence of a central venous catheter
related infection (CVCRI). The Department of Thoracic and Cardiovascular Surgery,
University Hospital, Rouen, has carried out a prospective epidemiological survey
of all nosocomial infections (pneumonia, SSI and CVCRI) since 1997. The study
group included all consecutive patients who underwent cardiac surgery over a 10
year period from 1997 to 2007. A nested case-control study was conducted to
identify the risk factors for SSI after CVCRI. Cases were patients with SSI after
CVCRI and controls were randomized from patients who presented with CVCRI not
followed by SSI. In total, 7557 patients were included and 133 SSIs (1.7%) were
identified. The rate of superficial SSI was 0.7% [95% confidence interval (CI):
0.5-0.9] and of mediastinitis was 1.0% (95% CI: 0.8-1.2). Among the 133 cases of
SSI, 12 (9.0%; 95% CI: 5.0-14.8) occurred after a CVCRI with identical micro
organisms. CVCRI [adjusted odds ratio (aOR): 5.2; 95% CI: 3.2-8.5], coronary
artery bypass grafting (aOR: 2.9; 95% CI: 1.6-5.2), and obesity (aOR: 11.4; 95%
CI: 1.0-130.1) were independent factors associated with SSI. The new finding of
this study is that patients with CVCRI were 5.2 times more likely to develop SSI
compared to patients without CVCRI.
PMID- 21899924
TI - Parathyroid hormone early percent change: an individualized approach to predict
postthyroidectomy hypocalcemia.
AB - PURPOSE: The purpose of this study is to evaluate a percent change model of
postoperative parathyroid hormone level in thyroidectomy patients as a predictor
of hypocalcemia. MATERIALS AND METHODS: Chart review was completed on patients
who had undergone total or completion thyroidectomy over a 22-month period in our
department. Only those patients with a preoperative ionized calcium and
parathyroid hormone (PTH) level and at least 1 postoperative result were
included. Ionized calcium levels served as an internal control. The Student t
test was used to compare PTH level between the normocalcemic and hypocalcemic
groups at each time point. Logistic regression analysis was used to predict
hypocalcemia based on the diagnostic criteria. Receiver operator curves were used
to maximize sensitivity. RESULTS: Fifty-two patients met the inclusion criteria
during the study period. A total of 22 patients (42%) experienced hypocalcemia.
We were unable to maximize both sensitivity and specificity at the same time
point. When comparing preoperative to 6-hour postoperative PTH percent change,
patients with a greater than 44% decrease are likely to have hypocalcemia, with a
sensitivity of 100%. Likewise, in those patients without a greater than 44%
decrease at 6 hours, early discharge can be considered safe, given the negative
predictive value of 100%. CONCLUSION: In our series, patients with a greater than
44% PTH decrease from preoperative to 6-hour postoperative are very likely to
develop hypocalcemia. We would propose that these patients need further inpatient
monitoring to progress to safe discharge. Likewise, patients with a less than 44%
decrease at the 6-hour time point are unlikely to develop hypocalcemia and may be
considered safe for discharge.
PMID- 21899925
TI - [Influence of diurnal variation in the size of acute myocardial infarction].
AB - OBJECTIVE: To evaluate whether the size of acute myocardial infarction (AMI)
shows circadian variability. DESIGN: An observational, prospective study.
SETTING: A 12-bed coronary care unit. PATIENTS: Consecutive patients diagnosed
with ST-elevation myocardial infarction (STEMI) undergoing primary percutaneous
coronary intervention. INTERVENTIONS: The patients were divided into two groups
according to the time of onset of AMI symptoms (Group A: 0-12hours, Group B: 12
24hours). MAIN VARIABLES OF INTEREST: Age, sex, cardiovascular risk factors,
coronary anatomy, left ventricular ejection fraction, infarct location, time from
onset of symptoms to reperfusion, presence of heart failure upon admission, and
peak troponin I value. RESULTS: A total of 108 patients with a diagnosis of STEMI
were included. Patients in group A showed a higher troponin I concentration
compared to group B (troponin I: 70.85+/-16.38 versus 60.90+/-22.92ng / ml,
p=0.003). In the multivariate analysis the onset of AMI between 0-12hours was
identified as an independent predictor of infarct size (OR: 1.133, 95%CI 1.012
1.267, p=0.01). CONCLUSIONS: An onset of AMI between 0-12hours results in a
significantly larger final size of necrosis compared with any other time of
presentation.
PMID- 21899926
TI - [Bilateral hip osteonecrosis following refractory septic shock].
PMID- 21899928
TI - Variation in biofilm formation among blood and oral isolates of Candida albicans
and Candida dubliniensis.
AB - INTRODUCTION: Biofilm production is considered a potential virulence factor of
some Candida species. For this reason, an understanding of biofilm behavior of
Candida albicans and its closely related species Candida dubliniensis is key to
the development of effective preventive measures for invasive and oral
candidiasis. The aim of this study was to compare the capacity of biofilm
production by blood and oral isolates of C. albicans and C. dubliniensis using
polystyrene, flat-bottomed 100-well microtiter plates. METHODS: A total of 47
isolates, consisting of 28 C. albicans (16 oral and 12 blood isolates) and 19 C.
dubliniensis (11 oral and 8 blood isolates) were compared for their biofilm
forming ability under aerobic and static conditions. XTT reduction assay was used
to quantify the sessile growth. RESULTS: All tested isolates produced biofilm,
measured as XTT metabolic activity. Biofilm formation by C. albicans isolates was
statistically significantly higher than biofilm formation by C. dubliniensis
isolates at 24h (P=0.03) and 48 h (P=0.0001). There was a higher percentage
(41.7%) of high producers of biofilms among C. albicans blood isolates than among
oral isolates (31.3%), without statistically significant differences.
CONCLUSIONS: This capability may allow C. albicans and C. dubliniensis to
maintain their oral ecological niches as commensal or pathogenic microorganisms
and can be a major virulence factor during invasive candidiasis. However, the
differences in biofilm production among isolates should be taken into account
when the anti-biofilm activity of antifungal agents or other virulence factors
are tested in vitro.
PMID- 21899929
TI - Synthesis and biological evaluation of dihydroindeno and indeno [1,2-e]
[1,2,4]triazolo [3,4-b] [1,3,4]thiadiazines as antimicrobial agents.
AB - Two series of compounds namely, dihydroindeno and indeno [1,2-e] [1,2,4]triazolo
[3,4-b] [1,3,4]thiadizines (9a-l & 11a-l) were synthesized by cyclocondensation
between alpha-bromoindanones (7a-b) or/and alpha,alpha-dibromoindanones (8a-b)
and various 3-alkyl/aryl-4-amino-5-mercapto-1,2,4-s-triazoles (3a-f) in methanol
with an aim to explore their effect on in vitro growth of microorganism causing
microbial infection. In vitro antibacterial activity was performed against four
strains namely, Staphylococcus aureus, Bacillus subtilis, Escherichia coli,
Pseudomonas aeruginosa and antifungal activity against three fungal strains
namely, Aspergillus niger, Aspergillus flavus, Penicillium species. Of all the
compounds screened for activity some of the compounds were associated with
considerably higher antibacterial and antifungal activity than commercial
antibiotics.
PMID- 21899930
TI - Monoamine oxidase inhibition by selected anilide derivatives.
AB - A series of anilide derivatives were synthesized and evaluated as inhibitors of
recombinant human monoamine oxidase (MAO) A and B. The most potent inhibitors
among the derivatives that were initially evaluated were (2E)-N-(3-chlorophenyl)
3-phenylprop-2-enamide (2c) and (2E)-N-(3-bromophenyl)-3-phenylprop-2-enamide
(2d) with IC(50) values of 0.53 MUM and 0.45 MUM, respectively. These derivatives
exhibited reversible and selective inhibition of MAO-B with binding affinities 37
fold higher for MAO-B than for MAO-A. Analysis of the possible binding
interactions of these inhibitors with active site models of human MAO-A and -B
led to the design of phenolic and benzonitrile derivatives of 2c and 2d. Among
these were (2E)-N-(3-chlorophenyl)-3-(4-hydroxyphenyl)prop-2-enamide (7c) and
(2E)-N-(3-bromophenyl)-3-(4-hydroxyphenyl)prop-2-enamide (7d) which inhibited MAO
B selectively and reversibly with IC(50) values of 0.032 MUM and 0.026 MUM,
respectively. These inhibitors were at least 14 fold more potent than 2c and 2d.
This study concludes that N,3-diphenylprop-2-enamide is a suitable scaffold for
the design of selective MAO-B inhibitors and structural modifications to enhance
the binding affinities of the inhibitors for the MAO-B active site include
substitution with halogens on the N-phenyl ring and substitution with hydroxyl
and nitrile functional groups on the para and meta positions, respectively, of
the C3 phenyl ring. Possible binding modes of these structures within the MAO-B
active site are proposed with the emphasis on the interactions of the inhibitor
halogens and the hydroxyl and nitrile functional groups with active site residues
and water molecules.
PMID- 21899931
TI - Synthesis and pharmacological evaluation of indole-based sigma receptor ligands.
AB - A series of novel indole-based analogs were prepared and their affinities for
sigma receptors were determined using in vitro radioligand binding assays. The
results of this study identified several compounds with nanomolar sigma-2
affinity and significant selectivity over sigma-1 receptors. In particular, 2-(4
(3-(4-fluorophenyl)indol-1-yl)butyl)-6,7-dimethoxy-1,2,3,4-tetrahydroisoquinoline
(9f) was found to display high affinity at sigma-2 receptors with good
selectivity (sigma-1/sigma-2 = 395). The pharmacological binding profile for this
compound was established with other relevant non-sigma sites.
PMID- 21899932
TI - Increased Vitamin B12 levels are associated with mortality in critically ill
medical patients.
AB - BACKGROUND & AIMS: We describe an observational study in critically ill medical
patients showing the association between serum Vitamin B12 levels measured on or
near admission and the outcome in these patients. METHODS: We used the database
of patients admitted to the Medical Intensive Care Unit (MICU) at the Hadassah
Hebrew University Medical Center in Jerusalem, Israel, to analyze associations
between patient demographics, background, diagnoses and serum Vitamin B12 levels
with hospital and 90 day outcomes. RESULTS: Higher mean Vitamin B12 levels were
found in patients who did not survive their hospital stay (1719 pg/ml vs 1003
pg/ml, p < 0.01). Those who had died by 90 days after admission to the MICU also
had higher Vitamin B12 levels than survivors (1593 pg/ml vs 990 pg/ml).
Regression analysis showed that elevated Vitamin B12 levels were associated with
increased 90 day mortality, even after controlling for other variables. Survival
analysis also showed an increased mortality rate in patients with Vitamin B12
levels over 900 pg/ml (p < 0.0002). CONCLUSIONS: Our data show that high serum
Vitamin B12 levels are associated with increased mortality in critically ill
medical patients. We suggest that Vitamin B12 levels should be included in the
work-up of all medical intensive care patients, particularly those with a chronic
health history and increased severity of illness.
PMID- 21899933
TI - Increased power generation in impaired lower extremities correlated with changes
in walking speeds in sub-acute stroke patients.
AB - BACKGROUND: Establishing changes in net joint power in the lower extremity of
patients during recovery of walking might direct gait training in early stroke
rehabilitation. It is hypothesized that (1) net joint power in the lower
extremity joints would increase in sub-acute stroke patients following gait
rehabilitation, and (2) the improvements in net joint power would be
significantly correlated with changes in walking speed. METHODS: Thirteen sub
acute patients (<3 months from stroke onset) participated in the study. All
patients completed 6 weeks of gait training (3 weeks of robotic gait training and
3 weeks of physiotherapy). The gait patterns were analyzed using 3D motion
analysis before and after training. The assessed variables were; gait speed and
the net peak joint power of the ankle plantar flexors, hip extensors, hip
flexors, hip abductors, and knee extensors. FINDINGS: Ankle plantar flexor power
in the impaired limb and hip extensor power in the unimpaired limb increased
significantly following training (133% and 77%, respectively; P<0.002).
Improvements (from 20% to 133%) in net joint power of the ankle plantar flexors,
hip extensors, hip flexors, and hip abductors of the impaired limb and ankle
plantar flexors and hip abductors of the unimpaired limb significantly correlated
with the recovery of walking speed following training (0.24 m/s to 0.51 m/s)
(r=0.71-0.86). INTERPRETATION: The findings suggested investigations for
strengthening the plantar flexors, hip flexors, hip extensors, and hip abductors
concentrically, and knee extensors eccentrically in the impaired limb to
determine the effectiveness in improving gait performance.
PMID- 21899934
TI - Effect of lower limb dominance on knee joint kinematics after anterior cruciate
ligament reconstruction.
AB - BACKGROUND: Normal ambulatory kinematics of the knee joint is often not fully
restored after anterior cruciate ligament reconstruction, which may increase the
risk for cartilage degeneration and premature osteoarthritis in the involved
knees. Lower limb dominance may have impacts on knee joint kinematics after
anterior cruciate ligament reconstruction, which may lead to a different
prevalence of cartilage degeneration. This study aimed to evaluate the knee joint
kinematics among patients with reconstruction on the dominant and non-dominant
side. METHODS: Forty-one subjects with unilateral anterior cruciate ligament
reconstruction (19 dominant, 22 non-dominant) were recruited after being
discharged from rehabilitation programs. Twenty healthy subjects were recruited
as the control group. Six degrees-of-freedom tibiofemoral motion during level
walking was determined using a redundant point cluster-based marker set.
Tibiofemoral joint motion and its bilateral differences were compared within each
group and between groups. FINDINGS: The non-dominant reconstructed knees had less
extension compared to their contralateral knees at heel strike and during middle
stance phase (P=0.02); whereas, the dominant reconstructed knees exhibited
significantly reduced varus rotation (-2.1 degrees on mean, P=0.027) and
internal tibial rotation (P=0.034) compared to their contralateral knees during
both stance and swing phases. INTERPRETATION: The results show that different
kinematics has been developed between the involved dominant and non-dominant
knees after anterior cruciate ligament reconstruction, especially the secondary
rotations. The differences are consistent with the unequal prevalence of
cartilage degeneration in the knee joint. The findings demonstrated that the
lower limb dominance had a significant effect on post-surgery knee kinematics.
PMID- 21899935
TI - Concentrations and assessment of exposure to siloxanes and synthetic musks in
personal care products from China.
AB - We investigated the concentrations and profiles of 15 siloxanes (four cyclic
siloxanes, D(4)-D(7); 11 linear siloxanes, L(4)-L(14)), four synthetic musks (two
polycyclic musks, HHCB and AHTN; two nitro musks, MX and MK), and HHCB-lactone,
in 158 personal care products marketed in China. Siloxanes were detected in 88%
of the samples analyzed, at concentrations as high as 52.6 mg g(-1); Linear
siloxanes were the predominant compounds. Among synthetic musks, more than 80% of
the samples contained at least one of these compounds, and their total
concentrations were as high as 1.02 mg g(-1). HHCB was the predominant musk in
all of the samples analyzed, on average, accounting for 52% of the total musk
concentrations. Based on the median concentrations of siloxanes and musks and the
average daily usage amounts of consumer products, dermal exposure rates in adults
were calculated to be 3.69 and 3.38 mg d(-1) for siloxanes and musks,
respectively.
PMID- 21899936
TI - Biogeochemical dynamics of perfluorinated alkyl acids and sulfonates in the River
Seine (Paris, France) under contrasting hydrological conditions.
AB - The biogeochemical dynamics of 15 perfluorinated compounds (PFCs) were
investigated in a heavily urbanised river (River Seine, Paris, France). The
target compounds included C4-C10 sulfonates and C5-C14 acids; eleven PFCs were
detected and ?PFCs ranged between 31 and 91 ng L(-1) (median: 47 ng L(-1)). The
molecular pattern was dominated by the perfluoroalkyl sulfonates PFHxS and PFOS
(>54% of ?PFCs), which were the only PFCs quantified in both the dissolved and
particulate phases. For these PFCs, the sorbed fraction positively correlated
with suspended sediment levels. Total PFC levels negatively correlated with river
flow rate, which varied between 150 and 640 m(3) s(-1). This suggests the
predominance of point sources (likely WWTP effluent discharge), but a
contribution of non-point sources such as combined sewer overflow could not be
excluded. The annual PFC mass flow was estimated at 500 kg, which is less than
observed for other large European rivers.
PMID- 21899937
TI - The oxidative potential and biological effects induced by PM10 obtained in Mexico
City and at a receptor site during the MILAGRO Campaign.
AB - As part of a field campaign that studied the impact of Mexico City pollution
plume at the local, sub-regional and regional levels, we studied transport
related changes in PM(10) composition, oxidative potential and in vitro
toxicological patterns (hemolysis, DNA degradation). We collected PM(10) in
Mexico City (T(0)) and at a suburban-receptor site (T(1)), pooled according to
two observed ventilation patterns (T(0) -> T(1) influence and non-influence).
T(0) samples contained more Cu, Zn, and carbon whereas; T(1) samples contained
more of Al, Si, P, S, and K (p < 0.05). Only SO(4)(-2) increased in T(1) during
the influence periods. Oxidative potential correlated with Cu/Zn content (r =
0.74; p < 0.05) but not with biological effects. T(1) PM(10) induced greater
hemolysis and T(0) PM(10) induced greater DNA degradation. Influence/non
influence did not affect oxidative potential nor biological effects. Results
indicate that ventilation patterns had little effect on intrinsic PM(10)
composition and toxicological potential, which suggests a significant involvement
of local sources.
PMID- 21899938
TI - "But then i learned...": weight loss surgery patients negotiate surgery
discourses.
AB - This research explores commonplace discursive depictions of obesity surgery and
individual patients' reactions to these depictions. Data come from a content
analysis of weight loss surgery representations in periodical articles (n = 32)
and open-ended surveys (n = 55) and interviews (n = 44) with surgery patients
from 34 US states. This study reveals that mainstream periodicals frequently
stigmatized patients as obesity surgery is cast as (1) medically risky, (2)
extravagant and (3) an overly easy escape from obesity. Surgery is only portrayed
as (4) acceptable when multiple other weight loss techniques had been tried
unsuccessfully. In contrast, interview and survey data show individual patients
are aware of, yet frequently refute, these surgical stigmas. Findings demonstrate
the importance of weight loss surgery patients' personal experiences,
interactions and education in shaping their responses to stigma. Patients view
themselves as expert insiders who negotiate dominant discourses and,
consequently, assert that surgery and surgery patients are ethical. Research
results reveal the importance of perceived expert insider status and interpretive
practice in managing obesity surgery stigma.
PMID- 21899939
TI - [Lateral Intercostal Artery Perforator (LICAP) flap for lateral breast defect
reconstruction].
AB - Lateral breast defect after partial mastectomy is a difficult challenge. Pedicled
perforator flap is a new indication for breast surgery. Perforator flaps and fat
tissue transplant are new concepts in this kind of reconstruction. Lateral
intercostal artery perforator (LICAP) flap has been used for a lot of
indications. It can be used for lateral breast defect reconstruction. This flap
provides several advantages: minimal donor site morbidity, advantages in flap
shaping, better aesthetic results and higher patient satisfaction. The aim of
this paper is to present indications of LICAP flap. We discuss its advantages and
its limits in lateral breast reconstruction after partial mastectomy. LICAP flap
provides a new solution instead of conventional flaps.
PMID- 21899940
TI - [Macrolane(r): A severe case of calf cellulitis after modeling injection].
AB - In recent years, the market for resorbable injectables has been steadily
expanding. The European Community's seal of approval (CE) is a sufficient
guaranty to get them distributed. These injectables do not require official
approval because they are considered to be "implantable medical devices" and not
medicines. Macrolane((r))is a hyaluronic acid in gel form (NASHA [non-animal
stabilized hyaluronic acid]) and has been on the French market since 2007. It can
be injected into all areas except the face. It is highly cross-linked which,
while slowing its absorption into the body, can also leave long-lasting residues.
We report the first case of cellulitis after injection into the calf by a
practitioner in his office.
PMID- 21899941
TI - [Surgical strategy for neck burns and their sequelae].
AB - Burns of the neck are common and expose them to functional and aesthetic
complications which are sometimes very serious. Care in the acute stage and
treatment of sequelae contribute to a common goal of restoration: Maintain or
recreate a chin-neck angle and get a quality skin as close as possible to the
original skin, in terms of flexibility, texture, thickness and color. The wide
variety of cases encountered requires knowing the armamentarium available to us
today, and the anatomical basis and clinical underlying indications.
PMID- 21899942
TI - Type I leucocyte adhesion deficiency (LAD I). Report of a case.
PMID- 21899943
TI - Cardiotocography as a predictor of fetal outcome in women presenting with reduced
fetal movement.
AB - OBJECTIVE: To examine the obstetric and perinatal outcomes of women presenting
with reduced fetal movement (RFM) during the third trimester, specifically in
relation to the diagnostic capacity of non-stress cardiotocography (CTG) used as
the primary investigation in this clinical scenario. STUDY DESIGN: This was a
retrospective population-based cohort study of pregnancy outcomes of all women >=
28 weeks' gestation with singleton pregnancies presenting during one calendar
year with maternal perception of RFM, all of whom underwent CTG at presentation.
Main outcome measures included: obstetric intervention (induction of labour,
spontaneous vaginal delivery, operative vaginal delivery, emergency caesarean
section), and perinatal outcome (subsequent perinatal death, low Apgar scores
(<7(5)), neonatal resuscitation and NICU admission). RESULTS: In all, 524 women
presented with RFM and a live fetus, representing 7% of the antenatal obstetric
population; 284 women (54%) were nulliparous. The reassuring CTG group comprised
482 (92%) women in whom initial CTG was reassuring and 15 (3%) where a repeat
tracing within 1h was reassuring. The non-reassuring/abnormal CTG group (n=27,
5%) either underwent emergency delivery or comprehensive serial fetal assessment;
this group had significantly higher rates of emergency caesarean delivery,
neonatal resuscitation and NICU admission; the incidence of small-for-gestational
age infants did not differ significantly. No perinatal death occurred in either
group following CTG. CONCLUSION: Normal non-stress CTG is a reliable screening
indicator of fetal wellbeing in women presenting with perception of RFM in the
third trimester; abnormal pregnancy outcomes were more common when initial CTG
was abnormal or persistently non-reassuring.
PMID- 21899944
TI - Re: Jurgen Pannek. Mozart, the brain, and the bladder: clinical usefulness of
near-infrared spectroscopy for the detection of detrusor overactivity. Eur Urol
2011;59:763-4.
PMID- 21899945
TI - The anti-progestin RU-486 inhibits viability of MCF-7 breast cancer cells by
suppressing WNT1.
AB - The Wnt signaling pathway is activated in over 50% of women with breast cancer
and contributes to tumor progression. Here, we investigated the effects of RU-486
on Wnt signaling in breast cancer cell lines. RU-486 reduced viability of the
progesterone receptor-positive MCF-7 and T-47D cells, but had no effect on the
triple-negative MDA-MB-231 cells. Furthermore, RU-486 suppressed WNT1 expression
of MCF-7 cells by 99%. The addition of recombinant WNT1 partially reversed the RU
486-dependent inhibition of viability in MCF-7, but not in T-47D cells. In
conclusion, we identified WNT1 as a novel mediator of the anti-tumor effects of
RU-486 in MCF-7 cells.
PMID- 21899946
TI - Nitroxoline (8-hydroxy-5-nitroquinoline) is more a potent anti-cancer agent than
clioquinol (5-chloro-7-iodo-8-quinoline).
AB - Clioquinol has been shown to have anticancer activity both in vitro and in vivo.
The present study compared the cytotoxicity of clioquinol with six analogues
using human cancer cell lines. Of the analogues tested, 8-hydroxy-5
nitroquinoline (NQ) was the most toxic, with an IC(50) that was five to ten fold
lower than that of other congeners. Its activity was enhanced by copper, but not
zinc, and the use of a zinc-sensitive fluorophore showed that unlike clioquinol,
NQ is not a zinc ionophore. NQ increased intracellular reactive oxygen species
generation, an effect that was significantly enhanced by the addition of copper
at levels approximately the same as those found in human plasma. NQ has been used
in humans for the treatment of urinary infections. NQ is an 8-hydroxyquinoline
derivative that is more potent than the halogenated 8-hydroxyquinolines, and it
may be less neurotoxic because it lacks zinc ionophore activity. NQ is another
clinically used anti-microbial agent whose properties suggest that it may be
useful in treating cancer.
PMID- 21899947
TI - Glycosylation-related gene expression is linked to differentiation status in
glioblastomas undifferentiated cells.
AB - Glioblastoma Multiforme (GBM) is the most frequent malignant brain tumor with
still poor prognosis. Tumor initiation, growth and recurrences might depend on
Brain Tumor Stem Cells (BTSCs) which can promote tumor aggressiveness and
potentially affords new therapeutic target. Recent works emphasized aberrant cell
surface glyco-conjugate expression in brain tumors suggesting that altered
glycosylation is closely linked to cancer tumor metastasis and invasive process.
Post-translational changes might play a key role in determining the fates of most
aggressive and undifferentiated cells such as self-renewal, proliferation and
differentiation. In order to characterize the glycosylation-related genes
involved in differentiation status of the BTSCs, two glioblastoma cell lines, U87
MG and U251 have been cultured according to two conditions leading to
undifferentiated floating cells or differentiated adherent cells. The expression
level of 559 glycosylation related genes has been analyzed by Taqman Low Density
Array (TLDA) analysis and allowed to isolate eight up-regulated genes specific of
a subpopulation of undifferentiated cells. Protein expression has been confirmed.
Among main selected genes, five are also over-expressed in the undifferentiated
condition in primary cultures provided by three GBM freshly isolated from
patient. This work suggests that new Glycosylation-related gene signature might
improve the characterization of the most aggressive and undifferentiated cells
and supports that in future, N-linked glycosylation might provide new target to
develop therapeutic strategy for inhibiting tumor growth.
PMID- 21899948
TI - Responses of Scirpus triqueter, soil enzymes and microbial community during
phytoremediation of pyrene contaminated soil in simulated wetland.
AB - The aim of this study was to determine the enhancement of Scirpus triqueter in
the dissipation of pyrene and the interaction of pyrene with plant, soil enzymes
and microbial community. The results indicated that the dissipation ratios of
pyrene in the rhizospheric and non-rhizospheric soil were 64.65 +/- 3.86% and
54.49 +/- 2.74%, respectively, and were higher than that in the unplanted soil
(42.60 +/- 0.71%) at 80 d after planting S. triqueter. The pyrene was toxic to S.
triqueter, as evidenced by growth inhibition in height, diameter, shoot number
and biomass during the planting period. The activities of dehydrogenase decreased
significantly at the presence of pyrene in soils, and increased remarkably with
the introduction of S. triqueter. It was found that the pyrene addition increased
the ratios of fungal/total fatty acids and gram-positive/gram-negative, but the
presence of S. triqueter decreased the ratios of gram-positive/gram-negative. A
larger stress level was found in the pyrene treated soils without S. triqueter.
The ratio of aerobic/anaerobic bacteria decreased with increasing pyrene
concentration, but increased when S. triqueter was planted. The principal
analysis of phospholipid fatty acid signatures revealed that microbial community
structures in the rhizospheric and non-rhizospheric soil were similar, but
different from those in the unplanted and control soil.
PMID- 21899950
TI - A zero waste vision for industrial networks in Europe.
AB - 'ZeroWIN' (Towards Zero Waste in Industrial Networks--www.zerowin.eu) is a five
year project running 2009-2014, funded by the EC under the 7th Framework
Programme. Project ZeroWIN envisions industrial networks that have eliminated the
wasteful consumption of resources. Zero waste is a unifying concept for a range
of measures aimed at eliminating waste and challenging old ways of thinking.
Aiming for zero waste will mean viewing waste as a potential resource with value
to be realised, rather than as a problem to be dealt with. The ZeroWIN project
will investigate and demonstrate how existing approaches and tools can be
improved and combined to best effect in an industrial network, and how innovative
technologies can contribute to achieving the zero waste vision.
PMID- 21899949
TI - Synthesis and adsorption properties of titanosilicates ETS-4 and ETS-10 from fly
ash.
AB - ETS-4 and ETS-10 titanosilicates were prepared from fly ash and anatase, as
silica and titanium sources respectively, via a hydrothermal procedure for the
first time. The fusion of fly ash by alkali was carried out at a relatively low
temperature and the use potassium fluoride salt was avoided in the synthesis of
ETS. The by-product of this process is mainly NaCl, which is a useful source
material for industry. The energy efficiency and yield of the synthesis process
was improved by directly recycling the final filtrate after recovering the
product viz ETS-4. All the ETS materials were characterized in terms of
structural morphology, thermal stability and surface/pore properties. The
properties of ETS-4 prepared from fly ash by the filtrate recycling method were
comparable to that from commercial sources. The results show that ETS type
materials can be prepared from cheaper resources, with good purity, comparable
physico-chemical properties as well as excellent adsorption properties with lower
environmental impact.
PMID- 21899951
TI - Characterization of chars produced in the co-pyrolysis of different wastes:
decontamination study.
AB - The present work is devoted to the study of the decontamination of chars obtained
in the co-pyrolysis of plastics, biomass and tyre wastes. The chars were
extracted with several organic solvents of different polarities either
individually or in sequence. The ability of each selected extractant to remove
toxic pollutants was evaluated by comparing the extraction yields and by
characterizing the crude extracts with a combination of chemical analysis and
toxicity bioassays. Also, the mineral composition of the treated and non-treated
chars was assessed. The results obtained in this study indicate that hexane is
the more efficient extraction solvent to be used in the organic decontamination
of chars obtained in the co-pyrolysis of plastics, tyres and biomass. A
sequential extraction with solvents of increasing polarity can provide a better
decontamination of the raw pyrolysis char than any individual extraction. The
compounds removed from the char during the decontamination process are mainly
aliphatic hydrocarbons and aromatic hydrocarbons, therefore a material that may
be upgraded to be used as a fuel and/or as raw material for the organic chemical
industry.
PMID- 21899952
TI - Prevalence and risk factors of Giardia duodenalis in dogs from Romania.
AB - The protozoan Giardia duodenalis is a mammalian-infecting parasite that produces
diarrhoea and malabsorption in its hosts. A survey to investigate canine
infections with G. duodenalis in Romania was undertaken between June 2008 and
December 2009. The objectives of the study were to (i) estimate the prevalence of
infection in different dog populations (kennels, shelters, shepherd, household)
using microscopy and a commercially available enzyme-linked immunosorbent assay
(ELISA) test kit; (ii) to establish the level of agreement and characteristics of
the tests; and (iii) to identify risk factors for infection by multivariate
logistic regression models. Faecal samples were collected from 614 dogs aged from
1 month to 16 years (mean +/- SD=2.88 +/- 2.86 years). Each sample was tested for
the presence of cysts using a flotation method with saturated sodium chloride
solution and 416 out of 614 stool samples were further examined for the presence
of G. duodenalis specific antigens using Giardia Microwell ELISA (SafePathTM
Laboratories). Giardia cysts were identified in 8.5% of total dogs (52/614) and
statistical significantly more frequently in dogs living in communities. The
cysts prevalence according with dog populations was as follows: 7.2%(9/125) in
kennel dogs; 16.5%(27/164 in shelter dogs; 4.3%(2/46) in shepherd dogs;
4.8%(4/84) in household dogs from urban areas; and 5.1%(10/195) in household dogs
from rural areas. The overall prevalence of Giardia infection by ELISA was 34.6%
(144/416). The prevalence was significantly higher in kennel dogs (50%; 13/26),
shelter dogs (47.7%; 74/155) and shepherd dogs (40.5%; 17/42) than in household
dogs from urban areas (34.1%; 15/44) and household dogs from rural areas (16.8%;
25/149). It was noticed poor agreement between microscopy and ELISA (k=0.19). The
microscopy performed best, with an Youden Index of 0.74, a Se of 73.68% and a Sp
of 100%. ELISA had 100% Sp, but only 19.44% Se. Young dogs (up to 12 months age)
and living in communities were identified as risk factors for infection by
multivariate logistic regression analysis. 71.2% (37/52) Giardia cysts positive
dogs presented co-infections with other intestinal parasites: Toxocara canis
(14/52; 26.9%), Isospora ohioensis (12/52; 23.1%), Ancylostoma caninum (9/52;
17.3%), Uncinaria stenocephala (7/52; 13.5%), Trichocephalus vulpis (6/52;
11.5%), Hammondia heydorni/Neospora caninum (5/52; 9.6%), Sarcocystis spp. (5/52;
9.6%), Isospora canis (4/52; 7.7%), Capillaria aerophila (3/52; 5.8%),
Strongyloides stercoralis (2/52; 93.8%), Dipylidium caninum (1/52; 1.9%) and
Toxascaris leonina (1/52; 1.9%).
PMID- 21899953
TI - Insecticidal and repellent effects of tea tree (Melaleuca alternifolia) oil
against Lucilia cuprina.
AB - Laboratory studies were conducted to assess the effect of tea tree oil (TTO) from
Melaleuca alternifolia (terpinen-4-ol chemotype) against different stages of the
Australian sheep blowfly Lucilia cuprina. When applied to wool, 3% TTO
formulation repelled gravid female L. cuprina and prevented oviposition for six
weeks. Formulations containing 1% TTO caused 100% mortality of L. cuprina eggs
and 1st instar larvae and 2.5% TTO caused mortality of most second and third
instar larvae in agar feeding assays. In experiments where third instar larvae
were dipped in TTO formulations for 60s, concentrations of up to 50% TTO gave
less than 50% kill. TTO at concentrations of 0.5%, 2% and 5% was strongly
repellent to third instar larvae and caused them to evacuate treated areas.
Inclusion of TTO in formulations with diazinon, ivermectin and boric acid reduced
mortality in comparison with the larvicides used alone, at least partially
because of avoidance behaviour stimulated by the TTO. Addition of TTO to wound
treatments may aid in wound protection and myiasis resolution by preventing
oviposition by L. cuprina adults, insecticidal action against L. cuprina eggs and
larvae, stimulating larvae to leave the wound and through antimicrobial and anti
inflammatory properties that aid in wound healing.
PMID- 21899954
TI - Apoptosis in T lymphocytes from spleen tissue and peripheral blood of L. (L.)
chagasi naturally infected dogs.
AB - Dogs are the main domestic reservoirs of L. (L.) chagasi. Once in the vertebrate
host, the parasite may cause visceral leishmaniasis, which can also be
transmitted to humans. Infected symptomatic dogs show disorganization in the
white pulp in spleen tissue and a reduction in T lymphocytes in peripheral blood.
To investigate whether apoptosis is involved in white pulp disorganization and
diminished T cell counts in peripheral blood, apoptotic T cells from the spleen
and peripheral blood of dogs naturally infected with L. (L.) chagasi and
presenting clinical manifestations were quantified and compared with healthy
dogs. Thirteen symptomatic adult dogs infected by L. (L.) chagasi and six healthy
dogs from a nonendemic area (controls) were included in the study. Samples from
spleen and peripheral blood were used to quantify apoptosis in CD3 lymphocytes by
flow cytometry using Anexin V and Multicaspase kits; the results were compared
using the Mann Whitney test. The percentage of total T cells was lower in
Leishmania infected dogs compared to healthy controls (P<0.05). Apoptosis levels
in T cells from PBMC and spleen were higher in infected dogs than in controls
(P<0.05). The least squares method test was used to determine the effect between
the degree of structural organization of spleen white pulp and the percentage of
apoptosis in the spleen. A significant effect on the level of white pulp
morphological disorganization and percentage of apoptosis in spleen T cells was
observed (F=20.45; P=0.0014). These data suggest that apoptosis is an important
for the immunopathogenesis of canine visceral leishmaniasis.
PMID- 21899955
TI - Biologic therapies in the metastatic colorectal cancer treatment continuum-
applying current evidence to clinical practice.
AB - More therapeutic options are now available than ever before for patients with
metastatic colorectal cancer (mCRC) and, as such, treatment decisions have become
more complex. A multidisciplinary approach is, therefore, required to effectively
manage these patients. In the past few years, many trials have reported on the
value of combining biological agents, such as those targeting vascular
endothelial growth factor A and epidermal growth factor receptors, with
chemotherapy. However, despite the plethora of information now available, the
optimal treatment strategy for patients with mCRC remains unclear. Indeed, the
propensity of investigators to conduct clinical trials utilising a variety of
chemotherapy backbones combined with the increased complexity of retrospectively
incorporating analyses of genetic mutation status (e.g. KRAS and BRAF) have led
to conflicting results for seemingly similar endpoints, particularly overall
survival. As a result, guidelines that have been developed, whilst having some
similarities, have distinct differences in terms of suggested therapeutic
combinations. Therefore, here, we review and distil the currently available data
reported from phase III trials of biologic agents in the first-, second- and
third-line mCRC settings.
PMID- 21899957
TI - Hypoxic damage to pancreatic beta cells--the hidden link between sleep apnea and
diabetes.
AB - Despite a large body of epidemiologic and clinical evidence suggesting that sleep
disordered breathing is an independent risk factor for development of type 2
diabetes (T2DM), the underlying pathogenesis of altered glucose metabolism in
sleep apnea remains to be unraveled. While previous studies have proposed some
causal pathways linking sleep apnea with T2DM through increased insulin
resistance and deterioration in insulin sensitivity, there has been a particular
lack of research into sleep apnea-related alterations in pancreatic beta-cell
function. Drawing upon our previous observation that sleep apnea is independently
associated with an increased basal pancreatic beta-cell function in adults with
normal glucose metabolism, the idea presented here suggests that sleep apnea
imposes an excessive demand for insulin secretion, which may lead to progressive
pancreatic beta-cell failure in high-risk individuals. Specifically, we
hypothesize that in addition to diabetogenic effects of acute hypoxic activation
of the sympathetic nervous system, the chronic intermittent hypoxemia represses
the expression of key genes regulating biosynthesis of pancreatic proinsulin
convertases with a resultant progressive decrease in their catalytic activity.
The long-term hypoxic damage to pancreatic beta-cells may thus contribute to
progression of glucose dysregulation in persons with untreated sleep apnea over
time. Strategies to prevent and decrease the high prevalence and associated
morbidity of T2DM are critically needed. The ideas and hypotheses presented here
address the unexplored pathophysiological mechanisms underlying the potential
causal link between sleep apnea and T2DM. Future hypotheses-testing will seek to
delineate the role of sleep apnea in the development of T2DM, probe the
underlying molecular mechanisms for pancreatic beta-cell dysfunction in sleep
apnea, and obtain information on clinical, epidemiologic, and other factors
responsible for protecting individuals from alterations in insulin-glucose
homeostasis. These results could further be utilized in testing genetic
susceptibilities and various therapy modalities to prevent pancreatic beta-cell
dysfunction and maintain normal glucose status in persons with sleep apnea in the
long term.
PMID- 21899956
TI - Stress alters personal moral decision making.
AB - While early studies of moral decision making highlighted the role of rational,
conscious executive processes involving frontal lobe activation more recent work
has suggested that emotions and gut reactions have a key part to play in moral
reasoning. Given that stress can activate many of the same brain regions that are
important for and connected to brain centres involved in emotional processing we
sought to evaluate if stress could influence moral decision making. Sixty-five
undergraduate volunteers were randomly assigned to control (n=33) and
experimental groups (n=32). The latter underwent the Trier Social Stress Test
(TSST) and induction of stress was assessed by measurement of salivary cortisol
levels. Subjects were then required to provide a response to thirty moral
dilemmas via a computer interface that recorded both their decision and reaction
time. Three types of dilemmas were used: non-moral, impersonal moral and personal
moral. Using a binary logistic model there were no significant predicators of
utilitarian response in non-moral and impersonal moral dilemmas. However the
stressed group and females were found to predict utilitarian responses to
personal moral dilemmas. When comparing percentage utilitarian responses there
were no significant differences noted for the non-moral and impersonal moral
dilemmas but the stressed group showed significantly less utilitarian responses
compared to control subjects. The stress response was significantly negatively
correlated with utilitarian responses. Females also showed significantly less
utilitarian responses than males. We conclude that activation of the stress
response predisposed participants to less utilitarian responses when faced with
high conflict personal moral dilemmas and suggest that this offers further
support for dual process theory of moral judgment. We also conclude that females
tend to make less utilitarian personal moral decisions compared to males,
providing further evidence that there are gender differences in moral reasoning.
PMID- 21899958
TI - Polymorphism and parent-of-origin effects on gene expression of CAST, leptin and
DGAT1 in cattle.
AB - This study aimed to investigate differential allele expression (DAE) and
polymorphism and parent-of-origin effects on expression of genes related to beef
traits. CAST, related to meat tenderness, and DGAT1 and leptin, related to fat
deposition, were evaluated. In bovine fetal tissues CAST was expressed twice as
much (P < 0.05) in muscle of homozygous GG than in heterozygous AG. Leptin was
expressed about one-tenth as much (P < 0.05) in heterozygous TpCm (allele T of
paternal origin and allele C of maternal origin) than in homozygous CC. No DAE
was observed. The evidence of polymorphism effect on expression of CAST and
parent-of-origin effect on leptin contributes to a better understanding of events
controlling the expression of genes of economic interest in cattle. Furthermore,
if the parent-of-origin effects observed in fetal tissues are confirmed in adult
tissues and associated to phenotypic variation, this parental origin criterion
may be considered in marker-assisted selection of beef traits.
PMID- 21899960
TI - A novel spatial and stochastic model to evaluate the within and between farm
transmission of classical swine fever virus: II validation of the model.
AB - A new, recently published, stochastic and spatial model for the evaluation of
classical swine fever virus (CSFV) spread into Spain has been validated by using
several methods. Internal validity, sensitivity analysis, validation using
historical data, comparison with other models and experiments on data validity
were used to evaluate the overall reliability and consistency of the model. More
than 100 modifications in input data and parameters were evaluated. Outputs were
obtained after 1000 iterations for each new scenario of the model. As a result,
the model was shown to be consistent, being the probability of infection by local
spread, the time from infectious to clinical signs state, the probability of
detection based on clinical signs at day t after detection of the index case
outside the control and surveillance zones and the maximum number of farms to be
depopulated at day t the parameters that have more influence (>10% of change) on
the magnitude and duration of the epidemic. The combination of a within- and
between-farm spread model was also shown to give significantly different results
than using a purely between-farm spread model. Methods and results presented here
were intended to be useful to better understand and apply the model, to identify
key parameters for which it will be critical to have good estimates and to
provide better support for prevention and control of future CSFV outbreaks.
PMID- 21899959
TI - Reciprocal inhibitory effects of intravenous d-methamphetamine self
administration and wheel activity in rats.
AB - BACKGROUND: Some epidemiological and cessation studies suggest physical exercise
attenuates or prevents recreational drug use in humans. Preclinical studies
indicate that wheel activity reduces cocaine self-administration in rats; this
may, however, require the establishment of compulsive wheel activity. METHODS:
Effects of concurrent wheel activity on intravenous d-methamphetamine (METH) self
administration were examined in male Wistar and Sprague Dawley rats with
negligible prior wheel experience. Wistar rats self-administered METH (0.05
mg/kg/inf) under a fixed-ratio 1 (FR1) schedule with concurrent access to an
activity wheel during sessions 1-14, 8-21 or 15-21. Control rats which did not
self-administer METH had access to an activity wheel during sessions 1-14, 8-21
or 15-28. Sprague Dawley rats self-administered METH (0.1 mg/kg/inf) under FR1
for 14 sessions with either concurrent access to a locked or an unlocked activity
wheel. RESULTS: METH self-administration was lower when the wheel was available
concurrently from the start of self-administration training in both strains, even
though Sprague Dawley rats self-administered twice as many METH infusions and ran
one-sixth as much on the wheel compared to Wistar rats. Wheel access initiated
after 7 or 14 days had no effect on METH self-administration in Wistar rats.
Wheel activity was significantly reduced in these groups compared with the group
with concurrent wheel and METH access for the first 14 sessions. CONCLUSIONS:
These data show that METH self-administration is reduced by exercise if initiated
from the start of self-administration and that prior METH self-administration
experience interferes with the value of exercise as a reinforcer.
PMID- 21899962
TI - The first detection of methicillin-resistant Staphylococcus aureus ST398 in pigs
in Korea.
AB - In order to determine the presence of methicillin-resistant Staphylococcus aureus
(MRSA) in pigs in Korea, a total of 657 nasal swabs were collected from pigs on
66 different pig farms nationwide during February 2008-May 2009. The prevalences
of MRSA positive samples in pigs and farms were 3.2% (21/657) and 22.7% (15/66),
respectively. Two different types were found among the 21 MRSA isolates: 17
strains of livestock-associated type (LA; ST398 or ST541/spa t034) and 4 strains
of human-associated type (HA; ST72/spa t664 or t2461). The most prevalent type of
MRSA strain was ST398/t034 (12/21, 57%), followed by ST541/t034 (5/21, 23.8%).
The rest of the isolates were ST72/t664 (n=2) and ST72/t2461 (n=2), respectively.
Our data provide evidence for the existence of not only LA types (ST398 and
ST541) but also HA type (ST72) MRSA in pigs in Korea. This survey provides the
first evidence of LA type MRSA in animals in Korea. In addition, the presence of
human MRSA clones in pigs observed in this study suggests an additional reservoir
for human MRSA infection, and vice versa.
PMID- 21899961
TI - Genotypes and antibiotic resistance of Campylobacter coli in fattening pigs.
AB - Campylobacter coli is a food-borne zoonotic pathogen causing human
gastroenteritis worldwide. The organism is a commensal in the intestine of many
food production animals including fattening pigs. The role of the pig as a
potential reservoir for C. coli affecting human either directly or via poultry
has hardly been investigated and genetic characterization of porcine strains is
needed to address this question. For this aim multilocus sequence typing (MLST)
and flaB typing was applied to 256 C. coli isolates from faeces of fattening pig
collected during 2009 at different slaughterhouses in Switzerland. In addition
genotypic resistances towards macrolides and quinolones based on point mutations
in the 23S rRNA and gyrA genes, respectively, were determined. Of the 67 sequence
types (STs) obtained by MLST, 37 were found for the first time. flaB typing
revealed 46 different types with 14 of them being novel and was useful to further
differentiate strains with an identical ST. Quinolone resistance was detected in
33.6% and macrolide resistance was found in 10.6% of isolates. Comparison with 99
C. coli pig isolates from 2001 revealed a significant decrease in antibiotic
resistance towards both groups of antibiotics and there was high overlap between
genotypes of 2001 and 2009. Little overlap of porcine genotypes was found with 97
C. coli isolates from poultry collected 2008, however, macrolide resistance was
significantly higher in pig isolates. In conclusion, C. coli from Swiss pig are
heterogeneous containing many novel STs, findings that could reflect the
partitioned Swiss pig production with almost no international breed exchange. The
antibiotic resistance echoes the use of corresponding drugs in the Swiss
livestock production and indicates the efficacy of restrictive application of
antibiotics in order to reduce resistances.
PMID- 21899963
TI - Associations among milk production and rectal temperature on pregnancy
maintenance in lactating recipient dairy cows.
AB - The objective of this study was to evaluate the associations among milk
production, rectal temperature, and pregnancy maintenance in lactating recipient
dairy cows. Data were collected during an 11-mo period from 463 Holstein cows
(203 primiparous and 260 multiparous) assigned to a fixed-time embryo transfer
(ET) protocol. Only cows detected with a visible corpus luteum immediately prior
to ET were used. Rectal temperatures were collected from all cows on the same day
of ET. Milk production at ET was calculated by averaging individual daily milk
production during the 7d preceding ET. Pregnancy diagnosis was performed by
transrectal ultrasonography 21d after ET. Cows were ranked and assigned to groups
according to median milk production (median=35kg/d; HPROD=above median;
LPROD=below median) and rectal temperature (<=39.0 degrees C=LTEMP; >39.0 degrees
C=HTEMP). A milk production*temperature group interaction was detected (P=0.04)
for pregnancy analysis because HTEMP cows ranked as LPROD were 3.1 time more
likely to maintain pregnancy compared with HTEMP cows ranked as HPROD (P=0.03).
Milk production did not affect (P=0.55) odds of pregnancy maintenance within
LTEMP cows, however, and no differences in odds of pregnancy maintenance were
detected between HTEMP and LTEMP within milk production groups (P>0.11). Within
HTEMP cows, increased milk production decreased the probability of pregnancy
maintenance linearly, whereas within LTEMP cows, increased milk production
increased the probability of pregnancy maintenance linearly. Within HPROD,
increased rectal temperature decreased the probability of pregnancy maintenance
linearly, whereas within LPROD cows, no associations between rectal temperatures
and probability of cows to maintain pregnancy were detected. In summary, high
producing dairy cows with rectal temperatures below 39.0 degrees C did not
experience reduced pregnancy maintenance to ET compared to cohorts with reduced
milk production.
PMID- 21899964
TI - Is an ovulation-inducing factor (OIF) present in the seminal plasma of rabbits?
AB - The objectives of this study were (1) to determine the effect of rabbit seminal
plasma on LH secretion and ovulation using the llama animal model as an in vivo
ovulation bioassay and (2) to determine the effect of llama or rabbit seminal
plasma on ovulation induction in the rabbit model. In Experiment 1, llamas with a
growing follicle >=8mm in diameter were assigned randomly to one of three groups
(n=5 per group) and given an intramuscular dose of 1mL of: (a) llama seminal
plasma, (b) rabbit seminal plasma, or (c) phosphate buffered saline (PBS;
negative control). Blood samples for LH measurement were taken every 15 min from
1.5 h before to 8 h after treatment (Day 0: starting of treatment). Llamas were
examined by ultrasonography every 12h from treatment to ovulation, and then every
other day until Day 16 after treatment to evaluate corpus luteum (CL)
development. Blood samples for progesterone measurement were taken every other
day from Day 0 to Day 16. Ovulation was detected in 4 of 5, 5 of 5, and 0 of 0
llamas treated with llama or rabbit seminal plasma and PBS, respectively
(P<0.001). After treatment, plasma LH concentration increased and decreased
(P<0.01) in the llama and rabbit seminal plasma group but not in the PBS-treated
group. No differences were observed on CL development (P>=0.3) and progesterone
secretion (P>0.05) between both seminal plasma treated groups. In Experiment 2,
receptive female rabbits (n=5-7 per group) were given an intramuscular dose of:
(a) 0.5, (b) 1.0 and (c) 2.0mL of either rabbit or llama seminal plasma, (d)
0.5mL PBS (negative control), or (e) 25MUg of gonadoreline acetate (GnRH;
positive control). Does were submitted to laparotomy 24-36 h after treatment to
determine the ovulatory response and the presence of antral and hemorrhagic
anovulatory follicles. Ovulation sites (7.0+/-0.6) were only detected in GnRH
treated does (P<0.01). There was an increase (P<0.01), in the total number of
follicles (antral plus hemorraghic follicles) in those females treated with 1mL
of rabbit seminal plasma and there was a tendency (P=0.08) for more hemorrhagic
anovulatory follicles in does treated with 1.0 and 2.0mL of either rabbit or
llama seminal plasma. Results document the presence of OIF in the seminal plasma
of rabbits. The differential ovulatory response between species, however,
requires further investigation.
PMID- 21899965
TI - Forensic identification of resampling operators: A semi non-intrusive approach.
AB - Recently, several new resampling operators have been proposed and successfully
invalidate the existing resampling detectors. However, the reliability of such
anti-forensic techniques is unaware and needs to be investigated. In this paper,
we focus on the forensic identification of digital image resampling operators
including the traditional type and the anti-forensic type which hides the trace
of traditional resampling. Various resampling algorithms involving geometric
distortion (GD)-based, dual-path-based and postprocessing-based are investigated.
The identification is achieved in the manner of semi non-intrusive, supposing the
resampling software could be accessed. Given an input pattern of monotone signal,
polarity aberration of GD-based resampled signal's first derivative is analyzed
theoretically and measured by effective feature metric. Dual-path-based and
postprocessing-based resampling can also be identified by feeding proper test
patterns. Experimental results on various parameter settings demonstrate the
effectiveness of the proposed approach.
PMID- 21899966
TI - Evaluation of ability for basic movement scale for children type T (ABMS-CT) for
disabled children.
AB - BACKGROUND: The objective of this pilot study was to test the validity and
reliability of a new scale, the Ability for Basic Movement Scale for Children
Type T (ABMS-CT). METHODS: Forty-nine pediatric patients with disabilities (aged
1.00-15.17years; 29 males and 20 females) participated in this prospective study.
To prove the validity and reliability of the ABMS-CT, subjects were administered
the ABMS-CT by two physicians. In addition to the ABMS-CT score, data on age,
diagnosis, and results of the Functional Independence Measure for Children
(WeeFIM) were recorded. RESULTS: Spearman's rank correlation coefficient analysis
showed that the ability to perform basic movements according to the individual
scores for each item on the ABMS-CT and the total scores of the ABMS-CT
correlated significantly with the total scores of the motor and cognitive WeeFIM,
respectively (r=0.753-0.892, p=0.0001). The five items on the ABMS-CT had
appropriate internal consistency (Cronbach's alpha=0.966). Inter-rater
reliability analysis indicated that the "oral and facial area", "hands and
fingers", "one leg", "both legs", and "stairs" items on the ABMS-CT had almost
perfect reliability (kappa=0.854-0.925). CONCLUSION: This study provides evidence
for the validity and reliability of the ABMS-CT with regard to assessment of the
functional ability for complex movements in disabled pediatric patients even if
they can walk independently.
PMID- 21899967
TI - [ICD-10 adaptation of 15 Agency for Healthcare Research and Quality patient
safety indicators].
AB - BACKGROUND: In the United States, the Agency for Healthcare Research and Quality
(AHRQ) has developed 20 Patient Safety Indicators (PSIs) to measure the
occurrence of hospital adverse events from medico-administrative data coded
according to the ninth revision of the international classification of disease
(ICD-9-CM). The adaptation of these PSIs to the WHO version of ICD-10 was carried
out by an international consortium. METHODS: Two independent teams transcoded ICD
9-CM diagnosis codes proposed by the AHRQ into ICD-10-WHO. Using a Delphi
process, experts from six countries evaluated each code independently, stating
whether it was "included", "excluded" or "uncertain". During a two-day meeting,
the experts then discussed the codes that had not obtained a consensus, and the
additional codes proposed. RESULTS: Fifteen PSIs were adapted. Among the 2569
proposed diagnosis codes, 1775 were unanimously adopted straightaway. The 794
remaining codes and 2541 additional codes were discussed. Three documents were
prepared: (1) a list of ICD-10-WHO codes for the 15 adapted PSIs; (2)
recommendations to the AHRQ for the improvement of the nosological frame and the
coding of PSI with ICD-9-CM; (3) recommendations to the WHO to improve ICD-10.
CONCLUSIONS: This work allows international comparisons of PSIs among the
countries using ICD-10. Nevertheless, these PSIs must still be evaluated further
before being broadly used.
PMID- 21899968
TI - [Management and prevention of invasive fungal infections in haematology].
PMID- 21899969
TI - A dedicated BI-RADS training programme: effect on the inter-observer variation
among screening radiologists.
AB - INTRODUCTION: The Breast Imaging Reporting and Data System (BI-RADS) was
introduced in the Dutch breast cancer screening programme to improve
communication between medical specialists. Following introduction, a substantial
variation in the use of the BI-RADS lexicon for final assessment categories was
noted among screening radiologists. We set up a dedicated training programme to
reduce this variation. This study evaluates whether this programme was effective.
MATERIALS AND METHODS: Two comparable test sets were read before and after
completion of the training programme. Each set contained 30 screening mammograms
of referred women selected from screening practice. The sets were read by 25
experienced and 30 new screening radiologists. Cohen's kappa (kappa) was used to
calculate the inter-observer agreement. The BI-RADS 2003 version was implemented
in the screening programme as the BI-RADS 2008 version requires the availability
of diagnostic work-up, and this is unavailable. RESULTS: The inter-observer
agreement of all participating radiologists (n=55) with the expert panel
increased from a pre-training kappa-value of 0.44 to a post-training kappa-value
of 0.48 (p=0.14). The inter-observer agreement of the new screening radiologists
(n=30) with the expert panel increased from kappa=0.41 to kappa=0.50 (p=0.01),
whereas there was no difference in agreement among the 25 experienced
radiologists (from kappa=0.48 to kappa=0.46, p=0.60). CONCLUSION: Our training
programme in the BI-RADS lexicon resulted in a significant improvement of
agreement among new screening radiologists. Overall, the agreement among
radiologists was moderate (guidelines Landis and Koch). This is in line with
results found in the literature.
PMID- 21899970
TI - 18F-FDG PET or PET/CT for detecting extrahepatic metastases or recurrent
hepatocellular carcinoma: a systematic review and meta-analysis.
AB - AIM: Positron emission tomography (PET) using F18-flurodeoxy-glucose (FDG) has
been widely used for reflecting cellular metabolism. However, the feasibility of
FDG PET in the diagnosis of hepatocellular carcinoma (HCC) is limited. The aim of
the study was to assess the ability of FDG PET (PET/CT) in the detection of
extrahepatic metastases or recurrent HCC. MATERIALS AND METHODS: We conducted
MEDLINE, EMBASE and COCHRANE searches (last update, April 2011). Eight eligible
articles were identified evaluating F18-FDG PET (PET/CT) in extrahepatic
metastases or recurrent HCC. Two authors independently evaluated the
methodological quality of each study. We estimated pooled sensitivities,
specificities, summary receiver-operating-characteristic (SROC) curves, and
summary likelihood ratios. RESULTS: Eight eligible studies were enrolled in this
study. The pooled estimates of sensitivity, specificity, positive likelihood
ratio, and negative likelihood ratio of FDG PET (PET/CT) in the detection of
metastatic HCC were 76.6%, 98.0%, 14.68, and 0.28, respectively. The pooled
estimates of sensitivity, specificity, LR+ and LR- of FDG PET (PET/CT) in the
detection of recurrent HCC were 81.7%, 88.9%, 4.72, and 0.19, respectively.
CONCLUSION: Based on the results of this systematic review, F-18 FDG PET (PET/CT)
was useful in ruling in extrahepatic metastases of HCC and valuable for ruling
out the recurrent HCC.
PMID- 21899971
TI - Clinical value of FDG PET or PET/CT in urinary bladder cancer: a systemic review
and meta-analysis.
AB - AIM: The purpose of the current study was to conduct a systemic review and meta
analysis of the published literature to evaluate the diagnostic accuracy of FDG
PET or PET/CT in urinary bladder cancer. MATERIALS AND METHODS: The authors
conducted a systematic MEDLINE search of articles published between January 2000
and December 2010. Two reviewers independently assessed the methodological
quality of each study. We conducted a meta-analysis of pooled sensitivity and
specificity in detecting primary and metastatic lesions of bladder cancer.
RESULTS: Six studies met the inclusion criteria. The pooled sensitivity and
specificity of PET/CT for primary lesion detection of bladder cancer were 0.90
(95% CI: 0.70-0.99) and 1.00 (95% CI: 0.74-1.00), respectively. The pooled
sensitivity and specificity of FDG PET or PET/CT for staging or restaging
(metastatic lesions) of bladder cancer were 0.82 (95% CI: 0.72-0.89) and 0.89
(95% CI: 0.81-0.95), respectively. CONCLUSION: The diagnostic accuracy of FDG PET
or PET/CT is good in metastatic lesions of urinary bladder cancer. Due to the
small number of patients and limited number of studies analyzed, the diagnostic
capability of FDG PET or PET/CT in detection of primary bladder wall lesions
could not be assessed.
PMID- 21899972
TI - Peripheral neural sheath tumors (PNST)--what a radiologist should know.
AB - Peripheral neural sheath tumors (PNST) are rare and the common goal of management
focuses on eliminating pain and maximizing function of the affected nerve.
Therefore preoperative assessment of the specific morphological behaviour of such
tumors regarding the nerves internal architecture is of utmost importance. PNSTs
may affect one or more fascicles of a peripheral nerve resulting in a significant
functional loss after resection and the necessity of functional reconstruction in
one step. Enhancement of preoperative information should also address the
biological behaviour of the tumor regarding its dignity and the resulting
implications on amount of radical resection, additional treatment and prognosis.
Since high-resolution techniques promise more and more detail resolution in many
fields of imaging, delineation of intra- and extraneural processes as well as
biological informations shall lead towards a well prepared and foreseeable image
guided treatment of PNSTs.
PMID- 21899973
TI - Gaiella occulta gen. nov., sp. nov., a novel representative of a deep branching
phylogenetic lineage within the class Actinobacteria and proposal of Gaiellaceae
fam. nov. and Gaiellales ord. nov.
AB - Two isolates, with an optimum growth temperature of about 35-37 degrees C and an
optimum pH for growth between 6.5 and 7.5, were recovered from a deep mineral
water aquifer in Portugal. Strains form rod-shaped cells and were non-motile.
These strains were non-pigmented, strictly aerobic, catalase and oxidase
positive. Strains F2-233(T) and F2-223 assimilated carbohydrates, organic acids
and amino acids. Major fatty acids were novel iso internally branched such as
17:0 iso 10-methyl, 17:0 iso and 15:0 iso 8-methyl. The peptidoglycan contained
meso-diaminopimelic acid and menaquinone MK-7 was the major respiratory quinone.
Analysis of the 16S rRNA gene shows the strains to cluster with species of the
genera Thermoleophilum, Patulibacter, Conexibacter and Solirubrobacter to which
they have pairwise sequence similarity in the range 87-88%. Based on 16S rRNA
gene sequence analysis, physiological and biochemical characteristics we describe
a new species of a novel genus represented by strain F2-233(T) (=CECT 7815(T)=LMG
26412(T)) for which we propose the name Gaiella occulta gen. nov., sp. nov. We
also propose that this organism represents a novel family named Gaiellaceae fam.
nov. of a novel order named Gaiellales ord. nov.
PMID- 21899974
TI - X-ray powder diffractometry and liquid chromatography studies of sibutramine and
its analogues content in herbal dietary supplements.
AB - The contemporary societies of the developed countries are prone to use
traditional far-east medicines as remedies for all diseases. Some of them, such
as obesity, might be classified as civilization diseases. Combating the problem,
people try not only several miraculous diets but also herbal infusions (teas) and
variety of "herbal" preparations. All these believing that such treatment is
healthy and harmless as far as it is "natural". Leaving out of the way the
question if herbal medicines can be taken safely without doctors' control the
query arises if the common preparations are strictly natural and herbal. Here we
report examples of quality studies of such medicines using both X-ray powder
diffraction (XRPD) and liquid chromatography (LC) with various types of
detection: ultraviolet (UV), coulometric electrode array (CEAD) and time-of
flight mass spectrometry (TOF-MS). Especially the XRPD assisted with an optical
microscopy seems to be useful as a fast screening method of general sample
composition of such preparations. First of all it can discriminate between
capsules containing pure herbal materials and those with some chemical.
PMID- 21899975
TI - Detection of toxigenic Clostridium difficile in pediatric stool samples: an
evaluation of Quik Check Complete Antigen assay, BD GeneOhm Cdiff PCR, and
ProGastro Cd PCR assays.
AB - The performance of C. Diff Quik Chek Complete (QCC), BD GeneOhm Cdiff PCR (BD),
and ProGastro Cd PCR (PG) assays was evaluated in detecting Clostridium difficile
infection (CDI) in children using 200 frozen stool specimens. The results of the
tests were compared to the toxigenic culture (TC) as 'gold standard.' The
sensitivity, specificity, positive predictive value, and negative predictive
value were as follows. QCC antigen (GDH + Toxin-A/B) = 70.8%, 97.4%, 89.5%, and
91.4%; BD PCR = 89.6%, 96.7%, 89.6%, and 96.7%; PG PCR = 100%, 93.4%, 82.8%, and
100%. Polymerase chain reaction (PCR) assays detected an additional 11 positives
missed by TC, 7 of which were confirmed positive by an alternate tcdB gene PCR
assay. However, retrospective clinical chart review indicated CDI in only 3 of
the 11 patients in whom C. difficile was detected by PCR only. A 2-step algorithm
utilizing QCC antigen test as a screening test followed by confirmation of GDH
positive and toxin-negative samples with either BD or PG PCR assay will provide
rapid and accurate results for majority of the samples and reduce laboratory
testing cost.
PMID- 21899976
TI - Simple and rapid detection of human enterovirus 71 by reverse-transcription and
loop-mediated isothermal amplification: cryopreservation affected the detection
ability.
AB - Human enterovirus 71 (EV71) is the primary pathogen of hand, foot, and mouth
disease (HFMD). EV71 infection may lead to neurologic damage, with higher
incidence of fatality compared with other HFMD pathogens. An effective drug or
vaccine against EV71 infection is currently unavailable. It is desirable to
determine the pathogen of HFMD accurately and quickly for early treatment. In the
current study, reverse-transcription and loop-mediated isothermal amplification
(RT-LAMP) technology were developed to detect EV71. The efficacy of detecting
EV71 was compared with regular nested reverse-transcription polymerase chain
reaction (RT-PCR). After detecting 108 clinical specimens, results showed that RT
LAMP can specifically detect EV71, but not Coxsackie virus A16, and exhibited a
specificity of 100% and a sensitivity of 97.1%, which was higher than regular RT
PCR. The findings indicate that RT-LAMP is a practical method for EV71 diagnostic
applications, particularly in small county institutes of medical service. The
detection ability of RT-LAMP was significantly affected by cryopreservation as
the clinical specimens were repeatedly subject to freezing and thawing
treatments.
PMID- 21899977
TI - Clinical laboratory evaluation of Invader(r) chemistry and hybrid capture for
detection of high-risk human papillomavirus in liquid-based cytology specimens.
AB - A laboratory-developed test for high-risk human papillomavirus (HPV) that
utilizes the Invader technology (Hologic, Madison, WI, USA) was compared to
hybrid capture (Digene, Gaithersburg, MD, USA). A total of 342 ThinPrep specimens
were de-identified following cytology screening (10 high-grade squamous
intraepithelial lesions, 14 low-grade squamous intraepithelial lesions, 199
atypical squamous cells of undetermined significance, 119 normal). DNA was
manually extracted prior to interrogation with Invader. Hybrid capture/Invader
discrepancies were subject to HPV sequencing. One sample (0.3%) was indeterminate
by Invader due to low genomic DNA content. Concordance of 341 available tandem
hybrid capture/Invader results occurred at a rate of 91.5%. Differences in HPV
detection rate between the 2 assays were not statistically significant (P =
0.17). A propensity for false-positive hybrid capture result was confirmed by HPV
sequencing in 83% of instances. Manual DNA extraction efficacy did not
statistically differ between cytologic classifications (P >= 0.19). Invader
detection of high-risk HPV is comparably sensitive and more specific than hybrid
capture, providing an alternative for molecular HPV detection.
PMID- 21899978
TI - Identification and characterization of plasmid-borne erm(T) macrolide resistance
in group B and group A Streptococcus.
AB - One hundred and seven group B Streptococcus (GBS) isolates and 344 group A
Streptococcus (GAS) isolates were collected between 2005 and 2009 from 2 area
hospitals and studied for resistance to erythromycin (ERY) and clindamycin (CLI)
and the presence of the erm(T) macrolide resistance gene. The erm(T) gene was
found in 5 (8%) of 61 erythromycin nonsusceptible GBS isolates and in 22 (55%) of
40 erythromycin nonsusceptible GAS isolates. The erm(T) gene in all 27 GBS/GAS
erm(T) gene-positive isolates was located on a plasmid. Three erm(T) gene
positive plasmids were DNA sequenced. Two plasmids (1 each from GBS and GAS
isolates) were both 4967 bp in size, contained the erm(T) gene, and differed by
only 2 base pairs, suggesting interspecies horizontal transfer of the erm(T) gene
containing plasmid. The third (GBS) plasmid was 6825 bp in size and contained
GBSi1, a group II bacterial intron, as well as the erm(T) gene. Pulsed-field gel
electrophoresis of all 27 erm(T) gene containing isolates and a selection of
erm(T) gene-negative isolates indicated possible clonal expansion among erm(T)
gene containing GAS isolates, but not among the 5 erm(T) gene-positive GBS
isolates.
PMID- 21899979
TI - Emergence of carbapenemases in Gram-negative bacteria in Hamburg, Germany.
AB - We analyzed a collection of carbapenem-resistant Gram-negative bacterial isolates
and detected VIM-1, VIM-2, and KPC-2 in diverse enterobacterial species and
Pseudomonas aeruginosa isolates. Our findings suggest a more widespread
dissemination of carbapenemases in Germany than currently appreciated.
PMID- 21899980
TI - Prevalence of beta-lactam nonsusceptible Gram-negative bacilli and use and
interpretation of current susceptibility breakpoints: a survey of infectious
disease physicians.
AB - Beta-lactam-resistant Enterobacteriaceae represent an important public health
problem; however, questions exist about their prevalence and the impact of recent
breakpoint changes on clinical practice. We surveyed infectious disease
physicians to better understand these issues. Many reported encountering
resistant Enterobacteriaceae; respondents generally favored a more conservative
interpretation of antimicrobial susceptibility results.
PMID- 21899981
TI - Further evaluation of the characteristics of Treponema pallidum-specific IgM
antibody in syphilis serofast reaction patients.
AB - Syphilis serofast reaction (SSR) is common in clinical work. From June 2005 to
May 2009, 1208 syphilis patients were chosen for research by the Xiamen Center of
Clinical Laboratory in China. Serologic tests were performed with toluidine red
unheated serum test (TRUST) and Treponema pallidum particle agglutination (TPPA).
Then, T. pallidum-specific IgM antibody (TP-IgM) was detected with fluorescent
treponemal antibody absorption (FTA-Abs) and TPPA. In this study, patients were
divided into the following experimental groups according to the results of TRUST
and TPPA: (1) the SSR group consisted of 411 cases with (+) TRUST and (+) TPPA,
and without clinical manifestations after 1 year of recommended syphilis
treatment; (2) the serum cure group, which was further subdivided into group A
consisting of 251cases with (-) TRUST and (+) TPPA; (3) group B consisting of 546
cases with (-) TRUST and (-) TPPA; and (4) the blood donor control group which
consisted of 100 cases. We demonstrated that a total of 136 cases (33.09%) of 411
SSR patients were TP-IgM positive by TPPA, and this percentage was markedly
higher than that in serum cure group A (9.16%). FTA-Abs analyses revealed similar
results. All samples in serum cure group B and the control group were TP-IgM
negative, which is identical to our previous report. The present study also
indicated that the TP-IgM positive rate was not significantly different among
patients with different ages, genders, and clinical phases after 1 year of
recommended therapy. From the total of 1208 syphilis patients, 289 were randomly
selected for TP-DNA detection by fluorescence quantitative polymerase chain
reaction, and the positive rate of TP-DNA was 32.53%, which was slightly higher
than that of FTA-Abs TP-IgM, and no statistically significant difference by chi
square tests, indicating the TP-DNA result is preferably consistent with FTA-Abs
and supporting our deduction that TP-IgM could be used as a serologic marker for
the relapse and infection of syphilis.
PMID- 21899982
TI - Quality of life after immediate breast reconstruction and skin-sparing mastectomy
- a comparison with patients undergoing breast conserving surgery.
AB - BACKGROUND: Historically breast cancer surgery was associated with significant
psychosocial morbidity and suboptimal cosmetic outcome. Recent emphasis on
women's quality of life following breast cancer treatment has drawn attention to
the importance of aesthetic outcome and potential benefits of immediate breast
reconstruction (IBR). Our primary aim was to assess patient's quality of life
after IBR, compared to a matched group undergoing breast conservation. We also
investigated the oncological safety and morbidity associated with immediate
reconstruction. METHODS: A prospectively collected database of all breast cancer
patients who underwent IBR at a tertiary referral breast unit was reviewed.
Patients were reviewed clinically, and administered two validated quality of life
questionnaires, at least one year after completing their treatment. RESULTS: 255
patients underwent IBR following mastectomy over a 55 month period.
Reconstruction with ipsilateral latissimus dorsi flap was most commonly performed
(88%). After mean follow-up of 36 months, IBR patients' quality of life was
comparable to a group of age-matched women (n = 160) who underwent breast
conserving surgery (p = 0.89). No patient experienced local recurrence (0%),
distant metastases developed in 4.8% and disease related mortality was 2.2%. Post
operative morbidities included wound infection (11.8%), chronic pain (2.0%),
capsular contracture (11%; 36% of whom had radiotherapy) and fat necrosis
(14.1%). No patient experienced flap loss. CONCLUSIONS: IBR is a highly
acceptable form of treatment for women requiring mastectomy. With high rates of
patient satisfaction, low associated morbidity, and proven oncological safety, it
is an appropriate recommendation for all women requiring mastectomy.
PMID- 21899983
TI - An Internet administered treatment program for obsessive-compulsive disorder: a
feasibility study.
AB - The present study evaluates efficacy of a new Internet-administered cognitive
behavioral therapy (CBT) protocol, The OCD Program, designed to treat obsessive
compulsive disorder (OCD) remotely. This protocol comprises 8 online lessons
delivered over 8 weeks and incorporates cognitive and behavioral techniques.
Twenty-two individuals with a principal diagnosis of OCD received CBT-based
online lessons, homework assignments, twice weekly contact from a clinical
psychologist, and automated emails. Eighty-one percent of participants completed
the lessons within the 8-week program. Post-treatment and 3-month follow-up data
were collected from 21/21 (100%) and 19/21 (91%) participants, respectively.
Participants improved significantly on the primary outcome measures, the Yale
Brown Obsessive Compulsive Scale and Obsessive Compulsive Inventory-Revised, with
within-groups effect sizes (Cohen's d) at follow-up of 1.28 and 0.60,
respectively. Participants rated the procedure as highly acceptable despite
receiving an average of only 86min (SD=54.4min) telephone contact with the
therapist over the 8 weeks. These results provide preliminary support for
efficacy of Internet-administered treatment for obsessive-compulsive disorder.
PMID- 21899985
TI - Stochastic state estimation for neural networks with distributed delays and
Markovian jump.
AB - This paper investigates the problem of state estimation for Markovian jump
Hopfield neural networks (MJHNNs) with discrete and distributed delays. The MJHNN
model, whose neuron activation function and nonlinear perturbation of the
measurement equation satisfy sector-bounded conditions, is first considered and
it is more general than those models studied in the literature. An estimator that
guarantees the mean-square exponential stability of the corresponding error state
system is designed. Moreover, a mean-square exponential stability condition for
MJHNNs with delays is presented. The results are dependent upon both discrete and
distributed delays. More importantly, all of the model transformations, cross
terms bounding techniques and free additional matrix variables are avoided in the
derivation, so the results obtained have less conservatism and simpler
formulations than the existing ones. Numerical examples are given which
demonstrate the validity of the theoretical results.
PMID- 21899984
TI - Understanding the relationship between co-occurring PTSD and MDD: symptom
severity and affect.
AB - How to best understand theoretically the nature of the relationship between co
occurring PTSD and MDD (PTSD+MDD) is unclear. In a sample of 173 individuals with
chronic PTSD, we examined whether the data were more consistent with current co
occurring MDD as a separate construct or as a marker of posttraumatic stress
severity, and whether the relationship between PTSD and MDD is a function of
shared symptom clusters and affect components. Results showed that the more
severe depressive symptoms found in PTSD+MDD as compared to PTSD remained after
controlling for PTSD symptom severity. Additionally, depressive symptom severity
significantly predicted co-occurring MDD even when controlling for PTSD severity.
In comparison to PTSD, PTSD+MDD had elevated dysphoria and re-experiencing - but
not avoidance and hyperarousal - PTSD symptom cluster scores, higher levels of
negative affect, and lower levels of positive affect. These findings provide
support for PTSD and MDD as two distinct constructs with overlapping distress
components.
PMID- 21899986
TI - Three-dimensional elliptical reconstruction for stereoscopic magnetic resonance
angiography.
AB - Stereoscopic MRA acquires a pair of blood vessel projections at two different
viewing angles. Previously, we have developed two algorithms to reconstruct 3-D
blood vessels from stereoscopic MRA. The assumption we made was that blood
vessels were tilting circular tubes and the shape of the vessel on every cross
section was an ellipse. Since an ellipse can be represented in either algebraic
form or parametric form, our previous algorithms reconstructed the ellipses by
representing them in these two forms. In this paper, we further improved the
accuracy of our previous algorithms by an order through two enhancements. The
first improvement we made was a better method to estimate the rotation angle of
the major axis of an ellipse. Instead of using the center of two adjacent
ellipses to estimate the rotation angle as in our previous method, the new method
used the projection lengths of the two views to estimate the angle. The second
improvement we made was the equation to describe the relationship between the
major/minor axes and the projection lengths. In our experiments, the average
estimation error for the parametric algorithm was improved from 0.471 pixels to
0.066 pixels. The average error for the algebraic algorithm was improved from
0.101 pixels to 0.014 pixels.
PMID- 21899987
TI - Early seizure termination in ictal asystole.
AB - To evaluate the association between cerebral hypoperfusion and seizure
termination, we compared seizure duration in seven patients with syncopal ictal
asystole (IA), seven with non-syncopal ictal bradycardia, and ten with non
bradycardic seizures. Mean seizure duration was 34.4+/-13 s in IA, 67+/-28.9 s in
ictal bradycardia, and 82.1+/-31.1 in non-bradycardic seizures. These were
significantly different (ANOVA, p<0.02). This suggests cerebral hypoxia-ischemia
favors seizure termination.
PMID- 21899988
TI - Morphometric analysis of subcortical structures in progressive supranuclear
palsy: In vivo evidence of neostriatal and mesencephalic atrophy.
AB - Progressive supranuclear palsy (PSP) is a neurodegenerative disease characterized
by gait and postural disturbance, gaze palsy, apathy, decreased verbal fluency
and dysexecutive symptoms, with some of these clinical features potentially
having origins in degeneration of frontostriatal circuits and the mesencephalon.
This hypothesis was investigated by manual segmentation of the caudate and
putamen on MRI scans, using previously published protocols, in 15 subjects with
PSP and 15 healthy age-matched controls. Midbrain atrophy was assessed by
measurement of mid-sagittal area of the midbrain and pons. Shape analysis of the
caudate and putamen was performed using spherical harmonics (SPHARM-PDM,
University of North Carolina). The sagittal pons area/midbrain area ratio (P/M
ratio) was significantly higher in the PSP group, consistent with previous
findings. Significantly smaller striatal volumes were found in the PSP group -
putamina were 10% smaller and caudate volumes were 17% smaller than in controls
after controlling for age and intracranial volume. Shape analysis revealed
significant shape deflation in PSP in the striatum, compared to controls; with
regionally significant change relevant to frontostriatal and corticostriatal
circuits in the caudate. Thus, in a clinically diagnosed and biomarker-confirmed
cohort with early PSP, we demonstrate that neostriatal volume and shape are
significantly reduced in vivo. The findings suggest a neostriatal and
mesencephalic structural basis for the clinical features of PSP leading to
frontostriatal and mesocortical-striatal circuit disruption.
PMID- 21899989
TI - [Exogenous tooth discoloration in children: black stains].
AB - Black-stains are a coloring frequently met in pediatric dentistry. They can be
medically diagnosed as 1-mm borders or unfinished lines formed by a dark
exogenous substance which follows the gingival festoon of bet coronary (in
cervical third of the crown) temporary teeth and permanent, or they can appear in
like points or dark spots. They are caused by bacteria anaerobic chromogenous.
The dominant responsible species are actinomyces. Blacks-stains are ferrous
depots, formed following a chemical interaction on the surface of the tooth
between sulphide of hydrogen (under the effect of the anaerobic bacteria which
are producing hydrogen) and the iron contained in the saliva (by a healthy diet)
or that released by red blood corpuscles (in case of bloody gums). Black-stains
are a shape of characteristic dental plaque by its flora with trend to calcify.
It contains an insoluble iron salt with a content raised in calcium and in
inorganic phosphor. The coloring Black-stain is a mild pathology and has no
incidence on the vitality of the tooth. Certainly these spots are unsightly. The
dental surgeon in current practice can deprive them. The pediatrician plays a
leading role in the diagnosis and advice to parents and patients affected by
these stains.
PMID- 21899990
TI - Patterns for collaborative work in health care teams.
AB - OBJECTIVE: The problem of designing and managing teams of workers that can
collaborate working together towards common goals is a challenging one.
Incomplete or ambiguous specification of responsibilities and accountabilities,
lack of continuity in teams working in shifts, inefficient organization of teams
due to lack of information about workers' competences and lack of clarity to
determine if the work is delegated or assigned are examples of important problems
related to collaborative work in healthcare teams. Here we address these problems
by specifying goal-based patterns for abstracting the delegation and assignment
of services. The proposed patterns should provide generic and reusable solutions
and be flexible enough to be customizable at run time to the particular context
of execution. Most importantly the patterns should support a mechanism for
detecting abnormal events (exceptions) and for transferring responsibility and
accountability for recovering from exceptions to the appropriate actor. METHOD:
To provide a generic solution to the problematic issues arising from
collaborative work in teams of health workers we start from definitions of
standard terms relevant for team work: competence, responsibility, and
accountability. We make explicit the properties satisfied by service assignment
and delegation in terms of competences, responsibilities, and accountability in
normal scenarios and abnormal situations that require the enactment of recovery
strategies. Based on these definitions we specify (1) a basic terminology, (2)
design patterns for service assignment and delegation (with and without
supervision), and (3) an exception manager for detecting and recovering from
exceptions. We use a formal framework to specify design patterns and exceptions.
RESULTS: We have proved using Owicki-Gries Theory that the proposed patterns
satisfy the properties that characterize service assignment and delegation in
terms of competence, responsibility and accountability in normal and abnormal
(exceptional) scenarios. We show that although abstract, the proposed patterns
can be instantiated in an executable COGENT prototype, and can be mapped into the
Tallis tool that enacts PROforma language specifications of medical guidelines.
CONCLUSIONS: The proposed patterns are generic and abstract enough to capture the
normal and abnormal scenarios of assignment and delegation of tasks in
collaborative work in health care teams.
PMID- 21899991
TI - The role of serum non-cholesterol sterols as surrogate markers of absolute
cholesterol synthesis and absorption.
AB - AIMS: To study the whole-body cholesterol metabolism in man, cholesterol
synthesis and absorption need to be measured. Because of the complicated methods
of the measurements, new approaches were developed including the analysis of
serum non-cholesterol sterols. In current lipidologic papers and even in
intervention studies, serum non-cholesterol sterols are frequently used as
surrogate markers of cholesterol metabolism without any validation to the
absolute metabolic variables. The present review compares serum non-cholesterol
sterols with absolute measurements of cholesterol synthesis and absorption in
published papers to find out whether the serum markers are valid indicators of
cholesterol metabolism in various conditions. DATA SYNTHESIS: During statin
treatment, during interventions of dietary fat, and in type 2 diabetes the
relative and absolute variables of cholesterol synthesis and absorption were
frequently but not constantly correlated with each other. In some occasions,
especially in subjects with apolipoprotein E3/4 and E4/4 phenotypes, the relative
metabolic markers were even more sensitive than the absolute ones to reflect
changes in cholesterol metabolism during dietary interventions. Even in general
population at very high absorption the homeostasis of cholesterol metabolism is
disturbed damaging the validity of the serum markers. CONCLUSIONS: It is worth
using several instead of only one precursor and absorption sterol marker for
making conclusions of altered synthesis or absorption of cholesterol, and even
then the presence of at least some absolute measurement is valuable. During
consumption of plant sterol-enriched diets and in situations of interfered
cholesterol homeostasis the relative markers do not adequately reflect
cholesterol metabolism. Accordingly, the validity of the relative markers of
cholesterol metabolism should not be considered as self-evident.
PMID- 21899992
TI - Hawthorn special extract WS(r) 1442 increases red blood cell NO-formation without
altering red blood cell deformability.
AB - WS((r)) 1442 is a special extract of hawthorn leaves with flowers used for the
treatment of mild cardiac failure. The activation of endothelial nitric oxide
synthase (eNOS) has been shown to contribute to its vasodilating properties.
Quite recently it has been demonstrated that red blood cells (RBCs) express a
functional NO-synthase (rbcNOS) and rbcNOS activation has been associated with
increased RBC deformability. The aim of the present study was to determine
whether WS((r)) 1442 is able to activate rbcNOS, to induce NO-formation in RBC
and to alter RBC-deformability. Blood from healthy volunteers was incubated with
WS((r)) 1442 (25-100 MUg/ml) for up to 30 min. RbcNOS activation was detected by
immunohistochemical staining of phosphorylated rbcNOS and NO-formation was
examined by diaminofluorescein (DAF) fluorescence. RBC deformability was measured
by a laser assisted optical rotational cell analyzer. Serine 1177 of RbcNOS
(rbcNOS Ser(1177)) was time- and concentration-dependently phosphorylated by
WS((r)) 1442. Rates of rbcNOS Ser(1177) phosphorylation were up to 149% higher in
RBCs treated with WS((r)) 1442 in comparison to control (DMSO 0.05%). WS((r))
1442 induced a time-dependent increase in NO-formation in RBCs which reached its
maximum after 5 min. An increase in shear stress (0.3-50 Pa) caused an increase
in RBC deformability. WS((r)) 1442 did not change either basal or maximal RBC
deformability or shear stress sensitivity of RBC at normoxia. CONCLUSION: WS((r))
1442 activates rbcNOS and causes NO-formation in RBCs. WS((r)) 1442-dependent NO
formation however does not affect RBC-deformability at normoxia.
PMID- 21899993
TI - Pharmacokinetic, tissue distribution and excretion of ginsenoside-Rd in rodents.
AB - Ginsenoside-Rd (GS-Rd) is one of the major active components of Panax ginseng,
and was shown to have the protective effects against several insults. However, we
still lack some basic knowledge of GS-Rd, including its pharmacokinetic, tissue
distribution and excretion in vivo in experimental animal, such as mice and rats.
In this study, HPLC and radioactive tracer assays were performed to determine
pharmacokinetic, tissue distribution and excretion of GS-Rd in rodents. After
intravascular administration with 20, 50 or 150 mg/kg GS-Rd, the dynamic changes
of GS-Rd concentrations in plasma were consistent with a two-compartment model
while the concentration of 3H-labeled GS-Rd was rapidly reached the peak in
plasma, and distributed to various tissues, among which the highest concentration
was observed in the lung.
PMID- 21899995
TI - Tanshinone I increases CYP1A2 protein expression and enzyme activity in primary
rat hepatocytes.
AB - This study investigated the effects of Danshen and its active ingredients on the
protein expression and enzymatic activity of CYP1A2 in primary rat hepatocytes.
The ethanolic extract of Danshen roots (containing mainly tanshinones) inhibited
CYP1A2-catalyzed phenacetin O-deethylation (IC(50)=24.6 MUg/ml) in primary rat
hepatocytes while the water extract containing mainly salvianolic acid B and
danshenshu had no effect. Individual tanshinones such as cryptotanshinone,
dihydrotanshinone, tanshinone IIA inhibited the CYP1A2-mediated metabolism with
IC(50) values at 12.9, 17.4 and 31.9 MUM, respectively. After 4-day treatment of
the rat hepatocytes, the ethanolic extract of Danshen and tanshinone I increased
rat CYP1A2 activity by 6.8- and 5.2-fold, respectively, with a concomitant up
regulation of CYP1A2 protein level by 13.5- and 6.5-fold, respectively. CYP1A2
induction correlated with the up-regulation of mRNA level of aryl hydrocarbon
receptor (AhR), which suggested a positive feedback mechanism of tanshinone I
mediated CYP1A2 induction. A formulated Danshen pill (containing mainly danshensu
and salvianolic acid B and the tanshinones) up-regulated CYP1A2 protein
expression and enzyme activity, but danshensu and salvianolic acid B, when used
individually, did not affect CYP1A2 activity. This study was the first report on
the Janus action of the tanshinones on rat CYP1A2 activity.
PMID- 21899994
TI - Danshen-Gegen decoction protects against hypoxia/reoxygenation-induced apoptosis
by inhibiting mitochondrial permeability transition via the redox-sensitive
ERK/Nrf2 and PKCepsilon/mKATP pathways in H9c2 cardiomyocytes.
AB - Danshen-Gegen (DG) Decoction, an herbal formulation containing Radix Salviae
miltiorrhizae and Radix Puerariae lobatae, has been used for the treatment of
coronary artery disease in Chinese medicine. In the present study, the
involvement of ERK- and PKCepsilon-mediated pathways in the cytoprotection
against apoptosis afforded by DG pretreatment was investigated in H9c2
cardiomyocytes. Pretreatment with a methanol extract of aqueous DG decoction
protected against hypoxia/reoxygenation-induced apoptosis in H9c2 cardiomyocytes.
The cytoprotection was associated the enhancement of cellular reduced glutathione
and a reduced sensitivity to Ca(2+)-induced mitochondrial permeability
transition. DG extract increased the production of cytochrome P-450 (CYP)
dependent reactive oxygen species (ROS) in H9c2 cardiomyocytes, which was
accompanied by the concomitant activation of ERK1/2 and PKCepsilon. The DG
induced ERK1/2 activation was followed by the translocation of Nrf2 from the
cytosol to the mitochondria accompanied by an increase in the expression of
glutathione-related antioxidant proteins. In addition, the increased expression
of hemeoxygenase-1 was associated with the activation of Akt and BAD, indicative
of anti-apoptotic activity. In conclusion, DG treatment activated both ERK/Nrf2
and PKCepsilon pathways, presumably by ROS arising from CYP-catalyzed processes,
with resultant inhibition of hypoxia/reoxygenation-induced apoptosis immediately
after DG treatment or even after an extended time interval following DG
treatment.
PMID- 21899996
TI - In vitro anti-influenza virus activity of a cardiotonic glycoside from Adenium
obesum (Forssk.).
AB - Methanolic extracts of six Saudi plants were screened for their in vitro
antiviral activity using influenza virus A/PR/8/34 (H1N1) and MDCK cells in an
MTT assay. The results indicated that the extracts of Adeniumobesum and
Tephorosianubica possessed antiviral activity (99.3 and 93.3% inhibition at the
concentration of 10 MUg/ml, respectively). Based on these results A. obesum was
selected for further study by applying bioactivity-guided fractionation to
isolate its antiviral principle. The antiviral principle was isolated from the
chloroform fraction through solvent fractionation, combined open liquid
chromatography and HPLC. The isolated active compound A was identified as
oleandrigenin-beta-D-glucosyl (1->4)-beta-D-digitalose, on the basis of its
spectral analysis (MS, 1D and 2D NMR). The isolated glycoside showed reduction of
virus titre by 69.3% inhibition at concentration of 1 MUg/ml (IC(50)=0.86
MUg/ml).
PMID- 21899998
TI - Inflammatory activity assessment by F18 FDG-PET/CT in persistent symptomatic
sarcoidosis.
AB - BACKGROUND: Establishing inflammatory activity in sarcoidosis patients with
persistent disabling symptoms is important. Whole body F(18)-FDG PET/CT (PET)
appeared to be a sensitive method to detect inflammatory activity in newly
diagnosed symptomatic sarcoidosis. The aim was to assess the presence of
inflammatory activity using PET in sarcoidosis patients with unexplained
persistent disabling symptoms and the association between PET findings and
serological inflammatory markers. METHODS: Sarcoidosis patients who underwent a
PET between June 2005 and June 2010 (n = 89), were retrospectively included. All
PET scans were examined and positive findings were classified as thoracic and/or
extrathoracic. As serological markers of inflammatory activity angiotensin
converting enzyme (ACE), soluble interleukin-2 receptor (sIL-2R), and neopterin
were considered. RESULTS: In 65/89 (73%) of the studied patients PET was
positive, 52 of them (80%) had serological signs of inflammatory activity. In
14/15 patients with a Chest X-ray stage IV PET was positive. In 80% of the PET
positive patients extrathoracic inflammatory activity was found. Sensitivity of
combined serological inflammatory markers for the presence of inflammatory
activity as detected by PET was 80%, specificity 100%, positive predictive value
100%, negative predictive value 65%. CONCLUSIONS: The majority of sarcoidosis
patients with persistent disabling symptoms, even those with radiological stage
IV, had PET positive findings with remarkably 80% extrathoracic lesions. In 20%
PET was positive without signs of serological inflammatory activity. PET appeared
to be of additional value to assess inflammatory activity in patients with
persistent symptoms in the absence of signs of serological inflammatory activity
and to detect extrathoracic lesions.
PMID- 21899997
TI - The many faces of Th17 cells.
AB - Th17 cells have been shown to be strong inducers of tissue inflammation and
autoimmune diseases. However, not all Th17 cells are pathogenic and increasing
data suggest that Th17 cells may come in different flavors. Thus, Th17 cells
cannot be described using a narrow schematic, but instead Th17 cells comprise a
wide spectrum with a range of effector phenotypes. Here, we review the key
factors that generate such diversity, as well as the cytokines and transcription
factors that are differentially expressed in pathogenic and nonpathogenic Th17
cells. This new knowledge can be used to identify molecules that make Th17 cells
pathogenic and determine how these cells could be targeted to suppress autoimmune
diseases.
PMID- 21899999
TI - Are pharmacists reducing COPD'S impact through smoking cessation and assessing
inhaled steroid use?
AB - BACKGROUND: The National Institute for Health and Clinical Excellence (NICE) COPD
2004 guidelines recommend: * COPD patients who smoke should be encouraged to stop
at every opportunity; * Inhaled corticosteroid should be used only among patients
with moderate to severe COPD; * Pharmacists should identify smokers and provide
smoking cessation advice. The community pharmacy contract requires pharmacists to
review patients' medications, creating an opportunity for reviewing the
prescribing of inhaled corticosteroids in COPD. The survey explored the degree to
which community pharmacists in North West England identify and provide advice to
smokers and assess prescribed inhaled corticosteroids among COPD patients.
METHODS: A self-completion questionnaire was sent to 2080 community pharmacists
from the 2005 pharmacist census database. RESULTS: Of the 1051 (50.5%)
respondants, 37.1% mentioned COPD as a risk from smoking most or every time and
54.5% sometimes or rarely, and 19.6% routinely asked about smoking status when
dispensing COPD medication. Pharmacists with more than 20 years experience were
more likely to have read the Guideline compared to pharmacists with 10 years or
less (OR: 1.54; 95% CI: 1.13 to 2.10). Pharmacists who had read the NICE
Guideline (46.8%) were around twice as likely to mention COPD as a risk of
smoking, ask about COPD if inhaled corticosteroids were dispensed and ask about
smoking routinely if COPD medication was dispensed. (p<0.005). CONCLUSION: The
NICE guidelines on COPD encourage community pharmacists to carry out smoking
cessation and educational interventions, but further support is needed.
PMID- 21900000
TI - Pulmonary alveolar proteinosis: new insights from a single-center cohort of 70
patients.
AB - BACKGROUND: Pulmonary alveolar proteinosis (PAP) is a rare syndrome characterized
by the intra-alveolar accumulation of surfactant lipids and proteins. The aim of
the study is to describe the epidemiologic, clinical, physiologic, and laboratory
features of PAP in a large single-center cohort of patients with PAP. STUDY
POPULATION: Over 30 years, 70 patients with PAP were managed at our institution,
64 with primary and 6 with secondary PAP. RESULTS: The mean age at diagnosis was
43 years with a male to female ratio of 1.3. BAL was the most commonly applied
diagnostic method, performed in 83% of cases. A history of smoking was seen in
79%, and of dust exposure in 54%, most commonly to aluminum, silica and sawdust.
GM-CSF autoantibody correlated with clinical outcome and KL-6 with diffusing
capacity. The number of whole lung lavages (WLL) necessary to reach remission was
higher in current smokers. CONCLUSIONS: This study shows that the use of BAL for
the diagnosis of PAP can reduce the need of histological confirmation. A history
of dust or fume inhalation is strongly associated with PAP, also with the
autoimmune form. Smoking seems to influence the response to treatment, increasing
the number of WLL necessary to reach remission.
PMID- 21900001
TI - Probing biomolecular interaction forces using an anharmonic acoustic technique
for selective detection of bacterial spores.
AB - Receptor-based detection of pathogens often suffers from non-specific
interactions, and as most detection techniques cannot distinguish between
affinities of interactions, false positive responses remain a plaguing reality.
Here, we report an anharmonic acoustic based method of detection that addresses
the inherent weakness of current ligand dependant assays. Spores of Bacillus
subtilis (Bacillus anthracis simulant) were immobilized on a thickness-shear mode
AT-cut quartz crystal functionalized with anti-spore antibody and the sensor was
driven by a pure sinusoidal oscillation at increasing amplitude. Biomolecular
interaction forces between the coupled spores and the accelerating surface caused
a nonlinear modulation of the acoustic response of the crystal. In particular,
the deviation in the third harmonic of the transduced electrical response versus
oscillation amplitude of the sensor (signal) was found to be significant. Signals
from the specifically-bound spores were clearly distinguishable in shape from
those of the physisorbed streptavidin-coated polystyrene microbeads. The
analytical model presented here enables estimation of the biomolecular
interaction forces from the measured response. Thus, probing biomolecular
interaction forces using the described technique can quantitatively detect
pathogens and distinguish specific from non-specific interactions, with potential
applicability to rapid point-of-care detection. This also serves as a potential
tool for rapid force-spectroscopy, affinity-based biomolecular screening and
mapping of molecular interaction networks.
PMID- 21900002
TI - Colorimetric detection of platelet-derived growth factors through competitive
interactions between proteins and functional gold nanoparticles.
AB - We have developed a colorimetric assay-using aptamer modified 13-nm gold
nanoparticles (Apt-Au NPs) and fibrinogen adsorbed Au NPs (Fib-Au NPs, 56nm)-for
the highly selective and sensitive detection of platelet-derived growth factors
(PDGF). Apt-Au NPs and Fib-Au NPs act as recognition and reporting units,
respectively. PDGF-binding-aptamer (Apt(PDGF)) and 29-base-long thrombin-binding
aptamer (Apt(thr29)) are conjugated with Au NPs to prepare functional Apt-Au NPs
(Apt(PDGF)/Apt(thr29)-Au NPs) for specific interaction with PDGF and thrombin,
respectively. Thrombin interacts with Fib-Au NPs in solutions to catalyze the
formation of insoluble fibrillar fibrin-Au NPs agglutinates through the
polymerization of the unconjugated and conjugated fibrinogen. The activity of
thrombin is suppressed once it interacts with the Apt(PDGF)/Apt(thr29)-Au NPs.
The suppression decreases due to steric effects through the specific interaction
of PDGF with Apt(PDGF), occurring on the surfaces of Apt(PDGF)/Apt(thr29)-Au NPs.
Under optimal conditions [Apt(PDGF)/Apt(thr29)-Au NPs (25pM), thrombin (400pM)
and Fib-Au NPs (30pM)], the Apt(PDGF)/Apt(thr29)-Au NPs/Fib-Au NPs probe responds
linearly to PDGF over the concentration range of 0.5-20nM with a correlation
coefficient of 0.96. The limit of detection (LOD, signal-to-noise ratio=3) for
each of the three PDGF isoforms is 0.3nM in the presence of bovine serum albumin
at 100MUM. When using the Apt(PDGF)/Apt(thr29)-Au NPs as selectors for the
enrichment of PDGF and for the removal of interferences from cell media, the LOD
for PDGF provided by this probe is 35pM. The present probe reveals that the
concentration of PDGF in the three cell media is 230 (+/-20)pM, showing its
advantages of simplicity, sensitivity, and specificity.
PMID- 21900003
TI - Multiwalled carbon nanotubes dispersed in carminic acid for the development of
catalase based biosensor for selective amperometric determination of H(2)O(2) and
iodate.
AB - We report the preparation of stable dispersion of multiwalled carbon nanotubes
(MWCNTs) using carminic acid (CA) as a dispersing agent. The transmission
electron microscopy (TEM), scanning electron microscopy (SEM), and atomic force
microscopy (AFM) results confirmed that MWCNT is well dispersed in CA aqueous
solution and CA has been well adsorbed at MWCNT walls. Fourier transform infrared
(FTIR) and UV-vis absorption spectra results also confirmed the adsorption of CA
at MWCNT. To develop a highly selective amperometric biosensor for H(2)O(2) and
iodate, the model enzyme catalase (CAT) was immobilized at CACNT modified glassy
carbon electrode surface. The immobilized CAT exhibits well defined quasi
reversible redox peaks at a formal potential (E degrees ') of -0.559V in 0.05M pH
7 phosphate buffer solution (PBS). The proposed CAT/CACNT biosensor exhibits
excellent amperometric response towards H(2)O(2) and iodate in the linear
concentration range between 10MUM to 3.2mM and 0.01-2.16mM. The sensitivity
values are 287.98MUAmM(-1)cm(-2) and 0.253mAmM(-1)cm(-2), respectively. Moreover,
the developed CAT biosensor exhibits high affinity for H(2)O(2) and iodate with
good selectivity.
PMID- 21900004
TI - Evolution of the Achilles tendon: The athlete's Achilles heel?
AB - The Achilles tendon is believed to have first developed two million years ago
enabling humans to run twice as fast. However if the Achilles tendon is so
important in terms of evolution, then why is this tendon so prone to injury -
especially for those more active like athletes. The Achilles tendon had an
integral role in evolving apes from a herbivorous diet to early humans who
started hunting for food over longer distances, resulting in bipedal locomotion.
Evolutionary advantages of the Achilles tendon includes it being the strongest
tendon in the body, having an energy-saving mechanism for fast locomotion, allows
humans to jump and run, and additionally is a spring and shock absorber during
gait. Considering these benefits it is therefore not surprising that studies have
shown athletes have thicker Achilles tendons than subjects who are less active.
However, contradictory to these findings that show the importance of the Achilles
tendon for athletes, it is well known that obtaining an Achilles tendon injury
for an athlete can be career-altering. A disadvantage of the Achilles tendon is
that the aetiology of its pathology is complicated. Achilles tendon ruptures are
believed to be caused by overloading the tensed tendon, like during sports.
However studies have also shown athlete Achilles tendon ruptures to have
degenerative changes in the tendon. Other flaws of the Achilles tendon are its
non-uniform vascularity and incomplete repair system which may suggest the
Achilles tendon is on the edge of evolution. Research has shown that there is a
genetic influence on the predisposition a person has towards Achilles tendon
injuries. So if this tendon is here to stay in our anatomy, and it probably is
due to the slow rate of evolution in humans, research in genetic modification
could be used to decrease athletes' predisposition to Achilles tendinopathy.
PMID- 21900005
TI - Carcinoma cuniculatum of foot.
PMID- 21900006
TI - Seasonal variation in Laminaria digitata and its impact on biochemical conversion
routes to biofuels.
AB - Laminaria digitata is a highly prevalent kelp growing off the coast of the UK but
has rarely been considered as a source of biomass to date. This study shows it
can be used as a feedstock in both ethanol fermentation and anaerobic digestion
for methane production. The study optimised several parameters in the
fermentation of L. digitata and investigated the suitability of the macroalgae
through the year using samples harvested every month. For both methane and
ethanol production, minimum yields were seen in material harvested in March when
the carbohydrates laminarin and mannitol were lowest. July material contained the
highest combined laminarin and mannitol content and maximum yields of 167 mL
ethanol and 0.219 m(3) kg(-1)L. digitata.
PMID- 21900007
TI - Baeyer-Villiger monooxygenases in aroma compound synthesis.
AB - Baeyer-Villiger monooxygenases (BVMOs) are presented as highly selective and
efficient biocatalysts for the synthesis of aroma lactones via kinetic resolution
of 2-substituted cycloketones, exemplified with two delta-valerolactones, the
jasmine lactones and their epsilon-caprolactone homologs. Analytical scale
screens of our BVMO library ensued by preparative whole-cell biotransformations
led to the identification of two enzymes (cyclohexanone monooxygenase from
Arthrobacter BP2 and cyclododecanone monooxygenase from Rhodococcus SC1)
perfectly suited for the task at hand: easily accessible racemic starting
materials were bio-oxidized to almost enantiopure ketones and lactones in good
yields (48-74%) and optical purities (ee 93% to >99%, E>100).
PMID- 21900008
TI - Re-evaluating the role of axillary lymph node dissection in screen-detected
breast cancer patients.
AB - INTRODUCTION: The American College of Surgeons Oncology Group (ACOSOG), Z0011
trial, demonstrated that there was no therapeutic benefit from completion
lymphadenectomy in early stage breast cancer patients with positive sentinel node
(SLN) biopsy. Patients with asymptomatic screen-detected tumors may represent a
subgroup where completion axillary dissection with its attendant morbidities is
unacceptable. Therefore, the aim of this study was to evaluate the role of ALND
in an asymptomatic screen-detected breast cancer cohort. METHODS: Patients were
recruited from the national screening program which offers women (aged 50 to 65)
biannual digital mammography. Over a 1 year period 519 screen-detected breast
cancer patients were recruited of which 110 had a positive SLN. RESULTS: Of 519
patients in a national screening program that were clinically/radiologically
identified as axillary node negative, 110 (21.2%) had a positive SLN. All 110 (T1
= 68, T2 = 42) patients proceeded to have an axillary clearance. 68 (59%) had T1
tumors and of these 40 (60%), despite a positive SLN, had no metastatic nodes on
final pathological analysis of their axilla. In addition, 21 (50%) patients with
T2 tumors had no metastatic nodes except for a positive SNB. Furthermore, only 6
(8.8%) of T1 tumors with a positive SLN had 4 or more metastatic axillary lymph
nodes. CONCLUSION: 55.45% of patients with a screen-detected T1/T2 tumor had
negative completion lymphadectomy. These findings compel us to re-evaluate the
role of axillary dissection in the screen-detected asymptomatic breast cancer
population to avoid unnecessary ALND with its attendant morbidities.
PMID- 21900009
TI - The ER in 3D: a multifunctional dynamic membrane network.
AB - The endoplasmic reticulum (ER) is a large, singular, membrane-bound organelle
that has an elaborate 3D structure with a diversity of structural domains. It
contains regions that are flat and cisternal, ones that are highly curved and
tubular, and others adapted to form contacts with nearly every other organelle
and with the plasma membrane. The 3D structure of the ER is determined by both
integral ER membrane proteins and by interactions with the cytoskeleton. In this
review, we describe some of the factors that are known to regulate ER structure
and discuss how this structural organization and the dynamic nature of the ER
membrane network allow it to perform its many different functions.
PMID- 21900010
TI - Compartmentalization of the nucleus.
AB - The nucleus is a spatially organized compartment. The most obvious way in which
this is achieved is at the level of chromosomes. The positioning of chromosomes
with respect to nuclear landmarks and with respect to each other is both non
random and cell-type specific. This suggests that cells possess molecular
mechanisms to influence the folding and disposition of chromosomes within the
nucleus. The localization of many proteins is also heterogeneous within the
nucleus. Therefore, chromosome folding and the localization of proteins leads to
a model in which individual genes are positioned in distinct protein environments
that can affect their transcriptional state. We focus here on the spatial
organization of the nucleus and how it impacts upon gene expression.
PMID- 21900011
TI - Impact of stroke on anterior-posterior force generation prior to seat-off during
sit-to-walk.
AB - Force generation during sit-to-walk (STW) post-stroke is a poorly studied area,
although STW is a common daily transfer giving rise to a risk of falling in
persons with disability. The purpose of this study was to describe and compare
strategies for anterior-posterior (AP) force generation prior to seat-off during
the STW transfer in both subjects with stroke and in matched controls. During STW
at self-selected speed, AP force data were collected by 4 force plates, beneath
the buttocks and feet from eight subjects with stroke (>6 months after onset) and
8 matched controls. Subjects with post-stroke hemiparesis and matched controls
generated a similar magnitude of total AP force impulses (F(1,71)=0.67; p=0.42)
beneath buttocks and feet prior to seat-off during STW. However, there were
significant group differences in AP force impulse generation beneath the stance
buttock (i.e. the non-paretic buttock in the stroke group), with longer duration
(F(1,71)=8.78; p<0.005), larger net AP impulse (F(1,71)=6.76; p<0.05) and larger
braking impulse (F(1,71)=7.24; p<0.05) in the stroke group. The total braking
impulse beneath buttocks and feet was about 4.5 times larger in the stroke group
than in the control group (F(1,71)=8.84; p<0.005). An intra- and inter-limb dys
coordination with substantial use of braking impulses was demonstrated in the
stroke group. This motor strategy differed markedly from the smooth force
interaction in the control group. These results might be important in the
development of treatment models related to locomotion post-stroke.
PMID- 21900012
TI - Recurrence quantification analysis of gait in normal and hypovestibular subjects.
AB - The study of postural control processes during locomotion may provide useful
outcome measures of stability for people with unilateral vestibular hypofunction
(UVH). Since nonlinear analysis techniques can characterize complex behaviour of
a system, this may highlight mechanisms underlying dynamic stability in
locomotion, although only few efforts have been made. In particular, there have
been no studies that use recurrence quantification analysis (RQA), which can be
applied even to short and non-stationary data. The purpose of this study was to
develop a new method for walking balance assessment measuring the complexity of
head, trunk and pelvis three-dimensional accelerations and angular velocities
during normal overground locomotion by means of RQA in normal subjects and UVH
patients. The results showed differential effect of upper body parts on pattern
regularity, with better head than pelvis stabilization in both groups of
subjects. The RQA outputs such as percent determinism and recurrence were
nevertheless significantly lower in the UVH group for all measures, suggesting
that body accelerations and angular velocities, although not significantly
different in amplitude, were more chaotic in patients. The observed lower
regularity of upper body movements in UVH is consistent with an important role of
the vestibular system in controlling dynamic stability during walking. The
findings suggest that RQA can be used as a quantitative tool to assess walking
performance and rehabilitation outcome in patients with different balance
disorders.
PMID- 21900014
TI - Ultra-structure and histochemistry of digestive cells of Podisus nigrispinus
(Hemiptera: Pentatomidae) fed with prey reared on bt-cotton.
AB - The toxic effects of Bt proteins from the body of the prey/host to predators and
parasitoids have been investigated as a route of impact on non-target insects of
Bt crops. This research aimed to analyze ultrastructural changes and
histochemistry in digestive cells of the middle region of the midgut of Podisus
nigrispinus fed, since its second instar, with Spodoptera frugiperda reared on Bt
cotton variety Acala90 B, which expresses the toxin Cry 1Ac, and its non-Bt
isoline Acala 90. Fragments of the midgut of P. nigrispinus were analyzed by
electron microscopy. For the histochemical analysis, Bromophenol Blue, Periodic
Acid Schiff von Kossa, Alcian Blue pH 2.5 and Sudan Black were used. The Cry1Ac
toxin of Bt cotton ingested by S. frugiperda promotes a disorganization in the
perimicrovillar matrix of P. nigrispinus (third trophic level), thus generating
ultrastructural changes in the digestive cells, as elongation of microvilli,
presence of spherocrystals and granules of different electron densities, in
addition to altering the distribution pattern of glycogen, lipids and calcium of
these cells in the median region of the midgut. Thus, we conclude that species
moderately susceptible to Cry1Ac toxin, such as S. frugiperda, can acquire this
toxin and expose it to P. nigrispinus, which can interfere with your ability to
predation.
PMID- 21900013
TI - New aporphinoid 5-HT2A and alpha1A antagonists via structural manipulations of
nantenine.
AB - A series of C1, C2, C3 and N6 analogs of nantenine (2) was synthesized and
evaluated in 5-HT(2A) and alpha(1A) receptor functional assays. Alkyl
substitution of the C1 and N6 methyl groups of nantenine provided selective 5
HT(2A) and alpha(1A) antagonists, respectively. The C2 alkyloxy analogs studied
were generally selective for alpha(1A) versus 5-HT(2A). The C3 bromo analog 15 is
one of the most potent aporphinoid 5-HT(2A) antagonists known presently.
PMID- 21900015
TI - Liquid scintillation based quantitative measurement of dual radioisotopes (3H and
45Ca) in biological samples for bone remodeling studies.
AB - Acute and prolonged bone complications associated with radiation and chemotherapy
in cancer survivors underscore the importance of establishing a laboratory-based
complementary dual-isotope tool to evaluate short- as well as long-term bone
remodeling in an in vivo model. To address this need, a liquid scintillation dual
label method was investigated using different scintillation cocktails for
quantitative measurement of (3)H-tetracycline ((3)H-TC) and (45)Ca as markers of
bone turnover in mice. Individual samples were prepared over a wide range of
known (45)Ca/(3)H activity ratios. Results showed that (45)Ca/(3)H activity
ratios determined experimentally by the dual-label method were comparable to the
known activity ratios (percentage difference ~2%), but large variations were
found in samples with (45)Ca/(3)H activity ratios in range of 2-10 (percentage
difference ~20-30%). Urine and fecal samples from mice administered with both
(3)H-TC and (45)Ca were analyzed with the dual-label method. Positive
correlations between (3)H and (45)Ca in urine (R=0.93) and feces (R=0.83)
indicate that (3)H-TC and (45)Ca can be interchangeably used to monitor
longitudinal in vivo skeletal remodeling.
PMID- 21900016
TI - Feasibility study for production of I-131 radioisotope using MNSR research
reactor.
AB - A feasibility study for (131)I production using a Low Power Research Reactor was
conducted to predict the yield of (131)I by cyclic activation technique. A
maximum activity of 5.1GBq was achieved through simulation using FORTRAN 90, for
an irradiation of 6h. But experimentally only 4h irradiation could be done, which
resulted in an activity of 4.0*10(5)Bq. The discrepancy in the activities was due
to the fact that beta decays released during the process could not be considered.
PMID- 21900017
TI - Does lung ischemia and reperfusion have an impact on coronary flow? A
quantitative coronary blood-flow analysis with inflammatory cytokine profile.
AB - OBJECTIVE: Ischemia-reperfusion (IR) injury remains a major cause of early
morbidity and mortality after lung transplantation with poorly documented
extrapulmonary repercussions. To determine the hemodynamic effect due to lung IR
injury, we performed a quantitative coronary blood-flow analysis in a swine model
of in situ lung ischemia and reperfusion. METHODS: In 14 healthy pigs, blood flow
was measured in the ascending aorta, left anterior descending (LAD), circumflex
(Cx), right coronary artery (RCA), right common carotid artery (RCCA), and left
internal mammary artery (LIMA), along with left-and right-ventricular pressures
(LVP and RVP), aortic pressure (AoP), and pulmonary artery pressure (PAP).
Cardiac Troponin (cTn), interleukin 6 and 10 (IL-6 and IL-10), and tumor necrosis
factor A (TNF-A) were measured in coronary sinus blood samples. The experimental
(IR) group (n=10) underwent 60 min of lung ischemia followed by 60 min of
reperfusion by clamping and releasing the left pulmonary hilum. Simultaneous
measurements of all parameters were made at baseline and during IR. The control
group (n=4) had similar measurements without lung IR. RESULTS: In the IR group,
total coronary flow (TCF=LAD+Cx+RCA blood-flow) decreased precipitously and
significantly from baseline (113+/-41 ml min"1) during IR (p<0.05), with the
lowest value observed at 60 min of reperfusion (-37.1%, p<0.003). Baseline cTn
(0.08+/-0.02 ng ml(-1)) increased during IR and peaked at 45 min of reperfusion
(+138%, p<0.001). Baseline IL-6 (9.2+/-2.17 pg ml(-1)) increased during IR and
peaked at 60 min of reperfusion (+228%, p<0.0001). Significant LVP drop at 5 min
of ischemia (p<0.05) was followed by a slow return to baseline at 45 min of
ischemia. A second LVP drop occurred at reperfusion (p<0.05) and persisted.
Conversely, RVP increased throughout ischemia (p<0.05) and returned toward
baseline during reperfusion. Coronary blood flow and hemodynamic profile remained
unchanged in the control group. IL-10 and TNF-A remained below the measurable
range for both the groups. CONCLUSIONS: In situ lung IR has a marked negative
impact on coronary blood flow, hemodynamics, and inflammatory profile. In
addition, to the best of our knowledge, this is the first study where coronary
blood flow is directly measured during lung IR, revealing the associated
increased cardiac risk.
PMID- 21900018
TI - Placement of Alfieri's suture.
PMID- 21900019
TI - Reappraisal of a 20-year experience with the radial artery as a conduit for
coronary bypass grafting.
AB - OBJECTIVE: This study aimed at evaluating the clinical and angiographic results
of the radial artery (RA) used as a coronary bypass graft over 20 years. METHODS:
Clinical follow-up was obtained in 563 patients at 9.2 years. Angiographic follow
up was obtained in 351 patients with opacification of 1427 conduits, including
629 RA at 7.0 years. RESULTS: At 9.2 years, freedom from overall and
cardiovascular death was 80.3% and 92.7%, respectively. Symptoms were: acute
myocardial infarction: 2.1% (n=12); angina: 17.4% (n=98), and congestive heart
failure 10.6% (n=60). Percutaneous revascularization was required in 13.5% (n=76)
of cases on: native coronary (n=77), RA conduit (n=21), and other graft (n=7).
Reoperation was needed in 2.3% (n=13) of cases for valve replacement (n=10) and
redo coronary artery bypass grafting (CABG) (n=3). At 7.0 years, RA patency was
82.8% (521/629) and was lower than that of left internal mammary artery (IMA),
95.5% (491/514) (p<0.001); similar to right IMA, 87.9% (51/58, p=0.32); free IMA,
80.0% (44/55, p=0.60); and vein, 81.9% (140/171, p=0.77). RA patency was lower in
the case of myocardial ischemia: 74.0% (174/235) versus 88.1% (347/394) in
asymptomatics (p<0.001). RA patency was higher for diagonal (93.1% (95/102))
compared to circumflex (82.5% (274/332, p<0.01)) and right coronary (77.6%
(146/188, p<0.001)). Calcium channel blockers had no impact on RA patency.
Separating four groups at successive follow-up intervals, RA patency was: 86.2%,
81.9%, 81.4%, and 81.6% at 1.0, 5.4, 8.3, and 13.1 years, respectively.
CONCLUSION: CABG with the RA offered long-lasting clinical benefit. Beyond the
first postoperative year during which some attrition was observed, RA patency was
remarkably stable for up to 20 years.
PMID- 21900021
TI - Tackling reperfusion injury after cardiopulmonary bypass with
tetrahydrobiopterin: new therapeutic potentials for this phenylketonuria drug?
PMID- 21900022
TI - Extracorporeal membrane oxygenation support improves survival of patients with
Hantavirus cardiopulmonary syndrome refractory to medical treatment.
AB - OBJECTIVE: The aim of the study was to evaluate the outcome of extracorporeal
membrane oxygenation (ECMO) support in Hantavirus cardiopulmonary syndrome (HCPS)
patients with a predicted mortality of 100%, and the complications associated
with this treatment modality and different cannulation techniques. METHODS: A
total of 51 patients with refractory HCPS were supported with ECMO between April
1994 and June 2010. They were divided into group A consisting of the 26 patients
treated between 1994 and 2000 and group B consisting of 25 patients treated
between 2003 and 2010. No patients were treated between September 2000 and
December 2003. Patients in group A were intubated when they became hypoxic and
placed on ECMO when they became hemodynamically unstable, whereas patients in
group B had elective insertion of vascular sheaths and were almost concurrently
intubated and placed on ECMO when they decompensated. Cannulation of the femoral
vessels was performed percutaneously in 18 (35.3%) patients and with an open
technique in 33 (64.7%) patients. RESULTS: Complications from percutaneous
cannulation occurred in 4/18 (22.27%) patients: retroperitoneal hematoma in 2/18
(11.1%) and lower-extremity ischemia in 2/18 (11.1%) patients. Complications from
open femoral cannulation occurred in 12/33 (36.3%) patients: bleeding in 10/33
(30.3%) patients and ischemia in 2/33 (6.1%) patients. The overall survival was
66.6% (34 of 51 patients); 56% (14/26) for group A and 80% (20/25) for group B (p
= 0.048). There was no difference in mortality regarding the method of
cannulation. A trend toward increased mortality in patients with cannulation
complications was recognized, but it was not statistically significant. Mortality
was not associated with ECMO duration (average 121.7h, range: 5-276h). All
survivors recovered and were discharged from the hospital after a mean hospital
stay of 19.8 days (range: 10-39 days). CONCLUSIONS: Two-thirds of 51 HCPS
patients with a predicted mortality of 100%, who were supported with ECMO,
survived and recovered completely. Survival was significantly higher in the
second half of the study. Complications associated with both types of femoral
cannulation were associated with a trend toward decreased survival, which was not
significant.
PMID- 21900024
TI - Health care reform: what does it mean for people living with HIV infection?
PMID- 21900023
TI - Is it safe to include octogenarians at the start of a video-assisted thoracic
surgery lobectomy programme?
AB - OBJECTIVE: The study aimed to investigate the safety of including patients >= 80
years of age at the start of a video-assisted thoracic surgery major pulmonary
resection (VMPR) programme. METHODS: Patients were considered for VMPR if the
computed tomography/positron emission tomography (CT/PET) was suggestive of T1-3,
N0-1 and M0 lesion. Age was not a criterion for exclusion at the very start of
the programme. Data were collected prospectively and comparison made between two
groups, (A) <80 years of age and (B) >= 80 years, in terms of preoperative risk
factors, oncological and functional data, operative results, postoperative
complications and survival. RESULTS: Between April 2005 and January 2011, 200
consecutive patients were considered for VMPR. A total of 160 had non-small-cell
lung cancer, of whom 136 were in group A, with a median age of 66.5 (range: 42.8
79.4 years) and 24 in group B with a median age of 82 (range: 80-85.5 years). In
group B, 13 were men and 11 were women. Rate of conversion to thoracotomy was
similar (3 (12.5%) in group B vs 17 (12.5%) in group A, p = 0.65), and so was the
mean hospital stay (5.8 +/- 3.3 days in group B vs 5.9 +/- 4.6 days in group A, p
= 0.899). Admission to intensive care unit and atrial fibrillation were
significantly higher in octogenarians (six (25%) and six (25%) in group B vs
eight (5.9%) and nine (6.6%) in group A, p = 0.008 and p = 0.012, respectively).
There was significantly less mean days of air leak in octogenarians (0.06 +/- 0.3
days in group B vs 2.8 +/- 5.6 days in group A, p = 0.000). Otherwise, there were
no age-related differences in relation to morbidity, mortality and the 3-year
survival rate. CONCLUSION: Octogenarians undergoing VMPR have a higher incidence
of atrial fibrillation and admission to the intensive care unit for
cardiopulmonary support but otherwise are no different from younger age groups
when it comes to rate of conversion to thoracotomy, hospital stay, morbidity and
mortality. Age should not be an excuse to deny the elderly curative VATS
resection. In our experience, accepting octogenarians early in the VMPR programme
did not compromise the outcome results.
PMID- 21900025
TI - Social and family characteristics of Hispanics with epilepsy.
AB - The purpose of this study is to determine how acculturation, social support,
family emotional involvement, perceived family criticism and stigma are
associated with epilepsy self-efficacy and depression. A principal components
analysis (PCA) was used to describe the salience of these characteristics within
a sample of Hispanics with epilepsy. A total of 50 Hispanic adults of Mexican
descent identified in our Epilepsy Clinic participated in this study. The PCA
identified four distinct types, two were relatively culture-free, and two were
distinctly culturally oriented. The first non-culture affiliated type described a
well-adjusted group of individuals that tended to be males with moderate self
efficacy, who received social support, and who were unlikely to have depression
or feel stigmatized. The second non-culture affiliated type described a dimension
in which family emotional involvement tended to co-occur with perceived
criticism. The Anglo-oriented group had a family environment that did not appear
to criticize the individual with epilepsy and had good self-efficacy. The Mexican
oriented group had high self-efficacy and was unlikely to have depression.
Results suggest that acculturation variables must be taken into consideration
among ethnic groups because social, psychological and acculturation variables
interact in complex ways. Additionally, it is clear that a diagnosis of epilepsy
does not automatically lead to poor quality of life, stigma, or depression.
PMID- 21900026
TI - Enhancement of antibacterial activity of tilmicosin against Staphylococcus aureus
by solid lipid nanoparticles in vitro and in vivo.
AB - This study aimed to enhance the antibacterial activity of tilmicosin by solid
lipid nanoparticles (SLN). Tilmicosin-loaded hydrogenated castor oil (HCO)-SLN
was prepared using a hot homogenisation and ultrasonication method. The
physicochemical characteristics of SLN were investigated by scanning electron
microscopy (SEM) and photon correlation spectroscopy (PCS). The antibacterial
activity of tilmicosin-SLN against Staphylococcus aureus was evaluated by growth
inhibition and colony-counting method. A therapeutic study of tilmicosin-SLN was
conducted by subcutaneous injection in a mouse mastitis model infected with S.
aureus by teat canal infusion. Therapeutic efficacy was assessed by physical
appearance of the mammary gland and measurement of colony-forming units (CFU) per
gland. The results showed that the diameter, polydispersivity index, zeta
potential, encapsulation efficiency and loading capacity of the nanoparticles
were 343+/-26 nm, 0.33+/-0.08, -7.9+/-0.4 mV, 60.4+/-3.3% and 11.2+/-0.47%,
respectively. Tilmicosin-SLN showed a sustained-release effect and sustained and
enhanced antibacterial activity in vitro. SLN significantly enhanced the
therapeutic efficacy of tilmicosin determined by lower CFU counts and a decreased
degree of inflammation. These results demonstrated that the HCO-SLN is an
effective carrier to enhance the antibacterial activity of tilmicosin.
PMID- 21900027
TI - New modeling of scattering behaviors of argon atoms on tungsten substrate.
AB - In this study argon beam-tungsten surface scattering processes were investigated
numerically by applying molecular dynamics simulations. Energy transfer, momentum
change and the scattering processes of argon gas atoms from the W(110) surface
were discussed. The molecular dynamics results showed that Maxwell boundary
conditions fail to describe the behaviors of a high mean kinetic energy argon
beam impinging on a tungsten surface. A new three-dimensional model of argon
tungsten interaction was thus proposed, and its results proved to be in line with
experimental and theoretical results that have been obtained previously by other
researchers. Specifically, we developed a method for the normalization of the
parameters of a gas beam scattered by a metal surface. We found that the ratio of
the average velocity of the scattered beam to the appropriate root mean square
deviation (RMSD) allowed us to determine whether the distribution of the
scattered atoms was Maxwellian or not. We found that the shape of the functions
representing the angular distributions of the scattered Ar atoms could be
determined using the ratio of the RMSD of an angle (azimuthal or polar) of the
scattered beam to the RMSD of a uniform distribution. The distribution of the
azimuthal angle of the scattered atoms was found to be uniform regardless of the
incident's kinetic energy, when the incident of the beam on the surface was
normal.
PMID- 21900028
TI - Evaluation of auditory function in a population of clinically healthy cats using
evoked otoacoustic emissions.
AB - Cats may demonstrate deafness due to a variety of aetiologies and the current
preferred method for assessing auditory function is the brainstem auditory evoked
response (BAER). The BAER has largely been replaced by otoacoustic emission (OAE)
testing in human neonatal deafness screening as the equipment is more readily
available, is cheaper and the test is less invasive and simpler. This is the
first study to demonstrate that transient evoked OAEs (TEOAE) and distortion
product OAEs (DPOAE) can be recorded in cats using commercially available
equipment. Protocols for recording the emissions and analysing the results are
given. DPOAE testing is suggested to be quicker in this population of healthy
cats and shows promise in rapidly providing detailed information about auditory
function at a variety of different frequencies.
PMID- 21900029
TI - Clinical use of cyclosporine as an adjunctive therapy in the management of feline
idiopathic pure red cell aplasia.
AB - The clinical use of cyclosporine is described in a group of client-owned cats
diagnosed with idiopathic pure red cell aplasia (PRCA). All 10 cats were treated
with combinations of glucocorticoids and cyclosporine. Of the 10 cats, the eight
for which follow-up data was available achieved and maintained remission for a
median of 31 and 406 days, respectively. Therapy was reduced or discontinued in
7/8 cats; 2/7 maintained remission off therapy and 5/7 cats relapsed. Remission
was reinduced in four cats, with 3/4 cats maintained long-term on low dose
therapy. Adverse effects associated with cyclosporine therapy were responsive to
dose reduction or drug withdrawal. Feline idiopathic PRCA was responsive to
combination immunosuppressive therapy with glucocorticoids and cyclosporine.
Relapse was common, particularly after drug discontinuation; therefore, most cats
required maintenance long-term low dose therapy.
PMID- 21900030
TI - The effects of adding group-based lifestyle counselling to individual counselling
on changes in plasma glucose levels in a randomized controlled trial: the Inter99
study.
AB - AIM: This study aimed to assess whether group-based lifestyle counselling offered
to a high-risk population subgroup had any effect beyond individual
multifactorial interventions on fasting plasma glucose (FPG) and 2-h plasma
glucose (2hPG) changes. METHODS: In a population-based study of 6784
participants, 4053 were determined to be at high risk based on a risk estimate of
ischaemic heart disease or the presence of risk factors (smoking, hypertension,
hypercholesterolaemia, obesity, impaired glucose tolerance). Of these subjects,
90% were randomized to high-intensity intervention (group A) and 10% to low
intensity intervention (group B). All participants went through health
examinations, risk assessments and individual lifestyle counselling. Participants
in group A were further offered group-based lifestyle counselling. The
intervention was repeated after 1 and 3 years. A total of 2738 participants free
of diabetes at baseline (1999-2001) and with at least one FPG and/or 2hPG
measurement during 5 years of follow-up were included in the analyses.
Differences in changes of plasma glucose between groups A and B were analyzed
using multilevel linear regression. RESULTS: For FPG, crude 5-year changes were
significantly different between the two groups (group A: -0.003 mmol/L vs group
B: -0.079 mmol/L; P=0.0427). After adjusting for relevant confounders, no
differences in FPG changes were observed (P=0.116). Also, no significant
differences in the 5-year changes in 2hPG between the two groups were observed
(group A: - 0.127 mmol/L vs group B: -0.201 mmol/L; P=0.546). CONCLUSION:
Offering additional group-based intervention to a high-risk population subgroup
had no clinical effects on changes in plasma glucose beyond those of
individualized multifactorial interventions.
PMID- 21900031
TI - High regioselective ultrasonic-assisted synthesis of 2,7-diaryl-4,7
dihydropyrazolo[1,5-a]pyrimidine-5-carboxylic acids.
AB - New and high regioselective method of the synthesis of 2,7-diaryl-4,7
dihydropyrazolo[1,5-a]pyrimidine-5-carboxylic acids by reaction of 3-aryl-5
aminopyrazoles with arylidenpyruvic acid at room temperature under
ultrasonication was developed and discussed.
PMID- 21900032
TI - An examination of the mechanical properties of the annulus fibrosus: the effect
of vibration on the intra-lamellar matrix strength.
AB - Vibration has been associated with low back pain and disc herniation; however the
mechanism responsible for this association is unclear. It is theorized that
herniation propagates through annular layers via clefts that form in the
extracellular matrix between collagen fibres (intra-lamellar matrix) within each
lamella. The effect of cyclic compressive loading at 5 Hz, applied to porcine
functional spine units, on the mechanical properties of excised single annular
lamellae was examined. These lamellae were tested under tension applied
perpendicular to the collagen fibre orientation, effectively isolating the intra
lamellar matrix. Vibration affected the deformation magnitude at the end of the
toe region of the stress-stretch ratio curve; specifically vibrated tissues
showed larger toe regions (stretch ratio of 1.50 as compared to 1.31 observed in
the control tissues, p=0.027). It is hypothesized that this result may be due to
damaged elastin-a protein responsible for minimizing deformation and assisting
with returning tissues to normal length following tension.
PMID- 21900033
TI - Genetic variant of HTR2A associates with risk of impulse control and repetitive
behaviors in Parkinson's disease.
AB - OBJECTIVES: To determine the role of a serotonin 2A receptor gene (=HTR2A)
variant in the development of impulse control and repetitive behaviors in
Parkinson's disease. METHODS: We performed a genetic association analysis to a
cohort of 404 Korean patients with Parkinson's disease who had been enrolled in a
previous study. Presence of impulse control and repetitive behaviors was screened
using modified version of Minnesota Impulsive Disorders Interview and genotyping
for HTR2A c.102T > C was performed using the TaqMan assay. RESULTS: The T allele,
which is presumably linked to higher receptor expression, was marginally
associated with impulse control and repetitive behaviors in Parkinson's disease;
the genetic influence was significantly enhanced in the lower levodopa-equivalent
dose group, increasing the risk by 2.8 and 6.9 times in CT and TT carriers,
respectively (p-trend = 0.011). There was no significant interaction between the
c.102T > C variant and clinical risk variables such as sex, age at onset, total
daily levodopa-equivalent-dose, use of dopamine agonist and daily dose of
dopamine agonist. CONCLUSION: Our data support a possible contribution of genetic
variation in the HTR2A to the susceptibility to impulse control and repetitive
behaviors in Parkinson's disease.
PMID- 21900034
TI - School sport policy and school-based physical activity environments and their
association with observed physical activity in middle school children.
AB - Empirical research on the effects of school sport policies on children's physical
activity is limited. This study examined sport policies (intramural vs. varsity),
physical settings within schools, and supervision in relation to physical
activity using the System for Observing Play and Leisure in Youth (SOPLAY). Data
were collected on physical activity levels of children in four middle schools.
Regression analyses assessed the main effects of sport policy, type of physical
activity setting, and supervision as well as interactions. Regression models were
stratified by gender. Children in intramural schools were more likely to use
indoor spaces and be boys. Regression models indicated that varsity sport
programs were associated with lower physical activity levels among boys but not
girls. Significant associations between type of physical activity settings and
physical activity levels were observed only for boys. Adult supervision was not
associated with children's physical activity levels. Finally, descriptive results
showed athletic facilities were under-utilized in all schools.
PMID- 21900035
TI - Nutritious crops producing multiple carotenoids--a metabolic balancing act.
AB - Plants and microbes produce multiple carotenoid pigments with important
nutritional roles in animals. By unraveling the basis of carotenoid biosynthesis
it has become possible to modulate the key metabolic steps in plants and thus
increase the nutritional value of staple crops, such as rice (Oryza sativa),
maize (Zea mays) and potato (Solanum tuberosum). Multigene engineering has been
used to modify three different metabolic pathways simultaneously, producing maize
seeds with higher levels of carotenoids, folate and ascorbate. This strategy may
allow the development of nutritionally enhanced staples providing adequate
amounts of several unrelated nutrients. By focusing on different steps in the
carotenoid biosynthesis pathway, it is also possible to generate plants with
enhanced levels of several nutritionally-beneficial carotenoid molecules
simultaneously.
PMID- 21900036
TI - Combination therapies for combating antimicrobial resistance.
AB - New drug development strategies are needed to combat antimicrobial resistance.
The object of this perspective is to highlight one such strategy: treating
infections with sets of drugs rather than individual drugs. We will highlight
three categories of combination therapy: those that inhibit targets in different
pathways; those that inhibit distinct nodes in the same pathway; and those that
inhibit the very same target in different ways. We will then consider examples of
naturally occurring combination therapies produced by micro-organisms, and
conclude by discussing key opportunities and challenges for making more
widespread use of drug combinations.
PMID- 21900037
TI - Fe (III) complexes of a bis-benzimidazolyl diamide ligand: spectral and catalytic
studies.
AB - A new tetradentate bis benzimidaozlyl diamide ligand N,N'-Bis (benzimidazol-2-yl
methyl)-hexane-1,6-dicarboxamide (GBSA) has been synthesized and utilized to
prepare new Fe(III) complexes with exogenous anionic ligand X=Cl(-) and NO(3)(-).
Isomer shift values are in the range found for Iron in the +3 oxidation state
while Quadrupole Splitting indicates large distortion from a six coordinate
geometry, a finding supported by low temperature EPR work. The E(1/2) values are
found to be quite cathodic indicating stability of the Iron (III) complexes. The
oxidation of alcohols was investigated using [Fe(GBSA)Cl(3)] as the catalyst with
TBHP as an alternate source of oxygen. The respective carbonyl products have been
isolated and characterized by (1)H NMR, electronic spectroscopy, mass and IR
spectral studies.
PMID- 21900038
TI - Effect of inclusion complexation on the photophysical behavior of diphenylamine
in beta-cyclodextrin medium: a study by electronic spectra.
AB - Spectral characteristics of diphenylamine (DPA) have been investigated in beta
cyclodextrin (beta-CDx) solution. The formation of the complex was revealed by
UV, steady state and time-resolved fluorescence spectroscopy. The stoichiometry
of DPA:beta-CDx complex, determined using Benesi-Hildebrand equation and Job's
continuous variation method is 1:1. The binding constants calculated from various
methods are reported. This inclusion complex formation from DPA and beta-CDx was
also confirmed by the FT-IR spectral study and SEM image analysis of solid
complex prepared by co-precipitation method.
PMID- 21900040
TI - Lytic and latent EBV gene expression in transplant recipients with and without
post-transplant lymphoproliferative disorder.
AB - BACKGROUND: Epstein-Barr virus (EBV) is associated with post-transplant
lymphoproliferative disorder (PTLD), which has significant morbidity and
mortality in transplant recipients. To devise prophylactic measures, we need
predictors of PTLD and a better understanding of the physiopathogenesis of the
disease. OBJECTIVES: To identify a molecular pattern of EBV gene products in
blood that is specific to PTLD and can be used for the diagnosis of this disease.
STUDY DESIGN: We evaluated the ratio between latent and replicating EBV nucleic
acids in individuals with PTLD by comparison with transplant recipients without
PTLD and immunocompetent hosts with EBV DNA-emia. Subjects were prospectively
identified between July 2009 and October 2010 at the University of Colorado
Hospital. EBV DNA, LMP-2A Latency III and BZLF1 Lytic genes mRNA were quantified
using real-time PCR. RESULTS: We found that PTLD subjects (N = 7) had
significantly higher EBV DNA-emia compared with non-transplant immunocompetent
subjects (N = 69; p<0.0001), and transplant recipients without PTLD (N = 105;
p<0.0001). The ratios between LMP-2A and BZLF1 mRNA in transplant recipients were
significantly lower than in non-transplant subjects (p = 0.04). However, PTLD and
non-PTLD transplant recipients displayed similar ratios. CONCLUSIONS: These
results suggest that EBV replication makes a larger contribution to the
circulating EBV DNA in transplant recipients compared with immunocompetent hosts.
Transplant recipients seem to lose control over EBV replication, which may
contribute to the development of PTLD.
PMID- 21900039
TI - Cytomegalovirus DNA stability in EDTA anti-coagulated whole blood and plasma
samples.
AB - BACKGROUND: Cytomegalovirus (CMV) DNA viral load testing is routinely performed
in centers that serve patients that are immunosuppressed from organ or
hematopoietic stem cell transplantation. Clinical laboratories that offer this
testing often face practical concerns about the storage of these specimens to
ensure accurate measurement for patient care. The published studies that assess
CMV DNA stability at 4 degrees C have done so only up to 72 h. OBJECTIVE: Our
objective was to determine the stability of CMV DNA in whole blood and plasma for
clinical viral load testing over a 14 day period. STUDY DESIGN: Twenty-one plasma
samples that were CMV-positive and twenty whole blood samples (including eleven
CMV-negative whole blood samples spiked with CMV-positive plasma) were stored at
4 degrees C and underwent extraction and amplification at 3 time points: Day 0,
Day 7, and Day 14. RESULTS: Log(10) values were calculated and t-test was
performed on the values comparing Day 0 to Day 14 for plasma and whole blood.
There was no statistically significant difference between Day 0 and Day 14 for
both specimen types, including the CMV-negative whole blood specimens that were
spiked with CMV-positive plasma. CONCLUSIONS: CMV DNA in plasma and whole blood
is stable for 14 days at a temperature of 4 degrees C.
PMID- 21900041
TI - Cell aggregation is negatively regulated by N-acylhomoserine lactone-mediated
quorum sensing in Pantoea ananatis SK-1.
AB - Pantoea ananatis SK-1 produces N-acylhomoserine lactones (AHLs) and regulates
expression of some virulence factors through AHL-mediated quorum sensing. In this
study, we discovered that the strain SK-02I, which has a disrupted AHL-synthetic
gene, showed constitutive cell aggregation. SK-1 has the ability to aggregate,
and cell aggregation inhibitory factors are expressed under control of AHL
mediated quorum sensing. One of the transposon mutants, SK-33M, constitutively
aggregates without defective AHL production. A homology search revealed that the
transposon integration site was located in the adhesin-like yeeJ gene. Based on
RT-PCR analysis, transcription of yeeJ is regulated by AHL-mediated quorum
sensing. However, because both the wild-type and SK-33M strains induced necrotic
symptoms in onion leaves, we conclude that the yeeJ gene is not involved in the
pathogenicity of SK-1.
PMID- 21900042
TI - Personalized assessment of oxidative cellular damages associated with diabetes
using erythrocytes adhesion assay.
AB - Increased generation of reactive oxygen species (ROS) is recognized as a
prominent feature of vascular dysfunction with diabetes. For self-monitoring of
the risk of diabetic complications, we proposed a simple adhesion test of
erythrocytes using positively charged surfaces and its adhesion property was
found to correlate HbA(1c) level in diabetic patients.
PMID- 21900043
TI - Disinfection aboard cruise liners and naval units: formation of disinfection by
products using chlorine dioxide in different qualities of drinking water.
AB - The world-wide deployment of cruise liners and naval units has caused an
increased need for the disinfection of drinking water. The main cause for this is
the unknown quality of drinking water in foreign harbours--besides the formation
of bio-films due to the climatically disadvantageous conditions in the
operational area. Water conduits on board are currently disinfected with calcium
hypochlorite in case of microbiological contamination. Chemical and physical
analyses after disinfection with calcium hypochlorite have shown that organic by
products consisting of trihalomethanes develop in considerable amounts during
disinfection. Furthermore, the method is susceptible to handling errors and thus
often leads to insufficient disinfection results. Hitherto, the use of other
disinfection methods allowed by government regulations, especially chlorine
dioxide, is not widely spread. Unlike disinfection with calcium hypochlorite,
chlorine dioxide does not lead to the formation of trihalomethanes. Typical
disinfection by-products (DBP) are the anions chlorite and chlorate, which are
formed in oxidative processes. The formation conditions of these anions have not
yet been elucidated. For this reason, the probability of the generation of
inorganic by-products after disinfection with chlorine dioxide has been
determined, and their occurrence in drinking water on board has been examined
with respect to a possible correlation between water quality and the formation of
chlorate and chlorite. Therefore, a chromatographic method was developed and
validated in order to determine the periodical development of chlorate and
chlorite from chorine dioxide in purified water at different pH-values as well as
in actual drinking water samples from water conduits on board. The formation of
the by-products chlorite and chlorate after disinfection with chlorine dioxide is
influenced neither by pH-value nor by chemical properties of the disinfected
water. Considering the examined conditions, chlorine dioxide is suitable for
usage on board due to its simple handling and the low potential of producing by
products.
PMID- 21900044
TI - Interpersonal and temporal variability of urinary cotinine in elderly subjects.
AB - Although a single measurement of urinary cotinine is often used for biological
monitoring, the validity of this measurement for estimating long-term exposure
has not been well evaluated. The purpose of this study was to determine the
efficacy of using a single measurement per person to estimate long-term smoking
exposure in an elderly population. Analysis was conducted using a cohort of
elderly subjects for whom multiple urinary cotinine measurements had been
collected over eight weeks. The mixed-effects model of urinary cotinine indicated
that interpersonal variability was greater than temporal variability. The
efficacy of using single measurements to track the mean long-term exposure of a
population is supported by the relatively consistent population averages. The
classification of high and low exposure groups using a single measurement or
using eight measurements produced similar group distributions. When using cut-off
levels of 20 and 100 MUg cotinine/g creatinine, 9.3% and 5.6% of individual
exposures were misclassified, respectively, when using a single measurement.
Urinary cotinine can be a useful biomarker for characterizing exposure to tobacco
smoke. Although the incidence of misclassification decreased with an increase in
the number of measurements, a single measurement of the urinary cotinine level
may accurately represent long-term exposure to tobacco smoke.
PMID- 21900045
TI - Approach to the difficult transseptal: diathermy facilitated left atrial access.
AB - Percutaneous transseptal left atrial (LA) access is increasingly becoming a
routine procedure in the electrophysiology and cardiac catheterisation
laboratories. Our aim was to review an unselected large series of this procedure
performed over a period of five years. We clinically characterised difficult
cases and presented a method of safe and expeditious LA access. Overall, 543
transseptal punctures were performed. Of those, 10 were classified as difficult,
with failure to access the LA in three or more attempts. In all 10 cases,
surgical electrocautery was successfully used to facilitate needle puncture of
the septum. All patients subsequently underwent an uncomplicated procedure. In
conclusion, we describe a method to trouble-shoot the difficult transseptal
access procedure, outlining the clinical characteristics, echocardiographic
features and special precautions that need to be considered when utilising this
method.
PMID- 21900046
TI - Preimplantation factor negates embryo toxicity and promotes embryo development in
culture.
AB - Preimplantation factor (PIF) is secreted by viable mammalian embryos and promotes
implantation and trophoblast invasion. Whether PIF also has a direct protective
or promoting effect on the developing embryo in culture is unknown. This study
examined the protective effects of synthetic PIF (sPIF) on embryos cultured with
embryo toxic serum (ETS) from recurrent pregnancy loss patients (n=14), by
morphological criteria at 72 h of culture, and determined sPIF-promoting effect
on singly cultured bovine IVF embryo development. sPIF negated the ETS-induced
effect by increasing mouse blastocyst rate versus other embryonic stages (odds
ratio (OR) 2.01, 95% confidence intervals (CI) 1.14-3.55, chi-squared=12.74,
P=0.002), increased blastocyst rate (39.0% versus 23.7% ETS alone) and lowered
embryo demise rate (11.0% versus 28.8%, OR 0.24, 95% CI 0.11-0.54), which was not
replicated by scrambled PIF or the control. sPIF added to bovine embryos for 3
days promoted development at day 7 of culture (11% versus 0%, chi-squared=4.0,
P=0.045). In conclusion, sPIF prevented embryo demise caused by exposure to ETS
and promoted development of singly cultured bovine IVF embryos following short
term exposure. sPIF-based therapy for reducing recurrent pregnancy loss and
improving lagging cultured IVF embryo development should be explored.
PMID- 21900047
TI - Subtractive screening with the Mycobacterium tuberculosis surface protein phage
display library.
AB - Surface proteins consist of secreted and membrane proteins and play a central
role in the interaction of the pathogen with its environment, especially in the
pathogenicity of Mycobacterium tuberculosis (MTB). Research on surface proteins
in MTB has focused on 2D electrophoresis of culture filtrate proteins (CFP),
extraction of transmembrane proteins with detergent and predicting their
properties with a range of available algorithms. However, functional analysis of
these secretomes is possible only if many proteins are expressed and purified
individually, which limits a large number of studies to the function of the
proteome. Here, we utilized a phage display system to construct a whole genomic
surface protein phage display library of MTB, which can complete direct
selection, identification, expression, purification and functional research of
surface proteins of MTB. With this system we made a new serological approach
involving iterative subtraction screening. Cross-reactivity of antibodies was
reduced by preadsorption of the surface protein phage display library with the
sera of healthy BCG-vaccinated individuals prior to studying their reactivity
against the sera of tuberculosis (TB) patients. As a result six antigens were
identified, three of which have not previously been reported as diagnosis
antigens. The surface protein phage display library shows great promise in the
study of MTB.
PMID- 21900048
TI - Transfusion and apheresis science. Editorial.
PMID- 21900049
TI - Re: Abdeldaim et al., 2011.
PMID- 21900050
TI - The effect of a recessionary economy on food choice: implications for nutrition
education.
AB - OBJECTIVE: To determine the effect of an economic recession on food choice
behaviors. DESIGN: A qualitative study using semistructured, in-depth interviews
followed by completion of a nutrition knowledge questionnaire and the Food Choice
Questionnaire was conducted. SETTING AND PARTICIPANTS: A convenience sample from
a metropolitan city in the Midwest. Women with children younger than 18 years in
the household who had experienced unemployment, underemployment, or loss of a
house because of foreclosure in the previous year participated (n = 25). MAIN
OUTCOME MEASURE: Reported behaviors for food choices when grocery shopping and
dining out and educational resources requested to inform nutrition education
programs. ANALYSIS: Interviews were coded with a matrix derived from participant
statements. Common behaviors were grouped and broad themes were identified.
RESULTS: Numerous shopping strategies were recently used to save money (eg,
buying only sale items, using coupons). Participants believed a healthful diet
included a variety of foods with less sugar, salt, and fat. Recipes and menus
that required little cost or preparation time and resources to track food
expenditures were desired. CONCLUSIONS AND IMPLICATIONS: Nutrition education
should include money-saving shopping strategies, facilitate menu planning and
cooking skills, and address food resource management to enable participants to
acquire sufficient, acceptable, and nutritionally adequate food.
PMID- 21900051
TI - Healthy children, healthy families: parents making a difference! A curriculum
integrating key nutrition, physical activity, and parenting practices to help
prevent childhood obesity.
PMID- 21900052
TI - Brca2, Rad51 and Mre11: performing balancing acts on replication forks.
AB - Homologous recombination (HR) is required for faithful repair of double strand
breaks (DSBs) and is believed to be important for DNA replication under stressful
conditions in unicellular organisms. However, its role during DNA replication in
high eukaryotes has always been elusive. In particular, due to the essential
nature of its main players it has been difficult to dissect the direct role of HR
in DNA replication. Recent studies revealed that some key HR factors such as
Rad51 and BRCA2 play unexpected functions during DNA replication by protecting
nascent DNA from Mre11 mediated degradation, which takes place at stalled
replication forks. These novel functions appear to be essential to ensure smooth
progression of DNA replication and to promote maintenance of genome stability.
PMID- 21900053
TI - Molecularly imprinted microspheres as SPE sorbent for selective extraction of
four Sudan dyes in catsup products.
AB - A highly selective molecularly imprinted solid-phase extraction (MISPE) coupled
with high performance liquid chromatography (HPLC) ultraviolet-visible detection
was developed for the simultaneous isolation and determination of four Sudan dyes
(I, II, III and IV) in catsup products. The novel molecularly imprinted
microspheres (MIM) were synthesized by aqueous suspension polymerization using
phenylamine and naphthol as template, which showed high affinity to Sudan dyes in
aqueous solution. In order to develop a selective extraction protocol for
simultaneous determination the four Sudan dyes from catsup products, the
molecular recognition properties of MIM as a SPE sorbent were evaluated. Under
the optimized condition, good linearity was obtained from 0.01 to 2.5 MUg g(-1)
(r(2)>= 0.9990) with the relative standard deviations of less than 3.4%. This
proposed MISPE-HPLC procedure eliminated the effect of template leakage on
quantitative analysis and could be applied to direct determination of four Sudan
dyes in complicated food samples.
PMID- 21900054
TI - Detection and identification of a serine to arginine sequence variant in a
therapeutic monoclonal antibody.
AB - Sequence variants, also known as unintended amino acid substitutions in the
protein primary structure, are one of the critical quality attributes needed to
be monitored during process development of monoclonal antibodies (mAbs). Here we
report on analytical methods for detection and identification of a sequence
variant in an IgG1 mAb expressed in Chinese hamster ovary (CHO) cells. The
presence of the sequence variant was detected by an imaged capillary isoelectric
focusing (ICIEF) assay, showing a new basic species in mAb charge variant
profile. The new basic variant was fractionated and enriched by ion-exchange
chromatography, analyzed by reduced light and heavy chain mass determination, and
characterized by HPLC-UV/MS/MS of tryptic and endoproteinase Lys-C peptide maps.
A Serine to Arginine sequence variant was identified at the heavy chain 441
position (S441R), and confirmed by using synthetic peptides. The relative level
of the S441R variant was estimated to be in the range of 0.3-0.6% for several mAb
batches analyzed via extracted ion chromatogram (EIC). This work demonstrates the
effectiveness of using integrated analytical methods to detect and identify
protein heterogeneity and the importance of monitoring product quality during mAb
bioprocess development.
PMID- 21900055
TI - Assessing molecular chirality with twisted light: comment on "Photochirogenesis:
photochemical models on the absolute asymmetric formation of amino acids in
interstellar space" by Uwe J. Meierhenrich et al.
PMID- 21900056
TI - Davydov-Pang model: an improved Davydov protein soliton theory: comment on "The
theory of bio-energy transport in the protein molecules and its properties" by
Xiaofeng Pang.
PMID- 21900058
TI - Is faecal-immunochemical test useful in patients with iron deficiency anaemia and
without overt bleeding?
AB - BACKGROUND: Both upper and lower endoscopies are indicated in patients with iron
deficiency anaemia. However, these examinations are negative in a high proportion
of cases. AIMS: To assess whether faecal-immunochemical test (FIT) may be useful
in selecting patients at higher risk of bleeding lesions in iron deficiency
anaemia patients. METHODS: Iron deficiency anaemia patients without overt
bleeding were prospectively enrolled. All patients performed FIT, and underwent
both upper and lower endoscopy. Predictive factors of potential bleeding lesions
were evaluated at multivariate analysis. RESULTS: FIT was positive in 48 (34.3%)
out of 140 enrolled patients, and a potential bleeding lesion was present in 63
(45%) patients. An endoscopic lesion was detected more frequently in FIT-positive
than -negative patients (79.2% vs 27.2%; p<0.0001), at both upper endoscopy
(52.1% vs 18.5%; p=0.0002) and colonoscopy (33.3% vs 8.7%; p=0.001). At
multivariate analysis, FIT was found to be an independent predictor of both
bleeding lesions (OR=9.5; 95% CI: 4.1-22; p<0.001) and cancer (OR=4.0, CI: 1.1
15; p=0.029). CONCLUSIONS: FIT positive-iron deficiency anaemia patients without
overt bleeding are at increased risk to present with a bleeding lesion at
endoscopy, including cancer. FIT positivity in this setting could be useful to
prioritize urgent endoscopy.
PMID- 21900057
TI - Identification of MLL partner genes in 27 patients with acute leukemia from a
single cytogenetic laboratory.
AB - Chromosomal rearrangements involving the MLL gene have been associated with many
different types of hematological malignancies. Fluorescent in situ hybridization
with a panel of probes coupled with long distance inverse-PCR was used to
identify chromosomal rearrangements involving the MLL gene. Between 1995 and
2010, 27 patients with an acute leukemia were found to have a fusion gene
involving MLL. All seven ALL patients with B cell acute lymphoblastic leukemia
were characterized by the MLL/AFF1 fusion gene resulting from a translocation (5
patients) or an insertion (2 patients). In the 19 AML patients with acute
myeloblastic leukemia, 31.6% of all characterized MLL fusion genes were
MLL/MLLT3, 21.1% MLL/ELL, 10.5% MLL/MLLT6 and 10.5% MLL/EPS15. Two patients had
rare or undescribed fusion genes, MLL/KIAA0284 and MLL/FLNA. Seven patients (26%)
had a complex chromosomal rearrangement (three-way translocations, insertions,
deletions) involving the MLL gene. Splicing fusion genes were found in three
patients, leading to a MLL/EPS15 fusion in two and a MLL/ELL fusion in a third
patient. This study showed that fusion involving the MLL gene can be generated
through various chromosomal rearrangements such as translocations, insertions and
deletions, some being complex or cryptic. A systematic approach should be used in
all cases of acute leukemia starting with FISH analyses using a commercially
available MLL split signal probe. Then, the analysis has to be completed, if
necessary, by further molecular cytogenetic and genomic PCR methods.
PMID- 21900059
TI - [Late-presenting congenital diaphragmatic hernia].
PMID- 21900060
TI - [Potential maleficence of predictive medicine].
PMID- 21900061
TI - Pure skin perforator flap for microtia and congenital aural atresia using
supermicrosurgical techniques.
AB - Microtia or pinna deformities with congenital aural atresia are severe conditions
that significantly affect the quality of life. Although several surgical
treatments have been proposed for aural atresia, revision surgery is often
required to address complications associated with the initial procedure, which
include external auditory canal stenosis, lateralization of the tympanic membrane
and chronic recurrent otorrhea. However, such problems may be addressed by using
vascularized skin. We herein report a new method of reconstruction for congenital
aural atresia in three cases using the superficial circumflex iliac artery as a
pure skin perforator flap. MATERIALS & METHODS: The branch of the superficial
circumflex iliac artery perforator was traced distally to a point where it
penetrated the dermis. After identifying the branch of the perforator penetrating
the dermis (termed the "pure skin perforator"), the pure skin perforator flap was
elevated. A new external auditory canal was then created by drilling through the
mastoid air cells and atresia plate. The pure skin perforator flap was folded
into a 1.5*3 cm sac, which was placed into the external auditory canal. The
superficial circumflex iliac artery and the superficial circumflex iliac vein
were anastomosed to the superficial temporal artery and the superficial temporal
vein, respectively. RESULTS: All of the pure skin perforator flaps survived. The
flap sizes varied from 10*2.5 to 10*4 cm (average area of 32.5 cm2). These skin
perforator flaps were all 2 mm thick. CONCLUSION: Pure skin perforator flaps fed
only by the dermal subpapillary plexus survived, regardless of the presence of
the subdermal plexus. The pure skin perforator flap can be as thin as a skin
graft and it has the possibility to reduce the complications that frequently
occur when the previously reported procedures are used.
PMID- 21900062
TI - "Bouncing back": how Australia's leading women's magazines portray the postpartum
'body'.
AB - PURPOSE: To examine how the Australian media portrays the childbearing body
through the use of celebrity stories in women's magazines. The study aimed to
provide insight into socially constructed factors that might influence women's
body image and expectations during pregnancy and the early postnatal period.
METHOD: Media content analysis was used to analyse 25 celebrity stories about the
childbearing postnatal body (images and texts) collected from Australia's three
leading women's magazines between January and June 2009 (n=58). FINDINGS: A
variety of persuasive textual and visual messages were elicited. The major theme
representing how the postnatal body was constructed was labelled 'Bouncing back';
the focus of this paper. The social messages inherent in the magazine stories
were that women need to strive towards regaining a pre-pregnant body shape with
the same effort one would employ when recovering from an illness. Three specific
sub-themes that promoted weight loss were identified. These were labelled 'Racing
to bounce back', 'Breastfeeding to bounce back' and 'Pretending to bounce back'.
A fourth sub-theme, 'Refusing to bounce back: Celebrating my new body', grouped
together stories about celebrities who appeared to embrace their changed, but
healthy, postnatal body. CONCLUSIONS: The study highlighted the expectations of
the postpartum body in relation to speedy return to the pre-pregnant state.
Understanding how these portrayals may contribute to women's own body image and
expectations in the early postpartum period may better assist maternity health
care providers to engage with women in meaningful discussions about this
important time in their lives and challenge notions of ideal body types.
Assisting women to accept and nurture themselves and have confidence in their
ability as a new parent is a crucial element of quality maternity service
provision.
PMID- 21900063
TI - Reviewing and reflecting on practice: the midwives experiences of credentialling.
AB - RESEARCH QUESTION: What are the experiences of midwives working in midwifery-led
models of care in NSW who undertake the credentialling process? BACKGROUND: In
2005, the NSW Health Department issued a directive requiring midwives who worked
in midwifery-led models of care to undergo a process known as credentialling.
Credentialling involved a four-step process: self-assessment, face-to-face panel
review of midwifery practice, assessment of emergency management skills and
discussion of a case study from practice. METHOD: A descriptive exploratory study
examined the experiences of the midwives who undertook the credentialling process
in NSW. Data were collected through in-depth, semi-structured interviews with 12
midwives who had experienced credentialling and analysed using descriptive and
thematic analysis. FINDINGS: The themes were preparing for credentialling; doing
credentialling; achieving credentialling; valuing credentialling; and, improving
credentialling. Initially, the midwives were self-focused in their understanding
and impressions of the value of credentialling. There were a number of
contentions including seeing credentialling as another 'hoop to jump through' or
a need to 'tick the box' and not as a framework for practice. Some viewed it as a
necessary move to increase professionalism and facilitate practice review. Others
felt they were being unfairly targeted as not all midwives were expected to
undertake it. The midwives were cognisant of the need for a process that
encouraged responsibility for ongoing professional development and continuing
competence and believed the process would be useful in promoting deeper
reflection on practice. IMPLICATIONS FOR PRACTICE: Credentialling was recognised
as being valuable for all midwives to undertake as it encourages both a review
of, and reflection on, practice. The process has further developed into Midwifery
Practice Review (MPR) and is administered by the national professional
association for midwifery.
PMID- 21900064
TI - Women's knowledge of options for birth after Caesarean Section.
AB - OBJECTIVE: In Australia, the Caesarean Section rate has risen from 21.8% to 31.1%
(2010) in a decade; in South Australia the rate was 32.2% in 2009. Caesarean
Section is a life saving intervention in certain circumstances, but also a major
surgical procedure with potential adverse effects on both mother and baby. The
aim of this study was to ascertain the determinants of knowledge regarding
options for subsequent birth in women who have experienced a previous Caesarean
Section with a live baby. METHOD: A sample of 33 women in South Australia who had
a previous Caesarean Section were surveyed to assess their awareness of birth
options and their advantages versus disadvantages as well as the possible factors
influencing their information gathering and decision-making on birth options for
their subsequent pregnancy. FINDINGS: Most women perceived Caesarean Section to
be major surgery but 69.6% were not aware that babies might have problems with
breastfeeding, 60.6% did not know the rarity of uterine rupture during labour
and/or birth and 48.5% were not aware that a caesarean may involve any
complications for the baby at or after birth. CONCLUSION: Women's knowledge
deficits relating to risks and benefits of birth options after previous caesarean
can constrain them as most women chose caesarean rather than normal birth in
their subsequent pregnancy.
PMID- 21900065
TI - Analysis of matches and partial-matches in a Danish STR data set.
AB - Over the recent years, the national databases of STR profiles have grown in size
due to the success of forensic DNA analysis in solving crimes. The accumulation
of DNA profiles implies that the probability of a random match or near match of
two randomly selected DNA profiles in the database increases. We analysed 53,295
STR profiles from individuals investigated in relation to crime case
investigations at the Department of Forensic Medicine, Faculty of Health
Sciences, University of Copenhagen, Denmark. Incomplete STR profiles (437 circa
0.8% of the total), 48 redundant STR profiles from monozygotic twins (0.09%), 6
redundant STR profiles of unknown cause and 1283 STR profiles from repeated
testing of individuals were removed leaving 51,517 complete 10 locus STR profiles
for analysis. The number corresponds to approximately 1% of the Danish
population. We compared all STR profiles to each other, i.e. 1.3*10(9)
comparisons. With these large number of comparisons, it is likely to observe DNA
profiles that coincide on many loci, which has concerned some commentators and
raised questions about "overstating" the power of DNA evidence. We used the
method of Weir [11,12] and Curran et al. [3] to compare the observed and expected
number of matches and near matches in the data set. We extended the methods by
computing the covariance matrix of the summary statistic and used it for the
estimation of the identical-by-descent parameter, theta. The analysis
demonstrated a number of close relatives in the Danish data set and substructure.
The main contribution to the substructure comes from close relatives. An overall
theta-value of 1% compensated for the observed substructure, when close familial
relationships were accounted for.
PMID- 21900066
TI - Pediatricians' attitudes about collaborations with other community vaccinators in
the delivery of seasonal influenza vaccine.
AB - OBJECTIVE: Achieving universal influenza vaccination among children may
necessitate collaborative delivery involving both practices and community
vaccinators. We assessed among pediatricians nationally their preferences
regarding location of influenza vaccination for patient subgroups and their
attitudes about collaborative delivery methods. METHODS: The design/setting was a
national survey conducted from July 2009 to October 2009. Participants included a
representative sample of pediatricians from the American Academy of Pediatrics.
RESULTS: The response rate was 79% (330 of 416). Physicians felt strongly that
vaccination should occur in their practice for children with chronic conditions
(52%) and healthy 6-24-month-old infants (48%), but few felt strongly about
healthy 5-18-year-olds (17%). Most (78%) thought having multiple delivery sites
increased vaccination rates, and 86% thought that influenza vaccine should be
available at school. Physicians reported being very/somewhat willing to hold
joint community clinics with public health entities (76%) and to suggest to
patient subgroups that they receive vaccine at community sites, including public
clinics or pharmacies (76%). The most frequently reported barriers to
collaborative delivery with community sites or school-located delivery included
concerns about the following: estimating the amount of vaccine to order if
children are vaccinated elsewhere (community 56%; school 80%); transfer of
vaccine records (community 57%; school 78%); and reluctance of families to go
outside of the office (community 45%; school 74%). CONCLUSIONS: Most physicians
are in favor of school-located or collaborative influenza vaccine delivery with
community vaccinators, especially for healthy school-aged children. Collaborative
approaches will require planning to ensure transfer of records, effective
targeting of subgroups, and provisions to protect providers from being left with
extra influenza supply.
PMID- 21900067
TI - Equivalent pulse parameters for electroporation.
AB - Electroporation-based applications require the use of specific pulse parameters
for a successful outcome. When recommended values of pulse parameters cannot be
set, similar outcomes can be obtained by using equivalent pulse parameters. We
determined the relations between the amplitude and duration/number of pulses
resulting in the same fraction of electroporated cells. Pulse duration was varied
from 150 ns to 100 ms, and the number of pulses from 1 to 128. Fura 2-AM was used
to determine electroporation of cells to Ca(2+). With longer pulses or higher
number of pulses, lower amplitudes are needed for the same fraction of
electroporated cells. The expression derived from the model of electroporation
could describe the measured data on the whole interval of pulse durations. In a
narrower range (0.1-100 ms), less complex, logarithmic or power functions could
be used instead. The relation between amplitude and number of pulses could best
be described with a power function or an exponential function. We show that
relatively simple two-parameter power or logarithmic functions are useful when
equivalent pulse parameters for electroporation are sought. Such mathematical
relations between pulse parameters can be important in planning of
electroporation-based treatments, such as electrochemotherapy and nonthermal
irreversible electroporation.
PMID- 21900068
TI - Automatic identification of functional clusters in FMRI data using spatial
dependence.
AB - In independent component analysis (ICA) of functional magnetic resonance imaging
(fMRI) data, extracting a large number of maximally independent components
provides a detailed functional segmentation of brain. However, such high-order
segmentation does not establish the relationships among different brain networks,
and also studying and classifying components can be challenging. In this study,
we present a multidimensional ICA (MICA) scheme to achieve automatic component
clustering. In our MICA framework, stable components are hierarchically grouped
into clusters based on higher order statistical dependence--mutual information-
among spatial components, instead of the typically used temporal correlation
among time courses. The final cluster membership is determined using a
statistical hypothesis testing method. Since ICA decomposition takes into account
the modulation of the spatial maps, i.e., temporal information, our ICA-based
approach incorporates both spatial and temporal information effectively. Our
experimental results from both simulated and real fMRI datasets show that the use
of spatial dependence leads to physiologically meaningful connectivity structure
of brain networks, which is consistently identified across various ICA model
orders and algorithms. In addition, we observe that components related to
artifacts, including cerebrospinal fluid, arteries, and large draining veins, are
grouped together and encouragingly distinguished from other components of
interest.
PMID- 21900069
TI - Vesicoureteral reflux in children: a phantom study of microwave heating and
radiometric thermometry of pediatric bladder.
AB - We have investigated the use of microwave heating and radiometry to safely heat
urine inside a pediatric bladder. The medical application for this research is to
create a safe and reliable method to detect vesicoureteral reflux, a pediatric
disorder, where urine flow is reversed and flows from the bladder back up into
the kidney. Using fat and muscle tissue models, we have performed both
experimental and numerical simulations of a pediatric bladder model using planar
dual concentric conductor microstrip antennas at 915 MHz for microwave heating. A
planar elliptical antenna connected to a 500 MHz bandwidth microwave radiometer
centered at 3.5 GHz was used for noninvasive temperature measurement inside
tissue. Temperatures were measured in the phantom models at points during the
experiment with implanted fiberoptic sensors, and 2-D distributions in cut planes
at depth in the phantom with an infrared camera at the end of the experiment.
Cycling between 20 s with 20 Watts power for heating, and 10 s without power to
allow for undisturbed microwave radiometry measurements, the experimental results
show that the target tissue temperature inside the phantom increases fast and
that the radiometer provides useful measurements of spatially averaged
temperature of the illuminated volume. The presented numerical and experimental
results show excellent concordance, which confirms that the proposed system for
microwave heating and radiometry is applicable for safe and reliable heating of
pediatric bladder.
PMID- 21900070
TI - Murine cardiac catheterizations and hemodynamics: on the issue of parallel
conductance.
AB - Catheter-based measurements are extensively used nowadays in animal models to
quantify global left ventricular (LV) cardiac function and hemodynamics.
Conductance catheter measurements yield estimates of LV volumes. Such estimates,
however, are confounded by the catheter's nonhomogeneous emission field and the
contribution to the total conductance of surrounding tissue or blood conductance
values (other than LV blood), a term often known as parallel conductance. In
practice, in most studies, volume estimates are based on the assumptions that the
catheter's electric field is homogeneous and that parallel conductance is
constant, despite prior results showing that these assumptions are incorrect.
This study challenges the assumption for spatial homogeneity of electric field
excitation of miniature catheters and investigated the electric field
distribution of miniature catheters in the murine heart, based on cardiac model
driven (geometric, lump component) simulations and noninvasive imaging, at both
systolic and diastolic cardiac phases. Results confirm the nonuniform catheter
emission field, confined spatially within the LV cavity and myocardium, falling
to 10% of its peak value at the ring electrode surface, within 1.1-2.0 mm, given
a relative tissue permittivity of 33,615. Additionally, <1% of power leaks were
observed into surrounding cavities or organs at end-diastole. Temporally varying
parallel conductance effects are also confirmed, becoming more prominent at end
systole.
PMID- 21900071
TI - HRF estimation in fMRI data with an unknown drift matrix by iterative
minimization of the Kullback-Leibler divergence.
AB - Hemodynamic response function (HRF) estimation in noisy functional magnetic
resonance imaging (fMRI) plays an important role when investigating the temporal
dynamic of a brain region response during activations. Nonparametric methods
which allow more flexibility in the estimation by inferring the HRF at each time
sample have provided improved performance in comparison to the parametric
methods. In this paper, the mixed-effects model is used to derive a new algorithm
for nonparametric maximum likelihood HRF estimation. In this model, the random
effect is used to better account for the variability of the drift. Contrary to
the usual approaches, the proposed algorithm has the benefit of considering an
unknown and therefore flexible drift matrix. This allows the effective
representation of a broader class of drift signals and therefore the reduction of
the error in approximating the drift component. Estimates of the HRF and the
hyperparameters are derived by iterative minimization of the Kullback-Leibler
divergence between a model family of probability distributions defined using the
mixed-effects model and a desired family of probability distributions constrained
to be concentrated on the observed data. The performance of proposed method is
demonstrated on simulated and real fMRI data, the latter originating from both
event-related and block design fMRI experiments.
PMID- 21900072
TI - Delay-independent stability of genetic regulatory networks.
AB - Genetic regulatory networks can be described by nonlinear differential equations
with time delays. In this paper, we study both locally and globally delay
independent stability of genetic regulatory networks, taking messenger
ribonucleic acid alternative splicing into consideration. Based on nonnegative
matrix theory, we first develop necessary and sufficient conditions for locally
delay-independent stability of genetic regulatory networks with multiple time
delays. Compared to the previous results, these conditions are easy to verify.
Then we develop sufficient conditions for global delay-independent stability for
genetic regulatory networks. Compared to the previous results, this sufficient
condition is less conservative. To illustrate theorems developed in this paper,
we analyze delay-independent stability of two genetic regulatory networks: a real
life repressilatory network with three genes and three proteins, and a synthetic
gene regulatory network with five genes and seven proteins. The simulation
results show that the theorems developed in this paper can effectively determine
the delay-independent stability of genetic regulatory networks.
PMID- 21900073
TI - Efficient revised simplex method for SVM training.
AB - Existing active set methods reported in the literature for support vector machine
(SVM) training must contend with singularities when solving for the search
direction. When a singularity is encountered, an infinite descent direction can
be carefully chosen that avoids cycling and allows the algorithm to converge.
However, the algorithm implementation is likely to be more complex and less
computationally efficient than would otherwise be required for an algorithm that
does not have to contend with the singularities. We show that the revised simplex
method introduced by Rusin provides a guarantee of nonsingularity when solving
for the search direction. This method provides for a simpler and more
computationally efficient implementation, as it avoids the need to test for rank
degeneracies and also the need to modify factorizations or solution methods based
upon those rank degeneracies. In our approach, we take advantage of the guarantee
of nonsingularity by implementing an efficient method for solving the search
direction and show that our algorithm is competitive with SVM-QP and also that it
is a particularly effective when the fraction of nonbound support vectors is
large. In addition, we show competitive performance of the proposed algorithm
against two popular SVM training algorithms, SVMLight and LIBSVM.
PMID- 21900074
TI - Multistability of second-order competitive neural networks with nondecreasing
saturated activation functions.
AB - In this paper, second-order interactions are introduced into competitive neural
networks (NNs) and the multistability is discussed for second-order competitive
NNs (SOCNNs) with nondecreasing saturated activation functions. Firstly, based on
decomposition of state space, Cauchy convergence principle, and inequality
technique, some sufficient conditions ensuring the local exponential stability of
2N equilibrium points are derived. Secondly, some conditions are obtained for
ascertaining equilibrium points to be locally exponentially stable and to be
located in any designated region. Thirdly, the theory is extended to more general
saturated activation functions with 2r corner points and a sufficient criterion
is given under which the SOCNNs can have (r+1)N locally exponentially stable
equilibrium points. Even if there is no second-order interactions, the obtained
results are less restrictive than those in some recent works. Finally, three
examples with their simulations are presented to verify the theoretical analysis.
PMID- 21900075
TI - Embedding prior knowledge within compressed sensing by neural networks.
AB - In the compressed sensing framework, different algorithms have been proposed for
sparse signal recovery from an incomplete set of linear measurements. The most
known can be classified into two categories: l(1) norm minimization-based
algorithms and l(0) pseudo-norm minimization with greedy matching pursuit
algorithms. In this paper, we propose a modified matching pursuit algorithm based
on the orthogonal matching pursuit (OMP). The idea is to replace the correlation
step of the OMP, with a neural network. Simulation results show that in the case
of random sparse signal reconstruction, the proposed method performs as well as
the OMP. Complexity overhead, for training and then integrating the network in
the sparse signal recovery is thus not justified in this case. However, if the
signal has an added structure, it is learned and incorporated in the proposed new
OMP. We consider three structures: first, the sparse signal is positive, second
the positions of the non zero coefficients of the sparse signal follow a certain
spatial probability density function, the third case is a combination of both.
Simulation results show that, for these signals of interest, the probability of
exact recovery with our modified OMP increases significantly. Comparisons with
l(1) based reconstructions are also performed. We thus present a framework to
reconstruct sparse signals with added structure by embedding, through neural
network training, additional knowledge to the decoding process in order to have
better performance in the recovery of sparse signals of interest.
PMID- 21900076
TI - Stabilization of nonlinear systems using sampled-data output-feedback fuzzy
controller based on polynomial-fuzzy-model-based control approach.
AB - This paper investigates the stability of sampled-data output-feedback (SDOF)
polynomial-fuzzy-model-based control systems. Representing the nonlinear plant
using a polynomial fuzzy model, an SDOF fuzzy controller is proposed to perform
the control process using the system output information. As only the system
output is available for feedback compensation, it is more challenging for the
controller design and system analysis compared to the full-state-feedback case.
Furthermore, because of the sampling activity, the control signal is kept
constant by the zero-order hold during the sampling period, which complicates the
system dynamics and makes the stability analysis more difficult. In this paper,
two cases of SDOF fuzzy controllers, which either share the same number of fuzzy
rules or not, are considered. The system stability is investigated based on the
Lyapunov stability theory using the sum-of-squares (SOS) approach. SOS-based
stability conditions are obtained to guarantee the system stability and
synthesize the SDOF fuzzy controller. Simulation examples are given to
demonstrate the merits of the proposed SDOF fuzzy control approach.
PMID- 21900077
TI - Exposure to phthalates and phenols during pregnancy and offspring size at birth.
AB - BACKGROUND: Data concerning the effects of prenatal exposures to phthalates and
phenols on fetal growth are limited in humans. Previous findings suggest possible
effects of some phenols on male birth weight. OBJECTIVE: Our aim was to assess
the relationships between prenatal exposures to phthalates and phenols and fetal
growth among male newborns. METHODS: We conducted a case-control study on male
malformations of the genitalia nested in two French mother-child cohorts with
recruitment between 2002 and 2006. We measured, in maternal urinary samples
collected between 6 and 30 gestational weeks, the concentrations (micrograms per
liter) of 9 phenol (n = 191 pregnant women) and 11 phthalate metabolites (n =
287). Weight, length, and head circumference at birth were collected from
maternity records. Statistical analyses were corrected for the oversampling of
malformation cases. RESULTS: Adjusted birth weight decreased by 77 g [95%
confidence interval (CI): -129, -25] and by 49 g (95% CI: -86, -13) in
association with a 1-unit increase in ln-transformed 2,4-dichlorophenol (DCP) and
2,5-DCP urinary concentrations, respectively. Benzophenone-3 (BP3) ln-transformed
concentrations were positively associated with weight (26 g; 95% CI: -2, 54) and
head circumference at birth (0.1 cm; 95% CI: 0.0, 0.2). Head circumference
increased by 0.3 cm (95% CI: 0.0, 0.7) in association with a 1-unit increase in
ln-transformed BPA concentration. For phthalate metabolites there was no evidence
of monotonic associations with birth weight. CONCLUSIONS: Consistent with
findings of a previous study, we observed evidence of an inverse association of
2,5-DCP and a positive association of BP3 with male birth weight.
PMID- 21900078
TI - The impact of regional climate change on malaria risk due to greenhouse forcing
and land-use changes in tropical Africa.
AB - BACKGROUND: Climate change will probably alter the spread and transmission
intensity of malaria in Africa. OBJECTIVES: In this study, we assessed potential
changes in the malaria transmission via an integrated weather-disease model.
METHODS: We simulated mosquito biting rates using the Liverpool Malaria Model
(LMM). The input data for the LMM were bias-corrected temperature and
precipitation data from the regional model (REMO) on a 0.5 degrees latitude
longitude grid. A Plasmodium falciparum infection model expands the LMM
simulations to incorporate information on the infection rate among children.
Malaria projections were carried out with this integrated weather-disease model
for 2001 to 2050 according to two climate scenarios that include the effect of
anthropogenic land-use and land-cover changes on climate. RESULTS: Model-based
estimates for the present climate (1960 to 2000) are consistent with observed
data for the spread of malaria in Africa. In the model domain, the regions where
malaria is epidemic are located in the Sahel as well as in various highland
territories. A decreased spread of malaria over most parts of tropical Africa is
projected because of simulated increased surface temperatures and a significant
reduction in annual rainfall. However, the likelihood of malaria epidemics is
projected to increase in the southern part of the Sahel. In most of East Africa,
the intensity of malaria transmission is expected to increase. Projections
indicate that highland areas that were formerly unsuitable for malaria will
become epidemic, whereas in the lower-altitude regions of the East African
highlands, epidemic risk will decrease. CONCLUSIONS: We project that climate
changes driven by greenhouse-gas and land-use changes will significantly affect
the spread of malaria in tropical Africa well before 2050. The geographic
distribution of areas where malaria is epidemic might have to be significantly
altered in the coming decades.
PMID- 21900079
TI - Predicting the restenosis benefit of drug-eluting versus bare metal stents in
percutaneous coronary intervention.
AB - BACKGROUND: Drug-eluting stents (DES) for percutaneous coronary intervention
decrease the risk of restenosis compared with bare metal stents. However, they
are costlier, require prolonged dual antiplatelet therapy, and provide the most
benefit in patients at highest risk for restenosis. To assist physicians in
targeting DES use in patients at the highest risk for target vessel
revascularization (TVR), we developed and validated a model to predict TVR.
METHODS AND RESULTS: Preprocedural clinical and angiographic data from 27 107
percutaneous coronary intervention hospitalizations between October 1, 2004, and
September 30, 2007, in Massachusetts were used to develop prediction models for
TVR at 1 year. Models were developed from a two-thirds random sample and
validated in the remaining third. The overall rate of TVR was 7.6% (6.7% with
DES, 11% with bare metal stents). Significant predictors of TVR included prior
percutaneous coronary intervention, emergency or salvage percutaneous coronary
intervention, prior coronary bypass surgery, peripheral vascular disease,
diabetes mellitus, and angiographic characteristics. The model was superior to a
3-variable model of diabetes mellitus, stent diameter, and stent length (c
statistic, 0.66 versus 0.60; P<0.001) and was well calibrated. The predicted
number needed to treat with DES to prevent 1 TVR compared with bare metal stents
ranged from 6 (95% confidence interval, 5.4-7.6) to 80 (95% confidence interval,
62.7-116.3), depending on patients' clinical and angiographic factors.
CONCLUSIONS: A predictive model using commonly collected variables can identify
patients who may derive the greatest benefit in TVR reduction from DES. Whether
use of the model improves the safety and cost-effectiveness of DES use should be
tested prospectively.
PMID- 21900082
TI - Second internal thoracic artery versus radial artery in coronary artery bypass
grafting: a long-term, propensity score-matched follow-up study.
AB - BACKGROUND: The best second arterial conduit for multiple arterial
revascularization (MAR) is still a matter of debate. Previous studies on the
benefit of either using the radial artery (RA) or the right internal thoracic
artery (RITA) in coronary artery bypass grafting are not conclusive. The aim of
our study was to compare the perioperative and long-term outcome of either RA or
RITA grafts as second conduits for MAR. METHODS AND RESULTS: A consecutive series
of 1001 patients undergoing first nonemergent coronary artery bypass grafting
receiving either RA or RITA as second graft for MAR between 2001 and 2010 were
studied. There were 277 patients receiving a RITA and 724 patients receiving a RA
in addition to a left internal thoracic artery (LITA). Concomitant saphenous vein
grafts (SVG) were grafted in addition as necessary. Propensity score-matched
analysis was performed to compare the 2 groups, bilateral ITA+/-SVG (BITA+/-SVG
group) and the LITA+RA+/-SVG group relative to overall survival and major adverse
cardiac and cerebrovascular events-free survival. Hazard ratios and their 95%
confidence intervals were estimated by COX regression stratified on matched
pairs. The incidence of perioperative major adverse cardiac and cerebrovascular
events was significantly lower in the BITA+/-SVG group (1.4% versus 7.6%,
P<0.001). Overall survival (hazard ratio 0.23; 95% confidence interval 0.066
0.81; P=0.022) and major adverse cardiac and cerebrovascular events-free survival
(hazard ratio 0.18; 95% confidence interval 0.08-0.42; P<0.001) were
significantly better in the BITA+/-SVG group compared to the LITA+RA+/-SVG group.
CONCLUSIONS: The results of our study provide strong evidence for the superiority
of a RITA graft compared to RA as a second conduit in MAR.
PMID- 21900081
TI - Placental growth factor regulates cardiac inflammation through the tissue
inhibitor of metalloproteinases-3/tumor necrosis factor-alpha-converting enzyme
axis: crucial role for adaptive cardiac remodeling during cardiac pressure
overload.
AB - BACKGROUND: Heart failure is one of the leading causes of mortality and is
primarily the final stage of several overload cardiomyopathies, preceded by an
early adaptive hypertrophic response and characterized by coordinated
cardiomyocyte growth, angiogenesis, and inflammation. Therefore, growth factors
and cytokines have to be critically regulated during cardiac response to
transverse aortic constriction. Interestingly, the dual properties of placental
growth factor as an angiogenic factor and cytokine make it a candidate to
participate in cardiac remodeling in response to hemodynamic overload. METHODS
AND RESULTS: After transverse aortic constriction, placental growth factor
knockout mice displayed a dysregulation of cardiac remodeling, negatively
affecting muscle growth. Molecular insights underscored that this effect was
ascribable mainly to a failure in the establishment of adequate inflammatory
response owing to an impaired activity of tumor necrosis factor-alpha-converting
enzyme. Interestingly, after transverse aortic constriction, placental growth
factor knockout mice had strongly increased levels of tissue inhibitor of
metalloproteinases-3, the main natural TACE inhibitor, thus indicating an
unbalance of the tissue inhibitor of metalloproteinases-3/tumor necrosis factor
alpha-converting enzyme axis. Strikingly, when we used an in vivo RNA
interference approach to reduce tissue inhibitor of metalloproteinases-3 levels
in placental growth factor knockout mice during transverse aortic constriction,
we obtained a complete phenotype rescue of early dilated cardiomyopathy.
CONCLUSIONS: Our results demonstrate that placental growth factor finely tunes a
balanced regulation of the tissue inhibitor of metalloproteinases-3/tumor
necrosis factor-alpha-converting enzyme axis and the consequent TNF-alpha
activation in response to transverse aortic constriction, thus allowing the
establishment of an inflammatory response necessary for adaptive cardiac
remodeling.
PMID- 21900080
TI - Echocardiographic markers of elevated pulmonary pressure and left ventricular
diastolic dysfunction are associated with exercise intolerance in adults and
adolescents with homozygous sickle cell anemia in the United States and United
Kingdom.
AB - BACKGROUND: Noninvasively assessed pulmonary pressure elevations and left
ventricular (LV) diastolic dysfunction are associated with increased mortality in
adults with sickle cell disease, but their relationship to exercise intolerance
has not been evaluated prospectively. METHODS AND RESULTS: Echocardiography, 6
minute walk distance, hemolytic rate, and serum concentrations of ferritin and
erythropoietin were evaluated in a cohort of 483 subjects with homozygous
hemoglobin S in the U.S. and U.K. Walk-Treatment of Pulmonary Hypertension and
Sickle Cell Disease with Sildenafil Therapy (Walk-PHaSST) study. Tricuspid
regurgitation velocity, which reflects systolic pulmonary artery pressure, was
2.7 to <3.0 m/s (mean+/-SD, 2.8+/-0.1) in 26% of the subjects and >=3.0 m/s
(mean+/-SD, 3.4+/-0.4) in 11%. The LV lateral E/e' ratio, which has been shown to
reflect LV filling pressure in other conditions but has not been studied in
sickle cell disease, was significantly higher in the groups with tricuspid
regurgitation velocity >=2.7 m/s. Increased hemolysis (P<0.0001), LV lateral E/e'
ratio (P=0.0001), blood urea nitrogen (P=0.0002), and erythropoietin (P=0.002)
were independently associated with an increased tricuspid regurgitation velocity.
Furthermore, female sex (P<0.0001), older age (P<0.0001), LV lateral E/e' ratio
(P=0.014), and tricuspid regurgitation velocity (P=0.019) were independent
predictors of a shorter 6-minute walk distance. CONCLUSIONS: Echocardiography
estimated elevated pulmonary artery systolic pressure and LV lateral E/e' ratio
were independently associated with poor exercise capacity in a large cohort of
patients with sickle cell anemia. Controlled trials investigating whether
strategies to prevent or delay pulmonary hypertension and/or LV diastolic
dysfunction will improve exercise capacity and long-term outcomes in sickle cell
anemia should be considered. Clinical Trial Registration- URL:
http://www.clinicaltrials.gov. Unique identifier: NCT00492531.
PMID- 21900083
TI - Heart disease may be a risk factor for pulmonary embolism without peripheral deep
venous thrombosis.
AB - BACKGROUND: Heart diseases increase the risk of arterial embolism; whether they
increase the risk of pulmonary embolism without peripheral venous thrombosis is
less certain. METHODS AND RESULTS: We conducted a nationwide, population-based
case-control study in Denmark using patients diagnosed with pulmonary embolism
and/or deep venous thrombosis between 1980 and 2007. We computed odds ratios to
estimate relative risks associating preceding heart disease with pulmonary
embolism, pulmonary embolism and deep venous thrombosis, or deep venous
thrombosis alone. In this study, 45,282 patients had pulmonary embolism alone,
4680 had pulmonary embolism and deep venous thrombosis, and 59,790 had deep
venous thrombosis alone; 541,561 were population controls. Myocardial infarction
and heart failure in the preceding 3 months conferred high risks of apparently
isolated pulmonary embolism (odds ratio, 43.5 [95% confidence interval (CI), 39.6
47.8] and 32.4 [95% CI, 29.8-35.2], respectively), whereas the risks of combined
pulmonary embolism and deep venous thrombosis (19.7 [95% CI, 16.0-24.2] and 22.1
[95% CI, 18.7-26.0], respectively) and deep venous thrombosis alone (9.6 [95% CI,
8.6-10.7] and 12.7 [95% CI, 11.6-13.9], respectively) were lower. Left-sided
valvular disease was associated with an odds ratio of 13.5 (95% CI, 11.3-16.1),
whereas the odds ratio was 74.6 (95% CI, 28.4-195.8) for right-sided valvular
disease. Restricting the analysis to cases diagnosed after 2000 led to lower risk
estimates but the same overall pattern. CONCLUSION: Heart diseases increase the
near-term risk for pulmonary embolism not associated with diagnosed peripheral
vein thrombosis.
PMID- 21900084
TI - Predictors of response to cardiac resynchronization therapy in the Multicenter
Automatic Defibrillator Implantation Trial with Cardiac Resynchronization Therapy
(MADIT-CRT).
AB - BACKGROUND: We hypothesized that combined assessment of factors that are
associated with favorable reverse remodeling after cardiac resynchronization
defibrillator therapy (CRT-D) can be used to predict clinical response to the
device. METHODS AND RESULTS: The study population comprised 1761 patients
enrolled in the Multicenter Automatic Defibrillator Implantation Trial With
Cardiac Resynchronization Therapy (MADIT-CRT). Best-subset regression analysis
was performed to identify factors associated with echocardiographic response
(defined as percent reduction in left ventricular end-diastolic volume 1 year
after CRT-D implantation) and to create a response score. Cox proportional
hazards regression analysis was used to evaluate the CRT-D versus defibrillator
only reduction in the risk of heart failure or death by the response score. Seven
factors were identified as associated with echocardiographic response to CRT-D
and made up the response score (female sex, nonischemic origin, left bundle
branch block, QRS >=150 milliseconds, prior hospitalization for heart failure,
left ventricular end-diastolic volume >=125 mL/m(2), and left atrial volume <40
mL/m(2)). Multivariate analysis showed a 13% (P<0.001) increase in the clinical
benefit of CRT-D per 1-point increment in the response score (range, 0-14) and a
significant direct correlation between risk reduction associated with CRT-D and
response score quartiles: Patients in the first quartile did not derive a
significant reduction in the risk of heart failure or death with CRT-D (hazard
ratio=0.87; P=0.52); patients in the second and third quartiles derived 33%
(P=0.04) and 36% (P=0.03) risk reductions, respectively; and patients in the
upper quartile experienced a 69% (P<0.001) risk reduction (P for trend=0.005).
CONCLUSION: Combined assessment of factors associated with reverse remodeling can
be used for improved selection of patients for cardiac resynchronization therapy.
Clinical Trial Registration- URL: http://www.clinicaltrials.gov. Unique
identifier: NCT00180271.
PMID- 21900085
TI - Role of cardiovascular magnetic resonance as a gatekeeper to invasive coronary
angiography in patients presenting with heart failure of unknown etiology.
AB - BACKGROUND: In patients presenting with new-onset heart failure of uncertain
etiology, the role of coronary angiography (CA) is unclear. Although
conventionally performed to differentiate underlying coronary artery disease from
dilated cardiomyopathy, CA is associated with a risk of complications and may not
detect an ischemic cause resulting from arterial recanalization or an embolic
episode. In this study, we assessed the diagnostic accuracy of a cardiovascular
magnetic resonance (CMR) protocol incorporating late gadolinium enhancement (LGE)
and magnetic resonance CA as a noninvasive gatekeeper to CA in determining the
etiology of heart failure in this subset of patients. METHODS AND RESULTS: One
hundred twenty consecutive patients underwent CMR and CA. The etiology was
ascribed by a consensus panel that used the results of the CMR scans. Similarly,
a separate consensus group ascribed an underlying cause by using the results of
CA. The diagnostic accuracy of both strategies was compared against a gold
standard panel that made a definitive judgment by reviewing all clinical data.
The study was powered to show noninferiority between the 2 techniques. The
sensitivity of 100%, specificity of 96%, and diagnostic accuracy of 97% for LGE
CMR were equivalent to CA (sensitivity, 93%; specificity, 96%; and diagnostic
accuracy, 95%). As a gatekeeper to CA, LGE-CMR was also found to be a cheaper
diagnostic strategy in a decision tree model when United Kingdom-based costs were
assumed. The economic merits of this model would change, depending on the
relative costs of LGE-CMR and CA in any specific healthcare system. CONCLUSION:
This study showed that LGE-CMR is a safe, clinically effective, and potentially
economical gatekeeper to CA in patients presenting with heart failure of
uncertain etiology.
PMID- 21900086
TI - Therapeutic inhibition of miR-208a improves cardiac function and survival during
heart failure.
AB - BACKGROUND: Diastolic dysfunction in response to hypertrophy is a major clinical
syndrome with few therapeutic options. MicroRNAs act as negative regulators of
gene expression by inhibiting translation or promoting degradation of target
mRNAs. Previously, we reported that genetic deletion of the cardiac-specific miR
208a prevents pathological cardiac remodeling and upregulation of Myh7 in
response to pressure overload. Whether this miRNA might contribute to diastolic
dysfunction or other forms of heart disease is currently unknown. METHODS AND
RESULTS: Here, we show that systemic delivery of an antisense oligonucleotide
induces potent and sustained silencing of miR-208a in the heart. Therapeutic
inhibition of miR-208a by subcutaneous delivery of antimiR-208a during
hypertension-induced heart failure in Dahl hypertensive rats dose-dependently
prevents pathological myosin switching and cardiac remodeling while improving
cardiac function, overall health, and survival. Transcriptional profiling
indicates that antimiR-208a evokes prominent effects on cardiac gene expression;
plasma analysis indicates significant changes in circulating levels of miRNAs on
antimiR-208a treatment. CONCLUSIONS: These studies indicate the potential of
oligonucleotide-based therapies for modulating cardiac miRNAs and validate miR
208 as a potent therapeutic target for the modulation of cardiac function and
remodeling during heart disease progression.
PMID- 21900088
TI - Reversal of rivaroxaban and dabigatran by prothrombin complex concentrate: a
randomized, placebo-controlled, crossover study in healthy subjects.
AB - BACKGROUND: Rivaroxaban and dabigatran are new oral anticoagulants that
specifically inhibit factor Xa and thrombin, respectively. Clinical studies on
the prevention and treatment of venous and arterial thromboembolism show
promising results. A major disadvantage of these anticoagulants is the absence of
an antidote in case of serious bleeding or when an emergency intervention needs
immediate correction of coagulation. This study evaluated the potential of
prothrombin complex concentrate (PCC) to reverse the anticoagulant effect of
these drugs. METHODS AND RESULTS: In a randomized, double-blind, placebo
controlled study, 12 healthy male volunteers received rivaroxaban 20 mg twice
daily (n=6) or dabigatran 150 mg twice daily (n=6) for 21/2 days, followed by
either a single bolus of 50 IU/kg PCC (Cofact) or a similar volume of saline.
After a washout period, this procedure was repeated with the other anticoagulant
treatment. Rivaroxaban induced a significant prolongation of the prothrombin time
(15.8+/-1.3 versus 12.3+/-0.7 seconds at baseline; P<0.001) that was immediately
and completely reversed by PCC (12.8+/-1.0; P<0.001). The endogenous thrombin
potential was inhibited by rivaroxaban (51+/-22%; baseline, 92+/-22%; P=0.002)
and normalized with PCC (114+/-26%; P<0.001), whereas saline had no effect.
Dabigatran increased the activated partial thromboplastin time, ecarin clotting
time (ECT), and thrombin time. Administration of PCC did not restore these
coagulation tests. CONCLUSION: Prothrombin complex concentrate immediately and
completely reverses the anticoagulant effect of rivaroxaban in healthy subjects
but has no influence on the anticoagulant action of dabigatran at the PCC dose
used in this study. Clinical Trial Registration- URL:
http://www.trialregister.nl. Unique identifier: NTR2272.
PMID- 21900089
TI - Multimodality imaging in an unusual case of palpitations and right heart failure.
PMID- 21900087
TI - Mechanisms of myocardial infarction in women without angiographically obstructive
coronary artery disease.
AB - BACKGROUND: There is no angiographically demonstrable obstructive coronary artery
disease (CAD) in a significant minority of patients with myocardial infarction,
particularly women. We sought to determine the mechanism(s) of myocardial
infarction in this setting using multiple imaging techniques. METHODS AND
RESULTS: Women with myocardial infarction were enrolled prospectively, before
angiography, if possible. Women with >=50% angiographic stenosis or use of
vasospastic agents were excluded. Intravascular ultrasound was performed during
angiography; cardiac magnetic resonance imaging was performed within 1 week.
Fifty women (age, 57+/-13 years) had median peak troponin of 1.60 ng/mL; 11 had
ST-segment elevation. Median diameter stenosis of the worst lesion was 20% by
angiography; 15 patients (30%) had normal angiograms. Plaque disruption was
observed in 16 of 42 patients (38%) undergoing intravascular ultrasound. There
were abnormal myocardial cardiac magnetic resonance imaging findings in 26 of 44
patients (59%) undergoing cardiac magnetic resonance imaging, late gadolinium
enhancement (LGE) in 17 patients, and T2 signal hyperintensity indicating edema
in 9 additional patients. The most common LGE pattern was ischemic
(transmural/subendocardial). Nonischemic LGE patterns
(midmyocardial/subepicardial) were also observed. Although LGE was infrequent
with plaque disruption, T2 signal hyperintensity was common with plaque
disruption. CONCLUSIONS: Plaque rupture and ulceration are common in women with
myocardial infarction without angiographically demonstrable obstructive coronary
artery disease. In addition, LGE is common in this cohort of women, with an
ischemic pattern of injury most evident. Vasospasm and embolism are possible
mechanisms of ischemic LGE without plaque disruption. Intravascular ultrasound
and cardiac magnetic resonance imaging provide complementary mechanistic insights
into female myocardial infarction patients without obstructive coronary artery
disease and may be useful in identifying potential causes and therapies. Clinical
Trial Registration- URL: http://www.clinicaltrials.gov. Unique identifier:
NCT00798122.
PMID- 21900090
TI - Cardiology patient page. Nutritional advice for the patient with heart disease:
what diet should we recommend for our patients?
PMID- 21900092
TI - Letter by Dimitrow and Cheng regarding article, "Exercise testing in
nonatherosclerotic heart disease: hypertrophic cardiomyopathy, valvular heart
disease, and arrhythmias".
PMID- 21900093
TI - Letter by Deutsch et al regarding article, "Long-term outcomes of endoscopic vein
harvesting after coronary artery bypass grafting".
PMID- 21900094
TI - Fish oil for secondary prevention of atrial fibrillation: should we still believe
in its antiarrhythmic effect?
PMID- 21900095
TI - The rise and fall of abdominal aortic aneurysm.
PMID- 21900097
TI - Pulmonary artery intimal sarcoma masquerading as pulmonary embolism.
PMID- 21900096
TI - New horizons in cardioprotection: recommendations from the 2010 National Heart,
Lung, and Blood Institute Workshop.
PMID- 21900098
TI - Bevacizumab-induced reversible posterior leukoencephalopathy syndrome and
successful retreatment in a patient with glioblastoma.
PMID- 21900099
TI - Soft-tissue plasmacytomas in multiple myeloma: incidence, mechanisms of
extramedullary spread, and treatment approach.
AB - We provide an overview on soft-tissue extramedullary plasmacytomas (EMPs) in
multiple myeloma (MM). We reviewed the incidence of EMPs in MM, myeloma bone
marrow homing, possible mechanisms of extramedullary spread, and prognosis and
response to therapy. The incidence of EMPs is 7% to 18% at MM diagnosis and up to
20% at relapse. The current notion that EMPs are more frequent after treatment
with novel agents remains to be proven, especially considering that different
patterns of disease recurrence can emerge as patients live longer in the era of
novel drugs. Bone marrow genetic abnormalities are not associated with
extramedullary spread per se, which also suggests that microenvironmental
interactions are key. Possible mechanisms of extramedullary spread include
decreased adhesion molecule expression and downregulation of chemokine receptors.
EMPs usually show plasmablastic morphology with negative CD56 expression. High
dose therapy with autologous stem-cell transplantation (ASCT) can overcome the
negative prognostic impact of extramedullary disease in younger selected
patients. EMPs do not typically respond to thalidomide alone, but in contrast,
responses to bortezomib have been reported. The incidence of EMPs in patients
with MM is high and is associated with poor outcome in patients treated
conventionally. A potential first-line treatment option seems to be a bortezomib
containing regimen followed by ASCT, whenever possible. Experimental studies on
the mechanisms of myeloma cell adhesion, myeloma growth at extramedullary sites,
and drug sensitivity are priorities for this area of continuing therapeutic
challenge.
PMID- 21900100
TI - FOLFIRINOX: a small step or a great leap forward?
PMID- 21900101
TI - Melanoma staging: implications of histologic sectioning procedures.
PMID- 21900102
TI - European Treatment and Outcome Study (EUTOS) score for chronic myeloid leukemia
still requires more confirmation.
PMID- 21900103
TI - Myxopapillary ependymoma with pleuropulmonary metastases and high plasma glial
fibrillary acidic protein levels.
PMID- 21900104
TI - Pharmacogenomic prediction of anthracycline-induced cardiotoxicity in children.
AB - PURPOSE: Anthracycline-induced cardiotoxicity (ACT) is a serious adverse drug
reaction limiting anthracycline use and causing substantial morbidity and
mortality. Our aim was to identify genetic variants associated with ACT in
patients treated for childhood cancer. PATIENTS AND METHODS: We carried out a
study of 2,977 single-nucleotide polymorphisms (SNPs) in 220 key drug
biotransformation genes in a discovery cohort of 156 anthracycline-treated
children from British Columbia, with replication in a second cohort of 188
children from across Canada and further replication of the top SNP in a third
cohort of 96 patients from Amsterdam, the Netherlands. RESULTS: We identified a
highly significant association of a synonymous coding variant rs7853758 (L461L)
within the SLC28A3 gene with ACT (odds ratio, 0.35; P = 1.8 * 10(-5) for all
cohorts combined). Additional associations (P < .01) with risk and protective
variants in other genes including SLC28A1 and several adenosine triphosphate
binding cassette transporters (ABCB1, ABCB4, and ABCC1) were present. We further
explored combining multiple variants into a single-prediction model together with
clinical risk factors and classification of patients into three risk groups. In
the high-risk group, 75% of patients were accurately predicted to develop ACT,
with 36% developing this within the first year alone, whereas in the low-risk
group, 96% of patients were accurately predicted not to develop ACT. CONCLUSION:
We have identified multiple genetic variants in SLC28A3 and other genes
associated with ACT. Combined with clinical risk factors, genetic risk profiling
might be used to identify high-risk patients who can then be provided with safer
treatment options.
PMID- 21900105
TI - 2011 Focused Update of 2009 American Society of Clinical Oncology Clinical
Practice Guideline Update on Chemotherapy for Stage IV Non-Small-Cell Lung
Cancer.
AB - PURPOSE: An American Society of Clinical Oncology (ASCO) focused update updates a
single recommendation (or subset of recommendations) in advance of a regularly
scheduled guideline update. This document updates one recommendation of the ASCO
Guideline Update on Chemotherapy for Stage IV Non-Small-Cell Lung Cancer (NSCLC)
regarding switch maintenance chemotherapy. CLINICAL CONTEXT: Recent results from
phase III clinical trials have demonstrated that in patients with stage IV NSCLC
who have received four cycles of first-line chemotherapy and whose disease has
not progressed, an immediate switch to alternative, single-agent chemotherapy can
extend progression-free survival and, in some cases, overall survival. Because of
limitations in the data, delayed treatment with a second-line agent after disease
progression is also acceptable. RECENT DATA: Seven randomized controlled trials
of carboxyaminoimidazole, docetaxel, erlotinib, gefitinib, gemcitabine, and
pemetrexed have evaluated outcomes in patients who received an immediate, non
cross resistant alternative therapy (switch maintenance) after first-line
therapy. RECOMMENDATION: In patients with stage IV NSCLC, first-line cytotoxic
chemotherapy should be stopped at disease progression or after four cycles in
patients whose disease is stable but not responding to treatment. Two-drug
cytotoxic combinations should be administered for no more than six cycles. For
those with stable disease or response after four cycles, immediate treatment with
an alternative, single-agent chemotherapy such as pemetrexed in patients with
nonsquamous histology, docetaxel in unselected patients, or erlotinib in
unselected patients may be considered. Limitations of this data are such that a
break from cytotoxic chemotherapy after a fixed course is also acceptable, with
initiation of second-line chemotherapy at disease progression.
PMID- 21900107
TI - Flaxseed and breast cancer: what should we tell our patients?
PMID- 21900106
TI - Response to neoadjuvant systemic therapy for breast cancer in BRCA mutation
carriers and noncarriers: a single-institution experience.
AB - PURPOSE: To compare the pathologic complete response (pCR) rate and relapse-free
survival (RFS) and overall survival (OS) after neoadjuvant systemic chemotherapy
(NST) in patients with breast cancer with and without deleterious BRCA1 and BRCA2
mutations. PATIENTS AND METHODS: A total of 317 women who underwent BRCA genetic
testing and were treated with NST for breast cancer between 1997 and 2009 were
included in the study. The Kaplan-Meier product-limit method was used to estimate
RFS and OS rates. Logistic regression models were fit to determine the
associations between BRCA status, pCR, and survival. RESULTS: Fifty-seven (18%)
and 23 (7%) patients had BRCA1 and BRCA2 mutations, respectively. Twenty-six
(46%) of 57 BRCA1 carriers achieved a pCR, compared with three (13%) of 23 BRCA2
carriers and 53 (22%) of 237 BRCA noncarriers (P < .001). In the multivariate
logistic model, BRCA1 status (odds ratio [OR] = 3.16; 95% CI, 1.55 to 6.42; P =
.002), estrogen receptor (ER) negativity (OR = 1.96; 95% CI:1.05 to 3.65; P =
.03) and concurrent trastuzumab use (OR = 4.18; 95% CI, 2.04 to 8.57; P < .001)
remained as independent significant predictors for a pCR. At a median follow-up
of 3.2 years, 69 patients (22%) experienced a disease recurrence or death. No
significant differences were noted in survival outcomes with respect to BRCA
status and type of NST received. However, among BRCA1 carriers, patients who
achieved a pCR had better 5-year RFS (P = .001) and OS (P = .01) rates than
patients who did not. CONCLUSION: BRCA1 status and ER negativity are
independently associated with higher pCR rates in patients with breast cancer.
Overall prognosis of breast cancer in BRCA carriers is similar to sporadic breast
cancers.
PMID- 21900108
TI - Should the presence of germline BRCA1/2 mutations influence treatment selection
in breast cancer?
PMID- 21900109
TI - Parathyroid carcinoma: a rare case report.
PMID- 21900110
TI - Prostatic urethral recurrence of urachal adenocarcinoma.
PMID- 21900111
TI - "Unfit" for Cisplatin: on basis of which GFR?
PMID- 21900112
TI - American Society of Clinical Oncology endorsement of the cancer care Ontario
practice guideline on adjuvant ovarian ablation in the treatment of premenopausal
women with early-stage invasive breast cancer.
AB - PURPOSE: The American Society of Clinical Oncology (ASCO) has policies and
procedures for endorsing practice guidelines that have been developed by other
professional organizations. METHODS: The Cancer Care Ontario (CCO) Guideline on
Adjuvant Ovarian Ablation (OA) in the Treatment of Premenopausal Women With Early
Stage Invasive Breast Cancer was reviewed for developmental rigor by
methodologists. An ad hoc review panel of experts reviewed the content. RESULTS:
The ASCO ad hoc OA guideline review panel concurred that the recommendations are
clear, thorough, based on the most relevant scientific evidence in this content
area, and present options that will be acceptable to patients. According to the
CCO guideline: one, OA should not be routinely added to systemic therapy with
chemotherapy, tamoxifen, or the combination of tamoxifen and chemotherapy; two,
OA alone is not recommended as an alternative to any other form of systemic
therapy, except in the specific case of patients who are candidates for other
forms of systemic therapy but who, for some reason, will not receive any other
systemic therapy (eg, patients who cannot tolerate other forms of systemic
therapy or patients who choose no other form of systemic therapy); and three,
when chemical suppression using luteinizing hormone-releasing hormone agonists is
the chosen method of OA, in the opinion of the Breast Cancer Disease Site Group,
monthly injection is the recommended mode of administration. The mode of
administration in nearly all of the available trials has been monthly
administration. CONCLUSION: The ASCO review panel agrees with the recommendations
as stated in the CCO guideline, with the qualification that ongoing research
studies may alter the recommendations of the panel.
PMID- 21900113
TI - Phase I pharmacokinetic and pharmacodynamic dose-escalation study of RG7160
(GA201), the first glycoengineered monoclonal antibody against the epidermal
growth factor receptor, in patients with advanced solid tumors.
AB - PURPOSE: We conducted a phase I dose-escalation study to characterize the safety,
efficacy, pharmacokinetic (PK), and pharmacodynamic properties of RG7160 (GA201),
a humanized and glycoengineered immunoglobulin G(1) anti-epidermal growth factor
receptor (EGFR) monoclonal antibody with enhanced antibody-dependent cell
mediated cytotoxicity. PATIENTS AND METHODS: Seventy-five patients with advanced
EGFR-positive solid tumors received RG7160 (50 to 1,400 mg) administered every
week, every 2 weeks, or every 3 weeks. Dose escalation followed a three-plus
three trial design. RESULTS: No maximum-tolerated dose was reached for any dosing
schedule. Common adverse events (AEs) included rash (80% of patients), infusion
related reactions (77%), and hypomagnesemia (56%). Grades 3 and 4 AEs were rash
(grade 3, 25%), infusion-related reaction (grade 3, 7%; grade 4, 1%), paronychia
(grade 3, 3%), and hypomagnesemia (grade 3, 1%; grade 4, 1%). RG7160 exposure
increased greater than proportionally over the 50- to 400-mg dose range (with
greater than proportional decline in clearance) and approximately dose
proportionally above 400 mg (where clearance plateaued). A marked reduction in
circulating natural killer cells and increased infiltration of immune effector
cells into skin rash were seen. Clinical efficacy included one complete response
and two partial responses in patients with colorectal cancer (including one with
KRAS mutation) and disease stabilization in 27 patients. CONCLUSION: RG7160 had
an acceptable safety profile with manageable AEs and demonstrated promising
efficacy in this heavily pretreated patient cohort. On the basis of modeling of
available PK parameters, the RG7160 dose selected for part two of this study is
1,400 mg on days 1 and 8 followed by 1,400 mg every 2 weeks.
PMID- 21900114
TI - Age, breast cancer subtype approximation, and local recurrence after breast
conserving therapy.
AB - PURPOSE: Prior results of breast-conserving therapy (BCT) have shown substantial
rates of local recurrence (LR) in young patients with breast cancer (BC).
PATIENTS AND METHODS: We studied 1,434 consecutive patients with invasive BC who
received BCT from December 1997 to July 2006. Ninety-one percent received
adjuvant systemic therapy; no patients received trastuzumab. Five BC subtypes
were approximated: estrogen receptor (ER) or progesterone receptor (PR) positive,
HER2 negative, and grades 1 to 2 (ie, luminal A); ER positive or PR positive,
HER2 negative, and grade 3 (ie, luminal B); ER or PR positive, and HER2 positive
(ie, luminal HER2); ER negative, PR negative, and HER2 positive (ie, HER2); and
ER negative, PR negative, and HER2 negative (ie, triple negative). Actuarial
rates of LR were calculated by using the Kaplan-Meier method. RESULTS: Median
follow-up was 85 months. Overall 5-year cumulative incidence of LR was 2.1% (95%
CI, 1.4% to 3.0%). The 5-year cumulative incidence of LR was 5.0% (95% CI, 3.0%
to 8.3%) for age quartile 23 to 46 years; 2.2% (95% CI, 1.0% to 4.6%) for ages 47
to 54 years; 0.9% (95% CI, 0.3% to 2.6%) for ages 55 to 63 years; and 0.6% (95%
CI, 0.1% to 2.2%) for ages 64 to 88 years. The 5-year cumulative incidence of LR
was 0.8% (95% CI, 0.4% to 1.8%) for luminal A; 2.3% (95% CI, 0.8% to 5.9%) for
luminal B; 1.1% (95% CI, 0.2% 7.4%) for luminal HER2; 10.8% (95% CI, 4.6% to
24.4%) for HER2; and 6.7% (95% CI, 3.6% to 12.2%) for triple negative. On
multivariable analysis, increasing age was associated with decreased risk of LR
(adjusted hazard ratio, 0.97; 95% CI, 0.94 to 0.99; P = .009). CONCLUSION: In the
era of systemic therapy and BC subtyping, age remains an independent prognostic
factor after BCT. However, the risk of LR for young women appears acceptably low.
PMID- 21900115
TI - Serum enterolactone and prognosis of postmenopausal breast cancer.
AB - PURPOSE: Lignans--plant-derived compounds with estrogen-dependent and
independent anticarcinogenic properties--have been associated with postmenopausal
breast cancer risk, but data are limited regarding their effect on survival.
Dietary lignans are metabolized to enterolignans, which are subsequently absorbed
and become bioavailable. PATIENTS AND METHODS: We assessed the prognosis of 1,140
postmenopausal patients with breast cancer age 50 to 74 years who were diagnosed
between 2002 and 2005. Vital status through the end of 2009 was ascertained via
local population registries, and deaths were verified by death certificates.
Information on recurrences and secondary tumors was verified by clinical records
and attending physicians. Associations of postdiagnostic serum enterolactone (a
biomarker for dietary lignans) with overall survival and distant disease-free
survival were assessed by using Cox proportional hazards models stratified by age
at diagnosis and adjusted for prognostic factors. RESULTS: Median enterolactone
levels for deceased patients and those still alive were 17.0 and 21.4 nmol/L,
respectively. During a median of 6.1 years of follow-up after diagnosis, 162
deaths were confirmed. Higher serum enterolactone levels were associated with
significantly reduced hazard ratios (HRs) for death (HR per 10 nmol/L increment,
0.94; P = .04; HR for the highest quartile, 0.58; 95% CI, 0.34 to 0.99). For
distant disease, HR was 0.94 per 10 nmol/L increment (P = .08) and 0.62 (95% CI,
0.35 to 1.09) for the highest quartile. The highest quartile of serum
enterolactone was associated with a significantly reduced risk of death only for
estrogen receptor-negative tumors (HR, 0.27; 95% CI, 0.08 to 0.87) but not for
estrogen receptor-positive tumors (HR, 0.91; 95% CI, 0.45 to 1.84: P for
heterogeneity = .09). CONCLUSION: Postmenopausal patients with breast cancer who
have high serum enterolactone levels may have better survival.
PMID- 21900116
TI - Transient blood transfusion reaction masquerading as a post-transplantation
lymphoproliferative disorder mimicking acute leukemia cutis.
PMID- 21900117
TI - Improved evidence will support sound decision making but will not solve the
problem of cost containment in oncology.
PMID- 21900118
TI - Cervical cancer prevention: better tests, better tools, and more equitable
outcomes.
PMID- 21900119
TI - Persistence of type-specific human papillomavirus infection and increased long
term risk of cervical cancer.
AB - BACKGROUND: Human papillomavirus (HPV) persistence is the pivotal event in
cervical carcinogenesis. We followed a large-scale community-based cohort for 16
years to investigate the role of genotype-specific HPV persistence in predicting
cervical cancer including invasive and in situ carcinoma. METHODS: At the
baseline examination in 1991-1992, 11,923 participants (aged 30-65 years)
consented to HPV testing and cytology; 6923 participants were reexamined in 1993
1995. For HPV testing, we used a polymerase chain reaction-based assay that
detected 39 HPV types. Women who developed cervical cancer were identified from
cancer and death registries. Cumulative risks for developing cervical cancer
among infected and persistently infected women were calculated by the Kaplan
Meier method. RESULTS: Of 10,123 women who were initially cytologically normal,
68 developed cervical cancer. The 16-year cumulative risks of subsequent cervical
cancer for women with HPV16, HPV58 (without HPV16), or other carcinogenic HPV
types (without HPV16 or HPV58) were 13.5%, 10.3%, and 4.0%, respectively,
compared with 0.26% for HPV-negative women. Women with type-specific persistence
of any carcinogenic HPV had greatly increased risk compared with women who were
HPV-negative at both visits (hazard ratio = 75.4, 95% confidence interval = 31.8
to 178.9). The cumulative cervical cancer risks following persistent carcinogenic
HPV infections increased with age: The risks were 5.5%, 14.4%, and 18.1% for
women aged 30-44 years, 45-54 years, and 55 years and older, respectively.
However, newly acquired infections were associated with a low risk of cervical
cancer regardless of age. CONCLUSIONS: HPV negativity was associated with a very
low long-term risk of cervical cancer. Persistent detection of HPV among
cytologically normal women greatly increased risk. Thus, it is useful to perform
repeated HPV testing following an initial positive test.
PMID- 21900120
TI - Model-based analyses to compare health and economic outcomes of cancer control:
inclusion of disparities.
AB - BACKGROUND: Disease simulation models of the health and economic consequences of
different prevention and treatment strategies can guide policy decisions about
cancer control. However, models that also consider health disparities can
identify strategies that improve both population health and its equitable
distribution. METHODS: We devised a typology of cancer disparities that considers
types of inequalities among black, white, and Hispanic populations across
different cancers and characteristics important for near-term policy discussions.
We illustrated the typology in the specific example of cervical cancer using an
existing disease simulation model calibrated to clinical, epidemiological, and
cost data for the United States. We calculated average reduction in cancer
incidence overall and for black, white, and Hispanic women under five different
prevention strategies (Strategies A1, A2, A3, B, and C) and estimated average
costs and life expectancy per woman, and the cost-effectiveness ratio for each
strategy. RESULTS: Strategies that may provide greater aggregate health benefit
than existing options may also exacerbate disparities. Combining human
papillomavirus vaccination (Strategy A2) with current cervical cancer screening
patterns (Strategy A1) resulted in an average reduction of 69% in cancer
incidence overall but a 71.6% reduction for white women, 68.3% for black women,
and 63.9% for Hispanic women. Other strategies targeting risk-based screening to
racial and ethnic minorities reduced disparities among racial subgroups and
resulted in more equitable distribution of benefits among subgroups (reduction in
cervical cancer incidence, white vs. Hispanic women, 69.7% vs. 70.1%). Strategies
that employ targeted risk-based screening and new screening algorithms, with or
without vaccination (Strategies B and C), provide excellent value. The most
effective strategy (Strategy C) had a cost-effectiveness ratio of $28,200 per
year of life saved when compared with the same strategy without vaccination.
CONCLUSIONS: We identify screening strategies for cervical cancer that provide
greater aggregate health benefit than existing options, offer excellent cost
effectiveness, and have the biggest positive impact in worst-off groups. The
typology proposed here may also be useful in research and policy decisions when
trade-offs between fairness and cost-effectiveness are unavoidable.
PMID- 21900121
TI - Delayed bone regeneration and low bone mass in a rat model of insulin-resistant
type 2 diabetes mellitus is due to impaired osteoblast function.
AB - Patients with diabetes mellitus have an impaired bone metabolism; however, the
underlying mechanisms are poorly understood. Here, we analyzed the impact of type
2 diabetes mellitus on bone physiology and regeneration using Zucker diabetic
fatty (ZDF) rats, an established rat model of insulin-resistant type 2 diabetes
mellitus. ZDF rats develop diabetes with vascular complications when fed a
Western diet. In 21-wk-old diabetic rats, bone mineral density (BMD) was 22.5%
(total) and 54.6% (trabecular) lower at the distal femur and 17.2% (total) and
20.4% (trabecular) lower at the lumbar spine, respectively, compared with
nondiabetic animals. BMD distribution measured by backscattered electron imaging
postmortem was not different between diabetic and nondiabetic rats, but
evaluation of histomorphometric indexes revealed lower mineralized bone
volume/tissue volume, trabecular thickness, and trabecular number. Osteoblast
differentiation of diabetic rats was impaired based on lower alkaline phosphatase
activity (-20%) and mineralized matrix formation (-55%). In addition, the
expression of the osteoblast-specific genes bone morphogenetic protein-2, RUNX2,
osteocalcin, and osteopontin was reduced by 40-80%. Osteoclast biology was not
affected based on tartrate-resistant acidic phosphatase staining, pit formation
assay, and gene profiling. To validate the implications of these molecular and
cellular findings in a clinically relevant model, a subcritical bone defect of 3
mm was created at the left femur after stabilization with a four-hole plate, and
bone regeneration was monitored by X-ray and microcomputed tomography analyses
over 12 wk. While nondiabetic rats filled the defects by 57%, diabetic rats
showed delayed bone regeneration with only 21% defect filling. In conclusion, we
identified suppressed osteoblastogenesis as a cause and mechanism for low bone
mass and impaired bone regeneration in a rat model of type 2 diabetes mellitus.
PMID- 21900122
TI - Increased maternal fat consumption during pregnancy alters body composition in
neonatal mice.
AB - Maternal overnutrition prior to and during gestation causes pronounced metabolic
dysfunction in the adult offspring. However, less is known about metabolic
adaptations in the offspring that occur independently of postnatal growth and
nutrition. Therefore, we evaluated the impact of excess maternal dietary lipid
intake on the in utero programming of body composition, hepatic function, and
hypothalamic development in newborn (P0) offspring. Female mice were fed a low
fat (LF) or high-fat (HF) diet and were mated after 4, 12, and 23 wk. A subset of
the obese HF dams was switched to the LF diet during the second (DR2) or third
(DR3) pregnancies. The HF offspring accrued more fat mass than the LF pups,
regardless of duration of maternal HF diet consumption or prepregnancy maternal
adiposity. Increased neonatal adiposity was not observed in the DR3 pups. Liver
weights were reduced in the HF offspring but not in the DR2 or DR3 pups.
Offspring hepatic triglyceride content was reduced in the HF pups, but hepatic
inflammation and expression of lipid metabolism genes were largely unaffected by
maternal diet. Maternal diet did not alter the hypothalamic expression of
orexigenic and anorexigenic neuropeptides in the offspring. Thus, the
intrauterine programming of increased neonatal adiposity and reduced liver size
by maternal overnutrition is evident in mice at birth and occurs prior to the
development of maternal obesity. These observations demonstrate that dietary
intervention during pregnancy minimizes the deleterious effects of maternal
obesity on offspring body composition, potentially reducing the offsprings' risk
of developing obesity and related diseases later in life.
PMID- 21900123
TI - Globular adiponectin counteracts VCAM-1-mediated monocyte adhesion via AdipoR1/NF
kappaB/COX-2 signaling in human aortic endothelial cells.
AB - Adiponectin (Ad) is an insulin-sensitizing adipocytokine with anti-inflammatory
and vasoprotective properties. Cleavage of native full-length Ad (fAd) by
elastases from activated monocytes generates globular Ad (gAd). Increased gAd
levels are observed in the proximity of atherosclerotic lesions, but the
physiological meaning of this proteolytic Ad fragment in the cardiovascular
system is controversial. We compared molecular and biological properties of fAd
and gAd in human aortic endothelial cells (HAEC). In control HAEC, both fAd and
gAd acutely stimulated nitric oxide (NO) production by AMPK-dependent pathways.
With respect to fAd, gAd more efficiently increased activation of NF-kappaB
signaling pathways, resulting in cyclooxygenase-2 (COX-2) overexpression and COX
2-dependent prostacyclin 2 (PGI(2)) release. In contrast with fAd, gAd also
increased p38 MAPK phosphorylation and VCAM-1 expression, ultimately enhancing
adhesion of monocytes to endothelial cells. In HAEC lacking AdipoR1 (by siRNA),
both activation of NF-kappaB as well as COX-2 overexpression by gAd were
abrogated. Conversely, gAd-mediated p38MAPK activation and VCAM-1 expression were
unaffected, and monocyte adhesion was greatly enhanced. In HAEC lacking COX-2 (by
siRNA), reduced levels of PGI(2) further increased gAd-dependent monocyte
adhesion. Our findings suggest that biological activities of fAd and gAd in
endothelium do not completely overlap, with gAd possessing both AdipoR1-dependent
ability to stimulate COX-2 expression and AdipoR1-independent effects related to
expression of VCAM-1 and adhesion of monocytes to endothelium.
PMID- 21900124
TI - Oscillations in joint synchrony of reproductive hormones in healthy men.
AB - Negative-feedback (inhibitory) and positive-feedforward (stimulatory) processes
regulate physiological systems. Whether such processes are themselves rhythmic is
not known. Here, we apply cross-approximate entropy (cross-ApEn), a noninvasive
measurement of joint (pairwise) signal synchrony, to inferentially assess
hypothesized circadian and ultradian variations in feedback coupling. The data
comprised simultaneous measurements of three pituitary and one peripheral hormone
(LH, FSH, prolactin, and testosterone) in 12 healthy men each sampled every 10
min for 4 days (5,760 min). Ergodicity, due to the time series stationarity of
the measurements over the 4 days, allows for effective estimation of parameters
based upon the 12 subjects. Cross-ApEn changes were quantified via moving-window
estimates applied to 4-day time series pairs. The resultant ordered windowed
cross-ApEn series (in time) were subjected to power spectrum analysis.
Rhythmicity was assessed against the null hypothesis of randomness using 1,000
simulated periodograms derived by shuffling the interpulse-interval hormone
concentration segments and redoing cross-ApEn windows and spectral analysis. By
forward cross-ApEn analysis, paired LH-testosterone, LH-prolactin, and LH-FSH
synchrony maintained dominant rhythms with periodicities of 18-22.5, 18, and 22.5
h, respectively (each P < 0.001). By reverse (feedback) cross-ApEn analysis,
testosterone-LH, testosterone-prolactin, and testosterone-FSH synchrony cycles
were 30, 18, and 30-45 h, respectively (each P <= 0.001). Significant 8- or 24-h
rhythms were also detected in most linkages, and maximal bihormonal synchrony
occurred consistently at ~0400-0500. Collectively, these analyses demonstrate
significant ultradian (<24 h), circadian (~24 h), and infradian (>24 h)
oscillations in pituitary-testis synchrony, wherein maximal biglandular
coordination is strongly constrained to the early morning hours.
PMID- 21900125
TI - Plasma PTX3 protein levels inversely correlate with insulin secretion and
obesity, whereas visceral adipose tissue PTX3 gene expression is increased in
obesity.
AB - Plasma acutephase protein pentraxin 3 (PTX3) concentration is dysregulated in
human obesity and metabolic syndrome. Here, we explore its relationship with
insulin secretion and sensitivity, obesity markers, and adipose tissue PTX3 gene
expression. Plasma PTX3 protein levels were analyzed in a cohort composed of 27
lean [body mass index (BMI) <= 25 kg/m(2)] and 48 overweight (BMI 25-30 kg/m(2))
men (cohort 1). In this cohort, plasma PTX3 was negatively correlated with
fasting triglyceride levels and insulin secretion after intravenous and oral
glucose administration. Plasma PTX3 protein and PTX3 gene expression in visceral
(VAT) and subcutaneous (SAT) whole adipose tissue and adipocyte and
stromovascular fractions were analyzed in cohort 2, which was composed of 19
lean, 28 overweight, and 15 obese subjects (BMI >30 kg/m(2)). An inverse
association with body weight and waist/hip ratio was observed in cohort 2. In VAT
depots, PTX3 mRNA levels were higher in subjects with BMI >25 kg/m(2) than in
lean subjects, positively correlated with IL-1beta mRNA levels, and higher in the
adipocyte than stromovascular fraction. Human preadipocyte SGBS cell line was
used to study PTX3 production in response to factors that obesity entails. In
SGBS adipocytes, PTX3 gene expression was enhanced by IL-1beta and TNFalpha but
not IL-6 or insulin. In conclusion, the negative correlation between PTX3 and
glucose-stimulated insulin secretion suggests a role for PTX3 in metabolic
control. PTX3 gene expression is upregulated in VAT depots in obesity, despite
lower plasma PTX3 protein, and by some proinflammatory cytokines in cultured
adipocytes.
PMID- 21900127
TI - The cover. Detail of The Philharmonic.
PMID- 21900128
TI - A piece of my mind. The mechanics of reasoning.
PMID- 21900126
TI - Adrenal androgen concentrations increase during infancy in male rhesus macaques
(Macaca mulatta).
AB - This study investigated adrenal androgens (AA), gonadotropins, and cortisol in
castrated and gonad-intact male rhesus macaques from birth through infancy. Blood
samples were collected longitudinally from castrated (n = 6; weekly, 1-40 wk) and
intact (n = 4; every other week, 1-17 wk) males. Plasma concentrations of AA were
determined by liquid chromatography-tandem mass spectrometry, and plasma
concentrations of cortisol and gonadotropins were determined by RIA.
Dehydroepiandrosterone sulfate (DHEAS) concentrations increased almost threefold
(to 8 wk), dehydroepiandrosterone (DHEA) increased more than eightfold (to 11
wk), and androstenedione doubled (to 15 wk) in five castrated infant males and
declined continuously thereafter. A sixth castrated male had markedly different
temporal patterns and concentrations (many times more than 2 SDs from the cohort
mean) of AA and gonadotropins from first sampling (3 wk) and was excluded from
analysis. Cortisol increased over 16 wk but correlated poorly with DHEAS.
Luteinizing and follicle-stimulating hormones increased to peaks at 3 and 7 wk,
respectively. Testis-intact males exhibited similar profiles, but with earlier
peaks of DHEAS (5 wk) and DHEA and androstenedione (7 wk). Peak concentrations of
DHEAS were lower and those of DHEA and androstenedione were higher in intact than
castrated infants. Testosterone was undetectable in castrated males and >0.5
ng/ml in intact males but was not correlated with DHEA or DHEAS. These are the
first data documenting a transient increase in AA secretion during infancy in an
Old World primate and are consistent with the previously documented time course
of zona reticularis development that accompanies increases in androgen synthetic
capacity of the adrenal. The rhesus is a promising model for androgen secretion
from the human adrenal cortex.
PMID- 21900129
TI - Emergency department closures in the United States.
PMID- 21900130
TI - Mental illness and firearm violence.
PMID- 21900131
TI - Mental illness and firearm violence.
PMID- 21900132
TI - US medical students' health insurance coverage for mental health and substance
abuse treatment.
PMID- 21900133
TI - Clinical protocols and trainee knowledge about mechanical ventilation.
AB - CONTEXT: Clinical protocols are associated with improved patient outcomes;
however, they may negatively affect medical education by removing trainees from
clinical decision making. OBJECTIVE: To study the relationship between critical
care training with mechanical ventilation protocols and subsequent knowledge
about ventilator management. DESIGN, SETTING, AND PARTICIPANTS: A retrospective
cohort equivalence study, linking a national survey of mechanical ventilation
protocol availability in accredited US pulmonary and critical care fellowship
programs with knowledge about mechanical ventilation among first-time examinees
of the American Board of Internal Medicine (ABIM) Critical Care Medicine
Certification Examination in 2008 and 2009. Exposure to protocols was defined as
high intensity if an examinee's training intensive care unit had 2 or more
protocols for at least 3 years and as low intensity if 0 or 1 protocol. MAIN
OUTCOME MEASURES: Knowledge, measured by performance on examination questions
specific to mechanical ventilation management, calculated as a mechanical
ventilation score using item response theory. The score is standardized to a mean
(SD) of 500 (100), and a clinically important difference is defined as 25.
Variables included in adjusted analyses were birth country, residency training
country, and overall first-attempt score on the ABIM Internal Medicine
Certification Examination. RESULTS: Ninety of 129 programs (70%) responded to the
survey. Seventy-seven programs (86%) had protocols for ventilation liberation, 66
(73%) for sedation management, and 54 (60%) for lung-protective ventilation at
the time of the survey. Eighty-eight (98%) of these programs had trainees who
completed the ABIM Critical Care Medicine Certification Examination, totaling 553
examinees. Of these 88 programs, 27 (31%) had 0 protocols, 19 (22%) had 1
protocol, 24 (27%) had 2 protocols, and 18 (20%) had 3 protocols for at least 3
years. Forty-two programs (48%) were classified as high intensity and 46 (52%) as
low intensity, with 304 trainees (55%) and 249 trainees (45%), respectively. In
bivariable analysis, no difference in mean scores was observed in high-intensity
(497; 95% CI, 486-507) vs low-intensity programs (497; 95% CI, 485-509). Mean
difference was 0 (95% CI, -16 to 16), with a positive value indicating a higher
score in the high-intensity group. In multivariable analyses, no association of
training was observed in a high-intensity program with mechanical ventilation
score (adjusted mean difference, -5.36; 95% CI, -20.7 to 10.0). CONCLUSION: Among
first-time ABIM Critical Care Medicine Certification Examination examinees,
training in a high-intensity ventilator protocol environment compared with a low
intensity environment was not associated with worse performance on examination
questions about mechanical ventilation management.
PMID- 21900134
TI - Association of unconscious race and social class bias with vignette-based
clinical assessments by medical students.
AB - CONTEXT: Studies involving physicians suggest that unconscious bias may be
related to clinical decision making and may predict poor patient-physician
interaction. The presence of unconscious race and social class bias and its
association with clinical assessments or decision making among medical students
is unknown. OBJECTIVE: To estimate unconscious race and social class bias among
first-year medical students and investigate its relationship with assessments
made during clinical vignettes. DESIGN, SETTING, AND PARTICIPANTS: A secure Web
based survey was administered to 211 medical students entering classes at Johns
Hopkins School of Medicine, Baltimore, Maryland, in August 2009 and August 2010.
The survey included the Implicit Association Test (IAT) to assess unconscious
preferences, direct questions regarding students' explicit race and social class
preferences, and 8 clinical assessment vignettes focused on pain assessment,
informed consent, patient reliability, and patient trust. Adjusting for student
demographics, multiple logistic regression was used to determine whether
responses to the vignettes were associated with unconscious race or social class
preferences. MAIN OUTCOME MEASURES: Association of scores on an established IAT
for race and a novel IAT for social class with vignette responses. RESULTS: Among
the 202 students who completed the survey, IAT responses were consistent with an
implicit preference toward white persons among 140 students (69%, 95% CI, 61%
75%). Responses were consistent with a preference toward those in the upper class
among 174 students (86%, 95% CI, 80%-90%). Assessments generally did not vary by
patient race or occupation, and multivariable analyses for all vignettes found no
significant relationship between implicit biases and clinical assessments.
Regression coefficient for the association between pain assessment and race IAT
scores was -0.49 (95% CI, -1.00 to 0.03) and for social class, the coefficient
was -0.04 (95% CI, -0.50 to 0.41). Adjusted odds ratios for other vignettes
ranged from 0.69 to 3.03 per unit change in IAT score, but none were
statistically significant. Analysis stratified by vignette patient race or class
status yielded similarly negative results. Tests for interactions between patient
race or class status and student IAT D scores in predicting clinical assessments
were not statistically significant. CONCLUSIONS: The majority of first-year
medical students at a single school had IAT scores consistent with implicit
preference for white persons and possibly for those in the upper class. However,
overall vignette-based clinical assessments were not associated with patient race
or occupation, and no association existed between implicit preferences and the
assessments.
PMID- 21900135
TI - Quality of life, burnout, educational debt, and medical knowledge among internal
medicine residents.
AB - CONTEXT: Physician distress is common and has been associated with negative
effects on patient care. However, factors associated with resident distress and
well-being have not been well described at a national level. OBJECTIVES: To
measure well-being in a national sample of internal medicine residents and to
evaluate relationships with demographics, educational debt, and medical
knowledge. DESIGN, SETTING, AND PARTICIPANTS: Study of internal medicine
residents using data collected on 2008 and 2009 Internal Medicine In-Training
Examination (IM-ITE) scores and the 2008 IM-ITE survey. Participants were 16,394
residents, representing 74.1% of all eligible US internal medicine residents in
the 2008-2009 academic year. This total included 7743 US medical graduates and
8571 international medical graduates. MAIN OUTCOME MEASURES: Quality of life
(QOL) and symptoms of burnout were assessed, as were year of training, sex,
medical school location, educational debt, and IM-ITE score reported as
percentage of correct responses. RESULTS: Quality of life was rated "as bad as it
can be" or "somewhat bad" by 2402 of 16,187 responding residents (14.8%). Overall
burnout and high levels of emotional exhaustion and depersonalization were
reported by 8343 of 16,192 (51.5%), 7394 of 16,154 (45.8%), and 4541 of 15,737
(28.9%) responding residents, respectively. In multivariable models, burnout was
less common among international medical graduates than among US medical graduates
(45.1% vs 58.7%; odds ratio, 0.70 [99% CI, 0.63-0.77]; P < .001). Greater
educational debt was associated with the presence of at least 1 symptom of
burnout (61.5% vs 43.7%; odds ratio, 1.72 [99% CI, 1.49-1.99]; P < .001 for debt
>$200,000 relative to no debt). Residents reporting QOL "as bad as it can be" and
emotional exhaustion symptoms daily had mean IM-ITE scores 2.7 points (99% CI,
1.2-4.3; P < .001) and 4.2 points (99% CI, 2.5-5.9; P < .001) lower than those
with QOL "as good as it can be" and no emotional exhaustion symptoms,
respectively. Residents reporting debt greater than $200,000 had mean IM-ITE
scores 5.0 points (99% CI, 4.4-5.6; P < .001) lower than those with no debt.
These differences were similar in magnitude to the 4.1-point (99% CI, 3.9-4.3)
and 2.6-point (99% CI, 2.4-2.8) mean differences associated with progressing from
first to second and second to third years of training, respectively. CONCLUSIONS:
In this national study of internal medicine residents, suboptimal QOL and
symptoms of burnout were common. Symptoms of burnout were associated with higher
debt and were less frequent among international medical graduates. Low QOL,
emotional exhaustion, and educational debt were associated with lower IM-ITE
scores.
PMID- 21900136
TI - Factors associated with American Board of Medical Specialties member board
certification among US medical school graduates.
AB - CONTEXT: Certification by an American Board of Medical Specialties (ABMS) member
board is emerging as a measure of physician quality. OBJECTIVE: To identify
demographic and educational factors associated with ABMS member board
certification of US medical school graduates. DESIGN, SETTING, AND PARTICIPANTS:
Retrospective study of a national cohort of 1997-2000 US medical school
graduates, grouped by specialty choice at graduation and followed up through
March 2, 2009. In separate multivariable logistic regression models for each
specialty category, factors associated with ABMS member board certification were
identified. MAIN OUTCOME MEASURE: ABMS member board certification. RESULTS: Of
42,440 graduates in the study sample, 37,054 (87.3%) were board certified.
Graduates in all specialty categories with first-attempt passing scores in the
highest tertile (vs first-attempt failing scores) on US Medical Licensing
Examination Step 2 Clinical Knowledge were more likely to be board certified;
adjusted odds ratios (AORs) varied by specialty category, with the lowest odds
for emergency medicine (87.4% vs 73.6%; AOR, 1.82; 95% CI, 1.03-3.20) and highest
odds for radiology (98.1% vs 74.9%; AOR, 13.19; 95% CI, 5.55-31.32). In each
specialty category except family medicine, graduates self-identified as
underrepresented racial/ethnic minorities (vs white) were less likely to be board
certified, ranging from 83.5% vs 95.6% in the pediatrics category (AOR, 0.44; 95%
CI, 0.33-0.58) to 71.5% vs 83.7% in the other nongeneralist specialties category
(AOR, 0.79; 95% CI, 0.64-0.96). With each $50,000 unit increase in debt (vs no
debt), graduates choosing obstetrics/gynecology were less likely to be board
certified (AOR, 0.89; 95% CI, 0.83-0.96), and graduates choosing family medicine
were more likely to be board certified (AOR, 1.13; 95% CI, 1.01-1.26).
CONCLUSION: Demographic and educational factors were associated with board
certification among US medical school graduates in every specialty category
examined; findings varied among specialty categories.
PMID- 21900137
TI - Lesbian, gay, bisexual, and transgender-related content in undergraduate medical
education.
AB - CONTEXT: Lesbian, gay, bisexual, and transgender (LGBT) individuals experience
health and health care disparities and have specific health care needs. Medical
education organizations have called for LGBT-sensitive training, but how and to
what extent schools educate students to deliver comprehensive LGBT patient care
is unknown. OBJECTIVES: To characterize LGBT-related medical curricula and
associated curricular development practices and to determine deans' assessments
of their institutions' LGBT-related curricular content. DESIGN, SETTING, AND
PARTICIPANTS: Deans of medical education (or equivalent) at 176 allopathic or
osteopathic medical schools in Canada and the United States were surveyed to
complete a 13-question, Web-based questionnaire between May 2009 and March 2010.
MAIN OUTCOME MEASURE: Reported hours of LGBT-related curricular content. RESULTS:
Of 176 schools, 150 (85.2%) responded, and 132 (75.0%) fully completed the
questionnaire. The median reported time dedicated to teaching LGBT-related
content in the entire curriculum was 5 hours (interquartile range [IQR], 3-8
hours). Of the 132 respondents, 9 (6.8%; 95% CI, 2.5%-11.1%) reported 0 hours
taught during preclinical years and 44 (33.3%; 95% CI, 25.3%-41.4%) reported 0
hours during clinical years. Median US allopathic clinical hours were
significantly different from US osteopathic clinical hours (2 hours [IQR, 0-4
hours] vs 0 hours [IQR, 0-2 hours]; P = .008). Although 128 of the schools
(97.0%; 95% CI, 94.0%-99.9%) taught students to ask patients if they "have sex
with men, women, or both" when obtaining a sexual history, the reported teaching
frequency of 16 LGBT-specific topic areas in the required curriculum was lower:
at least 8 topics at 83 schools (62.9%; 95% CI, 54.6%-71.1%) and all topics at 11
schools (8.3%; 95% CI, 3.6%-13.0%). The institutions' LGBT content was rated as
"fair" at 58 schools (43.9%; 95% CI, 35.5%-52.4%). Suggested successful
strategies to increase content included curricular material focusing on LGBT
related health and health disparities at 77 schools (58.3%, 95% CI, 49.9%-66.7%)
and faculty willing and able to teach LGBT-related curricular content at 67
schools (50.8%, 95% CI, 42.2%-59.3%). CONCLUSION: The median reported time
dedicated to LGBT-related topics in 2009-2010 was small across US and Canadian
medical schools, but the quantity, content covered, and perceived quality of
instruction varied substantially.
PMID- 21900138
TI - Technology-enhanced simulation for health professions education: a systematic
review and meta-analysis.
AB - CONTEXT: Although technology-enhanced simulation has widespread appeal, its
effectiveness remains uncertain. A comprehensive synthesis of evidence may inform
the use of simulation in health professions education. OBJECTIVE: To summarize
the outcomes of technology-enhanced simulation training for health professions
learners in comparison with no intervention. DATA SOURCE: Systematic search of
MEDLINE, EMBASE, CINAHL, ERIC, PsychINFO, Scopus, key journals, and previous
review bibliographies through May 2011. STUDY SELECTION: Original research in any
language evaluating simulation compared with no intervention for training
practicing and student physicians, nurses, dentists, and other health care
professionals. DATA EXTRACTION: Reviewers working in duplicate evaluated quality
and abstracted information on learners, instructional design (curricular
integration, distributing training over multiple days, feedback, mastery
learning, and repetitive practice), and outcomes. We coded skills (performance in
a test setting) separately for time, process, and product measures, and similarly
classified patient care behaviors. DATA SYNTHESIS: From a pool of 10,903
articles, we identified 609 eligible studies enrolling 35,226 trainees. Of these,
137 were randomized studies, 67 were nonrandomized studies with 2 or more groups,
and 405 used a single-group pretest-posttest design. We pooled effect sizes using
random effects. Heterogeneity was large (I(2)>50%) in all main analyses. In
comparison with no intervention, pooled effect sizes were 1.20 (95% CI, 1.04
1.35) for knowledge outcomes (n = 118 studies), 1.14 (95% CI, 1.03-1.25) for time
skills (n = 210), 1.09 (95% CI, 1.03-1.16) for process skills (n = 426), 1.18
(95% CI, 0.98-1.37) for product skills (n = 54), 0.79 (95% CI, 0.47-1.10) for
time behaviors (n = 20), 0.81 (95% CI, 0.66-0.96) for other behaviors (n = 50),
and 0.50 (95% CI, 0.34-0.66) for direct effects on patients (n = 32). Subgroup
analyses revealed no consistent statistically significant interactions between
simulation training and instructional design features or study quality.
CONCLUSION: In comparison with no intervention, technology-enhanced simulation
training in health professions education is consistently associated with large
effects for outcomes of knowledge, skills, and behaviors and moderate effects for
patient-related outcomes.
PMID- 21900139
TI - Genomics education for health care professionals in the 21st century.
PMID- 21900140
TI - Conflict of interest disclosure in early education of medical students.
PMID- 21900141
TI - The education and training of future physicians: why coaches can't be judges.
PMID- 21900142
TI - Exploring unconscious bias in disparities research and medical education.
PMID- 21900143
TI - Capturing curricula.
PMID- 21900144
TI - Orchestrating excellence.
PMID- 21900146
TI - Graduate medical education, 2010-2011.
PMID- 21900145
TI - Medical schools in the United States, 2010-2011.
PMID- 21900147
TI - JAMA patient page. Medical specialties.
PMID- 21900148
TI - A randomized controlled trial investigating the effects of a low-glycemic index
diet on pregnancy outcomes in gestational diabetes mellitus.
AB - OBJECTIVE: The prevalence of gestational diabetes mellitus (GDM) is rising. There
is little evidence to demonstrate the effectiveness of one dietary therapy over
another. We aimed to investigate the effect of a low-glycemic index (LGI) versus
a conventional high-fiber diet on pregnancy outcomes, neonatal anthropometry, and
maternal metabolic profile in GDM. RESEARCH DESIGN AND METHODS: Ninety-nine women
(age 26-42 years; mean +/- SD prepregnancy BMI 24 +/- 5 kg/m2) diagnosed with GDM
at 20-32 weeks' gestation were randomized to follow either an LGI (n = 50; target
glycemic index [GI] ~50) or a high-fiber moderate-GI diet (HF) (n = 49; target GI
~60). Dietary intake was assessed by 3-day food records. Pregnancy outcomes were
collected from medical records. RESULTS: The LGI group achieved a modestly lower
GI than the HF group (mean +/- SEM 47 +/- 1 vs. 53 +/- 1; P < 0.001). At birth,
there was no significant difference in birth weight (LGI 3.3 +/- 0.1 kg vs. HF
3.3 +/- 0.1 kg; P = 0.619), birth weight centile (LGI 52.5 +/- 4.3 vs. HF 52.2 +/
4.0; P = 0.969), prevalence of macrosomia (LGI 2.1% vs. HF 6.7%; P = 0.157),
insulin treatment (LGI 53% vs. HF 65%; P = 0.251), or adverse pregnancy outcomes.
CONCLUSIONS: In intensively monitored women with GDM, an LGI diet and a
conventional HF diet produce similar pregnancy outcomes.
PMID- 21900150
TI - Transcriptional networks controlling adipocyte differentiation.
AB - Adipocyte differentiation is regulated by a complex cascade of signals that drive
the transcriptional reprogramming of the fibroblastic precursors. Genome-wide
analyses of chromatin accessibility and binding of adipogenic transcription
factors make it possible to generate "snapshots" of the transcription factor
networks operating at specific time points during adipogenesis. Using such global
"snapshots," we have demonstrated that dramatic remodeling of the chromatin
template occurs within the first few hours following adipogenic stimulation and
that many of the early transcription factors bind in a cooperative fashion to
transcription factor hotspots. Such hotspots are likely to represent key
chromatin nodes, where many adipogenic signaling pathways converge to drive the
adipogenic transcriptional reprogramming.
PMID- 21900149
TI - Circadian epigenomic remodeling and hepatic lipogenesis: lessons from HDAC3.
AB - Circadian rhythms have evolved to anticipate metabolic needs across the 24-h
light/dark cycle. This is accomplished by circadian expression of metabolic genes
orchestrated by transcription factors through chromatin remodeling and histone
modifications. Our recent genome-wide study on histone deacetylase 3 (HDAC3) in
mouse liver provides novel insights into the molecular link between circadian
rhythm and hepatic de novo lipogenesis. We found that liver-specific knockout of
HDAC3 in adult mouse displays severe hepatic steatosis associated with enhanced
de novo lipogenesis and increased expression of lipogenic genes. Genome-wide
analysis (ChIP-seq) revealed a pronounced circadian pattern of HDAC3 occupancy on
genes involved in lipid metabolism, which is inversely related to histone
acetylation and RNA polymerase II recruitment at these sites. The cistromes of
HDAC3 and its binding partner, nuclear receptor corepressor (NCoR), significantly
overlap with that of Rev-erbalpha, a nuclear receptor directly involved in the
core circadian machinery. Knockout of Rev-erbalpha in mouse also leads to hepatic
steatosis and enhanced de novo lipogenesis. Collectively, these data suggest that
the circadian epigenomic remodeling controlled by HDAC3, and largely directed by
Rev-erbalpha, is essential for homeostasis of the lipogenic process in liver.
PMID- 21900151
TI - On acetyl-CoA as a gauge of cellular metabolic state.
AB - Many activities within a cell must be intimately coordinated with its metabolic
state. Understanding these connections to metabolism is critical to deciphering
the regulation of a variety of cellular processes. However, despite intensive
research in these areas, the precise mechanisms by which a cell monitors its
metabolic state remain controversial and poorly understood. Herein, we discuss
the thesis that intracellular levels of the metabolite acetyl-CoA represent a
critical gauge of cellular metabolic state, which is cleverly monitored by the
cell through dynamic acetylation modifications to direct a variety of outputs
connected to energy metabolism, cell growth, and survival.
PMID- 21900152
TI - Mathematically modelling the spread of hepatitis C in injecting drug users.
AB - Mathematical modelling can provide valuable insights into the biological and
epidemiological properties of infectious diseases as well as the potential impact
of intervention strategies employed by health organizations worldwide. In this
paper, we develop a deterministic, compartmental mathematical model to
approximate the spread of the hepatitis C virus (HCV) in an injecting drug user
(IDU) population. Using analytical techniques, we find that the model behaviour
is determined by the basic reproductive number R(0), where R(0) = 1 is a critical
threshold separating two different outcomes. If R(0) <= 1 and HCV is initially
present in the population, we find that the system will reach a disease-free
equilibrium where HCV has been eliminated in all IDUs and needles. If R(0) > 1,
then there is a unique positive endemic equilibrium which we show is locally
stable. We then use simulations to verify our analytical results and examine the
effect of different parameter values and intervention measures on HCV prevalence
estimates.
PMID- 21900153
TI - Building and searching tandem mass spectral libraries for peptide identification.
AB - Spectral library searching is an emerging approach in peptide identifications
from tandem mass spectra, a critical step in proteomic data analysis.
Conceptually, the premise of this approach is that the tandem MS fragmentation
pattern of a peptide under some fixed conditions is a reproducible fingerprint of
that peptide, such that unknown spectra acquired under the same conditions can be
identified by spectral matching. In actual practice, a spectral library is first
meticulously compiled from a large collection of previously observed and
identified tandem MS spectra, usually obtained from shotgun proteomics
experiments of complex mixtures. Then, a query spectrum is then identified by
spectral matching using recently developed spectral search engines. This review
discusses the basic principles of the two pillars of this approach: spectral
library construction, and spectral library searching. An overview of the software
tools available for these two tasks, as well as a high-level description of the
underlying algorithms, will be given. Finally, several new methods that utilize
spectral libraries for peptide identification in ways other than straightforward
spectral matching will also be described.
PMID- 21900155
TI - The role of sphingolipids in respiratory disease.
AB - Sphingolipids form a broad class of lipids with diverse functions ranging from
membrane constituents to intracellular second messengers and extracellular
mediators. They can be rapidly generated or converted into each other and they
play pivotal roles in various cellular processes, many of which are broadly
associated with inflammation and apoptosis. Among the numerous sphingolipids,
ceramide and sphingosine-1-phosphate (S1P) have received the greatest attention.
Ceramide is a hydrophobic molecule that is increased in the lungs of patients
with cystic fibrosis and chronic obstructive pulmonary disease (COPD). Ceramide
is the eponym for ceramide-rich membrane platforms. that need to form as a
prerequisite to the uptake of several microorganisms including Pseudomonas
aeruginosa, and as a prerequisite to many signaling processes including apoptosis
and increased vascular permeability. Accordingly, abnormal amounts of enzymes
involved in the synthesis of ceramide, such as neutral or acid sphingomyelinase,
are found in emphysematic smokers and in patients with severe sepsis, and are
considered as novel pharmacological targets. S1P acts as an extracellular
mediator that opposes several actions of ceramide and acts by binding to G
protein coupled S1P receptors (S1P(1)-S1P(5)). Of particular interest are S1P(1)
receptors that enhance vascular barrier functions and are antiapoptotic.
Therefore, S1P(1)-receptor ligands are suggested as novel drugs for COPD and
acute lung injury. S1P is a potent chemotaxin for many leukocytes, it organizes
lymphocyte trafficking and is involved in several key symptoms of asthma such as
airway hyperresponsiveness and pulmonary eosinophil sequestration. S1P is formed
by sphingosine kinases that have been identified as possible drug targets for the
treatment of asthma. Based on these findings, several new drugs have recently
been developed to specifically target sphingomyelinases, sphingosine kinases and
S1P receptors for the treatment of COPD, cystic fibrosis, asthma and acute lung
injury.
PMID- 21900154
TI - Discovery and validation of serum protein changes in type 1 diabetes patients
using high throughput two dimensional liquid chromatography-mass spectrometry and
immunoassays.
AB - Type 1 diabetes (T1D) is expected to cause significant changes in the serum
proteome; however, few studies have systematically assessed the proteomic profile
change associated with the disease. In this study, a semiquantitative spectral
counting-based two dimensional liquid chromatography mass spectrometry platform
was used to analyze serum samples from T1D patients and controls. In this
discovery phase, significant differences were found for 21 serum proteins
implicated in inflammation, oxidation, metabolic regulation, and autoimmunity. To
assess the validity of these findings, six candidate proteins including
adiponectin, insulin-like growth factor binding protein 2, serum amyloid protein
A, C-reactive protein, myeloperoxidase, and transforming growth factor beta
induced were selected for subsequent immune assays for 1139 T1D patients and 848
controls. A series of statistical analyses using cases and controls matched for
age, sex, and genetic risk confirmed that T1D patients have significantly higher
serum levels for four of the six proteins: adiponectin (odds ratio (OR) = 1.95, p
= 10(-27)), insulin-like growth factor binding protein 2 (OR = 2.02, p < 10(
20)), C-reactive protein (OR = 1.13, p = 0.007), serum amyloid protein A (OR =
1.51, p < 10(-16)); whereas the serum levels were significantly lower in patients
than controls for the two other proteins: transforming growth factor beta induced
(OR = 0.74, p < 10(-5)) and myeloperoxidase (OR = 0.51, p < 10(-41)). Compared
with subjects in the bottom quartile, subjects in the top quartile for
adiponectin (OR = 6.29, p < 10(-37)), insulin-like growth factor binding protein
2 (OR = 7.95, p < 10(-46)), C-reactive protein (OR = 1.38, p = 0.025), serum
amyloid protein A (OR = 3.36, p < 10(-16)) had the highest risk of T1D, whereas
subjects in the top quartile of transforming growth factor beta induced (OR =
0.41, p < 10(-11)) and myeloperoxidase (OR = 0.10, p < 10(-43)) had the lowest
risk of T1D. These findings provided valuable information on the proteomic
changes in the sera of T1D patients.
PMID- 21900156
TI - Replication of the rotavirus genome requires an active ubiquitin-proteasome
system.
AB - Here we show that the ubiquitin-proteasome system is required for the efficient
replication of rotavirus RRV in MA104 cells. The proteasome inhibitor MG132
decreased the yield of infectious virus under conditions where it severely
reduces the synthesis of not only viral but also cellular proteins. Addition of
nonessential amino acids to the cell medium restored both viral protein synthesis
and cellular protein synthesis, but the production of progeny viruses was still
inhibited. In medium supplemented with nonessential amino acids, we showed that
MG132 does not affect rotavirus entry but inhibits the replication of the viral
genome. It was also shown that it prevents the efficient incorporation into
viroplasms of viral polymerase VP1 and the capsid proteins VP2 and VP6, which
could explain the inhibitory effect of MG132 on genome replication and infectious
virus yield. We also showed that ubiquitination is relevant for rotavirus
replication since the yield of rotavirus progeny in cells carrying a temperature
sensitive mutation in the E1 ubiquitin-activating enzyme was reduced at the
restrictive temperature. In addition, overexpression of ubiquitin in MG132
treated MA104 cells partially reversed the effect of the inhibitor on virus
yield. Altogether, these data suggest that the ubiquitin-proteasome (UP) system
has a very complex interaction with the rotavirus life cycle, with both the
ubiquitination and proteolytic activities of the system being relevant for virus
replication.
PMID- 21900157
TI - Cellular human CLE/C14orf166 protein interacts with influenza virus polymerase
and is required for viral replication.
AB - The influenza A virus polymerase associates with a number of cellular
transcription-related factors, including RNA polymerase II. We previously
described the interaction of influenza virus polymerase subunit PA with human
CLE/C14orf166 protein (hCLE), a positive modulator of this cellular RNA
polymerase. Here, we show that hCLE also interacts with the influenza virus
polymerase complex and colocalizes with viral ribonucleoproteins. Silencing of
hCLE causes reduction of viral polymerase activity, viral RNA transcription and
replication, virus titer, and viral particle production. Altogether, these
findings indicate that the cellular transcription factor hCLE is an important
protein for influenza virus replication.
PMID- 21900158
TI - Crystal structure of swine major histocompatibility complex class I SLA-1 0401
and identification of 2009 pandemic swine-origin influenza A H1N1 virus cytotoxic
T lymphocyte epitope peptides.
AB - The presentation of viral epitopes to cytotoxic T lymphocytes (CTLs) by swine
leukocyte antigen class I (SLA I) is crucial for swine immunity. To illustrate
the structural basis of swine CTL epitope presentation, the first SLA crystal
structures, SLA-1 0401, complexed with peptides derived from either 2009 pandemic
H1N1 (pH1N1) swine-origin influenza A virus (S-OIV(NW9); NSDTVGWSW) or Ebola
virus (Ebola(AY9); ATAAATEAY) were determined in this study. The overall peptide
SLA-1 0401 structures resemble, as expected, the general conformations of other
structure-solved peptide major histocompatibility complexes (pMHC). The major
distinction of SLA-1 0401 is that Arg(156) has a "one-ballot veto" function in
peptide binding, due to its flexible side chain. S-OIV(NW9) and Ebola(AY9) bind
SLA-1 0401 with similar conformations but employ different water molecules to
stabilize their binding. The side chain of P7 residues in both peptides is
exposed, indicating that the epitopes are "featured" peptides presented by this
SLA. Further analyses showed that SLA-1 0401 and human leukocyte antigen (HLA)
class I HLA-A 0101 can present the same peptides, but in different conformations,
demonstrating cross-species epitope presentation. CTL epitope peptides derived
from 2009 pandemic S-OIV were screened and evaluated by the in vitro refolding
method. Three peptides were identified as potential cross-species influenza virus
(IV) CTL epitopes. The binding motif of SLA-1 0401 was proposed, and
thermostabilities of key peptide-SLA-1 0401 complexes were analyzed by circular
dichroism spectra. Our results not only provide the structural basis of peptide
presentation by SLA I but also identify some IV CTL epitope peptides. These
results will benefit both vaccine development and swine organ-based
xenotransplantation.
PMID- 21900159
TI - Structural studies of adeno-associated virus serotype 8 capsid transitions
associated with endosomal trafficking.
AB - The single-stranded DNA (ssDNA) parvoviruses enter host cells through receptor
mediated endocytosis, and infection depends on processing in the early to late
endosome as well as in the lysosome prior to nuclear entry for replication.
However, the mechanisms of capsid endosomal processing, including the effects of
low pH, are poorly understood. To gain insight into the structural transitions
required for this essential step in infection, the crystal structures of empty
and green fluorescent protein (GFP) gene-packaged adeno-associated virus serotype
8 (AAV8) have been determined at pH values of 6.0, 5.5, and 4.0 and then at pH
7.5 after incubation at pH 4.0, mimicking the conditions encountered during
endocytic trafficking. While the capsid viral protein (VP) topologies of all the
structures were similar, significant amino acid side chain conformational
rearrangements were observed on (i) the interior surface of the capsid under the
icosahedral 3-fold axis near ordered nucleic acid density that was lost
concomitant with the conformational change as pH was reduced and (ii) the
exterior capsid surface close to the icosahedral 2-fold depression. The 3-fold
change is consistent with DNA release from an ordering interaction on the inside
surface of the capsid at low pH values and suggests transitions that likely
trigger the capsid for genome uncoating. The surface change results in disruption
of VP-VP interface interactions and a decrease in buried surface area between VP
monomers. This disruption points to capsid destabilization which may (i) release
VP1 amino acids for its phospholipase A2 function for endosomal escape and
nuclear localization signals for nuclear targeting and (ii) trigger genome
uncoating.
PMID- 21900161
TI - Detection and characterization of a distinct bornavirus lineage from healthy
Canada geese (Branta canadensis).
AB - Avian bornaviruses (ABV), identified in 2008, infect captive parrots and macaws
worldwide. The natural reservoirs of these viruses are unknown. Reverse
transcription-PCR (RT-PCR) was used to screen oropharyngeal/cloacal swab and
brain samples from wild Canada geese (Branta canadensis) for ABV. Approximately
2.9% of swab samples were positive for bornavirus sequences. Fifty-two percent of
brain samples from 2 urban flocks also tested positive, and brain isolates were
cultured in duck embryo fibroblasts. Phylogenetic analyses placed goose isolates
in an independent cluster, and more notably, important regulatory sequences
present in Borna disease virus but lacking in psittacine ABVs were present in
goose isolates.
PMID- 21900160
TI - Expression strategy of densonucleosis virus from the German cockroach, Blattella
germanica.
AB - Blattella germanica densovirus (BgDNV) is an autonomous parvovirus that infects
the German cockroach. BgDNV possesses three mRNAs for NS proteins, two of which
are splice variants of the unspliced transcript. The unspliced variant encodes
open reading frame 5 (ORF5) (NS3), while NSspl1 encodes ORF3 (NS1) and ORF4 (NS2)
and NSspl2 encodes the C-proximal half of NS1. BgDNV possesses three VP
transcripts, one of which (VP) is unspliced, while the other two (VPspl1 and
VPspl2) are generated by alternative splicing. The unspliced VP transcript
contains both ORF1 and ORF2, while in VPspl1, ORF1 and ORF2 are joined in frame.
The transcription of NS genes begins at an earlier stage of the virus life cycle
than the transcription of VP genes. NS and VP transcripts overlap by 48
nucleotides (nt). BgDNV is characterized by two additional NS transcripts
overlapping by more than 1,650 nt with VP-coding transcripts. Four different
bands (97, 85, 80, and 57 kDa) corresponding to three BgDNV capsid proteins were
detected on SDS-PAGE. Mass spectrometry analysis showed that the amino acid
composition of the 85-kDa and 80-kDa proteins is the same. Moreover, both of
these proteins are ubiquitinated. The BgDNV PLA(2) domain, which is critical for
cellular uptake of the virus, is located in ORF2 and is present only in VP1. In
contrast to all of the parvoviruses studied in this respect, VP2 has a unique N
terminus that is not contained within VP1 and VP3. In situ recognition with NS1-
and VP-specific antibodies revealed an uneven pattern of NS1 expression
resembling a halo within the nuclear membrane.
PMID- 21900162
TI - RelA Ser276 phosphorylation-coupled Lys310 acetylation controls transcriptional
elongation of inflammatory cytokines in respiratory syncytial virus infection.
AB - Respiratory syncytial virus (RSV) is a negative-sense single-stranded RNA virus
responsible for lower respiratory tract infections (LRTIs) in humans. In
experimental models of RSV LRTI, the actions of the nuclear factor kappaB (NF
kappaB) transcription factor mediate inflammation and pathology. We have shown
that RSV replication induces a mitogen-and-stress-related kinase 1 (MSK-1)
pathway that activates NF-kappaB RelA transcriptional activity by a process
involving serine phosphorylation at serine (Ser) residue 276. In this study, we
examined the mechanism by which phospho-Ser276 RelA mediates expression of the NF
kappaB-dependent gene network. RelA-deficient mouse embryonic fibroblasts (MEFs)
complemented with the RelA Ser276Ala mutant are deficient in CXCL2/Grobeta, KC,
and interleukin-6 (IL-6) expression, but NFKBIA/IkappaBalpha is preserved. We
show that RSV-induced RelA Ser276 phosphorylation is required for acetylation at
Lys310, an event required for transcriptional activity and stable association of
RelA with the activated positive transcriptional elongation factor (PTEF-b)
complex proteins, bromodomain 4 (Brd4), and cyclin-dependent kinase 9 (CDK9). In
contrast to gene loading pattern of PTEF-b proteins produced by tumor necrosis
factor (TNF) stimulation, RSV induces their initial clearance followed by partial
reaccumulation coincident with RelA recruitment. The RSV-induced binding patterns
of the CDK9 substrate, phospho-Ser2 RNA polymerase (Pol) II, follows a similar
pattern of clearance and downstream gene reaccumulation. The functional role of
CDK9 was examined using CDK9 small interfering RNA (siRNA) and CDK inhibitors,
where RSV-induced NF-kappaB-dependent gene expression was significantly
inhibited. Finally, although RSV induces a transition from short transcripts to
fully spliced mRNA in wild-type RelA (RelA WT)-expressing cells, this transition
is not seen in cells expressing RelA Ser276Ala. We conclude that RelA Ser276
phosphorylation mediates RelA acetylation, Brd4/CDK9 association, and activation
of downstream inflammatory genes by transcriptional elongation in RSV infection.
PMID- 21900163
TI - The fecal virome of pigs on a high-density farm.
AB - Swine are an important source of proteins worldwide but are subject to frequent
viral outbreaks and numerous infections capable of infecting humans. Modern
farming conditions may also increase viral transmission and potential zoonotic
spread. We describe here the metagenomics-derived virome in the feces of 24
healthy and 12 diarrheic piglets on a high-density farm. An average of 4.2
different mammalian viruses were shed by healthy piglets, reflecting a high level
of asymptomatic infections. Diarrheic pigs shed an average of 5.4 different
mammalian viruses. Ninety-nine percent of the viral sequences were related to the
RNA virus families Picornaviridae, Astroviridae, Coronaviridae, and
Caliciviridae, while 1% were related to the small DNA virus families
Circoviridae, and Parvoviridae. Porcine RNA viruses identified, in order of
decreasing number of sequence reads, consisted of kobuviruses, astroviruses,
enteroviruses, sapoviruses, sapeloviruses, coronaviruses, bocaviruses, and
teschoviruses. The near-full genomes of multiple novel species of porcine
astroviruses and bocaviruses were generated and phylogenetically analyzed.
Multiple small circular DNA genomes encoding replicase proteins plus two highly
divergent members of the Picornavirales order were also characterized. The
possible origin of these viral genomes from pig-infecting protozoans and
nematodes, based on closest sequence similarities, is discussed. In summary, an
unbiased survey of viruses in the feces of intensely farmed animals revealed
frequent coinfections with a highly diverse set of viruses providing favorable
conditions for viral recombination. Viral surveys of animals can readily document
the circulation of known and new viruses, facilitating the detection of emerging
viruses and prospective evaluation of their pathogenic and zoonotic potentials.
PMID- 21900164
TI - Roles of the envelope proteins in the amplification of covalently closed circular
DNA and completion of synthesis of the plus-strand DNA in hepatitis B virus.
AB - Covalently closed circular DNA (cccDNA), the nuclear form of hepatitis B virus
(HBV), is synthesized by repair of the relaxed circular (RC) DNA genome.
Initially, cccDNA is derived from RC DNA from the infecting virion, but
additional copies of cccDNA are derived from newly synthesized RC DNA molecules
in a process termed intracellular amplification. It has been shown that the large
viral envelope protein limits the intracellular amplification of cccDNA for duck
hepatitis B virus. The role of the envelope proteins in regulating the
amplification of cccDNA in HBV is not well characterized. The present report
demonstrates regulation of synthesis of cccDNA by the envelope proteins of HBV.
Ablation of expression of the envelope proteins led to an increase (>6-fold) in
the level of cccDNA. Subsequent restoration of envelope protein expression led to
a decrease (>50%) in the level of cccDNA, which inversely correlated with the
level of the envelope proteins. We found that the expression of L protein alone
or in combination with M and/or S proteins led to a decrease in cccDNA levels,
indicating that L contributes to the regulation of cccDNA. Coexpression of L and
M led to greater regulation than either L alone or L and S. Coexpression of all
three envelope proteins was also found to limit completion of plus-strand DNA
synthesis, and the degree of this effect correlated with the level of the
proteins and virion secretion.
PMID- 21900165
TI - HIV-1 coinfection and morphine coexposure severely dysregulate hepatitis C virus
induced hepatic proinflammatory cytokine release and free radical production:
increased pathogenesis coincides with uncoordinated host defenses.
AB - Coinfection with human immunodeficiency virus type-1 (HIV-1) and hepatitis C
virus (HCV) is a global problem that is more prevalent in injection drug users
because they have a higher risk for acquiring both viruses. The roles of
inflammatory cytokines and oxidative stress were examined in HIV-1- and HCV
coinfected human hepatic cells. Morphine (the bioactive product of heroin), HIV-1
Tat and the MN strain gp120 (gp120(MN)) proteins, and X4 HIV-1(LAI/IIIB) and R5
HIV-1(SF162) isolates were used to study the mechanisms of disease progression in
HCV (JFH1)-infected Huh7.5.1 cell populations. HCV increased tumor necrosis
factor-alpha (TNF-alpha) and interleukin-6 (IL-6) release and augmented
production of reactive oxygen species (ROS), nitric oxide (NO), and 3
nitrotyrosine (3-NT) in Huh7.5.1 cells. Morphine preferentially affected R5
tropic, but not X4-tropic, HIV-1 interactions with Huh7.5.1 cells. HIV-1 proteins
or isolates increased cytokine release in HCV-infected cells, while adding
morphine to coinfected cells caused complex imbalances, significantly disrupting
cytokine secretion depending on the cytokine, morphine concentration, exposure
duration, and particular pathogen involved. Production of ROS, NO, and 3-NT
increased significantly in HCV- and HIV-1-coexposed cells while exposure to
morphine further increased ROS. The proteasome inhibitor MG132 significantly
decreased oxyradicals, cytokine levels, and HCV protein levels. Our findings
indicate that hepatic inflammation is increased by combined exposure to HCV and
HIV-1, that the ubiquitin-proteasome system and NF-kappaB contribute to key
aspects of the response, and that morphine further exacerbates the disruption of
host defenses. The results suggest that opioid abuse and HIV-1 coinfection each
further accelerate HCV-mediated liver disease by dysregulating immune defenses.
PMID- 21900166
TI - Transmission of clonal hepatitis C virus genomes reveals the dominant but
transitory role of CD8+ T cells in early viral evolution.
AB - The RNA genome of the hepatitis C virus (HCV) diversifies rapidly during the
acute phase of infection, but the selective forces that drive this process remain
poorly defined. Here we examined whether Darwinian selection pressure imposed by
CD8(+) T cells is a dominant force driving early amino acid replacement in HCV
viral populations. This question was addressed in two chimpanzees followed for 8
to 10 years after infection with a well-defined inoculum composed of a clonal
genotype 1a (isolate H77C) HCV genome. Detailed characterization of CD8(+) T cell
responses combined with sequencing of recovered virus at frequent intervals
revealed that most acute-phase nonsynonymous mutations were clustered in class I
epitopes and appeared much earlier than those in the remainder of the HCV genome.
Moreover, the ratio of nonsynonymous to synonymous mutations, a measure of
positive selection pressure, was increased 50-fold in class I epitopes compared
with the rest of the HCV genome. Finally, some mutation of the clonal H77C genome
toward a genotype 1a consensus sequence considered most fit for replication was
observed during the acute phase of infection, but the majority of these amino
acid substitutions occurred slowly over several years of chronic infection.
Together these observations indicate that during acute hepatitis C, virus
evolution was driven primarily by positive selection pressure exerted by CD8(+) T
cells. This influence of immune pressure on viral evolution appears to subside as
chronic infection is established and genetic drift becomes the dominant
evolutionary force.
PMID- 21900167
TI - Annexin II binds to capsid protein VP1 of enterovirus 71 and enhances viral
infectivity.
AB - Enterovirus type 71 (EV71) causes hand, foot, and mouth disease (HFMD), which is
mostly self-limited but may be complicated with a severe to fatal neurological
syndrome in some children. Understanding the molecular basis of virus-host
interactions might help clarify the largely unknown neuropathogenic mechanisms of
EV71. In this study, we showed that human annexin II (Anx2) protein could bind to
the EV71 virion via the capsid protein VP1. Either pretreatment of EV71 with
soluble recombinant Anx2 or pretreatment of host cells with an anti-Anx2 antibody
could result in reduced viral attachment to the cell surface and a reduction of
the subsequent virus yield in vitro. HepG2 cells, which do not express Anx2,
remained permissive to EV71 infection, though the virus yield was lower than that
for a cognate lineage expressing Anx2. Stable transfection of plasmids expressing
Anx2 protein into HepG2 cells (HepG2-Anx2 cells) could enhance EV71 infectivity,
with an increased virus yield, especially at a low infective dose, and the
enhanced infectivity could be reversed by pretreating HepG2-Anx2 cells with an
anti-Anx2 antibody. The Anx2-interacting domain was mapped by yeast two-hybrid
analysis to VP1 amino acids 40 to 100, a region different from the known receptor
binding domain on the surface of the picornavirus virion. Our data suggest that
binding of EV71 to Anx2 on the cell surface can enhance viral entry and
infectivity, especially at a low infective dose.
PMID- 21900168
TI - Histone H3 interacts and colocalizes with the nuclear shuttle protein and the
movement protein of a geminivirus.
AB - Geminiviruses are plant-infecting viruses with small circular single-stranded DNA
genomes. These viruses utilize nuclear shuttle proteins (NSPs) and movement
proteins (MPs) for trafficking of infectious DNA through the nuclear pore complex
and plasmodesmata, respectively. Here, a biochemical approach was used to
identify host factors interacting with the NSP and MP of the geminivirus Bean
dwarf mosaic virus (BDMV). Based on these studies, we identified and
characterized a host nucleoprotein, histone H3, which interacts with both the NSP
and MP. The specific nature of the interaction of histone H3 with these viral
proteins was established by gel overlay and in vitro and in vivo
coimmunoprecipitation (co-IP) assays. The NSP and MP interaction domains were
mapped to the N-terminal region of histone H3. These experiments also revealed a
direct interaction between the BDMV NSP and MP, as well as interactions between
histone H3 and the capsid proteins of various geminiviruses. Transient-expression
assays revealed the colocalization of histone H3 and NSP in the nucleus and
nucleolus and of histone H3 and MP in the cell periphery and plasmodesmata.
Finally, using in vivo co-IP assays with a Myc-tagged histone H3, a complex
composed of histone H3, NSP, MP, and viral DNA was recovered. Taken together,
these findings implicate the host factor histone H3 in the process by which an
infectious geminiviral DNA complex forms within the nucleus for export to the
cell periphery and cell-to-cell movement through plasmodesmata.
PMID- 21900169
TI - Human CD8+ and CD4+ T cell memory to lymphocytic choriomeningitis virus
infection.
AB - Although cellular immunity to acute lymphocytic choriomeningitis virus (LCMV)
infection has been well characterized in experimental studies in mice, the T cell
response to this virus in humans is incompletely understood. Thus, we analyzed
the breadths, magnitudes, and differentiation phenotypes of memory LCMV-specific
CD8(+) and CD4(+) T cells in three human donors displaying a variety of disease
outcomes after accidental needle stick injury or exposure to LCMV. Although only
a small cohort of donors was analyzed at a single time point postinfection,
several interesting observations were made. First, we were able to detect LCMV
specific CD8(+) and CD4(+) T cell responses directly ex vivo at 4 to 8 years
after exposure, demonstrating the longevity of T cell memory in humans. Second,
unlike in murine models of LCMV infection, we found that the breadths of memory
CD8(+) and CD4(+) T cell responses were not significantly different from one
another. Third, it seemed that the overall CD8(+) T cell response was augmented
with increasing severity of disease, while the LCMV-specific CD4(+) T cell
response magnitude was highly variable between the three different donors. Next,
we found that LCMV-specific CD8(+) T cells in the three donors analyzed seemed to
undergo an effector memory differentiation program distinct from that of CD4(+) T
cells. Finally, the levels of expression of memory, costimulatory, and inhibitory
receptors on CD8(+) and CD4(+) T cell subsets, in some instances, correlated with
disease outcome. These data demonstrate for the first time LCMV-specific CD8(+)
and CD4(+) T cells in infected humans and begin to provide new insights into
memory T cell responses following an acute virus infection.
PMID- 21900170
TI - Vaccine protection against simian immunodeficiency virus in monkeys using
recombinant gamma-2 herpesvirus.
AB - Recombinant strains of replication-competent rhesus monkey rhadinovirus (RRV)
were constructed in which strong promoter/enhancer elements were used to drive
expression of simian immunodeficiency virus (SIV) Env or Gag or a Rev-Tat-Nef
fusion protein. Cultured rhesus monkey fibroblasts infected with each recombinant
strain were shown to express the expected protein. Three RRV-negative and two RRV
positive rhesus monkeys were inoculated intravenously with a mixture of these
three recombinant RRVs. Expression of SIV Gag was readily detected in lymph node
biopsy specimens taken at 3 weeks postimmunization. Impressive anti-SIV cellular
immune responses were elicited on the basis of major histocompatibility complex
(MHC) tetramer staining and gamma interferon enzyme-linked immunospot (ELISPOT)
assays. Responses were much greater in magnitude in the monkeys that were
initially RRV negative but were still readily detected in the two monkeys that
were naturally infected with RRV at the time of immunization. By 3 weeks
postimmunization, responses measured by MHC tetramer staining in the two Mamu
A*01(+) RRV-negative monkeys reached 9.3% and 13.1% of all CD8(+) T cells in
peripheral blood to the Gag CM9 epitope and 2.3% and 7.3% of all CD8(+) T cells
in peripheral blood to the Tat SL8 epitope. Virus-specific CD8(+) T cell
responses persisted at high levels up to the time of challenge at 18 weeks
postimmunization, and responding cells maintained an effector memory phenotype.
Despite the ability of the RRVenv recombinant to express high levels of Env in
cultured cells, and despite the appearance of strong anti-RRV antibody responses
in immunized monkeys, anti-Env antibody responses were below our ability to
detect them. Immunized monkeys, together with three unimmunized controls, were
challenged intravenously with 10 monkey infectious doses of SIVmac239. All five
immunized monkeys and all three controls became infected with SIV, but peak viral
loads were 1.2 to 3.0 log(10) units lower and chronic-phase viral loads were 1.0
to 3.0 log(10) units lower in immunized animals than the geometric mean of
unimmunized controls. These differences were statistically significant. Anti-Env
antibody responses following challenge indicated an anamnestic response in the
vaccinated monkeys. These findings further demonstrate the potential of
recombinant herpesviruses as preventive vaccines for AIDS. We hypothesize that
this live, replication-competent, persistent herpesvirus vector could match, or
come close to matching, live attenuated strains of SIV in the degree of
protection if the difficulty with elicitation of anti-Env antibody responses can
be overcome.
PMID- 21900172
TI - Human cytomegalovirus US7 is regulated synergistically by two virally encoded
microRNAs and by two distinct mechanisms.
AB - Human cytomegalovirus (HCMV) encodes at least 14 microRNAs (miRNAs) that act
posttranscriptionally to repress gene expression. Although several HCMV miRNA
targets of both cellular and viral origin have been identified, our knowledge of
their function remains limited. HCMV miRNA targets, as well as phenotypes
associated with HCMV miRNA mutants, have been difficult to identify since the
downregulation of targets by a single miRNA is often less than 2-fold. Several
factors can contribute to the strength of repression, including the mechanism of
translational inhibition, the degree of complementarity between the miRNA and
target mRNA, the number of binding sites for one miRNA, and cooperativity or
antagonism between miRNAs. To determine the effect of multiple miRNAs on one
gene, we examined the repression of a viral gene, US7. Here we demonstrate that
the HCMV-encoded miRNAs miR-US5-1 and miR-US5-2 function in a highly synergistic
manner to regulate US7, even at very low miRNA concentrations. Regulation of US7
involves three functional miRNA binding sites: two that are completely
complementary to the 3' untranslated region (3'UTR) and one that is imperfectly
matched. Surprisingly, we observed equal contributions to inhibition from both
complete and partially complementary sites, and repression was not completely
abrogated until all three sites were mutated simultaneously. We also observed
that the miRNA binding sites did not follow the spacing constraints for
corepressive miRNAs observed in earlier reports. These results underscore the
importance of evaluating the contribution of multiple miRNAs on gene regulation
and shed new insight into miRNA:mRNA interactions.
PMID- 21900171
TI - 2009 pandemic H1N1 influenza virus causes disease and upregulation of genes
related to inflammatory and immune responses, cell death, and lipid metabolism in
pigs.
AB - There exists limited information about whether adaptation is needed for cross
species transmission of the 2009 pandemic H1N1 influenza virus (pH1N1). Here, we
compare the pathogenesis of two pH1N1 viruses, one derived from a human patient
(A/CA/04/09 [CA09]) and the other from swine (A/swine/Alberta/25/2009 [Alb09]),
with that of the 1918-like classical swine influenza virus (A/swine/Iowa/1930
[IA30]) in the pig model. Both pH1N1 isolates induced clinical symptoms such as
coughing, sneezing, decreased activity, fever, and labored breathing in
challenged pigs, but IA30 virus did not cause any clinical symptoms except fever.
Although both the pH1N1 viruses and the IA30 virus caused lung lesions, the pH1N1
viruses were shed from the nasal cavities of challenged pigs whereas the IA30
virus was not. Global gene expression analysis indicated that transcriptional
responses of the viruses were distinct. pH1N1-infected pigs had an upregulation
of genes related to inflammatory and immune responses at day 3 postinfection that
was not seen in the IA30 infection, and expression levels of genes related to
cell death and lipid metabolism at day 5 postinfection were markedly different
from those of IA30 infection. These results indicate that both pH1N1 isolates are
more virulent due in part to differences in the host transcriptional response
during acute infection. Our study also indicates that pH1N1 does not need prior
adaptation to infect pigs, has a high potential to be maintained in naive swine
populations, and might reassort with currently circulating swine influenza
viruses.
PMID- 21900173
TI - Intragenic and extragenic suppression of a mutation in herpes simplex virus 1
UL34 that affects both nuclear envelope targeting and membrane budding.
AB - Late in infection herpesviruses move DNA-filled capsids from the nucleus to the
cytoplasm by enveloping DNA-containing capsids at the inner nuclear membrane
(INM) and deenveloping them at the outer nuclear membrane. This process requires
two conserved herpesvirus proteins, pUL31 and pUL34. Interaction between pUL34
and pUL31 is essential for targeting both proteins to the nuclear envelope (NE),
and sequences that mediate the targeting interaction have been mapped in both
proteins. Here, we show that a mutation in the INM-targeting domain of pUL34
fails to support production of infectious virus or plaque formation. The mutation
results in multiple defects, including impaired interaction between pUL34 and
pUL31, poor NE targeting of pUL34, and misregulated, capsid-independent budding
of the NE. The mutant defects in virus production, plaque formation, and pUL31
interaction can be suppressed by other mutations in the INM-targeting domain of
pUL31 and by additional mutations in the pUL34 coding sequence.
PMID- 21900174
TI - The salt stress-induced LPA response in Chlamydomonas is produced via PLA2
hydrolysis of DGK-generated phosphatidic acid.
AB - The unicellular green alga Chlamydomonas has frequently been used as a eukaryotic
model system to study intracellular phospholipid signaling pathways in response
to environmental stresses. Earlier, we found that hypersalinity induced a rapid
increase in the putative lipid second messenger, phosphatidic acid (PA), which
was suggested to be generated via activation of a phospholipase D (PLD) pathway
and the combined action of a phospholipase C/diacylglycerol kinase (PLC/DGK)
pathway. Lysophosphatidic acid (LPA) was also increased and was suggested to
reflect a phospholipase A2 (PLA2) activity based on pharmacological evidence. The
question of PA's and LPA's origin is, however, more complicated, especially as
both function as precursors in the biosynthesis of phospho- and galactolipids. To
address this complexity, a combination of fatty acid-molecular species analysis
and in vivo 32P-radiolabeling was performed. Evidence is provided that LPA is
formed from a distinct pool of PA characterized by a high alpha-linolenic acid
(18:3n-3) content. This molecular species was highly enriched in the
polyphosphoinositide fraction, which is the substrate for PLC to form
diacylglycerol. Together with differential 32P-radiolabeling studies and earlier
PLD-transphosphatidylation and PLA2-inhibitor assays, the data were consistent
with the hypothesis that the salt-induced LPA response is primarily generated
through PLA2-mediated hydrolysis of DGK-generated PA and that PLD or de novo
synthesis [via endoplasmic reticulum - or plastid-localized routes] is not a
major contributor.
PMID- 21900175
TI - Cubbing in proapolipoprotein maturation.
PMID- 21900176
TI - Primary vascularization of the graft determines the immunodominance of murine
minor H antigens during organ transplantation.
AB - Grafts can be rejected even when matched for MHC because of differences in the
minor histocompatibility Ags (mH-Ags). H4- and H60-derived epitopes are known as
immunodominant mH-Ags in H2(b)-compatible BALB.B to C57BL/6 transplantation
settings. Although multiple explanations have been provided to explain
immunodominance of Ags, the role of vascularization of the graft is yet to be
determined. In this study, we used heart (vascularized) and skin
(nonvascularized) transplantations to determine the role of primary
vascularization of the graft. A higher IFN-gamma response toward H60 peptide
occurs in heart recipients. In contrast, a higher IFN-gamma response was
generated against H4 peptide in skin transplant recipients. Peptide-loaded
tetramer staining revealed a distinct antigenic hierarchy between heart and skin
transplantation: H60-specific CD8(+) T cells were the most abundant after heart
transplantation, whereas H4-specific CD8(+) T cells were more abundant after skin
graft. Neither the tissue-specific distribution of mH-Ags nor the draining lymph
node-derived dendritic cells correlated with the observed immunodominance.
Interestingly, non-primarily vascularized cardiac allografts mimicked skin grafts
in the observed immunodominance, and H60 immunodominance was observed in
primarily vascularized skin grafts. However, T cell depletion from the BALB.B
donor prior to cardiac allograft induces H4 immunodominance in vascularized
cardiac allograft. Collectively, our data suggest that immediate transmigration
of donor T cells via primary vascularization is responsible for the
immunodominance of H60 mH-Ag in organ and tissue transplantation.
PMID- 21900177
TI - Immunotherapy with costimulatory dendritic cells to control autoimmune
inflammation.
AB - Costimulation-deficient dendritic cells (DCs) prevent autoimmune disease in mouse
models. However, autoimmune-prone mice and humans fail to control expansion of
peripheral autoreactive effector memory T cells (T(EMs)), which resist
immunoregulation by costimulation-deficient DCs. In contrast, activation of DC
costimulation may be coupled with regulatory capacity. To test whether
costimulatory DCs control T(EMs) and attenuate established autoimmune disease, we
used RelB-deficient mice, which have multiorgan inflammation, expanded peripheral
autoreactive T(EMs), and dysfunctional Foxp3(+) regulatory T cells (Tregs) cells
and conventional DCs. T(EMs) were regulated by Foxp3(+) Tregs when costimulated
by CD3/CD28-coated beads or wild-type DCs but not DCs deficient in RelB or
CD80/CD86. After transfer, RelB and CD80/CD86-sufficient DCs restored tolerance
and achieved a long-term cure of autoimmune disease through costimulation of
T(EM) and Foxp3(+) Treg IFN-gamma production, as well as induction of IDO by host
APCs. IDO was required for regulation of T(EMs) and suppression of organ
inflammation. Our data challenge the paradigm that costimulation-deficient DCs
are required to regulate established autoimmune disease to avoid T(EM) activation
and demonstrate cooperative cross-talk between costimulatory DCs, IFN-gamma, and
IDO-dependent immune regulation. IFN-gamma and IDO activity may be good surrogate
biomarkers measured against clinical efficacy in trials of autoimmune disease
immunoregulation.
PMID- 21900178
TI - Pathogenic nematodes suppress humoral responses to third-party antigens in vivo
by IL-10-mediated interference with Th cell function.
AB - One third of the human population is infected with helminth parasites. To promote
their longevity and to limit pathology, helminths have developed several
strategies to suppress the immune response of their host. As this immune
suppression also acts on unrelated third-party Ags, a preexisting helminth
infection may interfere with vaccination efficacy. In this study, we show that
natural infection with Litomosoides sigmodontis suppressed the humoral response
to thymus-dependent but not to thymus-independent model Ags in C57BL/6 mice.
Thereby, we provide evidence that reduced humoral responses were mediated by
interference with Th cell function rather than by direct suppression of B cells
in L. sigmodontis-infected mice. We directly demonstrate suppression of Ag
specific proliferation in OVA-specific Th cells after adoptive transfer into L.
sigmodontis-infected mice that led to equally reduced production of OVA-specific
IgG. Transferred Th cells displayed increased frequencies of Foxp3(+) after in
vivo stimulation within infected but not within naive mice. Helminth-mediated
suppression was induced by established L. sigmodontis infections but was
completely independent of the individual worm burden. Using DEREG mice, we rule
out a central role for host-derived regulatory T cells in the suppression of
transferred Th cell proliferation. In contrast, we show that L. sigmodontis
induced, host-derived IL-10 mediated Foxp3 induction in transferred Th cells and
significantly contributed to the observed Th cell hypoproliferation within
infected mice.
PMID- 21900179
TI - Myeloid-specific expression of human lysosomal acid lipase corrects malformation
and malfunction of myeloid-derived suppressor cells in lal-/- mice.
AB - Lysosomal acid lipase (LAL) cleaves cholesteryl esters and triglycerides to
generate free fatty acids and cholesterol in lysosomes. LAL deficiency causes
expansion of CD11b(+)Gr-1(+) immature myeloid cells, loss of T cells, and
impairment of T cell function. To test how myeloid cell LAL controls myelopoiesis
and lymphopoiesis, a myeloid-specific doxycycline-inducible transgenic system was
used to reintroduce human lysosomal acid lipase (hLAL) expression into LAL gene
knockout (lal(-/-)) mice. Expression of hLAL in myeloid cells of lal(-/-) mice
reversed abnormal myelopoiesis in the bone marrow starting at the granulocyte
monocyte progenitor stage and reduced systemic expansion of myeloid-derived
suppressor cells (MDSCs). Myeloid hLAL expression inhibited reactive oxygen
species production and arginase expression in CD11b(+)Gr-1(+) cells of lal(-/-)
mice. Structural organization of the thymus and spleen was partially restored in
association with reduced infiltration of CD11b(+)Gr-1(+) cells in these mice. In
the thymus, reconstitution of myeloid cell LAL restored development of thymocytes
at the double-negative DN3 stage. Myeloid cell LAL expression improved the
proliferation and function of peripheral T cells. In vitro coculture experiments
showed that myeloid hLAL expression in lal(-/-) mice reversed CD11b(+)Gr-1(+)
myeloid cell suppression of CD4(+) T cell proliferation, T cell signaling
activation, and lymphokine secretion. Blocking stat3 and NF-kappaB p65 signaling
by small-molecule inhibitors in MDSCs achieved a similar effect. Injection of
anti-Gr-1 Ab into lal(-/-) mice to deplete MDSCs restored T cell proliferation.
These studies demonstrate that LAL in myeloid cells plays a critical role in
maintaining normal hematopoietic cell development and balancing immunosuppression
and inflammation.
PMID- 21900181
TI - A live imaging cell motility screen identifies prostaglandin E2 as a T cell stop
signal antagonist.
AB - The T cell migration stop signal is a central step in T cell activation and
inflammation; however, its regulatory mechanisms remain largely unknown. Using a
live-cell, imaging-based, high-throughput screen, we identified the PG, PGE(2),
as a T cell stop signal antagonist. Src kinase inhibitors, microtubule
inhibitors, and PGE(2) prevented the T cell stop signal, and impaired T cell-APC
conjugation and T cell proliferation induced by primary human allogeneic
dendritic cells. However, Src inhibition, but not PGE(2) or microtubule
inhibition, impaired TCR-induced ZAP-70 signaling, demonstrating that T cell stop
signal antagonists can function either upstream or downstream of proximal TCR
signaling. Moreover, we found that PGE(2) abrogated TCR-induced activation of the
small GTPase Rap1, suggesting that PGE(2) may modulate T cell adhesion and
stopping through Rap1. These results identify a novel role for PGs in preventing
T cell stop signals and limiting T cell activation induced by dendritic cells.
PMID- 21900180
TI - Impaired B cell development and function in the absence of IkappaBNS.
AB - IkappaBNS has been identified as a member of the IkappaB family of NF-kappaB
inhibitors, which undergoes induction upon TCR signaling. Mice carrying a
targeted gene disruption of IkappaBNS demonstrate dysregulation of cytokines in T
cells, macrophages, and dendritic cells. IkappaBNS mediates both positive and
negative gene regulation, depending on individual cell type and/or cytokine. In
this study, we demonstrate an additional role for IkappaBNS in the B cell
lineage. B cells from IkappaBNS knockout (KO) mice were impaired in proliferative
responses to LPS and anti-CD40. IgM and IgG3 Igs were drastically reduced in the
serum of IkappaBNS KO mice, although IkappaBNS KO B cells exhibited a higher
level of surface IgM than that found in wild-type mice. Switching to IgG3 was
significantly reduced in IkappaBNS KO B cells. The in vitro induction of plasma
cell development demonstrated that progression to Ab-secreting cells was impaired
in IkappaBNS KO B cells. In agreement with this finding, the number of Ab
secreting cells in the spleens of IkappaBNS KO mice was reduced and production of
Ag-specific Igs was lower in IkappaBNS KO mice after influenza infection as
compared with wild-type mice. Additionally, IkappaBNS KO mice lacked B1 B cells
and exhibited a reduction in marginal zone B cells. Thus, IkappaBNS significantly
impacts the development and functions of B cells and plasma cells.
PMID- 21900182
TI - Intestinal epithelial cells modulate CD4 T cell responses via the thymus leukemia
antigen.
AB - The intestinal epithelium is comprised of a monolayer of intestinal epithelial
cells (IEC), which provide, among other functions, a physical barrier between the
high Ag content of the intestinal lumen and the sterile environment beyond the
epithelium. IEC express a nonclassical MHC class I molecule known as the thymus
leukemia (TL) Ag. TL is known to interact with CD8alphaalpha-expressing cells,
which are abundant in the intestinal intraepithelial lymphocyte compartment. In
this report, we provide evidence indicating that expression of TL by IEC
modulates the cytokine profile of CD4(+) T cells favoring IL-17 production. We
show in an adoptive transfer model of colitis that donor-derived cells become
more pathogenic when TL is expressed on IEC in recipient animals. Moreover,
TL(+)IEC promote development of IL-17-mediated responses capable of protecting
mice from Citrobacter rodentium infection. We also show that modulation of IL-17
mediated responses by TL(+)IEC is controlled by the expression of CD8alpha on
CD4(+) T cells. Overall, our results provide evidence for an important
interaction between IEC and CD4(+) T cells via TL, which modulates mucosal immune
responses.
PMID- 21900184
TI - Retraction: Stimulation of FcgammaRI on primary sensory neurons increases insulin
like growth factor-I production, thereby reducing reperfusion-induced renal
injury in mice.
PMID- 21900183
TI - The BTB-ZF family of transcription factors: key regulators of lineage commitment
and effector function development in the immune system.
AB - Successful immunity depends upon the activity of multiple cell types. Commitment
of pluripotent precursor cells to specific lineages, such as T or B cells, is
obviously fundamental to this process. However, it is also becoming clear that
continued differentiation and specialization of lymphoid cells is equally
important for immune system integrity. Several members of the BTB-ZF family have
emerged as critical factors that control development of specific lineages and
also of specific effector subsets within these lineages. For example, BTB-ZF
genes have been shown to control T cell versus B cell commitment and CD4 versus
CD8 lineage commitment. Others, such as PLZF for NKT cells and Bcl-6 for T
follicular helper cells, are necessary for the acquisition of effector functions.
In this review, we summarize current findings concerning the BTB-ZF family
members with a reported role in the immune system.
PMID- 21900185
TI - Impact of serial troponin release on outcomes in patients with acute heart
failure: analysis from the PROTECT pilot study.
AB - BACKGROUND: Cardiac troponin T (cTnT) elevation is common and is a predictor of
outcomes in patients with acute heart failure (AHF). The degree and progression
of cTnT release during hospitalization of patients with AHF is unclear. We
evaluated the incidence of cTnT release during AHF hospitalization and the
relationship of cTnT release with outcomes. METHODS AND RESULTS: The Placebo
controlled Randomized study of the selective A(1) adenosine receptor antagonist
rolofylline for patients hospitalized with acute heart failure and volume
Overload to assess Treatment Effect on Congestion and renal funcTion (PROTECT)
pilot study was a multicenter, double-blind study of patients with AHF.
Measurements of cTnT were collected at randomization and days 2, 3, 4, and 7.
Patients were classified on the basis of their serum cTnT levels at baseline:
positive (>0.03 ng/mL), detectable (>0.01 ng/mL), and negative (<=0.01 ng/mL). A
detectable cTnT level developed during the study (after baseline) was classified
as cTnT conversion: 288 patients were included; 172 (60%) patients had detectable
cTnT levels and 97 (34%) had positive values (>0.03 ng/mL) at baseline. Of the
116 patients with negative troponin at baseline, 24 (21%) had elevated cTnT
levels by day 7. On multivariable analysis, positive cTnT at baseline was an
independent predictor of the composite end point of cardiovascular/renal
rehospitalization or death at 60 days (hazard ratio, 1.84; 95% confidence
interval, 1.04-3.26; P=0.036). Kaplan-Meier curves showed similar worse outcomes
in patients with troponin conversion and positive troponin at baseline.
CONCLUSIONS: There was a high prevalence of baseline cTnT elevation in this
cohort; 21% of those negative at baseline converted to detectable levels by day
7. Positive troponin at baseline, and conversion to positive levels, were
associated with worse outcomes at 60 days. Clinical Trial Registration- URL:
http://www.clinicaltrials.gov. Unique identifiers: NCT00328692 and NCT00354458.
PMID- 21900187
TI - Feasibility of a heart failure disease management program in eastern Europe:
Tbilisi, Georgia.
AB - BACKGROUND: Little is known about the importation of a heart failure disease
management program (HFDMP) into low- and middle-income countries. We examined the
feasibility of importing a HFDMP into the country of Georgia, located in the
Caucuses. METHODS AND RESULTS: Patients with ejection fraction <=40% were
enrolled into a prospective, observational study consisting of a new HFDMP
staffed by local cardiologists. Medications, emergency department use, hospital
admissions, and mortality were assessed by interviews with patients or their
families. Screening resulted in 400 patients who were followed for 10.2+/-3.5
months. beta-Blocker prescriptions increased from 7.4-80.7% (P<0.001),
angiotensin-converting enzyme inhibitor prescriptions increased from 18.4-92.6%
(P<0.001), and mean systolic blood pressure declined from 145 to 114 mm Hg
(P<0.001). Patients visiting the emergency department and hospitalizations were
lowered by 40.7% and 52.5%, respectively, but were also influenced by the
outbreak of war, during which 17.5% (n=70) of patients received follow-up in
refugee tents. All-cause mortality extended to 7% of patients, with 12 of 28
deaths caused by war-related events. CONCLUSIONS: Importation of a Western HFDMP
was demonstrated to be feasible, with a 5-fold increase in the use of recommended
therapies, reduction of blood pressure, decrease of emergency department visits,
and hospitalizations for heart failure. These measures could result in
substantial cost savings in resource-limited settings, but assessment is
complicated in unstable areas. Translating effective interventions to low- and
middle-income countries requires sensitivity to regional cultures and flexibility
to adapt both clinical goals and strategies to unexpected conditions.
PMID- 21900186
TI - The relationship between serum markers of collagen turnover and cardiovascular
outcome in the elderly: the Cardiovascular Health Study.
AB - BACKGROUND: The deposition of collagen fibrils in the myocardial extracellular
matrix increases with age and plays a key role in the pathophysiology of heart
failure (HF). We sought to determine the predictive value of serum markers of
collagen turnover for incident HF and cardiovascular (CV) morbidity, mortality,
and all-cause mortality in elderly individuals. METHODS AND RESULTS: In 880
participants in the Cardiovascular Health Study (mean age, 77+/-6 years; 48%
women), serum levels of carboxyl-terminal peptide of procollagen type I (PIP),
carboxyl-terminal telopeptide of collagen type I (CITP), and amino-terminal
peptide of procollagen type III (PIIINP) were measured in 4 groups: HF with
reduced ejection fraction (HFREF; n=146, EF <55%); HF with preserved EF (HFPEF;
n=175, EF >=55%), control subjects with CV risk factors but not HF (CVD; n=280),
and healthy control subjects free of CV disease (n=279). Relationships between
these serum markers and outcome at follow-up of 12+/-4 years (range, 3-17 years)
was determined in six models including those adjusted for conventional risk
factors, renal function, NT-proBNP and agents which interfere with collagen
synthesis. For the entire cohort, in unadjusted and adjusted models, both PIIINP
and CITP were associated with myocardial infarction, incident HF, hospitalization
for HF, cardiovascular and all-cause mortality. In healthy control subjects, CITP
and PIIINP were associated with all-cause death. In control subjects with risk
factors, CITP was associated with incident HF, and in participants with HFPEF,
CITP was associated with hospitalization for HF. No collagen biomarker was
associated with outcome in participants with HFREF, and PIP was not associated
with outcome in the cohort or its subgroups. CONCLUSIONS: In both healthy and
elderly individuals with CV disease at risk of developing HF, CITP and PIIINP are
significantly associated with multiple adverse cardiac outcomes including
myocardial infarction, HF, and death. Clinical Trial Registration- URL:
http://www.clinicaltrials.gov. Unique identifier: NCT00005133.
PMID- 21900188
TI - Right ventricular failure in idiopathic pulmonary arterial hypertension is
associated with inefficient myocardial oxygen utilization.
AB - BACKGROUND: In idiopathic pulmonary arterial hypertension (IPAH), increased right
ventricular (RV) power is required to maintain cardiac output. For this, RV O2
consumption (MVO2) must increase by augmentation of O2 supply and/or improvement
of mechanical efficiency-ratio of power output to MVO2. In IPAH with overt RV
failure, however, there is evidence that O2 supply (perfusion) reserve is
reduced, leaving only increase in either O2 extraction or mechanical efficiency
as compensatory mechanisms. We related RV mechanical efficiency to clinical and
hemodynamic parameters of RV function in patients with IPAH and associated it
with glucose metabolism. METHODS AND RESULTS: The patients included were in New
York Heart Association (NYHA) class II (n=8) and class III (n=8). They underwent
right heart catheterization, MRI, and H2(15)O-, (15)O2-, C(15)O-, and 18FDG-PET.
RV power and O2 supply were similar in both groups (NYHA class II versus class
III: 0.54+/-0.14 versus 0.47+/-0.12 J/s and 0.109+/-0.022 versus 0.128+/-0.026 mL
O2/min per gram, respectively). RV O2 extraction was near-significantly lower in
NYHA class II compared with NYHA class III (63+/-17% versus 75+/-16%,
respectively, P=0.10). As a result, MVO2 was significantly lower (0.066+/-0.012
versus 0.092+/-0.010 mL O2/min per gram, respectively, P=0.006). RV efficiency
was reduced in NYHA class III (13.9+/-3.8%) compared with NYHA class II (27.8+/
7.6%, P=0.001). Septal bowing, measured by MRI, correlated with RV efficiency (r
= -0.59, P=0.020). No relation was found between RV efficiency and glucose uptake
rate. RV mechanical efficiency and ejection fraction were closely related
(r=0.81, P<0.001). CONCLUSIONS: RV failure in IPAH was associated with reduced
mechanical efficiency that was partially explained by RV mechanical dysfunction
but not by a metabolic shift.
PMID- 21900189
TI - Prognostic relevance of 18-F FDG PET/CT in newly diagnosed multiple myeloma
patients treated with up-front autologous transplantation.
AB - We prospectively analyzed the prognostic relevance of positron emission
tomography-computed tomography (PET/CT) at diagnosis, after thalidomide
dexamethasone (TD) induction therapy and double autotransplantation (ASCT) in 192
newly diagnosed multiple myeloma (MM) patients. Presence at baseline of at least
3 focal lesions (FLs; 44% of cases), a standardized uptake value (SUV) > 4.2
(46%), and extramedullary disease (EMD; 6%) adversely affected 4-year estimates
of progression-free survival (PFS; >= 3 FLs: 50%; SUV > 4.2: 43%; presence of
EMD: 28%). SUV > 4.2 and EMD were also correlated with shorter overall survival
(OS; 4-year rates: 77% and 66%, respectively). Persistence of SUV > 4.2 after TD
induction was an early predictor for shorter PFS. Three months after ASCT, PET/CT
was negative in 65% of patients whose 4-year rates of PFS and OS were superior to
those of PET-positive patients (PFS: 66% and OS: 89%). In a multivariate
analysis, both EMD and SUV > 4.2 at baseline and persistence of
fluorodeoxyglucose (FDG) uptake after ASCT were independent variables adversely
affecting PFS. PET/CT involvement at diagnosis, after novel agent-based induction
and subsequent ASCT is a reliable predictor of prognosis in MM patients. This
study is registered at www.clinicaltrials.gov as NTC01341262.
PMID- 21900190
TI - Inhibition of IL-32 activation by alpha-1 antitrypsin suppresses alloreactivity
and increases survival in an allogeneic murine marrow transplantation model.
AB - Interleukin (IL)-32 was originally identified in natural killer cells and IL-2
activated human T lymphocytes. As T cells are activated in allogeneic
transplantation, we determined the role of IL-32 in human mixed lymphocyte
cultures (MLCs) and GVHD. In allogeneic MLCs, IL-32 increased two-fold in
responding T cells, accompanied by five-fold increases of TNFalpha, IL-6, and IL
8. After allogeneic hematopoietic cell transplantation, IL-32 mRNA levels in
blood leukocytes were statistically significantly higher in patients with acute
GVHD (n = 10) than in serial samples from patients who did not develop acute GVHD
(n = 5; P = .02). No significant changes in IL-32 levels were present in patients
with treated (n = 14) or untreated (n = 8) chronic GVHD, compared with healthy
controls (n = 8; P = .5, and P = .74, respectively). As IL-32 is activated by
proteinase-3 (PR3), we determined the effect of the serine protease inhibitor
alpha-1 antitrypsin (AAT) on IL-32 levels and showed suppression of IL-32 and T
lymphocyte proliferation in MLCs. In an MHC-minor antigen disparate murine
transplant model, preconditioning and postconditioning treatment with AAT
resulted in attenuation or prevention of GVHD and superior survival compared with
albumin-treated controls (80% vs 44%; P = .04). These findings suggest that AAT
modulates immune and inflammatory functions and may represent a novel approach to
prevent or treat GVHD.
PMID- 21900191
TI - Pivotal trial with plant cell-expressed recombinant glucocerebrosidase,
taliglucerase alfa, a novel enzyme replacement therapy for Gaucher disease.
AB - Taliglucerase alfa (Protalix Biotherapeutics, Carmiel, Israel) is a novel plant
cell-derived recombinant human beta-glucocerebrosidase for Gaucher disease. A
phase 3, double-blind, randomized, parallel-group, comparison-dose (30 vs 60 U/kg
body weight/infusion) multinational clinical trial was undertaken. Institutional
review board approvals were received. A 9-month, 20-infusion trial used
inclusion/exclusion criteria in treatment-naive adult patients with splenomegaly
and thrombocytopenia. Safety end points were drug-related adverse events: Ab
formation and hypersensitivity reactions. Primary efficacy end point was
reduction in splenic volume measured by magnetic resonance imaging. Secondary end
points were: changes in hemoglobin, hepatic volume, and platelet counts.
Exploratory parameters included biomarkers and bone imaging. Twenty-nine patients
(11 centers) completed the protocol. There were no serious adverse events; drug
related adverse events were mild/moderate and transient. Two patients (6%)
developed non-neutralizing IgG Abs; 2 other patients (6%) developed
hypersensitivity reactions. Statistically significant spleen reduction was
achieved at 9 months: 26.9% (95% confidence interval [CI]: -31.9, -21.8) in the
30-unit dose group and 38.0% (95% CI: -43.4, -32.8) in the 60-unit dose group
(both P < .0001); and in all secondary efficacy end point measures, except
platelet counts at the lower dose. These results support safety and efficacy of
taliglucerase alfa for Gaucher disease.
PMID- 21900192
TI - Chemoimmunotherapy for hemophagocytic lymphohistiocytosis: long-term results of
the HLH-94 treatment protocol.
AB - Hemophagocytic lymphohistiocytosis (HLH) used to have a dismal prognosis. We
report the final results of HLH-94, the largest prospective
diagnostic/therapeutic HLH study so far. The treatment includes immunosuppressive
and cytotoxic therapy aiming at clinical remission, followed by HSCT in patients
with familial, persistent, or recurrent disease. Altogether, 249 patients
fulfilled inclusion criteria and started HLH-94 therapy (July 1994-December
2003); 227 (91%) were followed-up for >= 5 years. At 6.2 years median follow-up,
estimated 5-year probability of survival was 54% +/- 6%. Seventy-two patients
(29%) died before HSCT, 64 within 1 year, 97% of whom had active disease. In 124
patients who underwent HSCT, 5-year survival was 66 +/- 8%; tendency to increased
survival (P = .064) in patients with nonactive disease at HSCT. Patients with
familial disease had a 5-year survival of 50% +/- 13%; none survived without
HSCT. Patients deceased during the first 2 months more often had jaundice, edema,
and elevated creatinine. Forty-nine patients (20%) were alive without signs of
HLH activity and off-therapy > 1-year without HSCT; they presented at older age
(P < .001), were more often female (P = .011), and less often had CNS disease (P
< .001) or hepatomegaly (P = .007). To conclude, HLH-94 chemoimmunotherapy has
considerably improved outcome in HLH. Collaborative efforts are needed to further
reduce early mortality, HSCT-related mortality, and neurologic late effects.
PMID- 21900193
TI - Outcome according to cytogenetic abnormalities and DNA ploidy in myeloma patients
receiving short induction with weekly bortezomib followed by maintenance.
AB - Cytogenetic abnormalities (CAs) such as t(4;14), t(14;16) or del(17p), and
nonhyperdiploidy are associated with poor prognosis in multiple myeloma. We
evaluated the influence of CAs by FISH and DNA ploidy by flow cytometry on
response and survival in 232 elderly, newly diagnosed multiple myeloma patients
receiving an induction with weekly bortezomib followed by maintenance therapy
with bortezomib-based combinations. Response was similar in the high-risk and
standard-risk CA groups, both after induction (21% vs 27% complete responses
[CRs]) and maintenance (39% vs 45% CR). However, high-risk patients showed
shorter progression-free survival (PFS) than standard-risk patients, both from
the first (24 vs 33 months; P = .04) and second randomization (17 vs 27 months; P
= .01). This also translated into shorter overall survival (OS) for high-risk
patients (3-year OS: 55% vs 77%; P = .001). This adverse prognosis applied to
either t(4;14) or del(17p). Concerning DNA ploidy, hyperdiploid patients showed
longer OS than nonhyperdiploid patients (77% vs 63% at 3 years; P = .04), and
this was more evident in patients treated with bortezomib, thalidomide, and
prednisone (77% vs 53% at 3 years; P = .02). The present schema does not overcome
the negative prognosis of high-risk CAs and nonhyperdiploidy. This trial was
registered with www.ClinicalTrials.gov as NCT00443235.
PMID- 21900195
TI - ETV6-RUNX1 promotes survival of early B lineage progenitor cells via a
dysregulated erythropoietin receptor.
AB - ETV6-RUNX1 gene fusion is usually an early, prenatal event in childhood acute
lymphoblastic leukemia (ALL). Transformation results in the generation of a
persistent (> 14 years) preleukemic clone, which postnatally converts to ALL
after the acquisition of necessary secondary genetic alterations. Many cancer
cells show some expression of the erythropoietin receptor (EPOR) gene, although
the "functionality" of any EPOR complexes and their relevant signaling pathways
in nonerythroid cells has not been validated. EPOR mRNA is selectively and
ectopically expressed in ETV6-RUNX1(+) ALL, but the presence of a functional EPOR
on the cell surface and its role in leukemogenesis driven by ETV6-RUNX1 remains
to be identified. Here, we show that ETV6-RUNX1 directly binds the EPOR promoter
and that expression of ETV6-RUNX1 alone in normal pre-B cells is sufficient to
activate EPOR transcription. We further reveal that murine and human ETV6
RUNX1(+) cells expressing EPOR mRNA have EPO ligand binding activity that
correlates with an increased cell survival through activation of the JAK2-STAT5
pathway and up-regulation of antiapoptotic BCL-XL. These data support the
contention that ETV6-RUNX1 directly activates ectopic expression of a functional
EPOR and provides cell survival signals that may contribute critically to
persistence of covert premalignant clones in children.
PMID- 21900194
TI - Genome-wide ChIP-Seq reveals a dramatic shift in the binding of the transcription
factor erythroid Kruppel-like factor during erythrocyte differentiation.
AB - Erythropoiesis is dependent on the activity of transcription factors, including
the erythroid-specific erythroid Kruppel-like factor (EKLF). ChIP followed by
massively parallel sequencing (ChIP-Seq) is a powerful, unbiased method to map
trans-factor occupancy. We used ChIP-Seq to study the interactome of EKLF in
mouse erythroid progenitor cells and more differentiated erythroblasts. We
correlated these results with the nuclear distribution of EKLF, RNA-Seq analysis
of the transcriptome, and the occupancy of other erythroid transcription factors.
In progenitor cells, EKLF is found predominantly at the periphery of the nucleus,
where EKLF primarily occupies the promoter regions of genes and acts as a
transcriptional activator. In erythroblasts, EKLF is distributed throughout the
nucleus, and erythroblast-specific EKLF occupancy is predominantly in intragenic
regions. In progenitor cells, EKLF modulates general cell growth and cell cycle
regulatory pathways, whereas in erythroblasts EKLF is associated with repression
of these pathways. The EKLF interactome shows very little overlap with the
interactomes of GATA1, GATA2, or TAL1, leading to a model in which EKLF directs
programs that are independent of those regulated by the GATA factors or TAL1.
PMID- 21900197
TI - Attenuation of leukocyte recruitment via CXCR1/2 inhibition stops the progression
of PAH in mice with genetic ablation of endothelial BMPR-II.
AB - Previous studies from our group have demonstrated that bone morphogenetic protein
receptor-II (BMPR-II), expressed on pulmonary artery endothelial cells, imparts
profound anti-inflammatory effects by regulating the release of proinflammatory
cytokines and promoting barrier function by suppressing the transmigration of
leukocytes into the pulmonary vessel wall. Here we demonstrate that, in mice with
endothelial-specific loss of BMPR-II expression (L1Cre(+);Bmpr2(f/f)), reduction
in barrier function and the resultant pulmonary hypertension observed in vivo are
the result of increased leukocyte recruitment through increased CXCR1/2
signaling. Loss of endothelial expressed BMPR-II leads to elevated plasma levels
of a wide range of soluble mediators important in regulating leukocyte migration
and extravasation, including the CXCR1/2 ligand, KC. Treatment of
L1Cre(+);Bmpr2(f/f) mice with the CXCR1/2 antagonist SCH527123 inhibits leukocyte
transmigration into lung and subsequently reverses the pulmonary hypertension.
Our data have uncovered a previously unrecognized regulatory function of BMPR-II,
which acts to regulate the expression of CXCR2 on endothelial cells, suggesting
that increased CXCR2 signaling may also be a feature of the human pathology and
that CXCR1/2 pathway antagonists may represent a novel therapeutic approach for
treating pulmonary hypertension because of defects in BMPR-II expression.
PMID- 21900196
TI - Low telomerase activity in CD4+ regulatory T cells in patients with severe
chronic GVHD after hematopoietic stem cell transplantation.
AB - CD4(+)CD25(+)Foxp3(+) regulatory T cells (Treg) play an important role in the
control of chronic graft-versus-host disease (cGVHD). In this study, we examined
telomere length and telomerase activity of Treg and conventional CD4(+) T cells
(Tcon) in 61 patients who survived more than 2 years after allogeneic
hematopoietic stem cell transplantation. Cell proliferation and expression of Bcl
2 were also measured in each subset. Treg telomere length was shorter and Treg
telomerase activity was increased compared with Tcon (P < .0001). After
transplantation, Treg were also more highly proliferative than Tcon (P < .0001).
Treg number, telomerase activity, and expression of Bcl-2 were each inversely
associated with severity of cGVHD. These data indicate that activation of
telomerase is not sufficient to prevent telomere shortening in highly
proliferative Treg. However, telomerase activation is associated with increased
Bcl-2 expression and higher Treg numbers in patients with no or mild cGVHD. In
contrast, patients with moderate or severe cGVHD have fewer Treg with lower
levels of telomerase activity and Bcl-2 expression. These results suggest that
failure to activate Treg telomerase may restrict proliferative capacity and
increase apoptotic susceptibility, resulting in the loss of peripheral tolerance
and the development of cGVHD.
PMID- 21900198
TI - The impact of Fc-gamma receptor polymorphisms in elderly patients with diffuse
large B-cell lymphoma treated with CHOP with or without rituximab.
AB - Fcgamma receptor (FcgammaR) polymorphisms have been shown to affect rituximab
mediated antibody-dependent cellular cytotoxicity. Of 512 patients with diffuse
large B-cell lymphoma treated in the RICOVER-60 trial, carriers of FcgammaRIII
158 valine homozygous receptors (V/V) presented with a slightly decreased
incidence of B-symptoms (158 V/V: 26%, V/F: 35%, phenylalanine receptors [F/F]:
42%; P = .037). Survival curves of all FcgammaR single nucleotide polymorphisms
were superimposable after cyclophosphamide, doxorubicin, vincristine, and
prednisone (CHOP); but after CHOP with rituximab (R-CHOP), event-free survival
(EFS) and progression-free survival (PFS), but not overall survival, of
FcgammaRIIIa 158 F/F had a trend to be lower than those of 158 V/F and 158 V/V: 3
year EFS: FcgammaRIIIa 158 F/F: 64.5%, 158 V/F: 70.2%, 158 V/V: 76.9% (log-rank
test: P = .224 F/F vs V/V; P = .285 F/F vs V/F + V/V); 3-year PFS: FcgammaRIIIa
158 F/F: 68.3%, V/F: 76.1%, V/V: 80.5% (log-rank test: P = .233 for F/F vs V/V; P
= .185 for F/F vs V/F + V/V). By multivariate analysis adjusting for
International Prognostic Index factors, relative risk of F/F compared with V/F
plus V/V was 1.80 (P = .052) for PFS and 1.55 (P = .120) for EFS. The interaction
of R-CHOP, but not CHOP with FcgammaRIIIa polymorphisms, indicates a window of
opportunity for CD20 antibodies designed to mediate enhanced antibody-dependent
cellular cytotoxicity.
PMID- 21900199
TI - BMP activity controlled by BMPER regulates the proinflammatory phenotype of
endothelium.
AB - The endothelium plays a pivotal role in vascular inflammation. Here we study bone
morphogenetic protein (BMP) signaling in endothelial inflammation and in
particular the role of BMPER, an extracellular BMP modulator that is important in
vascular development and angiogenesis. Using the BMP antagonist dorsomorphin or
BMP2 as an agonist we show that BMP signaling is essential for the inflammatory
response of vascular endothelial cells as demonstrated by intravital microscopy.
We found that BMPER is decreased in inflammation similar to vascular protective
genes like KLF2 and eNOS. Using in vitro and in vivo models we show that BMPER is
down-regulated through the TNFalpha-NFkappaB-KLF2 signaling pathway.
Functionally, lack of BMPER induced by siRNA or in BMPER(+/-) mice confers a
proinflammatory endothelial phenotype with reduced eNOS levels and enhanced
expression of adhesion molecules leading to increased leukocyte adhesion and
extravasation in ex vivo and in vivo experiments. Vice versa, addition of BMPER
exerts endothelium protective functions and antagonizes TNFalpha induced
inflammation. Mechanistically, we demonstrate that these effects of BMPER are
dependent on BMP signaling because of enhanced NFkappaB activity. In conclusion,
the BMP modulator BMPER is a new protective regulator of vascular inflammation
that modulates leukocyte adhesion and migration in vitro and in vivo.
PMID- 21900200
TI - Twenty-one cases of blastic plasmacytoid dendritic cell neoplasm: focus on
biallelic locus 9p21.3 deletion.
AB - Blastic plasmacytoid dendritic cell neoplasm (BPDCN) is a rare and aggressive
malignancy derived from precursors of plasmacytoid dendritic cells. We analyzed
21 cases with array-based comparative genomic hybridization (aCGH). Complete or
partial chromosomal losses largely outnumbered the gains, with common deleted
regions involving 9p21.3 (CDKN2A/CDKN2B), 13q13.1-q14.3 (RB1), 12p13.2-p13.1
(CDKN1B), 13q11-q12 (LATS2), and 7p12.2 (IKZF1) regions. CDKN2A/CDKN2B deletion
was confirmed by FISH. This scenario argues for disruption of cell cycle at
G(1)/S transition, representing a genetic landmark of BPDCN, and possibly
contributing to its pathogenesis. Statistical analysis of overall survival in our
series highlighted an association of poor outcome with biallelic loss of locus
9p21.3. We suggest that, in the absence of reliable parameters for predicting
prognosis in BPDCN other than age, tumor stage, and/or clinical presentation,
simple methods, such as FISH for CDKN2A/CDKN2B, could help to identify the most
aggressive cases.
PMID- 21900201
TI - C-terminal domain of MEIS1 converts PKNOX1 (PREP1) into a HOXA9-collaborating
oncoprotein.
AB - The three-amino-acid loop extension (TALE) class homeodomain proteins MEIS1 and
PKNOX1 (PREP1) share the ability to interact with PBX and HOX family members and
bind similar DNA sequences but appear to play opposing roles in tumor
development. Elevated levels of MEIS1 accelerate development of HOX- and MLL
induced leukemias, and this pro-tumorigenic property has been associated with
transcriptional activity of MEIS1. In contrast, reduction of PKNOX1 levels has
been linked with cancer development despite the absence of an identifiable
transactivating domain. In this report, we show that a chimeric protein generated
by fusion of the MEIS1 C-terminal region encompassing the transactivating domain
with the full-length PKNOX1 (PKNOX1-MC) acquired the ability to accelerate the
onset of Hoxa9-induced leukemia in the mouse bone marrow
transduction/transplantation model. Gene expression profiling of primary bone
marrow cells transduced with Hoxa9 plus Meis1, or Hoxa9 plus Pknox1-MC revealed
perturbations in overlapping functional gene subsets implicated in DNA packaging,
chromosome organization, and in cell cycle regulation. Together, results
presented in this report suggest that the C-terminal domain of MEIS1 confers to
PKNOX1 an ectopic transactivating function that promotes leukemogenesis by
regulating expression of genes involved in chromatin accessibility and cell cycle
progression.
PMID- 21900202
TI - Optimization and application of median filter corrections to relieve diverse
spatial patterns in microtiter plate data.
AB - The standard (STD) 5 * 5 hybrid median filter (HMF) was previously described as a
nonparametric local backestimator of spatially arrayed microtiter plate (MTP)
data. As such, the HMF is a useful tool for mitigating global and sporadic
systematic error in MTP data arrays. Presented here is the first known HMF
correction of a primary screen suffering from systematic error best described as
gradient vectors. Application of the STD 5 * 5 HMF to the primary screen raw data
reduced background signal deviation, thereby improving the assay dynamic range
and hit confirmation rate. While this HMF can correct gradient vectors, it does
not properly correct periodic patterns that may present in other screening
campaigns. To address this issue, 1 * 7 median and a row/column 5 * 5 hybrid
median filter kernels (1 * 7 MF and RC 5 * 5 HMF) were designed ad hoc, to better
fit periodic error patterns. The correction data show periodic error in simulated
MTP data arrays is reduced by these alternative filter designs and that multiple
corrective filters can be combined in serial operations for progressive reduction
of complex error patterns in a MTP data array.
PMID- 21900203
TI - Focus issue: series on computational and systems biology.
AB - The application of computational biology and systems biology is yielding
quantitative insight into cellular regulatory phenomena. For the month of
September, Science Signaling highlights research featuring computational
approaches to understanding cell signaling and investigation of signaling
networks, a series of Teaching Resources from a course in systems biology, and
various other articles and resources relevant to the application of computational
biology and systems biology to the study of signal transduction.
PMID- 21900204
TI - Crowdsourcing network inference: the DREAM predictive signaling network
challenge.
AB - Computational analyses of systematic measurements on the states and activities of
signaling proteins (as captured by phosphoproteomic data, for example) have the
potential to uncover uncharacterized protein-protein interactions and to identify
the subset that are important for cellular response to specific biological
stimuli. However, inferring mechanistically plausible protein signaling networks
(PSNs) from phosphoproteomics data is a difficult task, owing in part to the lack
of sufficiently comprehensive experimental measurements, the inherent limitations
of network inference algorithms, and a lack of standards for assessing the
accuracy of inferred PSNs. A case study in which 12 research groups inferred PSNs
from a phosphoproteomics data set demonstrates an assessment of inferred PSNs on
the basis of the accuracy of their predictions. The concurrent prediction of the
same previously unreported signaling interactions by different participating
teams suggests relevant validation experiments and establishes a framework for
combining PSNs inferred by multiple research groups into a composite PSN. We
conclude that crowdsourcing the construction of PSNs-that is, outsourcing the
task to the interested community-may be an effective strategy for network
inference.
PMID- 21900206
TI - A directed protein interaction network for investigating intracellular signal
transduction.
AB - Cellular signal transduction is a complex process involving protein-protein
interactions (PPIs) that transmit information. For example, signals from the
plasma membrane may be transduced to transcription factors to regulate gene
expression. To obtain a global view of cellular signaling and to predict
potential signal modulators, we searched for protein interaction partners of more
than 450 signaling-related proteins by means of automated yeast two-hybrid
interaction mating. The resulting PPI network connected 1126 proteins through
2626 PPIs. After expansion of this interaction map with publicly available PPI
data, we generated a directed network resembling the signal transduction flow
between proteins with a naive Bayesian classifier. We exploited information on
the shortest PPI paths from membrane receptors to transcription factors to
predict input and output relationships between interacting proteins. Integration
of directed PPI with time-resolved protein phosphorylation data revealed network
structures that dynamically conveyed information from the activated epidermal
growth factor and extracellular signal-regulated kinase (EGF/ERK) signaling
cascade to directly associated proteins and more distant proteins in the network.
From the model network, we predicted 18 previously unknown modulators of EGF/ERK
signaling, which we validated in mammalian cell-based assays. This generic
experimental and computational approach provides a framework for elucidating
causal connections between signaling proteins and facilitates the identification
of proteins that modulate the flow of information in signaling networks.
PMID- 21900205
TI - Small molecule-mediated activation of the integrin CD11b/CD18 reduces
inflammatory disease.
AB - The integrin CD11b/CD18 (also known as Mac-1), which is a heterodimer of the
alpha(M) (CD11b) and beta(2) (CD18) subunits, is critical for leukocyte adhesion
and migration and for immune functions. Blocking integrin-mediated leukocyte
adhesion, although beneficial in experimental models, has had limited success in
treating inflammatory diseases in humans. Here, we used an alternative strategy
of inhibiting leukocyte recruitment by activating CD11b/CD18 with small-molecule
agonists, which we term leukadherins. These compounds increased the extent of
CD11b/CD18-dependent cell adhesion of transfected cells and of primary human and
mouse neutrophils, which resulted in decreased chemotaxis and transendothelial
migration. Leukadherins also decreased leukocyte recruitment and reduced arterial
narrowing after injury in rats. Moreover, compared to a known integrin
antagonist, leukadherins better preserved kidney function in a mouse model of
experimental nephritis. Leukadherins inhibited leukocyte recruitment by
increasing leukocyte adhesion to the inflamed endothelium, which was reversed
with a blocking antibody. Thus, we propose that pharmacological activation of
CD11b/CD18 offers an alternative therapeutic approach for inflammatory diseases.
PMID- 21900208
TI - Setting new tracks: not just creating another pretty picture.
PMID- 21900207
TI - Gene set enrichment analysis: performance evaluation and usage guidelines.
AB - A central goal of biology is understanding and describing the molecular basis of
plasticity: the sets of genes that are combinatorially selected by exogenous and
endogenous environmental changes, and the relations among the genes. The most
viable current approach to this problem consists of determining whether sets of
genes are connected by some common theme, e.g. genes from the same pathway are
overrepresented among those whose differential expression in response to a
perturbation is most pronounced. There are many approaches to this problem, and
the results they produce show a fair amount of dispersion, but they all fall
within a common framework consisting of a few basic components. We critically
review these components, suggest best practices for carrying out each step, and
propose a voting method for meeting the challenge of assessing different methods
on a large number of experimental data sets in the absence of a gold standard.
PMID- 21900209
TI - Time-frequency analysis of single pulse electrical stimulation to assist
delineation of epileptogenic cortex.
AB - Epilepsy surgery depends on reliable pre-surgical markers of epileptogenic
tissue. The current gold standard is the seizure onset zone in ictal, i.e.
chronic, electrocorticography recordings. Single pulse electrical stimulation can
evoke epileptic, spike-like responses in areas of seizure onset also recorded by
electrocorticography. Recently, spontaneous pathological high-frequency
oscillations (80-520 Hz) have been observed in the electrocorticogram that are
related to epileptic spikes, but seem more specific for epileptogenic cortex. We
wanted to see whether a quantitative electroencephalography analysis using time
frequency information including the higher frequency range could be applied to
evoked responses by single pulse electrical stimulation, to enhance its
specificity and clinical use. Electrocorticography data were recorded at a 2048
Hz sampling rate from 13 patients. Single pulse electrical stimulation (10
stimuli, 1 ms, 8 mA, 0.2 Hz) was performed stimulating pairs of adjacent
electrodes. A time-frequency analysis based on Morlet wavelet transformation was
performed in a [-1 s : 1 s] time interval around the stimulus and a frequency
range of 10-520 Hz. Significant (P = 0.05) changes in power spectra averaged for
10 epochs were computed, resulting in event-related spectral perturbation images.
In these images, time-frequency analysis of single pulse-evoked responses, in the
range of 10-80 Hz for spikes, 80-250 Hz for ripples and 250-520 Hz for fast
ripples, were scored by two observers independently. Sensitivity, specificity and
predictive value of time-frequency single pulse-evoked responses in the three
frequency ranges were compared with seizure onset zone and post-surgical outcome.
In all patients, evoked responses included spikes, ripples and fast ripples. For
the seizure onset zone, the median sensitivity of time-frequency single pulse
evoked responses decreased from 100% for spikes to 67% for fast ripples and the
median specificity increased from 17% for spikes to 79% for fast ripples. A
median positive predictive value for the evoked responses in the seizure onset
zone of 17% was found for spikes, 26% for ripples and 37% for fast ripples. Five
out of seven patients with <50% of fast ripples removed by resection had a poor
outcome. A wavelet transform-based time-frequency analysis of single pulse
electrical stimulation reveals evoked responses in the frequency range of spikes,
ripples and fast ripples. We demonstrate that time-frequency analysis of single
pulse electrical stimulation can assist in delineation of the epileptogenic
cortex using time-frequency single pulse-evoked fast ripples as a potential new
marker.
PMID- 21900210
TI - Rapid decrease in horn size of bighorn sheep: environmental decline, inbreeding
depression, or evolutionary response to trophy hunting?
AB - There are numerous examples demonstrating that selection has greatly influenced
phenotypes in wild-harvested species. Here, a significant reduction in horn size
in trophy desert bighorn sheep rams over 30 years in a reintroduced population in
Aravaipa Canyon, Arizona is documented. After examining the potential effects of
a detrimental change in the environment, inbreeding depression, and hunter-caused
evolutionary change, it appears that environmental deterioration, apparently from
the effects of drought, may be a major cause of the decline in horn size. In
particular, the reduction in ram horn size is positively associated with reduced
winter lifetime rainfall over the 3 decades. Over the same period, the
demographic indicator lamb-to-ewe ratio has also declined in the Aravaipa
population. On the other hand, lamb-to-ewe ratio has not declined statewide in
Arizona, and the population size in Aravaipa appears to be increasing, suggesting
local- and trait-specific effects. Using a theoretical context, neither
inbreeding depression nor hunter selection by themselves appear to the sole
causes of the lower horn size. However, some combination of environmental
factors, inbreeding depression, and hunter selection may have caused the decrease
in observed horn size. It is not clear what management actions might be
successful in countering the environmental effects on horn size, but supplemental
feeding and cattle removal are suggested while translocation is suggested to
counter the effects of inbreeding depression and reduced hunting and
translocation are suggested to counter the effects of hunter selection.
PMID- 21900211
TI - Transcriptional activity of ATF3 in the stromal compartment of tumors promotes
cancer progression.
AB - Compelling evidences have rendered the tumor microenvironment a crucial
determinant in cancer outcome. Activating transcription factor 3 (ATF3), a stress
response transcription factor, is known to have a dichotomous role in tumor
cells, acting either as a tumor suppressor or an oncogene in a context-dependent
manner. However, its expression and possible role in the tumor microenvironment
are hitherto unknown. Here we show that ATF3 is upregulated in the stromal
compartment of several types of cancer. Accordingly, Cancer-associated
fibroblasts (CAFs) ectopically expressing ATF3 proliferated faster as indicated
by increased colony-forming capacity and promoted the growth of adjacent tumor
cells when co-injected into nude mice. Utilizing a genome-wide profiling
approach, we unraveled a robust gene expression program induced by ATF3 in CAFs.
Focusing on a specific subset of genes, we found that the ability of stromal ATF3
to promote cancer progression is mediated by transcriptional repression of CLDN1
and induction of CXCL12 and RGS4. In addition, regulation of LIF, CLDN1,
SERPINE2, HSD17B2, ITGA7 and PODXL by ATF3 mediated the increased proliferation
capacity of CAFs. In sum, our findings implicate ATF3 as a novel stromal tumor
promoter and suggest that targeting ATF3 pathway might be beneficial for
anticancer therapy.
PMID- 21900212
TI - Altered tissue distribution of 2-amino-1-methyl-6-phenylimidazo[4,5-b]pyridine
DNA adducts in mice transgenic for human sulfotransferases 1A1 and 1A2.
AB - Soluble sulfotransferases (SULTs) generate electrophilically reactive metabolites
from numerous food-borne compounds, environmental contaminants and drugs, often
resulting in mutagenicity and carcinogenicity. Substrate specificity, regulation
and tissue distribution of SULTs show large interspecies differences. In humans,
therefore, SULTs may be involved in the induction of cancer in different tissues
than in standard animal models. To construct a rodent model taking some species
differences into account, we transferred a 68.5 kb human (h) genomic sequence
that comprised the transcribed and long flanking regions of SULT1A1 and 1A2 into
murine oocytes. This approach resulted in several mouse lines expressing these
human genes in a copy number-dependent manner with a tissue distribution similar
to that in humans. In previous in vitro studies, we had demonstrated that human
SULT1A1 and 1A2 efficiently catalyze the terminal activation of 2-amino-1-methyl
6-phenylimidazo[4,5-b]pyridine (PhIP) to a mutagen. The transgenic mice were used
to study the hSULT1A1/1A2-mediated activation. Tissue distribution and levels of
DNA adducts were determined in hSULT1A1/1A2 transgenic and wild-type mice after
an oral dosage of PhIP. Transgenic mice exhibited significantly elevated PhIP-DNA
adduct levels compared with the wild-type in liver (13-fold), lung (3.8-fold),
colon (2-fold), kidney (1.6-fold) and cecum (1.5-fold). Moreover, among the eight
tissues examined, liver was the one with the lowest and highest adduct levels in
wild-type and transgenic mice, respectively. Hence, expression of hSULT1A1/1A2
not only enhanced the genotoxicity but also substantially changed the
organotropism of PhIP.
PMID- 21900215
TI - Crescendos and decrescendos: gastric and esophageal cancers.
PMID- 21900213
TI - Whole-genome sequencing of sake yeast Saccharomyces cerevisiae Kyokai no. 7.
AB - The term 'sake yeast' is generally used to indicate the Saccharomyces cerevisiae
strains that possess characteristics distinct from others including the
laboratory strain S288C and are well suited for sake brewery. Here, we report the
draft whole-genome shotgun sequence of a commonly used diploid sake yeast strain,
Kyokai no. 7 (K7). The assembled sequence of K7 was nearly identical to that of
the S288C, except for several subtelomeric polymorphisms and two large inversions
in K7. A survey of heterozygous bases between the homologous chromosomes revealed
the presence of mosaic-like uneven distribution of heterozygosity in K7. The
distribution patterns appeared to have resulted from repeated losses of
heterozygosity in the ancestral lineage of K7. Analysis of genes revealed the
presence of both K7-acquired and K7-lost genes, in addition to numerous others
with segmentations and terminal discrepancies in comparison with those of S288C.
The distribution of Ty element also largely differed in the two strains.
Interestingly, two regions in chromosomes I and VII of S288C have apparently been
replaced by Ty elements in K7. Sequence comparisons suggest that these gene
conversions were caused by cDNA-mediated recombination of Ty elements. The
present study advances our understanding of the functional and evolutionary
genomics of the sake yeast.
PMID- 21900216
TI - Drug shortages: impact and strategies.
PMID- 21900217
TI - Proton therapy in an era of cost containment.
PMID- 21900218
TI - Esophageal and esophagogastric junction cancers.
PMID- 21900219
TI - Endoscopic therapy of esophageal premalignancy and early malignancy.
AB - Esophageal adenocarcinoma (EAC) is an often deadly cancer with a rising incidence
in Western countries. Chronic gastroesophageal reflux disease is associated with
the metaplastic transformation of normal squamous epithelium to premalignant
specialized intestinal metaplasia within the esophagus (Barrett's esophagus).
Barrett's esophagus may progress to low-grade dysplasia (LGD), high-grade
dysplasia (HGD), or even EAC. Although nondysplastic Barrett's esophagus
progresses to EAC at a rate of 0.5% per year, rates of progression for true LGD
and HGD are significantly higher. Treatment is mandatory for HGD and may be
appropriate in select patients with nondysplastic Barrett's esophagus and many
with LGD. Thus, accurate pathologic assessment is necessary before considering
endoscopic therapy. Previously, only esophagectomy was offered to patients with
HGD or EAC. However, esophagectomy has significant morbidity and mortality, and
therefore endoscopic therapies have been advocated for early Barrett's neoplasia.
These methods include endoscopic mucosal resection (EMR) and ablative techniques.
Ablation techniques include argon plasma coagulation, multipolar
electrocoagulation, laser therapy, photodynamic therapy, radiofrequency ablation,
and cryotherapy. Of these, radiofrequency ablation has experienced the greatest
adoption for the treatment of dysplastic Barrett's esophagus because of excellent
published outcomes. The use of EMR to resect suspicious areas or raised lesions
is mandatory to provide histology. In contrast, ablation techniques such as
radiofrequency ablation have been shown to effectively eradicate large areas of
dysplastic tissue with relative ease but do not allow for histologic assessment
of the treated area. Combination EMR with radiofrequency ablation is thus
advocated to resect visible lesions via EMR (providing histology) and ablate the
remainder of the Barrett's esophagus. As always, the appropriate treatment is
best determined after careful discussion with patients in a multidisciplinary
environment. However, endoscopic therapy offers an attractive alternative to
esophagectomy for early Barrett's neoplasia.
PMID- 21900220
TI - Modern approaches to localized cancer of the esophagus.
AB - The clinical spectrum of esophageal cancer has changed dramatically over the past
couple of decades. Most notably, a profound rise in esophageal adenocarcinoma and
decrease in the incidence of squamous carcinomas have occurred. An understanding
of the factors that influence survival for patients with localized esophageal
cancer has evolved concomitantly with these changes in epidemiology. Significant
advancement in endoscopic and radiographic staging allows for more selective use
of treatment modalities. The treatment of localized esophageal cancer mandates a
multidisciplinary approach, with treatment tailored to disease extent, location,
histology, and an accurate assessment of pretreatment staging. Despite these
improvements in the staging and use of multimodality therapy, only modest
improvements in patient survival have been observed. This article summarizes
these modern approaches to localized cancer of the esophagus.
PMID- 21900221
TI - Myeloid growth factors.
PMID- 21900222
TI - Biosimilars: are they ready for primetime in the United States?
AB - The introduction of alternative versions of biologic products, also known as
biosimilars, into the United States market has been gaining increasing visibility
as patents for many agents are nearing expiration. Unlike generics, which are
regulated under the Hatch-Waxman legislation passed in 1984, the approval process
for biosimilars in the United States has not been defined. In 2004, the European
Union established a regulatory pathway for these agents, and the FDA is now
following suit. The economic implications are large, with $66.9 billion spent on
the top 20 biologics in 2009. Of the top 10 biologics, 6 are routinely used in
oncology. As the regulatory requirements are debated, several critical issues
must be resolved. The most obvious is that the agents must be shown to be
comparable to the original biologic they intend to replace. Knowledge of
pharmacokinetic parameters alone will not be adequate, but the amount of clinical
data required by the FDA remains unclear. The regulations will define the ease
with which a biosimilar can be brought to market, and the associated costs of
trials will influence the ultimate price of the medications. Balancing the needs
of the relevant stakeholders is critical to ensure patient safety while
controlling costs, improving access, and encouraging innovation. This is not an
easy balance to strike.
PMID- 21900223
TI - Long-term outcomes of myeloid growth factor treatment.
AB - Myeloid growth factors are used to reduce myelotoxicity and the risk of infection
after cancer chemotherapy and in patients with chronic neutropenia. This article
addresses the long-term benefits and risks associated with granulocyte colony
stimulating factor (G-CSF) therapy in both settings. A systematic review of
randomized controlled trials recently reported long-term outcomes regarding the
risk of second malignancies and overall survival. Based on these studies, the
risk for acute myeloid leukemia (AML) associated with known carcinogenic agents,
such as chemotherapy, could not be distinguished from any risk associated with
growth factor support. However, the enhanced delivery of chemotherapy dose
intensity enabled by the use of G-CSF in these studies was associated with a
significant reduction in all-cause mortality. Although some reduction in
treatment-related mortality with G-CSF support may occur, the observed
improvement in long-term survival likely relates to better disease control with
more-intense G-CSF-supported chemotherapy. Myeloid growth factors have also been
shown to benefit patients with severe chronic neutropenia. Almost all patients
with cyclic, congenital, or idiopathic neutropenia experience response to G-CSFs.
Treatment is titrated to determine a dose that provides a safe elevation in
neutrophil counts. Reports have shown that patients can be maintained for years
at the same dose after adjusting for growth and development. In congenital
neutropenia, the inherent risk of developing myelodysplastic syndromes or AML
requires careful monitoring, including routine blood counts and annual bone
marrow examinations.
PMID- 21900225
TI - Minor salivary gland biopsy in diagnosing ocular sarcoidosis.
AB - BACKGROUND: To ascertain the sensitivity, specificity, and positive and negative
predictive value of minor salivary gland biopsy in subsets of patients with
uveitis consistent with ocular sarcoidosis. METHOD: Minor salivary gland biopsies
performed in 230 patients with uveitis of indeterminate origin but clinically
compatible with ocular sarcoidosis were reviewed. The biopsy results were
analysed together with clinical features of uveitis and with the results of other
relevant examinations, such as serum levels of angiotensin-I-converting enzyme
and lysozyme, tuberculin skin test, chest radiography or CT scan, pulmonary
function tests and bronchoalveolar lavage. RESULTS: Only seven of the 230
patients with uveitis had sarcoid granulomas on the minor salivary gland biopsy.
All seven of these patients had a granulomatous uveitis and a compatible chest x
ray with sarcoidosis. The profitability of minor salivary gland biopsy can be
improved by limiting the procedure to patients having granulomatous uveitis and a
compatible chest x-ray. In these conditions, the positivity rate of minor
salivary gland biopsy increased from 3% to 24% without loss of sensitivity.
CONCLUSION: Minor salivary gland biopsy is most useful for assessing the
diagnosis of sarcoid uveitis in a second-line investigation for patients with
granulomatous uveitis and a radiologic pattern compatible with sarcoidosis.
PMID- 21900224
TI - Cost-effectiveness of internal limiting membrane peeling versus no peeling for
patients with an idiopathic full-thickness macular hole: results from a
randomised controlled trial.
AB - AIM: To determine whether internal limiting membrane (ILM) peeling is cost
effective compared with no peeling for patients with an idiopathic stage 2 or 3
full-thickness macular hole. METHODS: A cost-effectiveness analysis was performed
alongside a randomised controlled trial. 141 participants were randomly allocated
to receive macular-hole surgery, with either ILM peeling or no peeling. Health
service resource use, costs and quality of life were calculated for each
participant. The incremental cost per quality-adjusted life year (QALY) gained
was calculated at 6 months. RESULTS: At 6 months, the total costs were on average
higher (L424, 95% CI -182 to 1045) in the No Peel arm, primarily owing to the
higher reoperation rate in the No Peel arm. The mean additional QALYs from ILM
peel at 6 months were 0.002 (95% CI 0.01 to 0.013), adjusting for baseline EQ-5D
and other minimisation factors. A mean incremental cost per QALY was not
computed, as Peeling was on average less costly and slightly more effective. A
stochastic analysis suggested that there was more than a 90% probability that
Peeling would be cost-effective at a willingness-to-pay threshold of L20,000 per
QALY. CONCLUSION: Although there is no evidence of a statistically significant
difference in either costs or QALYs between macular hole surgery with or without
ILM peeling, the balance of probabilities is that ILM Peeling is likely to be a
cost-effective option for the treatment of macular holes. Further long-term
follow-up data are needed to confirm these findings.
PMID- 21900226
TI - Long-term results of office-based pneumatic retinopexy using pure air.
AB - AIMS: The long-term results of office-based pneumatic retinopexy (PR) using only
filtered air were evaluated in a case series of rhegmatogenous retinal
detachments with more than 3 years of follow-up, on average. METHODS: 77 cases of
primary rhegmatogenous retinal detachments arising from superior tears (mean=1.6
tears) were treated with cryopexy (n=61) or laser (next day, n=16) and
intravitreal injection of pure air in an office setting. The macula was detached
preoperatively in 37 eyes (48.1%). Outcome measures were single-operation
success, final reattachment rates and visual acuity (VA). RESULTS: Subjects were
followed for 6-186 months (mean follow-up = 40.7 months, 46.8% >= 2 years, 25% >=
5 years). In all cases, the air bubble was gone within 5 days. Single-operation
success was achieved in 62/77 (80.5%) eyes. Repeat PR was successful in four
cases, increasing the PR reattachment rate to 85.7%. Scleral buckle was performed
on the remaining 11 eyes (14.3%), 1 with vitrectomy. The final reattachment rate
was 100%. VA improved >= 2 Snellen lines in 53.2% of patients, with 50/77 (64.9%)
attaining VA >= 20/40. Following PR, 87% of subjects had the same or better VA.
CONCLUSIONS: Office-based pure-air PR achieves acceptable reattachment rates with
good visual outcomes and long-term efficacy. Eliminating the need for expansile
gases makes this approach more widely available, decreases recovery time and
lowers healthcare costs.
PMID- 21900227
TI - Variation in optical coherence tomography signal quality as an indicator of
retinal nerve fibre layer segmentation error.
AB - PURPOSE: Commercial optical coherence tomography (OCT) systems use global signal
quality indices to quantify scan quality. Signal quality can vary throughout a
scan, contributing to local retinal nerve fibre layer segmentation errors (SegE).
The purpose of this study was to develop an automated method, using local scan
quality, to predict SegE. METHODS: Good-quality (global signal strength (SS) >=
6; manufacturer specification) peripapillary circular OCT scans (fast retinal
nerve fibre layer scan protocol; Stratus OCT; Carl Zeiss Meditec, Dublin,
California, USA) were obtained from 6 healthy, 19 glaucoma-suspect and 43
glaucoma subjects. Scans were grouped based on SegE. Quality index (QI) values
were computed for each A-scan using software of our own design. Logistic mixed
effects regression modelling was applied to evaluate SS, global mean and SD of
QI, and the probability of SegE. RESULTS: The difference between local mean QI in
SegE regions and No-SegE regions was -5.06 (95% CI -6.38 to 3.734) (p<0.001).
Using global mean QI, QI SD and their interaction term resulted in the model of
best fit (Akaike information criterion=191.8) for predicting SegE. Global mean QI
>= 20 or SS >= 8 shows little chance for SegE. Once mean QI<20 or SS<8, the
probability of SegE increases as QI SD increases. CONCLUSIONS: When combined with
a signal quality parameter, the variation of signal quality between A-scans
provides significant information about the quality of an OCT scan and can be used
as a predictor of segmentation error.
PMID- 21900229
TI - Hemi-automated lamellar keratoplasty (HALK).
AB - AIM: To describe a hybrid technique for anterior lamellar keratoplasty in corneas
with topographical irregularities that circumvents the limitations of the
microkeratome, namely reproduction of surface irregularities in the lamellar cut
when creating the recipient flap. METHOD: Hemi-automated lamellar keratoplasty, a
procedure that combines manual recipient bed lamellar dissection with automated
donor preparation using a microkeratome, was performed on 14 eyes of 14 patients
with heterogeneous causes of anterior stromal scarring. RESULTS: There was an
overall improvement in uncorrected visual acuity (UCVA) in 78.6% (11/14) eyes and
in best-corrected visual acuity (BCVA) in 78.6% (11/14) eyes at a mean of 9
months postoperatively. Mean preoperative and postoperative logMAR UCVAs were
1.31 +/- 0.74 and 0.83 +/- 0.46 (p=0.04), respectively. Mean preoperative and
postoperative logMAR BCVAs were 0.72 +/- 0.58 and 0.38 +/- 0.23 (p=0.05). Mean
preoperative and postoperative manifest refractive spherical equivalents were
0.76 +/- 3.61 D and -0.52 +/- 3.44 D (p=0.872). There was no difference in
preoperative and postoperative sphere and cylinder (p=0.871 and 0.965,
respectively). In a subset of six eyes with longer follow-up >12 months, the UCVA
and BCVA show continuing improvement. All grafts remained clear at the final
appointment. CONCLUSIONS: Hemi-automated lamellar keratoplasty is an effective
and safe surgical procedure in the treatment of corneas with irregular
topographic profiles with varying depths of anterior stromal scarring. It
combines the benefits of smooth microkeratome lamellar dissection of the donor
with customised lenticule thickness and diameter together with a manual lamellar
dissection technique for the recipient providing encouraging visual outcomes that
show continuing improvement with time.
PMID- 21900228
TI - 'Cone dystrophy with supranormal rod response' in children.
AB - AIM: To describe the initial clinical presentation of children with 'cone
dystrophy with supranormal rod response,' a distinct retinal disorder from
recessive KCNV2 mutations. METHODS: Retrospective case series. RESULTS: Nine
children (seven families) initially examined from 2 to 8 years of age were
identified. Three had a similar initial presentation of abnormal head position
with head shaking and nystagmus, while the other six presented with either
infantile nystagmus (without abnormal head position or head shaking), suspected
congenital glaucoma (with associated nystagmus), intermittent exotropia, V
pattern esotropia, comitant esotropia or difficulty with near vision only
(reading). Only two children had clinically evident retinal changes (macular
discoloration), and only two had a myopic cycloplegic refraction (the child with
infantile nystagmus and the glaucoma suspect who actually had megalocornea). In
addition to cone dystrophy, ERGs showed delayed scotopic responses with
supranormal (six), high normal (two) or normal (one) scotopic b-wave responses to
bright flash. Only one ERG (with a supranormal response) did not show a broad a
wave trough response to scotopic flash. For all patients, KCNV2 sequencing
revealed one of three homozygous recessive mutations (one previously reported
(p.E143X), two novel (p.Y53X, p.E80D)). The three children who presented with an
abnormal head position, head shaking and nystagmus and the child who presented
with infantile nystagmus had several years' follow-up, during which these
findings resolved (two) or decreased (two). CONCLUSIONS: Initial clinical
presentation varied, the most common presentation being abnormal head position,
head shaking and nystagmus that improved with time. ERG findings are
characteristic and specific for KCNV2 mutations but do not necessarily include a
scotopic b-wave flash response that is supranormal under standard ERG conditions.
PMID- 21900230
TI - Structural basis for leucine-induced allosteric activation of glutamate
dehydrogenase.
AB - Glutamate dehydrogenase (GDH) catalyzes reversible conversion between glutamate
and 2-oxoglutarate using NAD(P)(H) as a coenzyme. Although mammalian GDH is
regulated by GTP through the antenna domain, little is known about the mechanism
of allosteric activation by leucine. An extremely thermophilic bacterium, Thermus
thermophilus, possesses GDH with a unique subunit configuration composed of two
different subunits, GdhA (regulatory subunit) and GdhB (catalytic subunit). T.
thermophilus GDH is unique in that the enzyme is subject to allosteric activation
by leucine. To elucidate the structural basis for leucine-induced allosteric
activation of GDH, we determined the crystal structures of the GdhB-Glu and GdhA
GdhB-Leu complexes at 2.1 and 2.6 A resolution, respectively. The GdhB-Glu
complex is a hexamer that binds 12 glutamate molecules: six molecules are bound
at the substrate-binding sites, and the remaining six are bound at subunit
interfaces, each composed of three subunits. The GdhA-GdhB-Leu complex is
crystallized as a heterohexamer composed of four GdhA subunits and two GdhB
subunits. In this complex, six leucine molecules are bound at subunit interfaces
identified as glutamate-binding sites in the GdhB-Glu complex. Consistent with
the structure, replacement of the amino acid residues of T. thermophilus GDH
responsible for leucine binding made T. thermophilus GDH insensitive to leucine.
Equivalent amino acid replacement caused a similar loss of sensitivity to leucine
in human GDH2, suggesting that human GDH2 also uses the same allosteric site for
regulation by leucine.
PMID- 21900231
TI - Structure of a ternary Naa50p (NAT5/SAN) N-terminal acetyltransferase complex
reveals the molecular basis for substrate-specific acetylation.
AB - The co-translational modification of N-terminal acetylation is ubiquitous among
eukaryotes and has been reported to have a wide range of biological effects. The
human N-terminal acetyltransferase (NAT) Naa50p (NAT5/SAN) acetylates the alpha
amino group of proteins containing an N-terminal methionine residue and is
essential for proper sister chromatid cohesion and chromosome condensation. The
elevated activity of NATs has also been correlated with cancer, making these
enzymes attractive therapeutic targets. We report the x-ray crystal structure of
Naa50p bound to a native substrate peptide fragment and CoA. We found that the
peptide backbone of the substrate is anchored to the protein through a series of
backbone hydrogen bonds with the first methionine residue specified through
multiple van der Waals contacts, together creating an alpha-amino methionine
specific pocket. We also employed structure-based mutagenesis; the results
support the importance of the alpha-amino methionine-specific pocket of Naa50p
and are consistent with the proposal that conserved histidine and tyrosine
residues play important catalytic roles. Superposition of the ternary Naa50p
complex with the peptide-bound Gcn5 histone acetyltransferase revealed that the
two enzymes share a Gcn5-related N-acetyltransferase fold but differ in their
respective substrate-binding grooves such that Naa50p can accommodate only an
alpha-amino substrate and not a side chain lysine substrate that is acetylated by
lysine acetyltransferase enzymes such as Gcn5. The structure of the ternary
Naa50p complex also provides the first molecular scaffold for the design of NAT
specific small molecule inhibitors with possible therapeutic applications.
PMID- 21900232
TI - Delineation of lipopolysaccharide (LPS)-binding sites on hemoglobin: from in
silico predictions to biophysical characterization.
AB - Hemoglobin (Hb) functions as a frontline defense molecule during infection by
hemolytic microbes. Binding to LPS induces structural changes in cell-free Hb,
which activates the redox activity of the protein for the generation of
microbicidal free radicals. Although the interaction between Hb and LPS has
implications for innate immune defense, the precise LPS-interaction sites on Hb
remain unknown. Using surface plasmon resonance, we found that both the Hb alpha
and beta subunits possess high affinity LPS-binding sites, with K(D) in the
nanomolar range. In silico analysis of Hb including phospho-group binding site
prediction, structure-based sequence comparison, and docking to model the protein
ligand interactions showed that Hb possesses evolutionarily conserved surface
cationic patches that could function as potential LPS-binding sites. Synthetic Hb
peptides harboring predicted LPS-binding sites served to validate the
computational predictions. Surface plasmon resonance analysis differentiated LPS
binding peptides from non-binders. Binding of the peptides to lipid A was further
substantiated by a fluorescent probe displacement assay. The LPS-binding peptides
effectively neutralized the endotoxicity of LPS in vitro. Additionally, peptide
B59 spanning residues 59-95 of Hbbeta attached to the surface of Gram-negative
bacteria as shown by flow cytometry and visualized by immunogold-labeled scanning
electron microscopy. Site-directed mutagenesis of the Hb subunits further
confirmed the function of the predicted residues in binding to LPS. In summary,
the integration of computational predictions and biophysical characterization has
enabled delineation of multiple LPS-binding hot spots on the Hb molecule.
PMID- 21900233
TI - Structural switching of Staphylococcus aureus Clp protease: a key to
understanding protease dynamics.
AB - ATP-dependent Clp protease (ClpP) is an attractive new target for the development
of anti-infective agents. The ClpP protease consists of two heptameric rings that
enclose a large chamber containing 14 proteolytic active sites. Recent studies
indicate that ClpP likely undergoes conformational switching between an extended
and degraded active state required for substrate proteolysis and a compacted and
catalytically inactive state allowing product release. Here, we present the wild
type ClpP structures in two distinct states from Staphylococcus aureus. One
structure is very similar to those solved ClpP structures in the extended states.
The other is strikingly different from both the extended and the compacted state
as observed in ClpP from other species; the handle domain of this structure kinks
to take on a compressed conformation. Structural analysis and molecular dynamic
simulations show that the handle domain predominantly controls the way in which
degradation products exit the chamber through dynamic conformational switching
from the extended state to the compressed state. Given the highly conserved
sequences among ClpP from different species, this compressed conformation is
unexpected and novel, which is potentially valuable for understanding the
enzymatic dynamics and the acting mechanisms of ClpP.
PMID- 21900234
TI - Amyloid-beta-induced synapse damage is mediated via cross-linkage of cellular
prion proteins.
AB - The cellular prion protein (PrP(C)), which is highly expressed at synapses, was
identified as a receptor for the amyloid-beta (Abeta) oligomers that are
associated with dementia in Alzheimer disease. Here, we report that Abeta
oligomers secreted by 7PA2 cells caused synapse damage in cultured neurons via a
PrP(C)-dependent process. Exogenous PrP(C) added to Prnp knock-out((0/0)) neurons
was targeted to synapses and significantly increased Abeta-induced synapse
damage. In contrast, the synapse damage induced by a phospholipase A(2)
activating peptide was independent of PrP(C). In Prnp wild-type((+/+)) neurons
Abeta oligomers activated synaptic cytoplasmic phospholipase A(2) (cPLA(2)). In
these cells, the addition of Abeta oligomers triggered the translocation of
cPLA(2) in synapses to cholesterol dense membranes (lipid rafts) where it formed
a complex also containing Abeta and PrP(C). In contrast, the addition of Abeta to
Prnp((0/0)) neurons did not activate synaptic cPLA(2), which remained in the
cytoplasm and was not associated with Abeta. Filtration assays and non-denaturing
gels demonstrated that Abeta oligomers cross-link PrP(C). We propose that it is
the cross-linkage of PrP(C) by Abeta oligomers that triggers abnormal activation
of cPLA(2) and synapse damage. This hypothesis was supported by our observation
that monoclonal antibody mediated cross-linkage of PrP(C) also activated synaptic
cPLA(2) and caused synapse damage.
PMID- 21900236
TI - Role of zinc metallothionein-3 (ZnMt3) in epidermal growth factor (EGF)-induced c
Abl protein activation and actin polymerization in cultured astrocytes.
AB - Recent evidence indicates that zinc plays a major role in neurochemistry. Of the
many zinc-binding proteins, metallothionein-3 (Mt3) is regarded as one of the
major regulators of cellular zinc in the brain. However, biological functions of
Mt3 are not yet well characterized. Recently, we found that lysosomal dysfunction
in metallothionein-3 (Mt3)-null astrocytes involves down-regulation of c-Abl. In
this study, we investigated the role of Mt3 in c-Abl activation and actin
polymerization in cultured astrocytes following treatment with epidermal growth
factor (EGF). Compared with wild-type (WT) astrocytes, Mt3-null cells exhibited a
substantial reduction in the activation of c-Abl upon treatment with EGF.
Consistent with previous studies, activation of c-Abl by EGF induced dissociation
of c-Abl from F-actin. Mt3 added to astrocytic cell lysates bound F-actin,
augmented F-actin polymerization, and promoted the dissociation of c-Abl from F
actin, suggesting a possible role for Mt3 in this process. Conversely, Mt3
deficient astrocytes showed significantly reduced dissociation of c-Abl from F
actin following EGF treatment. Experiments using various peptide fragments of Mt3
showed that a fragment containing the N-terminal TCPCP motif (peptide 1) is
sufficient for this effect. Removal of zinc from Mt3 or pep1 with tetrakis(2
pyridylmethyl)ethylenediamine abrogated the effect of Mt3 on the association of c
Abl and F-actin, indicating that zinc binding is necessary for this action. These
results suggest that ZnMt3 in cultured astrocytes may be a normal component of c
Abl activation in EGF receptor signaling. Hence, modulation of Mt3 levels or
distribution may prove to be a useful strategy for controlling cytoskeletal
mobilization following EGF stimulation in brain cells.
PMID- 21900235
TI - Dual pathways for copper uptake by methanotrophic bacteria.
AB - Methanobactin (Mb), a 1217-Da copper chelator produced by the methanotroph
Methylosinus trichosporium OB3b, is hypothesized to mediate copper acquisition
from the environment, particularly from insoluble copper mineral sources.
Although indirect evidence suggests that Mb provides copper for the regulation
and activity of methane monooxygenase enzymes, experimental data for direct
uptake of copper loaded Mb (Cu-Mb) are lacking. Uptake of intact Cu-Mb by M.
trichosporium OB3b was demonstrated by isotopic and fluorescent labeling
experiments. Confocal microscopy data indicate that Cu-Mb is localized in the
cytoplasm. Both Cu-Mb and unchelated Cu are taken up by M. trichosporium OB3b,
but by different mechanisms. Uptake of unchelated Cu is inhibited by spermine,
suggesting a porin-dependent passive transport process. By contrast, uptake of Cu
Mb is inhibited by the uncoupling agents carbonyl cyanide m-chlorophenylhydrazone
and methylamine, but not by spermine, consistent with an active transport
process. Cu-Mb from M. trichosporium OB3b can also be internalized by other
strains of methanotroph, but not by Escherichia coli, suggesting that Cu-Mb
uptake is specific to methanotrophic bacteria. These findings are consistent with
a key role for Cu-Mb in copper acquisition by methanotrophs and have important
implications for further investigation of the copper uptake machinery.
PMID- 21900237
TI - CDK1-mediated phosphorylation of Abi1 attenuates Bcr-Abl-induced F-actin assembly
and tyrosine phosphorylation of WAVE complex during mitosis.
AB - Coordinated actin remodeling is crucial for cell entry into mitosis. The WAVE
regulatory complex is a key regulator of actin assembly, yet how the WAVE
signaling is regulated to coordinate actin assembly with mitotic entry is not
clear. Here, we have uncovered a novel mechanism that regulates the WAVE complex
at the onset of mitosis. We found that the Bcr-Abl-stimulated F-actin assembly is
abrogated during mitosis. This mitotic inhibition of F-actin assembly is
accompanied by an attenuation of Bcr-Abl-induced tyrosine phosphorylation of the
WAVE complex. We identified serine 216 of Abi1 as a target of CDK1/cyclin B
kinase that is phosphorylated in cells at the onset of mitosis. The Abi1
phosphorylated on serine 216 displayed greatly reduced tyrosine phosphorylation
in the hematopoietic cells transformed by Bcr-Abl. Moreover, a phosphomimetic
mutation of serine 216 to aspartic acid in Abi1 was sufficient to attenuate Bcr
Abl-induced tyrosine phosphorylation of the WAVE complex and F-actin assembly.
Ectopic expression of Abi1 with serine 216 mutations interfered with cell cycle
progression. Together, these data show that CDK1-mediated phosphorylation of
serine 216 in Abi1 serves as a regulatory mechanism that may contribute to
coordinated actin cytoskeleton remodeling during mitosis.
PMID- 21900238
TI - Stepwise adaptations to low temperature as revealed by multiple mutants of
psychrophilic alpha-amylase from Antarctic Bacterium.
AB - The mutants Mut5 and Mut5CC from a psychrophilic alpha-amylase bear
representative stabilizing interactions found in the heat-stable porcine
pancreatic alpha-amylase but lacking in the cold-active enzyme from an Antarctic
bacterium. From an evolutionary perspective, these mutants can be regarded as
structural intermediates between the psychrophilic and the mesophilic enzymes. We
found that these engineered interactions improve all the investigated parameters
related to protein stability as follows: compactness; kinetically driven
stability; thermodynamic stability; resistance toward chemical denaturation, and
the kinetics of unfolding/refolding. Concomitantly to this improved stability,
both mutants have lost the kinetic optimization to low temperature activity
displayed by the parent psychrophilic enzyme. These results provide strong
experimental support to the hypothesis assuming that the disappearance of
stabilizing interactions in psychrophilic enzymes increases the amplitude of
concerted motions required by catalysis and the dynamics of active site residues
at low temperature, leading to a higher activity.
PMID- 21900239
TI - High throughput short interfering RNA (siRNA) screening of the human kinome
identifies novel kinases controlling the canonical nuclear factor-kappaB (NF
kappaB) activation pathway.
AB - Nuclear factor-kappaB (NF-kappaB) is an inducible cytoplasmic transcription
factor that plays a role as a master regulator of airway mucosal inflammation.
The prototypical ("canonical") NF-kappaB pathway controls cytoplasmic to nuclear
translocation in response to stimulation by the mononuclear cytokine, TNF.
Despite intensive investigation, the spectrum of kinases involved in the
canonical NF-kappaB pathway has not yet been systematically determined. Here we
have applied a high throughput siRNA-mediated loss-of-function screening assay to
identify novel kinases important in TNF-induced NF-kappaB signaling. Type II A549
epithelial cells stably expressing an IL-8/luciferase reporter gene optimized for
high throughput siRNA format (Z' score of 0.65) and siRNAs for 636 human kinases
were reverse-transfected and screened in the assay. 36 candidate genes were
identified that inhibited TNF signaling with a Z score deviation of <-1.3 in
replicate plates. From this group, 11 kinases were selected for independent
validation, of which eight were successfully silenced. Six kinases were
validated, including ATM, CDK2, -5, and -7, CALM3, MAPAKP5, and MAP3K/MEKK3. The
surprising function of ATM in TNF signaling was confirmed where reduced NF
kappaB/RelA translocation and Ser-276 phosphorylation were seen in ATM(-/-) mouse
embryo fibroblasts. These data indicate that ATM is a key regulatory kinase that
may control global NF-kappaB activation in the TNF-induced canonical pathway.
PMID- 21900240
TI - Stalk domain of the dynamin-like MxA GTPase protein mediates membrane binding and
liposome tubulation via the unstructured L4 loop.
AB - The human MxA protein is an interferon-induced large GTPase with antiviral
activity against a wide range of viruses, including influenza viruses. Recent
structural data demonstrated that MxA oligomerizes into multimeric filamentous or
ring-like structures by virtue of its stalk domain. Here, we show that negatively
charged lipid membranes support MxA self-assembly. Like dynamin, MxA assembled
around spherical liposomes inducing liposome tubulation. Cryo-transmission
electron microscopy revealed that MxA oligomers around liposomes have a "T-bar"
shape similar to dynamin. Moreover, biochemical assays indicated that the
unstructured L4 loop of the MxA stalk serves as the lipid-binding moiety, and
mutational analysis of L4 revealed that a stretch of four lysine residues is
critical for binding. The orientation of the MxA molecule within the membrane
associated oligomer is in agreement with the proposed topology of MxA oligomers
based on crystallographic data. Although oligomerization of wild-type MxA around
liposomes led to the creation of helically decorated tubes similar to those
formed by dynamin, this lipid interaction did not stimulate GTPase activity, in
sharp contrast to the assembly-stimulated nucleotide hydrolysis observed with
dynamin. Moreover, MxA readily self-assembles into rings at physiological
conditions, as opposed to dynamin which self-assembles only at low salt
conditions or onto lipids. Thus, the present results indicate that the oligomeric
structures formed by MxA critically differ from those of dynamin.
PMID- 21900241
TI - Mechanism of proton transfer in [FeFe]-hydrogenase from Clostridium pasteurianum.
AB - [FeFe]-Hydrogenases are complex metalloproteins that catalyze the reversible
reduction of protons to molecular hydrogen utilizing a unique diiron subcluster
bridged to a [4Fe4S] subcluster. Extensive studies have concentrated on the
nature and catalytic activity of the active site, yet relatively little
information is available concerning the mechanism of proton transport that is
required for this activity. Previously, structural characterization of [FeFe]
hydrogenase from Clostridium pasteurianum indicated a potential proton transport
pathway involving four residues (Cys-299, Glu-279, Ser-319, and Glu-282) that
connect the active site to the enzyme surface. Here, we demonstrate that
substitution of any of these residues resulted in a drastic reduction in
hydrogenase activity relative to the native enzyme, supporting the importance of
these residues in catalysis. Inhibition studies of native and amino acid
substituted enzymes revealed that Zn(2+) specifically blocked proton transfer by
binding to Glu-282, confirming the role of this residue in the identified
pathway. In addition, all four of these residues are strictly conserved,
suggesting that they may form a proton transport pathway that is common to all
[FeFe]-hydrogenases.
PMID- 21900242
TI - Conformational dynamics of wild-type Lys-48-linked diubiquitin in solution.
AB - Proteasomal degradation is mediated through modification of target proteins by
Lys-48-linked polyubiquitin (polyUb) chain, which interacts with several binding
partners in this pathway through hydrophobic surfaces on individual Ub units.
However, the previously reported crystal structures of Lys-48-linked diUb exhibit
a closed conformation with sequestered hydrophobic surfaces. NMR studies on
mutated Lys-48-linked diUb indicated a pH-dependent conformational equilibrium
between closed and open states with the predominance of the former under neutral
conditions (90% at pH 6.8). To address the question of how Ub-binding proteins
can efficiently access the sequestered hydrophobic surfaces of Ub chains, we
revisited the conformational dynamics of Lys-48-linked diUb in solution using
wild-type diUb and cyclic forms of diUb in which the Ub units are connected
through two Lys-48-mediated isopeptide bonds. Our newly determined crystal
structure of wild-type diUb showed an open conformation, whereas NMR analyses of
cyclic Lys-48-linked diUb in solution revealed that its structure resembled the
closed conformation observed in previous crystal structures. Comparison of a
chemical shift of wild-type diUb with that of monomeric Ub and cyclic diUb, which
mimic the open and closed states, respectively, with regard to the exposure of
hydrophobic surfaces to the solvent indicates that wild-type Lys-48-linked diUb
in solution predominantly exhibits the open conformation (75% at pH 7.0), which
becomes more populated upon lowering pH. The intrinsic properties of Lys-48
linked Ub chains to adopt the open conformation may be advantageous for
interacting with Ub-binding proteins.
PMID- 21900243
TI - Functional extension of amino acid triads from the fourth transmembrane segment
(S4) into its external linker in Shaker K(+) channels.
AB - The highly conserved fourth transmembrane segment (S4) is the primary voltage
sensor of the voltage-dependent channel and would move outward upon membrane
depolarization. S4 comprises repetitive amino acid triads, each containing one
basic (presumably charged and voltage-sensing) followed by two hydrophobic
residues. We showed that the triad organization is functionally extended into the
S3-4 linker right external to S4 in Shaker K(+) channels. The arginine (and
lysine) substitutes for the third and the sixth residues (Ala-359 and Met-356,
respectively) external to the outmost basic residue (Arg-362) in S4 dramatically
and additively stabilize S4 in the resting conformation. Also, Leu-361 and Leu
358 play a very similar role in stabilization of S4 in the resting position,
presumably by their hydrophobic side chains. Moreover, the double mutation
A359R/E283A leads to a partially extruded position of S4 and consequently
prominent closed-state inactivation, suggesting that Glu-283 in S2 may coordinate
with the arginines in the extruded S4 upon depolarization. We conclude that the
triad organization extends into the S3-4 linker for about six amino acids in
terms of their microenvironment. These approximately six residues should retain
the same helical structure as S4, and their microenvironment serves as part of
the "gating canal" accommodating the extruding S4. Upon depolarization, S4 most
likely moves initially as a sliding helix and follows the path that is set by the
approximately six residues in the S3-4 linker in the resting state, whereas
further S4 translocation could be more like, for example, a paddle, without
orderly coordination from the contiguous surroundings.
PMID- 21900244
TI - Neural precursor cell-expressed developmentally down-regulated protein 4-2 (Nedd4
2) regulation by 14-3-3 protein binding at canonical serum and glucocorticoid
kinase 1 (SGK1) phosphorylation sites.
AB - Regulation of epithelial Na(+) channel (ENaC)-mediated transport in the distal
nephron is a critical determinant of blood pressure in humans. Aldosterone via
serum and glucocorticoid kinase 1 (SGK1) stimulates ENaC by phosphorylation of
the E3 ubiquitin ligase Nedd4-2, which induces interaction with 14-3-3 proteins.
However, the mechanisms of SGK1- and 14-3-3-mediated regulation of Nedd4-2 are
unclear. There are three canonical SGK1 target sites on Nedd4-2 that overlap
phosphorylation-dependent 14-3-3 interaction motifs. Two of these are termed
"minor," and one is termed "major," based on weak or strong binding to 14-3-3
proteins, respectively. By mass spectrometry, we found that aldosterone
significantly stimulates phosphorylation of a minor, relative to the major, 14-3
3 binding site on Nedd4-2. Phosphorylation-deficient minor site Nedd4-2 mutants
bound less 14-3-3 than did wild-type (WT) Nedd4-2, and minor site Nedd4-2
mutations were sufficient to inhibit SGK1 stimulation of ENaC cell surface
expression. As measured by pulse-chase and cycloheximide chase assays, a major
binding site Nedd4-2 mutant had a shorter cellular half-life than WT Nedd4-2, but
this property was not dependent on binding to 14-3-3. Additionally, a
dimerization-deficient 14-3-3epsilon mutant failed to bind Nedd4-2. We conclude
that whereas phosphorylation at the Nedd4-2 major site is important for
interaction with 14-3-3 dimers, minor site phosphorylation by SGK1 may be the
relevant molecular switch that stabilizes Nedd4-2 interaction with 14-3-3 and
thus promotes ENaC cell surface expression. We also propose that major site
phosphorylation promotes cellular Nedd4-2 protein stability, which potentially
represents a novel form of regulation for turnover of E3 ubiquitin ligases.
PMID- 21900245
TI - Paxillin enables attachment-independent tyrosine phosphorylation of focal
adhesion kinase and transformation by RAS.
AB - Paxillin and HIC5 are closely related adapter proteins that regulate cell
migration and are tyrosine-phosphorylated by focal adhesion kinase (FAK).
Paxillin, HIC5, and FAK tyrosine phosphorylation increase upon cell attachment
and decrease upon detachment from extracellular matrix. Unexpectedly, we found
that although FAK tyrosine phosphorylation in attached cells did not require
paxillin, in detached fibroblasts there was remaining FAK tyrosine
phosphorylation that required expression of paxillin and was not supported by
HIC5. The support of attachment-independent FAK tyrosine phosphorylation required
the paxillin LIM domains and suggested that paxillin might facilitate oncogenic
transformation. Paxillin but not HIC5 augmented anchorage-independent cell
proliferation induced by RAS. Both anchorage-independent FAK tyrosine
phosphorylation and RAS-induced colony formation required multiple docking sites
on paxillin, including LD4 (docking sites for FAK-Src and GIT1/2-PIX-NCK-PAK
complex), LD5, and all four carboxyl-terminal LIM domains (that bind tubulin and
PTP-PEST). Analysis using paxillin mutants dissociated domains of paxillin that
are required for regulation of cell migration from domains that are required for
anchorage-independent cell proliferation and demonstrated essential functions of
the paxillin LIM domains that are not found in HIC5 LIM domains. These results
highlight the role of paxillin in facilitating attachment-independent signal
transduction implicated in cancer.
PMID- 21900246
TI - Chemical structure of Trichomonas vaginalis surface lipoglycan: a role for short
galactose (beta1-4/3) N-acetylglucosamine repeats in host cell interaction.
AB - The extracellular parasite Trichomonas vaginalis contains a surface
glycoconjugate that appears to mediate parasite-host cell interaction via binding
to human galectin-1. This glycoconjugate also elicits cytokine production from
human vaginal epithelial cells, implicating its role in modulation of host immune
responses. We have analyzed the structure of this glycoconjugate, previously
described to contain the sugars rhamnose (Rha), N-acetylglucosamine (GlcNAc),
galactose (Gal), xylose (Xyl), N-acetylgalactosamine (GalNAc), and glucose (Glc),
using gas chromatograph mass spectrometry (GC-MS), matrix-assisted laser
desorption/ionization time of flight mass spectrometry (MALDI-TOF), electrospray
MS/MS, and nuclear magnetic resonance (NMR), combined with chemical and enzymatic
digestions. Our data reveal a complex structure, named T. vaginalis lipoglycan
(TvLG), that differs markedly from Leishmania lipophosphoglycan and Entamoeba
lipopeptidophosphoglycan and is devoid of phosphosaccharide repeats. TvLG is
composed of an alpha1-3 linked polyrhamnose core, where Rha residues are
substituted at the 2-position with either beta-Xyl or chains of, on average, five
N-acetyllactosamine (-3Galbeta1-4GlcNAcbeta1-) (LacNAc) units and occasionally
lacto-N-biose (-3Galbeta1-3GlcNAcbeta1-) (LNB). These chains are themselves
periodically substituted at the Gal residues with Xyl-Rha. These structural
analyses led us to test the role of the poly-LacNAc/LNB chains in parasite
binding to host cells. We found that reduction of poly-LacNAc/LNB chains
decreased the ability of TvLG to compete parasite binding to host cells. In
summary, our data provide a new model for the structure of TvLG, composed of a
polyrhamnose backbone with branches of Xyl and poly-LacNAc/LNB. Furthermore, the
poly-LacNAc side chains are shown to be involved in parasite-host cell
interaction.
PMID- 21900247
TI - Identification of self-lipids presented by CD1c and CD1d proteins.
AB - The CD1 family consists of five proteins that are related to the peptide
presenting MHC class I family. T cells can recognize the presentation of both
foreign and self-derived lipids on four CD1 family members. The identities of the
self-lipids capable of stimulating autoreactive T cell responses remain elusive
or controversial. Here, we employed mass spectrometry to analyze the lipid
content of highly purified CD1c and CD1d protein samples. We report the
identification of 11 novel self-lipids presented by CD1c and nine by CD1d.
Rigorous controls provide strong evidence that the identified lipids were
specifically loaded into the lipid-binding site of the CD1 molecules. The diverse
but distinct population of lipids identified from each CD1 family member implies
each present a different subset of self-lipids, and the enrichment of particular
motifs indicates that the lipids that are presented by CD1 family members could
be predicted. Finally, our results imply the CD1 system surveys the endoplasmic
reticulum, Golgi apparatus, and/or secretory compartments, in addition to its
well characterized surveillance of the endocytic and lysosomal compartments.
PMID- 21900248
TI - Interaction with monomeric subunit c drives insertion of ATP synthase subunit a
into the membrane and primes a-c complex formation.
AB - Subunit a is the main part of the membrane stator of the ATP synthase molecular
turbine. Subunit c is the building block of the membrane rotor. We have generated
two molecular fusions of a and c subunits with different orientations of the
helical hairpin of subunit c. The a/c fusion protein with correct orientation of
transmembrane helices was inserted into the membrane, and co-incorporated into
the F(0) complex of ATP synthase with wild type subunit c. The fused c subunit
was incorporated into the c-ring tethering the ATP synthase rotor to the stator.
The a/c fusion with incorrect orientation of the c-helices required wild type
subunit c for insertion into the membrane. In this case, the fused c subunit
remained on the periphery of the c-ring and did not interfere with rotor
movement. Wild type subunit a inserted into the membrane equally well with wild
type subunit c and c-ring assembly mutants that remained monomeric in the
membrane. These results show that interaction with monomeric subunit c triggers
insertion of subunit a into the membrane, and initiates formation of the a-c
complex, the ion-translocating module of the ATP synthase. Correct assembly of
the ATP synthase incorporating topologically correct fusion of subunits a and c
validates using this model protein for high resolution structural studies of the
ATP synthase proton channel.
PMID- 21900249
TI - Aging neural progenitor cells have decreased mitochondrial content and lower
oxidative metabolism.
AB - Although neurogenesis occurs in discrete areas of the adult mammalian brain,
neural progenitor cells (NPCs) produce fewer new neurons with age. To
characterize the molecular changes that occur during aging, we performed a
proteomic comparison between primary-cultured NPCs from the young adult and aged
mouse forebrain. This analysis yielded changes in proteins necessary for cellular
metabolism. Mitochondrial quantity and oxygen consumption rates decrease with
aging, although mitochondrial DNA in aged NPCs does not have increased mutation
rates. In addition, aged cells are resistant to the mitochondrial inhibitor
rotenone and proliferate in response to lowered oxygen conditions. These results
demonstrate that aging NPCs display an altered metabolic phenotype, characterized
by a coordinated shift in protein expression, subcellular structure, and
metabolic physiology.
PMID- 21900250
TI - The RhoG/ELMO1/Dock180 signaling module is required for spine morphogenesis in
hippocampal neurons.
AB - Dendritic spines are actin-rich structures, the formation and plasticity of which
are regulated by the Rho GTPases in response to synaptic input. Although several
guanine nucleotide exchange factors (GEFs) have been implicated in spine
development and plasticity in hippocampal neurons, it is not known how many
different Rho GEFs contribute to spine morphogenesis or how they coordinate the
initiation, establishment, and maintenance of spines. In this study, we screened
70 rat Rho GEFs in cultured hippocampal neurons by RNA interference and
identified a number of candidates that affected spine morphogenesis. Of these,
Dock180, which plays a pivotal role in a variety of cellular processes including
cell migration and phagocytosis, was further investigated. We show that depletion
of Dock180 inhibits spine morphogenesis, whereas overexpression of Dock180
promotes spine morphogenesis. ELMO1, a protein necessary for in vivo functions of
Dock180, functions in a complex with Dock180 in spine morphogenesis through
activating the Rac GTPase. Moreover, RhoG, which functions upstream of the
ELMO1/Dock180 complex, is also important for spine formation. Together, our
findings uncover a role for the RhoG/ELMO1/Dock180 signaling module in spine
morphogenesis in hippocampal neurons.
PMID- 21900251
TI - Trefoil factor 2 requires Na/H exchanger 2 activity to enhance mouse gastric
epithelial repair.
AB - Trefoil factor (TFF) peptides are pivotal for gastric restitution after surface
epithelial damage, but TFF cellular targets that promote cell migration are
poorly understood. Conversely, Na/H exchangers (NHE) are often implicated in
cellular migration but have a controversial role in gastric restitution. Using
intravital microscopy to create microscopic lesions in the mouse gastric surface
epithelium and directly measure epithelial restitution, we evaluated whether TFFs
and NHE isoforms share a common pathway to promote epithelial repair. Blocking
Na/H exchange (luminal 10 MUm 5-(N-ethyl-N-isopropyl) amiloride or 25 MUm HOE694)
slows restitution 72-83% in wild-type or NHE1(-/-) mice. In contrast, HOE694 has
no effect on the intrinsically defective gastric restitution in NHE2(-/-) mice or
TFF2(-/-) mice. In TFF2(-/-) mice, NHE2 protein is reduced 23%, NHE2 remains
localized to apical membranes of surface epithelium, and NHE1 protein amount or
localization is unchanged. The action of topical rat TFF3 to accelerate
restitution in TFF2(-/-) mice was inhibited by AMD3100 (CXCR4 receptor
antagonist). Furthermore, rat TFF3 did not rescue restitution when NHE2 was
inhibited [TFF2(-/-) mice +HOE694, or NHE2(-/-) mice]. HOE694 had no effect on pH
at the juxtamucosal surface before or after damage. We conclude that functional
NHE2, but not NHE1, is essential for mouse gastric epithelial restitution and
that TFFs activate epithelial repair via NHE2.
PMID- 21900252
TI - Copper alters aggregation behavior of prion protein and induces novel
interactions between its N- and C-terminal regions.
AB - Copper is reported to promote and prevent aggregation of prion protein.
Conformational and functional consequences of Cu(2+)-binding to prion protein
(PrP) are not well understood largely because most of the Cu(2+)-binding studies
have been performed on fragments and truncated variants of the prion protein. In
this context, we set out to investigate the conformational consequences of Cu(2+)
binding to full-length prion protein (PrP) by isothermal calorimetry, NMR, and
small angle x-ray scattering. In this study, we report altered aggregation
behavior of full-length PrP upon binding to Cu(2+). At physiological temperature,
Cu(2+) did not promote aggregation suggesting that Cu(2+) may not play a role in
the aggregation of PrP at physiological temperature (37 degrees C). However,
Cu(2+)-bound PrP aggregated at lower temperatures. This temperature-dependent
process is reversible. Our results show two novel intra-protein interactions upon
Cu(2+)-binding. The N-terminal region (residues 90-120 that contain the site His
96/His-111) becomes proximal to helix-1 (residues 144-147) and its nearby loop
region (residues 139-143), which may be important in preventing amyloid fibril
formation in the presence of Cu(2+). In addition, we observed another novel
interaction between the N-terminal region comprising the octapeptide repeats
(residues 60-91) and helix-2 (residues 174-185) of PrP. Small angle x-ray
scattering studies of full-length PrP show significant compactness upon Cu(2+)
binding. Our results demonstrate novel long range inter-domain interactions of
the N- and C-terminal regions of PrP upon Cu(2+)-binding, which might have
physiological significance.
PMID- 21900253
TI - Polymeric structure and host Toll-like receptor 4 dictate immunogenicity of NY
ESO-1 antigen in vivo.
AB - In search of intrinsic factors that contribute to the distinctively strong
immunogenicity of a non-mutated cancer/testis antigen, we found that NY-ESO-1
forms polymeric structures through disulfide bonds. NY-ESO-1 binding to immature
dendritic cells was dependent on its polymeric structure and involved Toll-like
receptor-4 (TLR4) on the surface of immature dendritic cells in mouse and human.
Gene gun-delivered plasmid encoding the wild-type NY-ESO-1 readily induced T cell
dependent antibody (Ab) responses in wild-type C57BL/10 mice but not TLR4-knock
out C57BL/10ScNJ mice. Disrupting polymeric structures of NY-ESO-1 by cysteine-to
serine (Cys-to-Ser) substitutions lead to diminished immunogenicity and altered
TLR4-dependence in the induced Ab response. To demonstrate its adjuvant effect,
NY-ESO-1 was fused with a major mugwort pollen allergen Art v 1 and a tumor
associated antigen, carbonic anhydrase 9. Plasmid DNA vaccines encoding the
fusion genes generated robust immune responses against otherwise non-immunogenic
targets in mice. Polymeric structure and TLR4 may play important roles in
rendering NY-ESO-1 immunogenic and thus serve as a potent molecular adjuvant. NY
ESO-1 thus represents the first example of a cancer/testis antigen that is a also
damage-associated molecular pattern.
PMID- 21900256
TI - Floral closure induced by pollination in gynodioecious Cyananthus delavayi
(Campanulaceae): effects of pollen load and type, floral morph and fitness
consequences.
AB - BACKGROUND AND AIMS: Pollination-induced floral changes, which have been widely
documented in flowering plants, have been assumed to enhance the plant's
reproductive success. However, our understanding of the causes and consequences
of these changes is still limited. Using an alpine gynodioecious species,
Cyananthus delavayi, we investigated the factors affecting floral closure and
estimated the fitness consequences of floral closure. METHODS: The timings of
floral closure and fertilization were determined. The effects of pollen load,
pollen type (cross- or self-pollen) and floral morph (female or perfect flower)
on the occurrence of floral closure were examined. Ovule fertilization and seed
production were examined to investigate the causes and consequences of floral
closure. Flowers were manipulated to prevent closing to detect potential benefits
for female fitness. KEY RESULTS: Floral closure, which could be induced by a very
low pollen load, occurred within 4-7 h after pollination, immediately following
fertilization. The proportion of closed flowers was influenced by pollen load and
floral morph, but not by pollen type. Floral closure was more likely to occur in
flowers with a higher proportion of fertilized ovules, but there was no
significant difference in seed production between closed and open flowers. Those
flowers in which closure was induced by natural pollination had low fruit set and
seed production. Additionally, seed production was not influenced by closing
prevented manipulation when sufficient pollen deposition was received.
CONCLUSIONS: The occurrence of floral closure may be determined by the proportion
of fertilized ovules, but this response can be too sensitive to ensure sufficient
pollen deposition and can, to some extent, lead to a cost in female fitness.
These results implied that the control of floral receptivity by the recipient
flowers does not lead to an optimal fitness gain in C. delavayi.
PMID- 21900254
TI - T cell activation by terminal complex of complement and immune complexes.
AB - T cell hyperactivation and complement consumption are prominent features of the
immunopathology of systemic lupus erythematosus. Although complement activation
is secondary to autoantibodies that form immune complexes (ICs), the trigger for
alterations in human peripheral blood T cells is poorly understood. To study the
impact (on T cells) of several types of preformed ICs and terminal complement
complex, also referred to as C5b-9, we incubated these immune reactants with
peripheral blood naive CD4(+) T cells as well as Jurkat cells and analyzed their
effects on cellular behavior. We first assembled the C5b-9 in situ on the
membrane and observed its assembly primarily on a single site where it promoted
aggregation of membrane rafts and recruitment of the CD3 signaling complex.
However, C5b-9 alone did not initiate proliferation or commencement of downstream
signaling events associated with T cell activation. When T cells were treated
with ICs together with nonlytic C5b-9, changes associated with T cell activation
by possible antigen engagement then occurred. T cell antigen receptor signaling
proteins, including zeta-chain, ZAP-70, Syk, Src, and Lck, were phosphorylated
and organized in a synapse-like structure. The cytoskeleton formed F-actin
spindles and a distal pole complex, resulting in a bipolar distribution of
phosphorylated ezrin-radixin-moesin and F-actin. Furthermore, ICs and nonlytic
C5b-9 induced T cell proliferation and IFN-gamma production. These results raise
the possibility that ICs and the nonlytic C5b-9 modulate T cell-mediated
responses in systemic lupus erythematosus and other related chronic inflammatory
disorders.
PMID- 21900257
TI - The diabetic amputation lottery.
PMID- 21900255
TI - Expanded CUG repeats Dysregulate RNA splicing by altering the stoichiometry of
the muscleblind 1 complex.
AB - To understand the role of the splice regulator muscleblind 1 (MBNL1) in the
development of RNA splice defects in myotonic dystrophy I (DM1), we purified RNA
independent MBNL1 complexes from normal human myoblasts and examined the behavior
of these complexes in DM1 myoblasts. Antibodies recognizing MBNL1 variants
(MBNL1(CUG)), which can sequester in the toxic CUG RNA foci that develop in DM1
nuclei, were used to purify MBNL1(CUG) complexes from normal myoblasts. In normal
myoblasts, MBNL1(CUG) bind 10 proteins involved in remodeling ribonucleoprotein
complexes including hnRNP H, H2, H3, F, A2/B1, K, L, DDX5, DDX17, and DHX9. Of
these proteins, only MBNL1(CUG) colocalizes extensively with DM1 CUG foci (>80%
of foci) with its partners being present in <10% of foci. Importantly, the
stoichiometry of MBNL1(CUG) complexes is altered in DM1 myoblasts, demonstrating
an increase in the steady state levels of nine of its partner proteins. These
changes are recapitulated by the expression of expanded CUG repeat RNA in Cos7
cells. Altered stoichiometry of MBNL1(CUG) complexes results from aberrant
protein synthesis or stability and is unlinked to PKCalpha function. Modeling
these changes in normal myoblasts demonstrates that increased levels of hnRNP H,
H2, H3, F, and DDX5 independently dysregulate splicing in overlapping RNA
subsets. Thus expression of expanded CUG repeats alters the stoichiometry of
MBNL1(CUG) complexes to allow both the reinforcement and expansion of RNA
processing defects.
PMID- 21900258
TI - Laparoscopic cholecystectomy under field conditions in Asiatic black bears (Ursus
thibetanus) rescued from illegal bile farming in Vietnam.
AB - Nine adult Asiatic black bears (Ursus thibetanus) previously rescued from illegal
bile farming in Vietnam were examined via abdominal ultrasound and exploratory
laparoscopy for liver and gall bladder pathology. Three bears demonstrated
notable gall bladder pathology, and minimally invasive cholecystectomies were
performed using an open laparoscopic access approach, standard 10 to 12 mmHg
carbon dioxide pneumoperitoneum and a four-port technique. A single bear required
insertion of an additional 5 mm port and use of a flexible liver retractor due to
the presence of extensive adhesions between the gall bladder and quadrate and
left and right medial liver lobes. The cystic duct was dissected free and this
and the cystic artery were ligated by means of extracorporeal tied Meltzer knot
sutures. The gall bladder was dissected free of the liver by blunt and sharp
dissection, aided by 3.8 MHz monopolar radiosurgery. Bears that have had open
abdominal cholecystectomies are reported as taking four to six weeks before a
return to normal activity postoperatively. In contrast, these bears demonstrated
rapid unremarkable healing, and were allowed unrestricted access to outside
enclosures to climb trees, swim and interact normally with other bears within
seven days of surgery.
PMID- 21900259
TI - Echinococcus multilocularis in an imported captive European beaver (Castor fiber)
in Great Britain.
PMID- 21900260
TI - Dorsolateral spinal cord compression at the C2-C3 junction in two Cavalier King
Charles spaniels.
PMID- 21900261
TI - Prevalence of Coxiella burnetii in livestock abortion material using PCR.
PMID- 21900262
TI - Evidence that purifying selection acts on promoter sequences.
AB - We tested whether functionally important sites in bacterial, yeast, and animal
promoters are more conserved than their neighbors. We found that substitutions
are predominantly seen in less important sites and that those that occurred
tended to have less impact on gene expression than possible alternatives. These
results suggest that purifying selection operates on promoter sequences.
PMID- 21900263
TI - Genetic architecture of male sterility and segregation distortion in Drosophila
pseudoobscura Bogota-USA hybrids.
AB - Understanding the genetic basis of reproductive isolation between recently
diverged species is a central problem in evolutionary genetics. Here, I present
analyses of the genetic architecture underlying hybrid male sterility and
segregation distortion between the Bogota and USA subspecies of Drosophila
pseudoobscura. Previously, a single gene, Overdrive (Ovd), was shown to be
necessary but not sufficient for both male sterility and segregation distortion
in F(1) hybrids between these subspecies, requiring several interacting partner
loci for full manifestation of hybrid phenomena. I map these partner loci
separately on the Bogota X chromosome and USA autosomes using a combination of
different mapping strategies. I find that hybrid sterility involves a single
hybrid incompatibility of at least seven interacting partner genes that includes
three large-effect loci. Segregation distortion involves three loci on the Bogota
X chromosome and one locus on the autosomes. The genetic bases of hybrid
sterility and segregation distortion are at least partially--but not completely-
overlapping. My results lay the foundation for fine-mapping experiments to
identify the complete set of genes that interact with Overdrive. While individual
genes that cause hybrid sterility or inviability have been identified in a few
cases, my analysis provides a comprehensive look at the genetic architecture of
all components of a hybrid incompatibility underlying F(1) hybrid sterility. Such
an analysis would likely be unfeasible for most species pairs due to their
divergence time and emphasizes the importance of young species pairs such as the
D. pseudoobscura subspecies studied here.
PMID- 21900264
TI - Interfering waves of adaptation promote spatial mixing.
AB - A fundamental problem of asexual adaptation is that beneficial substitutions are
not efficiently accumulated in large populations: Beneficial mutations often go
extinct because they compete with one another in going to fixation. It has been
argued that such clonal interference may have led to the evolution of sex and
recombination in well-mixed populations. Here, we study clonal interference, and
mechanisms of its mitigation, in an evolutionary model of spatially structured
populations with uniform selection pressure. Clonal interference is much more
prevalent with spatial structure than without, due to the slow wave-like spread
of beneficial mutations through space. We find that the adaptation speed of
asexuals saturates when the linear habitat size exceeds a characteristic
interference length, which becomes shorter with smaller migration and larger
mutation rate. The limiting speed is proportional to MU(1/2) and MU(1/3) in
linear and planar habitats, respectively, where the mutational supply MU is the
product of mutation rate and local population density. This scaling and the
existence of a speed limit should be amenable to experimental tests as they fall
far below predicted adaptation speeds for well-mixed populations (that scale as
the logarithm of population size). Finally, we show that not only recombination,
but also long-range migration is a highly efficient mechanism of relaxing clonal
competition in structured populations. Our conservative estimates of the
interference length predict prevalent clonal interference in microbial colonies
and biofilms, so clonal competition should be a strong driver of both genetic and
spatial mixing in those contexts.
PMID- 21900265
TI - Genomically biased accumulation of seed storage proteins in allopolyploid cotton.
AB - Allopolyploidy is an important process during plant evolution that results in the
reunion of two divergent genomes into a common nucleus. Many of the immediate as
well as longer-term genomic and epigenetic responses to polyploidy have become
appreciated. To investigate the modifications of gene expression at the proteome
level caused by allopolyploid formation, we conducted a comparative analysis of
cotton seed proteomes from the allopolyploid Gossypium hirsutum (AD genome) and
its model A-genome and D-genome diploid progenitors. An unexpectedly high level
of divergence among the three proteomes was found, with about one-third of all
protein forms being genome specific. Comparative analysis showed that there is a
higher degree of proteomic similarity between the allopolyploid and its D-genome
donor than its A-genome donor, reflecting a biased accumulation of seed proteins
in the allopolyploid. Protein identification and genetic characterization of high
abundance proteins revealed that two classes of seed storage proteins, vicilins
and legumins, compose the major component of cotton seed proteomes. Analyses
further indicate differential regulation or modification of homoeologous gene
products, as well as novel patterns in the polyploid proteome that may result
from the interaction between homoeologous gene products. Our findings demonstrate
that genomic merger and doubling have consequences that extend beyond the
transcriptome into the realm of the proteome and that unequal expression of
proteins from diploid parental genomes may occur in allopolyploids.
PMID- 21900266
TI - A general method for calculating likelihoods under the coalescent process.
AB - Analysis of genomic data requires an efficient way to calculate likelihoods
across very large numbers of loci. We describe a general method for finding the
distribution of genealogies: we allow migration between demes, splitting of demes
[as in the isolation-with-migration (IM) model], and recombination between linked
loci. These processes are described by a set of linear recursions for the
generating function of branch lengths. Under the infinite-sites model, the
probability of any configuration of mutations can be found by differentiating
this generating function. Such calculations are feasible for small numbers of
sampled genomes: as an example, we show how the generating function can be
derived explicitly for three genes under the two-deme IM model. This derivation
is done automatically, using Mathematica. Given data from a large number of
unlinked and nonrecombining blocks of sequence, these results can be used to find
maximum-likelihood estimates of model parameters by tabulating the probabilities
of all relevant mutational configurations and then multiplying across loci. The
feasibility of the method is demonstrated by applying it to simulated data and to
a data set previously analyzed by Wang and Hey (2010) consisting of 26,141 loci
sampled from Drosophila simulans and D. melanogaster. Our results suggest that
such likelihood calculations are scalable to genomic data as long as the numbers
of sampled individuals and mutations per sequence block are small.
PMID- 21900267
TI - Isoform-specific regulation of a steroid hormone nuclear receptor by an E3
ubiquitin ligase in Drosophila melanogaster.
AB - The steroid hormone 20-hydroxyecdysone (20E) regulates gene transcription through
the heterodimeric nuclear receptor composed of ecdysone receptor (EcR) and
Ultraspiracle (USP). The EcR gene encodes three protein isoforms--A, B1, and B2-
with variant N-terminal domains that mediate tissue and developmental stage
specific responses to 20E. Ariadne-1a is a conserved member of the RING finger
family of ubiquitin ligases first identified in Drosophila melanogaster. Loss-of
function mutations at key cysteines in either of the two RING finger motifs, as
well as general overexpression of this enzyme, cause lethality in pupae, which
suggests a requirement in metamorphosis. Here, we show that Ariadne-1a binds
specifically the isoform A of EcR and ubiquitylates it. Co-immunoprecipitation
experiments indicate that the full sequence of EcRA is required for this binding.
Protein levels of EcRA and USP change in opposite directions when those of ARI-1a
are genetically altered. This is an isoform-specific, E3-dependent regulatory
mechanism for a steroid nuclear receptor. Further, qRT-PCR experiments show that
the ARI-1a levels lead to the transcriptional regulation of Eip78C, Eip74EF,
Eip75B, and Br-C, as well as that of EcR and usp genes. Thus, the activity of
this enzyme results in the regulation of dimerizing receptors at the protein and
gene transcription levels. This fine-tuned orchestration by a conserved ubiquitin
ligase is required during insect metamorphosis and, likely, in other steroid
hormone-controlled processes across species.
PMID- 21900269
TI - The population genetics of X-autosome synthetic lethals and steriles.
AB - Epistatic interactions are widespread, and many of these interactions involve
combinations of alleles at different loci that are deleterious when present in
the same individual. The average genetic environment of sex-linked genes differs
from that of autosomal genes, suggesting that the population genetics of
interacting X-linked and autosomal alleles may be complex. Using both analytical
theory and computer simulations, we analyzed the evolutionary trajectories and
mutation-selection balance conditions for X-autosome synthetic lethals and
steriles. Allele frequencies follow a set of fundamental trajectories, and
incompatible alleles are able to segregate at much higher frequencies than single
locus expectations. Equilibria exist, and they can involve fixation of either
autosomal or X-linked alleles. The exact equilibrium depends on whether synthetic
alleles are dominant or recessive and whether fitness effects are seen in males,
females, or both sexes. When single-locus fitness effects and synthetic
incompatibilities are both present, population dynamics depend on the dominance
of alleles and historical contingency (i.e., whether X-linked or autosomal
mutations occur first). Recessive synthetic lethality can result in high
frequency X-linked alleles, and dominant synthetic lethality can result in high
frequency autosomal alleles. Many X-autosome incompatibilities in natural
populations may be cryptic, appearing to be single-locus effects because one
locus is fixed. We also discuss the implications of these findings with respect
to standing genetic variation and the origins of Haldane's rule.
PMID- 21900268
TI - The Drosophila CPEB protein Orb2 has a novel expression pattern and is important
for asymmetric cell division and nervous system function.
AB - Cytoplasmic polyadenylation element binding (CPEB) proteins bind mRNAs to
regulate their localization and translation. While the first CPEBs discovered
were germline specific, subsequent studies indicate that CPEBs also function in
many somatic tissues including the nervous system. Drosophila has two CPEB family
members. One of these, orb, plays a key role in the establishment of polarity
axes in the developing egg and early embryo, but has no known somatic functions
or expression outside of the germline. Here we characterize the other Drosophila
CPEB, orb2. Unlike orb, orb2 mRNA and protein are found throughout development in
many different somatic tissues. While orb2 mRNA and protein of maternal origin
are distributed uniformly in early embryos, this pattern changes as development
proceeds and by midembryogenesis the highest levels are found in the CNS and PNS.
In the embryonic CNS, Orb2 appears to be concentrated in cell bodies and mostly
absent from the longitudinal and commissural axon tracts. In contrast, in the
adult brain, the protein is seen in axonal and dendritic terminals. Lethal
effects are observed for both RNAi knockdowns and orb2 mutant alleles while
surviving adults display locomotion and behavioral defects. We also show that
orb2 funtions in asymmetric division of stem cells and precursor cells during the
development of the embryonic nervous system and mesoderm.
PMID- 21900270
TI - Nonclassical regulation of transcription: interchromosomal interactions at the
malic enzyme locus of Drosophila melanogaster.
AB - Regulation of transcription can be a complex process in which many cis- and trans
interactions determine the final pattern of expression. Among these interactions
are trans-interactions mediated by the pairing of homologous chromosomes. These
trans-effects are wide ranging, affecting gene regulation in many species and
creating complex possibilities in gene regulation. Here we describe a novel case
of trans-interaction between alleles of the Malic enzyme (Men) locus in
Drosophila melanogaster that results in allele-specific, non-additive gene
expression. Using both empirical biochemical and predictive bioinformatic
approaches, we show that the regulatory elements of one allele are capable of
interacting in trans with, and modifying the expression of, the second allele.
Furthermore, we show that nonlocal factors--different genetic backgrounds--are
capable of significant interactions with individual Men alleles, suggesting that
these trans-effects can be modified by both locally and distantly acting
elements. In sum, these results emphasize the complexity of gene regulation and
the need to understand both small- and large-scale interactions as more complete
models of the role of trans-interactions in gene regulation are developed.
PMID- 21900271
TI - Genetic dissection of salicylic acid-mediated defense signaling networks in
Arabidopsis.
AB - Properly coordinated defense signaling networks are critical for the fitness of
plants. One hub of the defense networks is centered on salicylic acid (SA), which
plays a key role in activating disease resistance in plants. However, while a
number of genes are known to affect SA-mediated defense, relatively little is
known about how these gene interact genetically with each other. Here we
exploited the unique defense-sensitized Arabidopsis mutant accelerated cell death
(acd) 6-1 to dissect functional relationships among key components in the SA hub.
We show that while enhanced disease susceptibility (eds) 1-2 and phytoalexin
deficient (pad) 4-1 suppressed acd6-1-conferred small size, cell death, and
defense phenotypes, a combination of these two mutations did not incur additive
suppression. This suggests that EDS1 and PAD4 act in the same signaling pathway.
To further evaluate genetic interactions among SA regulators, we constructed 10
pairwise crosses in the acd6-1 background among mutants defective in: SA
INDUCTION-DEFICIENT 2 for SA biosynthesis; AGD2-LIKE DEFENSE 1, EDS5, and PAD4
for SA accumulation; and NONEXPRESSOR OF PR GENES 1 for SA signaling. Systematic
analysis of the triple mutants based on their suppression of acd6-1-conferred
phenotypes revealed complex and interactive genetic relationships among the
tested SA genes. Our results suggest a more comprehensive view of the gene
networks governing SA function and provide a framework for further interrogation
of the important roles of SA and possibly other signaling molecules in regulating
plant disease resistance.
PMID- 21900272
TI - Distinguishing driver and passenger mutations in an evolutionary history
categorized by interference.
AB - In many biological scenarios, from the development of drug resistance in
pathogens to the progression of healthy cells toward cancer, quantifying the
selection acting on observed mutations is a central question. One difficulty in
answering this question is the complexity of the background upon which mutations
can arise, with multiple potential interactions between genetic loci. We here
present a method for discerning selection from a population history that accounts
for interference between mutations. Given sequences sampled from multiple time
points in the history of a population, we infer selection at each locus by
maximizing a likelihood function derived from a multilocus evolution model. We
apply the method to the question of distinguishing between loci where new
mutations are under positive selection (drivers) and loci that emit neutral
mutations (passengers) in a Wright-Fisher model of evolution. Relative to an
otherwise equivalent method in which the genetic background of mutations was
ignored, our method inferred selection coefficients more accurately for both
driver mutations evolving under clonal interference and passenger mutations
reaching fixation in the population through genetic drift or hitchhiking. In a
population history recorded by 750 sets of sequences of 100 individuals taken at
intervals of 100 generations, a set of 50 loci were divided into drivers and
passengers with a mean accuracy of >0.95 across a range of numbers of driver
loci. The potential application of our model, either in full or in part, to a
range of biological systems, is discussed.
PMID- 21900273
TI - Nuclear structure and chromosome segregation in Drosophila male meiosis depend on
the ubiquitin ligase dTopors.
AB - In many organisms, homolog pairing and synapsis at meiotic prophase depend on
interactions between chromosomes and the nuclear membrane. Male Drosophila lack
synapsis, but nonetheless, their chromosomes closely associate with the nuclear
periphery at prophase I. To explore the functional significance of this
association, we characterize mutations in nuclear blebber (nbl), a gene required
for both spermatocyte nuclear shape and meiotic chromosome transmission. We
demonstrate that nbl corresponds to dtopors, the Drosophila homolog of the
mammalian dual ubiquitin/small ubiquitin-related modifier (SUMO) ligase Topors.
We show that mutations in dtopors cause abnormalities in lamin localizations,
centriole separation, and prophase I chromatin condensation and also cause
anaphase I bridges that likely result from unresolved homolog connections. Bridge
formation does not require mod(mdg4) in meiosis, suggesting that bridges do not
result from misregulation of the male homolog conjunction complex. At the
ultrastructural level, we observe disruption of nuclear shape, an uneven
perinuclear space, and excess membranous structures. We show that dTopors
localizes to the nuclear lamina at prophase, and also transiently to intranuclear
foci. As a role of dtopors at gypsy insulator has been reported, we also asked
whether these new alleles affected expression of the gypsy-induced mutation ct(6)
and found that it was unaltered in dtopors homozygotes. Our results indicate that
dTopors is required for germline nuclear structure and meiotic chromosome
segregation, but in contrast, is not necessary for gypsy insulator function. We
suggest that dtopors plays a structural role in spermatocyte lamina that is
critical for multiple aspects of meiotic chromosome transmission.
PMID- 21900275
TI - Multiple adaptive substitutions during evolution in novel environments.
AB - We consider an asexual population under strong selection-weak mutation conditions
evolving on rugged fitness landscapes with many local fitness peaks. Unlike the
previous studies in which the initial fitness of the population is assumed to be
high, here we start the adaptation process with a low fitness corresponding to a
population in a stressful novel environment. For generic fitness distributions,
using an analytic argument we find that the average number of steps to a local
optimum varies logarithmically with the genotype sequence length and increases as
the correlations among genotypic fitnesses increase. When the fitnesses are
exponentially or uniformly distributed, using an evolution equation for the
distribution of population fitness, we analytically calculate the fitness
distribution of fixed beneficial mutations and the walk length distribution.
PMID- 21900277
TI - 'Gastric bronchus' associated with a congenital bronchopulmonary malformation.
PMID- 21900274
TI - Rapid mapping and identification of mutations in Caenorhabditis elegans by
restriction site-associated DNA mapping and genomic interval pull-down
sequencing.
AB - Forward genetic screens provide a powerful approach for inferring gene function
on the basis of the phenotypes associated with mutated genes. However,
determining the causal mutation by traditional mapping and candidate gene
sequencing is often the rate-limiting step, especially when analyzing many
mutants. We report two genomic approaches for more rapidly determining the
identity of the affected genes in Caenorhabditis elegans mutants. First, we
report our use of restriction site-associated DNA (RAD) polymorphism markers for
rapidly mapping mutations after chemical mutagenesis and mutant isolation.
Second, we describe our use of genomic interval pull-down sequencing (GIPS) to
selectively capture and sequence megabase-sized portions of a mutant genome.
Together, these two methods provide a rapid and cost-effective approach for
positional cloning of C. elegans mutant loci, and are also applicable to other
genetic model systems.
PMID- 21900278
TI - Initial improvements in apnoea-hypopnoea index after very low calorie diet
maintained for 1 year with weight loss maintenance program.
PMID- 21900279
TI - Shifts with nurse understaffing and high patient churn linked to heightened
inpatient mortality risk in a single site study.
PMID- 21900280
TI - Prediction of survival without morbidity for infants born at under 33 weeks
gestational age: a user-friendly graphical tool.
AB - OBJECTIVE: To develop models and a graphical tool for predicting survival to
discharge without major morbidity for infants with a gestational age (GA) at
birth of 22-32 weeks using infant information at birth. DESIGN: Retrospective
cohort study. SETTING: Canadian Neonatal Network data for 2003-2008 were
utilised. PATIENTS: Neonates born between 22 and 32 weeks gestation admitted to
neonatal intensive care units in Canada. MAIN OUTCOME MEASURE: Survival to
discharge without major morbidity defined as survival without severe neurological
injury (intraventricular haemorrhage grade 3 or 4 or periventricular
leukomalacia), severe retinopathy (stage 3 or higher), necrotising enterocolitis
(stage 2 or 3) or chronic lung disease. RESULTS: Of the 17 148 neonates who met
the eligibility criteria, 65% survived without major morbidity. Sex and GA at
birth were significant predictors. Birth weight (BW) had a significant but non
linear effect on survival without major morbidity. Although maternal information
characteristics such as steroid use, improved the prediction of survival without
major morbidity, sex, GA at birth and BW for GA predicted survival without major
morbidity almost as accurately (area under the curve: 0.84). The graphical tool
based on the models showed how the GA and BW for GA interact, to enable
prediction of outcomes especially for small and large for GA infants. CONCLUSION:
This graphical tool provides an improved and easily interpretable method to
predict survival without major morbidity for very preterm infants at the time of
birth. These curves are especially useful for small and large for GA infants.
PMID- 21900281
TI - Distribution of natural and anthropogenic radionuclides in heavy rainfall areas
in Jordan.
AB - Soil is the main reservoir of both natural and artificial radionuclides, which
are transported to the human body through the food chain. Thus, assessment of the
level of radioactivity in soil is of crucial importance. Artificial radionuclide
concentrations in soil depend heavily on rainfall and weather conditions. In this
study, the soil of the Ras Muneef area, which has the highest rainfall in Jordan,
was investigated for its natural and anthropogenic radioactive content. The area
was divided into four sectors and in each sector three locations were
investigated depending on the land use: undisturbed, cultivated or residential.
The depth profile of (137)Cs was investigated and found to depend on the land
use. In the undisturbed soils, two types of depth profiles were identified:
Gaussian and exponentially decreasing. The annual effective dose was found to
range from 19.4 to 72.6 MUSv, which falls within the worldwide ranges.
PMID- 21900282
TI - Human leucocyte antigen risk alleles for psoriatic arthritis among patients with
psoriasis.
AB - Aim Genes that differentiate patients with psoriatic arthritis (PsA) from those
with cutaneous psoriasis (PsC) may serve as markers for the development of PsA in
patients with psoriasis. The authors aimed to identify human leucocyte antigen
(HLA) alleles that are associated with the development of PsA in patients with
psoriasis. METHODS: 712 adult patients with PsA, 335 adult patients with PsC and
713 healthy controls were genotyped for HLA-A, HLA-B, HLA-C, HLA-DR and HLA-DQ
alleles. Differences in allelic distributions for each of the HLA loci were
compared using a likelihood ratio test. Logistic regression analysis of multiple
loci was performed to account for linkage disequilibrium. Haplotype information
was inferred using the expectation-maximisation algorithm (given HLA-C and HLA-B
genotypes) and analysed similarly. RESULTS: The following HLA alleles were found
to be significantly associated with patients with PsA compared to patients with
PsC in multivariate regression analysis: B*08 (OR 1.61, p=0.009), B*27 (OR 5.17,
p<0.0001), B*38 (OR 1.65, p=0.026) and C*06 (OR 0.58, p=0.0002). HLA-B*27, HLA
B*38 and HLA-C*06 frequencies were also significantly higher in patients with PsA
than in healthy controls (B*27: OR 3.05, p<0.0001; B*38: OR 5.9, p<0.0001; HLA
C*06: OR 1.71, p<0.0001). The following haplotypes were independently associated
with PsA compared to PsC: HLA-B*18-C*07 (OR 10.1, p=0.004), HLA-B*27-C*01 (OR
41.1, p<0.0001), HLA-B*27-C*02 (OR 19.9, p<0.0001), HLA-B*38-C*12 (OR 2.9,
p=0.01), HLA-B*08-C*07 (OR 2.6, p=0.004) and HLA-B*57-C*06 (OR 0.5, p=0.03).
CONCLUSIONS: Certain HLA-B and HLA-C alleles confer susceptibility to PsA among
patients with psoriasis and may be used to identify patients with PsC who may
develop PsA.
PMID- 21900283
TI - Assessment and determinants of aesthetic discomfort in hand osteoarthritis.
AB - BACKGROUND: Dissatisfaction with hand appearance is frequently the presenting
complaint of patients with hand osteoarthritis (HOA), yet no tool exists for its
measurement and few studies have examined aesthetic discomfort. OBJECTIVES: The
aims of this study were to measure the extent and to explore the associations of
aesthetic concerns in HOA. METHODS: 172 patients with HOA were assessed with
tender joint and node count, global and pain scores, Functional Index for Hand
Osteoarthritis, Short Form-12, Hospital Anxiety and Depression Scale and
posterior-anterior hand radiographs. Patients scored the aesthetic impact of the
disease on a Visual Analogue Scale of 0-100 mm and were classified into low,
intermediate and high aesthetic concern (HAC) based on this score. RESULTS: Of
172 patients (155 women), the majority (92%) had nodes and 46% had erosive
disease. The mean aesthetic score was 44.8 mm (SD 35.9), and 59 (34.3%) patients
scored their aesthetic discomfort >= 66 mm. Factors associated with HAC were
female gender, a high number of tender joints and nodes, high global and pain
scores, high radiological damage scores, the presence of erosions and high
depression and anxiety levels. The multivariate analysis identified two
independent factors associated with HAC: patient's global assessment (p=0.0005)
and radiographic erosions (p=0.03). CONCLUSIONS: Aesthetic discomfort is a major
concern for a significant number of patients with HOA, particularly women, those
with a high burden of HOA disease and those with erosive osteoarthritis, and is
also associated with depression, anxiety and poor health-related quality of life.
PMID- 21900284
TI - Validation of the ASAS criteria and definition of a positive MRI of the
sacroiliac joint in an inception cohort of axial spondyloarthritis followed up
for 8 years.
AB - BACKGROUND: The new Assessment of SpondyloArthritis international Society (ASAS)
criteria classify axial spondyloarthritis (SpA) into human leucocyte antigen-B27
and/or imaging-based arms. To aid implementation, ASAS has proposed a definition
of a positive MRI for active sacroiliitis. OBJECTIVE: The authors aimed to test
the diagnostic and predictive value of the ASAS criteria and definition of a
'positive' MRI. METHODS: Baseline MRI scans on 29 patients with early
inflammatory back pain and 18 controls were read independently by four
experienced rheumatologists. Both arms of the criteria were tested against a
'gold standard' of physician diagnosis of SpA. MRI abnormalities were assessed
according to a global assessment of MRI and the ASAS definition. Sensitivity,
specificity and likelihood ratios for individual and concordant reader data were
calculated for axial SpA diagnosis at baseline and the development of
radiographic sacroiliitis, fulfilling the modified New York criteria at 8 years.
RESULTS: All patients were classified as having axial SpA, with more patients
fulfilling the imaging arm (83%, n=24/29) than the human leucocyte antigen B27
arm (62%, n=18/29). Concordant reader data showed that the baseline MRI had high
diagnostic utility for SpA according to global assessment
(sensitivity/specificity: 66%/94%, LR+ (positive likelihood ratio) 11.8, LR-
(negative likelihood ratio) 0.4) and ASAS definition (sensitivity/specificity:
79%/89%, LR+ 7.1, LR- 0.2). Likewise, a positive baseline MRI had 100%
sensitivity for subsequent radiographic sacroiliitis by either assessment,
although specificity was lower (56% for global assessment and 33% for ASAS
definition). CONCLUSION: Both arms of the ASAS criteria have good diagnostic
utility in early SpA, although they are of limited value for the prediction of
radiographic progression. This may be due to the definition of a positive MRI for
sacroiliitis that lacks specificity at baseline.
PMID- 21900285
TI - Risk of thromboembolic events after recurrent spontaneous abortion in
antiphospholipid syndrome: a case-control study.
AB - OBJECTIVE: To investigate whether patients having antiphospholipid syndrome (APS)
as the only aetiological factor for recurrent spontaneous abortion (RSA) are at
increased risk of thrombosis later in life. METHODS: A case-control study at a
tertiary university referral centre. The study group consisted of 57 primary APS
and RSA women (APS-RSA group). Control groups included: 86 patients with RSA of
unknown aetiology (uRSA group), 42 patients with RSA and thrombophilic genetic
defects as the only aetiologic factor for RSA (tRSA group) and 30
antiphospholipid antibody (aPL) positive but otherwise healthy women (aPL group).
The main measurement was the thrombosis rate after long-term follow-up. RESULTS:
APS-RSA patients had a significantly higher 12-year cumulative thrombotic
incidence rate compared with the three comparator groups (19.3% vs 4.8%, 0.0% and
0.0%, respectively (log rank), p<0.001). Patients in the APS-RSA group had 25.6
thrombotic events per 1000 patient-years (95% CI 12.8 to 45.9). The OR of
thrombosis in relation to the presence (APS-RSA group) or absence (uRSA and tRSA
groups) of aPL in patients with RSA was 15.06 (95% CI 3.2 to 70.5). CONCLUSIONS:
Our data indicate that a history of RSA associated with aPL is a risk factor for
subsequent thrombosis in the long term.
PMID- 21900286
TI - Regulating the regulators: SOCS3 joins the dance.
PMID- 21900287
TI - Low circulating Dickkopf-1 and its link with severity of spinal involvement in
diffuse idiopathic skeletal hyperostosis.
AB - OBJECTIVE: Dickkopf-1 (DKK-1) is an inhibitor of osteoblastogenesis, and its
lower levels are linked to new bone formation. The aim of this study was
therefore to explore serum levels of DKK-1 and to evaluate DKK-1's association
with the severity of spinal involvement in diffuse idiopathic skeletal
hyperostosis (DISH). METHODS: Serum levels of total and functional DKK-1 and C
reactive protein (CRP) were measured in 37 patients with DISH and 22 healthy age
and sex-matched controls. Plain radiographs of the cervical and thoracic spine
were performed, and the diagnosis of DISH was defined using the Resnick criteria.
Patients were divided into three groups based on spinal involvement. Bone mineral
density (BMD) and bone turnover markers were evaluated in patients with DISH.
RESULTS: The levels of total serum DKK-1 were significantly lower in patients
with DISH than in healthy controls (p<0.0001). Importantly, low serum levels of
DKK-1 were associated with more severe spinal involvement in DISH, independent of
age, sex, disease duration, CRP, bone turnover markers or BMD. However, these
findings were less significant for functional DKK-1. CONCLUSION: These
observations indicate that DKK-1 may play a significant role in bone formation
during DISH.
PMID- 21900288
TI - Children in Beardslee's family intervention: relieved by understanding of
parental mental illness.
AB - BACKGROUND: Beardslee's family intervention (FI), which is a family-based
preventive method for children of mentally ill parents, has been implemented on a
national level in Sweden. MATERIAL: Fourteen children and parents from nine
families were interviewed about how the FI was for the children. Data were
analysed by qualitative content analysis. DISCUSSION: A central finding was
children's sense of relief and release from worry because of more knowledge and
openness about the parent's illness in the family. CONCLUSION: The results
indicating relief for the children are encouraging.
PMID- 21900289
TI - The win ratio: a new approach to the analysis of composite endpoints in clinical
trials based on clinical priorities.
AB - The conventional reporting of composite endpoints in clinical trials has an
inherent limitation in that it emphasizes each patient's first event, which is
often the outcome of lesser clinical importance. To overcome this problem, we
introduce the concept of the win ratio for reporting composite endpoints.
Patients in the new treatment and control groups are formed into matched pairs
based on their risk profiles. Consider a primary composite endpoint, e.g.
cardiovascular (CV) death and heart failure hospitalization (HF hosp) in heart
failure trials. For each matched pair, the new treatment patient is labelled a
'winner' or a 'loser' depending on who had a CV death first. If that is not
known, only then they are labelled a 'winner' or 'loser' depending on who had a
HF hosp first. Otherwise they are considered tied. The win ratio is the total
number of winners divided by the total numbers of losers. A 95% confidence
interval and P-value for the win ratio are readily obtained. If formation of
matched pairs is impractical then an alternative win ratio can be obtained by
comparing all possible unmatched pairs. This method is illustrated by re-analyses
of the EMPHASIS-HF, PARTNER B, and CHARM trials. The win ratio is a new method
for reporting composite endpoints, which is easy to use and gives appropriate
priority to the more clinically important event, e.g. mortality. We encourage its
use in future trial reports.
PMID- 21900291
TI - Cardiac calciphylaxis presenting as endocarditis.
PMID- 21900290
TI - Genetic variants, plasma lipoprotein(a) levels, and risk of cardiovascular
morbidity and mortality among two prospective cohorts of type 2 diabetes.
AB - AIMS: To examine the relations between genetic loci, plasma lipoprotein(a)
[Lp(a)] levels, and cardiovascular disease (CVD) risk among diabetic patients and
compare with the observations in the general population. METHODS AND RESULTS: In
two prospective cohorts of patients with type 2 diabetes (n= 2308) from the
Nurses' Health Study and the Health Professional Follow-Up Study, we performed
(i) genome-wide association (GWA) scans for plasma Lp(a); (ii) prospective
analysis of plasma Lp(a) for CVD risk and mortality; and (iii) genetic
association analysis for CVD risk and mortality. Meta-analysis of the two GWA
scans yielded 71 single-nucleotide polymorphisms (SNPs) on chromosome 6q
associated with plasma Lp(a) levels at a genome-wide significance level (P< 5 *
10(-8)). The SNP rs10455872 in LPA was most strongly associated with Lp(a) (P=
4.60 * 10(-39)). Forward-selection analysis indicated that rs10455872 and other
five SNPs in a region encompassing LPA, PLG, SLC22A3, and LPAL2 genes were
independently associated with Lp(a) levels and jointly explained ~20% of
variation in diabetic patients. In prospective analysis, we did not find any
significant association between plasma levels and CVD incidence; the relative
risk for coronary heart disease (CHD), CVD, and CVD death was 1.05 [95%
confidence interval (CI): 0.95-1.15], 1.05 (0.96-1.15), and 1.21 (0.99-1.47) per
1-SD higher log-transformed Lp(a) levels, respectively. Consistently, none of the
Lp(a) SNPs were associated with CVD risk or mortality (all P> 0.09). For the best
SNP rs10455872 for plasma Lp(a) levels, the OR for CHD, CVD, and CVD death was
0.94 (95% CI: 0.69-1.28), 0.97 (0.72-1.29), and 1.23 (0.79-1.92), respectively.
The genetic effect on CHD risk showed a significant heterogeneity between the
diabetic and the general populations (P= 0.006). CONCLUSION: Our data indicate
that the effect of Lp(a) on CVD risk among diabetic patients might be different
from that in the general population. Diabetes status may attenuate the relation
between Lp(a) and cardiovascular risk.
PMID- 21900292
TI - Thrombus formation 10 years after placement of an atrial septal secundum defect
closure device.
PMID- 21900293
TI - Mortality in heart failure with preserved ejection fraction: an unacceptably high
rate.
PMID- 21900294
TI - Transcatheter implantation of an aortic valve prosthesis in a female patient with
severe bicuspid aortic stenosis.
PMID- 21900295
TI - Hybrid cardiac magnetic resonance/computed tomographic imaging: first fusion of
three-dimensional magnetic resonance perfusion and low-dose coronary computed
tomographic angiography.
PMID- 21900296
TI - Neurological oxygen toxicity.
AB - SCUBA diving has several risks associated with it from breathing air under
pressure--nitrogen narcosis, barotrauma and decompression sickness (the bends).
Trimix SCUBA diving involves regulating mixtures of nitrogen, oxygen and helium
in an attempt to overcome the risks of narcosis and decompression sickness during
deep dives, but introduces other potential hazards such as hypoxia and oxygen
toxicity convulsions. This study reports on a seizure during the ascent phase,
its potential causes and management and discusses the hazards posed to the diver
and his rescuer by an emergency ascent to the surface.
PMID- 21900297
TI - To resuscitate or not to resuscitate: a logistic regression analysis of physician
related variables influencing the decision.
AB - OBJECTIVE: To determine whether variables in physicians' backgrounds influenced
their decision to forego resuscitating a patient they did not previously know.
METHODS: Questionnaire survey of a convenience sample of 204 physicians working
in the departments of internal medicine, anaesthesiology and cardiology in 11
hospitals in Israel. RESULTS: Twenty per cent of the participants had elected to
forego resuscitating a patient they did not previously know without additional
consultation. Physicians who had more frequently elected to forego resuscitation
had practised medicine for more than 5 years (p=0.013), estimated the number of
resuscitations they had performed as being higher (p=0.009), and perceived their
experience in resuscitation as sufficient (p=0.001). The variable that predicted
the outcome of always performing resuscitation in the logistic regression model
was less than 5 years of experience in medicine (OR 0.227, 95% CI 0.065 to 0.793;
p=0.02). CONCLUSION: Physicians' level of experience may affect the probability
of a patient's receiving resuscitation, whereas the physicians' personal beliefs
and values did not seem to affect this outcome.
PMID- 21900298
TI - Feasibility of detecting early left ventricular systolic dysfunction using global
area strain: a novel index derived from three-dimensional speckle-tracking
echocardiography.
AB - AIMS: Area strain (AS), derived from three-dimensional speckle-tracking
echocardiography (3D-STE), is a novel parameter integrating longitudinal and
circumferential deformation. We sought to evaluate the ability of global AS to
detect early left ventricular (LV) systolic dysfunction in patients with risk
factors for heart failure (HF). METHODS AND RESULTS: Standard echocardiography
and 3D-STE were performed in 160 subjects with or without HF. Three-dimensional
speckle-tracking echocardiography was measured with reliable tracking quality in
137 (86%) of the 160 subjects initially enrolled in this study: 30 healthy
volunteers, 29, 37, 26, and 15 patients with Stage A, B, C, and D HF,
respectively. Global strain values were automatically calculated by 3D wall
motion tracking (3D-WMT) software. Although global longitudinal strain (LS),
circumferential strain (CS), radial strain (RS), and LV ejection fraction (LVEF)
showed the downward trend from normal controls to patients with Stage D HF, the
difference did not reach statistical significance between normal controls and
patients with Stage A HF. In contrast, we observed the progressive decrease in
global AS from normal to Stage A HF to Stage D HF (P< 0.05). In addition, global
AS showed an excellent correlation with LVEF, global LS and CS. The optimal cut
off value for global AS, to detect LV dysfunction (Simpson's rule-based LVEF
<50%), was -29.23% at a sensitivity of 86.3% and at a specificity of 88.4%.
CONCLUSION: Global AS is a sensitive and reproducible parameter to detect early
and subtle LV systolic dysfunction, showing greater feasibility than other
conventional strain parameters.
PMID- 21900299
TI - Symptomatic pericardial cyst: a case series.
AB - Pericardial cysts are most commonly located at the cardiophrenic angle or,
rarely, in the posterior or anterior superior mediastinum. The majority of
pericardial cysts are asymptomatic and are found incidentally. Symptomatic
pericardial cysts present with dyspnoea, chest pain, or persistent cough. We
describe four patients with symptomatic pericardial cysts who were treated with
either echocardiographically guided percutaneous aspiration or video-assisted
thoracoscopic surgery, or both; thoracotomy; or conservative therapy.
PMID- 21900301
TI - Anomalous origin of the right coronary artery from the pulmonary artery: a rare
finding in an asymptomatic man.
PMID- 21900300
TI - Right ventricular systolic function assessment: rank of echocardiographic methods
vs. cardiac magnetic resonance imaging.
AB - AIMS: Right ventricular (RV) systolic function is prognostically important, but
its assessment by echocardiography remains challenging, in part because of the
multitude of available measurement methods. The purpose of this prospective study
was to rank these methods against the reference of RV ejection fraction (EF) as
obtained in a broad clinical population by magnetic resonance imaging (MRI).
METHODS AND RESULTS: Two hundred and twenty-three individuals were included in
the study. The following seven Doppler echocardiographic parameters were tested
using receiver operating characteristic (ROC) analysis for their accuracy to
distinguish between normal and moderately impaired RVEF by MRI (RVEF cut-off
50%), respectively, between moderately and severely reduced RVEF (cut-off 30%):
RV fractional area and fractional long-axis change (FLC), RV myocardial
performance index (MPI), tricuspid annular peak systolic excursion, Doppler
tissue imaging-derived isovolumic acceleration and peak systolic velocity (S') at
the lateral tricuspid annulus, and strain at the lateral free wall as obtained by
speckle-tracking echocardiography. Survival analysis was performed. All seven
Doppler echocardiographic parameters correlated significantly with RVEF by MRI
(range between 5 and 85%). RVEF <50% was best detected by S' < 11 cm/s: area
under the ROC curve 0.779 (95% confidence interval 0.716-0.843), sensitivity
0.740, and specificity 0.753. RVEF <=30% was best detected by MPI > 0.50: area
under the ROC curve 0.948 (95% confidence interval 0.906-0.991), sensitivity
0.947, and specificity 0.852. The Kaplan-Meier analysis revealed reduced
cumulative survival among patients with RVEF <=30% (P = 0.0003). CONCLUSION: A
systolic long-axis peak velocity of <11 cm/s at the lateral tricuspid annulus
most accurately detects moderately impaired RVEF as obtained by MRI; severely
reduced RVEF <=30% is best detected by RV MPI at a value of >0.50.
PMID- 21900302
TI - Myocardial function may improve equally in diabetic patients following both
multivessel percutaneous coronary intervention and coronary artery bypass
grafting: results from a CARDia trial substudy.
AB - AIMS: The CARDia (Coronary Artery Revascularization in Diabetes) trial compared
coronary artery bypass grafting (CABG) and optimal percutaneous coronary
intervention (PCI) in diabetic patients with multivessel coronary disease.
Patients enrolled had symptoms of myocardial ischaemia. As symptom assessment is
flawed in diabetic patients, a substudy was undertaken to compare the extent to
which these revascularization strategies alter reversible ischaemia. METHODS AND
RESULTS: Seventy-one patients underwent stress echo at baseline and at 6 months.
A 17-segment echocardiographic wall motion score index (WMSI) was assigned at
baseline [WMSI(pre)] and at 6 months [WMSI(post)]. An overall score defined the
difference: WMSI(?) = WMSI(pre)--WMSI(post). Of 71 patients recruited, 42
underwent PCI and 29 CABG. Mean WMSI(pre) in the PCI group was 1.63 and mean
WMSI(post) was 1.32. Mean WMSI(pre) in the CABG group was 1.69 and mean
WMSI(post) was 1.46. The PCI WMSI(?) was 0.31 and CABG WMSI(?) was 0.23 (P =
0.8). Of 42 PCI patients, 39 demonstrated ischaemia at baseline. At 6 months 31
had improvements in ischaemia (79%), 5 showed no improvement, and 3 ischaemia
worsened. Of 29 CABG patients, 23 demonstrated ischaemia at baseline. At 6
months, 20 had improvements in ischaemia (87%), 2 had no improvement, and in 1
ischaemia worsened. No difference was seen in the number of patients with
improvements in reversible ischaemia between PCI and CABG [79 vs. 87%, (P =
0.9)]. CONCLUSION: Optimal revascularization in diabetic patients with
multivessel disease remains controversial. This subset analysis of the CARDia
trial suggests both PCI and CABG achieve similar improvement in reversible
ischaemia.
PMID- 21900303
TI - Increasing the thermostability of sucrose phosphorylase by a combination of
sequence- and structure-based mutagenesis.
AB - Sucrose phosphorylase is a promising biocatalyst for the glycosylation of a wide
variety of acceptor molecules, but its low thermostability is a serious drawback
for industrial applications. In this work, the stability of the enzyme from
Bifidobacterium adolescentis has been significantly improved by a combination of
smart and rational mutagenesis. The former consists of substituting the most
flexible residues with amino acids that occur more frequently at the
corresponding positions in related sequences, while the latter is based on a
careful inspection of the enzyme's crystal structure to promote electrostatic
interactions. In this way, a variant enzyme could be created that contains six
mutations and whose half-life at the industrially relevant temperature of 60
degrees C has more than doubled compared with the wild-type enzyme. An increased
stability in the presence of organic co-solvents could also be observed, although
these effects were most noticeable at low temperatures.
PMID- 21900304
TI - Use of periplasmic target protein capture for phage display engineering of tight
binding protein-protein interactions.
AB - Phage display is a powerful tool to study and engineer protein and peptide
interactions. It is not without its limitations, however, such as the requirement
for target protein purification and immobilization in a correctly folded state. A
protein capture method is described here that allows enrichment of tight-binding
protein variants in vivo thereby eliminating the need for target protein
purification and immobilization. The linkage of genotype to phenotype is achieved
by placing both receptor and ligand encoding genes on the same plasmid. This
allows the isolation of the tight-binding ligand-receptor pair complexes after
their association in the bacterial periplasm. The interaction between the TEM-1
beta-lactamase fused to the gene 3 coat protein displayed on the surface of M13
bacteriophage and the beta-lactamse inhibitory protein (BLIP) expressed in
soluble form with a signal sequence to export it to the periplasm was used as a
model system to test the method. The system was experimentally validated using a
previously characterized collection of BLIP alanine mutants with a range of
binding affinities for TEM-1 beta-lactamase and by isolating tight-binding
variants from a library of mutants randomized at residue position Tyr50 in BLIP
which contacts beta-lactamase.
PMID- 21900306
TI - Thermostabilization of firefly luciferase by in vivo directed evolution.
AB - Firefly luciferase is widely used in a number of areas of biotechnology and
molecular biology. However, rapid inactivation of wild-type (WT) luciferases at
elevated temperatures often hampers their application. A simple non-lethal in
vivo screening scheme was used to identify thermostable mutants of luciferase in
Escherichia coli colonies. This scheme allowed carrying out each cycle of
mutagenesis in a rapid and efficient manner. Four rounds of directed evolution
were conducted on a part of the gene coding for amino acid residues 130-390 of
Luciola mingrelica luciferase. The resultant mutant designated 4TS had a half
life of 10 h at 42 degrees C, which is 65-fold higher compared with the WT
luciferase. Moreover, the mutant 4TS showed a 1.9-fold increase in specific
activity, 5.7-fold reduction of K(m) for ATP and a higher-temperature optimum
compared with the WT enzyme. 4TS contains eight mutations, four of which are
suggested to be mainly responsible for the enhancement of thermostability: R211L,
A217V, E356K and S364C. Thus, directed evolution with non-lethal colony screening
for in vivo bioluminescence activity proved to be an effective and efficient
approach for increasing thermal stability of luciferase while retaining high
catalytic activity.
PMID- 21900305
TI - An evaluation tool for FKBP12-dependent and -independent mTOR inhibitors using a
combination of FKBP-mTOR fusion protein, DSC and NMR.
AB - Mammalian target of rapamycin (mTOR), a large multidomain protein kinase,
regulates cell growth and metabolism in response to environmental signals. The
FKBP rapamycin-binding (FRB) domain of mTOR is a validated therapeutic target for
the development of immunosuppressant and anticancer drugs but is labile and
insoluble. Here we designed a fusion protein between FKBP12 and the FRB domain of
mTOR. The fusion protein was successfully expressed in Escherichia coli as a
soluble form, and was purified by a simple two-step chromatographic procedure.
The fusion protein exhibited increased solubility and stability compared with the
isolated FRB domain, and facilitated the analysis of rapamycin and FK506 binding
using differential scanning calorimetry (DSC) and solution nuclear magnetic
resonance (NMR). DSC enabled the rapid observation of protein-drug interactions
at the domain level, while NMR gave insights into the protein-drug interactions
at the residue level. The use of the FKBP12-FRB fusion protein combined with DSC
and NMR provides a useful tool for the efficient screening of FKBP12-dependent as
well as -independent inhibitors of the mTOR FRB domain.
PMID- 21900307
TI - The effect of question wording in questionnaire surveys on placebo use in
clinical practice.
AB - To identify factors that contribute to the high variability of the rates of use
of placebo interventions reported in questionnaire surveys, the author
investigated the effect of the explicit use of the word "placebo" in
questionnaire surveys on placebo use in clinical practice on the results
obtained. 190 primary care physicians in Poland were divided randomly into two
groups. The groups received a questionnaire in which either the word placebo or
the term "nonspecific methods of treatment" was used. The respondents who were
asked explicitly about the use of placebo interventions declared that they never
used placebo interventions significantly more often than participants asked about
the use of nonspecific treatment methods. Moreover, the former reported
significantly rarer use of placebo interventions than the latter. The study
demonstrates that differences in the wording of questions in questionnaire
surveys on placebo use can create statistically significant differences in
results.
PMID- 21900309
TI - Sex differences in pain responses at maturity following neonatal repeated minor
pain exposure in rats.
AB - There is mounting evidence of long-lasting changes in pain sensitivity in school
age children who were cared for in a neonatal intensive care unit. Such care
involves multiple pain exposures, 70% of which are accounted for by heel lance to
monitor physiological well-being. The authors sought to model the repeated brief
pain resulting from heel lance by administering repeated paw needle stick to
neonatal rat pups. Repeated needle stick during the first 8 days of life was sex
specific in altering responses to mechanical and inflammatory stimuli, but not to
a thermal stimulus, at maturity. Specifically, neonatal paw needle stick males
exhibited significantly greater mechanical sensitivity in response to von Frey
hair testing, whereas neonatal paw needle stick females exhibited significantly
greater pain behavior scores following hindpaw formalin injection. This is the
first study to show such sex-dependent changes in pain responsiveness at maturity
in animals having experienced repeated neonatal needle stick pain. These findings
support existing evidence that there are long-term sensory sequelae following
neonatal pain experiences in rats and further suggest that there are sex-linked
differences in the nature of the consequences. If these relationships hold in
humans, these findings suggest that even mild painful insults early in life are
not without sensory consequences.
PMID- 21900308
TI - A psychoneuroimmunologic examination of cumulative perinatal steroid exposures
and preterm infant behavioral follow-up.
AB - PURPOSE: This study's aim was to explore relationships between preterm infant
behavioral outcomes and maternal/infant glucocorticoid (dexamethasone [DEX])
treatments using a psychoneuroimmunologic approach. Research questions were (a)
do relationships exist between infant cumulative perinatal steroid (PNS) exposure
and child behavioral problems? and (b) do maternal/infant characteristics (e.g.,
immune markers and biophysiologic stressors) influence these relationships?
METHODS: The convenience sample comprised 45 mother-child dyads in which the
children (mean age 8 years +/- 2.3) had been born at a mean postconceptional age
of 28 weeks (+/- 4.2). We used the Child Behavior Checklist (CBCL) to assess
behavior, the Clinical Risk Index for Babies (CRIB) to score stress at birth, and
retrospective record review to identify additional perinatal factors (PNS dosage,
sepsis, and maternal and infant complete blood counts near delivery). RESULTS:
Children were dichotomized into high (> 0.2 mg/kg; n = 20) versus low-no (<=0.2
mg/kg; n = 25) PNS exposure groups. Significant relationships existed between
CBCL Total Problems score and sepsis, PNS exposure, timing of initial PNS, and
infant length percentile at discharge. Competence problems were significantly
associated with PNS, neonatal intensive care unit (NICU) infant length
percentile, CRIB score, sepsis, retinopathy of prematurity, hearing deficit, and
immunity markers (i.e., maternal lymphocyte percentage and infant band/seg
ratio). Children in the higher PNS group exhibited more behavioral problems
(e.g., withdrawn, attention, conduct, social, and rule breaking problems), but
there were no significant differences. The findings are reassuring regarding long
term effects of this PNS dose on preterm infant behavioral outcomes.
PMID- 21900310
TI - Mid-Cretaceous charred fossil flowers reveal direct observation of arthropod
feeding strategies.
AB - Although plant-arthropod relationships underpin the dramatic rise in diversity
and ecological dominance of flowering plants and their associated arthropods,
direct observations of such interactions in the fossil record are rare, as these
ephemeral moments are difficult to preserve. Three-dimensionally preserved
charred remains of Chloranthistemon flowers from the Late Albian to Early
Cenomanian of Germany preserve scales of mosquitoes and an oribatid mite with
mouthparts inserted into the pollen sac. Mosquitoes, which today are frequent
nectar feeders, and the mite were feeding on pollen at the time wildfire consumed
the flowers. These findings document directly arthropod feeding strategies and
their role in decomposition.
PMID- 21900311
TI - A stab in the dark: chick killing by brood parasitic honeyguides.
AB - The most virulent avian brood parasites obligately kill host young soon after
hatching, thus ensuring their monopoly of host parental care. While the host
eviction behaviour of cuckoos (Cuculidae) is well documented, the host killing
behaviour of honeyguide (Indicatoridae) chicks has been witnessed only once, 60
years ago, and never in situ in host nests. Here, we report from the Afrotropical
greater honeyguide the first detailed observations of honeyguides killing host
chicks with their specially adapted bill hooks, based on repeated video
recordings (available in the electronic supplementary material). Adult greater
honeyguides puncture host eggs when they lay their own, but in about half of host
nests at least one host egg survived, precipitating chick killing by the
honeyguide hatchling. Hosts always hatched after honeyguide chicks, and were
killed within hours. Despite being blind and in total darkness, honeyguides
attacked host young with sustained biting, grasping and shaking motions. Attack
time of 1-5 min was sufficient to cause host death, which took from 9 min to over
7 h from first attack. Honeyguides also bit unhatched eggs and human hands, but
only rarely bit the host parents feeding them.
PMID- 21900312
TI - Repeated colonization of remote islands by specialized mutualists.
AB - Mutualisms are ubiquitous in nature, but constraints imposed by specialization
may limit their ability to colonize novel environments synchronously. The ability
of mutualisms to reassemble following disturbance is central to understanding
their response to global change. Here, we demonstrate that a highly specialized
pollination mutualism considered to be obligate (Phyllanthaceae: Glochidion;
Lepidoptera: Gracillariidae: Epicephala) has colonized some of the world's most
isolated archipelagoes, and we record, to our knowledge, for the first time the
presence of Epicephala moths from 19 host Glochidion species on 17 islands in the
Pacific Ocean. Our findings appear to offer a remarkable example of mutualism
persistence in an insect-plant interaction characterized by reciprocal
specialization and mutual dependence. These findings also appear to contradict
the island biogeography paradigm that taxa with specialized biotic interactions
are unlikely to colonize oceanic islands.
PMID- 21900313
TI - The meaning of birth and death (in macroevolutionary birth-death models).
AB - Birth-death models are central to much macroevolutionary theory. The fundamental
parameters of these models concern durations. Different species concepts realize
different species durations because they represent different ideas of what birth
(speciation) and death (extinction) mean. Here, we use Cenozoic macroperforate
planktonic foraminifera as a case study to ask: what are the dynamical
consequences of changing the definition of birth and death? We show strong
evidence for biotic constraints on diversification using evolutionary species,
but less with morphospecies. Discussing reasons for this discrepancy, we
emphasize that clarity of species concept leads to clarity of meaning when
interpreting macroevolutionary birth-death models.
PMID- 21900314
TI - Dolphin whistles: a functional misnomer revealed by heliox breathing.
AB - Delphinids produce tonal whistles shaped by vocal learning for acoustic
communication. Unlike terrestrial mammals, delphinid sound production is driven
by pressurized air within a complex nasal system. It is unclear how fundamental
whistle contours can be maintained across a large range of hydrostatic pressures
and air sac volumes. Two opposing hypotheses propose that tonal sounds arise
either from tissue vibrations or through actual whistle production from vortices
stabilized by resonating nasal air volumes. Here, we use a trained bottlenose
dolphin whistling in air and in heliox to test these hypotheses. The fundamental
frequency contours of stereotyped whistles were unaffected by the higher sound
speed in heliox. Therefore, the term whistle is a functional misnomer as dolphins
actually do not whistle, but form the fundamental frequency contour of their
tonal calls by pneumatically induced tissue vibrations analogous to the operation
of vocal folds in terrestrial mammals and the syrinx in birds. This form of tonal
sound production by nasal tissue vibrations has probably evolved in delphinids to
enable impedance matching to the water, and to maintain tonal signature contours
across changes in hydrostatic pressures, air density and relative nasal air
volumes during dives.
PMID- 21900315
TI - Father absence predicts age at sexual maturity and reproductive timing in British
men.
AB - Despite the widespread assumption that paternal investment is substantial in our
species, previous studies have shown mixed results in relation to the impact of
fathers on both offspring survival and reproductive outcomes. Using data from a
large representative sample of British men, we tested whether father absence is
associated with the timing of reproduction-related events among boys, while
controlling for various cues denoting early childhood adversity. We further
tested whether the loss of the father at different childhood stages matters, so
as to assess whether early life is the most important period or if effects can be
seen during later childhood. The results show that father absence before age
seven is associated with early reproduction, while father absence between ages 11
and 16 only is associated with delayed voice-breaking (a proxy for puberty), even
after adjusting for other factors denoting childhood adversity. We conclude that
fathers do exert an influence on male reproductive outcomes, independently of
other childhood adversities and that these effects are sensitive to the timing of
father absence.
PMID- 21900316
TI - Context-dependent tool use in New Caledonian crows.
AB - Humans and chimpanzees both exhibit context-dependent tool use. That is, both
species choose to use tools when food is within reach, but the context is
potentially hazardous. Here, we show that New Caledonian crows used tools more
frequently when food was positioned next to a novel model snake than when food
was positioned next to a novel teddy bear or a familiar food bowl. However, the
crows showed no significant difference in their neophobic reactions towards the
teddy bear and the model snake. Therefore, the crows used tools more in response
to a risky object resembling a natural predator than to a less-threatening object
that provoked a comparable level of neophobia. These results show that New
Caledonian crows, like humans and chimpanzees, are capable of context-dependent
tool use.
PMID- 21900317
TI - Predicting ecosystem shifts requires new approaches that integrate the effects of
climate change across entire systems.
AB - Most studies that forecast the ecological consequences of climate change target a
single species and a single life stage. Depending on climatic impacts on other
life stages and on interacting species, however, the results from simple
experiments may not translate into accurate predictions of future ecological
change. Research needs to move beyond simple experimental studies and
environmental envelope projections for single species towards identifying where
ecosystem change is likely to occur and the drivers for this change. For this to
happen, we advocate research directions that (i) identify the critical species
within the target ecosystem, and the life stage(s) most susceptible to changing
conditions and (ii) the key interactions between these species and components of
their broader ecosystem. A combined approach using macroecology, experimentally
derived data and modelling that incorporates energy budgets in life cycle models
may identify critical abiotic conditions that disproportionately alter important
ecological processes under forecasted climates.
PMID- 21900318
TI - Gait recognition: highly unique dynamic plantar pressure patterns among 104
individuals.
AB - Everyone's walking style is unique, and it has been shown that both humans and
computers are very good at recognizing known gait patterns. It is therefore
unsurprising that dynamic foot pressure patterns, which indirectly reflect the
accelerations of all body parts, are also unique, and that previous studies have
achieved moderate-to-high classification rates (CRs) using foot pressure
variables. However, these studies are limited by small sample sizes (n < 30),
moderate CRs (CR ? 90%), or both. Here we show, using relatively simple image
processing and feature extraction, that dynamic foot pressures can be used to
identify n = 104 subjects with a CR of 99.6 per cent. Our key innovation was
improved and automated spatial alignment which, by itself, improved CR to over 98
per cent, a finding that pointedly emphasizes inter-subject pressure pattern
uniqueness. We also found that automated dimensionality reduction invariably
improved CRs. As dynamic pressure data are immediately usable, with little or no
pre-processing required, and as they may be collected discreetly during
uninterrupted gait using in-floor systems, foot pressure-based identification
appears to have wide potential for both the security and health industries.
PMID- 21900320
TI - Dynamics of alternative modes of RNA replication for positive-sense RNA viruses.
AB - We propose and study nonlinear mathematical models describing the intracellular
time dynamics of viral RNA accumulation for positive-sense single-stranded RNA
viruses. Our models consider different replication modes ranging between two
extremes represented by the geometric replication (GR) and the linear stamping
machine replication (SMR). We first analyse a model that quantitatively
reproduced experimental data for the accumulation dynamics of both polarities of
turnip mosaic potyvirus RNAs. We identify a non-degenerate transcritical
bifurcation governing the extinction of both strands depending on three key
parameters: the mode of replication (alpha), the replication rate (r) and the
degradation rate (delta) of viral strands. Our results indicate that the
bifurcation associated with alpha generically takes place when the replication
mode is closer to the SMR, thus suggesting that GR may provide viral strands with
an increased robustness against degradation. This transcritical bifurcation,
which is responsible for the switching from an active to an absorbing regime,
suggests a smooth (i.e. second-order), absorbing-state phase transition. Finally,
we also analyse a simplified model that only incorporates asymmetry in
replication tied to differential replication modes.
PMID- 21900319
TI - Biomaterial strategies for alleviation of myocardial infarction.
AB - World Health Organization estimated that heart failure initiated by coronary
artery disease and myocardial infarction (MI) leads to 29 per cent of deaths
worldwide. Heart failure is one of the leading causes of death in industrialized
countries and is expected to become a global epidemic within the twenty-first
century. MI, the main cause of heart failure, leads to a loss of cardiac tissue
impairment of left ventricular function. The damaged left ventricle undergoes
progressive 'remodelling' and chamber dilation, with myocyte slippage and
fibroblast proliferation. Repair of diseased myocardium with in vitro-engineered
cardiac muscle patch/injectable biopolymers with cells may become a viable option
for heart failure patients. These events reflect an apparent lack of effective
intrinsic mechanism for myocardial repair and regeneration. Motivated by the
desire to develop minimally invasive procedures, the last 10 years observed
growing efforts to develop injectable biomaterials with and without cells to
treat cardiac failure. Biomaterials evaluated include alginate, fibrin, collagen,
chitosan, self-assembling peptides, biopolymers and a range of synthetic
hydrogels. The ultimate goal in therapeutic cardiac tissue engineering is to
generate biocompatible, non-immunogenic heart muscle with morphological and
functional properties similar to natural myocardium to repair MI. This review
summarizes the properties of biomaterial substrates having sufficient mechanical
stability, which stimulates the native collagen fibril structure for
differentiating pluripotent stem cells and mesenchymal stem cells into
cardiomyocytes for cardiac tissue engineering.
PMID- 21900321
TI - Mechanically induced structural changes during dynamic compression of engineered
cartilaginous constructs can potentially explain increases in bulk mechanical
properties.
AB - Several studies on chondrocyte-seeded hydrogels in bioreactor culture report
increased mechanical properties of mechanically loaded constructs compared with
unloaded free swelling controls despite no significant differences in biochemical
composition. One possible explanation is that changes in the collagen
architecture of dynamically compressed constructs lead to improved mechanical
properties. Collagen molecules are incorporated locally into the extracellular
matrix with individual stress-free configurations and orientations. In this
study, we computationally investigated possible influences of loading on the
collagen architecture in chondrocyte-seeded hydrogels and their resulting
mechanical properties. Both the collagen orientation and its stress-free
configuration were hypothesized to depend on the local mechanical environment.
Reorientation of the collagen network alone in response to dynamic compression
leads to a prediction of constructs with lower compressive properties. In
contrast, remodelling of the stress-free configuration of the collagen fibres was
predicted to result in a more compacted tissue with higher swelling pressures and
an altered pre-stressed state within the collagen network. Combining both
mechanisms resulted in predictions of construct geometry and mechanical
properties in agreement with experimental observations. This study provides
support for the hypothesis that structural changes to the collagen network
contribute to the enhanced mechanical properties of cartilaginous tissues
engineered in bioreactors.
PMID- 21900322
TI - The annual cycle of a trans-equatorial Eurasian-African passerine migrant:
different spatio-temporal strategies for autumn and spring migration.
AB - The small size of the billions of migrating songbirds commuting between temperate
breeding sites and the tropics has long prevented the study of the largest part
of their annual cycle outside the breeding grounds. Using light-level loggers
(geolocators), we recorded the entire annual migratory cycle of the red-backed
shrike Lanius collurio, a trans-equatorial Eurasian-African passerine migrant. We
tested differences between autumn and spring migration for nine individuals.
Duration of migration between breeding and winter sites was significantly longer
in autumn (average 96 days) when compared with spring (63 days). This difference
was explained by much longer staging periods during autumn (71 days) than spring
(9 days). Between staging periods, the birds travelled faster during autumn (356
km d(-1)) than during spring (233 km d(-1)). All birds made a protracted stop (53
days) in Sahelian sub-Sahara on southbound migration. The birds performed a
distinct loop migration (22 000 km) where spring distance, including a detour
across the Arabian Peninsula, exceeded the autumn distance by 22 per cent.
Geographical scatter between routes was particularly narrow in spring, with
navigational convergence towards the crossing point from Africa to the Arabian
Peninsula. Temporal variation between individuals was relatively constant, while
different individuals tended to be consistently early or late at different
departure/arrival occasions during the annual cycle. These results demonstrate
the existence of fundamentally different spatio-temporal migration strategies
used by the birds during autumn and spring migration, and that songbirds may rely
on distinct staging areas for completion of their annual cycle, suggesting more
sophisticated endogenous control mechanisms than merely clock-and-compass
guidance among terrestrial solitary migrants. After a century with metal-ringing,
year-round tracking of long-distance migratory songbirds promises further
insights into bird migration.
PMID- 21900323
TI - Separable temporal metrics for time perception and anticipatory actions.
AB - Reliable estimates of time are essential for initiating interceptive actions at
the right moment. However, our sense of time is surprisingly fallible. For
instance, time perception can be distorted by prolonged exposure (adaptation) to
movement. Here, we make use of this to determine if time perception and
anticipatory actions rely on the same or on different temporal metrics.
Consistent with previous reports, we find that the apparent duration of movement
is mitigated by adaptation to more rapid motion, but is unchanged by adaptation
to slower movement. By contrast, we find symmetrical effects of motion-adaptation
on the timing of anticipatory interceptive actions, which are paralleled by
changes in perceived speed for the adapted direction of motion. Our data thus
reveal that anticipatory actions and perceived duration rely on different
temporal metrics.
PMID- 21900324
TI - A large population of king crabs in Palmer Deep on the west Antarctic Peninsula
shelf and potential invasive impacts.
AB - Lithodid crabs (and other skeleton-crushing predators) may have been excluded
from cold Antarctic continental shelf waters for more than 14 Myr. The west
Antarctic Peninsula shelf is warming rapidly and has been hypothesized to be soon
invaded by lithodids. A remotely operated vehicle survey in Palmer Deep, a basin
120 km onto the Antarctic shelf, revealed a large, reproductive population of
lithodids, providing the first evidence that king crabs have crossed the
Antarctic shelf. DNA sequencing and morphology indicate the lithodid is
Neolithodes yaldwyni Ahyong & Dawson, previously reported only from Ross Sea
waters. We estimate a N. yaldwyni population density of 10 600 km(-2) and a
population size of 1.55 * 10(6) in Palmer Deep, a density similar to lithodid
populations of commercial interest around Alaska and South Georgia. The lithodid
occurred at depths of more than 850 m and temperatures of more than 1.4 degrees C
in Palmer Deep, and was not found in extensive surveys of the colder shelf at
depths of 430-725 m. Where N. yaldwyni occurred, crab traces were abundant,
megafaunal diversity reduced and echinoderms absent, suggesting that the crabs
have major ecological impacts. Antarctic Peninsula shelf waters are warming at
approximately 0.01 degrees C yr(-1); if N. yaldwyni is currently limited by cold
temperatures, it could spread up onto the shelf (400-600 m depths) within 1-2
decades. The Palmer Deep N. yaldwyni population provides an important model for
the potential invasive impacts of crushing predators on vulnerable Antarctic
shelf ecosystems.
PMID- 21900325
TI - Comparative multi-locus phylogeography confirms multiple vicariance events in co
distributed rainforest frogs.
AB - Though Pleistocene refugia are frequently cited as drivers of species
diversification, comparisons of molecular divergence among sister species
typically indicate a continuum of divergence times from the Late Miocene, rather
than a clear pulse of speciation events at the Last Glacial Maximum. Community
scale inference methods that explicitly test for multiple vicariance events, and
account for differences in ancestral effective population size and gene flow, are
well suited for detecting heterogeneity of species' responses to past climate
fluctuations. We apply this approach to multi-locus sequence data from five co
distributed frog species endemic to the Wet Tropics rainforests of northeast
Australia. Our results demonstrate at least two episodes of vicariance owing to
climate-driven forest contractions: one in the Early Pleistocene and the other
considerably older. Understanding how repeated cycles of rainforest contraction
and expansion differentially affected lineage divergence among co-distributed
species provides a framework for identifying evolutionary processes that underlie
population divergence and speciation.
PMID- 21900326
TI - Mitochondrial DNA data indicate an introduction through Mainland Southeast Asia
for Australian dingoes and Polynesian domestic dogs.
AB - In the late stages of the global dispersal of dogs, dingoes appear in the
Australian archaeological record 3500 years BP, and dogs were one of three
domesticates brought with the colonization of Polynesia, but the introduction
routes to this region remain unknown. This also relates to questions about human
history, such as to what extent the Polynesian culture was introduced with the
Austronesian expansion from Taiwan or adopted en route, and whether pre-Neolithic
Australia was culturally influenced by the surrounding Neolithic world. We
investigate these questions by mapping the distribution of the mtDNA founder
haplotypes for dingoes (A29) and ancient Polynesian dogs (Arc1 and Arc2) in
samples across Southern East Asia (n = 424) and Island Southeast Asia (n = 219).
All three haplotypes were found in South China, Mainland Southeast Asia and
Indonesia but absent in Taiwan and the Philippines, and the mtDNA diversity among
dingoes indicates an introduction to Australia 4600-18 300 years BP. These
results suggest that Australian dingoes and Polynesian dogs originate from dogs
introduced to Indonesia via Mainland Southeast Asia before the Neolithic, and not
from Taiwan together with the Austronesian expansion. This underscores the
complex origins of Polynesian culture and the isolation from Neolithic influence
of the pre-Neolithic Australian culture.
PMID- 21900327
TI - Construction of energy landscapes can clarify the movement and distribution of
foraging animals.
AB - Variation in the physical characteristics of the environment should impact the
movement energetics of animals. Although cognizance of this may help interpret
movement ecology, determination of the landscape-dependent energy expenditure of
wild animals is problematic. We used accelerometers in animal-attached tags to
derive energy expenditure in 54 free-living imperial cormorants Phalacrocorax
atriceps and construct an energy landscape of the area around a breeding colony.
Examination of the space use of a further 74 birds over 4 years showed that
foraging areas selected varied considerably in distance from the colony and water
depth, but were characterized by minimal power requirements compared with other
areas in the available landscape. This accords with classic optimal foraging
concepts, which state that animals should maximize net energy gain by minimizing
costs where possible and show how deriving energy landscapes can help understand
how and why animals distribute themselves in space.
PMID- 21900328
TI - The role of matrix proteins in the control of nacreous layer deposition during
pearl formation.
AB - To study the function of pearl oyster matrix proteins in nacreous layer
biomineralization in vivo, we examined the deposition on pearl nuclei and the
expression of matrix protein genes in the pearl sac during the early stage of
pearl formation. We found that the process of pearl formation involves two
consecutive stages: (i) irregular calcium carbonate (CaCO(3)) deposition on the
bare nucleus and (ii) CaCO(3) deposition that becomes more and more regular until
the mature nacreous layer has formed on the nucleus. The low-expression level of
matrix proteins in the pearl sac during periods of irregular CaCO(3) deposition
suggests that deposition may not be controlled by the organic matrix during this
stage of the process. However, significant expression of matrix proteins in the
pearl sac was detected by day 30-35 after implantation. On day 30, a thin layer
of CaCO(3), which we believe was amorphous CaCO(3), covered large aragonites. By
day 35, the nacreous layer had formed. The whole process is similar to that
observed in shells, and the temporal expression of matrix protein genes indicated
that their bioactivities were crucial for pearl development. Matrix proteins
controlled the crystal phase, shape, size, nucleation and aggregation of CaCO(3)
crystals.
PMID- 21900330
TI - Multiple cenozoic invasions of Africa by penguins (Aves, Sphenisciformes).
AB - Africa hosts a single breeding species of penguin today, yet the fossil record
indicates that a diverse array of now-extinct taxa once inhabited southern
African coastlines. Here, we show that the African penguin fauna had a complex
history involving multiple dispersals and extinctions. Phylogenetic analyses and
biogeographic reconstructions incorporating new fossil material indicate that,
contrary to previous hypotheses, the four Early Pliocene African penguin species
do not represent an endemic radiation or direct ancestors of the living
Spheniscus demersus (blackfooted penguin). A minimum of three dispersals to
Africa, probably assisted by the eastward-flowing Antarctic Circumpolar and South
Atlantic currents, occurred during the Late Cenozoic. As regional sea-level fall
eliminated islands and reduced offshore breeding areas during the Pliocene, all
but one penguin lineage ended in extinction, resulting in today's depleted fauna.
PMID- 21900329
TI - Is titin a 'winding filament'? A new twist on muscle contraction.
AB - Recent studies have demonstrated a role for the elastic protein titin in active
muscle, but the mechanisms by which titin plays this role remain to be
elucidated. In active muscle, Ca(2+)-binding has been shown to increase titin
stiffness, but the observed increase is too small to explain the increased
stiffness of parallel elastic elements upon muscle activation. We propose a
'winding filament' mechanism for titin's role in active muscle. First, we
hypothesize that Ca(2+)-dependent binding of titin's N2A region to thin filaments
increases titin stiffness by preventing low-force straightening of proximal
immunoglobulin domains that occurs during passive stretch. This mechanism
explains the difference in length dependence of force between skeletal myofibrils
and cardiac myocytes. Second, we hypothesize that cross-bridges serve not only as
motors that pull thin filaments towards the M-line, but also as rotors that wind
titin on the thin filaments, storing elastic potential energy in PEVK during
force development and active stretch. Energy stored during force development can
be recovered during active shortening. The winding filament hypothesis accounts
for force enhancement during stretch and force depression during shortening, and
provides testable predictions that will encourage new directions for research on
mechanisms of muscle contraction.
PMID- 21900331
TI - Technique of recanalization of long-segment flush superior mesenteric artery
occlusions.
AB - PURPOSE: To describe technical tips for recanalization of long-segment flush
superior mesenteric artery (SMA) occlusions. TECHNIQUE: Ultrasound-guided left
brachial artery access was gained in 2 patients with a 7F 90-cm sheath being
advanced to the supraceliac aorta. The SMA stump was visualized using a selective
inferior mesenteric artery (IMA) catheterization via femoral approach. A
combination of a 7F 100-cm Multipurpose (MPA) guide and a 5F 125-cm MPA catheter
was utilized to provide support for selective catheterization. Subsequently, a
0.018-inch wire and catheter were advanced crossing the area of occlusion.
Predilatation was performed, followed by placement of covered stent. Both
patients had uncomplicated course and resolution of symptoms. CONCLUSION: Flush
SMA occlusions are challenging lesions but may be treated by antegrade
percutaneous recanalization with good results. Technical aspects that facilitate
recanalization include brachial approach, use of a stiff system (sheath, guide,
and catheter) and concomitant injection to facilitate visualization of the SMA
stump.
PMID- 21900332
TI - Transradial access for renal artery intervention is feasible and safe.
AB - BACKGROUND: Transradial access for coronary intervention is associated with
reduced access complications compared with transfemoral. Transradial access for
renal artery intervention has been less well studied. Safety compared with
transfemoral access is undetermined. METHODS AND RESULTS: We evaluated the
feasibility of transradial renal intervention (n = 11 patients) and compared
safety parameters with a matched group of transfemoral controls (n = 44). All
transradial interventions were successful with no complications. Femoral
crossover was required in one due to insufficient guide length. Compared with
transfemoral, there were fewer access complications in the transradial group (0
of 11 vs 3 of 44, P = .06) but no differences in fluoroscopy time or contrast
volumes (25.3 +/- 14.4 vs 29.0 +/- 25.1 minutes, P = .47; 83.0 +/- 43.7 vs 82.6
+/- 35.2 cc, P = .97). At follow-up (median 6 months), radial patency was 100%.
Creatinine and systolic blood pressure had decreased (mean 1.4 +/- 0.5 to 1.2 +/-
0.5, P = .06; 160 +/- 25 to 135 +/- 17, P = .009). CONCLUSIONS: Elective
transradial renal intervention is feasible and safe. Radial-renal distance is a
limitation with available guides.
PMID- 21900333
TI - Uterine transplantation research: laboratory protocols for clinical application.
AB - The aim of this review is to summarize the state-of the-art methods that are used
in clinical organ transplantation today, as well as the major findings of recent
experimental uterus transplantation (UTx) research regarding organ
donation/retrieval, ischemic preservation, surgical techniques for anastomosis,
immunosuppression and pregnancy. Absolute uterine factor infertility lacks
treatment despite the major developments in infertility treatment and assisted
reproduction. Concerning uterine factor infertile patients, genetic motherhood is
only possible through gestational surrogacy. The latter can pose medical, ethical
and legal concerns such as lack of control of life habits during surrogate
pregnancy, economic motives for women to become surrogate mothers,
medical/psychological pregnancy-related risks of the surrogate mother and
uncertainties regarding the mother definition. Thus, surrogacy is non-approved in
large parts of the world. Recent advances in the field of solid organ
transplantation and experimental UTx provide a favourable and safe background in
a scenario in which a human clinical UTx trial can take place. Protocols based on
animal research over the last decade are described with a view to providing a
scientifically guided approach to human UTx as an experimental procedure in the
future.
PMID- 21900334
TI - Evaluation of a completely automated tissue-sectioning machine for paraffin
blocks.
AB - Tissue-sectioning automation can be a resourceful tool in processing anatomical
pathology specimens. The advantages of an automated system compared with
traditional manual sectioning are the invariable thickness, uniform orientation
and fewer tissue-sectioning artefacts. This short report presents the design of
an automated tissue-sectioning device and compares the sectioned specimens with
normal manual tissue sectioning performed by an experienced histology technician.
The automated system was easy to use, safe and the sectioned material showed
acceptable quality with well-preserved morphology and tissue antigenicity. It is
expected that the turnaround time will be improved in the near future.
PMID- 21900335
TI - Communication and culture: predictors of treatment adherence among Mexican
immigrant patients.
AB - This study examined communication and culture in clinicians' interactions with
Mexican immigrants in Texas. Specifically, we explore the unique interplay among
levels of acculturation, beliefs about culturally-based medical practices,
perceptions of medical encounters, and the likelihood of adherence to medical
therapy recommendations from US providers. Results suggest that: (a)
acculturation predicts perceptions of out-group membership in the patient
provider interaction; (b) beliefs about complementary and alternative medicine
are negatively related to medical adherence; (c) quality of care mediates the
relationship between physician accommodation and medical adherence; and (d) there
exists a complex relationship between out-group perceptions and adherence.
PMID- 21900336
TI - Editorial: guidance for submitting and reviewing case reports and series in the
Journal of Pediatric Psychology.
PMID- 21900338
TI - Getting inside the black box of health promotion programmes using intervention
Mapping.
PMID- 21900339
TI - When is patient self-management of chronic disease futile?
PMID- 21900340
TI - Strategies for successful rapid trials of influenza vaccine.
AB - BACKGROUND: In contrast to the gradual pace of conventional vaccine trials,
evaluation of influenza vaccines often must be accelerated for use in a pandemic
or for annual re-licensure. Descriptions of how best to design studies for rapid
completion are few. PURPOSE: In August, 2010, we conducted a rapid trial with a
seasonal influenza vaccine for 2010-2011 given to persons vaccinated with an
adjuvanted H1N1 vaccine in 2009, to determine whether re-exposure to the
H1N1(2009) component of the seasonal vaccine would cause increased reactions. We
describe the strategies that we believe were responsible for success in meeting
the desired timeline. METHODS: The key means for expediting the study were: use
of a few experienced, well-staffed centers; efficient completion of
administrative approvals; advance recruitment of volunteers; synchronized start
among centers with rapid completion (<=1 week) of first visits; rapid data
assembly via the Internet; and a well-prepared data analysis plan. We chose to
use a randomized, blinded, cross-over design to allow estimation of vaccine
attributable adverse event rates, with sufficient power (320 participants) to
detect events occurring at true rates >=1% with >=90% probability. RESULTS:
Planned enrollment numbers, center synchronization, and timelines, including
review by a safety board prior to the cross-over step (second doses), were
achieved. A detailed safety report was delivered to federal health officials just
32 days after study initiation and was used to fine-tune public messaging prior
to the mass vaccination programs across Canada. LIMITATIONS: This aggressive
timeline could not have been met without opportunities for careful planning and
the prior existence of a network of experienced, collaborating trial centers.
CONCLUSIONS: The means used to accelerate this study timeline were successful and
could be used in other urgent situations but the mechanics of collaborative
trials must be well rehearsed as a precondition.
PMID- 21900341
TI - Design and implementation of an audit trail in compliance with US regulations.
AB - BACKGROUND: Audit trails have been used widely to ensure quality of study data
and have been implemented in computerized clinical trials data systems.
Increasingly, there is a need to audit access to study participant identifiable
information to provide assurance that study participant privacy is protected and
confidentiality is maintained. In the United States, several federal regulations
specify how the audit trail function should be implemented. PURPOSE: To describe
the development and implementation of a comprehensive audit trail system that
meets the regulatory requirements of assuring data quality and integrity and
protecting participant privacy and that is also easy to implement and maintain.
METHODS: The audit trail system was designed and developed after we examined
regulatory requirements, data access methods, prevailing application
architecture, and good security practices. RESULTS: Our comprehensive audit trail
system was developed and implemented at the database level using a commercially
available database management software product. It captures both data access and
data changes with the correct user identifier. Documentation of access is
initiated automatically in response to either data retrieval or data change at
the database level. LIMITATIONS: Currently, our system has been implemented only
on one commercial database management system. Although our audit trail algorithm
does not allow for logging aggregate operations, aggregation does not reveal
sensitive private participant information. Careful consideration must be given to
data items selected for monitoring because selection of all data items using our
system can dramatically increase the requirements for computer disk space.
Evaluating the criticality and sensitivity of individual data items selected can
control the storage requirements for clinical trial audit trail records.
CONCLUSIONS: Our audit trail system is capable of logging data access and data
change operations to satisfy regulatory requirements. Our approach is applicable
to virtually any data that can be stored in a relational database.
PMID- 21900342
TI - The utility of collaborative biobanks for cardiovascular research.
AB - Differences between animal and human atherosclerosis have led to the requirement
for clinical data, imaging information and biological material from large numbers
of patients and healthy persons. Where such "biobanks" exist, they have been
fruitful sources for genomewide association, diagnostic accuracy, ethnicity, and
risk stratification cohort studies. In addition once established, they attract
funding for future projects. Biobanks require a network of medical contributors,
secure storage facilities, bioinformatics expertise, database managers, and
ethical working practices to function optimally. There is the opportunity for
collaboration between individual biobanks to further amplify the advantages
afforded.
PMID- 21900343
TI - Rapamycin affects tissue plasminogen activator and plasminogen activator
inhibitor I expression: a potential prothrombotic mechanism of drug-eluting
stents.
AB - Although drug-eluting stents (DESs) can decrease the risk of restenosis, this
benefit is tempered by a possible increased risk of in-stent thrombosis. We
assessed the effects of rapamycin on human umbilical vein endothelial cells
(HUVECs) to identify the alterations in gene expression associated with
thrombosis. Expression of tissue plasminogen activator (t-PA) and plasminogen
activator inhibitor 1 (PAI-1) was assessed in HUVECs treated with rapamycin
(final concentrations: 1, 10, 100, and 1000 ng/mL) for 24 and 48 hours.
Incubation of HUVECs with rapamycin strongly reduced the expression of t-PA in a
concentration-dependant manner (P < .05 to < .01). However, the expression of PAI
1 was induced by rapamycin (P < .05 to < .01). The increase in PAI-1 induction
was up to 3.3-fold. In conclusion, rapamycin inhibited t-PA and induced PAI-1
expression in HUVECs. This effect may contribute to in-stent thrombosis
associated with DESs.
PMID- 21900344
TI - ACOs, PCMHs, and health care reform: nursing's next frontier?
AB - National health reform includes an emphasis on integrated service delivery models
including Accountable Care Organizations and Patient-Centered Medical Homes.
These value-based models of care delivery may offer opportunities for nursing to
advance its patient care and profession. This paper presents four pillars of
health care delivery reform-team-based care; cross-team communication,
coordination and collaboration; infrastructure and technology; and aligned
payment incentives -intended to improve quality of care while reducing cost
increases. Opportunities and challenges for nursing are discussed in this
context.
PMID- 21900345
TI - Cognitive assessment of older people.
PMID- 21900346
TI - The politics of NHS reconfiguration.
PMID- 21900347
TI - Trans fats: chasing a global ban.
PMID- 21900348
TI - Adult and child asylum seekers should be treated with humanity.
PMID- 21900349
TI - Islamic medical association.
PMID- 21900350
TI - Different bodies, different futures.
PMID- 21900351
TI - New NICE guidelines for hypertension.
PMID- 21900352
TI - Sierra Leone's maternal health reforms fail to deliver free treatment, says
Amnesty International.
PMID- 21900353
TI - No trivial pursuit.
PMID- 21900354
TI - Bahraini doctors start hunger strike over arrests and trials in military court.
PMID- 21900355
TI - Evidence is poor that financial incentives in primary care improve patients'
wellbeing, Cochrane review finds.
PMID- 21900356
TI - Early onset of natalizumab-related progressive multifocal leukoencephalopathy.
PMID- 21900358
TI - Waste management and producer responsibility: a score behind: a new ahead.
PMID- 21900357
TI - Modeling familial Alzheimer's disease with induced pluripotent stem cells.
AB - Alzheimer's disease (AD) is the most common form of age-related dementia,
characterized by progressive memory loss and cognitive disturbance. Mutations of
presenilin 1 (PS1) and presenilin 2 (PS2) are causative factors for autosomal
dominant early-onset familial AD (FAD). Induced pluripotent stem cell (iPSC)
technology can be used to model human disorders and provide novel opportunities
to study cellular mechanisms and establish therapeutic strategies against various
diseases, including neurodegenerative diseases. Here we generate iPSCs from
fibroblasts of FAD patients with mutations in PS1 (A246E) and PS2 (N141I), and
characterize the differentiation of these cells into neurons. We find that FAD
iPSC-derived differentiated neurons have increased amyloid beta42 secretion,
recapitulating the molecular pathogenesis of mutant presenilins. Furthermore,
secretion of amyloid beta42 from these neurons sharply responds to gamma
secretase inhibitors and modulators, indicating the potential for identification
and validation of candidate drugs. Our findings demonstrate that the FAD-iPSC
derived neuron is a valid model of AD and provides an innovative strategy for the
study of age-related neurodegenerative diseases.
PMID- 21900360
TI - Does household enrolment reduce adverse selection in a voluntary health insurance
system? Evidence from the Ghanaian National Health Insurance System.
AB - In August 2003, the Ghanaian Government made history by implementing the first
National Health Insurance System (NHIS) in sub-Saharan Africa. Within 2 years,
over one-third of the country had voluntarily enrolled in the NHIS. To discourage
households from selectively enrolling their sickest (high-risk) members, the NHIS
in the Nkoranza district offered premium waivers for all children under 18 in
exchange for full household enrolment. This study aimed to test whether, despite
this incentive, there is evidence suggestive of adverse selection. To accomplish
this, we examined how the observed pay-off from insurance (odds and intensity of
medical consumption) responds to changes in the family enrolment cost. If adverse
selection were present, we would expect the odds and intensity of medical
consumption to increase with family enrolment cost. A number of econometric tests
were conducted using the claims database of the NHIS in Nkoranza. Households with
full enrolment were analysed, for a total of 58 516 individuals from 12 515
households. Our results show that household enrolment cost is not correlated with
(1) odds or intensity of inpatient use or (2) odds of adult outpatient use, and
is weakly correlated with the intensity of outpatient use. We also find that
household enrolment costs are positively correlated with the number of children
in the household and the odds and intensity of outpatient use by children. Thus,
we conclude that the child-premium waiver is an important incentive for household
enrolment. This evidence suggests that adverse selection has effectively been
contained, but not eliminated. We argue that since one of the main objectives of
the NHIS was to increase use of necessary care, especially by children, our
findings indicate a largely favourable policy outcome, but one that may carry
negative financial consequences. Policy makers must balance the fiscal need to
contain costs with the societal objective to cover vulnerable populations.
PMID- 21900361
TI - Retention of female volunteer community health workers in Dhaka urban slums: a
case-control study.
AB - INTRODUCTION: Volunteer community health workers (CHWs) are one approach to
addressing the health workforce shortage in developing countries. BRAC, a large
NGO in Bangladesh, is a pioneer in using female volunteer CHWs as core workers in
its successful health programmes. After 25 years of implementing the CHW model in
rural areas, BRAC is now using CHWs in urban slums of Dhaka through Manoshi, a
community-based maternal and child health project. However, high dropout rates
among CHWs in the slums suggested a need to better understand factors associated
with their retention, and consequently recommend strategies for increasing their
retention. METHODS: This mixed-method study included a case-control design to
assess factors relating to the retention of volunteer CHWs, and focus group
discussions (FGDs) to explore solutions to problems. In total, 542 current and
146 dropout CHWs participated in the survey. Six FGDs were held with groups of
current and groups of dropout CHWs. RESULTS: Financial incentives were the main
factor linked to CHW retention. CHWs who joined with the expectation of income
were almost twice as likely to remain as CHWs. This finding was reinforced by the
inverse association between wealth quintile of the CHWs and retention; the
poorest CHWs were significantly more likely to stay in the programme than the
richest. However, social prestige, community approval and household
responsibilities were important non-financial factors associated with CHW
retention. Restructuring and expansion of existing financial incentives to better
compensate CHWs were recommended by CHWs to improve their retention. CONCLUSIONS:
Factors found to be important in this study are similar to those from earlier
studies in rural areas. While the data indicate that financial incentives are the
most commonly discussed factor regarding CHW retention in urban slums, the
results also suggest other avenues that could be strengthened to improve their
retention.
PMID- 21900362
TI - A new phage-display tumor-homing peptide fused to antiangiogenic peptide
generates a novel bioactive molecule with antimelanoma activity.
AB - Phage-display peptide libraries have been widely used to identify specific
peptides targeting in vivo tumor cells and the tumor vasculature and playing an
important role in the discovery of antitumor bioactive peptides. In the present
work, we identified a new melanoma-homing peptide, (-CVNHPAFAC-), using a C7C
phage-display library directed to the developing tumor in syngeneic mice. Phage
were able to preferentially target melanoma in vivo, with an affinity about 50
fold greater than that with normal tissue, and the respective synthesized peptide
displaced the corresponding phage from the tumor. A preferential binding to
endothelial cells rather than to melanoma cells was seen in cell ELISA,
suggesting that the peptide is directed to the melanoma vasculature. Furthermore,
the peptide was able to bind to human sonic hedgehog, a protein involved in the
development of many types of human cancers. Using a new peptide approach therapy,
we coupled the cyclic peptide to another peptide, HTMYYHHYQHHL-NH(2), a known
antagonist of VEGFR-2 receptor, using the GYG linker. The full peptide
CVNHPAFACGYGHTMYYHHYQHHL-NH(2) was effective in delaying tumor growth (P < 0.05)
and increasing animal survival when injected systemically, whereas a scramble
homing peptide containing the same antagonist did not have any effect. This is
the first report on the synthesis of a tumor-homing peptide coupled to
antiangiogenic peptide as a new anticancer therapeutics.
PMID- 21900363
TI - Physical activity is inversely associated with microalbuminuria in hypertensive
patients at high cardiovascular risk: data from I-SEARCH.
AB - AIMS: Microalbuminuria (MAU) is a marker for endothelial dysfunction and a
predictor of increased cardiovascular risk. Physical activity improves
endothelial function. This analysis aims to explore the impact of regular
physical exercise on the prevalence and the degree of MAU in hypertensive
individuals at high cardiovascular risk. METHODS AND RESULTS: The International
Survey Evaluating microAlbuminuria Routinely by Cardiologists in patients with
Hypertension (I-SEARCH) studied the prevalence of MAU in 20,786 hypertensive
patients at high cardiovascular risk. Herein, we investigated the relationship
between self-reported physical activity and MAU in relation to the number of
cardiovascular risk factors, medication and co-morbidities. A total of 7123
patients (34.3%) performed regular physical exercise (moderate or strenuous, at
least 4 hours per week). The prevalence of MAU was significantly lower in active
than in inactive patients (54% vs 61%; P < 0.0001). This association was observed
in all classes of blood pressure and heart rate and was similar in patients with
and without diabetes mellitus. Urinary albumin excretion (UAE) was lower in
active than in inactive patients (UAE 80 mg/l: 11.6% vs 13.5%, P < 0.0001; UAE
150 mg/l: 7.5% vs 10%; P < 0.0001). In a multivariate analysis adjusted for age,
gender, blood pressure, heart rate, renal function, medication and comorbidities,
regular physical activity was associated with a 25% lower risk for MAU (odds
ratio (OR) 0.75; 95% confidence interval (CI), 0.67-0.84; P < 0.0001). Risk
reduction for MAU was more pronounced in strenuously active (OR 0.66; 95%CI, 0.47
0.95; P < 0.05) than in moderately active patients (OR 0.76; 95%CI, 0.68-0.85; P
< 0.0001). CONCLUSION: In hypertensive patients at high cardiovascular risk,
physical activity is an independent predictor for a decreased risk of
microalbuminuria.
PMID- 21900365
TI - Inflammatory and thrombotic changes in early bereavement: a prospective
evaluation.
AB - AIMS: Although there is an increased cardiovascular risk in the immediate weeks
following bereavement, the mechanism is not well understood. The aim of this
study was to determine whether inflammatory and thrombotic changes were present
in acute bereavement. METHODS AND RESULTS: Eighty bereaved spouses or parents
were prospectively studied within 2 weeks of bereavement (acute) and at 6 months,
and compared to 80 non-bereaved participants. Haemostatic measures were obtained
between 8 a.m. and 11 a.m. and processed within 1 h. Compared to non-bereaved
participants, those acutely bereaved had a higher neutrophil count (4.34 +/- 0.19
vs 3.79 +/- 0.15, p = <0.001), von Willebrand factor antigen (132.33 +/- 3.6 vs
119.95 +/- 3.29, p = 0.02), Factor VIII (1.43 +/- 0.06 vs 1.25 +/- 0.04, p =
0.02) and platelet/granulocyte aggregates (median 383.0 vs 343.5, p = 0.02).
Levels of neutrophils, monocytes, eosinophils, platelet count, platelet/monocyte
granulocytes and von Willebrand factor were lower in bereaved at 6 months
compared to acutely (all p < 0.05). CONCLUSION: Acute bereavement is associated
with inflammatory and prothrombotic changes that may contribute to the increased
cardiovascular risk with bereavement and provide clues for future preventative
strategies.
PMID- 21900366
TI - Prognostic value of high-sensitivity C-reactive protein in a population of post
acute coronary syndrome patients receiving optimal medical treatment.
AB - BACKGROUND: High-sensitivity C-reactive protein (hsCRP) has been reported to have
a prognostic value immediately after acute coronary syndrome (ACS) and to be
associated with the onset of cardiovascular (CV) events in patients with stable
and unstable angina pectoris. AIM: To evaluate whether or not hsCRP levels can be
used to predict future CV events in a prospective study of post-ACS patients
receiving an optimized medical treatment (OMT) secondary-prevention regimen.
METHODS: OMT along with therapeutic and dietary education programmes were started
during the acute phase, then monitored and adjusted as needed at 3 months post
ACS. hsCRP was measured at 3 months after the ACS, and a global evaluation of
atherosclerosis burden and risk factors were also evaluated at this time point.
The study population was divided into tertiles based on their hsCRP value and
followed for CV events. RESULTS: A total of 1202 consecutive patients with hsCRP
<15 mg/l were included in the study, 795 of which were followed for an average of
22 months. LDL-cholesterol, HbA(1c), waist circumference, systolic blood
pressure, metabolic syndrome, tobacco consumption, and atherosclerosis burden
were higher in patients in the second and third tertile of hsCRP (p < 0.001) than
those in the first tertile, at 3 months. hsCRP level was not found to be
associated with recurrence of total CV events (HR 1.29, CI 0.83-2.00) in
univariate analysis. We further examined the effect of adding hsCRP levels to the
Framingham risk evaluation score, and found no significant improvement the C
statistics of the Framingham risk evaluation score. CONCLUSION: hsCRP is
associated with CV risk factors, but is not an independent predictor of future
events in post-ACS patients receiving an OMT secondary-prevention regimen.
PMID- 21900367
TI - Effect of shift work on endothelial function in young cardiology trainees.
AB - BACKGROUND: Long-term shift work (SW) is associated with an increase in
cardiovascular disease (CVD). Previous studies have shown that prolonged SW is
associated with endothelial dysfunction, suggesting that this abnormality may
contribute to the SW-related increase in cardiovascular risk. The immediate
effect of SW on endothelial function in healthy subjects, however, is unknown.
DESIGN: We studied endothelial function and endothelium-independent function in
20 healthy specialty trainees in cardiology at our Institute, without any
cardiovascular risk factor (27.3 +/- 1.9 years, nine males), at two different
times: (1) after a working night (WN), and (2) after a restful night (RN). The
two test sessions were performed in a random sequence. METHODS: Endothelial
function was assessed by measuring brachial artery dilation during post-ischaemic
forearm hyperaemia (flow-mediated dilation, FMD). Endothelium-independent
function in response to 25 ug of sublingual glyceryl trinitrate (nitrate-mediated
dilation, NMD) was also assessed. RESULTS: FMD was 8.02 +/- 1.4% and 8.56 +/-
1.7% after WN and RN, respectively (p = 0.025), whereas NMD was 10.5 +/- 2.1% and
10.4 +/- 2.0% after WN and RN, respectively (p = 0.48). The difference in FMD
between WN and RN was not influenced by the numbers of hours slept during WN (<4
vs >4 hours) and by the duration of involvement of specialty trainees in
nocturnal work (<12 vs >12 months). CONCLUSIONS: Our study shows that in healthy
medical residents, without any cardiovascular risk factor, FMD is slightly
impaired after WN compared to RN. Disruption of physiological circadian neuro
humoral rhythm is likely to be responsible for this adverse vascular effect.
PMID- 21900368
TI - Trends in mortality in patients with systemic sclerosis over 40 years: a
systematic review and meta-analysis of cohort studies.
AB - OBJECTIVE: SSc is known as the most severe connective tissue disorder, and to be
associated with a high mortality risk. Some improvements in therapy for SSc have
been achieved in recent years and some preliminary data have suggested an
improvement in patient survival. Thus, we set out to determine whether mortality
rate in SSc patients has decreased over the past 40 years through a meta-analysis
of cohort studies. METHODS: We performed a systematic review and a meta-analysis
of literature in MEDLINE and Embase databases from January 1960 to June 2010. All
cohort studies reporting on SSc mortality were analysed. We then calculated
pooled standardized mortality ratios (SMRs) of SSc mortality and calculated their
changes over time using meta-regression analysis. RESULTS: Nine studies were
included, corresponding to a total of 2691 SSc patients. The pooled SMR was 3.53
[95% CI 3.03, 4.11, P < 0.0001; I(2 )= 93%, P(het) = 0.001]. Mid-cohort year
ranged from 1977 to 1995 (before 1980: two studies; 1980-90: five studies; and
after 1990: two studies): adjusted meta-regression analysis did not show
significant change in SMR over time (P = 0.523). Among 732 deaths, heart
involvement was the most frequent cause of deaths (29%) followed by lung
involvement. CONCLUSION: Our results confirm that SSc is a devastating condition
as reflected by a pooled SMR of 3.5. Additionally, SMR has not significantly
changed over the past 40 years. Further studies are needed to assess the effect
of the most recent available therapies on mortality in SSc.
PMID- 21900369
TI - IL-6 inhibition and infection: treating patients with tocilizumab.
PMID- 21900370
TI - Inhibition of target detection in apparent motion trajectory.
AB - Letter discrimination performance is degraded when a letter is presented within
an apparent motion (AM) trajectory of a spot. This finding suggests that the
internal representation of AM stimuli can perceptually interact with other
stimuli. In this study, we demonstrated that AM interference could also occur for
pattern detection. We found that target (Gabor patch) detection performance was
degraded within an AM trajectory. Further, this AM interference weakened when the
differences in orientation between the AM stimuli and target became greater. We
also revealed that AM interference occurred for the target with spatiotemporally
intermediate orientations of the inducers that changed their orientation during
AM. In contrast, the differences in phase among the stimuli did not affect the
occurrence of AM interference. These findings suggest that AM stimuli and their
internal representations affect lower visual processes involved in detecting a
pattern in the AM trajectory and that the internal object representation of an AM
stimulus selectively reflects and maintains the stimulus attribute.
PMID- 21900371
TI - The horizontal tuning of face perception relies on the processing of intermediate
and high spatial frequencies.
AB - It was recently shown that expert face perception relies on the extraction of
horizontally oriented visual cues. Picture-plane inversion was found to eliminate
horizontal, suggesting that this tuning contributes to the specificity of face
processing. The present experiments sought to determine the spatial frequency
(SF) scales supporting the horizontal tuning of face perception. Participants
were instructed to match upright and inverted faces that were filtered both in
the frequency and orientation domains. Faces in a pair contained horizontal or
vertical ranges of information in low, middle, or high SF (LSF, MSF, or HSF). Our
findings confirm that upright (but not inverted) face perception is tuned to
horizontal orientation. Horizontal tuning was the most robust in the MSF range,
next in the HSF range, and absent in the LSF range. Moreover, face inversion
selectively disrupted the ability to process horizontal information in MSF and
HSF ranges. This finding was replicated even when task difficulty was equated
across orientation and SF at upright orientation. Our findings suggest that
upright face perception is tuned to horizontally oriented face information
carried by intermediate and high SF bands. They further indicate that inversion
alters the sampling of face information both in the orientation and SF domains.
PMID- 21900372
TI - Spatial updating across saccades during manual interception.
AB - We studied the effect of intervening saccades on the manual interception of a
moving target. Previous studies suggest that stationary reach goals are coded and
updated across saccades in gaze-centered coordinates, but whether this
generalizes to interception is unknown. Subjects (n = 9) reached to manually
intercept a moving target after it was rendered invisible. Subjects either
fixated throughout the trial or made a saccade before reaching (both fixation
points were in the range of -10 degrees to 10 degrees ). Consistent with
previous findings and our control experiment with stationary targets, the
interception errors depended on the direction of the remembered moving goal
relative to the new eye position, as if the target is coded and updated across
the saccade in gaze-centered coordinates. However, our results were also more
variable in that the interception errors for more than half of our subjects also
depended on the goal direction relative to the initial gaze direction. This
suggests that the feedforward transformations for interception differ from those
for stationary targets. Our analyses show that the interception errors reflect a
combination of biases in the (gaze-centered) representation of target motion and
in the transformation of goal information into body-centered coordinates for
action.
PMID- 21900373
TI - Delays in using chromatic and luminance information to correct rapid reaches.
AB - People can use feedback to make online corrections to movements but only if there
is sufficient time to integrate the new information and make the correction. A
key variable in this process is therefore the speed at which the new information
about the target location is coded. Conduction velocities for chromatic signals
are lower than for achromatic signals so it may take longer to correct reaches to
chromatic stimuli. In addition to this delay, the sensorimotor system may prefer
achromatic information over the chromatic information as delayed information may
be less valuable when movements are made under time pressure. A down-weighting of
chromatic information may result in additional latencies for chromatically
directed reaches. In our study, participants made online corrections to reaches
to achromatic, (L-M)-cone, and S-cone stimuli. Our chromatic stimuli were
carefully adjusted to minimize stimulation of achromatic pathways, and we equated
stimuli both in terms of detection thresholds and also by their estimated neural
responses. Similar stimuli were used throughout the subjective adjustments and
final reaching experiment. Using this paradigm, we found that responses to
achromatic stimuli were only slightly faster than responses to (L-M)-cone and S
cone stimuli. We conclude that the sensorimotor system treats chromatic and
achromatic information similarly and that the delayed chromatic responses
primarily reflect early conduction delays.
PMID- 21900374
TI - The health-related quality of life of children with hereditary retinal disorders
and the psychosocial impact on their families.
AB - PURPOSE: Childhood-onset hereditary retinal disorders comprise a group of
visually disabling conditions with variable onset and progression of visual
impairment. Their impact on the health-related quality of life (HRQoL) of
affected individuals, as well as the broader impact on their families has not
been investigated previously. METHODS: In a cross-sectional study, a generic age
appropriate instrument, the PedsQL, was used to assess self-reported HRQoL in a
subsample of a representative group of children with hereditary retinal disorders
and their siblings as well as parental (proxy) assessment of HRQoL of their
affected children. In addition, parents reported the broader impact and effect on
functioning of the family using the PedsQL Family Impact Module. RESULTS:
Affected children (n = 44) reported worse HRQoL than their unaffected siblings (n
= 34) and notably, also worse scores than those reported by children with various
serious chronic systemic disorders. On average, parents assessed their child's
HRQoL to be worse than that self-reported by the child. There was an overall
adverse impact on the family and its functioning, although siblings did not
report impaired HRQoL themselves. CONCLUSIONS: This study demonstrates the
significant impact, on both affected children and their families, of living with
an untreatable, often progressive, and sometimes blinding ophthalmic disorder. It
highlights the importance of support for affected individuals and their families,
which may be targeted through use of generic or vision-related quality-of-life
instruments for children as the latter become more widely available. Assessment
of HRQoL would also be an important outcome measure in clinical trials of novel
therapies for hereditary retinal disorders.
PMID- 21900375
TI - Immunocytochemical and ultrastructural evidence of glial cells and hyalocytes in
internal limiting membrane specimens of idiopathic macular holes.
AB - PURPOSE: To provide new information on epiretinal cell proliferation and the
cells' origin in idiopathic macular holes and to overcome the effects of
embedding and sectioning preparation procedures on cell-distribution patterns.
METHODS: Interference and phase-contrast microscopy, immunocytochemistry, and
scanning and transmission electron microscopy were performed on surgically
excised whole-mounted internal limiting membrane (ILM) specimens removed from 60
eyes with idiopathic macular holes. Cell distribution and cell morphology were
correlated with immunocytochemical staining characteristics. Twelve cell type
specific antibodies were used to detect glial cells, hyalocytes, retinal pigment
epithelial cells, retinal ganglion cells, and immune cells. Cell viability was
analyzed. RESULTS: Epiretinal cell proliferation was found in all ILM specimens,
irrespective of the stage of the macular hole. Cell density showed a broad
variety. Immunocytochemistry frequently revealed simultaneous expression of
GFAP/CD45, GFAP/CD64, GFAP/CD68, GFAP/CRALBP, and GFAP/CD90. Some cells presented
with intracellular contractile filaments (anti-alphaSMA); others were not
immunoreactive to any antibody examined. The percentage of viable cells showed a
broad variety with a mean of 73% (SD 29%). Electron microscopy demonstrated glial
cells, hyalocytes, and myofibroblast-like cells. CONCLUSIONS: The presence of
epiretinal cells at the ILM in all macular hole stages strongly suggests a
substantial involvement of cell migration and proliferation in the course of
macular hole development. Glial cells and hyalocytes play the predominant role in
epiretinal cell proliferation. Given the co-expression of glial cell and
hyalocyte markers, transdifferentiation of epiretinal cells needs further
elucidation, especially with respect to alphaSMA-positive cells leading to
traction at the vitreoretinal interface.
PMID- 21900376
TI - Amelioration of experimental autoimmune uveoretinitis by aldose reductase
inhibition in Lewis rats.
AB - PURPOSE: Recently, the authors showed that the inhibition of aldose reductase
(AR) prevents bacterial endotoxin-induced uveitis in rats. They have now
investigated the efficacy of AR inhibitors in the prevention of experimental
autoimmune-induced uveitis (EAU) in rats. METHODS: Lewis rats were immunized with
bovine interphotoreceptor retinoid-binding peptide (IRBP) to develop EAU. Two or
8 days after immunization, the rats started receiving the AR inhibitor fidarestat
(7 mg/kg/d; intraperitoneally). They were killed when the disease was at its
peak; aqueous humor (AqH) was collected from one eye, and the other eye of each
rat was used for histologic studies. The protein concentration and the levels of
inflammatory markers were determined in AqH. Immunohistochemical analysis of eye
sections was performed to determine the expression of inflammatory markers. The
effect of AR inhibition on immune response was investigated in isolated T
lymphocytes. RESULTS: Immunization of rats by IRBP peptide resulted in a
significant infiltration of leukocytes in the posterior and the anterior chambers
of the eye. Further, EAU caused an increase in the concentration of proteins,
inflammatory cytokines, and chemokines in AqH, and the expression of inflammatory
markers such as inducible-nitric oxide synthase and cycloxygenase-2 in the rat
eye ciliary bodies and retina. Treatment with fidarestat significantly prevented
the EAU-induced ocular inflammatory changes. AR inhibition also prevented the
proliferation of spleen-derived T cells isolated from EAU rats in response to the
IRBP antigen. CONCLUSIONS: These results suggest that AR could be a novel
mediator of bovine IRBP-induced uveitis in rats.
PMID- 21900377
TI - Residual electroretinograms in young Leber congenital amaurosis patients with
mutations of AIPL1.
AB - PURPOSE: To describe in detail the clinical phenotype and electrophysiological
features of three patients with Leber congenital amaurosis caused by mutations of
AIPL1. METHODS: Ophthalmologic examination, color fundus photography, detailed
electrophysiological assessment, and screening of AIPL1 were undertaken in three
subjects. One patient also underwent visual field testing and spectral domain
optical coherence tomography. RESULTS: All three patients, two of whom were
siblings, had histories consistent with Leber congenital amaurosis (severely
reduced vision, poorly responsive pupils, and nystagmus presenting within the
first year of life). However, each patient had recordable and similar
electroretinograms (ERGs), which demonstrated absent cone-driven responses and
slow insensitive scotopic responses. The first patient was found to have a
homozygous Trp278 stop mutation in AIPL1, whereas the siblings were each found to
have novel heterozygous mutations in AIPL1 (Leu17Pro and Lys214Asn). CONCLUSIONS:
Patients with mutations in AIPL1 may present with Leber congenital amaurosis and
residual ERGs characterized by slow insensitive scotopic responses. Such
responses are likely seen only in very young patients and may not be seen with
the typical filter settings recommended by the ISCEV standards because of low
pass filtering. Progressive loss of residual ERG activity in young LCA patients
with AIPL1 mutations suggests that gene replacement therapy will likely have to
be performed early.
PMID- 21900378
TI - Telomere length and telomerase reverse transcriptase gene copy number in patients
with papillary thyroid carcinoma.
AB - CONTEXT: The family risk ratio for papillary thyroid carcinoma (PTC) is among the
highest of all cancers. Collectively, familial cases (fPTC) and sporadic cases
(sPTC) are not known to show molecular differences. However, one study reported
that telomeres were markedly shorter and the telomerase reverse transcriptase
(TERT) gene was amplified and up-regulated in germline DNA from patients with
fPTC compared with sPTC. OBJECTIVE: The aim of this study was to evaluate
telomere length and TERT gene amplification and expression in blood samples of
fPTC and sPTC patients in a genetically distinct population from the previous
study. DESIGN: In 42 fPTC and 65 sPTC patients, quantitative real-time PCR was
employed to measure the relative telomere length (RTL) and TERT gene copy number
and RNA level. To validate the results using alternative methods, we further
studied a subset of the original cohort consisting of randomly chosen fPTC (n =
10) and sPTC (n = 14) patients and controls (n = 21) by assessing both telomere
length by flow fluorescent in situ hybridization and TERT gene expression by
quantitative real-time PCR. RESULTS: RTL and TERT gene copy number did not differ
between fPTC and sPTC (P = 0.957 and P = 0.998, respectively). The mean RTL and
TERT gene expression were not significantly different among the groups of the
validation series (P = 0.169 and P = 0.718, respectively). CONCLUSION: Our data
show no difference between familial and sporadic PTC with respect to telomere
length, TERT copy number, or expression in our cohort. Further investigations in
additional cohorts of patients are desirable.
PMID- 21900379
TI - Circulating clusterin (apolipoprotein J) levels do not have any day/night
variability and are positively associated with total and LDL cholesterol levels
in young healthy individuals.
AB - CONTEXT: Clusterin has been associated with several pathologies, including
cardiovascular disease and neoplasias. However, little is known about its
physiology and its association with metabolic and anthropometric parameters in
humans. OBJECTIVE: The aim of the study was to examine whether circulating
clusterin levels exhibit a day/night variation pattern and whether clusterin is
associated with anthropometric and metabolic parameters. DESIGN: Study A was a
frequent sampling study to evaluate potential periodicity in clusterin secretion.
Study B was an observational study to evaluate the cross-sectional and
prospective associations of clusterin with anthropometric and metabolic
parameters. PARTICIPANTS: Study A participants were healthy males (n = 6) and
females (n = 6), aged 22.3 +/- 3.1 and 22.8 +/- 3.4 yr, respectively. Study B
participants were 186 healthy males aged 18.4 +/- 0.14 yr. Ninety-one of the
study B subjects were studied again 2 yr later and clusterin's associations with
change of anthropometric and metabolic parameters were thus investigated
prospectively. INTERVENTION: Samples in study A were collected every 15 min
during an overnight admission, and subsequently pooled every hour. Samples in
study B were collected during a screening visit. MAIN OUTCOME MEASURE:
Circulating clusterin levels were measured. RESULTS: In study A, spectral domain
and cosinor regression analysis failed to reveal any day/night variation pattern.
In study B, clusterin was positively correlated with total and low-density
lipoprotein cholesterol (r = 0.23, P = 0.002; and r = 0.20, P = 0.005). Baseline
clusterin did not predict change of any anthropometric, biochemical, or metabolic
parameters prospectively. CONCLUSIONS: We report for the first time that
circulating clusterin does not have a day/night variation pattern in healthy
young individuals. Clusterin levels are associated with total and low-density
lipoprotein cholesterol cross-sectionally but do not predict short-term changes
in metabolic parameters in healthy young males.
PMID- 21900380
TI - Postnatal developmental changes in the pituitary-ovarian axis in preterm and term
infant girls.
AB - CONTEXT: Postnatal pituitary-testicular activation in infant boys is well
characterized. However, the ovarian response to pituitary activation in infancy
is less well understood. OBJECTIVE: The aim of the study was to compare postnatal
developmental changes in the pituitary-ovarian axis in preterm and term infant
girls. PARTICIPANTS AND DESIGN: Sixty-three infant girls, divided into three
groups according to gestational age (GA) [i.e. full term (FT; n = 29; GA, 37-42
wk), near term (NT; n = 17; GA, 34-37 wk), and preterm (PT; n = 17; GA, 24-34
wk)] were examined monthly from 1 wk (D7) to 6 months (M1-M6) of age and
reexamined at the corrected age of 14 months (cM14). MAIN OUTCOME MEASURES: We
performed a longitudinal follow-up of urinary FSH and serum anti-Mullerian
hormone (AMH) levels and the number of follicles in transabdominal ovarian
ultrasonography. RESULTS: The postnatal FSH surge was stronger and more prolonged
in NT and PT girls than in FT girls (P <= 0.001). Increased folliculogenesis and
a rise in AMH levels were observed in all three groups after the FSH surge. In NT
and PT girls, follicular development was delayed in comparison with FT girls, and
a decrease in high FSH levels around the 40th postmenstrual week was temporally
associated with the appearance of antral follicles in ultrasonography and an
increase in AMH levels. CONCLUSIONS: The postnatal FSH surge results in transient
ovarian stimulation in term and preterm girls. A delay in ovarian
folliculogenesis shown in ovarian ultrasonography and by low serum AMH levels may
provide an explanation for the exaggerated FSH surge in NT and PT girls.
PMID- 21900381
TI - Weight beneficial treatments for type 2 diabetes.
AB - CONTEXT: The close link between type 2 diabetes and excess body weight highlights
the need to consider the weight effects of different treatment regimens. We
examine the impact of "weight-friendly" type 2 diabetes pharmacotherapies and
suggest treatment strategies that mitigate weight gain. EVIDENCE ACQUISITION:
Evidence was identified via PubMed search by class and agent and in
bibliographies of review articles, with final articles for inclusion selected by
author consensus. EVIDENCE SYNTHESIS: Substantial evidence confirms the weight
benefits of metformin and shows that, of the newer available agents, glucagon
like peptide-1 (GLP-1) agonists and amylin analogs promote weight loss.
Dipeptidyl peptidase-4 (DPP-4) inhibitors and bile acid sequestrants are weight
neutral. Liraglutide and exenatide appear to have similar effects on weight;
however, recent research suggests a potentially greater effect of liraglutide on
glycemic control compared to exenatide, when used as a second-line therapy.
Mounting evidence suggests that insulin detemir may provide the most favorable
weight benefits of available insulins. CONCLUSIONS: Weight-beneficial agents
should be considered in patients, particularly obese patients, who fail to reach
glycemic targets on metformin therapy. We propose the following treatment choices
based on potential weight benefit and blood glucose increment: long-acting GLP-1
agonists (liraglutide), DPP-4 inhibitors, bile acid sequestrants, amylin analogs,
and basal insulin for patients with elevated fasting plasma glucose; and short
acting (exenatide) or long-acting GLP-1 agonists, amylin analogs, DPP-4
inhibitors, acarbose, and bile acid sequestrants for patients with elevated
postprandial glucose. The weight-sparing effects of insulin detemir, notably in
patients with high body mass index, should also be considered when initiating
insulin therapy.
PMID- 21900382
TI - The growth hormone receptor (GHR) c.899dupC mutation functions as a dominant
negative: insights into the pathophysiology of intracellular GHR defects.
AB - CONTEXT: GH insensitivity (GHI) is a condition characterized by pronounced IGF-I
deficiency and severe short stature. We previously identified a novel compound
heterozygous GH receptor (GHR) mutation, GHR:p.R229H/c.899dupC, in a patient
presenting with GHI. The heterozygous p.R229H (prepeptide) variant was previously
associated with GHI despite a lack of adequate functional studies. The novel
heterozygous GHR:c.899dupC variant affects the critical JAK2-binding Box 1 region
of the GHR intracellular domain; the duplication predicted a frameshift and early
protein termination. OBJECTIVE: The individual and synergistic effect(s) of the
p.R229H and c.899dupC mutations on GHR function(s) were evaluated in
reconstitution studies. RESULTS: The recombinant human GHR (hGHR):p.R229H variant
was readily expressed, and unexpectedly, GH-induced signal transducer and
activator of transcription 5b (STAT5b) phosphorylation was comparable to that
induced by wild-type hGHR. The truncated, immunodetected hGHR:c.899dupC variant,
in contrast, was unresponsive to GH. To mimic a compound heterozygous state, the
two variants were coexpressed, and strikingly, the presence of the hGHR:c.899dupC
effectively abolished the GH-induced STAT5b activities that were observed with
hGHR:p.R229H alone. Furthermore, hGHR:c.899dupC dose-dependently reduced the GH
induced STAT5b activities associated with hGHR:p.R229H. This dominant negative
effect was also observed when hGHR:c.899dupC was coexpressed with wild-type hGHR.
CONCLUSION: The p.R229H variant, contrary to an earlier report, appeared to
function like wild-type GHR and, therefore, is unlikely to cause GHI. The
c.899dupC variant is a novel dominant negative mutation that disrupted normal GHR
signaling and is the cause for the GHI phenotype of the reported patient.
PMID- 21900383
TI - Molecular basis of thyroid dyshormonogenesis: genetic screening in population
based Japanese patients.
AB - CONTEXT: Inborn errors of thyroid hormone biosynthesis are collectively referred
to as thyroid dyshormonogenesis (DH). Seven genes have been implicated in DH,
including the dual oxidase 2 gene (DUOX2), the thyroglobulin gene (TG), and the
thyroid peroxidase gene (TPO). OBJECTIVE: We aimed to define the prevalence and
phenotypic spectrum of DH with single gene mutations. SUBJECTS AND METHODS: A
population-based cohort of 102 patients with permanent congenital hypothyroidism
was enrolled. Fourteen were diagnosed as DH and were analyzed for the seven
causative genes including DUOX2, TG, and TPO. Several common mutations were
screened in the remaining 88 patients. Pathogenicity of single amino acid
mutations was verified in vitro. RESULTS: We identified four, five, and two
patients with seemingly biallelic mutations in DUOX2, TG, and TPO, respectively.
We also found two patients having one heterozygous DUOX2 mutation and one
uncommon single-nucleotide polymorphism (SNP) p.H678R (rs57659670, allele
frequency 0.035) and another two patients with homozygous p.H678R. Expression
experiments and RT-PCR revealed that p.H678R is a functional SNP with theoretical
40% loss of function, supporting a role of p.H678R in the onset of DH. As for
clinical phenotypes, patients with inactive DUOX2 alleles (mutations and/or
p.H678R) showed characteristic time-dependent improvement of thyroid function and
morphology. All three evaluated patients had a negative result in the perchlorate
test. CONCLUSIONS: Mutations (or a functional SNP) in DUOX2, TG, or TPO were
observed in 93% (95% confidence interval = 70-99%) of DH patients. Inactive DUOX2
alleles cause a broader phenotypic spectrum than currently accepted.
PMID- 21900384
TI - Proximal promoter of the cytochrome P450 oxidoreductase gene: identification of
microdeletions involving the untranslated exon 1 and critical function of the SP1
binding sites.
AB - CONTEXT: POR (cytochrome P450 oxidoreductase) is a ubiquitously expressed gene
encoding an electron donor to all microsomal P450 enzymes and several non-P450
enzymes. POR mutations cause an autosomal recessive disorder characterized by
skeletal dysplasia, adrenal dysfunction, and disorders of sex development.
Although recent studies have indicated the presence of a CpG-rich region
characteristic of housekeeping genes around the untranslated exon 1 (exon 1U) and
a tropic effect of thyroid hormone on POR expression via thyroid hormone receptor
beta, detailed regulatory mechanisms for the POR expression remain to be
clarified. OBJECTIVE: Our objective was to report a pivotal element of the
proximal promoter of POR. RESULTS: We first studied three patients (cases 1-3)
with POR deficiency due to compound heterozygosity with an p.R457H mutation and
transcription failure of an apparently normal allele, by oligoarray comparative
genomic hybridization and serial direct sequencing of the deletion fusion points.
Consequently, a 2,487-bp microdeletion involving exon 1U was identified in case 1
and an identical 49,604-bp deletion involving exon 1U and exon 1 was found in
cases 2 and 3. We next analyzed the 2,487-bp region commonly deleted in cases 1-3
by in silico analysis, DNA binding analysis, luciferase assays, and methylation
analysis. The results showed a critical function of the evolutionally conserved
SP1 binding sites just upstream of exon 1U, especially the binding site at the
position -26/-17, in the transcription of POR. CONCLUSIONS: The results suggest
that the SP1 binding sites constitute an essential element of the POR proximal
promoter.
PMID- 21900385
TI - Pancreatic ductal and acinar cell neoplasms in Carney complex: a possible new
association.
AB - CONTEXT: Carney complex (CNC) is a rare disease inherited as an autosomal
dominant trait, associated with various tumors, and caused most frequently by
inactivation of the PRKAR1A gene. OBJECTIVES: In our recent investigation of a
large cohort of CNC patients, we identified several cases of pancreatic
neoplasms. This possible association and PRKAR1A's possible involvement in
pancreatic tumor have not been reported previously. PATIENTS AND METHODS: Nine
patients (2.5%) with CNC and pancreatic neoplasms in an international cohort of
354 CNC patients were identified; we studied six of them. Immunohistochemistry
and PRKAR1A sequencing were obtained. RESULTS: Three men and three women with a
mean age of 49 yr (range 34-75 yr) had acinar cell carcinoma (n = 2),
adenocarcinoma (n = 1), and intraductal pancreatic mucinous neoplasm (n = 3).
Five patients had a germline PRKAR1A mutation, including two patients with acinar
cell carcinoma, for whom mutations were found in a hemizygous state in the tumor,
suggesting loss of heterozygosity. PRKAR1A expression was not detected in five of
the six pancreatic neoplasms from CNC patients, whereas the protein was amply
expressed on other sporadic pancreatic tumors and normal tissue. CONCLUSION: An
unexpectedly high prevalence of rare pancreatic tumors was found among CNC
patients. Immunohistochemistry and loss-of-heterozygosity studies suggest that
PRKAR1A could function as a tumor suppressor gene in pancreatic tissue, at least
in the context of CNC. Clinicians taking care of CNC patients should be aware of
the possible association of CNC with a potentially aggressive pancreatic
neoplasm.
PMID- 21900386
TI - The expression and pathophysiological role of osteopontin in Graves' disease.
AB - CONTEXT: Graves' disease (GD) is a common autoimmune disease that affects the
thyroid gland. Its pathogenesis is tightly involved with aberrant proinflammatory
cytokine production. Osteopontin (OPN), an extracellular matrix protein of
pleiotropic properties, has recently been recognized as a potent inflammatory
cytokine in several autoimmune diseases. OBJECTIVE: This study sought to explore
the pathophysiological role of OPN in GD by comparing OPN levels in initial GD
patients and healthy controls. METHODS: Seventy-six patients who met criteria for
initial GD and sixty-five healthy controls were recruited. OPN and other clinical
GD diagnosis parameters were measured. In addition, the coexpression of several
OPN receptors as well as various nuclear factor-kappaB (NF-kappaB) downstream
target genes were examined in peripheral blood mononuclear cells from human
subjects. The effect of OPN on NF-kappaB activation was determined by in vitro
assays. RESULTS: We demonstrated for the first time that the OPN levels are
enhanced in serum from GD patients. OPN levels are strongly associated with
clinical serum parameters for GD diagnosis. The coexpression of selective OPN
receptors and inflammatory response genes was enhanced in peripheral blood
mononuclear cells from GD patients. Furthermore, serum from GD patients activated
NF-kappaB activity in vitro, which was significantly suppressed by OPN monoclonal
antibody abrogation. CONCLUSION: These data indicated a clinical correlation
between serum OPN levels and GD. OPN could affect GD development through NF
kappaB activation and the subsequent changes in inflammatory milieu. OPN could
serve as a novel biomarker for GD as well as a potential target for GD treatment.
PMID- 21900388
TI - beta1-integrin: a potential therapeutic target in the battle against cancer
recurrence.
AB - Primary cancer treatment, involving both local and often systemic adjuvant
therapy, is often successful, especially if the cancer is detected at an early
stage of progression. However, for some patients, the cancer may recur either
locally or as distant metastases, in some cases many years after apparently
successful primary treatment. Significant tumor dormancy has been documented in
several cancers, such as breast, melanoma, and renal cancer. Tumor dormancy has
long been recognized as an important problem in management of cancer patients.
Recent work has clarified biologic aspects of tumor dormancy and has shown that
dormant tumor cells may be resistant to cytotoxic chemotherapy and radiation.
This work has led to recognition of a key role for beta1-integrin in regulating
the switch from a dormant state to active proliferation and metastasis. Here we
discuss the role of beta1-integrin and its signaling partners in regulating the
dormant phenotype. We also consider possible therapeutic approaches, such as
small molecules or antibodies (ATN-161, volociximab, and JSM6427), directed
against beta1-integrin signaling to target dormant cancer cells and to prevent
metastatic recurrence.
PMID- 21900387
TI - A metabolomic and systems biology perspective on the brain of the fragile X
syndrome mouse model.
AB - Fragile X syndrome (FXS) is the first cause of inherited intellectual disability,
due to the silencing of the X-linked Fragile X Mental Retardation 1 gene encoding
the RNA-binding protein FMRP. While extensive studies have focused on the
cellular and molecular basis of FXS, neither human Fragile X patients nor the
mouse model of FXS--the Fmr1-null mouse--have been profiled systematically at the
metabolic and neurochemical level to provide a complementary perspective on the
current, yet scattered, knowledge of FXS. Using proton high-resolution magic
angle spinning nuclear magnetic resonance ((1)H HR-MAS NMR)-based metabolic
profiling, we have identified a metabolic signature and biomarkers associated
with FXS in various brain regions of Fmr1-deficient mice. Our study highlights
for the first time that Fmr1 gene inactivation has profound, albeit coordinated
consequences in brain metabolism leading to alterations in: (1) neurotransmitter
levels, (2) osmoregulation, (3) energy metabolism, and (4) oxidative stress
response. To functionally connect Fmr1-deficiency to its metabolic biomarkers, we
derived a functional interaction network based on the existing knowledge
(literature and databases) and show that the FXS metabolic response is initiated
by distinct mRNA targets and proteins interacting with FMRP, and then relayed by
numerous regulatory proteins. This novel "integrated metabolome and interactome
mapping" (iMIM) approach advantageously unifies novel metabolic findings with
previously unrelated knowledge and highlights the contribution of novel cellular
pathways to the pathophysiology of FXS. These metabolomic and integrative systems
biology strategies will contribute to the development of potential drug targets
and novel therapeutic interventions, which will eventually benefit FXS patients.
PMID- 21900389
TI - Ipilimumab: an anti-CTLA-4 antibody for metastatic melanoma.
AB - Ipilimumab (MDX-010, Yervoy; Bristol-Myers Squibb), a fully human monoclonal
antibody against CTL antigen 4 (CTLA-4), was recently approved by the U.S. Food
and Drug Administration (FDA) for the treatment of metastatic melanoma. In both
early- and late-phase trials, ipilimumab has shown consistent activity against
melanoma. For example, in a randomized phase III trial that enrolled patients
with previously treated metastatic disease, ipilimumab, with or without a peptide
vaccine, improved overall survival: Median overall survival was 10.1 and 10.0
months in the ipilimumab and ipilimumab plus vaccine arms, respectively, versus
6.4 months in the vaccine-alone group (hazard ratio, 0.68; P <= 0.003). Serious
(grade 3-5) immune-related adverse events occurred in 10% to 15% of patients.
Thus, although it provides a clear survival benefit, ipilimumab administration
requires careful patient monitoring and sometimes necessitates treatment with
immune-suppressive therapy. Here, we review the mechanism of action, preclinical
data, and multiple clinical trials that led to FDA approval of ipilimumab for
metastatic melanoma.
PMID- 21900390
TI - Role of BRAF in thyroid oncogenesis.
AB - BRAF, a cytoplasmic serine-threonine protein kinase, plays a critical role in
cell signaling as an activator within the mitogen-activated protein kinase (MAPK)
pathway. The most common BRAF mutation is the V600E transversion, which causes
constitutive kinase activity. This mutation has been found in a multitude of
human cancers, including both papillary thyroid cancer (PTC) and papillary
derived anaplastic thyroid cancer (ATC), in which it initiates follicular cell
transformation. With such a high frequency of BRAF mutations in PTC (44%) and PTC
derived ATC (24%), research in BRAF(V600E) detection for diagnostic purposes has
shown high sensitivity and specificity for tumor cell presence. BRAF(V600E) in
PTC has also provided valuable prognostic information, as its presence has been
correlated with more aggressive and iodine-resistant phenotypes. Such findings
have initiated research in targeting oncogenic BRAF in cancer therapeutics.
Although multiple phase II clinical trials in patients with iodine-refractory
metastatic PTC have shown significant efficacy for sorafenib, a first-generation
BRAF inhibitor, the mechanism by which it mediates its effect remains unclear
because of multiple additional kinase targets of sorafenib. Additionally,
preclinical and clinical studies investigating combination therapy with agents
such as selective (PLX 4032) and potent (BAY 73-4506 and ARQ 736) small-molecule
BRAF inhibitors and MAP/extracellular signal-regulated kinase (ERK) kinase
inhibitors (AZD6244) hold great promise in the treatment of BRAF(V600E) cancers
and may eventually play a powerful role in changing the clinical course of PTC
and ATC.
PMID- 21900391
TI - A novel mutation of HOXA10 in a Chinese woman with a Mullerian duct anomaly.
AB - BACKGROUND: Mullerian duct anomalies consist of a set of congenital structural
malformations that occur when the Mullerian ducts do not develop properly during
embryonic life. Their molecular genetic basis is poorly understood. METHODS: In
this study, we conducted mutation analysis of the HOXA10 gene in a cohort of 109
Chinese women with Mullerian duct anomalies. RESULTS: We identified a novel
mutation (Y57C) in one patient with a didelphic uterus. The mutation affected the
transcriptional regulation capacity of HOXA10. CONCLUSIONS: Our study showed that
mutation of HOXA10 gene may contribute to the development of Mullerian duct
anomalies and confirmed that HOXA10 is an important transcription factor in
reproductive tract development.
PMID- 21900392
TI - Progesterone and spermatozoa: a long-lasting liaison comes to definition.
PMID- 21900393
TI - Catastrophizing: a predictor of persistent pain among women with endometriosis at
1 year.
AB - BACKGROUND: Endometriosis is the most common gynecological diagnosis among women
with chronic pelvic pain, but the underlying mechanisms of endometriosis
associated chronic pelvic pain remain unclear. Therefore, the objective of this
study was to determine the biopsychosocial predictors of pain improvement among
women with endometriosis. METHODS: One hundred and fifteen women who presented
for treatment of endometriosis-associated chronic pelvic pain at a tertiary
referral center at a university-based hospital participated in this prospective
observational study of clinical practice. Participants completed questionnaires
assessing pain, mental health and catastrophizing at entry and 1 year follow-up.
The main outcome measure assessed was the interval change in pain report using
the McGill pain 1uestionnaire. RESULT(S): On average, participants experienced a
37.4% reduction in interval pain (P < 0.001). Adjusted for baseline pain,
nulliparity (P = 0.002) and catastrophizing (P = 0.04) were associated with
decreased probability of interval improvement in pain. Those referred for
physical therapy had less interval pain improvement (P = 0.04). However,
undergoing hysterectomy was a strong predictor of improvement in pain (P =
0.008). CONCLUSION(S): Our study suggests that chronic pain in endometriosis may
be more akin to other idiopathic pain disorders. Specifically, biopsychosocial
variables, such as catastrophizing, play an important role in reported severity.
Further research on biopsychosocial correlates of chronic pelvic pain in
endometriosis is warranted.
PMID- 21900394
TI - Tumor-associated macrophages mediate immunosuppression in the renal cancer
microenvironment by activating the 15-lipoxygenase-2 pathway.
AB - Renal cell carcinoma (RCC), the most common human kidney cancer, is frequently
infiltrated with tumor-associated macrophages (TAM) that can promote malignant
progression. Here, we show that TAMs isolated from human RCC produce substantial
amounts of the proinflammatory chemokine CCL2 and immunosuppressive cytokine IL
10, in addition to enhanced eicosanoid production via an activated 15
lipoxygenase-2 (15-LOX2) pathway. TAMs isolated from RCC tumors had a high 15
LOX2 expression and secreted substantial amounts of 15(S)-hydroxyeicosatetraenoic
acid, its major bioactive lipid product. Inhibition of lipoxygenase activity
significantly reduced production of CCL2 and IL-10 by RCC TAMs. In addition, TAMs
isolated from RCC were capable of inducing in T lymphocytes, the pivotal T
regulatory cell transcription factor forkhead box P3 (FOXP3), and the inhibitory
cytotoxic T-lymphocyte antigen 4 (CTLA-4) coreceptor. However, this TAM-mediated
induction of FOXP3 and CTLA-4 in T cells was independent of lipoxygenase and
could not be reversed by inhibiting lipoxygenase activity. Collectively, our
results show that TAMs, often present in RCCs, display enhanced 15-LOX2 activity
that contributes to RCC-related inflammation, immunosuppression, and malignant
progression. Furthermore, we show that TAMs mediate the development of immune
tolerance through both 15-LOX2-dependent and 15-LOX2-independent pathways. We
propose that manipulating LOX-dependent arachidonic acid metabolism in the tumor
microenvironment could offer new strategies to block cancer-related inflammation
and immune escape in patients with RCC.
PMID- 21900395
TI - IRF-1 expression is essential for natural killer cells to suppress metastasis.
AB - IFN-gamma promotes tumoral immune surveillance, but its involvement in
controlling metastases is less clear. Using a mouse model of pulmonary
metastases, we show that local IFN-gamma treatment inhibits formation of
metastases through its regulation of IRF-1 in tumor cells. IRF-1 is an IFN-gamma
induced transcription factor pivotal in the regulation of infection and
inflammation. IRF-1 blockade abolished the inhibitory effect of IFN-gamma on
tumor metastases, whereas ectopic expression of IRF-1 phenocopied the inhibitory
effects of IFN-gamma. IRF-1 did not affect the survival of tumor cells in the
circulation or their infiltration into lungs, but it was essential to support the
pulmonary attraction and activation of natural killer (NK) cells. Depleting NK
cells from mice abolished the protective effect of IFN-gamma or IRF-1 on
metastases. In addition, cytotoxicity assays revealed that tumor cells expressing
IRF-1 were targeted more effectively by NK cells than IRF-1 nonexpressing tumor
cells. Moreover, NK cells isolated from lungs inoculated with IRF-1-expressing
tumor cells exhibit a greater cytotoxic activity. Mechanistic investigations
revealed that IRF-1-induced NK cell cytotoxicity was independent of perforin and
granzyme B but dependent on the NK cell activating receptor DNAM-1. Taken
together, our findings establish IRF-1 as an essential mediator of the cross-talk
between tumor cells and NK cells that mediate immune surveillance in the
metastatic niche.
PMID- 21900396
TI - Human cytomegalovirus US28 found in glioblastoma promotes an invasive and
angiogenic phenotype.
AB - Human cytomegalovirus (HCMV) infections are seen often in glioblastoma multiforme
(GBM) tumors, but whether the virus contributes to GBM pathogenesis is unclear.
In this study, we explored an oncogenic role for the G-protein-coupled receptor
like protein US28 encoded by HCMV that we found to be expressed widely in human
GBMs. Immunohistochemical and reverse transcriptase PCR approaches established
that US28 was expressed in approximately 60% of human GBM tissues and primary
cultures examined. In either uninfected GBM cells or neural progenitor cells,
thought to be the GBM precursor cells, HCMV infection or US28 overexpression was
sufficient to promote secretion of biologically active VEGF and to activate
multiple cellular kinases that promote glioma growth and invasion, including
phosphorylated STAT3 (p-STAT3) and endothelial nitric oxide synthase (e-NOS).
Consistent with these findings, US28 overexpression increased primary GBM cell
invasion in Matrigel. Notably, this invasive phenotype was further enhanced by
exposure to CCL5/RANTES, a US28 ligand, associated with poor patient outcome in
GBM. Conversely, RNA interference-mediated knockdown of US28 in human glioma
cells persistently infected with HCMV led to an inhibition in VEGF expression and
glioma cell invasion in response to CCL5 stimulation. Analysis of clinical GBM
specimens further revealed that US28 colocalized in situ with several markers of
angiogenesis and inflammation, including VEGF, p-STAT3, COX2, and e-NOS. Taken
together, our results indicate that US28 expression from HCMV contributes to GBM
pathogenesis by inducing an invasive, angiogenic phenotype. In addition, these
findings argue that US28-CCL5 paracrine signaling may contribute to glioma
progression and suggest that targeting US28 may provide therapeutic benefits in
GBM treatment.
PMID- 21900397
TI - STAT3 is necessary for proliferation and survival in colon cancer-initiating
cells.
AB - STAT3 is constitutively activated in colon cancer but its contributions in cancer
initiating cells have not been explored. In this study, we characterized STAT3 in
aldehyde dehydrogenase (ALDH)-positive (ALDH(+)) and CD133-positive (CD133(+))
subpopulations of human colon tumor cells that exhibited more potent tumor
initiating ability than ALDH(-)/CD133(-) cells in tumor xenograft assays in mice.
We found that ALDH(+)/CD133(+) cells expressed higher levels of the active
phosphorylated form of STAT3 than either ALDH(-)/CD133(-) or unfractionated colon
cancer cells. STAT3 inhibition by RNA interference-mediated knockdown or small
molecule inhibitors LLL12 or Stattic blocked downstream target gene expression,
cell viability, and tumorsphere-forming capacity in cancer-initiating cells.
Similarly, treatment of mouse tumor xenografts with STAT3 short hairpin RNA
(shRNA), interleukin 6 shRNA, or LLL12 inhibited tumor growth. Our results
establish that STAT3 is constitutively activated in colon cancer-initiating cells
and that these cells are sensitive to STAT3 inhibition. These findings establish
a powerful rationale to develop STAT3 inhibitory strategies for treating advanced
colorectal cancers.
PMID- 21900398
TI - From mice and men to earth and space: joint NASA-NCI workshop on lung cancer risk
resulting from space and terrestrial radiation.
AB - On June 27-28, 2011, scientists from the National Cancer Institute (NCI), NASA,
and academia met in Bethesda to discuss major lung cancer issues confronting each
organization. For NASA, available data suggest that lung cancer is the largest
potential cancer risk from space travel for both men and women and quantitative
risk assessment information for mission planning is needed. In space, the
radiation risk is from high energy and charge (HZE) nuclei (such as Fe) and high
energy protons from solar flares and not from gamma radiation. In contrast, the
NCI is endeavoring to estimate the increased lung cancer risk from the potential
widespread implementation of computed tomographic (CT) screening in individuals
at high risk for developing lung cancer based on the National Lung Cancer
Screening Trial (NLST). For the latter, exposure will be X-rays from CT scans
from the screening (which uses "low-dose" CT scans) and also from follow-up scans
used to evaluate abnormalities found during initial screening. Topics discussed
included the risk of lung cancer arising after HZE particle, proton, and low-dose
exposure to Earth's radiation. The workshop examined preclinical models,
epidemiology, molecular markers, "omics" technology, radiobiology issues, and
lung stem cells that relate to the development of lung cancer.
PMID- 21900399
TI - Quantifying the role of angiogenesis in malignant progression of gliomas: in
silico modeling integrates imaging and histology.
AB - Gliomas are uniformly fatal forms of primary brain neoplasms that vary from low-
to high-grade (glioblastoma). Whereas low-grade gliomas are weakly angiogenic,
glioblastomas are among the most angiogenic tumors. Thus, interactions between
glioma cells and their tissue microenvironment may play an important role in
aggressive tumor formation and progression. To quantitatively explore how tumor
cells interact with their tissue microenvironment, we incorporated the
interactions of normoxic glioma cells, hypoxic glioma cells, vascular endothelial
cells, diffusible angiogenic factors, and necrosis formation into a first
generation, biologically based mathematical model for glioma growth and invasion.
Model simulations quantitatively described the spectrum of in vivo dynamics of
gliomas visualized with medical imaging. Furthermore, we investigated how
proliferation and dispersal of glioma cells combine to induce increasing degrees
of cellularity, mitoses, hypoxia-induced neoangiogenesis and necrosis, features
that characterize increasing degrees of "malignancy," and we found that changes
in the net rates of proliferation (rho) and invasion (D) are not always necessary
for malignant progression. Thus, although other factors, including the
accumulation of genetic mutations, can change cellular phenotype (e.g.,
proliferation and invasion rates), this study suggests that these are not
required for malignant progression. Simulated results are placed in the context
of the current clinical World Health Organization grading scheme for studying
specific patient examples. This study suggests that through the application of
the proposed model for tumor-microenvironment interactions, predictable patterns
of dynamic changes in glioma histology distinct from changes in cellular
phenotype (e.g., proliferation and invasion rates) may be identified, thus
providing a powerful clinical tool.
PMID- 21900400
TI - Definition of a FoxA1 Cistrome that is crucial for G1 to S-phase cell-cycle
transit in castration-resistant prostate cancer.
AB - The enhancer pioneer transcription factor FoxA1 is a global mediator of steroid
receptor (SR) action in hormone-dependent cancers. In castration-resistant
prostate cancer (CRPC), FoxA1 acts as an androgen receptor cofactor to drive G2
to M-phase cell-cycle transit. Here, we describe a mechanistically distinct SR
independent role for FoxA1 in driving G1 to S-phase cell-cycle transit in CRPC.
By comparing FoxA1 binding sites in prostate cancer cell genomes, we defined a
codependent set of FoxA1-MYBL2 and FoxA1-CREB1 binding sites within the
regulatory regions of the Cyclin E2 and E2F1 genes that are critical for CRPC
growth. Binding at these sites upregulate the Cyclin E2 and Cyclin A2 genes in
CRPC but not in earlier stage androgen-dependent prostate cancer, establishing a
stage-specific role for this pathway in CRPC growth. Mechanistic investigations
indicated that FoxA1, MYBL2, or CREB1 induction of histone H3 acetylation
facilitated nucleosome disruption as the basis for codependent transcriptional
activation and G1 to S-phase cell-cycle transit. Our findings establish FoxA1 as
a pivotal driver of the cell-cycle in CRPC which promotes G1 to S-phase transit
as well as G2 to M-phase transit through two distinct mechanisms.
PMID- 21900401
TI - ARID1A, a factor that promotes formation of SWI/SNF-mediated chromatin
remodeling, is a tumor suppressor in gynecologic cancers.
AB - ARID1A (BAF250A) promotes the formation of SWI/SNF chromatin remodeling complexes
containing BRG1 or BRM. It has emerged as a candidate tumor suppressor based on
its frequent mutations in ovarian clear cell and endometrioid cancers and in
uterine endometrioid carcinomas. Here, we report that restoring wild-type ARID1A
expression in ovarian cancer cells that harbor ARID1A mutations is sufficient to
suppress cell proliferation and tumor growth in mice, whereas RNA interference
mediated silencing of ARID1A in nontransformed epithelial cells is sufficient to
enhance cellular proliferation and tumorigenicity. Gene expression analysis
identified several downstream targets of ARID1A including CDKN1A and SMAD3, which
are well-known p53 target genes. In support of the likelihood that p53 mediates
the effects of ARID1A on these genes, we showed that p53 was required and
sufficient for their regulation by ARID1A. Furthermore, we showed that CDKN1A
(encoding p21) acted in part to mediate growth suppression by ARID1A. Finally, we
obtained evidence that the ARID1A/BRG1 complex interacted directly with p53 and
that mutations in the ARID1A and TP53 genes were mutually exclusive in tumor
specimens examined. Our results provide functional evidence in support of the
hypothesis that ARID1A is a bona fide tumor suppressor that collaborates with p53
to regulate CDKN1A and SMAD3 transcription and tumor growth in gynecologic
cancers.
PMID- 21900402
TI - Aberrant lipid metabolism in hepatocellular carcinoma revealed by plasma
metabolomics and lipid profiling.
AB - There has been limited analysis of the effects of hepatocellular carcinoma (HCC)
on liver metabolism and circulating endogenous metabolites. Here, we report the
findings of a plasma metabolomic investigation of HCC patients by
ultraperformance liquid chromatography-electrospray ionization-quadrupole time-of
flight mass spectrometry (UPLC-ESI-QTOFMS), random forests machine learning
algorithm, and multivariate data analysis. Control subjects included healthy
individuals as well as patients with liver cirrhosis or acute myeloid leukemia.
We found that HCC was associated with increased plasma levels of
glycodeoxycholate, deoxycholate 3-sulfate, and bilirubin. Accurate mass
measurement also indicated upregulation of biliverdin and the fetal bile acids
7alpha-hydroxy-3-oxochol-4-en-24-oic acid and 3-oxochol-4,6-dien-24-oic acid in
HCC patients. A quantitative lipid profiling of patient plasma was also conducted
by ultraperformance liquid chromatography-electrospray ionization-triple
quadrupole mass spectrometry (UPLC-ESI-TQMS). By this method, we found that HCC
was also associated with reduced levels of lysophosphocholines and in 4 of 20
patients with increased levels of lysophosphatidic acid [LPA(16:0)], where it
correlated with plasma alpha-fetoprotein levels. Interestingly, when fatty acids
were quantitatively profiled by gas chromatography-mass spectrometry (GC-MS), we
found that lignoceric acid (24:0) and nervonic acid (24:1) were virtually absent
from HCC plasma. Overall, this investigation illustrates the power of the new
discovery technologies represented in the UPLC-ESI-QTOFMS platform combined with
the targeted, quantitative platforms of UPLC-ESI-TQMS and GC-MS for conducting
metabolomic investigations that can engender new insights into cancer
pathobiology.
PMID- 21900403
TI - Characterization of chemokines and adhesion molecules associated with T cell
presence in tertiary lymphoid structures in human lung cancer.
AB - De novo formation of tertiary lymphoid structures (TLS) has been described in
lung cancers. Intratumoral TLS seem to be functional and are associated with a
long-term survival for lung cancer patients, suggesting that they represent an
activation site for tumor-specific T cells. Here, we characterized T-cell
recruitment to TLS in human lung cancer to identify the adhesion molecules and
chemoattractants orchestrating this migration. We found that most TLS T cells
were CD62L+ and mainly of CD4+ memory phenotype, but naive T cells were highly
enriched in these structures as compared with the rest of the tumor. A specific
gene expression signature associated with T cell presence was identified in TLS,
which included chemokines (CCL19, CCL21, CXCL13, CCL17, CCL22, and IL16),
adhesion molecules (ICAM-2, ICAM-3, VCAM-1, and MAdCAM-1) and integrins (alphaL,
alpha4, and alphaD). The presence of the corresponding receptors on TLS T cells
was confirmed. Intratumoral PNAd+ high endothelial venules also were exclusively
associated with TLS and colocalized with CD62L+ lymphocytes. Together, these data
bring new insights into the T-cell recruitment to intratumoral TLS and suggest
that blood T cell enter into TLS via high endothelial venules, which represent a
new gateway for T cells to the tumor. Findings identify the molecules that
mediate migration of tumor-specific T cells into TLS where T cell priming occurs,
suggesting new strategies to enhance the efficacy of cancer immunotherapies.
PMID- 21900406
TI - Can we ever stop imaging in surgically treated and radiotherapy-naive patients
with non-functioning pituitary adenoma?
AB - BACKGROUND: Non-functioning pituitary adenomas (NFAs) are slow-growing tumours
with reported re-growth rates following surgical resection alone of up to 50% at
10 years. Currently, the desired length of follow-up surveillance imaging in un
irradiated patients is unclear. AIM: To clarify the timing of re-growth in
patients with NFAs, treated solely by surgery without post-operative pituitary
radiotherapy, and also to clarify whether continued imaging is necessary in these
patients. METHODS: A case note analysis of all patients who underwent surgery
alone for NFA between January 1984 and December 2007 was undertaken. Patients
were followed for a minimum of 1 year. Re-growth was diagnosed on the basis of
radiological appearances with or without associated manifestations. RESULTS: One
hundred and fifty-five patients (94 males, mean age at diagnosis 57.9 (range 18.3
88) years) were included. Twenty-nine were followed up for more than 10 years.
The mean follow-up following surgery was 6.1 years (median 4.3 (range 1-25.8)).
Re-growth was documented in 54 (34.8%) cases and 20.4% of these cases showed
relapse/re-growth 10 or more years after the initial surgery. Kaplan-Meier
analysis showed relapse rates of 23.1, 46.7 and 67.9% at 5, 10 and 15 years
respectively. There was a significant increase in the re-growth rates if there
was either pituitary tumour remnant observed on the first post-operative scan
(P<=0.001) or a younger age at initial surgery (P=0.034). CONCLUSION: These
results suggest that patients with NFAs need to be closely monitored following
surgery, particularly those with post-operative tumour remnants. With 20% of
relapse occurring after 10 years, follow-up surveillance needs to be continued
beyond this time.
PMID- 21900405
TI - Cross talk among TGF-beta signaling pathways, integrins, and the extracellular
matrix.
AB - The growth factor TGF-beta is secreted in a latent complex consisting of three
proteins: TGF-beta, an inhibitor (latency-associated protein, LAP, which is
derived from the TGF-beta propeptide) and an ECM-binding protein (one of the
latent TGF-beta binding proteins, or LTBPs). LTBPs interact with fibrillins and
other ECM components and thus function to localize latent TGF-beta in the ECM.
LAP contains an integrin-binding site (RGD), and several RGD-binding integrins
are able to activate latent TGF-beta through binding this site. Mutant mice
defective in integrin-mediated activators, and humans and mice with fibrillin
gene mutations, show the critical role of ECM and integrins in regulating TGF
beta signaling.
PMID- 21900407
TI - Adolescent risk behaviours and mealtime routines: does family meal frequency
alter the association between family structure and risk behaviour?
AB - Family structure is associated with a range of adolescent risk behaviours, with
those living in both parent families generally faring best. This study describes
the association between family structure and adolescent risk behaviours and
assesses the role of the family meal. Data from the 2006 Health Behaviour in
School-Aged Children survey were modelled using Multilevel Binomial modelling for
six risk behaviour outcomes. Significantly more children from 'both parent'
families ate a family meal every day and fewer 'hardly ever or never' did. Family
structure was associated with boys' and girls' smoking, drinking, cannabis use
and having sex and with girls' fighting. Frequency of eating a family meal was
associated with a reduced likelihood of all risk behaviours among girls and all
but fighting and having sex among boys. Eating a family meal regularly nullified
the association between family structure and drinking alcohol for boys and girls
and cannabis use for boys and reduced the effect size of alternative family
structures on boys having sex and smoking. The family meal, associated with a
reduced likelihood of many adolescent risk behaviours, reduces or eliminates the
association with family structure and may therefore help to overcome inequalities
in adolescent risk behaviours.
PMID- 21900408
TI - Physical activity mass media campaigns and their evaluation: a systematic review
of the literature 2003-2010.
AB - Internationally, mass media campaigns to promote regular moderate-intensity
physical activity have increased recently. Evidence of mass media campaign
effectiveness exists in other health areas, however the evidence for physical
activity is limited. The purpose was to systematically review the literature on
physical activity mass media campaigns, 2003-2010. A focus was on reviewing
evaluation designs, theory used, formative evaluation, campaign effects and
outcomes. Literature was searched resulting in 18 individual adult mass media
campaigns, mostly in high-income regions and two in middle-income regions.
Designs included: quasi experimental (n = 5); non experimental (n = 12); a mixed
methods design (n = 1). One half used formative research. Awareness levels ranged
from 17 to 95%. Seven campaigns reported significant increases in physical
activity levels. The review found that beyond awareness raising, changes in other
outcomes were measured, assessed but reported in varying ways. It highlighted
improvements in evaluation, although limited evidence of campaign effects remain.
It provides an update on the evaluation methodologies used in the adult
literature. We recommend optimal evaluation design should include: (1) formative
research to inform theories/frameworks, campaign content and evaluation design;
(2) cohort study design with multiple data collection points; (3) sufficient
duration; (4) use of validated measures; (5) sufficient evaluation resources.
PMID- 21900404
TI - Chemical and biological approaches for adapting proteostasis to ameliorate
protein misfolding and aggregation diseases: progress and prognosis.
AB - Maintaining the proteome to preserve the health of an organism in the face of
developmental changes, environmental insults, infectious diseases, and rigors of
aging is a formidable task. The challenge is magnified by the inheritance of
mutations that render individual proteins subject to misfolding and/or
aggregation. Maintenance of the proteome requires the orchestration of protein
synthesis, folding, degradation, and trafficking by highly conserved/deeply
integrated cellular networks. In humans, no less than 2000 genes are involved.
Stress sensors detect the misfolding and aggregation of proteins in specific
organelles and respond by activating stress-responsive signaling pathways. These
culminate in transcriptional and posttranscriptional programs that up-regulate
the homeostatic mechanisms unique to that organelle. Proteostasis is also
strongly influenced by the general properties of protein folding that are
intrinsic to every proteome. These include the kinetics and thermodynamics of the
folding, misfolding, and aggregation of individual proteins. We examine a growing
body of evidence establishing that when cellular proteostasis goes awry, it can
be reestablished by deliberate chemical and biological interventions. We start
with approaches that employ chemicals or biological agents to enhance the general
capacity of the proteostasis network. We then introduce chemical approaches to
prevent the misfolding or aggregation of specific proteins through direct binding
interactions. We finish with evidence that synergy is achieved with the
combination of mechanistically distinct approaches to reestablish organismal
proteostasis.
PMID- 21900409
TI - Why look backwards?
PMID- 21900410
TI - Commentary on: Fatigue and extended work hours among perfusionists: 2010 Survey.
PMID- 21900411
TI - Commentary on: 2010 Survey of cell phone use during cardiopulmonary bypass.
PMID- 21900416
TI - Trauma exposure and posttraumatic stress disorder among employees of New York
City companies affected by the September 11, 2001 attacks on the World Trade
Center.
AB - OBJECTIVE: Several studies have provided prevalence estimates of posttraumatic
stress disorder (PTSD) related to the September 11, 2001 (9/11) attacks in
broadly affected populations, although without sufficiently addressing qualifying
exposures required for assessing PTSD and estimating its prevalence. A premise
that people throughout the New York City area were exposed to the attacks on the
World Trade Center (WTC) towers and are thus at risk for developing PTSD has
important implications for both prevalence estimates and service provision. This
premise has not, however, been tested with respect to DSM-IV-TR criteria for
PTSD. This study examined associations between geographic distance from the 9/11
attacks on the WTC and reported 9/11 trauma exposures, and the role of specific
trauma exposures in the development of PTSD. METHODS: Approximately 3 years after
the attacks, 379 surviving employees (102 with direct exposures, including 65 in
the towers, and 277 with varied exposures) recruited from 8 affected
organizations were interviewed using the Diagnostic Interview Schedule/Disaster
Supplement and reassessed at 6 years. The estimated closest geographic distance
from the WTC towers during the attacks and specific disaster exposures were
compared with the development of 9/11-related PTSD as defined by the Diagnostic
and Statistical Manual, Fourth Edition, Text Revision. RESULTS: The direct
exposure zone was largely concentrated within a radius of 0.1 mi and completely
contained within 0.75 mi of the towers. PTSD symptom criteria at any time after
the disaster were met by 35% of people directly exposed to danger, 20% of those
exposed only through witnessed experiences, and 35% of those exposed only through
a close associate's direct exposure. Outside these exposure groups, few possible
sources of exposure were evident among the few who were symptomatic, most of whom
had preexisting psychiatric illness. CONCLUSIONS: Exposures deserve careful
consideration among widely affected populations after large terrorist attacks
when conducting clinical assessments, estimating the magnitude of population PTSD
burdens, and projecting needs for specific mental health interventions.
PMID- 21900417
TI - Trends in probable PTSD in firefighters exposed to the World Trade Center
disaster, 2001-2010.
AB - OBJECTIVE: We present the longest follow-up, to date, of probable posttraumatic
stress disorder (PTSD) after the 2001 terrorist attacks on the World Trade Center
(WTC) in New York City firefighters who participated in the rescue/recovery
effort. METHODS: We examined data from 11,006 WTC-exposed firefighters who
completed 40,672 questionnaires and reported estimates of probable PTSD by year
from serial cross-sectional analyses. In longitudinal analyses, we used separate
Cox models with data beginning from October 2, 2001, to identify variables
associated with recovery from or delayed onset of probable PTSD. RESULTS: The
prevalence of probable PTSD was 7.4% by September 11, 2010, and continued to be
associated with early arrival at the WTC towers during every year of analysis. An
increasing number of aerodigestive symptoms (hazard ratio [HR] 0.89 per symptom,
95% confidence interval [CI] 0.86-.93) and reporting a decrease in exercise,
whether the result of health (HR 0.56 vs no change in exercise, 95% CI 0.41-.78)
or other reasons (HR 0.76 vs no change in exercise, 95% CI 0.63-.92), were
associated with a lower likelihood of recovery from probable PTSD. Arriving early
at the WTC (HR 1.38 vs later WTC arrival, 95% CI 1.12-1.70), an increasing number
of aerodigestive symptoms (HR 1.45 per symptom, 95% CI 1.40-1.51), and reporting
an increase in alcohol intake since September 11, 2001 (HR 3.43 vs no increase in
alcohol intake, 95% CI 2.67-4.43) were associated with delayed onset of probable
PTSD. CONCLUSIONS: Probable PTSD continues to be associated with early WTC
arrival even 9 years after the terrorist attacks. Concurrent conditions and
behaviors, such as respiratory symptoms, exercise, and alcohol use also play
important roles in contributing to PTSD symptoms.
PMID- 21900418
TI - How do plant viruses induce disease? Interactions and interference with host
components.
AB - Plant viruses are biotrophic pathogens that need living tissue for their
multiplication and thus, in the infection-defence equilibrium, they do not
normally cause plant death. In some instances virus infection may have no
apparent pathological effect or may even provide a selective advantage to the
host, but in many cases it causes the symptomatic phenotypes of disease. These
pathological phenotypes are the result of interference and/or competition for a
substantial amount of host resources, which can disrupt host physiology to cause
disease. This interference/competition affects a number of genes, which seems to
be greater the more severe the symptoms that they cause. Induced or repressed
genes belong to a broad range of cellular processes, such as hormonal regulation,
cell cycle control and endogenous transport of macromolecules, among others. In
addition, recent evidence indicates the existence of interplay between plant
development and antiviral defence processes, and that interference among the
common points of their signalling pathways can trigger pathological
manifestations. This review provides an update on the latest advances in
understanding how viruses affect substantial cellular processes, and how plant
antiviral defences contribute to pathological phenotypes.
PMID- 21900420
TI - Human papillomavirus type-specific risk of cervical cancer in a population with
high human immunodeficiency virus prevalence: case-control study.
AB - There are limited data on human papillomavirus (HPV) type-specific cervical
cancer risk among human immunodeficiency virus (HIV)-positive women. Previous
studies have suggested that HPV 16 would be relatively less important as a
causative agent among HIV-positive compared with HIV-negative women. This study
investigates HPV type-specific cervical cancer risk in a population in which HIV
is endemic. At the Central Hospital, Maputo, Mozambique, 221 cervical cancer
cases and 203 hospital-based controls were consecutively enrolled. HPV typing
from cervical samples, HIV testing and recording of socio-demographic factors
were performed. Logistic regression modelling was used to assess HPV type
specific risk and effect modification between HIV and HPV infection. Infection
with HPV 16, 18 and 'high-risk non-HPV 16/18 types' (HPV 31, 33, 35, 39, 45, 51,
52, 56, 58 and 59) was associated with cervical cancer in both crude and adjusted
analyses. HPV 16 and 18 were the most common types detected in cancer biopsies
among both HIV-negative and HIV-positive women. There was no significant evidence
of effect modification between any HPV type and HIV infection, and there were no
significant differences in the HPV type-specific prevalence when cervical cancers
among HIV-positive and HIV-negative women were compared. Within the limitations
of the study, the relative importance of different HPV types in cervical
carcinogenesis appears not to be modified greatly by HIV infection, suggesting
that HPV vaccines might not need to be type-specifically modified to be suitable
for populations where HIV is endemic.
PMID- 21900419
TI - Factors associated with the seroprevalence of 26 cutaneous and two genital human
papillomavirus types in organ transplant patients.
AB - Viral skin infections are commonly present in organ transplant recipients (OTR).
In this study, we aimed to identify factors associated with human papillomavirus
(HPV) infections in OTR. Patients with solid-organ transplants were recruited
from the outpatient nephrology and dermatology clinics in five European
countries. Only patients with no current or past skin cancer were included in
this analysis. Serum samples were analysed for antibodies to the L1 proteins of
26 cutaneous and two genital HPV types from five phylogenetic genera (alpha,
beta, gamma, MU and nu). The most consistent association was found between
recreational sun exposure and the seroprevalence of all tested genera, except
alpha. The antibody presence of any beta type was higher among people who had
been transplanted at least 23 years prior to participation than in those who had
been transplanted for less than 7 years. The prevalence of two gamma-HPV types
(60 and 65) and three beta-HPV types (15, 38 and 49) was associated with time
since transplantation. The presence of a high number of warts was associated with
the presence of any MU-PV or nu-PV types, and having greater than 50 keratotic
skin lesions was almost significantly associated with the presence of antibodies
to two or more gamma-PV. Discrepancies in the results of the present study, as
well as in previous reports, may depend on different methodologies and on
geographical variations. Our results also indicate that further research with
more standardized methods is needed to clarify the role of cutaneous HPV in OTR.
PMID- 21900421
TI - Structural modelling and mutagenesis of human cytomegalovirus alkaline nuclease
UL98.
AB - Human cytomegalovirus encodes an alkaline nuclease, UL98, that is highly
conserved among herpesviruses and has both endonuclease (endo) and exonuclease
(exo) activities. This protein is thought to be important for viral replication
and therefore represents a potential target for antiviral development; however,
little is known about its structure or role in viral replication. Comparative
structural modelling was used to build a model of UL98 based on the known
structure of shutoff and exonuclease protein from Kaposi's sarcoma-associated
herpesvirus. The model predicts that UL98 residues D254, E278 and K280 represent
the critical aspartic acid, glutamic acid and lysine active-site residues,
respectively, while R164 and S252 correspond to residues proposed to bind the 5'
phosphate of the DNA substrate. UL98 with an amino-terminal hexahistidine tag was
expressed in Escherichia coli, purified by affinity chromatography and confirmed
to have exo and endo activities. Amino acid substitutions D254A, E278A, K280A and
S252A virtually eliminated exo and endo activities, whereas R164A retained full
endo activity but only 10 % of the exo activity compared with the wild-type
enzyme. A mutant virus lacking UL98 was viable but severely attenuated for
replication, while one expressing UL98(R164A) replicated normally. These results
confirm the utility of the model in representing the active-site region of UL98
and suggest a mechanism for the differentiation of endonuclease and exonuclease
activities. These findings could facilitate the exploration of the roles of
alkaline nucleases in herpesvirus replication and the rational design of
inhibitors that target their enzymic activities.
PMID- 21900422
TI - Generation and characterization of a recombinant Rift Valley fever virus
expressing a V5 epitope-tagged RNA-dependent RNA polymerase.
AB - The viral RNA-dependent RNA polymerase (RdRp; L protein) of Rift Valley fever
virus (RVFV; family Bunyaviridae) is a 238 kDa protein that is crucial for the
life cycle of the virus, as it catalyses both transcription of viral mRNAs and
replication of the tripartite genome. Despite its importance, little is known
about the intracellular distribution of the polymerase or its other roles during
infection, primarily because of lack of specific antibodies that recognize L
protein. To begin to address these questions we investigated whether the RVFV
(MP12 strain) polymerase could tolerate insertion of the V5 epitope, as has been
previously demonstrated for the Bunyamwera virus L protein. Insertion of the 14
aa epitope into the polymerase sequence at aa 1852 resulted in a polymerase that
retained functionality in a minigenome assay, and we were able to rescue
recombinant viruses that expressed the modified L protein by reverse genetics.
The L protein could be detected in infected cells by Western blotting with anti
V5 antibodies. Examination of recombinant virus-infected cells by
immunofluorescence revealed a punctate perinuclear or cytoplasmic distribution of
the polymerase that co-localized with the nucleocapsid protein. The generation of
RVFV expressing a tagged RdRp will allow detailed examination of the role of the
viral polymerase in the virus life cycle.
PMID- 21900423
TI - Analysis of the human immunodeficiency virus type 1 M group Vpu domains involved
in antagonizing tetherin.
AB - Zoonosis of chimpanzee simian immunodeficiency virus cpz to humans has given rise
to both pandemic (M) and non-pandemic (O, N and P) groups of human
immunodeficiency virus type-1 (HIV). These lentiviruses encode accessory
proteins, including Vpu, which has been shown to reduce CD4 levels on the cell
surface, as well as increase virion release from the cell by antagonizing
tetherin (CD317, BST2). Here, we confirm that O group Vpus (Ca9 and BCF06) are
unable to counteract tetherin or downregulate the protein from the cell surface,
although they are still able to reduce cell-surface CD4 levels. We hypothesize
that this inability to antagonize tetherin may have contributed to O group
viruses failing to achieve pandemic levels of human-to-human transmission.
Characterization of chimeric O/M group Vpus and Vpu mutants demonstrate that the
Vpu-tetherin interaction is complex, involving several domains. We identify
specific residues within the transmembrane proximal region that, along with the
transmembrane domain, are crucial for tetherin counteraction and enhanced virion
release. We have also shown that the critical domains are responsible for the
localization of M group Vpu to the trans-Golgi network, where it relocalizes
tetherin to counteract its function. This work sheds light on the acquisition of
anti-tetherin activity and the molecular details of pandemic HIV infection in
humans.
PMID- 21900424
TI - Genus-specific recruitment of filovirus ribonucleoprotein complexes into budding
particles.
AB - The filoviral matrix protein VP40 orchestrates virus morphogenesis and budding.
To do this it interacts with both the glycoprotein (GP1,2) and the
ribonucleoprotein (RNP) complex components; however, these interactions are still
not well understood. Here we show that for efficient VP40-driven formation of
transcription and replication-competent virus-like particles (trVLPs), which
contain both an RNP complex and GP1,2, the RNP components and VP40, but not GP1,2
and VP40, must be from the same genus. trVLP preparations contained both
spherical and filamentous particles, but only the latter were able to infect
target cells and to lead to genome replication and transcription. Interestingly,
the genus specificity of the VP40-RNP interactions was specific to the formation
of filamentous trVLPs, but not to spherical particles. These results not only
further our understanding of VP40 interactions, but also suggest that special
care is required when using trVLP or VLP systems to model virus morphogenesis.
PMID- 21900426
TI - Journal of the American Board of Family Medicine Sixth Annual Practice-based
Research Network theme issue--They just keep getting better and better.
AB - We have quite a rich issue this month related to practice-based research networks
(PBRNs)--reflections on where they have been, where they should go, how they
should happen; lessons learned about recruiting physicians and patients and new
research methods; and several clinical studies from existing PBRNs. We had an
amazing number of manuscripts submitted this year for the PBRN issue; as a
result, this is a powerful issue. Some are under revision for future issues of
the Journal of the American Board of Family Medicine, just as we have some
articles from PBRNs appearing in most issues. PBRNs have deepened the family
medicine research tradition. The importance of primary care research to build the
evidence base of our clinical practice, plus the useful work building the methods
of primary care research, distinguishes the pioneers in PBRNs. PBRNs are Health
Improvement Networks and national treasures to be nurtured.
PMID- 21900425
TI - Flavivirus-induced antibody cross-reactivity.
AB - Dengue viruses (DENV) cause countless human deaths each year, whilst West Nile
virus (WNV) has re-emerged as an important human pathogen. There are currently no
WNV or DENV vaccines licensed for human use, yet vaccines exist against other
flaviviruses. To investigate flavivirus cross-reactivity, sera from a human
cohort with a history of vaccination against tick-borne encephalitis virus
(TBEV), Japanese encephalitis virus (JEV) and yellow fever virus (YFV) were
tested for antibodies by plaque reduction neutralization test. Neutralization of
louping ill virus (LIV) occurred, but no significant neutralization of Murray
Valley encephalitis virus was observed. Sera from some individuals vaccinated
against TBEV and JEV neutralized WNV, which was enhanced by YFV vaccination in
some recipients. Similarly, some individuals neutralized DENV-2, but this was not
significantly influenced by YFV vaccination. Antigenic cartography techniques
were used to generate a geometric illustration of the neutralization titres of
selected sera against WNV, TBEV, JEV, LIV, YFV and DENV-2. This demonstrated the
individual variation in antibody responses. Most sera had detectable titres
against LIV and some had titres against WNV and DENV-2. Generally, LIV titres
were similar to titres against TBEV, confirming the close antigenic relationship
between TBEV and LIV. JEV was also antigenically closer to TBEV than WNV, using
these sera. The use of sera from individuals vaccinated against multiple
pathogens is unique relative to previous applications of antigenic cartography
techniques. It is evident from these data that notable differences exist between
amino acid sequence identity and mapped antigenic relationships within the family
Flaviviridae.
PMID- 21900427
TI - Engagement of family physicians seven years into maintenance of certification.
AB - Transitioning Family Physicians to continuous Maintenance of Certification (MOC)
was intended to support the quality improvement movement nationally, but it also
risked decreasing their engagement due to the increased requirements for
retaining certification. However, after completing the transition of all family
physicians into MOC in 2010, participation appears higher than ever.
PMID- 21900428
TI - No longer simply a Practice-based Research Network (PBRN) health improvement
networks.
AB - While primary care Practice-based Research Networks are best known for their
original, research purpose, evidence accumulating over the last several years is
demonstrating broader values of these collaborations. Studies have demonstrated
their role in quality improvement and practice change, in continuing professional
education, in clinician retention in medically underserved areas, and in
facilitating transition of primary care organization. A role in informing and
facilitating health policy development is also suggested. Taking into account
this more robust potential, we propose a new title, the Health Improvement
Network, and a new vision for Practice-based Research Networks.
PMID- 21900429
TI - The Agency for Healthcare Research and Quality (AHRQ) Practice-Based Research
Network (PBRN) relationship: delivering on an opportunity, challenges, and future
directions.
AB - Practice-based research networks (PBRNs) often lack sufficient funding to develop
the underlying infrastructure necessary to conduct high-quality, pragmatic,
policy-relevant studies. One mechanism introduced by the Agency for Healthcare
Research and Quality (AHRQ) that held the potential to address this issue was the
PBRN Master Contract Program. The program allows the AHRQ to fund tightly focused
"research activities" and to create a partnership through the PBRN contracts.
Although PBRNs expected Master Contracts to strengthen them, several issues limit
the utility of these contracts. The funding levels are lower than that provided
from other sources for comparable work. Although some Task Order Officers are
diligent, responsive, and supportive, too frequently their zeal for specific
results and heavy handed approaches have led to significant "scope creep" and
unrealistic expectations. Finally, a mechanism to allow PBRNs and network
clinicians to influence the direction of the research questions has not been well
developed. We see value in a new approach that supports the ability of the AHRQ
to (1) garner support from other government agencies to engage PBRNs in studies
relevant to policymakers and PBRNs; (2) capitalize on the collaborative nature of
PBRNs by developing projects that support collaboration; (3) provide modest
funding for infrastructure; (4) avoid the unnecessary and costly regulatory
oversight from OMB; and (5) develop sustained "lines of research" on a scale,
currently unavailable through the Master Contract, that can meaningfully
contribute to the shaping of health policy.
PMID- 21900430
TI - Re: The Agency for Healthcare Research and Quality (AHRQ) Practice-Based Research
Network (PBRN) relationship: delivering on an opportunity, challenges, and future
directions.
PMID- 21900431
TI - A tribute to Dr. David Lanier.
PMID- 21900432
TI - Reports of persistent change in the clinical encounter following research
participation: a report from the Primary Care Multiethnic Network (PRIME Net).
AB - PURPOSE: Following anecdotal reports of unexpected patient and/or clinician
behavior change in the primary care encounter in a previous study, we conducted
this study to learn more about the short- and long-term effects on clinician
and/or patient behavior from participation in a practice-based research network
(PBRN) study. METHODS: Clinicians in two PBRN studies of Acanthosis nigricans
were surveyed and interviewed 3 to 6 months following one study and surveyed 3 to
5 years following a second study. We gathered data on clinicians' reports of
behavior change in the encounter, the persistence of those changes, and the
likely causes of reported changes. RESULTS: Eighty-six percent of clinicians in
the short-term and 79% in the long-term samples reported more frequent diagnostic
efforts, and 68% and 54%, respectively, reported more frequent preventive
counseling after participation in the studies. Interview data suggested that
several factors contributed to this reported behavior change: increased clinician
knowledge, availability of a feasible tool to support counseling, change in
patient receptivity/motivation, and creation of a new context for counseling.
CONCLUSIONS: Reports of behavior change in the primary care encounter associated
with a PBRN study suggest that PBRNs may be effective vehicles for education,
translation, and practice change in addition to their value in research.
PMID- 21900433
TI - Factors influencing work interference in patients with chronic low back pain: a
Residency Research Network of Texas (RRNeT) study.
AB - INTRODUCTION: Chronic low back pain (CLBP) is a disabling and expensive condition
commonly seen in family physicians' offices. A complete understanding of factors
contributing to patients' return to work remains elusive. OBJECTIVE: To describe
patients with CLBP seen in family physicians' offices and to explore factors
interfering with return to work. SUBJECTS: Three hundred sixty outpatients with
CLBP for more than 3 months. SETTING: Ten participating family physicians'
offices of the Residency Research Network of Texas. PRIMARY OUTCOME: The effect
of pain on work effect as measured by a Likert scale. RESULTS: Patients were
typically female (72%), overweight or obese (mean body mass index, 33.4), had
pain for many years (mean, 13.6 years), and screened positive for recent
depressive symptoms (83%). The majority of patients took at least some opioid
medication for their pain (59%). Multivariate linear regression analysis found
that the largest single contributor to effect on work was the subjects' score on
the SF-36 physical function scale (beta = -0.382). Other contributors included
average daily pain (beta = 0.189), the frequency of flare-ups of pain (beta =
0.108), the effect of the painful flare-ups (beta = 0.170), and current
depressive symptoms (beta = 0.131) (adjusted R(2) for model = 0.535). Age, sex,
race/ethnicity, total time the patient has had CLBP, other comorbidities
(including a diagnosis of depression), disability status, use of opioids, history
of intimate partner violence, social support, and procedures attempted were not
predictive. DISCUSSION: Future studies attempting to demonstrate the
effectiveness of interventions in CLBP should measure depressive symptoms and the
magnitude and effect of painful flare-ups, not just the overall pain score. The
majority of CLBP patients seen in these practices take opioids for their pain.
Screening and treating for depression may be reasonable for some patients, though
evidence of its effectiveness is lacking.
PMID- 21900434
TI - Does patient assessment of the quality of the primary care they receive predict
subsequent outcomes? An Oklahoma Physicians Resource/Research Network (OKPRN)
study.
AB - INTRODUCTION: We analyzed data from a cohort of 782 older patients assembled in
1999 to 2000 to determine whether baseline patient assessments of the quality of
the primary care services they had received, measured using the Components of
Primary Care Index (CPCI), were associated with subsequent changes in health
related quality of life (HRQoL) and/or survival. METHODS: Longitudinal growth
curve models were used to analyze changes in Quality of Well-Being (QWB-SA)
scores over an average (S.D.) of 2.07 (1.07) years. Cox proportional hazards
models were used to identify variables associated with mortality over an average
of 8.26 years (6460 person-years). To reduce confounding by severity of illness,
subjects were stratified into disabled, nondisabled high utilizers of primary
care, and nondisabled low utilizers. Within subgroups, we controlled for number
of chronic illnesses and scores on the General Health subscale of the Medical
Outcomes Study Short Form-36 (SF-36). We also controlled for baseline age, sex,
marital status, income, body mass index, educational attainment, duration of the
relationship with current primary care physician (PCP), and number of visits to
the PCP in the year before enrollment. Analyses took into account clustering of
patients within PCP. RESULTS: Neither total CPCI nor any CPCI subscale score was
associated with QWB-SA change over time. Higher ratings of Coordination of Care
were associated with reduced survival in the disabled and nondisabled high
utilizer subgroups (P = .007). CONCLUSIONS: Assuming that effective primary care
results in better HRQoL and longer survival and that the CPCI captures important
primary care attributes, older patients' level of satisfaction with the quality
of their primary care may not be a good surrogate measure of effectiveness.
PMID- 21900435
TI - Management of skin and soft tissue infections in community practice before and
after implementing a "best practice" approach: an Iowa Research Network (IRENE)
intervention study.
AB - CONTEXT: Community-acquired methicillin-resistant Staphylococcus aureus (CA-MRSA)
is a major pathogen among skin and soft tissue infections (SSTIs). Most CA-MRSA
infections are managed initially on an outpatient basis. It is critical that
primary care clinicians recognize and appropriately treat patients suspected of
having such infections. OBJECTIVE: To identify and evaluate best methods and
procedures for primary care clinicians to manage skin and soft tissue infections.
DESIGN, SETTING, AND PATIENTS: Preintervention/postintervention study in eight
Iowa Research Network offices conducted between October 2007 and August 2010. We
reviewed medical records of 216 patients with SSTI before a set of interventions
(preintervention) and 118 patients after the intervention (postintervention).
INTERVENTIONS: Included a focus group meeting at each office, distribution of a
modified Centers for Disease Control and Prevention (CDC) algorithm, "Outpatient
Management of MRSA Skin and Soft Tissue Infections," education handouts, and an
office policy for patients with skin infections. MAIN OUTCOME MEASURES:
Proportion of subjects who were prescribed an antibiotic that would cover MRSA at
the initial visit and proportion who were prescribed an antibiotic that would
cover MRSA at any time. RESULTS: Three hundred sixty-eight forms (244
preintervention and 124 postintervention) were returned; 216 (89%)
preintervention forms and 118 (95%) postintervention forms were usable.
Multivariable logistic regression models found statistically significant and
independent factors associated with MRSA coverage at the initial visit included
being in the postintervention rather than the preintervention group, having an
abscess component compared with cellulitis alone, having a culture sent, being
prescribed two or fewer antibiotics, and not being hospitalized. CONCLUSIONS: The
CDC algorithm was feasible for offices to use. Following a discussion of SSTI
management in the outpatient setting, use of MRSA coverage increased both
initially and overall. Thus, involving clinicians in a discussion about
guidelines rather than simply providing guidelines or a didactic session may be a
useful way to change physician practices.
PMID- 21900436
TI - Improving the management of skin and soft tissue infections in primary care: a
report from State Networks of Colorado Ambulatory Practices and Partners (SNOCAP
USA) and the Distributed Ambulatory Research in Therapeutics Network (DARTNet).
AB - BACKGROUND: Purulent skin and soft tissue infections (SSTIs) requiring medical
attention are often managed in primary care. The prevalence of SSTIs caused by
community-acquired Methicillin-resistant Staphylococcus aureus (CA-MRSA) has been
increasing rapidly, including in otherwise healthy individuals. The Centers for
Disease Control and Prevention (CDC) issued guidelines to improve the management
of SSTIs in primary care. PURPOSE: In primary care settings, to assess the
prevalence of CA-MRSA using an electronic chart audit and then evaluate SSTI
management strategies consistent with CDC guidelines. METHODS: A practical
intervention that compared a historical cohort to an intervention cohort of
patients seen for SSTI in 16 primary care practices in two health care systems.
The intervention included a ready-made kit for I & D procedures, MRSA information
for clinicians, a patient information handout, provider education, and patient
follow-up. RESULTS: A total of 3112 SSTI cases (cellulitis or purulent) were
observed during the preintervention period and 1406 cases during the
intervention. For purulent infections in the intervention period (n = 148),
univariate and multivariate analyses showed no significant improvement in the
rate of I & D procedures or cultures obtained but showed increased use of
antibiotics overall and agents that typically cover MRSA strains (OR, 2.183; 95%
CI, 1.443 to 3.303 and 2.624; 95% CI, 1.500 to 4.604, respectively). For
infections that were cellulitis with or without purulence (n = 1258), overall
rates in the use of antibiotics and those that cover MRSA increased
significantly, but secular trends could not be ruled out as an explanation for
this increase. CONCLUSION: In SSTIs, this intervention resulted in increased use
of antibiotics, including antibiotics that typically cover MRSA strains, but did
not demonstrate increased rates of recommended drainage procedures. It is
replicable and portable, and may improve antibiotic selection in other settings.
PMID- 21900437
TI - Prevalence, severity, and treatment of community-acquired methicillin-resistant
Staphylococcus aureus (CA-MRSA) skin and soft tissue infections in 10 medical
clinics in Texas: a South Texas Ambulatory Research Network (STARNet) study.
AB - OBJECTIVES: Quantify the prevalence, measure the severity, and describe treatment
patterns in patients who present to medical clinics in Texas with community
acquired methicillin-resistant Staphylococcus aureus (CA-MRSA) skin and soft
tissue infections (SSTI). METHODS: Ten primary care clinics participated in this
prospective, community-based study. Clinicians consented patients and collected
clinical information, pictures, and wound swabs; data were processed centrally.
MRSASelectTM was used for identification. Susceptibilities were determined via
Etest(r). RESULTS: Overall, 73 of 119 (61%) patients presenting with SSTIs
meeting eligibility requirements had CA-MRSA. Among these, 49% were male, 79%
were Hispanic, and 30% had diabetes. Half (56%) of the lesions were >= 5 cm in
diameter. Most patients had abscesses (82%) and many reported pain scores of >= 7
of 10 (67%). Many presented with erythema (85%) or drainage (56%). Most received
incision and drainage plus an antibiotic (64%). Antibiotic monotherapy was
frequently prescribed: trimethoprim-sulfamethoxazole (TMP-SMX) (78%), clindamycin
(4%), doxycycline (2%), and mupirocin (2%). The rest received TMP-SMX in
combination with other antibiotics. TMP-SMX was frequently administered as one
double-strength tablet twice daily. Isolates were 93% susceptible to clindamycin
and 100% susceptible to TMP-SMX, doxycycline, vancomycin, and linezolid.
CONCLUSIONS: We report a predominance of CA-MRSA SSTIs, favorable antibiotic
susceptibilities, and frequent use of TMP-SMX in primary care clinics.
PMID- 21900438
TI - Chronic non-cancer pain: a siren for primary care--a report from the PRImary Care
MultiEthnic Network (PRIME Net).
AB - INTRODUCTION: Patients with chronic non-cancer pain (CNCP) are common and have a
high degree of morbidity. Previous studies document clinician frustration and
variability in CNCP management. We conducted this study to gather in-depth
clinicians' views about factors that affect management of CNCP. METHODS: We
conducted a survey in the Primary Care MultiEthnic Network, a consortium of PBRNs
of primary care clinicians practicing in low-income, medically underserved
communities, and in a network of private primary care offices. RESULTS: Of 792
clinicians surveyed, 497 (63%) participated. Responses and accompanying narrative
comments clustered around 5 themes: (1) barriers to and uncertainties in optimal
management; (2) the complex biopsychosocial nature of CNCP; (3) seriousness of
prescription opioid abuse; (4) effort and burden required to properly manage
CNCP; and (5) clinician commitment to provide care for CNCP patients and benefits
of expanded care model for CNCP. One-third reported a severe outcome (death or
life-threatening event) in a CNCP patient for whom they had prescribed opioids.
Roughly one-third do not initiate prescribing of opioids. CONCLUSIONS: Guidelines
and increased continuing medical education alone are unlikely to be the solutions
to the challenges of CNCP management. Increased evidence for recommendations and
resources for more comprehensive care management are needed.
PMID- 21900439
TI - The influence of research compensation options on Practice-based Research Network
(PBRN) physician participation: a North Texas (NorTex) PBRN study.
AB - OBJECTIVE: To study the effect of two compensation approaches, continuing medical
education (CME) credits (5 hours) or monetary ($150), on the participation rate
of a physician needs assessment study. METHODS: Physicians representing family
medicine, internal medicine, pediatric, and geriatrics specialties, and
practicing in ambulatory primary care clinics affiliated with the North Texas
Primary Care (NorTex) PBRN clinics, were recruited to complete a survey relevant
to their subspecialty and to conduct a self-audit/abstraction of five medical
records. Physicians were recruited from four health care systems, and the
recruiting methods varied by system. Study outcome was the rate of study
completion by type of incentive. RESULTS: One hundred five of 211 (49.8%)
physicians approached to participate gave consent and 84 (39.8%) completed the
study. There was no difference in the number of physicians randomly assigned to
monetary compared with CME compensation for giving consent to participate
(adjusted odds ratio = 1.42, confidence interval = 0.69, 2.93). However,
physicians in the monetary compensation group were more likely to complete the
study after giving consent (adjusted odds ratio = 4.70, confidence interval =
1.25, 17.58). This monetary effect was also significant from the perspective of
all physicians approached initially (adjusted odds ratio = 2.78, confidence
interval = 1.16, 6.67). DISCUSSION: This study suggests that future PBRN
investigators should receive monetary compensation for the opportunity cost of
adding research activities to their already busy practices. This compensation may
be especially vital for PBRNs to complete more ambitious projects requiring a
significant time commitment from the participating physicians.
PMID- 21900440
TI - Using patient monetary incentives and electronically derived patient lists to
recruit patients to a clinical trial.
AB - PURPOSE: To report using electronic medical record (EMR) data to identify
patients eligible for a clinical trial and the impact of providing an honorarium
and deadline on accrual. METHODS: Six practices using a common EMR participated
in a cluster-randomized trial testing a self-administered, web-based familial
risk assessment tool. EMR-derived lists of eligible patients were made available
for provider review. An honorarium and deadline for responding in the patient
recruitment letter were implemented in the last half of the recruitment process.
RESULTS: We identified 22,376 potentially eligible patients. Lists not returned
by providers accounted for 9840 (44%) patients. We mailed invitations to 11,956
patients; 2398 (20%) requested more information and a consent document, 1489
(12.5%) consented to participate, and 1305 (11%) completed the baseline data
collection. Patients receiving the additional $2 and a deadline compared with
those receiving the personal invitation alone had significantly higher interest
in participating (25% vs. 17%, P = .0001) but were less likely to complete
baseline data collection (57% vs. 65% P = .01). Once consented, 85% completed the
study with no significant difference by recruitment approach. CONCLUSIONS: Using
EMR data reduces the burden to identify potentially eligible patients. However,
some providers still did not review and return the lists. Adding a $2 incentive
and deadline for responding did not improve the rate of eligible patients
consenting and completing the study. Other patient recruitment methods to get
better response by providers and population from primary care offices must be
explored.
PMID- 21900441
TI - A logic model framework for evaluation and planning in a primary care practice
based research network (PBRN).
AB - Evaluating effective growth and development of a practice-based research network
(PBRN) can be challenging. The purpose of this article is to describe the
development of a logic model and how the framework has been used for planning and
evaluation in a primary care PBRN. An evaluation team was formed consisting of
the PBRN directors, staff, and its board members. After the mission and the
target audience were determined, facilitated meetings and discussions were held
with stakeholders to identify the assumptions, inputs, activities, outputs,
outcomes, and outcome indicators. The long-term outcomes outlined in the final
logic model are 2-fold: (1) improved health outcomes of patients served by PBRN
community clinicians and (2) community clinicians are recognized leaders of
quality research projects. The logic model proved useful in identifying
stakeholder interests and dissemination activities as an area that required more
attention in the PBRN. The logic model approach is a useful planning tool and
project management resource that increases the probability that the PBRN mission
will be successfully implemented.
PMID- 21900442
TI - A method for obtaining an unbiased sample of family medicine patients for
research purposes.
AB - INTRODUCTION: Obtaining a representative patient sample for research purposes can
be challenging. Classic probability sampling can be trusted, but these approaches
are not always feasible; yet alternatives may introduce bias. We summarize
relevant literature, the need for new approaches, and illustrate a practical
hybrid approach that could consistently produce representative patient samples.
METHODS: Valid approaches shift sampling decisions from fallible interviewers to
less fallible reproducible processes. In lieu of the interviewer's inclination to
select particular patients, we used the day of the week, the appointment time,
and the sequence of the patient's last name in the alphabet to select a sample
for a consent process and a survey. ILLUSTRATIVE USE: Characteristics of the
study sample (n = 225) were compared with the characteristics of the population
(N = 1964) that had an office visit during the recruiting period. The data
suggested that the study sample was highly representative of the population in
this illustrative case. DISCUSSION: A hybrid sampling approach, in the context of
a brief consent process, and a nonthreatening interview produced a representative
study sample, but formal evaluation via simulation is needed to validate the
hybrid approach. Convenience samples of consecutive patients should be avoided to
minimize bias.
PMID- 21900443
TI - Quasi-experimental designs in practice-based research settings: design and
implementation considerations.
AB - BACKGROUND: Although randomized controlled trials are often a gold standard for
determining intervention effects, in the area of practice-based research (PBR),
there are many situations in which individual randomization is not possible.
Alternative approaches to evaluating interventions have received increased
attention, particularly those that can retain elements of randomization such that
they can be considered "controlled" trials. METHODS: Methodological design
elements and practical implementation considerations for two quasi-experimental
design approaches that have considerable promise in PBR settings--the stepped
wedge design, and a variant of this design, a wait-list cross-over design, are
presented along with a case study from a recent PBR intervention for patients
with diabetes. RESULTS: PBR-relevant design features include: creation of a
cohort over time that collects control data but allows all participants (clusters
or patients) to receive the intervention; staggered introduction of clusters;
multiple data collection points; and one-way cross-over into the intervention
arm. Practical considerations include: randomization versus stratification,
training run in phases; and extended time period for overall study completion.
CONCLUSION: Several design features of practice based research studies can be
adapted to local circumstances yet retain elements to improve methodological
rigor. Studies that utilize these methods, such as the stepped-wedge design and
the wait-list cross-over design, can increase the evidence base for controlled
studies conducted within the complex environment of PBR.
PMID- 21900444
TI - Developing a network of community health centers with a common electronic health
record: description of the Safety Net West Practice-based Research Network (SNW
PBRN).
AB - In 2001, community health center (CHC) leaders in Oregon established an
organization to facilitate the integration of health information technology,
including a shared electronic health record (EHR), into safety net clinics. The
Oregon Community Health Information Network (shortened to OCHIN as other states
joined) became a CHC information technology hub, supporting a network-wide EHR
with one master patient index, now linked across >40 safety net organizations
serving >900,000 patients with nearly 800,000 distinct CHC visits. Recognizing
the potential of OCHIN's multiclinic network and comprehensive EHR database for
conducting safety net-based research, OCHIN leaders and local researchers formed
the Safety Net West practice-based research network (PBRN). The Safety Net West
"community- based laboratory," based at OCHIN, is positioned to become an
important resource for many studies including: evaluation of the real-time impact
of health care reform on uninsured populations; development of new models of
primary care delivery; dissemination and translation of interventions from other
EHR-based systems (e.g., Kaiser Permanente) into the community health setting;
and analyses of factors influencing disparities in health and health care access.
We describe the founding of Safety Net West, its infrastructure development,
current projects, and the future goals of this community-based PBRN with a common
EHR.
PMID- 21900445
TI - A novel protocol for streamlined IRB review of Practice-based Research Network
(PBRN) card studies.
AB - PURPOSE: The "card study," in which clinicians record brief information about
patient visits during usual clinical care, has long been a rapid method for
conducting descriptive studies in practice-based research networks. Because an
increasingly stringent regulatory environment has made conducting card studies
difficult, we developed a streamlined method for obtaining card study
institutional review board (IRB) approval. METHODS: We developed a protocol for a
study of the card study method, allowing new card study proposals of specific
research questions to be submitted as addenda to the approved Card Study
Protocol. RESULTS: Seven card studies were proposed and approved under the Card
Study Protocol during the first year after implementation, contrasted with one
card study proposed in the previous year. New card study ideas submitted as
addenda to an approved protocol appeared to increase IRB comfort with the card
study as a minimal risk method while reducing the hurdles to developing new study
ideas. CONCLUSIONS: A Card Study Protocol allowing new study questions to be
submitted as addenda decreases time between idea generation and IRB approval.
Shortened turn-around times may be useful for translating ideas into action while
reducing regulatory burden.
PMID- 21900446
TI - Recruiting Practice-based Research Network (PBRN) physicians to be research
participants: lessons learned from the North Texas (NorTex) needs assessment
study.
AB - INTRODUCTION: The purpose of this study was to examine strategies for recruiting
physician subjects in a practice-based research network continuing education
research study, using different recruitment methods at four systems, or health
plan arrangements. METHODS: The North Texas Primary Care Practice-based Research
Network Needs Assessment Study consisted of a survey and five self-directed
medical record abstractions. Physicians were recruited to be research subjects
from four systems, using different recruitment strategies. chi(2) was used to
determine differences in physicians consenting and completing the study between
systems. Kruskal-Wallis was used to determine differences in time from first
contact to consent and number of contacts required before consent between
systems. RESULTS: One hundred five of 211 physicians (49.8%) consented to
participate, of which 90 (85.7%) completed the survey. There was a significant
difference by system in the number of physicians who consented (P = .04) and
number of contacts required pre-consent (P < .001) but not in the number of
physicians completing the study or time from first contact to consent.
DISCUSSION/CONCLUSIONS: Success of recruiting physicians to be research subjects
varied between systems using different recruitment methods. Lessons learned
include using clinician champions to make initial contact, establishing a
relationship with clinic personnel, distinguishing the research team from a
pharmaceutical representative, establishing a preferred contact method, and
collecting study materials on a set timeline.
PMID- 21900447
TI - Re: The effects of patient-provider communication on 3-month recovery from acute
low back pain.
PMID- 21900448
TI - Re: Living on the edge.
PMID- 21900450
TI - Defective nitric oxide production impairs angiotensin II-induced Na-K-ATPase
regulation in spontaneously hypertensive rats.
AB - Angiotensin (ANG) II via ANG II type 1 receptors (AT1R) activates renal sodium
transporters including Na-K-ATPase and regulates sodium homeostasis and blood
pressure. It is reported that at a high concentration, ANG II either inhibits or
fails to stimulate Na-K-ATPase. However, the mechanisms for these phenomena are
not clear. Here, we identified the signaling molecules involved in regulation of
renal proximal tubular Na-K-ATPase at high ANG II concentrations. Proximal
tubules from spontaneously hypertensive rats (SHR) and Wistar-Kyoto (WKY) rats
were incubated with low concentrations of ANG II (pM), which activated Na-K
ATPase in both the groups; however, the stimulation was more robust in SHR. A
high concentration of ANG II (MUM) failed to stimulate Na-K-ATPase in WKY rats.
However, in SHR ANG II (MUM) continued to stimulate Na-K-ATPase, which was
sensitive to the AT1R antagonist candesartan. In the presence of N(G)-nitro-l
arginine methyl ester (l-NAME), a nitric oxide (NO) synthase (NOS) inhibitor, ANG
II (MUM) caused stimulation of Na-K-ATPase in proximal tubules of WKY rats while
having no further stimulatory effect in SHR. ANG II (MUM), via AT1R, increased
proximal tubular NO levels in WKY rats but not in SHR. In SHR, NOS was uncoupled
as incubation of proximal tubules with ANG II and l-arginine, a NOS substrate,
caused superoxide generation only in SHR and not in WKY rats. The superoxide
production in SHR was sensitive to l-NAME. There was exaggerated proximal tubular
AT1R-G protein coupling and NAD(P)H oxidase activation in response to ANG II
(MUM) in proximal tubules of SHR compared with WKY rats. In SHR, inhibition of
NADPH oxidase restored NOS coupling and ANG II-induced NO accumulation. In
conclusion, at a high concentration ANG II (MUM) activates renal NO signaling,
which prevents stimulation of Na-K-ATPase in WKY rats. However, in SHR ANG II
(MUM) overstimulates NADPH oxidase, which impairs the NO system and leads to
continued Na-K-ATPase activation.
PMID- 21900451
TI - WT1-interacting protein (Wtip) regulates podocyte phenotype by cell-cell and cell
matrix contact reorganization.
AB - Podocytes respond to environmental cues by remodeling their slit diaphragms and
cell-matrix adhesive junctions. Wt1-interacting protein (Wtip), an Ajuba family
LIM domain scaffold protein expressed in the podocyte, coordinates cell adhesion
changes and transcriptional responses to regulate podocyte phenotypic plasticity.
We evaluated effects of Wtip on podocyte cell-cell and cell-matrix contact
organization using gain-of- and loss-of-function methods. Endogenous Wtip
targeted to focal adhesions in adherent but isolated podocytes and then shifted
to adherens junctions after cells made stable, homotypic contacts. Podocytes with
Wtip knockdown (shWtip) adhered but failed to spread normally. Noncontacted
shWtip podocytes did not assemble actin stress fibers, and their focal adhesions
failed to mature. As shWtip podocytes established cell-cell contacts, stable
adherens junctions failed to form and F-actin structures were disordered. In
shWtip cells, cadherin and beta-catenin clustered in irregularly distributed
spots that failed to laterally expand. Cell surface biotinylation showed
diminished plasma membrane cadherin, beta-catenin, and alpha-catenin in shWtip
podocytes, although protein expression was similar in shWtip and control cells.
Since normal actin dynamics are required for organization of adherens junctions
and focal adhesions, we determined whether Wtip regulates F-actin assembly.
Undifferentiated podocytes did not elaborate F-actin stress fibers, but when
induced to overexpress WTIP, formed abundant stress fibers, a process blocked by
the RhoA inhibitor C3 toxin and a RhoA kinase inhibitor. WTIP directly interacted
with Rho guanine nucleotide exchange factor (GEF) 12 (Arhgef12), a RhoA-specific
GEF enriched in the glomerulus. In conclusion, stable assembly of podocyte
adherens junctions and cell-matrix contacts requires Wtip, a process that may be
mediated by spatiotemporal regulation of RhoA activity through appropriate
targeting of Arhgef12.
PMID- 21900452
TI - Hypoxia modulates the undifferentiated phenotype of human renal inner medullary
CD133+ progenitors through Oct4/miR-145 balance.
AB - Low-oxygen tension is an important component of the stem cell microenvironment.
In rodents, renal resident stem cells have been described in the papilla, a
relatively hypoxic region of the kidney. In the present study, we found that
CD133(+) cells, previously described as renal progenitors in the human cortex,
were enriched in the renal inner medulla and localized within the Henle's loop
and thin limb segments. Once isolated, the CD133(+) cell population expressed
renal embryonic and stem-related transcription factors and was able to
differentiate into mature renal epithelial cells. When injected subcutaneously in
immunodeficient mice within Matrigel, CD133(+) cells generated canalized
structures positive for renal specific markers of different nephron segments.
Oct4A levels and differentiation potential of papillary CD133(+) cells were
higher than those of CD133(+) cells from cortical tubuli. Hypoxia was able to
promote the undifferentiated phenotype of CD133(+) progenitors from papilla.
Hypoxia stimulated clonogenicity, proliferation, vascular endothelial growth
factor synthesis, and expression of CD133 that were in turn reduced by epithelial
differentiation with parallel HIF-1alpha downregulation. In addition, hypoxia
downregulated microRNA-145 and promoted the synthesis of Oct4A. Epithelial
differentiation increased microRNA-145 and reduced Oct4 level, suggesting a
balance between Oct4 and microRNA-145. MicroRNA-145 overexpression in CD133(+)
cells induced downrelation of Oct4A at the protein level, inhibited cell
proliferation, and stimulated terminal differentiation. This study underlines the
role of the hypoxic microenvironment in controlling the proliferation and
maintaining a progenitor phenotype and stem/progenitor properties of CD133(+)
cells of the nephron. This mechanism may be at the basis of the maintenance of a
CD133(+) population in the papillary region and may be involved in renal
regeneration after injury.
PMID- 21900453
TI - Hydration status affects urea transport across rat urothelia.
AB - Although mammalian urinary tract epithelium (urothelium) is generally considered
impermeable to water and solutes, recent data suggest that urine constituents may
be reabsorbed during urinary tract transit and storage. To study water and solute
transport across the urothelium in an in vivo rat model, we instilled urine
(obtained during various rat hydration conditions) into isolated in situ rat
bladders and, after a 1-h dwell, retrieved the urine and measured the differences
in urine volume and concentration and total quantity of urine urea nitrogen and
creatinine between instilled and retrieved urine in rat groups differing by
hydration status. Although urine volume did not change >1.9% in any group,
concentration (and quantity) of urine urea nitrogen in retrieved urine fell
significantly (indicating reabsorption of urea across bladder urothelia), by a
mean of 18% (489 mg/dl, from an instilled 2,658 mg/dl) in rats receiving ad
libitum water and by a mean of 39% (2,544 mg/dl, from an instilled 6,204 mg/dl)
in water-deprived rats, but did not change (an increase of 15 mg/dl, P = not
significant, from an instilled 300 mg/dl) in a water-loaded rat group. Two
separate factors affected urea nitrogen reabsorption rates, a urinary factor
related to hydration status, likely the concentration of urea nitrogen in the
instilled urine, and a bladder factor(s), also dependent on the animal's state of
hydration. Urine creatinine was also absorbed during the bladder dwell, and
hydration group effects on the concentration and quantity of creatinine
reabsorbed were qualitatively similar to the hydration group effect on urea
transport. These findings support the notion(s) that urinary constituents may
undergo transport across urinary tract epithelia, that such transport may be
physiologically regulated, and that urine is modified during transit and storage
through the urinary tract.
PMID- 21900454
TI - Hypothermic renal perfusion during aortic surgery reduces the presence of
lipocalin-2 and preserves renal extraction of dimethylarginines in rats.
AB - Cold perfusion through the renal arteries during renal ischemia has been
suggested to diminish postoperative renal damage after juxtarenal aortic aneurysm
repair. As the kidneys play a key role in dimethylarginine metabolism, which in
turn is associated with renal hemodynamics, we hypothesized that the protective
effect of cold perfusion is associated with a preserved renal extraction of
dimethylarginines. Renal ischemia was induced in three groups of anesthetized
Wistar rats (n = 7/group), which underwent suprarenal aortic clamping (45 min)
with no perfusion (group 1), renal perfusion with 37 degrees C saline (group 2),
or renal perfusion with 4 degrees C saline (group 3), respectively, followed by
90 min of renal reperfusion in all groups. The sham group had no clamping. In
group 3 (renal ischemia with cold perfusion), postoperative serum creatinine
levels as well as the presence of luminal lipocalin-2 and its associated brush
border damage were lower compared with groups 1 and 2 (P < 0.05). Also, renal
extraction of asymmetrical (ADMA) and symmetrical (SDMA) dimethylarginine as well
as the arginine/ADMA ratio, which defines the bioavailability of nitric oxide,
remained intact in group 3 only (P < 0.04). The arginine/ADMA ratio correlated
with cortical flow, lipocalin-2, and creatinine rises. Warm and cold renal
perfusion (groups 2 and 3) during ischemia were similarly effective in lowering
protein nitrosylation levels, renal leukocyte accumulation, neutrophil gelatinase
associated lipocalin (NGAL) expression in distal tubules, and urine NGAL (P <
0.05). These data support the use of cold renal perfusion during renal ischemia
in situations where renal ischemia is inevitable, as it reduces tubular damage
and preserves renal extraction of dimethylarginines. Renal perfusion with saline
per se during renal ischemia is effective in diminishing renal leukocyte
accumulation and oxidative stress.
PMID- 21900455
TI - Decoy receptor 3 inhibits renal mononuclear leukocyte infiltration and apoptosis
and prevents progression of IgA nephropathy in mice.
AB - The progression of IgA nephropathy (IgAN), the most frequent type of primary
glomerulonephritis, is associated with high levels of mononuclear leukocyte
infiltration into the kidney. These cells consist mainly of T cells and
macrophages. Our previous study showed that a decoy receptor 3 (DCR3) gene
therapy can prevent the development of a mouse autoimmune glomerulonephritis
model by its potent immune modulating effects (Ka SM, Sytwu HK, Chang DM, Hsieh
SL, Tsai PY, Chen A. J Am Soc Nephrol 18: 2473-2485, 2007). Here, we tested the
hypothesis that DCR3 might prevent the progression of IgAN, an immune complex
mediated primary glomerulonephritis, by inhibiting T cell activation, renal T
cell/macrophage infiltration, and protecting the kidney from apoptosis. We used a
progressive IgAN (Prg-IgAN) model in B cell-deficient mice, because the mice are
characterized by a dramatic proliferation of activated T cells systemically and
progressive NF-kappaB activation in the kidney. We treated the animals with short
term gene therapy with DCR3 plasmids by hydrodynamics-based gene delivery. When
the mice were euthanized on day 21, we found that, compared with empty vector
treated (disease control) Prg-IgAN mice, DCR3 gene therapy resulted in 1)
systemic inhibition of T cell activation and proliferation; 2) lower serum levels
of proinflammatory cytokines; 3) improved proteinuria, renal function, and renal
pathology (inhibiting the development of marked glomerular proliferation,
crescent formation, glomerulosclerosis, and interstitial inflammation); 5)
suppression of T cell and macrophage infiltration into the periglomerular
interstitium of the kidney; and 5) a reduction in apoptotic figures in the
kidney. On the basis of these findings, DCR3 might be useful therapeutically in
preventing the progression of IgAN.
PMID- 21900457
TI - FXYD5 (dysadherin) regulates the paracellular permeability in cultured kidney
collecting duct cells.
AB - FXYD5 (dysadherin or RIC) is a member of the FXYD family of single-span
transmembrane proteins associated with the Na(+)-K(+)-ATPase. Several studies
have demonstrated enhanced expression of FXYD5 during metastasis and effects on
cell adhesion and motility. The current study examines effects of FXYD5 on the
paracellular permeability in the mouse kidney collecting duct cell line M1.
Expressing FXYD5 in these cells leads to a large decrease in amiloride
insensitive transepithelial electrical resistance as well as increased
permeability to 4-kDa dextran. Impairment of cell-cell contact was also
demonstrated by staining cells for the tight and adherence junction markers
zonula occludens-1 and beta-catenin, respectively. This is further supported by
large expansions of the interstitial spaces, visualized in electron microscope
images. Expressing FXYD5 in M1 cells resulted in a decrease in N-glycosylation of
beta1 Na(+)-K(+)-ATPase, while silencing it in H1299 cells had an opposite
effect. This may provide a mechanism for the above effects, since normal
glycosylation of beta1 plays an important role in cell-cell contact formation
(Vagin O, Tokhtaeva E, Sachs G. J Biol Chem 281: 39573-39587, 2006).
PMID- 21900456
TI - AT1 receptor-mediated augmentation of angiotensinogen, oxidative stress, and
inflammation in ANG II-salt hypertension.
AB - Augmentation of intrarenal angiotensinogen (AGT) synthesis, secretion, and
excretion is associated with the development of hypertension, renal oxidative
stress, and tissue injury during ANG II-dependent hypertension. High salt (HS)
exacerbates hypertension and kidney injury, but the mechanisms remain unclear. In
this study, we determined the consequences of HS intake alone compared with
chronic ANG II infusion and combined HS plus ANG II on the stimulation of urinary
AGT (uAGT), renal oxidative stress, and renal injury markers. Sprague-Dawley rats
were subjected to 1) a normal-salt diet [NS, n = 5]; 2) HS diet [8% NaCl, n = 5];
3) ANG II infusion in NS rats [ANG II 80 ng/min, n = 5]; 4) ANG II infusion in HS
rats [ANG II+HS, n = 5]; and 5) ANG II infusion in HS rats treated with ANG II
type 1 receptor blocker (ARB) [ANG II+HS+ARB, n = 5] for 14 days. Rats fed a HS
diet alone did not show changes in systolic blood pressure (SBP), proteinuria,
cell proliferation, or uAGT excretion although they did exhibit mesangial
expansion, collagen deposition, and had increased NADPH oxidase activity
accompanied by increased peroxynitrite formation in the kidneys. Compared with
ANG II rats, the combination of ANG II infusion and a HS diet led to exacerbation
in SBP (175 +/- 10 vs. 221 +/- 8 mmHg; P < 0.05), proteinuria (46 +/- 7 vs. 127
+/- 7 mg/day; P < 0.05), and uAGT (1,109 +/- 70 vs.. 7,200 +/- 614 ng/day; P <
0.05) associated with greater collagen deposition, mesangial expansion,
interstitial cell proliferation, and macrophage infiltration. In both ANG II
groups, the O(2)(-) levels were increased due to increased NADPH oxidase activity
without concomitant increases in peroxynitrite formation. The responses in ANG II
rats were prevented or ameliorated by ARB treatment. The results indicate that HS
independently stimulates ROS formation, which may synergize with the effect of
ANG II to limit peroxynitrite formation, leading to exacerbation of uAGT and
greater injury during ANG II salt hypertension.
PMID- 21900459
TI - A plea for vitamin D.
PMID- 21900460
TI - The shortest way to reach nutritional goals is to adopt Mediterranean food
choices: evidence from computer-generated personalized diets.
AB - BACKGROUND: Dietary guidelines can be derived from dietary patterns known to be
healthy such as the traditional Mediterranean diet. They can also be deduced by
translating a set of nutrient recommendations into food combinations. However,
the latter may vary depending on the decisions made by different expert
committees. OBJECTIVE: The objective was to compare the effect of removing or
adding selected nutrient recommendations on the dietary changes needed to fulfill
a whole set of nutrient recommendations. DESIGN: For each adult participating in
the French INCA dietary survey (Enquete Individuelle et Nationale sur les
Consommations Alimentaires) (n = 1171), a nutritionally adequate diet was modeled
that simultaneously met a whole set of nutrient goals (proteins, fiber, essential
fatty acids, 10 vitamins, 9 minerals, sodium, saturated fatty acids, free sugars)
while deviating the least from the observed diet in terms of food content. Eight
sets of models were developed according to the inclusion or not of constraints on
total fats, total carbohydrates, total MUFAs, and cholesterol. RESULTS: Compared
with the observed intakes, fulfilling the whole set of nutrient constraints
systematically decreased total fats and increased total carbohydrates, even in
the absence of specific constraints on those macronutrients. For whichever model
used, a strong consistency was observed in the dietary changes needed to fulfill
the constraints, and the greatest increases were seen for unsalted nuts,
unrefined grains, legumes, fruit, fish and shellfish, and vegetables. CONCLUSION:
Whether recommendations on total fats, MUFAs, or total carbohydrates are included
or not in the definition of overall nutrient adequacy, foods typical of the
Mediterranean diet are needed to reach overall nutrient adequacy.
PMID- 21900458
TI - Molecular regulation of NKCC2 in the thick ascending limb.
AB - The kidney plays an essential role in blood pressure regulation by controlling
short-term and long-term NaCl and water balance. The thick ascending limb of the
loop of Henle (TAL) reabsorbs 25-30% of the NaCl filtered by the glomeruli in a
process mediated by the apical Na(+)-K(+)-2Cl(-) cotransporter NKCC2, which
allows Na(+) and Cl(-) entry from the tubule lumen into TAL cells. In humans,
mutations in the gene coding for NKCC2 result in decreased or absent activity
characterized by severe salt and volume loss and decreased blood pressure
(Bartter syndrome type 1). Opposite to Bartter's syndrome, enhanced NaCl
absorption by the TAL is associated with human hypertension and animal models of
salt-sensitive hypertension. TAL NaCl reabsorption is subject to exquisite
control by hormones like vasopressin, parathyroid, glucagon, and adrenergic
agonists (epinephrine and norepinephrine) that stimulate NaCl reabsorption.
Atrial natriuretic peptides or autacoids like nitric oxide and prostaglandins
inhibit NaCl reabsorption, promoting salt excretion. In general, the mechanism by
which hormones control NaCl reabsorption is mediated directly or indirectly by
altering the activity of NKCC2 in the TAL. Despite the importance of NKCC2 in
renal physiology, the molecular mechanisms by which hormones, autacoids, physical
factors, and intracellular ions regulate NKCC2 activity are largely unknown.
During the last 5 years, it has become apparent that at least three molecular
mechanisms determine NKCC2 activity. As such, membrane trafficking,
phosphorylation, and protein-protein interactions have recently been described in
TALs and heterologous expression systems as mechanisms that modulate NKCC2
activity. The focus of this review is to summarize recent data regarding NKCC2
regulation and discuss their potential implications in physiological control of
TAL function, renal physiology, and blood pressure regulation.
PMID- 21900461
TI - Vitamin B-12 and homocysteine status in a folate-replete population: results from
the Canadian Health Measures Survey.
AB - BACKGROUND: Vitamin B-12 is an important cofactor required for nucleotide and
amino acid metabolism. Vitamin B-12 deficiency causes anemia and neurologic
abnormalities-a cause for concern for the elderly, who are at increased risk of
vitamin B-12 malabsorption. Vitamin B-12 deficiency is also associated with an
increased risk of neural tube defects and hyperhomocysteinemia. The metabolism of
vitamin B-12 and folate is interdependent, which makes it of public health
interest to monitor biomarkers of vitamin B-12, folate, and homocysteine in a
folic acid-fortified population. OBJECTIVE: The objective was to determine the
vitamin B-12, folate, and homocysteine status of the Canadian population in the
period after folic acid fortification was initiated. DESIGN: Blood was collected
from a nationally representative sample of ~5600 participants aged 6-79 y in the
Canadian Health Measures Survey during 2007-2009 and was analyzed for serum
vitamin B-12, red blood cell folate, and plasma total homocysteine (tHcy).
RESULTS: A total of 4.6% of Canadians were vitamin B-12 deficient (<148 pmol/L).
Folate deficiency (<320 nmol/L) was essentially nonexistent. Obese individuals
were less likely to be vitamin B-12 adequate than were individuals with a normal
BMI. A total of 94.9% of Canadians had a normal tHcy status (<=13 MUmol/L), and
individuals with normal tHcy were more likely to be vitamin B-12 adequate and to
have high folate status (>1090 nmol/L). CONCLUSIONS: Approximately 5% of
Canadians are vitamin B-12 deficient. One percent of adult Canadians have
metabolic vitamin B-12 deficiency, as evidenced by combined vitamin B-12
deficiency and high tHcy status. In a folate-replete population, vitamin B-12 is
a major determinant of tHcy.
PMID- 21900462
TI - Folate consumption and cancer risk: a confirmation and some reassurance, but
we're not out of the woods quite yet.
PMID- 21900463
TI - Deciphering a methylome: what can we read into patterns of DNA methylation?
AB - The methylation of cytosines within cytosine-guanine (CG) dinucleotides is an
epigenetic mark that can modify gene transcription. With the advent of high
throughput sequencing, it is possible to map methylomes, i.e. detect methylated
CGs on a genome-wide scale. The methylomes sequenced to date reveal a divergence
in prevalence and targeting of CG methylation between taxa, despite the
conservation of the DNA methyltransferase enzymes that cause DNA methylation.
Therefore, interspecific methylation usage is predicted to diverge. In various
taxa, this tenet gains support from patterns of CG depletion that can be traced
in DNA before methylomes are explicitly mapped. Depletion of CGs in methylated
genomic regions is expected because methylated cytosines are subject to increased
mutability caused by nucleotide deamination. However, the basis of diverging
interspecific methylation usage is less clear. We use insights from the methylome
of honeybees (Apis mellifera) to emphasize the possible importance of organismal
life histories in explaining methylation usage and the accuracy of methylation
prediction based on CG depletion. Interestingly, methylated genes in honeybees
are more conserved across taxa than non-methylated genes despite the divergence
in utilization of methylation and the increased mutability caused by deamination.
PMID- 21900464
TI - No evidence for DPOAEs in the mechanical motion of the locust tympanum.
AB - Distortion-product otoacoustic emissions (DPOAEs) are present in non-linear
hearing organs, and for low-intensity sounds are a by-product of active
processes. In vertebrate ears they are considered to be due to hair cell
amplification of sound in the cochlea; however, certain animals lacking a cochlea
and hair cells are also reported to be capable of DPOAEs. In the Insecta, DPOAEs
have been recorded from the locust auditory organ. However, the site of
generation of these DPOAEs and the physiological mechanisms causing their
presence in the locust ear are not yet understood, despite there being a number
of potential places in the tympanal organ that could be capable of generating
DPOAEs. This study aimed to record locust tympanal membrane vibration using a
laser Doppler vibrometer in order to identify a distinct place of DPOAE
generation on the membrane. Two species of locust were investigated over a range
of frequencies and levels of acoustic stimulus, mirroring earlier acoustic
recording studies; however, the current experiments were carried out in an open
acoustic system. The laser measurements did not find any evidence of mechanical
motion on the tympanal membrane related to the expected DPOAE frequencies. The
results of the current study therefore could not confirm the presence of DPOAEs
in the locust ear through the mechanics of the tympanal membrane. Experiments
were also carried out to test how membrane behaviour altered when the animals
were in a state of hypoxia, as this was previously found to decrease DPOAE
magnitude, suggesting a metabolic sensitivity. However, hypoxia did not have any
significant effect on the membrane mechanics. The location of the mechanical
generation of DPOAEs in the locust's ear, and therefore the basis for the related
physiological mechanisms, thus remains unknown.
PMID- 21900465
TI - Peripheral injury induces long-term sensitization of defensive responses to
visual and tactile stimuli in the squid Loligo pealeii, Lesueur 1821.
AB - Survivable injuries are a common yet costly experience. The ability to sense and
respond to noxious stimuli is an almost universal trait, and prolonged behavioral
alterations, including sensitization to touch and other stimuli, may function to
ameliorate fitness costs associated with injury. Cephalopods can modify their
behavior by learned association with noxious electric shock, but non-associative
alterations of behavioral responses after tissue injury have not been studied.
The aim of this study was to make the first systematic investigations in any
cephalopod of behavioral responses and alterations elicited by explicit, minor
injury. By testing responsiveness in the longfin squid, Loligo pealeii, to the
approach and contact of an innocuous filament applied to different parts of the
body both before and after injury to the distal third of one arm, we show that a
cephalopod expresses behavioral alterations persisting for at least 2 days after
injury. These alterations parallel forms of nociceptive plasticity in other
animals, including general and site-specific sensitization to tactile stimuli. A
novel finding is that hyper-responsiveness after injury extends to visual
stimuli. Injured squid are more likely to employ crypsis than escape in response
to an approaching visual stimulus shortly after injury, but initiate escape
earlier and continue escape behaviors for longer when tested from 1 to 48 h after
injury. Injury failed to elicit overt wound-directed behavior (e.g. grooming) or
change hunting success. Our results show that long-lasting nociceptive
sensitization occurs in cephalopods, and suggest that it may function to reduce
predation risk after injury.
PMID- 21900466
TI - Aerobic capacity in wild satin bowerbirds: repeatability and effects of age, sex
and condition.
AB - Individual variation in aerobic capacity has been extensively studied, especially
with respect to condition, maturity or pathogen infection, and to gain insights
into mechanistic foundations of performance. However, its relationship to mate
competition is less well understood, particularly for animals in natural
habitats. We examined aerobic capacity [maximum rate of O2 consumption (VO2,max)
in forced exercise] in wild satin bowerbirds, an Australian passerine with a non
resource based mating system and strong intermale sexual competition. We tested
for repeatability of mass and VO2,max, differences among age and sex classes, and
effects of several condition indices. In adult males, we examined interactions
between aerobic performance and bower ownership (required for male mating
success). There was significant repeatability of mass and VO2,max within and
between years, but between-year repeatability was lower than within-year
repeatability. VO2,max varied with an overall scaling to mass(0.791), but most
variance in VO2,max was not explained by mass. Indicators of condition (tarsus
and wing length asymmetry, the ratio of tarsus length to mass) were not
correlated to VO2,max. Ectoparasite counts were weakly correlated to VO2,max
across all age-sex classes but not within any class. Adult males, the cohort with
the most intense levels of mating competition, had higher VO2,max than juvenile
birds or adult females. However, there was no difference between the VO2,max of
bower-owning males and that of males not known to hold bowers. Thus one major
factor determining male reproductive success was not correlated to aerobic
performance.
PMID- 21900467
TI - Causes and consequences of stolon regression in a colonial hydroid.
AB - A cnidarian colony can be idealized as a group of feeding polyps connected by
tube-like stolons. Morphological variation ranges from runner-like forms with
sparse polyp and stolon development to sheet-like forms with dense polyp and
stolon development. These forms have typically been considered in a foraging
context, consistent with a focus on rates of polyp development relative to stolon
elongation. At the same time, rates of stolon regression can affect this
morphological variation; several aspects of regression were investigated in this
context. More sheet-like forms were produced by periodic peroxide treatment,
which induced high rates of stolon regression. Caspase inhibitors altered the
effects of regression induced by peroxide or vitamin C. These inhibitors
generally diminished physical regression and the abundance of associated reactive
oxygen species. Caspase inhibitors also altered cellular ultrastructure,
resulting in features suggestive of necrosis rather than apoptosis. At the same
time, caspase inhibitors had little effect on reactive nitrogen species that are
also associated with regression. Although regression is most easily triggered by
pharmacological perturbations related to reactive oxygen species (e.g. peroxide
or vitamin C), a variety of environmental effects, particularly restricted
environments and an interaction between feeding and temperature, can also induce
regression. Stolon regression may thus be a factor contributing to natural
variation between runners and sheets.
PMID- 21900468
TI - Spectral and duration sensitivity to light-at-night in 'blind' and sighted rodent
species.
AB - Light-at-night (LAN) has become a defining feature of human and animal ecosystems
and may possibly compromise human and animal physiology and health. Spectral and
acclimation duration (AD) sensitivity were compared between social voles
(Microtus socialis) and 'blind' mole rats (Spalax ehrenbergi) in four increasing
ADs (0, 1, 7 and 21 days) to LAN (1*30 min, 293 MUW cm(-2)) of three different
monochromatic lights [blue (479 nm), yellow (586 nm) and red (697 nm)]. Animals
were sampled for urine and oxygen consumption (V(O(2))) promptly after each LAN
AD. Urine samples were analyzed for production rate, urinary 6-sulfatoxymelatonin
and urinary metabolites of adrenalin and cortisol. Overall, the blue light
elicited the greatest effects on the biological markers of M. socialis, whereas
similar effects were detected for S. ehrenbergi in response to red light. The
increasing LAN-AD resulted in a dose-dependent decrement of all markers tested,
except of stress hormones, which showed a direct positive correlation with LAN
AD. Our results suggest that: (1) photoperiod is an important cue for entraining
physiological functions in the 'blind' S. ehrenbergi, which is essentially
characterized by red-shifted sensitivity compared with the blue-shifted
sensitivity detected for the sighted counterpart species, and (2) there is a
strong association between LAN of the appropriate wavelength and adrenal
endocrine responses, suggesting that LAN is a potential environmental stressor.
PMID- 21900469
TI - Scaling of resting and maximum hopping metabolic rate throughout the life cycle
of the locust Locusta migratoria.
AB - The hemimetabolous migratory locust Locusta migratoria progresses through five
instars to the adult, increasing in size from 0.02 to 0.95 g, a 45-fold change.
Hopping locomotion occurs at all life stages and is supported by aerobic
metabolism and provision of oxygen through the tracheal system. This allometric
study investigates the effect of body mass (Mb) on oxygen consumption rate (MO2,
MUmol h(-1)) to establish resting metabolic rate (MRO2), maximum metabolic rate
during hopping (MMO2) and maximum metabolic rate of the hopping muscles
(MMO2,hop) in first instar, third instar, fifth instar and adult locusts. Oxygen
consumption rates increased throughout development according to the allometric
equations MRO2=30.1Mb(0.83+/-0.02), MMO2=155Mb(1.01+/-0.02),
MMO2,hop=120Mb(1.07+/-0.02) and, if adults are excluded, MMO2,juv=136Mb(0.97+/
0.02) and MMO2,juv,hop=103Mb(1.02+/-0.02). Increasing body mass by 20-45% with
attached weights did not increase mass-specific MMO2 significantly at any life
stage, although mean mass-specific hopping MO2 was slightly higher (ca. 8%) when
juvenile data were pooled. The allometric exponents for all measures of metabolic
rate are much greater than 0.75, and therefore do not support West, Brown and
Enquist's optimised fractal network model, which predicts that metabolism scales
with a 3/4-power exponent owing to limitations in the rate at which resources can
be transported within the body.
PMID- 21900470
TI - Symmorphosis and the insect respiratory system: allometric variation.
AB - Taylor and Weibel's theory of symmorphosis predicts that structures of the
respiratory system are matched to maximum functional requirements with minimal
excess capacity. We tested this hypothesis in the respiratory system of the
migratory locust, Locusta migratoria, by comparing the aerobic capacity of the
jumping muscles with the morphology of the oxygen cascade in the hopping legs
using an intraspecific allometric analysis of different body mass (M(b)) at
selected juvenile life stages. The maximum oxygen consumption rate of the hopping
muscle during jumping exercise scales as M(b)(1.02+/-0.02), which parallels the
scaling of mitochondrial volume in the hopping muscle, M(b)(1.02+/-0.08), and the
total surface area of inner mitochondrial membrane, M(b)(0.99+/-0.10). Likewise,
at the oxygen supply end of the insect respiratory system, there is congruence
between the aerobic capacity of the hopping muscle and the total volume of
tracheoles in the hopping muscle, M(b)(0.99+/-0.16), the total inner surface area
of the tracheoles, M(b)(0.99+/-0.16), and the anatomical radial diffusing
capacity of the tracheoles, M(b)(0.99+/-0.18). Therefore, the principles of
symmorphosis are upheld at each step of the oxygen cascade in the respiratory
system of the migratory locust.
PMID- 21900472
TI - Intra-retinal variation of opsin gene expression in the guppy (Poecilia
reticulata).
AB - Although behavioural experiments demonstrate that colouration influences mate
choice in many species, a complete understanding of this form of signalling
requires information about colour vision in the species under investigation. The
guppy (Poecilia reticulata) has become a model species for the study of colour
based sexual selection. To investigate the role of opsin gene duplication and
divergence in the evolution of colour-based mate choice, we used in situ
hybridization to determine where the guppy's nine cone opsins are expressed in
the retina. Long wavelength-sensitive (LWS) opsins were more abundant in the
dorsal retina than in the ventral retina. One of the middle wavelength-sensitive
opsins (RH2-1) exhibited the opposite pattern, while the other middle wavelength
sensitive opsin (RH2-2) and the short wavelength-sensitive opsins (SWS1, SWS2A
and SWS2B) were expressed throughout the retina. We also found variation in LWS
opsin expression among individuals. These observations suggest that regions of
the guppy retina are specialized with respect to wavelength discrimination and/or
sensitivity. Intra-retinal variability in opsin expression, which has been
observed in several fish species, might be an adaptation to variation in the
strength and spectral composition of light entering the eye from above and below.
The discovery that opsin expression varies in the guppy retina may motivate new
behavioural experiments designed to study its role in mate choice.
PMID- 21900473
TI - Chronic hypoxia stimulates an enhanced response to immune challenge without
evidence of an energetic tradeoff.
AB - There is broad interest in whether there is a tradeoff between energy metabolism
and immune function, and how stress affects immune function. Under hypoxic
stress, maximal aerobic metabolism is limited, and other aspects of energy
metabolism of animals may be altered as well. Although acute hypoxia appears to
enhance certain immune responses, the effects of chronic hypoxia on immune
function are largely unstudied. We tested: (1) whether chronic hypoxia affects
immune function and (2) whether hypoxia affects the metabolic cost of immune
function. First, flow cytometry was used to monitor the peripheral blood
immunophenotype of mice over the course of 36 days of hypoxic exposure. Second,
hypoxic and normoxic mice were subjected to an adaptive immune challenge via
keyhole limpet hemocyanin (KLH) or to an innate immune challenge via
lipopolysaccharide (LPS). The resting metabolic rates of mice in all immune
challenge treatments were also measured. Although hypoxia had little effect on
the peripheral blood immunophenotype, hypoxic mice challenged with KLH or LPS had
enhanced immunological responses in the form of higher antibody titers or
increased TNF-alpha production, respectively. Initially, mice exposed to hypoxia
had lower metabolic rates, but this response was transitory and resting metabolic
rates were normal by the end of the experiment. There was no effect of either
immune challenge on resting metabolic rate, suggesting that mounting either the
acute phase response or a humoral response is not as energetically expensive as
previously thought. In addition, our results suggest that immune responses to
chronic and acute hypoxia are concordant. Both forms of hypoxia appear to
stimulate both innate and adaptive immune responses.
PMID- 21900471
TI - Regulation of bat echolocation pulse acoustics by striatal dopamine.
AB - The ability to control the bandwidth, amplitude and duration of echolocation
pulses is a crucial aspect of echolocation performance but few details are known
about the neural mechanisms underlying the control of these voice parameters in
any mammal. The basal ganglia (BG) are a suite of forebrain nuclei centrally
involved in sensory-motor control and are characterized by their dependence on
dopamine. We hypothesized that pharmacological manipulation of brain dopamine
levels could reveal how BG circuits might influence the acoustic structure of bat
echolocation pulses. A single intraperitoneal injection of a low dose (5 mg kg(
1)) of the neurotoxin 1-methyl-4-phenylpyridine (MPTP), which selectively targets
dopamine-producing cells of the substantia nigra, produced a rapid degradation in
pulse acoustic structure and eliminated the bat's ability to make compensatory
changes in pulse amplitude in response to background noise, i.e. the Lombard
response. However, high-performance liquid chromatography (HPLC) measurements of
striatal dopamine concentrations revealed that the main effect of MPTP was a
fourfold increase rather than the predicted decrease in striatal dopamine levels.
After first using autoradiographic methods to confirm the presence and location
of D(1)- and D(2)-type dopamine receptors in the bat striatum, systemic
injections of receptor subtype-specific agonists showed that MPTP's effects on
pulse acoustics were mimicked by a D(2)-type dopamine receptor agonist
(Quinpirole) but not by a D(1)-type dopamine receptor agonist (SKF82958). The
results suggest that BG circuits have the capacity to influence echolocation
pulse acoustics, particularly via D(2)-type dopamine receptor-mediated pathways,
and may therefore represent an important mechanism for vocal control in bats.
PMID- 21900474
TI - Comparative gene expression profiles for highly similar aggressive phenotypes in
male and female cichlid fishes (Julidochromis).
AB - Julidochromis marlieri and Julidochromis transcriptus are two closely related
Tanganyikan cichlids that have evolved different behavior and mating strategies
since they diverged from their common ancestor. While J. transcriptus follows the
ancestral pattern of male dominance, male-biased sexual size dimorphism and
territoriality, the pattern is reversed in J. marlieri. In J. marlieri, females
show all of these behavioral and morphological characteristics. This raises the
question of whether female J. marlieri achieve the dominant phenotype by
expressing the same genes as J. transcriptus males or whether novel brain gene
expression patterns have evolved to produce a similar behavioral phenotype in the
females of J. marlieri. This study used cDNA microarrays to investigate whether
female J. marlieri and male J. transcriptus show conserved or divergent patterns
of brain gene expression. Analysis of microarray data in both species showed
certain gene expression patterns associated with sex role independent of gonadal
sex and, to a lesser extent, gene expression patterns associated with sex
independent of sex role. In general, these data suggest that while there has been
substantial divergence in gene expression patterns between J. transcriptus and J.
marlieri, we can detect a highly significant overlap for a core set of genes
related to aggression in both species. These results suggest that the proximate
mechanisms regulating aggressive behavior in J. transcriptus and J. marlieri may
be shared.
PMID- 21900475
TI - Pulmonary compliance and lung volume varies with ecomorphology in anuran
amphibians: implications for ventilatory-assisted lymph flux.
AB - Vertical movement of lymph from ventral regions to the dorsally located lymph
hearts in anurans is accomplished by specialized skeletal muscles working in
concert with lung ventilation. We hypothesize that more terrestrial species with
greater lymph mobilization capacities and higher lymph flux rates will have
larger lung volumes and higher pulmonary compliance than more semi-aquatic or
aquatic species. We measured in situ mean and maximal compliance
(Deltavolume/Deltapressure), distensibility (%Deltavolume/Deltapressure) and lung
volume over a range of physiological pressures (1.0 to 4.0 cmH(2)O) for nine
species of anurans representing three families (Bufonide, Ranidae and Pipidae)
that span a range of body masses and habitats from terrestrial to aquatic. We
further examined the relationship between these pulmonary variables and lymph
flux for a semi-terrestrial bufonid (Rhinella marina), a semi-aquatic ranid
(Lithobates catesbeianus) and an aquatic pipid (Xenopus laevis). Allometric
scaling of pulmonary compliance and lung volume with body mass showed significant
differences at the family level, with scaling exponents ranging from ~0.75 in
Bufonidae to ~1.3 in Pipidae. Consistent with our hypothesis, the terrestrial
Bufonidae species had significantly greater pulmonary compliance and greater lung
volumes compared with semi-aquatic Ranidae and aquatic Pipidae species. Pulmonary
distensibility ranged from ~20 to 35% cmH(2)O(-1) for the three families but did
not correlate with ecomorphology. For the three species for which lymph flux data
are available, R. marina had a significantly higher (P<0.001) maximal compliance
(84.9+/-2.7 ml cmH(2)O(-1) kg(-1)) and lung volume (242.1+/-5.5 ml kg(-1))
compared with L. catesbeianus (54.5+/-0.12 ml cmH(2)O(-1) kg(-1) and 139.3+/-0.5
ml kg(-1)) and X. laevis (30.8+/-0.7 ml cmH(2)O(-1) kg(-1) and 61.3+/-2.5 ml kg(
1)). Lymph flux rates were also highest for R. marina, lowest for X. laevis and
intermediate in L. catesbeianus. Thus, there is a strong correlation between
pulmonary compliance, lung volume and lymph flux rates, which suggests that lymph
mobilization capacity may explain some of the variation in pulmonary compliance
and lung volume in anurans.
PMID- 21900476
TI - Roles of biogenic amines in regulating bioluminescence in the Australian glowworm
Arachnocampa flava.
AB - The glowworm Arachnocampa flava is a carnivorous fly larva (Diptera) that uses
light to attract prey into its web. The light organ is derived from cells of the
Malpighian tubules, representing a bioluminescence system that is unique to the
genus. Bioluminescence is modulated through the night although light levels
change quite slowly compared with the flashing of the better-known fireflies
(Coleoptera). The existing model for the neural regulation of bioluminescence in
Arachnocampa, based on use of anaesthetics and ligations, is that bioluminescence
is actively repressed during the non-glowing phase and the repression is
partially released during the bioluminescence phase. The effect of the
anaesthetic, carbon dioxide, on the isolated light organ from the present study
indicates that the repression is at least partially mediated at the light organ
itself rather than less directly through the central nervous system. Blocking of
neural signals from the central nervous system through ligation leads to
uncontrolled release of bioluminescence but light is emitted at relatively low
levels compared with under anaesthesia. Candidate biogenic amines were introduced
by several methods: feeding prey items injected with test solution, injecting the
whole larva, injecting a ligated section containing the light organ or bathing
the isolated light organ in test solution. Using these methods, dopamine,
serotonin and tyramine do not affect bioluminescence output. Exposure to elevated
levels of octopamine via feeding, injection or bathing of the isolated light
organ indicates that it is involved in the regulation of repression.
Administration of the octopamine antagonists phentolamine or mianserin results in
very high bioluminescence output levels, similar to the effect of anaesthetics,
but only mianserin acts directly on the light organ.
PMID- 21900477
TI - Social control of unreliable signals of strength in male but not female crayfish,
Cherax destructor.
AB - The maintenance of unreliable signals within animal populations remains a highly
controversial subject in studies of animal communication. Crustaceans are an
ideal group for studying unreliable signals of strength because their chela
muscles are cryptically concealed beneath an exoskeleton, making it difficult for
competitors to visually assess an opponent's strength. In this study, we examined
the importance of social avenues for mediating the possible advantages gained by
unreliable signals of strength in crustaceans. To do this, we investigated the
factors that determine social dominance and the relative importance of signalling
and fighting during aggressive encounters in male and female freshwater crayfish,
Cherax destructor. Like other species of crayfish, we expected substantial
variation in weapon force for a given weapon size, making the assessment of
actual fighting ability of an opponent difficult from signalling alone. In
addition, we expected fighting would be used to ensure that individuals that are
weak for their signal (i.e. chela) size would not achieve higher than expected
dominance. For both male and female C. destructor, we found large variation in
the actual force of their chela for any given weapon size, indicating that it is
difficult for competitors to accurately assess an opponent's force on signal size
alone. For males, these unreliable signals of strength were controlled socially
through increased levels of fighting and a decreased reliance on signalling, thus
directly limiting the benefits accrued to individuals employing high-quality
signals (large chelae) with only low resource holding potential. However, in
contrast to our predictions, we found that females primarily relied on signalling
to settle disputes, resulting in unreliable signals of strength being routinely
used to establish dominance. The reliance by females on unreliable signals to
determine dominance highlights our poor current understanding of the prevalence
and distribution of dishonesty in animal communication.
PMID- 21900478
TI - Long-term olfactory memories are stabilised via protein synthesis in Camponotus
fellah ants.
AB - Ants exhibit impressive olfactory learning abilities. Operant protocols in which
ants freely choose between rewarded and non-rewarded odours have been used to
characterise associative olfactory learning and memory. Yet, this approach
precludes the use of invasive methods allowing the dissection of molecular bases
of learning and memory. An open question is whether the memories formed upon
olfactory learning that are retrievable several days after training are indeed
based on de novo protein synthesis. Here, we addressed this question in the ant
Camponotus fellah using a conditioning protocol in which individually harnessed
ants learn an association between odour and reward. When the antennae of an ant
are stimulated with sucrose solution, the insect extends its maxilla-labium to
absorb the solution (maxilla-labium extension response). We differentially
conditioned ants to discriminate between two long-chain hydrocarbons, one paired
with sucrose and the other with quinine solution. Differential conditioning leads
to the formation of a long-term memory retrievable at least 72 h after training.
Long-term memory consolidation was impaired by the ingestion of cycloheximide, a
protein synthesis blocker, prior to conditioning. Cycloheximide did not impair
acquisition of either short-term memory (10 min) or early and late mid-term
memories (1 or 12 h). These results show that, upon olfactory learning, ants form
different memories with variable molecular bases. While short- and mid-term
memories do not require protein synthesis, long-term memories are stabilised via
protein synthesis. Our behavioural protocol opens interesting research avenues to
explore the cellular and molecular bases of olfactory learning and memory in
ants.
PMID- 21900479
TI - Fatigue-related adaptations in muscle coordination during a cyclic exercise in
humans.
AB - Muscle fatigue is an exercise-induced reduction in the capability of a muscle to
generate force. A possible strategy to counteract the effects of fatigue is to
modify muscle coordination. We designed this study to quantify the effect of
fatigue on muscle coordination during a cyclic exercise involving numerous
muscles. Nine human subjects were tested during a constant-load rowing exercise
(mean power output: 217.9+/-32.4 W) performed until task failure. The forces
exerted at the handle and the foot-stretcher were measured continuously and were
synchronized with surface electromyographic (EMG) signals measured in 23 muscles.
In addition to a classical analysis of individual EMG data (EMG profile and EMG
activity level), a non-negative matrix factorization algorithm was used to
identify the muscle synergies at the start and the end of the test. Among the 23
muscles tested, 16 showed no change in their mean activity level across the
rowing cycle, five (biceps femoris, gluteus maximus, semitendinosus, trapezius
medius and vastus medialis) showed a significant increase and two (gastrocnemius
lateralis and longissimus) showed a significant decrease. We found no change in
the number of synergies during the fatiguing test, i.e. three synergies accounted
for more than 90% of variance accounted for at the start (92.4+/-1.5%) and at the
end (91.0+/-1.8%) of the exercise. Very slight modifications at the level of
individual EMG profiles, synergy activation coefficients and muscle synergy
vectors were observed. These results suggest that fatigue during a cyclic task
preferentially induces an adaptation in muscle activity level rather than changes
in the modular organization of the muscle coordination.
PMID- 21900480
TI - Forelimb kinematics and motor patterns of swimming loggerhead sea turtles
(Caretta caretta): are motor patterns conserved in the evolution of new locomotor
strategies?
AB - Novel functions in animals may evolve through changes in morphology, muscle
activity or a combination of both. The idea that new functions or behavior can
arise solely through changes in structure, without concurrent changes in the
patterns of muscle activity that control movement of those structures, has been
formalized as the neuromotor conservation hypothesis. In vertebrate locomotor
systems, evidence for neuromotor conservation is found across evolutionary
transitions in the behavior of terrestrial species, and in evolutionary
transitions from terrestrial species to flying species. However, evolutionary
transitions in the locomotion of aquatic species have received little comparable
study to determine whether changes in morphology and muscle function were
coordinated through the evolution of new locomotor behavior. To evaluate the
potential for neuromotor conservation in an ancient aquatic system, we quantified
forelimb kinematics and muscle activity during swimming in the loggerhead sea
turtle, Caretta caretta. Loggerhead forelimbs are hypertrophied into wing-like
flippers that produce thrust via dorsoventral forelimb flapping. We compared
kinematic and motor patterns from loggerheads with previous data from the red
eared slider, Trachemys scripta, a generalized freshwater species exhibiting
unspecialized forelimb morphology and anteroposterior rowing motions during
swimming. For some forelimb muscles, comparisons between C. caretta and T.
scripta support neuromotor conservation; for example, the coracobrachialis and
the latissimus dorsi show similar activation patterns. However, other muscles
(deltoideus, pectoralis and triceps) do not show neuromotor conservation; for
example, the deltoideus changes dramatically from a limb protractor/elevator in
sliders to a joint stabilizer in loggerheads. Thus, during the evolution of
flapping in sea turtles, drastic restructuring of the forelimb was accompanied by
both conservation and evolutionary novelty in limb motor patterns.
PMID- 21900481
TI - Arabidopsis roots and shoots show distinct temporal adaptation patterns toward
nitrogen starvation.
AB - Nitrogen (N) is an essential macronutrient for plants. N levels in soil vary
widely, and plants have developed strategies to cope with N deficiency. However,
the regulation of these adaptive responses and the coordinating signals that
underlie them are still poorly understood. The aim of this study was to
characterize N starvation in adult Arabidopsis (Arabidopsis thaliana) plants in a
spatiotemporal manner by an integrative, multilevel global approach analyzing
growth, metabolites, enzyme activities, and transcript levels. We determined that
the remobilization of N and carbon compounds to the growing roots occurred long
before the internal N stores became depleted. A global metabolite analysis by gas
chromatography-mass spectrometry revealed organ-specific differences in the
metabolic adaptation to complete N starvation, for example, for several
tricarboxylic acid cycle intermediates, but also for carbohydrates, secondary
products, and phosphate. The activities of central N metabolism enzymes and the
capacity for nitrate uptake adapted to N starvation by favoring N remobilization
and by increasing the high-affinity nitrate uptake capacity after long-term
starvation. Changes in the transcriptome confirmed earlier studies and added a
new dimension by revealing specific spatiotemporal patterns and several unknown N
starvation-regulated genes, including new predicted small RNA genes. No global
correlation between metabolites, enzyme activities, and transcripts was evident.
However, this multilevel spatiotemporal global study revealed numerous new
patterns of adaptation mechanisms to N starvation. In the context of a
sustainable agriculture, this work will give new insight for the production of
crops with increased N use efficiency.
PMID- 21900482
TI - A role for protein kinase casein kinase2 alpha-subunits in the Arabidopsis
circadian clock.
AB - Circadian rhythms are autoregulatory, endogenous rhythms with a period of
approximately 24 h. A wide variety of physiological and molecular processes are
regulated by the circadian clock in organisms ranging from bacteria to humans.
Phosphorylation of clock proteins plays a critical role in generating proper
circadian rhythms. Casein Kinase2 (CK2) is an evolutionarily conserved
serine/threonine protein kinase composed of two catalytic alpha-subunits and two
regulatory beta-subunits. Although most of the molecular components responsible
for circadian function are not conserved between kingdoms, CK2 is a well
conserved clock component modulating the stability and subcellular localization
of essential clock proteins. Here, we examined the effects of a cka1a2a3 triple
mutant on the Arabidopsis (Arabidopsis thaliana) circadian clock. Loss-of
function mutations in three nuclear-localized CK2alpha subunits result in period
lengthening of various circadian output rhythms and central clock gene
expression, demonstrating that the cka1a2a3 triple mutant affects the pace of the
circadian clock. Additionally, the cka1a2a3 triple mutant has reduced levels of
CK2 kinase activity and CIRCADIAN CLOCK ASSOCIATED1 phosphorylation in vitro.
Finally, we found that the photoperiodic flowering response, which is regulated
by circadian rhythms, was reduced in the cka1a2a3 triple mutant and that the
plants flowered later under long-day conditions. These data demonstrate that
CK2alpha subunits are important components of the Arabidopsis circadian system
and their effects on rhythms are in part due to their phosphorylation of
CIRCADIAN CLOCK ASSOCIATED1.
PMID- 21900483
TI - Brush and spray: a high-throughput systemic acquired resistance assay suitable
for large-scale genetic screening.
AB - Systemic acquired resistance (SAR) is a defense mechanism induced in the distal
parts of plants after primary infection. It confers long-lasting protection
against a broad spectrum of microbial pathogens. Lack of high-throughput assays
has hampered the forward genetic analysis of SAR. Here, we report the development
of an easy and efficient assay for SAR and its application in a forward genetic
screen for SAR-deficient mutants in Arabidopsis (Arabidopsis thaliana). Using the
new assay for SAR, we identified six flavin-dependent monooxygenase1, four AGD2
like defense response protein1, three salicylic acid induction-deficient2, one
phytoalexin deficient4, and one avrPphB-susceptible3 alleles as well as a gain-of
function mutant of CALMODULIN-BINDING TRANSCRIPTION ACTIVATOR3 designated camta3
3D. Like transgenic plants overexpressing CAMTA3, camta3-3D mutant plants exhibit
compromised SAR and enhanced susceptibility to virulent pathogens, suggesting
that CAMTA3 is a critical regulator of both basal resistance and SAR.
PMID- 21900484
TI - Incidence of and risk factors for colistin-associated nephrotoxicity in a large
academic health system.
AB - BACKGROUND: Colistin, originally abandoned due to high rates of nephrotoxicity,
has been recently reintroduced due to activity against carbapenem-resistant Gram
negative organisms. Recent literature, largely obtained from outside the United
States, suggests a lower rate of nephrotoxicity than historically reported.
METHODS: A retrospective cohort of all patients who received colistin for >= 48
hours at the Detroit Medical Center over a 5-year period was performed to
determine the rate of colistin-associated nephrotoxicity as defined by the RIFLE
criteria. RESULTS: Fifty-four (43%) patients in the cohort developed
nephrotoxicity. Patients who experienced nephrotoxicity after colistin
administration were in the Risk (13%), Injury (17%), or Failure (13%) categories
per RIFLE criteria. Patients who developed nephrotoxicity received significantly
higher mean doses than those who did not (5.48 mg/kg per day vs 3.95 mg/kg per
day; P < .001), and the toxicity occurred in a dose-dependent fashion.
Independent predictors for nephrotoxicity were a colistin dose of >= 5.0 mg/kg
per day of ideal body weight (odds ratio [OR], 23.41; 95% confidence interval
[CI], 5.3-103.55), receipt of concomitant rifampin (OR, 3.81; 95% CI, 1.42-10.2),
and coadministration of >= 3 concomitant nephrotoxins (OR, 6.80; 95% CI, 1.42
32.49). CONCLUSIONS: In this retrospective cohort, nephrotoxicity (as defined by
RIFLE criteria) occurred among 43% of treated patients in a dose-dependent
manner. Higher colistin doses, similar to those commonly used in the United
States, led to a relatively high rate of nephrotoxicity. These data raise
important questions regarding the safe use of colistin in the treatment of
multidrug-resistant pathogens.
PMID- 21900485
TI - The prescription of antimicrobials in Brazil.
PMID- 21900487
TI - Prevalence of toscana and sicilian phlebovirus antibodies in classic Kaposi
sarcoma case patients and control subjects in sicily.
AB - To assess whether arthropod bites promote Kaposi sarcoma (KS), we determined the
seroprevalence of Sicilian (SFSV) and Toscana (TOSV) phlebovirus antibodies in 30
patients with classic KS and 100 controls in Sicily. Nine (6.9%) subjects, all
controls, were positive for SFSV, whereas 41 (31.5%) were positive for TOSV.
Seroprevalence with immunoglobulin (Ig) M or IgG against either virus was
significantly higher in controls (43% vs 13.3% in case patients; P < .01).
Adjusted for age, IgG seroprevalence was significantly lower in KS patients
compared to controls (adjusted odds ratio, 0.22; 95% confidence interval, .07
.72). Low phlebovirus seroprevalence in patients with KS may reflect incapacity
to produce robust, persistent antibody responses, and suggests that arthropod
bites do not promote KS.
PMID- 21900486
TI - LPLUNC1 modulates innate immune responses to Vibrio cholerae.
AB - BACKGROUND: Recent studies demonstrate that long palate, lung, and nasal
epithelium clone 1 protein (LPLUNC1) is involved in immune responses to Vibrio
cholerae, and that variations in the LPLUNC1 promoter influence susceptibility to
severe cholera in humans. However, no functional role for LPLUNC1 has been
identified. METHODS: We investigated the role of LPLUNC1 in immune responses to
V. cholerae, assessing its affect on bacterial growth and killing and on innate
inflammatory responses to bacterial outer membrane components, including purified
lipopolysaccharide (LPS) and outer membrane vesicles. We performed immunostaining
for LPLUNC1 in duodenal biopsies from cholera patients and uninfected controls.
RESULTS: LPLUNC1 decreased proinflammatory innate immune responses to V. cholerae
and Escherichia coli LPS. The effect of LPLUNC1 was dose-dependent and occurred
in a TLR4-dependent manner. LPLUNC1 did not affect lipoprotein-mediated TLR2
activation. Immunostaining demonstrated expression of LPLUNC1 in Paneth cells in
cholera patients and controls. CONCLUSIONS: Our results demonstrate that LPLUNC1
is expressed in Paneth cells and likely plays a role in modulating host
inflammatory responses to V. cholerae infection. Attenuation of innate immune
responses to LPS by LPLUNC1 may have implications for the maintenance of immune
homeostasis in the intestine.
PMID- 21900488
TI - Toll-like receptor 2 mediates fatal immunopathology in mice during treatment of
secondary pneumococcal pneumonia following influenza.
AB - Host inflammatory responses contribute to the significant immunopathology that
occurs during treatment of secondary bacterial pneumonia following influenza. We
undertook the present study to determine the mechanisms underlying disparate
outcomes in a mouse model with beta-lactam and macrolide antibiotics. Lysis of
superinfecting bacteria by ampicillin caused an extensive influx of neutrophils
into the lungs resulting in a consolidative pneumonia, necrotic lung damage, and
significant mortality. This was mediated through Toll-like receptor (TLR) 2 and
was independent of TLR4 and the Streptococcus pneumoniae cytotoxin pneumolysin.
Treatment with azithromycin prevented neutrophil accumulation and rescued mice
from subsequent mortality. This effect was independent of the antibacterial
activity of this macrolide since dual therapy with ampicillin and azithromycin
against an azithromycin-resistant strain also was able to cure secondary
pneumonia. These data suggest that strategies for eliminating bacteria without
lysis coupled with immunomodulation of inflammation should be pursued clinically.
PMID- 21900489
TI - The filament-forming protein Pil1 assembles linear eisosomes in fission yeast.
AB - The cortical cytoskeleton mediates a range of cellular activities such as
endocytosis, cell motility, and the maintenance of cell rigidity. Traditional
polymers, including actin, microtubules, and septins, contribute to the cortical
cytoskeleton, but additional filament systems may also exist. In yeast cells,
cortical structures called eisosomes generate specialized domains termed MCCs to
cluster specific proteins at sites of membrane invaginations. Here we show that
the core eisosome protein Pil1 forms linear cortical filaments in fission yeast
cells and that purified Pil1 assembles into filaments in vitro. In cells, Pil1
cortical filaments are excluded from regions of cell growth and are independent
of the actin and microtubule cytoskeletons. Pil1 filaments assemble slowly at the
cell cortex and appear stable by time-lapse microscopy and fluorescence recovery
after photobleaching. This stability does not require the cell wall, but Pil1 and
the transmembrane protein Fhn1 colocalize and are interdependent for localization
to cortical filaments. Increased Pil1 expression leads to cytoplasmic Pil1 rods
that are stable and span the length of cylindrical fission yeast cells. We
propose that Pil1 is a novel component of the yeast cytoskeleton, with
implications for the role of filament assembly in the spatial organization of
cells.
PMID- 21900490
TI - The chromatin remodeler ISWI regulates the cellular response to hypoxia: role of
FIH.
AB - The hypoxia-inducible factor (HIF) is a master regulator of the cellular response
to hypoxia. Its levels and activity are controlled by dioxygenases called prolyl
hydroxylases and factor inhibiting HIF (FIH). To activate genes, HIF has to
access sequences in DNA that are integrated in chromatin. It is known that the
chromatin-remodeling complex switch/sucrose nonfermentable (SWI/SNF) is essential
for HIF activity. However, no additional information exists about the role of
other chromatin-remodeling enzymes in hypoxia. Here we describe the role of
imitation switch (ISWI) in the cellular response to hypoxia. We find that unlike
SWI/SNF, ISWI depletion enhances HIF activity without altering its levels.
Furthermore, ISWI knockdown only alters a subset of HIF target genes.
Mechanistically, we find that ISWI is required for full expression of FIH mRNA
and protein levels by changing RNA polymerase II loading to the FIH promoter. Of
interest, exogenous FIH can rescue the ISWI-mediated upregulation of CA9 but not
BNIP3, suggesting that FIH-independent mechanisms are also involved. Of
importance, ISWI depletion alters the cellular response to hypoxia by reducing
autophagy and increasing apoptosis. These results demonstrate a novel role for
ISWI as a survival factor during the cellular response to hypoxia.
PMID- 21900491
TI - beta-Actin specifically controls cell growth, migration, and the G-actin pool.
AB - Ubiquitously expressed beta-actin and gamma-actin isoforms play critical roles in
most cellular processes; however, their unique contributions are not well
understood. We generated whole-body beta-actin-knockout (Actb(-/-)) mice and
demonstrated that beta-actin is required for early embryonic development.
Lethality of Actb(-/-) embryos correlated with severe growth impairment and
migration defects in beta-actin-knockout primary mouse embryonic fibroblasts
(MEFs) that were not observed in gamma-actin-null MEFs. Migration defects were
associated with reduced membrane protrusion dynamics and increased focal
adhesions. We also identified migration defects upon conditional ablation of beta
actin in highly motile T cells. Of great interest, ablation of beta-actin altered
the ratio of globular actin (G-actin) to filamentous actin in MEFs, with
corresponding changes in expression of genes that regulate the cell cycle and
motility. These data support an essential role for beta-actin in regulating cell
migration and gene expression through control of the cellular G-actin pool.
PMID- 21900492
TI - STARD4 abundance regulates sterol transport and sensing.
AB - Nonvesicular transport of cholesterol plays an essential role in the distribution
and regulation of cholesterol within cells, but it has been difficult to identify
the key intracellular cholesterol transporters. The steroidogenic acute
regulatory-related lipid-transfer (START) family of proteins is involved in
several pathways of nonvesicular trafficking of sterols. Among them, STARD4 has
been shown to increase intracellular cholesteryl ester formation and is
controlled at the transcriptional level by sterol levels in cells. We found that
STARD4 is very efficient in transporting sterol between membranes in vitro.
Cholesterol levels are increased in STARD4-silenced cells, while sterol transport
to the endocytic recycling compartment (ERC) and to the endoplasmic reticulum
(ER) are enhanced upon STARD4 overexpression. STARD4 silencing attenuates
cholesterol-mediated regulation of SREBP-2 activation, while its overexpression
amplifies sterol sensing by SCAP/SREBP-2. To analyze STARD4's mode of action, we
compared sterol transport mediated by STARD4 with that of a simple sterol
carrier, methyl-beta-cyclodextrin (MCD), when STARD4 and MCD were overexpressed
or injected into cells. Interestingly, STARD4 and cytosolic MCD act similarly by
increasing the rate of transfer of sterol to the ERC and to the ER. Our results
suggest that cholesterol transport mediated by STARD4 is an important component
of the cholesterol homeostasis regulatory machinery.
PMID- 21900493
TI - Dual roles of Munc18-1 rely on distinct binding modes of the central cavity with
Stx1A and SNARE complex.
AB - Sec1/Munc18 proteins play a fundamental role in multiple steps of intracellular
membrane trafficking. Dual functions have been attributed to Munc18-1: it can act
as a chaperone when it interacts with monomeric syntaxin 1A, and it can activate
soluble N-ethylmaleimide-sensitive factor attachment protein receptors (SNAREs)
for membrane fusion when it binds to SNARE complexes. Although both modes of
binding involve the central cavity of Munc18-1, their precise molecular
mechanisms of action are not fully understood. In this paper, we describe a novel
Munc18-1 mutant in the central cavity that showed a reduced interaction with
syntaxin 1A and impaired chaperone function, but still bound to assembled SNARE
complexes and promoted liposome fusion and secretion in neuroendocrine cells.
Soluble syntaxin 1A H3 domain partially blocks Munc18-1 activation of liposome
fusion by occupying the Munc18-1 central cavity. Our findings lead us to propose
a transition model between the two distinct binding modes by which Munc18 can
control and assist in SNARE-complex assembly during neurotransmitter release.
PMID- 21900494
TI - The tumor suppressor adenomatous polyposis coli controls the direction in which a
cell extrudes from an epithelium.
AB - Despite high rates of cell death, epithelia maintain intact barriers by squeezing
dying cells out using a process termed cell extrusion. Cells can extrude apically
into the lumen or basally into the tissue the epithelium encases, depending on
whether actin and myosin contract at the cell base or apex, respectively. We
previously found that microtubules in cells surrounding a dying cell target p115
RhoGEF to the actin cortex to control where contraction occurs. However, what
controls microtubule targeting to the cortex and whether the dying cell also
controls the extrusion direction were unclear. Here we find that the tumor
suppressor adenomatous polyposis coli (APC) controls microtubule targeting to the
cell base to drive apical extrusion. Whereas wild-type cells preferentially
extrude apically, cells lacking APC or expressing an oncogenic APC mutation
extrude predominantly basally in cultured monolayers and zebrafish epidermis.
Thus APC is essential for driving extrusion apically. Surprisingly, although APC
controls microtubule reorientation and attachment to the actin cortex in cells
surrounding the dying cell, it does so by controlling actin and microtubules
within the dying cell. APC disruptions that are common in colon and breast cancer
may promote basal extrusion of tumor cells, which could enable their exit and
subsequent migration.
PMID- 21900495
TI - Coupling of T161 and T14 phosphorylations protects cyclin B-CDK1 from premature
activation.
AB - Mitosis is triggered by the abrupt dephosphorylation of inhibitory Y15 and T14
residues of cyclin B1-bound cyclin-dependent kinase (CDK)1 that is also
phosphorylated at T161 in its activation loop. The sequence of events leading to
the accumulation of fully phosphorylated cyclin B1-CDK1 complexes remains
unclear. Two-dimensional gel electrophoresis allowed us to determine whether T14,
Y15, and T161 phosphorylations occur on same CDK1 molecules and to characterize
the physiological occurrence of their seven phosphorylation combinations.
Intriguingly, in cyclin B1-CDK1, the activating T161 phosphorylation never
occurred without the T14 phosphorylation. This strict association could not be
uncoupled by a substantial reduction of T14 phosphorylation in response to Myt1
knockdown, suggesting some causal relationship. However, T14 phosphorylation was
not directly required for T161 phosphorylation, because Myt1 knockdown did
uncouple these phosphorylations when leptomycin B prevented cyclin B1-CDK1
complexes from accumulating in cytoplasm. The coupling mechanism therefore
depended on unperturbed cyclin B1-CDK1 traffic. The unexpected observation that
the activating phosphorylation of cyclin B1-CDK1 was tightly coupled to its T14
phosphorylation, but not Y15 phosphorylation, suggests a mechanism that prevents
premature activation by constitutively active CDK-activating kinase. This
explained the opposite effects of reduced expression of Myt1 and Wee1, with only
the latter inducing catastrophic mitoses.
PMID- 21900496
TI - The SCAR/WAVE complex is necessary for proper regulation of traction stresses
during amoeboid motility.
AB - Cell migration requires a tightly regulated, spatiotemporal coordination of
underlying biochemical pathways. Crucial to cell migration is SCAR/WAVE-mediated
dendritic F-actin polymerization at the cell's leading edge. Our goal is to
understand the role the SCAR/WAVE complex plays in the mechanics of amoeboid
migration. To this aim, we measured and compared the traction stresses exerted by
Dictyostelium cells lacking the SCAR/WAVE complex proteins PIR121 (pirA(-)) and
SCAR (scrA(-)) with those of wild-type cells while they were migrating on flat,
elastic substrates. We found that, compared to wild type, both mutant strains
exert traction stresses of different strengths that correlate with their F-actin
levels. In agreement with previous studies, we found that wild-type cells migrate
by repeating a motility cycle in which the cell length and strain energy exerted
by the cells on their substrate vary periodically. Our analysis also revealed
that scrA(-) cells display an altered motility cycle with a longer period and a
lower migration velocity, whereas pirA(-) cells migrate in a random manner
without implementing a periodic cycle. We present detailed characterization of
the traction-stress phenotypes of the various cell lines, providing new insights
into the role of F-actin polymerization in regulating cell-substratum
interactions and stresses required for motility.
PMID- 21900497
TI - Coordinated regulation of sulfur and phospholipid metabolism reflects the
importance of methylation in the growth of yeast.
AB - A yeast strain lacking Met4p, the primary transcriptional regulator of the sulfur
assimilation pathway, cannot synthesize methionine. This apparently simple
auxotroph did not grow well in rich media containing excess methionine, forming
small colonies on yeast extract/peptone/dextrose plates. Faster-growing large
colonies were abundant when overnight cultures were plated, suggesting that
spontaneous suppressors of the growth defect arise with high frequency. To
identify the suppressor mutations, we used genome-wide single-nucleotide
polymorphism and standard genetic analyses. The most common suppressors were loss
of-function mutations in OPI1, encoding a transcriptional repressor of
phospholipid metabolism. Using a new system that allows rapid and specific
degradation of Met4p, we could study the dynamic expression of all genes
following loss of Met4p. Experiments using this system with and without Opi1p
showed that Met4 activates and Opi1p represses genes that maintain levels of S
adenosylmethionine (SAM), the substrate for most methyltransferase reactions.
Cells lacking Met4p grow normally when either SAM is added to the media or one of
the SAM synthetase genes is overexpressed. SAM is used as a methyl donor in three
Opi1p-regulated reactions to create the abundant membrane phospholipid,
phosphatidylcholine. Our results show that rapidly growing cells require
significant methylation, likely for the biosynthesis of phospholipids.
PMID- 21900499
TI - Selective regulation of autophagy by the Iml1-Npr2-Npr3 complex in the absence of
nitrogen starvation.
AB - Autophagy is an evolutionarily conserved pathway for the degradation of
intracellular contents. How autophagy is regulated, especially upon changes in
metabolic and nutritional state, remains poorly understood. By using a
prototrophic strain of Saccharomyces cerevisiae, we observed that, unexpectedly,
autophagy is strongly induced simply upon switch from a rich medium to a minimal
medium in the complete absence of nitrogen starvation. This novel form of
autophagy was termed "non-nitrogen-starvation (NNS)-induced autophagy." A visual
screen uncovered three regulators of autophagy-Iml1p, Npr2p, and Npr3p-which
function in the same complex and are selectively required for NNS-induced
autophagy. During NNS-induced autophagy, Iml1p localized to either
preautophagosomal structures (PAS) or non-PAS punctate structures. This
localization suggests that Iml1p or the Iml1p-Npr2p-Npr3p complex might regulate
autophagosome formation. Ultrastructural analysis confirmed that autophagosome
formation was strongly impaired in Deltaiml1, Deltanpr2, and Deltanpr3 cells
during NNS-induced autophagy. Moreover, Iml1p contains a conserved domain that is
required for NNS-induced autophagy as well as complex formation. Collectively,
our findings have revealed the existence of additional mechanisms that regulate
autophagy under previously unrecognized conditions, in response to relatively
more subtle changes in metabolic and nutritional state.
PMID- 21900500
TI - Novel function of keratins 5 and 14 in proliferation and differentiation of
stratified epithelial cells.
AB - Keratins are cytoplasmic intermediate filament proteins preferentially expressed
by epithelial tissues in a site-specific and differentiation-dependent manner.
The complex network of keratin filaments in stratified epithelia is tightly
regulated during squamous cell differentiation. Keratin 14 (K14) is expressed in
mitotically active basal layer cells, along with its partner keratin 5 (K5), and
their expression is down-regulated as cells differentiate. Apart from the
cytoprotective functions of K14, very little is known about K14 regulatory
functions, since the K14 knockout mice show postnatal lethality. In this study,
K14 expression was inhibited using RNA interference in cell lines derived from
stratified epithelia to study the K14 functions in epithelial homeostasis. The
K14 knockdown clones demonstrated substantial decreases in the levels of the K14
partner K5. These cells showed reduction in cell proliferation and delay in cell
cycle progression, along with decreased phosphorylated Akt levels. K14 knockdown
cells also exhibited enhanced levels of activated Notch1, involucrin, and K1. In
addition, K14 knockdown AW13516 cells showed significant reduction in
tumorigenicity. Our results suggest that K5 and K14 may have a role in
maintenance of cell proliferation potential in the basal layer of stratified
epithelia, modulating phosphatidylinositol 3-kinase/Akt-mediated cell
proliferation and/or Notch1-dependent cell differentiation.
PMID- 21900501
TI - The SHP-1 protein tyrosine phosphatase negatively modulates Akt signaling in the
ghrelin/GHSR1a system.
AB - The aim of the present study was to identify the signaling mechanism(s)
responsible for the modulation of growth hormone secretagogue receptor type 1a
(GHSR1a)-associated Akt activity. Ghrelin leads to the activation of Akt through
the interplay of distinct signaling mechanisms: an early G(i/o) protein-dependent
pathway and a late pathway mediated by beta-arrestins. We found that the Src
homology 2-containing protein tyrosine phosphatase (SHP-1) was an essential
molecule in both G(i/o) protein-dependent and beta-arrestin-mediated pathways.
More specifically, the role of SHP-1 in the G(i/o) protein-dependent pathway was
demonstrated by the fact that the overexpression of a catalytically defective SHP
1 augments tyrosine phosphorylation of the PI3K regulatory subunit p85, leading
to an increase in the phosphorylation of cSrc and phosphoinositide-dependent
protein kinase 1, and finally activating Akt. The presence of SHP-1 in the beta
arrestin-scaffolded complex and its attenuating effect on the cSrc and Akt
activities verified that SHP-1 regulates not only the G(i/o) protein-dependent
pathway but also the beta-arrestin-mediated pathway. Assays performed in
preadipocyte and adipocyte 3T3-L1 cells showed SHP-1 expression. According to our
results in HEK-GHSR1a cells, ghrelin stimulated SHP-1 phosphorylation in 3T3-L1
cells. The increase in ghrelin-induced Akt activity was enhanced by small
interfering RNA of SHP-1 in preadipocyte 3T3-L1 cells. These results were
reproduced in white adipose tissue obtained from mice, in which SHP-1 exhibited
higher expression in omental than in subcutaneous tissue. Furthermore, this
pattern of expression was inverted in mice fed a high-fat diet, suggesting a role
for SHP-1 in controlling ghrelin sensitivity in adipose tissue. Indeed, SHP-1
deficiency was associated with augmented ghrelin-evoked Akt phosphorylation in
omental tissue, as well as decreased phosphorylation under overexpression of SHP
1 in subcutaneous tissue. These findings showed a novel role for SHP-1 in the
regulation of Akt activity through the modulation of the ghrelin/GHSR1a system
signaling.
PMID- 21900503
TI - The Pot1a-associated proteins Tpt1 and Pat1 coordinate telomere protection and
length regulation in Tetrahymena.
AB - We have identified two new telomere proteins, Tpt1 and Pat1, from the ciliate
Tetrahymena thermophila. Although Tetrahymena telomerase is well characterized,
only one telomere protein had previously been identified. This was the G-overhang
binding-protein Pot1a. Tpt1 and Pat1 were isolated as Pot1a binding partners and
shown to localize to telomeres. As Tpt1 and Pat1 were both found to be essential,
conditional cell lines were generated to explore their function. Tpt1 depletion
caused a rapid growth arrest and telomere elongation in the absence of cell
division. The phenotype was similar to that seen after Pot1a depletion suggesting
that Tpt1 and Pot1a function together to regulate telomere length and prevent
telomere deprotection. In contrast, Pat1 depletion had a modest effect on cell
growth but caused progressive telomere shortening similar to that observed upon
TERT depletion. Thus Pat1 appears to be needed for telomerase to maintain the
chromosome terminus. Analysis of Pot1a-Tpt1-Pat1 complex formation using purified
proteins indicated that Tpt1 interacts directly with Pot1a while Pat1 interacts
with Tpt1. Our results indicate that Tpt1 is the Tetrahymena equivalent of
mammalian TPP1, Schizosaccharomyces pombe Tpz1, and Oxytricha nova TEBPbeta.
PMID- 21900502
TI - Munc18-1 domain-1 controls vesicle docking and secretion by interacting with
syntaxin-1 and chaperoning it to the plasma membrane.
AB - Munc18-1 plays pleiotropic roles in neurosecretion by acting as 1) a molecular
chaperone of syntaxin-1, 2) a mediator of dense-core vesicle docking, and 3) a
priming factor for soluble N-ethylmaleimide-sensitive factor attachment protein
receptor-mediated membrane fusion. However, how these functions are executed and
whether they are correlated remains unclear. Here we analyzed the role of the
domain-1 cleft of Munc18-1 by measuring the abilities of various mutants (D34N,
D34N/M38V, K46E, E59K, K46E/E59K, K63E, and E66A) to bind and chaperone syntaxin
1 and to restore the docking and secretion of dense-core vesicles in Munc18-1/-2
double-knockdown cells. We identified striking correlations between the abilities
of these mutants to bind and chaperone syntaxin-1 with their ability to restore
vesicle docking and secretion. These results suggest that the domain-1 cleft of
Munc18-1 is essential for binding to syntaxin-1 and thereby critical for its
chaperoning, docking, and secretory functions. Our results demonstrate that the
effect of the alleged priming mutants (E59K, D34N/M38V) on exocytosis can largely
be explained by their reduced syntaxin-1-chaperoning functions. Finally, our data
suggest that the intracellular expression and distribution of syntaxin-1
determines the level of dense-core vesicle docking.
PMID- 21900505
TI - City governments and aging in place: community design, transportation and housing
innovation adoption.
AB - PURPOSE OF THE STUDY: To examine the characteristics associated with city
government adoption of community design, housing, and transportation innovations
that could benefit older adults. DESIGN AND METHODS: A mixed-methods study with
quantitative data collected via online surveys from 62 city planners combined
with qualitative data collected via telephone interviews with a subsample of 18
survey respondents. RESULTS: Results indicate that advocacy is an effective
strategy to encourage city government adoption of these innovations. Percent of
the population with a disability was positively associated, whereas percent of
the population aged 65 and older was not associated or negatively associated,
with innovation adoption in the regression models. Qualitative interviews suggest
that younger individuals with disabilities are more active in local advocacy
efforts. IMPLICATIONS: Results suggest that successful advocacy strategies for
local government adoption include facilitating the involvement of older
residents, targeting key decision makers within government, emphasizing the
financial benefits to the city, and focusing on cities whose aging residents are
vulnerable to disease and disability.
PMID- 21900504
TI - Membrane-targeted WAVE mediates photoreceptor axon targeting in the absence of
the WAVE complex in Drosophila.
AB - A tight spatial-temporal coordination of F-actin dynamics is crucial for a large
variety of cellular processes that shape cells. The Abelson interactor (Abi) has
a conserved role in Arp2/3-dependent actin polymerization, regulating Wiskott
Aldrich syndrome protein (WASP) and WASP family verprolin-homologous protein
(WAVE). In this paper, we report that Abi exerts nonautonomous control of
photoreceptor axon targeting in the Drosophila visual system through WAVE. In abi
mutants, WAVE is unstable but restored by reexpression of Abi, confirming that
Abi controls the integrity of the WAVE complex in vivo. Remarkably, expression of
a membrane-tethered WAVE protein rescues the axonal projection defects of abi
mutants in the absence of the other subunits of the WAVE complex, whereas
cytoplasmic WAVE only slightly affects the abi mutant phenotype. Thus complex
formation not only stabilizes WAVE, but also provides further membrane-recruiting
signals, resulting in an activation of WAVE.
PMID- 21900506
TI - The pain of "chronic Lyme disease": moving the discourse in a different
direction.
AB - About 30% of the population of the United States suffers from acute or chronic
pain, often of unknown cause. Among this group might be included patients with
symptoms claimed to be caused by a poorly defined condition called "chronic Lyme
disease" in which chronic pain is a major contributor. Since there is no evidence
to indicate that chronic Lyme disease is due to a persistent infection and that
extended antibiotic therapy is beneficial and safe, this condition should not be
viewed solely as an infectious disease problem. Rather, it should be considered
within the context of a broad-based, multidisciplinary approach to determining
the cause of chronic pain per se and developing more effective strategies for its
treatment as outlined in a recent report on pain issued by the Institute of
Medicine.
PMID- 21900507
TI - Expression and functional properties of TRPM2 channels in dopaminergic neurons of
the substantia nigra of the rat.
AB - Transient receptor potential melastatin 2 (TRPM2) channels are sensitive to
oxidative stress, and their activation can lead to cell death. Although these
channels have been extensively studied in expression systems, their role in the
brain, particularly in the substantia nigra pars compacta (SNc), remains unknown.
In this study, we assessed the expression and functional properties of TRPM2
channels in rat dopaminergic SNc neurons, using acute brain slices. RT-PCR
analysis revealed TRPM2 mRNA expression in the SNc region. Immunohistochemistry
demonstrated expression of TRPM2 protein in tyrosine hydroxylase-positive
neurons. Channel function was tested with whole cell patch-clamp recordings and
calcium (fura-2) imaging. Intracellular application of ADP-ribose (50-400 MUM)
evoked a dose-dependent, desensitizing inward current and intracellular free
calcium concentration ([Ca(2+)](i)) rise. These responses were strongly inhibited
by the nonselective TRPM2 channel blockers clotrimazole and flufenamic acid.
Exogenous application of H(2)O(2) (1-5 mM) evoked a rise in [Ca(2+)](i) and an
outward current mainly due to activation of ATP-sensitive potassium (K(ATP))
channels. Inhibition of K(+) conductance with Cs(+) and tetraethylammonium
unmasked an inward current. The inward current and/or [Ca(2+)](i) rise were
partially blocked by clotrimazole and N-(p-amylcinnamoyl)anthranilic acid (ACA).
The H(2)O(2)-induced [Ca(2+)](i) rise was abolished in "zero" extracellular
Ca(2+) concentration and was enhanced at higher baseline [Ca(2+)](i), consistent
with activation of TRPM2 channels in the cell membrane. These results provide
evidence for the functional expression of TRPM2 channels in dopaminergic SNc
neurons. Given the involvement of oxidative stress in degeneration of SNc neurons
in Parkinson's disease, further studies are needed to determine the
pathophysiological role of these channels in the disease process.
PMID- 21900508
TI - Endogenous modulation of low frequency oscillations by temporal expectations.
AB - Recent studies have associated increasing temporal expectations with
synchronization of higher frequency oscillations and suppression of lower
frequencies. In this experiment, we explore a proposal that low-frequency
oscillations provide a mechanism for regulating temporal expectations. We used a
speeded Go/No-go task and manipulated temporal expectations by changing the
probability of target presentation after certain intervals. Across two
conditions, the temporal conditional probability of target events differed
substantially at the first of three possible intervals. We found that reactions
times differed significantly at this first interval across conditions, decreasing
with higher temporal expectations. Interestingly, the power of theta activity (4
8 Hz), distributed over central midline sites, also differed significantly across
conditions at this first interval. Furthermore, we found a transient coupling
between theta phase and beta power after the first interval in the condition with
high temporal expectation for targets at this time point. Our results suggest
that the adjustments in theta power and the phase-power coupling between theta
and beta contribute to a central mechanism for controlling neural excitability
according to temporal expectations.
PMID- 21900510
TI - Individual and synergistic effects of sniffing frequency and flow rate on
olfactory bulb activity.
AB - Is faster or stronger sniffing important for the olfactory system? Odorant
molecules are captured by sniffing. The features of sniffing constrain both the
temporality and intensity of the input to the olfactory structures. In this
context, it is clear that variations in both the sniff frequency and flow rate
have a major impact on the activation of olfactory structures. However, the
question of how frequency and flow rate individually or synergistically impact
bulbar output has not been answered. We have addressed this question using
multiple experimental approaches. In double-tracheotomized, anesthetized rats, we
recorded both the bulbar local field potential (LFP) and mitral/tufted cells'
activities when the sampling flow rate and frequency were controlled
independently. We found that a tradeoff between the sampling frequency and the
flow rate could maintain olfactory bulb sampling-related rhythmicity and that
only an increase in flow rate could induce a faster, odor-evoked response. LFP
and sniffing were recorded in awake rats. We found that sampling-related
rhythmicity was maintained during high-frequency sniffing. Furthermore, we
observed that the covariation between the frequency and flow rate, which was
necessary for the tradeoff seen in the anesthetized preparations, also occurred
in awake animals. Our study shows that the sampling frequency and flow rate can
act either independently or synergistically on bulbar output to shape the
neuronal message. The system likely takes advantage of this flexibility to adapt
sniffing strategies to animal behavior. Our study provides additional support for
the idea that sniffing and olfaction function in an integrated manner.
PMID- 21900509
TI - TRPM8 acute desensitization is mediated by calmodulin and requires PIP(2):
distinction from tachyphylaxis.
AB - The cold-sensing channel transient receptor potential melastatin 8 (TRPM8)
features Ca(2+)-dependent downregulation, a cellular process underlying
somatosensory accommodation in cold environments. The Ca(2+)-dependent functional
downregulation of TRPM8 is manifested with two distinctive phases, acute
desensitization and tachyphylaxis. Here we show in rat dorsal root ganglion
neurons that TRPM8 acute desensitization critically depends on
phosphatidylinositol 4,5-bisphosphate (PIP(2)) availability rather than PIP(2)
hydrolysis and is triggered by calmodulin activation. Tachyphylaxis, on the other
hand, is mediated by phospholipase hydrolysis of PIP(2) and protein kinase
C/phosphatase 1,2A. We further demonstrate that PIP(2) switches TRPM8 channel
gating to a high-open probability state with short closed times. Ca(2+)
calmodulin reverses the effect of PIP(2), switching channel gating to a low-open
probability state with long closed times. Thus, through gating modulation, Ca(2+)
calmodulin provides a mechanism to rapidly regulate TRPM8 functions in the
somatosensory system.
PMID- 21900511
TI - Identification of single neurons in a forebrain network.
AB - Behaviors are generated from complex interactions among networks of neurons.
Single-unit ensemble recording has been used to identify multiple neurons in
functioning networks. These recordings have provided insight into interactions
among neurons in local and distributed circuits. Recorded units in these
ensembles have been classed based on waveform type, firing pattern, and physical
location. To identify individual projection neurons in a cortical network, we
have paired tetrode recording with antidromic stimulation. We developed
techniques that enable antidromic identification of single units and study of
functional interactions between these neurons and other circuit elements. These
methods have been developed in the zebra finch and should be applicable, with
potential modifications that we discuss here, to any neural circuit with defined
subpopulations based on projection target. This methodology will enable
elucidation of the functional roles of single identified neurons in complex
vertebrate circuits.
PMID- 21900512
TI - Astrocytes promote peripheral nerve injury-induced reactive synaptogenesis in the
neonatal CNS.
AB - Neonatal damage to the trigeminal nerve leads to "reactive synaptogenesis" in the
brain stem sensory trigeminal nuclei. In vitro models of brain injury-induced
synaptogenesis have implicated an important role for astrocytes. In this study we
tested the role of astrocyte function in reactive synaptogenesis in the
trigeminal principal nucleus (PrV) of neonatal rats following unilateral
transection of the infraorbital (IO) branch of the trigeminal nerve. We used
electrophysiological multiple input index analysis (MII) to estimate the number
of central trigeminal afferent fibers that converge onto single barrelette
neurons. In the developing PrV, about 30% of afferent connections are eliminated
within 2 postnatal weeks. After neonatal IO nerve damage, multiple trigeminal
inputs (2.7 times that of the normal inputs) converge on single barrelette cells
within 3-5 days; they remain stable up to the second postnatal week. Astrocyte
proliferation and upregulation of astrocyte-specific proteins (GFAP and ALDH1L1)
accompany reactive synaptogenesis in the IO nerve projection zone of the PrV.
Pharmacological blockade of astrocyte function, purinergic receptors, and
thrombospondins significantly reduced or eliminated reactive synaptogenesis
without changing the MII in the intact PrV. GFAP immunohistochemistry further
supported these electrophysiological results. We conclude that immature
astrocytes, purinergic receptors, and thrombospondins play an important role in
reactive synaptogenesis in the peripherally deafferented neonatal PrV.
PMID- 21900513
TI - Flexible interpretation of a decision rule by supplementary eye field neurons.
AB - Since the environment is in constant flux, decision-making capabilities of the
brain must be rapid and flexible. Yet in sensory motion processing pathways of
the primate brain where decision making has been extensively studied, the
flexibility of neurons is limited by inherent selectivity to motion direction and
speed. The supplementary eye field (SEF), an area involved in decision making on
moving stimuli, is not strictly a sensory or motor structure, and hence may not
suffer such limitations. Here we test whether neurons in the SEF can flexibly
interpret the rule of a go/nogo task when the decision boundary in the task
changes with each trial. The task rule specified that the animal pursue a moving
target with its eyes if and when the target entered a visible zone. The size of
the zone was changed from trial to trial in order to shift the decision boundary,
and thereby assign different go/nogo significance to the same motion
trajectories. Individual SEF neurons interpreted the rule appropriately,
signaling go or nogo in compliance with the rule and not the direction of motion.
The results provide the first evidence that individual neurons in frontal cortex
can flexibly interpret a rule that governs the decision to act.
PMID- 21900514
TI - Long-duration perforated patch recordings from spinal interneurons of adult mice.
AB - It has been very difficult to record from interneurons in acute slices of the
lumbar spinal cord from mice >3 wk of age. The low success rate and short
recording times limit in vitro experimentation on mouse spinal networks to
neonatal and early postnatal periods when locomotor networks are still
developmentally immature. To overcome this limitation and enable investigation of
mature locomotor network neurons, we have established a reliable procedure to
record from spinal cord neurons in slices from adult, behaviorally mature mice of
any age. Two key changes to the established neonate procedure were implemented.
First, we remove the cord by a dorsal laminectomy from a deeply anesthetized
animal. This enables respiration and other vital functions to continue up to the
moment the maximally oxygenated lumbar spinal cord is removed, improving the
health of the slices. Second, since adult spinal cord interneurons appear more
sensitive to the intracellular dialysis that occurs during whole cell recordings,
we introduced perforated patch recordings to the procedure. Stable recordings up
to 12 h in duration were obtained with our new method. This will allow
investigation of changes in mature neuronal properties in disease states or after
spinal cord injury and allow prolonged recordings of responses to drug
application that were previously impossible.
PMID- 21900515
TI - Haemophilus influenzae infections in the H. influenzae type b conjugate vaccine
era.
AB - The widespread use of Haemophilus influenzae type b (Hib) conjugate vaccines has
nearly eradicated invasive Hib disease where the vaccines are used. This success
was accompanied by a shift in capsular serotypes of invasive H. influenzae
disease, with nontypeable strains replacing type b strains as the most common
bloodstream isolate, but there is no convincing evidence of a true increase in
the incidence of non-serotype b invasive infections. H. influenzae causes
predominantly mucosal infections. The introduction of vaccines for otitis media
and global shifts in antimicrobial susceptibility emphasize the importance of
continued surveillance of H. influenzae colonization and disease patterns.
PMID- 21900516
TI - Recovery of a catalase-negative Staphylococcus epidermidis strain in blood and
urine cultures from a patient with pyelonephritis.
AB - This report describes a 60-year-old patient with bilateral nephrolithiasis. A
catalase-negative Staphylococcus epidermidis strain was recovered from both urine
and blood cultures. Although rare, isolates of catalase-negative Staphylococcus
spp., including Staphylococcus aureus, have been reported. Here, we describe the
first report of a catalase-negative S. epidermidis strain.
PMID- 21900517
TI - Three-locus identification, genotyping, and antifungal susceptibilities of
medically important Trichosporon species from China.
AB - Three reference and 45 clinical isolates of Trichosporon were analyzed by
conventional phenotypic and molecular methods to determine the species and
genotypes of Trichosporon isolates from China. Target loci for molecular methods
included the internal transcribed spacer (ITS) region, the D1/D2 domain of the
26S rRNA gene, and the intergenic spacer 1 (IGS1) region. Identification of eight
Trichosporon species was achieved, of which Trichosporon asahii was the most
common. Of the sequence-based molecular methods, the one targeting the D1/D2
domain assigned 97.9% (47/48) of isolates (seven species) correctly, while tests
targeting both the ITS and IGS1 regions correctly identified all 48 isolates. The
commercial API 20C AUX and Vitek 2 Compact YST systems correctly identified 91.9%
and 73% of isolates when their biochemical profiles were queried against those of
species contained in the databases, respectively, and misidentified 63.6% and
36.4% of isolates of species that were unclaimed by the databases, respectively.
The predominant genotype among T. asahii clinical isolates, genotype 4 (51.4%),
is rarely found in other countries. Voriconazole and itraconazole were the most
active drugs in vitro against all the Trichosporon species tested, while
caspofungin and amphotericin B demonstrated poor activity.
PMID- 21900519
TI - Triazole and echinocandin MIC distributions with epidemiological cutoff values
for differentiation of wild-type strains from non-wild-type strains of six
uncommon species of Candida.
AB - When clinical susceptibility breakpoints (CBPs) are absent, establishing wild
type (WT) MIC distributions and epidemiological cutoff values (ECVs) provides a
sensitive means for detecting emerging resistance. We determined species-specific
ECVs for anidulafungin (ANF), caspofungin (CSF), micafungin (MCF), fluconazole
(FLC), posaconazole (PSC), and voriconazole (VRC) for six rarer Candida species
(819 strains) using isolates obtained from the ARTEMIS Program and the SENTRY
Antimicrobial Surveillance Program, all tested by a reference broth microdilution
method. The calculated ECVs, expressed in MUg/ml (and the percentages of isolates
that had MICs less than or equal to the ECVs), for ANF, CSF, MCF, FLC, PSC, and
VRC, respectively, were 0.12 (95.2), 0.12 (97.8), 0.12 (100.0), 0.5 (95.7), 0.12
(98.6), and 0.03 (100.0) for Candida dubliniensis; 4 (100.0), 2 (96.0), 2 (99.1),
8 (95.0), 0.5 (97.5), and 0.25 (98.0) for C. guilliermondii; 0.25 (98.9), 0.03
(98.0), 0.12 (97.5), 1 (99.1), 0.25 (99.1), and 0.015 (100.0) for C. kefyr; 2
(100.0), 1 (99.6), 0.5 (96.6), 2 (96.1), 0.25 (98.6), and 0.03 (96.6) for C.
lusitaniae; and 2 (100.0), 0.5 (100.0), 1 (100.0), 2 (98.0), 0.25 (97.1), and
0.06 (98.0) for C. orthopsilosis, but for C. pelliculosa, ECVs could be
determined only for CSF (0.12 [94.4]), FLC (4 [98.2]), PSC (2 [98.2]), and VRC
(0.25 [98.2]). In the absence of species-specific CBP values, these WT MIC
distributions and ECVs will be useful for monitoring the emergence of reduced
susceptibility to the triazole and echinocandin antifungals.
PMID- 21900518
TI - Viral-bacterial interactions and risk of acute otitis media complicating upper
respiratory tract infection.
AB - Acute otitis media (AOM) is a common complication of upper respiratory tract
infection whose pathogenesis involves both viruses and bacteria. We examined
risks of acute otitis media associated with specific combinations of respiratory
viruses and acute otitis media bacterial pathogens. Data were from a prospective
study of children ages 6 to 36 months and included viral and bacterial culture
and quantitative PCR for respiratory syncytial virus (RSV), human bocavirus, and
human metapneumovirus. Repeated-measure logistic regression was used to assess
the relationship between specific viruses, bacteria, and the risk of acute otitis
media complicating upper respiratory tract infection. In unadjusted analyses of
data from 194 children, adenovirus, bocavirus, Streptococcus pneumoniae,
Haemophilus influenzae, and Moraxella catarrhalis were significantly associated
with AOM (P < 0.05 by chi(2) test). Children with high respiratory syncytial
virus loads (>=3.16 * 10(7) copies/ml) experienced increased acute otitis media
risk. Higher viral loads of bocavirus and metapneumovirus were not significantly
associated with acute otitis media. In adjusted models controlling for the
presence of key viruses, bacteria, and acute otitis media risk factors, acute
otitis media risk was independently associated with high RSV viral load with
Streptococcus pneumoniae (odds ratio [OR], 4.40; 95% confidence interval [CI],
1.90 and 10.19) and Haemophilus influenzae (OR, 2.04; 95% CI, 1.38 and 3.02). The
risk was higher for the presence of bocavirus and H. influenzae together (OR,
3.61; 95% CI, 1.90 and 6.86). Acute otitis media risk differs by the specific
viruses and bacteria involved. Acute otitis media prevention efforts should
consider methods for reducing infections caused by respiratory syncytial virus,
bocavirus, and adenovirus in addition to acute otitis media bacterial pathogens.
PMID- 21900520
TI - Rapid PCR-based molecular pathotyping of H5 and H7 avian influenza viruses.
AB - While the majority of avian influenza virus (AIV) subtypes are classified as low
pathogenicity avian influenza viruses (LPAIV), the H5 and H7 subtypes have the
ability to mutate to highly pathogenic avian influenza viruses (HPAIV) in poultry
and therefore are the etiological agents of notifiable AIV (NAIV). It is of great
importance to distinguish HPAIV from LPAIV variants during H5/H7 outbreaks and
surveillance. To this end, a novel and fast strategy for the molecular
pathotyping of H5/H7 AIVs is presented. The differentiation of the characteristic
hemagglutinin (HA) protein cleavage sites (CSs) of HPAIVs and LPAIVs is achieved
by a novel PCR method where the samples are interrogated for all existing CSs
with a 484-plex primer mixture directly targeting the CS region. CSs
characteristic for HP or LP H5/H7 viruses are distinguished in a seminested
duplex real-time PCR format using plexor fluorogenic primers. Eighty-six
laboratory isolates and 60 characterized NAIV-positive clinical specimens from
poultry infected with H5/H7 both experimentally and in the field were
successfully pathotyped in the validation. The method has the potential to
substitute CS sequencing in the HA gene for the determination of the molecular
pathotype, thereby providing a rapid means to acquire additional information
concerning NAIV outbreaks, which may be critical to their management. The new
assay may be extended to the LP/HP differentiation of previously unknown H5/H7
isolates. It may be considered for integration into surveillance and control
programs in both domestic and wild bird populations.
PMID- 21900521
TI - Molecular typing and virulence analysis of serotype K1 Klebsiella pneumoniae
strains isolated from liver abscess patients and stool samples from noninfectious
subjects in Hong Kong, Singapore, and Taiwan.
AB - Serotype K1 Klebsiella pneumoniae with multilocus sequence type 23 (ST23) has
been strongly associated with liver abscess in Taiwan. Few data regarding the
strain types and virulence of this serotype from other Asian countries are
available. Serotype K1 K. pneumoniae strains isolated from liver abscess and
stool samples from subjects hospitalized in Hong Kong, Singapore, and Taiwan
hospitals were examined. Forty-seven serotype K1 isolates were identified: 26
from liver abscess samples and 21 from stool samples. MLST revealed 7 sequence
types: 85.1% (40 of 47 isolates) belonged to ST23, 1 isolate belonged to ST163 (a
single-locus variant of ST23), and 2 isolates were ST249 (a 3-locus variant of
ST23). New STs, namely, ST367, ST425, and ST426, were allocated to 3 of 4
isolates from stool samples. The virulence of these strains was determined by
neutrophil phagocytosis and mouse infection models. Except for two ST23 isolates,
all Klebsiella pneumoniae isolates were resistant to phagocytosis. Resistance to
serum killing varied in isolates of ST23, while all non-ST23 strains were
susceptible to serum killing except one with ST249 from a liver abscess. All
hypervirulent isolates with a 50% lethal dose of <10(2) CFU were from ST23, were
resistant to phagocytosis and serum killing, and also carried both virulence
associated genes, rmpA and aerobactin. Multilocus sequence typing genotype 23 was
the most prevalent sequence type among serotype K1 K. pneumoniae isolates from
both liver abscess and stool samples in the Asia Pacific region. Serotype K1 K.
pneumoniae isolates with capsule expression leading to phagocytic resistance and
with the aerobactin gene were associated with hypervirulence.
PMID- 21900522
TI - Molecular bacterial load assay, a culture-free biomarker for rapid and accurate
quantification of sputum Mycobacterium tuberculosis bacillary load during
treatment.
AB - A molecular assay to quantify Mycobacterium tuberculosis is described. In vitro,
98% (n = 96) of sputum samples with a known number of bacilli (10(7) to 10(2)
bacilli) could be enumerated within 0.5 log(10). In comparison to culture, the
molecular bacterial load (MBL) assay is unaffected by other microorganisms
present in the sample, results are obtained more quickly (within 24 h) and are
seldom inhibited (0.7% samples), and the MBL assay critically shows the same
biphasic decline as observed longitudinally during treatment. As a biomarker of
treatment response, the MBL assay responds rapidly, with a mean decline in
bacterial load for 111 subjects of 0.99 log(10) (95% confidence interval [95%
CI], 0.81 to 1.17) after 3 days of chemotherapy. There was a significant
association between the rate of bacterial decline during the same 3 days and
bacilli ml(-1) sputum at day 0 (linear regression, P = 0.0003) and a 3.62
increased odds ratio of relapse for every 1 log(10) increase in pretreatment
bacterial load (95% CI, 1.53 to 8.59).
PMID- 21900523
TI - Detection of an influenza B virus strain with reduced susceptibility to
neuraminidase inhibitor drugs.
PMID- 21900524
TI - Independent emergence of colistin-resistant Enterobacteriaceae clinical isolates
without colistin treatment.
PMID- 21900525
TI - Containment of an outbreak of KPC-3-producing Klebsiella pneumoniae in Italy.
AB - From March 2009 to May 2009, 24 carbapenem-resistant Klebsiella pneumoniae
isolates were recovered from 16 patients hospitalized in an Italian intensive
care unit (ICU). All isolates contained KPC-3 carbapenemase and belonged to a
single pulsed-field gel electrophoresis (PFGE) clone of multilocus sequence type
258 (designated as ST258). A multimodal infection control program was put into
effect, and the spread of the KPC-3-producing K. pneumoniae clone was ultimately
controlled without closing the ICU to new admissions. Reinforced infection
control measures and strict monitoring of the staff adherence were necessary for
the control of the outbreak.
PMID- 21900526
TI - Assessment of Lactobacillus gasseri as a candidate oral vaccine vector.
AB - Lactobacillus species are commensal bacteria that have long been recognized as
probiotic microbes and are generally regarded as safe (GRAS) for human
consumption. We have investigated the use of L. gasseri as a vaccine vector for
oral immunization against mucosal pathogens. Recent research has shown that the
immune response to different lactobacilli can vary widely depending on the
species or subspecies of Lactobacillus being studied. While some lactobacilli
seem to induce oral tolerance, others induce an adaptive immune response. This
study characterized the systemic and mucosal immune response to wild-type and
genetically modified L. gasseri. L. gasseri primarily activates TLR2/6, with
additional activation through the TLR2 homodimer. To expand the Toll-like
receptor (TLR) activation profile of L. gasseri and the immunogenicity of the
vector, a plasmid containing fliC, the gene encoding bacterial flagellin, was
introduced which resulted in the strong activation of TLR5. The treatment of
human myeloid dendritic cells with recombinant lactobacilli expressing flagellin
triggered phenotypic maturation and the release of proinflammatory cytokines. In
contrast, bacterial treatment also resulted in a statistically significant
increase in IL-10 production. In vivo studies established that treatment with L.
gasseri led to a diversification of B-cell populations in the lamina propria of
the murine colon. Furthermore, treatment with genetically modified L. gasseri led
to a significant decrease in the percentage of FoxP3(+) colonic lymphocytes.
Taken together, these data clarify the interaction of L. gasseri with the host
immune system and support further investigation of the in vivo immunogenicity of
L. gasseri expressing both flagellin and candidate vaccine antigens.
PMID- 21900527
TI - Oral immunization with recombinant Mycobacterium smegmatis expressing the outer
membrane protein 26-kilodalton antigen confers prophylactic protection against
Helicobacter pylori infection.
AB - Helicobacter pylori infection is prevalent worldwide and results in chronic
gastritis, which may lead to gastric mucosa-associated lymphoid tissue lymphoma
and gastric cancer. We have previously reported that oral immunization with
recombinant Mycobacterium smegmatis expressing the H. pylori outer membrane
protein 26-kilodalton (Omp26) antigen affords therapeutic protection against H.
pylori infection in mice. In the present study, we investigated the prophylactic
effects of this vaccine candidate on H. pylori challenge in mice. We found that
oral immunization with recombinant Mycobacterium Omp26 significantly reduced H.
pylori colonization in the stomach compared to inoculation with wild-type M.
smegmatis in control mice. Six of the recombinant Mycobacterium-immunized mice
(60%) were completely protected from H. pylori infection. The severity of H.
pylori-associated chronic gastritis assessed histologically was significantly
milder in mice vaccinated with recombinant Mycobacterium than in control animals.
Mice immunized with recombinant Mycobacterium showed enhanced antigen-specific
lymphocyte proliferation and antibody responses. Moreover, immunization with
recombinant Mycobacterium resulted in an increased expression of interleukin-2
and gamma interferon in the stomach and spleen, as determined by reverse
transcription-PCR analysis. Our results collectively suggest that vaccination
with recombinant Mycobacterium Omp26 confers prophylactic protection against H.
pylori infection. The inhibition of H. pylori colonization is associated with the
induction of antigen-specific humoral and cell-mediated immune responses.
PMID- 21900528
TI - Concomitant administration of Mycobacterium bovis BCG with the meningococcal C
conjugate vaccine to neonatal mice enhances antibody response and protective
efficacy.
AB - Mycobacterium bovis BCG is administered to human neonates in many countries
worldwide. The objective of the study was to assess if BCG could act as an
adjuvant for polysaccharide-protein conjugate vaccines in newborns and thereby
induce protective immunity against encapsulated bacteria in early infancy when
susceptibility is high. We assessed whether BCG could enhance immune responses to
a meningococcal C (MenC) conjugate vaccine, MenC-CRM(197), in mice primed as
neonates, broaden the antibody response from a dominant IgG1 toward a mixed IgG1
and IgG2a/IgG2b response, and increase protective efficacy, as measured by serum
bactericidal activity (SBA). Two-week-old mice were primed subcutaneously (s.c.)
with MenC-CRM(197). BCG was administered concomitantly, a day or a week before
MenC-CRM(197). An adjuvant effect of BCG was observed only when it was given
concomitantly with MenC-CRM(197), with increased IgG response (P = 0.002) and SBA
(8-fold) after a second immunization with MenC-CRM(197) without BCG, indicating
increased T-cell help. In neonatal mice (1 week old) primed s.c. with MenC
CRM(197) together with BCG, MenC-polysaccharide (PS)-specific IgG was enhanced
compared to MenC-CRM(197) alone (P = 0.0015). Sixteen days after the second
immunization with MenC-CRM(197), increased IgG (P < 0.05), IgG1 (P < 0.05), IgG2a
(P = 0.06), and IgG2b (P < 0.05) were observed, and only mice primed with MenC
CRM(197) plus BCG showed affinity maturation and detectable SBA (SBA > 128).
Thus, vaccination with a meningococcal conjugate vaccine (and possibly with other
conjugates) may benefit from concomitant administration of BCG in the neonatal
period to accelerate and enhance production of protective antibodies, compared to
the current infant administration of conjugate which follows BCG vaccination at
birth.
PMID- 21900529
TI - Development of an automated and multiplexed serotyping assay for Streptococcus
pneumoniae.
AB - Streptococcus pneumoniae expresses more than 90 capsule types, and currently
available pneumococcal vaccines are designed to provide serotype-specific
protection. Consequently, serotyping of pneumococcal isolates is important for
determining the serotypes to be included in pneumococcal vaccines and to monitor
their efficacy. Yet serotyping of pneumococcal isolates has remained a
significant technical challenge. By multiplexing many assays, we have now
developed a simple yet comprehensive serotyping assay system that can not only
identify all known pneumococcal serotypes but also subdivide nontypeable (NT)
isolates into those with or without the conventional capsule locus. We have
developed this assay system to require only six key reagents: two are used in one
multiplex inhibition-type immunoassay, and four are required in two multiplex PCR
based assays. The assay system is largely automated by a seamless combination of
monoclonal antibody-based and PCR-based multiplex assays using the flow
cytometric bead array technology from Luminex. The assay system has been
validated with a panel of pneumococci expressing all known pneumococcal serotypes
and was found to be easily transferable to another laboratory.
PMID- 21900530
TI - Outer membrane vesicles induce immune responses to virulence proteins and protect
against colonization by enterotoxigenic Escherichia coli.
AB - Enterotoxigenic Escherichia coli (ETEC) strains are a heterogeneous group of
pathogens that produce heat-labile (LT) and/or heat-stable (ST) enterotoxins.
Collectively, these pathogens are responsible for hundreds of thousands of deaths
annually in developing countries, particularly in children under the age of 5
years. The heterogeneity of previously investigated molecular targets and the
lack of complete sustained protection afforded by antitoxin immunity have impeded
progress to date toward a broadly protective vaccine. Many pathogens, including
ETEC, have the capacity to form outer membrane vesicles (OMV), which often
contain one or more virulence proteins. Prompted by recent studies that
identified several immunogenic virulence proteins in outer membrane vesicles of
ETEC, we sought to examine the immunogenicity and protective efficacy of these
structures in a murine model of infection. Here we demonstrate that immunization
with OMV impairs ETEC colonization of the small intestine and stimulates
antibodies that recognize the heat-labile toxin and two additional putative
virulence proteins, the EtpA adhesin and CexE. Similar to earlier studies with
EtpA, vaccination with LT alone also inhibited intestinal colonization. Together,
these findings suggest that OMV could be exploited to deliver protective antigens
relevant to development of ETEC vaccines.
PMID- 21900531
TI - Can HIV p24 be a suitable scaffold for presenting Env antigens?
PMID- 21900532
TI - High incidence of severe influenza among individuals over 50 years of age.
AB - Age-specific epidemiological data on asymptomatic, symptomatic, and severe
infections are essential for public health policies on combating influenza. In
this study, we incorporated data on microbiologically confirmed infections and
seroprevalence to comprehensively describe the epidemiology of pandemic H1N1 2009
influenza. Seroprevalence was determined from 1,795 random serum samples
collected in our hospital in January 2007 (before the first wave of the pandemic)
and March 2010 (after the second wave). Data on microbiologically confirmed
infection and severe cases were obtained from the Centre for Health Protection in
Hong Kong. Severe cases were most common in the 51- to 60-year-old age group. The
microbiologically confirmed incidence rate was highest for children aged <=10
years and dropped sharply for the adult population (rho = -1.0; P < 0.01), but
the incidence rate for severe disease was highest for the 51- to 60-year-old age
group. For the 51- to 60-year-old age group, the seroprevalence was similar to
that for the younger age groups, but the proportion of severe cases relative to
seroprevalence was significantly higher than that for 11- to 50-year-old age
groups. As judged from the percentage of specimens positive for other respiratory
viruses compared with that for pandemic H1N1 virus, the impact of symptomatic
disease due to pandemic H1N1 virus was higher than that for other respiratory
viruses in people aged <=50 years. In conclusion, the 51- to 60-year-old age
group, which had the highest overall incidence and the highest rate of severe
disease but is currently not considered by the World Health Organization to be an
at-risk group, should be prioritized for influenza vaccination in areas where
universal influenza vaccination is not practiced.
PMID- 21900533
TI - Immunization of mice with Lactobacillus casei expressing a beta-intimin fragment
reduces intestinal colonization by Citrobacter rodentium.
AB - Enteropathogenic Escherichia coli (EPEC) is a common cause of diarrhea in
children from developing countries. Intimate adhesion of the bacteria to
intestinal cells occurs via binding of the adhesin intimin to the TIR receptor
exposed on cell surfaces. Here, Lactobacillus casei expressing a fragment of beta
intimin (L. casei-Int(cv)) was tested as mucosal vaccines in mice against
intestinal colonization with the murine pathogen Citrobacter rodentium. Oral or
sublingual immunization of C57BL/6 mice with L. casei-Int(cv) induced anti
Int(cv) IgA in feces but no IgG in sera. Conversely, anti-Int(cv) IgG was induced
in the sera of mice after sublingual immunization with purified Int(cv). All
vaccines were able to decrease C. rodentium recovery from feces. However, this
reduction was more evident and sustained over time in mice immunized with L.
casei-Int(cv) by the sublingual route. These mice also displayed an increase in
interleukin 6 (IL-6) and gamma interferon (IFN-gamma) secretion by spleen cells
10 days after infection. Additionally, oral or sublingual immunization of
C3H/HePas mice, which are highly susceptible to C. rodentium infection, with L.
casei-Int(cv) induced anti-Int(cv) antibodies and significantly increased
survival after challenge. Immunohistological analysis of colon sections revealed
that C. rodentium was located in deep fractions of the tissue from C3H/HePas mice
immunized with L. casei whereas superficial staining was observed in colon
sections from mice immunized with L. casei-Int(cv.) The results indicate that
vaccines composed of L. casei expressing intimin may represent a promising
approach and that the C3H/HePas infection model with C. rodentium can be used to
evaluate potential vaccines against EPEC.
PMID- 21900535
TI - Enhanced effect of DNA immunization plus in vivo electroporation with a
combination of hepatitis B virus core-PreS1 and S-PreS1 plasmids.
AB - To develop a novel, effective HBV therapeutic vaccine, we constructed two HBV DNA
immunogens that contained PreS1, HBSS1, and HBCS1. Several delivery methods, such
as intramuscular (i.m.) injection, intramuscular injection plus electroporation
(i.m.-EP), and intradermal injection plus electroporation (i.d.-EP) were used in
a murine model to analyze and compare the immune responses that were induced by
the DNA immunogens. We found that i.d.-EP accelerated specific antibody
seroconversion and produced high antibody (anti-PreS1, anti-S, and anti-C
antibody) titers after HBSS1 and HBCS1 immunization. Combining the HBSS1 and
HBCS1 DNA immunogens with i.d.-EP produced the strongest multiantigen (PreS1, S,
and C)-specific cellular immune response and the highest specific PreS1 antibody
levels. The results indicated that DNA immunization using HBSS1 and HBCS1 might
be an ideal candidate, with its ability to elicit robust B and T cell immune
responses against multiantigen when combined with optimized delivery technology.
The present study provides a basis for the design and rational application of a
novel HBV DNA vaccine.
PMID- 21900536
TI - Do silicone nasal septal splints with integral airway reduce postoperative
eustachian tube dysfunction?
AB - OBJECTIVE: This study aims to compare the effects of Merocel nasal packs and
silicone nasal septal splints with integral airway on the ventilation and
pressure of the middle ear when applied intranasally after septoplasty for
isolated septal deviation. STUDY DESIGN: A prospective, randomized trial.
SETTING: A tertiary referral center. SUBJECTS AND METHODS: Fifty-one patients who
underwent septoplasty for nasal respiratory impairment caused by septal deviation
were randomized into 2 groups. After septoplasty, bilateral anterior Merocel
nasal packs were applied in one group, while silicone nasal septal splints with
integral airway were applied in the other group. Middle ear pressures were
compared using preoperative and post-operative tympanometry. RESULTS:
Pathological decrease in the middle ear pressure in at least 1 ear was determined
in 17 patients (73.9%) in the Merocel group compared with only 6 patients (21.4%)
in the silicone nasal septal splint group at the 48th postoperative hour. In the
first 24 hours following surgery, decreases in tympanometric pressures were seen
in both groups, but more in the Merocel group. After 24 hours, middle ear
pressures continued to decrease in the Merocel group but started to increase in
the silicone nasal septal splint group. CONCLUSION: Because they allow inhalation
through the nose and cause less Eustachian tube dysfunction than Merocel, using
silicone nasal septal splints with integral airway instead of packing after
septoplasty seems a more reasonable option.
PMID- 21900537
TI - Predictors of surgical outcomes of uvulopalatopharyngoplasty for obstructive
sleep apnea hypopnea syndrome.
AB - OBJECTIVES: To investigate predictors of surgical outcomes of
uvulopalatopharyngoplasty (UPPP) for obstructive sleep apnea hypopnea syndrome
(OSAHS). STUDY DESIGN: Case series with planned data collection. SETTING: A
university medical center. SUBJECTS AND METHODS: Thirty-nine patients with OSAHS
received Z-palatopharyngoplasty (ZPPP) or Han-uvulopalatopharyngoplasty (H-UPPP).
All patients were evaluated within 3 months before surgery and at 6 to 12 months
after surgery. Statistical analyses were conducted on preoperative parameters
that could have affected surgical efficacy and outcome. Success was defined as an
apnea-hypopnea index (AHI) fewer than 20 times per hour and a decrease of more
than 50%. RESULTS: The success rate was 56.4% (22/39 patients). There were
statistically significant differences in AHI, lowest oxygen saturation (L
Sao(2)), time with oxygen saturation less than 90% (CT90), percentage of time
with oxygen saturation less than 90% (CT90%), microarousal index (MI),
apolipoprotein E (ApoE), high-density lipoprotein (HDL), fasting blood glucose
(FBG), and Friedman OSA stage between the treatment success and failure groups.
Higher success rate was predicted by lower severity, as indicated by lower AHI,
CT90, CT90%, and MI; higher L-Sao(2); and fewer glucose and lipid metabolism
abnormalities, shown by lower ApoE and FBG and higher HDL. CONCLUSIONS: Disease
severity, glucose and lipid metabolism, and Friedman OSA stage may be important
predictors of surgical outcome of UPPP for OSAHS.
PMID- 21900538
TI - Nasal tetracaine spray-induced methemoglobinemia.
PMID- 21900534
TI - Anti-gamma interferon antibodies enhance the immunogenicity of recombinant
adenovirus vectors.
AB - Vaccination for eliciting antigen-specific memory CD8(+) T cells may be
facilitated by manipulating the pleiotropic effects of gamma interferon (IFN
gamma). We assessed strategies for modulating the contribution of IFN-gamma
during the development of antigen-specific cytotoxic T lymphocyte (CTL)
populations. We first showed that recombinant IFN-gamma suppressed antigen
expression in vitro from a recombinant adenovirus (rAd) vector in a dose
dependent manner and that addition of an anti-IFN-gamma antibody (Ab) eliminated
this suppression. Consistent with these in vitro findings, we found that HIV-1
envelope (Env)-specific CTL responses were higher in IFN-gamma-knockout (GKO)
mice than in wild-type mice following immunization with rAd. Since these
observations suggested that IFN-gamma might suppress rAd-induced CTL development,
we assessed the ability of anti-IFN-gamma Ab administration to augment rAd
elicited CTL in vivo. In fact, blockage of IFN-gamma activity by monoclonal Ab
administration was associated with elevated levels of interleukin 7 receptor
alpha chain-positive (IL-7Ralpha(+)) Env-specific CTL populations postboost.
These observations illustrate the utility of an anti-IFN-gamma Ab for
potentiating rAd immunizations to effect quantitative and qualitative changes in
the effector and memory CTL populations.
PMID- 21900539
TI - T1-T2 squamous cell carcinoma of the uvula: a little big enemy.
AB - OBJECTIVE: No specific epidemiology data are available for carcinoma of the
uvula. This study aims to analyze the epidemiological characteristics and
treatment outcomes of carcinoma of the uvula at the authors' institution. STUDY
DESIGN: Case series with chart review. SETTING: Service of ENT-Head and Neck
Surgery, University Hospital Complex of Santiago de Compostela (CHUS), Spain.
SUBJECTS AND METHODS: Retrospective epidemiological study of 21 male patients,
mean age 57.52 years, diagnosed with squamous cell carcinoma of the uvula in the
CHUS between January 1990 and June 2009. RESULTS: Most patients presented with
odynophagia and exophytic lesions microscopically consistent with moderately
differentiated squamous cell carcinoma. Despite the size of the lesions (T1 and
T2), more than half of the patients had lymph node metastases at diagnosis. More
than 90.0% of patients with lesions larger than 1 cm presented with lymph node
metastases. Although more than 70.0% of patients underwent a neck dissection in
the first year after diagnosis, only 25.0% were free of metastatic disease.
Recurrences occurred at a mean of 14 months from baseline in more than 50.0% of
cases. Of the patients, 52.4% died, with a median survival of 38.15 months after
diagnosis. The overall 5-year survival was 39.0%. According to tumor size, 5-year
survival was 53.0% for T1 and 27.0% for T2. CONCLUSION: According to the data,
clinical features of carcinoma of the uvula correspond with aggressive tumors,
with rapid onset and a major negative impact regardless of tumor size at
diagnosis. Early stage patients benefit significantly from surgical treatments
with neck dissections.
PMID- 21900540
TI - CharlesTaylor, phronesis, and medicine: ethics and interpretation in illness
narrative.
AB - This paper provides a brief overview and critique of the dominant objectivist
understanding and use of illness narrative in Enlightenment (scientific) medicine
and ethics, as well as several revisionist accounts, which reflect the evolution
of this approach. In light of certain limitations and difficulties endemic in the
objectivist understanding of illness narrative, an alternative phronesis approach
to medical ethics influenced by Charles Taylor's account of the interpretive
nature of human agency and language is examined. To this end, the account of
interpretive medical responsibility previously described by Schultz and Carnevale
as "clinical phronesis" (based upon Taylor's notion of "strong" or "radical
evaluation") is reviewed and expanded. The thesis of this paper is that illness
narrative has the ability to benefit patients as well as the potential to cause
harm or iatrogenic effects. This benefit or harm is contingent upon how the story
is told and understood. Consequently, these tales are not simply "nice stories,"
cathartic gestures, or mere supplements to scientific procedures and decision
making, as suggested by the objectivist approach. Rather, they open the agent to
meanings that provide a context for explanation and evaluation of illness
episodes and therapeutic activities. This understanding provides indicators
(guides) for right action. Hence, medical responsibility as clinical phronesis
involves, first, the patient and provider's coformulation and cointerpretation of
what is going on in the patient's illness narrative, and second, the patient and
provider's response to interpretation of the facts of illness and what they
signify-not simply a response to the brute facts of illness, alone. The appeal to
medical responsibility as clinical phronesis thus underscores the importance of
getting the patient's story of illness right. It is anticipated that further
elaboration concerning the idea of clinical phronesis as interpretive illness
narrative will provide a new foundation for medical ethics and decision making.
PMID- 21900541
TI - Pancreatitis in hyperlipemic mink (Mustela vison).
AB - In both man and animals, inflammatory changes in the pancreas often occur with
disturbances in lipid metabolism, including hypertriglyceridemia and an excess of
free fatty acids. Hyperlipoproteinemia type I is a human condition caused by a
deficiency of lipoprotein lipase. A similar metabolic disturbance that occurs in
mink is of considerable comparative interest, as it is also followed by
pancreatitis. Pancreatic lesions in hyperlipoproteinemic mink included overt
variably sized nodules with hemorrhage and necrosis. These lesions began as
intralobular necrosis of exocrine cells and progressed to total lobular
destruction, with eventual involvement of interlobular tissue. Remnants of
epithelial cells and lipid-filled macrophages were seen in necrotic areas, along
with other types of inflammatory cells scattered in a lipid-rich exudate.
Granulation tissue developed rapidly in necrotic areas. Additional observations
included ductal proliferation, replacement of epithelial cells with fat, and
mural arterial thickening, most conspicuously with vacuolated cells and
endothelial proliferation. Extravasation of lipid-rich plasma is thought to be a
major intensifier of the inflammatory response.
PMID- 21900542
TI - Morphologic and molecular analysis of 39 spontaneous feline pulmonary carcinomas.
AB - The present study was performed to determine the morphologic change and selected
molecular features of spontaneous lung tumors in cats examined at the North
Carolina State University Veterinary Teaching Hospital. Thirty-nine primary lung
carcinomas represented 0.69% of all feline cases admitted to the hospital. Most
lung tumors were observed in aged cats (P < .0001), and no sex predilection was
found (P < .4241). Persian cats with pulmonary carcinoma were overrepresented in
the data set, at least 4 times more frequently than other breeds. The histologic
tumor types included adenocarcinoma (64.1%), bronchioloalveolar carcinoma
(20.5%), and adenosquamous carcinoma (15.4%). Metastasis was observed in about
80% of 39 cases, with decreasing order of intrapulmonary metastasis,
intrathoracic carcinomatosis, regional lymph nodes, and distant organs, including
digits. The size of the largest tumor mass was significantly associated with
metastatic potential (P < .001). Based on immunohistochemistry, more than 80% (20
of 24) of feline lung tumors were positively labeled with either surfactant
protein A or thyroid transcription factor 1. Epidermal growth factor receptor
mutant and p53 proteins were detected in approximately 20% (5 of 24) and 25% (6
of 24) of the feline lung tumor cases, respectively. Limited sequencing analysis
of K-ras and p53 genes in 3 selected normal and neoplastic lung tissues did not
reveal any alteration. Results indicate that primary lung carcinomas are rare but
aggressive tumors in cats, thereby warranting further studies on molecular
carcinogenesis.
PMID- 21900543
TI - Neurolymphomatosis in a dog with B-cell lymphoma.
AB - Lymphoma in the left femoral nerve of a 10-year-old English Cocker Spaniel caused
complete paralysis of the affected limb. Neoplastic cells were immunopositive for
CD79a and Pax5 and negative for CD3. Neoplastic cells were in multiple lymph
nodes and one kidney but spared bone marrow. The clinical and histologic features
in this case resemble those of the rare human condition of neurolymphomatosis.
PMID- 21900544
TI - Somatotroph pituitary tumors in budgerigars (Melopsittacus undulatus).
AB - A series of 11 pituitary tumors in budgerigars were classified on the basis of
their clinical, gross, microscopic, and immunohistochemical characteristics.
Affected birds were young to middle-aged. Clinically, neurologic signs--including
difficulties flying, ataxia, and blindness--were most commonly reported.
Additional clinical signs included weight loss, abnormal feathers or molting,
increased respiratory efforts, and exophthalmos. Nine birds were diagnosed with
chromophobic pituitary adenomas, and 2 birds had chromophobic pituitary
carcinomas. Only 1 tumor was delimited to the pituitary gland; the other 10
variably invaded the brain, skull, and retrobulbar space. Distant metastases were
identified in 2 birds. All tumors were immunohistochemically strongly positive
for growth hormone, consistent with the diagnosis of somatotroph tumors. The
common occurrence and early onset may suggest a genetic predisposition of
budgerigars to develop somatotroph pituitary tumors with a high incidence of
local invasion and with metastatic potential.
PMID- 21900545
TI - Abnormal brain microstructure in patients with chronic pancreatitis.
PMID- 21900548
TI - Bacterial proteases in IBD and IBS.
AB - Proteases play a decisive role in health and disease. They fulfil diverse
functions and have been associated with the pathology of gastrointestinal
disorders such as inflammatory bowel disease (IBD) and irritable bowel syndrome
(IBS). The current knowledge focuses on host-derived proteases including matrix
metalloproteinases, various serine proteases and cathepsins. The possible
contribution of bacterial proteases has been largely ignored in the pathogenesis
of IBD and IBS, although there is increasing evidence, especially demonstrated
for proteases from pathogenic bacteria. The underlying mechanisms extend to
proteases from commensal bacteria which may be relevant for disease
susceptibility. The intestinal microbiota and its proteolytic capacity exhibit
the potential to contribute to the pathogenesis of IBD and IBS. This review
highlights the relevance of host- and bacteria-derived proteases and their
signalling mechanisms.
PMID- 21900549
TI - Does meditation reduce pain through a unique neural mechanism?
PMID- 21900546
TI - NADPH oxidase complex and IBD candidate gene studies: identification of a rare
variant in NCF2 that results in reduced binding to RAC2.
AB - OBJECTIVE: The NOX2 NADPH oxidase complex produces reactive oxygen species and
plays a critical role in the killing of microbes by phagocytes. Genetic mutations
in genes encoding components of the complex result in both X-linked and autosomal
recessive forms of chronic granulomatous disease (CGD). Patients with CGD often
develop intestinal inflammation that is histologically similar to Crohn's
colitis, suggesting a common aetiology for both diseases. The aim of this study
is to determine if polymorphisms in NOX2 NADPH oxidase complex genes that do not
cause CGD are associated with the development of inflammatory bowel disease
(IBD). METHODS: Direct sequencing and candidate gene approaches were used to
identify susceptibility loci in NADPH oxidase complex genes. Functional studies
were carried out on identified variants. Novel findings were replicated in
independent cohorts. RESULTS: Sequence analysis identified a novel missense
variant in the neutrophil cytosolic factor 2 (NCF2) gene that is associated with
very early onset IBD (VEO-IBD) and subsequently found in 4% of patients with VEO
IBD compared with 0.2% of controls (p=1.3*10(-5), OR 23.8 (95% CI 3.9 to 142.5);
Fisher exact test). This variant reduced binding of the NCF2 gene product
p67(phox) to RAC2. This study found a novel genetic association of RAC2 with
Crohn's disease (CD) and replicated the previously reported association of NCF4
with ileal CD. CONCLUSION: These studies suggest that the rare novel p67(phox)
variant results in partial inhibition of oxidase function and are associated with
CD in a subgroup of patients with VEO-IBD; and suggest that components of the
NADPH oxidase complex are associated with CD.
PMID- 21900551
TI - Acquisition of neural learning in cerebellum and cerebral cortex for smooth
pursuit eye movements.
AB - We evaluated the emergence of neural learning in the frontal eye fields
(FEF(SEM)) and the floccular complex of the cerebellum while monkeys learned a
precisely timed change in the direction of pursuit eye movement. For each neuron,
we measured the time course of changes in neural response across a learning
session that comprised at least 100 repetitions of an instructive change in
target direction. In both areas, the average population learning curves tracked
the behavioral changes with high fidelity, consistent with possible roles in
driving learning. However, the learning curves of individual neurons sometimes
bore little relation to the smooth, monotonic progression of behavioral learning.
In the FEF(SEM), neural learning was episodic. For individual neurons, learning
appeared at different times during the learning session and sometimes disappeared
by the end of the session. Different FEF(SEM) neurons expressed maximal learning
at different times relative to the acquisition of behavioral learning. In the
floccular complex, many Purkinje cells acquired learned simple-spike responses
according to the same time course as behavioral learning and retained their
learned responses throughout the learning session. A minority of Purkinje cells
acquired learned responses late in the learning session, after behavioral
learning had reached an asymptote. We conclude that learning in single neurons
can follow a very different time course from behavioral learning. Both the
FEF(SEM) and the floccular complex contain representations of multiple temporal
components of learning, with different neurons contributing to learning at
different times during the acquisition of a learned movement.
PMID- 21900550
TI - Transsynaptic activity-dependent regulation of axon branching and neurotrophin
expression in vivo.
AB - The two major classes of activity-dependent neuroplasticity predict different
consequences of activity alteration on circuit response. Hebbian plasticity
(positive feedback) posits that alteration of neuronal activity causes a parallel
response within a circuit. In contrast, homeostatic plasticity (negative
feedback) predicts that altering neuronal activity results in compensatory
responses within a circuit. The relative roles of these modes of plasticity in
vivo are unclear, since neuronal circuits are difficult to manipulate in the
intact organism. In this study, we tested the in vivo effects of activity
deprivation in the superior cervical ganglion-pineal circuit of adult rats, which
can be noninvasively silenced by exposing animals to constant light. We
demonstrated that total deprivation of sympathetic activity markedly decreased
the presence of axonal proteins in the pineal and reduced the density and
thickness of sympathetic axonal arbors. In addition, we demonstrated that
sympathetic inactivity eliminated pineal function and markedly decreased pineal
expression of neurotrophins. Administration of beta-adrenergic agonist restored
the expression of presynaptic and postsynaptic proteins. Furthermore,
compensatory axonal growth through collateral sprouting, normally seen following
unilateral denervation of the pineal, was profoundly impaired in the absence of
neural activity. Thus, these data suggest that sympathetic axonal terminals are
maintained by neural activity that induces neurotrophins, which may act through a
retrograde mechanism to preserve the integrity of axonal arbors via a positive
feedback loop. Conversely, by using Hebbian-like neuroplasticity, silent yet
intact circuits enter a hibernation mode marked by reduction of presynaptic
axonal structures and dramatically reduced postsynaptic expression of
neurotrophins.
PMID- 21900552
TI - Group II/III metabotropic glutamate receptors exert endogenous activity-dependent
modulation of TRPV1 receptors on peripheral nociceptors.
AB - There is pharmacological evidence that group II and III metabotropic glutamate
receptors (mGluRs) function as activity-dependent autoreceptors, inhibiting
transmission in supraspinal sites. These receptors are expressed by peripheral
nociceptors. We investigated whether mGluRs function as activity-dependent
autoreceptors inhibiting pain transmission to the rat CNS, particularly transient
receptor potential vanilloid 1 (TRPV1)-induced activity. Blocking peripheral
mGluR activity by intraplantar injection of antagonists LY341495 [(2S)-2-amino-2
[(1S,2S)-2-carboxycycloprop-1-yl]-3-(xanth-9-yl) propanoic acid] (LY) (20, 100
MUm, group II/III), APICA [(RS)-1-amino-5-phosphonoindan-1-carboxylic acid] (100
MUm, group II), or UBP1112 (alpha-methyl-3-methyl-4-phosphonophenylglycine) (30
MUm, group III) increased capsaicin (CAP)-induced nociceptive behaviors and
nociceptor activity. In contrast, group II agonist APDC [(2R,4R)-4
aminopyrrolidine-2,4-dicarboxylate] (0.1 MUm) or group III agonist l-(+)-2-amino
4-phosphonobutyric acid (l-AP-4) (10 MUm) blocked the LY-induced increase. Ca(2+)
imaging in dorsal root ganglion (DRG) cells confirmed LY enhanced CAP-induced
Ca(2+) mobilization, which was blocked by APDC and l-AP-4. We hypothesized that
excess glutamate (GLU) released by high intensity and/or prolonged stimulation
endogenously activated group II/III, dampening nociceptor activation. In support
of this, intraplantar GLU + LY produced heat hyperalgesia, and exogenous GLU + LY
applied to nociceptors produced enhanced nociceptor activity and thermal
sensitization. Intraplantar Formalin, known to elevate extracellular GLU,
enhanced pain behaviors in the presence of LY. LY alone produced no pain
behaviors, no change in nociceptor discharge rate or heat-evoked responses, and
no change in cytosolic Ca(2+) in DRG cells, demonstrating a lack of tonic
inhibitory control. Group II/III mGluRs maintain an activity-dependent
autoinhibition, capable of significantly reducing TRPV1-induced activity. They
are endogenously activated after high-frequency and/or prolonged nociceptor
stimulation, acting as built-in negative modulators of TRPV1 and nociceptor
function, reducing pain transmission to the CNS.
PMID- 21900553
TI - Synaptic properties of thalamic input to the subgranular layers of primary
somatosensory and auditory cortices in the mouse.
AB - The classification of synaptic inputs is an essential part of understanding brain
circuitry. In the present study, we examined the synaptic properties of thalamic
inputs to pyramidal neurons in layers 5a, 5b, and 6 of primary somatosensory (S1)
and auditory (A1) cortices in mouse thalamocortical slices. Stimulation of the
ventral posterior medial nucleus and the ventral division of the medial
geniculate body resulted in three distinct response classes, two of which have
never been described before in thalamocortical projections. Class 1A responses
included synaptic depression and all-or-none responses, while Class 1B responses
exhibited synaptic depression and graded responses. Class 1C responses are
characterized by mixed facilitation and depression as well as graded responses.
Activation of metabotropic glutamate receptors was not observed in any of the
response classes. We conclude that Class 1 responses can be broken up into three
distinct subclasses, and that thalamic inputs to the subgranular layers of cortex
may combine with other, intracortical inputs to drive their postsynaptic target
cells. We also integrate these results with our recent, analogous study of
thalamocortical inputs to granular and supragranular layers (Viaene et al.,
2011).
PMID- 21900554
TI - Gamma-band activation predicts both associative memory and cortical plasticity.
AB - Gamma-band oscillations are a ubiquitous phenomenon in the nervous system and
have been implicated in multiple aspects of cognition. In particular, the
strength of gamma oscillations at the time a stimulus is encoded predicts its
subsequent retrieval, suggesting that gamma may reflect enhanced mnemonic
processing. Likewise, activity in the gamma-band can modulate plasticity in
vitro. However, it is unclear whether experience-dependent plasticity in vivo is
also related to gamma-band activation. The aim of the present study was to
determine whether gamma activation in primary auditory cortex modulates both the
associative memory for an auditory stimulus during classical conditioning and its
accompanying specific receptive field plasticity. Rats received multiple daily
sessions of single tone/shock trace and two-tone discrimination conditioning,
during which local field potentials and multiunit discharges were recorded from
chronically implanted electrodes. We found that the strength of tone-induced
gamma predicted the acquisition of associative memory 24 h later and ceased to
predict subsequent performance once asymptote was reached. Gamma activation also
predicted receptive field plasticity that specifically enhanced representation of
the signal tone. This concordance provides a long-sought link between gamma
oscillations, cortical plasticity, and the formation of new memories.
PMID- 21900555
TI - Calcineurin and its regulator sra/DSCR1 are essential for sleep in Drosophila.
AB - Sleep is a fundamental biological process for all animals. However, the molecular
mechanisms that regulate sleep are still poorly understood. Here we report that
sleep-like behavior in Drosophila is severely impaired by mutations in sarah
(sra), a member of the Regulator of Calcineurin (RCAN) family of genes. Sleep
reduction in sra mutants is highly correlated with decreases in Sra protein
levels. Pan-neural expression of sra rescues this behavioral phenotype,
indicating that neuronal sra function is required for normal sleep. Since Sra
regulates calcineurin (CN), we generated and examined the behavior of knock-out
mutants for all Drosophila CN genes: CanA-14F, Pp2B-14D, and CanA1 (catalytic
subunits), and CanB and CanB2 (regulatory subunits). While all mutants show at
least minor changes in sleep, CanA-14F(KO) and CanB(KO) have striking reductions,
suggesting that these are the major CN subunits regulating sleep. In addition,
neuronal expression of constitutively active forms of CN catalytic subunits also
significantly reduces sleep, demonstrating that both increases and decreases in
CN activity inhibit sleep. sra sleep defects are suppressed by CN mutations,
indicating that sra and CN affect sleep through a common mechanism. Our results
demonstrate that CN and its regulation by Sra are required for normal sleep in
Drosophila and identify a critical role of Ca(2+)/calmodulin-dependent signaling
in sleep regulation.
PMID- 21900556
TI - Dynamics of population response to changes of motion direction in primary visual
cortex.
AB - The visual system is thought to represent the direction of moving objects in the
relative activity of large populations of cortical neurons that are broadly tuned
to the direction of stimulus motion, but how changes in the direction of a moving
stimulus are represented in the population response remains poorly understood.
Here we take advantage of the orderly mapping of direction selectivity in ferret
primary visual cortex (V1) to explore how abrupt changes in the direction of a
moving stimulus are encoded in population activity using voltage-sensitive dye
imaging. For stimuli moving in a constant direction, the peak of the V1
population response accurately represented the direction of stimulus motion, but
following abrupt changes in motion direction, the peak transiently departed from
the direction of stimulus motion in a fashion that varied with the direction
offset angle and was well predicted from the response to the component
directions. We conclude that cortical dynamics and population coding mechanisms
combine to place constraints on the accuracy with which abrupt changes in
direction of motion can be represented by cortical circuits.
PMID- 21900557
TI - Abnormalities in the climbing fiber-Purkinje cell circuitry contribute to
neuronal dysfunction in ATXN1[82Q] mice.
AB - One fundamental unanswered question in the field of polyglutamine diseases
concerns the pathophysiology of neuronal dysfunction. Is there dysfunction in a
specific neuronal population or circuit initially that contributes the onset of
behavioral abnormalities? This study used a systems-level approach to investigate
the functional integrity of the excitatory cerebellar cortical circuitry in vivo
from several transgenic ATXN1 mouse lines. We tested the hypotheses that there
are functional climbing fiber (CF)-Purkinje cell (PC) and parallel fiber (PF)-PC
circuit abnormalities using flavoprotein autofluorescence optical imaging and
extracellular field potential recordings. In early-symptomatic and symptomatic
animals expressing ATXN1[82Q], there is a marked reduction in PC responsiveness
to CF activation. Immunostaining of vesicular glutamate transporter type 2
demonstrated a decrement in CF extension on PC dendrites in symptomatic
ATXN1[82Q] mice. In contrast, responses to PF stimulation were relatively normal.
Importantly, the deficits in CF-PC synaptic transmission required expression of
pathogenic ataxin-1 (ATXN1[82Q]) and for its entrance into the nucleus of PCs.
Loss of endogenous mouse Atxn1 had no discernible effects. Furthermore, the
abnormalities in CF-PC synaptic transmission were ameliorated when mutant
transgene expression was prevented during postnatal cerebellar development. The
results demonstrate the preferential susceptibility of the CF-PC circuit to the
effects of ATXN1[82Q]. Further, this deficit likely contributes to the abnormal
motor phenotype of ATXN1[82Q] mice. For polyglutamine diseases generally, the
findings support a model whereby specific neuronal circuits suffer insults that
alter function before cell death.
PMID- 21900558
TI - Selective hippocampal neurodegeneration in transgenic mice expressing small
amounts of truncated Abeta is induced by pyroglutamate-Abeta formation.
AB - Posttranslational amyloid-beta (Abeta) modification is considered to play an
important role in Alzheimer's disease (AD) etiology. An N-terminally modified
Abeta species, pyroglutamate-amyloid-beta (pE3-Abeta), has been described as a
major constituent of Abeta deposits specific to human AD but absent in normal
aging. Formed via cyclization of truncated Abeta species by glutaminyl cyclase
(QC; QPCT) and/or its isoenzyme (isoQC; QPCTL), pE3-Abeta aggregates rapidly and
is known to seed additional Abeta aggregation. To directly investigate pE3-Abeta
toxicity in vivo, we generated and characterized transgenic TBA2.1 and TBA2.2
mice, which express truncated mutant human Abeta. Along with a rapidly developing
behavioral phenotype, these mice showed progressively accumulating Abeta and pE3
Abeta deposits in brain regions of neuronal loss, impaired long-term
potentiation, microglial activation, and astrocytosis. Illustrating a threshold
for pE3-Abeta neurotoxicity, this phenotype was not found in heterozygous animals
but in homozygous TBA2.1 or double-heterozygous TBA2.1/2.2 animals only. A
significant amount of pE3-Abeta formation was shown to be QC-dependent, because
crossbreeding of TBA2.1 with QC knock-out, but not isoQC knock-out, mice
significantly reduced pE3-Abeta levels. Hence, lowering the rate of QC-dependent
posttranslational pE3-Abeta formation can, in turn, lower the amount of
neurotoxic Abeta species in AD.
PMID- 21900559
TI - Pitx3 is a critical mediator of GDNF-induced BDNF expression in nigrostriatal
dopaminergic neurons.
AB - Pitx3 is a critical homeodomain transcription factor for the proper development
and survival of mesodiencephalic dopaminergic (mdDA) neurons in mammals. Several
variants of this gene have been associated with human Parkinson's disease (PD),
and lack of Pitx3 in mice causes the preferential loss of substantia nigra pars
compacta (SNc) mdDA neurons that are most affected in PD. It is currently unclear
how Pitx3 activity promotes the survival of SNc mdDA neurons and which factors
act upstream and downstream of Pitx3 in this context. Here we show that a
transient expression of glial cell line-derived neurotrophic factor (GDNF) in the
murine ventral midbrain (VM) induces transcription of Pitx3 via NF-kappaB
mediated signaling, and that Pitx3 is in turn required for activating the
expression of brain-derived neurotrophic factor (BDNF) in a rostrolateral (SNc)
mdDA neuron subpopulation during embryogenesis. The loss of BDNF expression
correlates with the increased apoptotic cell death of this mdDA neuronal
subpopulation in Pitx3(-/-) mice, whereas treatment of VM cell cultures with BDNF
augments the survival of the Pitx3(-/-) mdDA neurons. Most importantly, only BDNF
but not GDNF protects mdDA neurons against 6-hydroxydopamine-induced cell death
in the absence of Pitx3. As the feedforward regulation of GDNF, Pitx3, and BDNF
expression also persists in the adult rodent brain, our data suggest that the
disruption of the regulatory interaction between these three factors contributes
to the loss of mdDA neurons in Pitx3(-/-) mutant mice and perhaps also in human
PD.
PMID- 21900560
TI - Contextual novelty modulates the neural dynamics of reward anticipation.
AB - We investigated how rapidly the reward-predicting properties of visual cues are
signaled in the human brain and the extent these reward prediction signals are
contextually modifiable. In a magnetoencephalography study, we presented
participants with fractal visual cues that predicted monetary rewards with
different probabilities. These cues were presented in the temporal context of a
preceding novel or familiar image of a natural scene. Starting at ~100 ms after
cue onset, reward probability was signaled in the event-related fields (ERFs)
over temporo-occipital sensors and in the power of theta (5-8 Hz) and beta (20-30
Hz) band oscillations over frontal sensors. While theta decreased with reward
probability beta power showed the opposite effect. Thus, in humans anticipatory
reward responses are generated rapidly, within 100 ms after the onset of reward
predicting cues, which is similar to the timing established in non-human
primates. Contextual novelty enhanced the reward anticipation responses in both
ERFs and in beta oscillations starting at ~100 ms after cue onset. This very
early context effect is compatible with a physiological model that invokes the
mediation of a hippocampal-VTA loop according to which novelty modulates neural
response properties within the reward circuitry. We conclude that the neural
processing of cues that predict future rewards is temporally highly efficient and
contextually modifiable.
PMID- 21900561
TI - Spinal 5-HT(3) receptor activation induces behavioral hypersensitivity via a
neuronal-glial-neuronal signaling cascade.
AB - Recent studies indicate that the descending serotonin (5-HT) system from the
rostral ventromedial medulla (RVM) in the brainstem and the 5-HT(3) receptor
subtype in the spinal dorsal horn are involved in enhanced descending pain
facilitation after tissue and nerve injury. However, the mechanisms underlying
the activation of the 5-HT(3) receptor and its contribution to facilitation of
pain remain unclear. In the present study, activation of spinal 5-HT(3) receptor
by intrathecal injection of a selective 5-HT(3) receptor agonist, SR57227,
induced spinal glial hyperactivity, neuronal hyperexcitability, and pain
hypersensitivity in rats. We found that there was neuron-to-microglia signaling
via chemokine fractalkine, microglia to astrocyte signaling via the cytokine IL
18, astrocyte to neuronal signaling by IL-1beta, and enhanced activation of GluN
(NMDA) receptors in the spinal dorsal horn. In addition, exogenous brain-derived
neurotrophic factor-induced descending pain facilitation was accompanied by
upregulation of CD11b and GFAP expression in the spinal dorsal horn after
microinjection in the RVM, and these events were significantly prevented by
functional blockade of spinal 5-HT(3) receptors. Enhanced expression of spinal
CD11b and GFAP after hindpaw inflammation was also attenuated by molecular
depletion of the descending 5-HT system by intra-RVM Tph-2 shRNA interference.
Thus, these findings offer new insights into the cellular and molecular
mechanisms at the spinal level responsible for descending 5-HT-mediated pain
facilitation during the development of persistent pain after tissue and nerve
injury. New pain therapies should focus on prime targets of descending
facilitation-induced glial involvement, and in particular the blocking of
intercellular signaling transduction between neuron and glia.
PMID- 21900562
TI - Depth-dependent temporal response properties in core auditory cortex.
AB - The computational role of cortical layers within auditory cortex has proven
difficult to establish. One hypothesis is that interlaminar cortical processing
might be dedicated to analyzing temporal properties of sounds; if so, then there
should be systematic depth-dependent changes in cortical sensitivity to the
temporal context in which a stimulus occurs. We recorded neural responses
simultaneously across cortical depth in primary auditory cortex and anterior
auditory field of CBA/Ca mice, and found systematic depth dependencies in
responses to second-and-later noise bursts in slow (1-10 bursts/s) trains of
noise bursts. At all depths, responses to noise bursts within a train usually
decreased with increasing train rate; however, the rolloff with increasing train
rate occurred at faster rates in more superficial layers. Moreover, in some
recordings from mid-to-superficial layers, responses to noise bursts within a 3-4
bursts/s train were stronger than responses to noise bursts in slower trains.
This non-monotonicity with train rate was especially pronounced in more
superficial layers of the anterior auditory field, where responses to noise
bursts within the context of a slow train were sometimes even stronger than
responses to the noise burst at train onset. These findings may reflect depth
dependence in suppression and recovery of cortical activity following a stimulus,
which we suggest could arise from laminar differences in synaptic depression at
feedforward and recurrent synapses.
PMID- 21900563
TI - The neural and cognitive time course of theory of mind.
AB - Neuroimaging and neuropsychological studies implicate both frontal and
temporoparietal cortices when humans reason about the mental states of others.
Here, we report an event-related potentials study of the time course of one such
"theory of mind" ability: visual perspective taking. The findings suggest that
posterior cortex, perhaps the temporoparietal cortex, calculates and represents
the perspective of self versus other, and then, later, the right frontal cortex
resolves conflict between perspectives during response selection.
PMID- 21900564
TI - Variability of the relationship between electrophysiology and BOLD-fMRI across
cortical regions in humans.
AB - The relationship between blood oxygenation level-dependent (BOLD) functional MRI
(fMRI) signal and the underlying neural electrical activity in humans is a topic
of intense interest to systems neuroscience. This relationship has generally been
assumed to be invariant regardless of the brain region and the cognitive task
being studied. We critically evaluated these assumptions by comparing the BOLD
fMRI response with local field potential (LFP) measurements during visually cued
common noun and verb generation in 11 humans in whom 1210 subdural electrodes
were implanted. As expected, power in the mid-gamma band (60-120 Hz) correlated
positively (r(2) = 0.16, p < 10(-16)) and power in the beta band (13-30 Hz)
correlated negatively (r(2) = 0.09, p < 10(-16)) with the BOLD signal change.
Beta and mid-gamma band activity independently explain different components of
the observed BOLD signal. Importantly, we found that the location (i.e., lobe) of
the recording site modulates the relationship between the electrocorticographic
(ECoG) signal and the observed fMRI response (p < 10(-16), F(21,1830) = 52.7),
while the type of language task does not. Across all brain regions, ECoG activity
in the gamma and beta bands explains 22% of the fMRI response, but if the lobar
location is considered, 28% of the variance can be explained. Further evaluation
of this relationship at the level of individual gyri provides additional evidence
of differences in the BOLD-LFP relationship by cortical locus. This spatial
variability in the relationship between the fMRI signal and neural activity
carries implications for modeling of the hemodynamic response function, an
essential step for interregional fMRI comparisons.
PMID- 21900565
TI - Nucleus accumbens dopamine/glutamate interaction switches modes to generate
desire versus dread: D(1) alone for appetitive eating but D(1) and D(2) together
for fear.
AB - The medial shell of nucleus accumbens (NAc) and its mesolimbic dopamine inputs
mediate forms of fearful as well as of incentive motivation. For example, either
appetitive and/or actively fearful behaviors are generated in a keyboard pattern
by localized glutamate disruptions in NAc (via microinjection of the AMPA
receptor antagonist DNQX) at different anatomical locations along a rostrocaudal
gradient within the medial shell of rats. Rostral glutamate disruptions produce
intense increases in eating, but more caudally placed disruptions produce
increasingly fearful behaviors: distress vocalizations and escape attempts to
human touch, and a spontaneous and directed antipredator response called
defensive treading/burying. Local endogenous dopamine is required for either
intense motivation to be generated by AMPA disruptions. Here we report that only
endogenous local signaling at D(1) dopamine receptors is needed for rostral
generation of excessive eating, potentially implicating a direct output pathway
contribution. In contrast, fear generation at caudal sites requires both D(1) and
D(2) signaling simultaneously, potentially implicating an indirect output pathway
contribution. Finally, when motivation valence generated by AMPA disruptions at
intermediate sites was flipped by manipulating environmental ambience, from
mostly appetitive in a comfortable home environment to mostly fearful in a
stressful environment, the roles of local D(1) and D(2) signaling in
dopamine/glutamate interaction at microinjection sites also switched dynamically
to match the motivation valence generated at the moment. Thus, NAc D(1) and D(2)
receptors, and their associated neuronal circuits, play different and dynamic
roles in enabling desire and dread to be generated by localized NAc glutamate
disruptions in medial shell.
PMID- 21900566
TI - Breathing without CO(2) chemosensitivity in conditional Phox2b mutants.
AB - Breathing is a spontaneous, rhythmic motor behavior critical for maintaining
O(2), CO(2), and pH homeostasis. In mammals, it is generated by a neuronal
network in the lower brainstem, the respiratory rhythm generator (Feldman et al.,
2003). A century-old tenet in respiratory physiology posits that the respiratory
chemoreflex, the stimulation of breathing by an increase in partial pressure of
CO(2) in the blood, is indispensable for rhythmic breathing. Here we have
revisited this postulate with the help of mouse genetics. We have engineered a
conditional mouse mutant in which the toxic PHOX2B(27Ala) mutation that causes
congenital central hypoventilation syndrome in man is targeted to the
retrotrapezoid nucleus, a site essential for central chemosensitivity. The
mutants lack a retrotrapezoid nucleus and their breathing is not stimulated by
elevated CO(2) at least up to postnatal day 9 and they barely respond as
juveniles, but nevertheless survive, breathe normally beyond the first days after
birth, and maintain blood PCO(2) within the normal range. Input from peripheral
chemoreceptors that sense PO(2) in the blood appears to compensate for the
missing CO(2) response since silencing them by high O(2) abolishes rhythmic
breathing. CO(2) chemosensitivity partially recovered in adulthood. Hence, during
the early life of rodents, the excitatory input normally afforded by elevated
CO(2) is dispensable for life-sustaining breathing and maintaining CO(2)
homeostasis in the blood.
PMID- 21900567
TI - Vulnerability to depression: from brain neuroplasticity to identification of
biomarkers.
AB - A stressful event increases the risk of developing depression later in life, but
the possible predisposing factors remain unknown. Our study aims to characterize
latent vulnerability traits underlying the development of depressive disorders in
adult animals. Four weeks after a priming stressful event, serum corticosterone
concentration returned to control values in all animals, whereas the other
biological parameters returned to basal level in only 58% of animals (called
nonvulnerable). In contrast, 42% of animals displayed persistent decreased serum
and hippocampus BDNF concentrations, reduced hippocampal volume and neurogenesis,
CA3 dendritic retraction and decrease in spine density, as well as amygdala
neuron hypertrophy, constituting latent vulnerability traits to depression. In
this group, called vulnerable, a subsequent mild stress evoked a rise of serum
corticosterone levels and a "depressive" phenotype, in contrast to nonvulnerable
animals. Intracerebroventricular administration of 7,8-dihydroxyflavone, a
selective TrkB receptor agonist, dampened the development of the "depressive"
phenotype. Our results thus characterize the presence of latent vulnerability
traits that underlie the emergence of depression and identify the association of
low BDNF with normal corticosterone serum concentrations as a predictive
biomarker of vulnerability to depression.
PMID- 21900568
TI - Attentional modulation of fMRI responses in human V1 is consistent with distinct
spatial maps for chromatically defined orientation and contrast.
AB - Attending to different stimulus features such as contrast or orientation can
change the pattern of neural responses in human V1 measured with fMRI. We show
that these pattern changes are much more distinct for colored stimuli than for
achromatic stimuli. This is evidence for a classic model of V1 functional
architecture in which chromatic contrast and orientation are coded in spatially
distinct neural domains, while achromatic contrast and orientation are not.
PMID- 21900569
TI - Direct structural connections between voice- and face-recognition areas.
AB - Currently, there are two opposing models for how voice and face information is
integrated in the human brain to recognize person identity. The conventional
model assumes that voice and face information is only combined at a supramodal
stage (Bruce and Young, 1986; Burton et al., 1990; Ellis et al., 1997). An
alternative model posits that areas encoding voice and face information also
interact directly and that this direct interaction is behaviorally relevant for
optimizing person recognition (von Kriegstein et al., 2005; von Kriegstein and
Giraud, 2006). To disambiguate between the two different models, we tested for
evidence of direct structural connections between voice- and face-processing
cortical areas by combining functional and diffusion magnetic resonance imaging.
We localized, at the individual subject level, three voice-sensitive areas in
anterior, middle, and posterior superior temporal sulcus (STS) and face-sensitive
areas in the fusiform gyrus [fusiform face area (FFA)]. Using probabilistic
tractography, we show evidence that the FFA is structurally connected with voice
sensitive areas in STS. In particular, our results suggest that the FFA is more
strongly connected to middle and anterior than to posterior areas of the voice
sensitive STS. This specific structural connectivity pattern indicates that
direct links between face- and voice-recognition areas could be used to optimize
human person recognition.
PMID- 21900572
TI - Differential BOLD activity associated with subjective and objective reports
during "blindsight" in normal observers.
AB - The study of conscious visual perception invariably necessitates some means of
report. Report can be either subjective, i.e., an introspective evaluation of
conscious experience, or objective, i.e., a forced-choice discrimination
regarding different stimulus states. However, the link between report type and
fMRI-BOLD signals has remained unknown. Here we used continuous flash suppression
to render target images invisible, and observed a long-lasting dissociation
between subjective report of visibility and human subjects' forced-choice
localization of targets ("blindsight"). Our results show a robust dissociation
between brain regions and type of report. We find subjective visibility effects
in high-order visual areas even under equal objective performance. No significant
BOLD difference was found between correct and incorrect trials in these areas
when subjective report was constant. On the other hand, objective performance was
linked to the accuracy of multivariate pattern classification mainly in early
visual areas. Together, our data support the notion that subjective and objective
reports tap cortical signals of different location and amplitude within the
visual cortex.
PMID- 21900570
TI - Upregulation of KCC2 activity by zinc-mediated neurotransmission via the
mZnR/GPR39 receptor.
AB - Vesicular Zn(2+) regulates postsynaptic neuronal excitability upon its corelease
with glutamate. We previously demonstrated that synaptic Zn(2+) acts via a
distinct metabotropic zinc-sensing receptor (mZnR) in neurons to trigger Ca(2+)
responses in the hippocampus. Here, we show that physiological activation of mZnR
signaling induces enhanced K(+)/Cl(-) cotransporter 2 (KCC2) activity and surface
expression. As KCC2 is the major Cl(-) outward transporter in neurons, Zn(2+)
also triggers a pronounced hyperpolarizing shift in the GABA(A) reversal
potential. Mossy fiber stimulation-dependent upregulation of KCC2 activity is
eliminated in slices from Zn(2+) transporter 3-deficient animals, which lack
synaptic Zn(2+). Importantly, activity-dependent ZnR signaling and subsequent
enhancement of KCC2 activity are also absent in slices from mice lacking the G
protein-coupled receptor GPR39, identifying this protein as the functional
neuronal mZnR. Our work elucidates a fundamentally important role for
synaptically released Zn(2+) acting as a neurotransmitter signal via activation
of a mZnR to increase Cl(-) transport, thereby enhancing inhibitory tone in
postsynaptic cells.
PMID- 21900571
TI - Fukutin-related protein alters the deposition of laminin in the eye and brain.
AB - Mutations in fukutin-related protein (FKRP) are responsible for a common group of
muscular dystrophies ranging from adult onset limb girdle muscular dystrophies to
severe congenital forms with associated structural brain involvement. The
defining feature of this group of disorders is the hypoglycosylation of alpha
dystroglycan and its inability to effectively bind extracellular matrix ligands
such as laminin alpha2. However, alpha-dystroglycan has the potential to interact
with a number of laminin isoforms many of which are basement membrane/tissue
specific and developmentally regulated. To further investigate this we evaluated
laminin alpha-chain expression in the cerebral cortex and eye of our FKRP knock
down mouse (FKRP(KD)). These mice showed a marked disturbance in the deposition
of laminin alpha-chains including alpha1, alpha2, alpha4, and alpha5, although
only laminin alpha1- and gamma1-chain mRNA expression was significantly
upregulated relative to controls. Moreover, there was a diffuse pattern of
laminin deposition below the pial surface which correlated with an abrupt
termination of many of the radial glial cells. This along with the pial basement
membrane defects, contributed to the abnormal positioning of both early- and late
born neurons. Defects in the inner limiting membrane of the eye were associated
with a reduction of laminin alpha1 demonstrating the involvement of the alpha
dystroglycan:laminin alpha1 axis in the disease process. These observations
demonstrate for the first time that a reduction in Fkrp influences the ability of
tissue-specific forms of alpha-dystroglycan to direct the deposition of several
laminin isoforms in the formation of different basement membranes.
PMID- 21900573
TI - Carbon nanotube scaffolds tune synaptic strength in cultured neural circuits:
novel frontiers in nanomaterial-tissue interactions.
AB - A long-term goal of tissue engineering is to exploit the ability of supporting
materials to govern cell-specific behaviors. Instructive scaffolds code such
information by modulating (via their physical and chemical features) the
interface between cells and materials at the nanoscale. In modern neuroscience,
therapeutic regenerative strategies (i.e., brain repair after damage) aim to
guide and enhance the intrinsic capacity of the brain to reorganize by promoting
plasticity mechanisms in a controlled fashion. Direct and specific interactions
between synthetic materials and biological cell membranes may play a central role
in this process. Here, we investigate the role of the material's properties
alone, in carbon nanotube scaffolds, in constructing the functional building
blocks of neural circuits: the synapses. Using electrophysiological recordings
and rat cultured neural networks, we describe the ability of a nanoscaled
material to promote the formation of synaptic contacts and to modulate their
plasticity.
PMID- 21900575
TI - Matrix metalloproteinase-9 contributes to kindled seizure development in
pentylenetetrazole-treated mice by converting pro-BDNF to mature BDNF in the
hippocampus.
AB - Recurrent seizure activity has been shown to induce a variety of permanent
structural changes in the brain. Matrix metalloproteinases (MMPs) function to
promote neuronal plasticity, primarily through cleavage of extracellular matrix
proteins. Here, we investigated the role of MMP-9 in the development of
pentylenetetrazole (PTZ)-induced kindled seizure in mice. Repeated treatment with
PTZ (40 mg/kg) produced kindled seizure, which was accompanied by enhanced MMP-9
activity and expression in the hippocampus. No change in MMP-9 activity was
observed in the hippocampi of mice with generalized tonic seizure following
single administration of PTZ (60 mg/kg). MMP-9 colocalized with the neuronal
marker NeuN and the glial marker GFAP in the dentate gyrus of the kindled mouse
hippocampus. Coadministration of diazepam or MK-801 with PTZ inhibited the
development of kindling and the increased MMP-9 levels in the hippocampus. Marked
suppression of kindled seizure progression in response to repeated PTZ treatment
was observed in MMP-9((-/-)) mice compared with wild-type mice, an observation
that was accompanied by decreased hippocampal levels of mature brain-derived
neurotrophic factor. Microinjecting the BDNF scavenger TrkB-Fc into the right
ventricle before each PTZ treatment significantly suppressed the development of
kindling in wild-type mice, whereas no effect was observed in MMP-9((-/-)) mice.
On the other hand, bilateral injections of pro-BDNF into the hippocampal dentate
gyrus significantly enhanced kindling in wild-type mice but not MMP-9((-/-))
mice. These findings suggest that MMP-9 is involved in the progression of
behavioral phenotypes in kindled mice because of conversion of pro-BDNF to mature
BDNF in the hippocampus.
PMID- 21900574
TI - Default mode of brain function in monkeys.
AB - Human neuroimaging has revealed a specific network of brain regions-the default
mode network (DMN)-that reduces its activity during goal-directed behavior. So
far, evidence for a similar network in monkeys is mainly indirect, since, except
for one positron emission tomography study, it is all based on functional
connectivity analysis rather than activity increases during passive task states.
Here, we tested whether a consistent DMN exists in monkeys using its defining
property. We performed a meta-analysis of functional magnetic resonance imaging
data collected in 10 awake monkeys to reveal areas in which activity consistently
decreases when task demands shift from passive tasks to externally oriented
processing. We observed task-related spatially specific deactivations across 15
experiments, implying in the monkey a functional equivalent of the human DMN. We
revealed by resting-state connectivity that prefrontal and medial parietal
regions, including areas 9/46d and 31, respectively, constitute the DMN core,
being functionally connected to all other DMN areas. We also detected two
distinct subsystems composed of DMN areas with stronger functional connections
between each other. These clusters included areas 24/32, 8b, and TPOC and areas
23, v23, and PGm, respectively. Such a pattern of functional connectivity largely
fits, but is not completely consistent with anatomical tract tracing data in
monkeys. Also, analysis of afferent and efferent connections between DMN areas
suggests a multisynaptic network structure. Like humans, monkeys increase
activity during passive epochs in heteromodal and limbic association regions,
suggesting that they also default to internal modes of processing when not
actively interacting with the environment.
PMID- 21900576
TI - Global functional connectivity deficits in schizophrenia depend on behavioral
state.
AB - Schizophrenia is a devastating psychiatric illness characterized by deterioration
of cognitive and emotional processing. It has been hypothesized that aberrant
cortical connectivity is implicated in the disease (Friston, 1998), yet previous
studies of functional connectivity (FC) in schizophrenia have shown mixed results
(Garrity et al., 2007; Jafri et al., 2008; Lynall et al., 2010). We measured FC
using fMRI in human schizophrenia patients and healthy controls during two
different tasks and a rest condition, and constructed a voxel-based global FC
index. We found a striking FC decrease in patients compared with controls. In the
task conditions, relatively weaker FC was specific to regions of cortex not
active during the task. In the rest condition, the FC difference between patients
and controls was larger and allowed a case-by-case separation between individuals
of the two groups. The results suggest that the relative reduction of FC in
schizophrenia is dependent on the state of cortical activity, with voxels not
activated by the task showing higher levels of FC deficiency. This novel finding
may shed light on previous reports of FC in schizophrenia. Whether this neural
characteristic is related to the development of the disorder remains to be
established.
PMID- 21900577
TI - Mitochondrial Ca(2+) uptake is essential for synaptic plasticity in pain.
AB - The increase of cytosolic free Ca(2+) ([Ca(2+)](c)) due to NMDA receptor
activation is a key step for spinal cord synaptic plasticity by altering cellular
signal transduction pathways. We focus on this plasticity as a cause of
persistent pain. To provide a mechanism for these classic findings, we report
that [Ca(2+)](c) does not trigger synaptic plasticity directly but must first
enter into mitochondria. Interfering with mitochondrial Ca(2+) uptake during a
[Ca(2+)](c) increase blocks induction of behavioral hyperalgesia and accompanying
downstream cell signaling, with reduction of spinal long-term potentiation (LTP).
Furthermore, reducing the accompanying mitochondrial superoxide levels lessens
hyperalgesia and LTP induction. These results indicate that [Ca(2+)](c) requires
downstream mitochondrial Ca(2+) uptake with consequent production of reactive
oxygen species (ROS) for synaptic plasticity underlying chronic pain. These
results suggest modifying mitochondrial Ca(2+) uptake and thus ROS as a type of
chronic pain therapy that should also have broader biologic significance.
PMID- 21900578
TI - Microglial cells contribute to endogenous brain defenses after acute neonatal
focal stroke.
AB - Macrophages are viewed as amplifiers of ischemic brain injury, but the origin of
injury-producing macrophages is poorly defined. The role of resident brain
macrophages-microglial cells-in stroke remains controversial. To determine
whether microglial cells exert injurious effects after neonatal focal stroke, we
selectively depleted these cells with intracerebral injection of liposome
encapsulated clodronate before transient middle cerebral artery occlusion in
postnatal day 7 rats. Phagocytosis of apoptotic neurons by activated microglia
was poor in animals with unmanipulated microglia, and depletion of these cells
did not increase the number of apoptotic neurons. Lack of microglia increased the
brain levels of several cytokines and chemokines already elevated by ischemia
reperfusion, and also increased the severity and volume of injury, suggesting
that microglial cells contribute to endogenous protection during the subacute
injury phase. Then, to determine whether accumulation of reactive oxygen species
in microglia adversely affects phagocytosis of dying neurons and contributes to
injury, we delivered reduced glutathione (GSH) into microglia, again using
liposomes. Remarkably, pharmacologically increased intracellular GSH
concentrations in microglia induced superoxide accumulation in lipid rafts in
these cells, further increased the brain levels of macrophage chemoattractants,
and exacerbated injury. Together, these data show that microglia are part of the
endogenous defense mechanisms and that, while antioxidants can protect the
injured neonatal brain, high levels of reducing equivalents in activated
microglia, GSH, trigger superoxide production, favor the reorganization of
lipids, amplify local inflammation and exacerbate injury.
PMID- 21900580
TI - A Ca(2+) threshold for induction of spike-timing-dependent depression in the
mouse striatum.
AB - The striatum is the principal input nucleus of the basal ganglia, receiving
glutamatergic afferents from the cerebral cortex. There is much interest in
mechanisms of synaptic plasticity in the corticostriatal synapses. We used two
photon microscopy and whole-cell recording to measure changes in intracellular
calcium concentration ([Ca(2+)](i)) associated with spike-time-dependent
plasticity in mouse striatum. Uncaging glutamate adjacent to a dendritic spine
caused a postsynaptic potential at the soma and a rise in spine [Ca(2+)](i).
Action potentials elicited at the soma raised both dendrite and spine
[Ca(2+)](i). Pairing protocols in which glutamate uncaging preceded action
potentials by 10 ms (pre-post protocol) produced supralinear increases in spine
[Ca(2+)](i) compared with the sum of increases seen with uncaging and action
potentials alone, or timing protocols in which the uncaging followed the action
potentials (post-pre protocols). The supralinear component of the increases in
[Ca(2+)](i) were eliminated by the voltage-sensitive calcium channel blocker
nimodipine. In the adjacent parent dendrites, the increases in [Ca(2+)](i) were
neither supralinear nor sensitive to the relative pre-post timing. In parallel
experiments, we investigated the effects of these pairing protocols on spike
timing-dependent synaptic plasticity. Long-term depression (t-LTD) of
corticostriatal inputs was induced by pre-post but not post-pre protocols.
Intracellular calcium chelators and calcium antagonists blocked pre-post t-LTD,
confirming that elevated calcium entering via voltage-sensitive calcium channels
is necessary for t-LTD. These findings confirm a spine [Ca(2+)](i) threshold for
induction of t-LTD in the corticostriatal pathway, mediated by the supralinear
increase in [Ca(2+)](i) associated with pre-post induction protocols.
PMID- 21900579
TI - Early changes in cerebellar physiology accompany motor dysfunction in the
polyglutamine disease spinocerebellar ataxia type 3.
AB - The relationship between cerebellar dysfunction, motor symptoms, and neuronal
loss in the inherited ataxias, including the polyglutamine disease
spinocerebellar ataxia type 3 (SCA3), remains poorly understood. We demonstrate
that before neurodegeneration, Purkinje neurons in a mouse model of SCA3 exhibit
increased intrinsic excitability resulting in depolarization block and the loss
of the ability to sustain spontaneous repetitive firing. These alterations in
intrinsic firing are associated with increased inactivation of voltage-activated
potassium currents. Administration of an activator of calcium-activated potassium
channels, SKA-31, partially corrects abnormal Purkinje cell firing and improves
motor function in SCA3 mice. Finally, expression of the disease protein, ataxin
3, in transfected cells increases the inactivation of Kv3.1 channels and shifts
the activation of Kv1.2 channels to more depolarized potentials. Our results
suggest that in SCA3, early Purkinje neuron dysfunction is associated with
altered physiology of voltage-activated potassium channels. We further suggest
that the observed changes in Purkinje neuron physiology contribute to disease
pathogenesis, underlie at least some motor symptoms, and represent a promising
therapeutic target in SCA3.
PMID- 21900581
TI - Erythropoietin as a cardioprotective agent: down but not out.
PMID- 21900582
TI - Polymorphisms of matrix metalloproteinases in myocardial infarction: a meta
analysis.
AB - CONTEXT: The literature provides no clear answer as to whether matrix
metalloproteinases (MMPs) polymorphisms increases risk of myocardial infarction
(MI). OBJECTIVE: Our purpose was to help clarify the inconsistent findings of
MMPs polymorphisms and MI susceptibility and identify which MMP polymorphism
might play an active role in the occurrence of MI. DATA SOURCES: Articles were
identified by a Medline search and citation tracking. STUDY SELECTION: Eligible
articles were case-control studies of MMPs polymorphisms and MI which met our
prespecified criteria. DATA EXTRACTION: Data were independently extracted by two
authors according to a predefined protocol. Incongruities were settled by
consensus decision. RESULTS AND CONCLUSIONS: 18 potentially eligible articles
were identified. In a combined analysis, the 5A allele of the MMP-3 5A/6A
polymorphism was associated with MI (OR 1.21, 95% CI 1.01 to 1.46, p=0.04),
suggesting its role in plaque rupture. In the subgroup analysis by ethnicity,
significantly increased risk was found among East Asians (OR 1.39, 95% CI 1.01 to
1.91, p=0.04), whereas no significant association was detected in Caucasian
populations. In addition, there were significant associations of the MMP-9 -1562C
>T polymorphism with MI (OR 1.14, 95% CI 1.02 to 1.27, p=0.02), whereas the
heterogeneity of the studies showed no significance (I(2)=13.7%, p=0.32). This
meta-analysis demonstrated that the MMP-3 5A/6A and MMP-9 -1562 C->T
polymorphisms are risk factors associated with increased MI susceptibility, but
these associations vary in different ethnic populations.
PMID- 21900583
TI - The choice of diuretic in hypertension: saving the baby from the bathwater.
PMID- 21900584
TI - Almanac 2011: stable coronary artery disease. An editorial overview of selected
research that has driven recent advances in clinical cardiology.
PMID- 21900586
TI - Clinical assessment of acute heart failure syndromes: emergency department
through the early post-discharge period.
PMID- 21900587
TI - Sudden death: managing the patient who survives.
PMID- 21900585
TI - Effect of erythropoietin as an adjunct to primary percutaneous coronary
intervention: a randomised controlled clinical trial.
AB - OBJECTIVE: The acute administration of high-dose erythropoietin (EPO) on
reperfusing ischaemic myocardium has been reported to halve myocardial infarct
(MI) size in preclinical studies, but its effect in ST elevation myocardial
infarction patients undergoing primary percutaneous coronary intervention (PPCI)
remains unknown. We investigated whether high-dose EPO administered as an adjunct
to PPCI reduces MI size. DESIGN: Double-blinded, randomised, placebo-controlled.
SETTING: Single tertiary cardiac centre. PATIENTS: Fifty-one ST elevation
myocardial infarction patients undergoing PPCI. INTERVENTIONS: Patients were
randomly assigned to receive either a single intravenous bolus of EPO (50,000 IU)
prior to PPCI with a further bolus given 24 h later (n=26) or placebo (n=25).
MAIN OUTCOME MEASURES: MI size measured by 24 h area under the curve troponin T
and cardiac magnetic resonance imaging performed on day 2 and at 4 months.
RESULTS: EPO treatment failed to reduce MI size (troponin T area under the curve:
114.6+/-78 MUg/ml EPO vs 100.8+/-68 MUg/ml placebo; infarct mass by cardiac
magnetic resonance: 33+/-16 g EPO vs 25+/-16 g placebo; both p>0.05).
Unexpectedly, EPO treatment doubled the incidence of microvascular obstruction
(82% EPO vs 47% placebo; p=0.02) and significantly increased indexed left
ventricular (LV) end-diastolic volumes (84+/-10 ml/m(2) EPO vs 73+/-13 ml/m(2)
placebo; p=0.003), indexed LV end-systolic volumes (41+/-9 ml/m(2) EPO vs 35+/-11
ml/m(2) placebo; p=0.035) and indexed myocardial mass (89+/-16 g/m(2) EPO vs 79+/
11 g/m(2) placebo; p=0.03). At 4 months, there were no significant differences
between groups. CONCLUSIONS: High-dose EPO administered as an adjunct to PPCI
failed to reduce MI size. In fact, EPO treatment was associated with an increased
incidence of microvascular obstruction, LV dilatation and increased LV mass.
Clinical Trial Registration Information
http://public.ukcrn.org.uk/search/StudyDetail.aspx?StudyID=4058 Unique
Identifier=Study ID 4058.
PMID- 21900589
TI - Imaging the infected heart.
AB - This Focus discusses the merits of modern imaging techniques for the management
of patients with suspected or proven infection and also addresses the challenges
of detecting infective endocarditis early.
PMID- 21900590
TI - Getting to the root of hydrocephalus.
AB - The blood-borne lipid lysophosphatidic acid and its receptor contribute to fetal
onset hydrocephalus, a severe neurological disorder of newborns.
PMID- 21900591
TI - Hidden immunotherapy targets challenge dogma.
AB - In this issue of Science Translational Medicine, Guo et al. discuss the
intriguing possibility that intracellular tumor antigen-specific monoclonal
antibodies (mAbs) inhibit tumor growth and metastasis and prolong survival of
tumor-bearing mice. Here, I discuss the implications of using intracellular
targets in mAb-based immunotherapy as well as the possible underlying mechanisms
of action.
PMID- 21900592
TI - Targeting intracellular oncoproteins with antibody therapy or vaccination.
AB - Antibody-based therapies have better specificity and thus improved efficacy over
standard chemotherapy regimens, which result in extended survival and improved
quality of life for cancer patients. Because antibodies are viewed as too large
to access intracellular locations, antibody therapy has traditionally targeted
extracellular or secreted proteins expressed by cancer cells. However, many
oncogenic proteins are found within the cell (such as intracellular
phosphatases/kinases and transcription factors) and have therefore not been
pursued for antibody therapies. Here, we explored the possibility of antibody
therapy or vaccination against intracellular proteins. As proofs of concept, we
selected three representative intracellular proteins as immunogens for tumor
vaccine studies: PRL-3 (phosphatase of regenerating liver 3), a cancer-associated
phosphatase; EGFP (enhanced green fluorescent protein), a general reporter; and
mT (polyomavirus middle T), the polyomavirus middle T oncoprotein. A variety of
tumors that expressed these intracellular proteins were clearly inhibited by
their respective exogenous antibodies or by antigen-induced host antibodies
(vaccination). These anticancer activities were reproducibly observed in hundreds
of C57BL/6 tumor-bearing mice and MMTV-PymT transgenic breast tumor mice. Our in
vivo data suggest that immunotherapies can target not only extracellular but also
intracellular oncoproteins.
PMID- 21900593
TI - Activation of ERBB2 signaling causes resistance to the EGFR-directed therapeutic
antibody cetuximab.
AB - Cetuximab, an antibody directed against the epidermal growth factor receptor, is
an effective clinical therapy for patients with colorectal, head and neck, and
non-small cell lung cancer, particularly for those with KRAS and BRAF wild-type
cancers. Treatment in all patients is limited eventually by the development of
acquired resistance, but little is known about the underlying mechanism. Here, we
show that activation of ERBB2 signaling in cell lines, either through ERBB2
amplification or through heregulin up-regulation, leads to persistent
extracellular signal-regulated kinase 1/2 signaling and consequently to cetuximab
resistance. Inhibition of ERBB2 or disruption of ERBB2/ERBB3 heterodimerization
restores cetuximab sensitivity in vitro and in vivo. A subset of colorectal
cancer patients who exhibit either de novo or acquired resistance to cetuximab
based therapy has ERBB2 amplification or high levels of circulating heregulin.
Collectively, these findings identify two distinct resistance mechanisms, both of
which promote aberrant ERBB2 signaling, that mediate cetuximab resistance.
Moreover, these results suggest that ERBB2 inhibitors, in combination with
cetuximab, represent a rational therapeutic strategy that should be assessed in
patients with cetuximab-resistant cancers.
PMID- 21900594
TI - Lysophosphatidic acid signaling may initiate fetal hydrocephalus.
AB - Fetal hydrocephalus (FH), characterized by the accumulation of cerebrospinal
fluid, an enlarged head, and neurological dysfunction, is one of the most common
neurological disorders of newborns. Although the etiology of FH remains unclear,
it is associated with intracranial hemorrhage. Here, we report that
lysophosphatidic acid (LPA), a blood-borne lipid that activates signaling through
heterotrimeric guanosine 5'-triphosphate-binding protein (G protein)-coupled
receptors, provides a molecular explanation for FH associated with hemorrhage. A
mouse model of intracranial hemorrhage in which the brains of mouse embryos were
exposed to blood or LPA resulted in development of FH. FH development was
dependent on the expression of the LPA(1) receptor by neural progenitor cells.
Administration of an LPA(1) receptor antagonist blocked development of FH. These
findings implicate the LPA signaling pathway in the etiology of FH and suggest
new potential targets for developing new treatments for FH.
PMID- 21900595
TI - Alcohol intake and colorectal cancer risk by molecularly defined subtypes in a
prospective study of older women.
AB - Increased alcohol consumption is a putative colorectal cancer (CRC) risk factor.
However, existing data are less conclusive for women than men. Also, to date,
relatively few studies have reported alcohol-related CRC risks based on
molecularly defined tumor subtypes. We evaluated associations between alcohol
intake and incident CRC, overall and by microsatellite instability [MSI high (MSI
H) or MSI low/microsatellite stable (MSI-L/MSS)], CpG island methylator phenotype
(CIMP positive or CIMP negative), and BRAF mutation (mutated or wild-type) status
in the prospective, population-based Iowa Women's Health Study (IWHS; n =
41,836). Subjects were 55 to 69 years at baseline (1986), and exposure data were
obtained by self-report. Incident CRCs were prospectively identified and
archived, paraffin-embedded tissue specimens were collected from 732
representative cases, diagnosed through December 31, 2002. Multivariate Cox
regression models were fit to estimate relative risks (RR) and 95% confidence
intervals (CI). Among alcohol consumers, the median intake (range) was 3.4 (0.9
292.8) g/d. Compared with nonconsumers, alcohol intake levels of 3.4 g/d or less
(RR = 1.00; 95% CI, 0.86-1.15) and more than 3.4 g/d (RR = 1.06; 95% CI, 0.91
1.24) were not significantly associated with overall CRC risk. Analyses based on
alcohol intake levels of 30 g/d or less and more than 30 g/d or quartile
distributions yielded similar risk estimates. Null associations were also
observed between each alcohol intake level and the MSI-, CIMP- or, BRAF-defined
CRC subtypes (P > 0.05 for each comparison). These data do not support an adverse
effect from alcohol intake on CRC risk, overall or by specific molecularly
defined subtypes, among older women.
PMID- 21900596
TI - Fluorinated COX-2 inhibitors as agents in PET imaging of inflammation and cancer.
AB - COX-2 is a major contributor to the inflammatory response and cancer progression
so it is an important target for prevention and therapy. COX-2 is absent or
expressed at low levels in most epithelial cells but is found at high levels in
inflammatory lesions, and many premalignant and malignant tumors. Thus, it is an
attractive target for molecular imaging. We report a series of novel fluorinated
imaging agents, derived from indomethacin or celecoxib that selectively inhibit
COX-2. The most promising lead, compound 7, was a fluorinated derivative of
celecoxib. Kinetic analysis revealed that this fluorinated compound is a slow,
tight-binding inhibitor of COX-2 and exhibits minimal inhibitory activity against
COX-1. Efficient incorporation of (18)F into compound 7 by radiochemical
synthesis and intravenous injection provided sufficient signal for in vivo
positron emission tomography (PET) imaging. Selective uptake of (18)F-7 was
observed in inflamed rat paws compared with the noninflamed contralateral paws
and uptake was blocked by pretreatment with the COX-2 inhibitor, celecoxib.
Uptake of (18)F-7 was not observed when inflammation was induced in COX-2-null
mice. In nude mice bearing both a COX-2-expressing human tumor xenograft (1483)
and a COX-2-negative xenograft (HCT116), (18)F-7 selectively accumulated in the
COX-2-expressing tumor. Accumulation was blocked by pretreatment of the animals
with celecoxib. The in vitro and in vivo properties of compound 7 suggest it will
be a useful probe for early detection of cancer and for evaluation of the COX-2
status of premalignant and malignant tumors.
PMID- 21900598
TI - Independent genome reduction and phylogenetic reclassification of the oceanic
SAR11 clade.
AB - The SAR11 clade, here represented by Candidatus Pelagibacter ubique, is the most
successful group of bacteria in the upper surface waters of the oceans. In
contrast to previous studies that have associated the 1.3 Mb genome of Ca.
Pelagibacter ubique with the less than 1.5 Mb genomes of the Rickettsiales, our
phylogenetic analysis suggests that Ca. Pelagibacter ubique is most closely
related to soil and aquatic Alphaproteobacteria with large genomes. This implies
that the SAR11 clade and the Rickettsiales have undergone genome reduction
independently. A gene flux analysis of 46 representative alphaproteobacterial
genomes indicates the loss of more than 800 genes in each of Ca. Pelagibacter
ubique and the Rickettsiales. Consistent with their different phylogenetic
affiliations, the pattern of gene loss differs with a higher loss of genes for
repair and recombination processes in Ca. Pelagibacter ubique as compared with a
more extensive loss of genes for biosynthetic functions in the Rickettsiales.
Some of the lost genes in Ca. Pelagibacter ubique, such as mutLS, recFN, and
ruvABC, are conserved in all other alphaproteobacterial genomes including the
small genomes of the Rickettsiales. The mismatch repair genes mutLS are absent
from all currently sequenced SAR11 genomes and also underrepresented in the
global ocean metagenome data set. We hypothesize that the unique loss of genes
involved in repair and recombination processes in Ca. Pelagibacter ubique has
been driven by selection and that this helps explain many of the characteristics
of the SAR11 population, such as the streamlined genomes, the long branch
lengths, the high recombination frequencies, and the extensive sequence
divergence within the population.
PMID- 21900597
TI - The impact of common genetic variations in genes of the sex hormone metabolic
pathways on steroid hormone levels and prostate cancer aggressiveness.
AB - Our previous work suggested that there was no significant association between
plasma steroid hormone levels and prostate cancer tumor grade at diagnosis. In
this study, we systematically tested the hypothesis that inherited variations in
the androgen and estrogen metabolic pathways may be associated with plasma levels
of steroid hormones, or prostate cancer aggressiveness at diagnosis. Plasma
hormone levels including total testosterone, total estradiol, and sex hormone
binding globulin were measured in a cohort of 508 patients identified with
localized prostate cancer. D'Amico risk classification at diagnosis was also
determined. A total of 143 single-nucleotide polymorphisms (SNPs) from 30 genes
that are involved in androgen and estrogen metabolism were selected for analysis.
The global association of genotypes with plasma hormone levels and prostate
cancer aggressiveness (D'Amico risk classification) was statistically analyzed. Q
values were estimated to account for multiple testing. We observed significant
associations between plasma testosterone level and SNPs in HSD17B2 (rs1424151),
HSD17B3 (rs9409407), and HSD17B1 (rs12602084), with P values of 0.002, 0.006, and
0.006, respectively. We also observed borderline significant associations between
prostate aggressiveness at diagnosis and SNPs in AKR1C1 (rs11252845; P = 0.005),
UGT2B15 (rs2045100; P = 0.007), and HSD17B12 (rs7932905; P = 0.008). No
individual SNP was associated with both clinical variables. Genetic variants of
genes in hormone metabolic pathways may influence plasma androgen levels or
prostate cancer aggressiveness. However, it seems that the inherited variations
affecting plasma hormone levels differ from those affecting disease
aggressiveness.
PMID- 21900600
TI - Inappropriate model rejects independent domestications of indica and japonica
rice.
PMID- 21900599
TI - Evolution at the subgene level: domain rearrangements in the Drosophila
phylogeny.
AB - Although the possibility of gene evolution by domain rearrangements has long been
appreciated, current methods for reconstructing and systematically analyzing gene
family evolution are limited to events such as duplication, loss, and sometimes,
horizontal transfer. However, within the Drosophila clade, we find domain
rearrangements occur in 35.9% of gene families, and thus, any comprehensive study
of gene evolution in these species will need to account for such events. Here, we
present a new computational model and algorithm for reconstructing gene evolution
at the domain level. We develop a method for detecting homologous domains between
genes and present a phylogenetic algorithm for reconstructing maximum parsimony
evolutionary histories that include domain generation, duplication, loss, merge
(fusion), and split (fission) events. Using this method, we find that genes
involved in fusion and fission are enriched in signaling and development,
suggesting that domain rearrangements and reuse may be crucial in these
processes. We also find that fusion is more abundant than fission, and that
fusion and fission events occur predominantly alongside duplication, with 92.5%
and 34.3% of fusion and fission events retaining ancestral architectures in the
duplicated copies. We provide a catalog of ~9,000 genes that undergo domain
rearrangement across nine sequenced species, along with possible mechanisms for
their formation. These results dramatically expand on evolution at the subgene
level and offer several insights into how new genes and functions arise between
species.
PMID- 21900601
TI - Extensive changes to alternative splicing patterns following allopolyploidy in
natural and resynthesized polyploids.
AB - Polyploidy has been a common process during the evolution of eukaryotes,
especially plants, leading to speciation and the evolution of new gene functions.
Gene expression levels and patterns can change, and gene silencing can occur in
allopolyploids--phenomena sometimes referred to as "transcriptome shock."
Alternative splicing (AS) creates multiple mature mRNAs from a single type of
precursor mRNA. Here we examined the evolution of AS patterns after polyploidy,
with natural and two resynthesized allotetraploid Brassica napus lines, using RT
PCR and sequencing assays of 82 AS events in duplicated gene pairs (homeologs).
Comparing the AS patterns between the two homeologs in natural B. napus revealed
that many of the gene pairs show different AS patterns, with a few showing
variation that was organ specific or induced by abiotic stress treatments. In the
resynthesized allotetraploids, 26-30% of the duplicated genes showed changes in
AS compared with the parents, including many cases of AS event loss after
polyploidy. Parallel losses of many AS events after allopolyploidy were detected
in the two independently resynthesized lines. More changes occurred in parallel
between the two lines than changes specific to each line. The PASTICCINO gene
showed partitioning of two AS events between the two homeologs in the
resynthesized allopolyploids. AS changes after allopolyploidy were much more
common than homeolog silencing. Our findings indicate that AS patterns can change
rapidly after polyploidy, that many genes are affected, and that AS changes are
an important component of the transcriptome shock experienced by new
allopolyploids.
PMID- 21900602
TI - Natural killer cells direct hemochorial placentation by regulating hypoxia
inducible factor dependent trophoblast lineage decisions.
AB - Natural killer (NK) cells are recruited into the uterine stroma during
establishment of the hemochorial placenta and are proposed regulators of uterine
spiral artery remodeling. Failures in uterine spiral artery remodeling are linked
to diseases of pregnancy. This prompted an investigation of the involvement of NK
cells in placentation. NK cell depletion decreased the delivery of proangiogenic
factors and delayed uterine spiral artery development, leading to decreased
oxygen tension at the placentation site, stabilized hypoxia-inducible factor 1A
protein, and redirected trophoblast differentiation to an invasive phenotype.
Trophoblast cells replaced the endothelium of uterine spiral arteries extending
the depth of the placental vascular bed and accelerating vessel remodeling.
Hypoxia-regulated trophoblast lineage decisions, including expansion of invasive
trophoblast, could be reproduced in vitro by using rat trophoblast stem cells and
were dependent on hypoxia-inducible factor signaling. We conclude that NK cells
guide hemochorial placentation through controlling a hypoxia-sensitive adaptive
reflex regulating trophoblast lineage decisions.
PMID- 21900603
TI - Myeloid-specific estrogen receptor alpha deficiency impairs metabolic homeostasis
and accelerates atherosclerotic lesion development.
AB - ERalpha is expressed in macrophages and other immune cells known to exert
dramatic effects on glucose homeostasis. We investigated the impact of ERalpha
expression on macrophage function to determine whether hematopoietic or myeloid
specific ERalpha deletion manifests obesity-induced insulin resistance in mice.
Indeed, altered plasma adipokine and cytokine levels, glucose intolerance,
insulin resistance, and increased adipose tissue mass were observed in animals
harboring a hematopoietic or myeloid-specific deletion of ERalpha. A similar
obese phenotype and increased atherosclerotic lesion area was displayed in LDL
receptor-KO mice transplanted with ERalpha(-/-) bone marrow. In isolated
macrophages, ERalpha was necessary for repression of inflammation, maintenance of
oxidative metabolism, IL-4-mediated induction of alternative activation, full
phagocytic capacity in response to LPS, and oxidized LDL-induced expression of
ApoE and Abca1. Furthermore, we identified ERalpha as a direct regulator of
macrophage transglutaminase 2 expression, a multifunctional atheroprotective
enzyme. Our findings suggest that diminished ERalpha expression in
hematopoietic/myeloid cells promotes aspects of the metabolic syndrome and
accelerates atherosclerosis in female mice.
PMID- 21900604
TI - Affinity maturation of human CD4 by yeast surface display and crystal structure
of a CD4-HLA-DR1 complex.
AB - Helper T-cell activation generally requires the coreceptor CD4, which binds MHC
class II molecules. A remarkable feature of the CD4-MHC class II interaction is
its exceptionally low affinity, which ranges from K(D) = ~200 MUM to >2 mM.
Investigating the biological role of the much lower affinity of this interaction
than those of other cell-cell recognition molecules will require CD4 mutants with
enhanced binding to MHC class II for testing in models of T-cell development. To
this end, we used in vitro-directed evolution to increase the affinity of human
CD4 for HLA-DR1. A mutant CD4 library was displayed on the surface of yeast and
selected using HLA-DR1 tetramers or monomers, resulting in isolation of a CD4
clone containing 11 mutations. Reversion mutagenesis showed that most of the
affinity increase derived from just two substitutions, Gln40Tyr and Thr45Trp. A
CD4 variant bearing these mutations bound HLA-DR1 with K(D) = 8.8 MUM, compared
with >400 MUM for wild-type CD4. To understand the basis for improved affinity,
we determined the structure of this CD4 variant in complex with HLA-DR1 to 2.4 A
resolution. The structure provides an atomic-level description of the CD4-binding
site on MHC class II and reveals how CD4 recognizes highly polymorphic HLA-DR,
DP, and -DQ molecules by targeting invariant residues in their alpha2 and beta2
domains. In addition, the CD4 mutants reported here constitute unique tools for
probing the influence of CD4 affinity on T-cell activation and development.
PMID- 21900605
TI - Metabolic state of glioma stem cells and nontumorigenic cells.
AB - Gliomas contain a small number of treatment-resistant glioma stem cells (GSCs),
and it is thought that tumor regrowth originates from GSCs, thus rendering GSCs
an attractive target for novel treatment approaches. Cancer cells rely more on
glycolysis than on oxidative phosphorylation for glucose metabolism, a phenomenon
used in 2-[(18)F]fluoro-2-deoxy-D-glucose positron emission tomography imaging of
solid cancers, and targeting metabolic pathways in cancer cells has become a
topic of considerable interest. However, if GSCs are indeed important for tumor
control, knowledge of the metabolic state of GSCs is needed. We hypothesized that
the metabolism of GSCs differs from that of their progeny. Using a unique imaging
system for GSCs, we assessed the oxygen consumption rate, extracellular
acidification rate, intracellular ATP levels, glucose uptake, lactate production,
PKM1 and PKM2 expression, radiation sensitivity, and cell cycle duration of GSCs
and their progeny in a panel of glioma cell lines. We found GSCs and progenitor
cells to be less glycolytic than differentiated glioma cells. GSCs consumed less
glucose and produced less lactate while maintaining higher ATP levels than their
differentiated progeny. Compared with differentiated cells, GSCs were
radioresistant, and this correlated with a higher mitochondrial reserve capacity.
Glioma cells expressed both isoforms of pyruvate kinase, and inhibition of either
glycolysis or oxidative phosphorylation had minimal effect on energy production
in GSCs and progenitor cells. We conclude that GSCs rely mainly on oxidative
phosphorylation. However, if challenged, they can use additional metabolic
pathways. Therefore, targeting glycolysis in glioma may spare GSCs.
PMID- 21900606
TI - Hybrid four-headed myosin motor engineered with antagonistic motor domains.
PMID- 21900608
TI - Pulsed quantum optomechanics.
AB - Studying mechanical resonators via radiation pressure offers a rich avenue for
the exploration of quantum mechanical behavior in a macroscopic regime. However,
quantum state preparation and especially quantum state reconstruction of
mechanical oscillators remains a significant challenge. Here we propose a scheme
to realize quantum state tomography, squeezing, and state purification of a
mechanical resonator using short optical pulses. The scheme presented allows
observation of mechanical quantum features despite preparation from a thermal
state and is shown to be experimentally feasible using optical microcavities. Our
framework thus provides a promising means to explore the quantum nature of
massive mechanical oscillators and can be applied to other systems such as
trapped ions.
PMID- 21900610
TI - Development and characterization of 10 microsatellite loci in Paeonia lactiflora
(Paeoniaceae).
AB - PREMISE OF THE STUDY: Microsatellite primers were developed in Paeonia
lactiflora for further population genetic studies. * METHODS AND RESULTS: Ten
dinucleotide microsatellite markers were developed using an enriched genomic
library. They were identified in 58 individuals, and nine markers were also
amplified in its cultivars. The number of alleles per locus ranged from three to
11, with a mean of six. The observed and expected heterozygosities ranged from
0.1662 to 0.9140 and from 0.0841 to 0.8157, respectively. * CONCLUSIONS: These
microsatellite markers will facilitate further studies on population genetics
variation and genetic structure of P. lactiflora.
PMID- 21900609
TI - Carbon monoxide poisoning is prevented by the energy costs of conformational
changes in gas-binding haemproteins.
AB - Carbon monoxide (CO) is a product of haem metabolism and organisms must evolve
strategies to prevent endogenous CO poisoning of haemoproteins. We show that
energy costs associated with conformational changes play a key role in preventing
irreversible CO binding. AxCYTcp is a member of a family of haem proteins that
form stable 5c-NO and 6c-CO complexes but do not form O(2) complexes. Structure
of the AxCYTcp-CO complex at 1.25 A resolution shows that CO binds in two
conformations moderated by the extent of displacement of the distal residue Leu16
toward the haem 7-propionate. The presence of two CO conformations is confirmed
by cryogenic resonance Raman data. The preferred linear Fe-C-O arrangement (170
+/- 8 degrees ) is accompanied by a flip of the propionate from the distal to
proximal face of the haem. In the second conformation, the Fe-C-O unit is bent
(158 +/- 8 degrees ) with no flip of propionate. The energetic cost of the CO
induced Leu-propionate movements is reflected in a 600 mV (57.9 kJ mol(-1))
decrease in haem potential, a value in good agreement with density functional
theory calculations. Substitution of Leu by Ala or Gly (structures determined at
1.03 and 1.04 A resolutions) resulted in a haem site that binds CO in the linear
mode only and where no significant change in redox potential is observed.
Remarkably, these variants were isolated as ferrous 6c-CO complexes, attributable
to the observed eight orders of magnitude increase in affinity for CO, including
an approximately 10,000-fold decrease in the rate of dissociation. These new
findings have wide implications for preventing CO poisoning of gas-binding haem
proteins.
PMID- 21900611
TI - Consequences of plant-pollinator and floral-herbivore interactions on the
reproductive success of the Canary Islands endemic Canarina canariensis
(Campanulaceae).
AB - PREMISE OF THE STUDY: Pollination is a critical phase for plant reproduction,
but ecological and evolutionary outcomes by pollinators may be counteracted by
floral herbivores. These interacting assemblages may also be altered (directly or
indirectly) by introduced species, especially on oceanic islands. In this study,
we analyzed the effects of opportunistic nectar-feeding passerine birds and
native (semi-slugs) and introduced (rats) floral herbivores on the reproductive
success of Canarina canariensis. * METHODS: Manual pollination experiments were
conducted to determine plant breeding system and pollen limitation. We also
identified floral visitors and their visitation frequencies by censuses. Bird
pollination effectiveness was evaluated by selective exclosures. The intensity of
floral herbivory by native vs. introduced herbivores and its effect on plant
fitness was estimated in different areas within the Canary island of Tenerife. *
KEY RESULTS: Canarina canariensis had a very low spontaneous selfing ability and
high pollen limitation, despite being self-compatible. Birds increased fruit set
and the percentage of viable seeds per fruit, while florivores, the principal
floral visitors, reduced them. Semi-slugs mainly consumed male reproductive
organs, while rats preferred female. There was a strong within-island spatial
variation in the herbivory intensity. * CONCLUSIONS: Opportunistic nectar-feeding
birds increase the production of viable seeds in C. canariensis, but their
beneficial effects are counteracted by the high incidence of floral herbivory.
Because native semi-slugs damaged anthers more frequently than did introduced
rats, these florivores may differ in their effects on male and female plant
reproductive success.
PMID- 21900612
TI - What does it take to resolve relationships and to identify species with molecular
markers? An example from the epiphytic Rhipsalideae (Cactaceae).
AB - PREMISE OF THE STUDY: The Cactaceae are a major New World plant family and
popular in horticulture. Still, taxonomic units and species limits have been
difficult to define, and molecular phylogenetic studies so far have yielded
largely unresolved trees, so relationships within Cactaceae remain insufficiently
understood. This study focuses on the predominantly epiphytic tribe Rhipsalideae
and evaluates the utility of a spectrum of plastid genomic regions. * METHODS: We
present a phylogenetic study including 52 of the 53 Rhipsalideae species and all
the infraspecific taxa. Seven regions (trnK intron, matK, rbcL, rps3-rpl16, rpl16
intron, psbA-trnH, trnQ-rps16), ca. 5600 nucleotides (nt) were sequenced per
sample. The regions used were evaluated for their phylogenetic performance and
performance in DNA-based species recognition based on operational taxonomic units
(OTUs) defined beforehand. * KEY RESULTS: The Rhipsalideae are monophyletic and
contain five clades that correspond to the genera Rhipsalis, Lepismium,
Schlumbergera, Hatiora, and Rhipsalidopsis. The species-level tree was well
resolved and supported; the rpl16 and trnK introns yielded the best phylogenetic
signal. Although the psbA-trnH and trnQ-rps16 spacers were the most successful
individual regions for OTU identification, their success rate did not
significantly exceed 70%. The highest OTU identification rate of 97% was found
using the combination of psbA-trnH, rps3-rpl16, trnK intron, and trnQ-rps16 as a
minimum possible marker length (ca. 1660 nt). * CONCLUSIONS: The phylogenetic
performance of a marker is not determined by the level of sequence variability,
and species discrimination power does not necessarily correlate with phylogenetic
utility.
PMID- 21900613
TI - Reduction in radiation dose in mercaptoacetyltriglycerine renography with
enhanced planar processing.
AB - PURPOSE: To determine the minimum dose of technetium 99m ((99m)Tc)
mercaptoacetyltriglycerine (MAG3) needed to perform dynamic renal scintigraphy in
the pediatric population without loss of diagnostic quality or accurate
quantification of renal function and to investigate whether adaptive noise
reduction could help further reduce the minimum dose required. MATERIALS AND
METHODS: Approval for this retrospective study was obtained from the
institutional review board, with waiver of informed consent. A retrospective
review was conducted in 33 pediatric patients consecutively referred for a
(99m)Tc-MAG3 study. In each patient, a 20-minute dynamic study was performed
after administration of 7.4 MBq/kg. Binomial subsampling was used to simulate
studies performed with 50%, 30%, 20%, and 10% of the administered dose. Four
nuclear medicine physicians independently reviewed the original and subsampled
images, with and without noise reduction, for image quality. Two observers
independently performed a quantitative analysis of renal function. Subjective
rater confidence was analyzed by using a logistic regression model, and the
quantitative analysis was performed by using the paired Student t test. RESULTS:
Reducing the administered dose to 30% did not substantially affect image quality,
with or without noise reduction. When the dose was reduced to 20%, there was a
slight but significant decrease (P = .0074) in image quality, which resolved with
noise reduction. Reducing the dose to 10% caused a decrease in image quality (P =
.0003) that was not corrected with noise reduction. However, the dose could be
reduced to 10% without a substantial change in the quantitative evaluation of
renal function independent of the application of noise reduction. CONCLUSION:
Decreasing the dose of (99m)Tc-MAG3 from 7.4 to 2.2 MBq/kg did not compromise
image quality. With noise reduction, the dose can be reduced to 1.5 MBq/kg
without subjective loss in image quality. The quantitative evaluation of renal
function was not substantially altered, even with a theoretical dose as low as
0.74 MBq/kg.
PMID- 21900614
TI - Knee cartilage T2 characteristics and evolution in relation to morphologic
abnormalities detected at 3-T MR imaging: a longitudinal study of the normal
control cohort from the Osteoarthritis Initiative.
AB - PURPOSE: To determine the frequency of degenerative knee morphologic
abnormalities in asymptomatic individuals by using 3-T magnetic resonance (MR)
imaging and to investigate the characteristics and evolution of cartilage T2
values in relation to morphologic abnormalities with a longitudinal study.
MATERIALS AND METHODS: The study was approved by the institutional review board
and was compliant with HIPAA. Ninety-five asymptomatic subjects aged 45-78 years
who were free of risk factors for osteoarthritis (OA) were selected from the
Osteoarthritis Initiative normal control cohort and examined with radiography and
3-T MR imaging. Data obtained at both baseline and 2-year follow-up were
analyzed. OA-related knee abnormalities were analyzed by using the whole-organ MR
imaging score (WORMS). Cartilage T2 maps were generated by using sagittal two
dimensional multiecho spin-echo images of the right knee. Statistical
significance was determined with the Student t test, the paired t test, a mixed
random effects model, one-way analysis of variance, and a multiple linear
regression model. RESULTS: Knee abnormalities were identified with a high
frequency (90% at baseline and 92% at 2-year follow-up). The prevalence of
hyaline cartilage lesions was particularly high (86% at baseline and 84% at
follow-up). A significant longitudinal increase in T2 was detected in the
tibiofemoral cartilage but not the patellofemoral cartilage (P = .0072). The
longitudinal change in T2 was significantly associated with worsening of the
cartilage WORMS (P = .038). CONCLUSION: Asymptomatic subjects have a high
frequency of OA-related morphologic abnormalities. A significant increase in
tibiofemoral cartilage T2 was detected over the 2-year period. A greater increase
in T2 was associated with increased progression of cartilage morphologic
abnormalities.
PMID- 21900615
TI - Multivoxel proton MR spectroscopy used to distinguish anterior cingulate
metabolic abnormalities in patients with schizophrenia.
AB - PURPOSE: To test the hypothesis that anterior cingulate cortex (ACC) subregions
in patients with schizophrenia are metabolically different from those in healthy
control subjects. MATERIALS AND METHODS: This institutional review board-approved
study was HIPAA compliant, and all participants provided written informed
consent. Twenty-two patients with schizophrenia (13 male, nine female; 39.4 years
+/- 10.6 [standard deviation]) and 11 age- and sex-matched control subjects
(seven male, four female; 35.5 years +/- 10.7) underwent magnetic resonance (MR)
imaging and three-dimensional 3-T voxel proton MR spectroscopy to measure
absolute rostral and caudal ACC N-acetylaspartate (NAA), creatine (Cr), and
choline (Cho) concentrations. Exact Mann-Whitney test was used to compare patient
data with control data, paired-sample Wilcoxon signed rank test was used to
compare subregions within groups, and receiver operating characteristic curve
analysis was used to assess sensitivity and specificity in diagnosis of
schizophrenia. RESULTS: There were no significant metabolic differences between
patients and control subjects or between ACC subregions in control subjects. In
patients, rostral ACC NAA and Cr concentrations were significantly lower than
those in caudal ACC (6.2 mM +/- 1.3 vs 7.1 mM +/- 1.3, P < .01; 5.7 mmol/L +/-
1.4 vs 6.3 mmol/L +/- 1.6, P < .01; respectively); however, this did not hold
true for Cho concentrations (1.7 mmol/L +/- 0.5 vs 1.8 mmol/L +/- 0.5). For
individual differences between caudal and rostral measurements, only NAA in
patients was different from that in control subjects (0.9 mmol/L +/- 1.3 vs -0.1
mmol/L +/- 0.5, P < .01), enabling prediction of schizophrenia with 68%
sensitivity and 91% specificity, for a difference of more than 0.4. CONCLUSION:
Significant differences between caudal and rostral NAA concentration are found in
ACC of patients with schizophrenia but not in ACC of healthy control subjects,
indicating that neuronal density or integrity differences between ACC subregions
may be characteristic of the disease.
PMID- 21900616
TI - Contrast-enhanced MR angiography of the abdomen with highly accelerated
acquisition techniques.
AB - PURPOSE: To demonstrate that highly accelerated (net acceleration factor [R(net)]
>= 10) acquisition techniques can be used to generate three-dimensional (3D)
subsecond timing images, as well as diagnostic-quality high-spatial-resolution
contrast material-enhanced (CE) renal magnetic resonance (MR) angiograms with a
single split dose of contrast material. MATERIALS AND METHODS: All studies were
approved by the institutional review board and were HIPAA compliant; written
consent was obtained from all participants. Twenty-two studies were performed in
10 female volunteers (average age, 47 years; range, 27-62 years) and six patients
with renovascular disease (three women; average age, 48 years; range, 37-68
years; three men; average age, 60 years; range, 50-67 years; composite average
age, 54 years; range, 38-68 years). The two-part protocol consisted of a low-dose
(2 mL contrast material) 3D timing image with approximate 1-second frame time,
followed by a high-spatial-resolution (1.0-1.6-mm isotropic voxels) breath-hold
3D renal MR angiogram (18 mL) over the full abdominal field of view. Both
acquisitions used two-dimensional (2D) sensitivity encoding acceleration factor
(R) of eight and 2D homodyne (HD) acceleration (R(HD)) of 1.4-1.8 for R(net) = R
. R(HD) of 10 or higher. Statistical analysis included determination of mean
values and standard deviations of image quality scores performed by two
experienced reviewers with use of eight evaluation criteria. RESULTS: The 2-mL 3D
time-resolved image successfully portrayed progressive arterial filling in all 22
studies and provided an anatomic overview of the vasculature. Successful timing
was also demonstrated in that the renal MR angiogram showed adequate or excellent
portrayal of the main renal arteries in 21 of 22 studies. CONCLUSION: Two
dimensional acceleration techniques with R(net) of 10 or higher can be used in CE
MR angiography to acquire (a) a 3D image series with 1-second frame time,
allowing accurate bolus timing, and (b) a high-spatial-resolution renal
angiogram. SUPPLEMENTAL MATERIAL:
http://radiology.rsna.org/lookup/suppl/doi:10.1148/radiol.11110242/-/DC1.
PMID- 21900617
TI - Screening breast MR imaging in women with a history of lobular carcinoma in situ.
AB - PURPOSE: To assess the utility of screening magnetic resonance (MR) imaging in
the detection of otherwise occult breast cancers in women with a history of
lobular carcinoma in situ (LCIS). MATERIALS AND METHODS: This HIPAA-compliant
study received institutional review board approval. The need for informed consent
was waived. Retrospective review of the database yielded 670 screening breast MR
studies obtained between January 2003 and September 2008 in 220 women with a
history of LCIS. MR and mammographic findings were reviewed. Number of cancers
diagnosed, method of detection, and tumor characteristics were examined. The
cumulative incidence of developing breast cancer as detected with MR imaging and
mammography was calculated. Breast density was examined as a prognostic factor in
the cumulative incidence analysis. RESULTS: Biopsy was recommended in 63 lesions
seen in 58 (9%) of 670 screening MR studies. Eight additional lesions were
identified at short-term follow-up MR imaging for a total of 71 lesions in 59
patients. Twelve cancers (20%) were identified in 60 lesions sampled. Biopsy was
recommended in 26 additional lesions identified at mammography; biopsy was
performed in 25 of these lesions and revealed malignancy in five (20%). Overall,
17 cancers were detected in 14 patients during the study period. Of these, 12
were detected with MR imaging alone, and five were detected with mammography
alone. Of the 12 cancers detected at MR imaging, there were nine invasive cancers
and three cases of ductal carcinoma in situ (DCIS). Of the five cancers detected
at mammography, two were invasive and three were DCIS. CONCLUSION: MR imaging is
a useful adjunct modality with which to screen women with a history of LCIS at
high-risk of developing breast cancer, resulting in a 4.5% incremental cancer
detection rate. Sensitivity in the detection of breast cancers with a combination
of MR imaging and mammography was higher than sensitivity of either modality
alone.
PMID- 21900618
TI - Results of MR imaging screening for breast cancer in high-risk patients with
lobular carcinoma in situ.
AB - PURPOSE: To determine the outcome of screening breast magnetic resonance (MR)
imaging examinations performed in patients with lobular carcinoma in situ (LCIS)
at the authors' institution. MATERIALS AND METHODS: This study was approved by
the institutional review board and was compliant with HIPAA. Retrospective review
of screening breast MR imaging examinations at the institution from 1996 through
September 2009 was performed in patients with prior biopsies demonstrating LCIS.
Patients with prior breast cancer diagnosis were excluded. American College of
Radiology Breast Imaging Reporting and Data System (BI-RADS) recommendations were
recorded. Pathologic results of all consequent biopsies were obtained from the
electronic medical records. RESULTS: A total of 445 breast MR examinations in 198
patients with LCIS were identified. Of these, 308 were screening examinations in
134 patients. One patient was a BRCA mutation carrier and was excluded. Of the
remaining 307 screening examinations, 254 (82.7%) had BI-RADS category 1 or 2
findings; 27 (8.8%) had BI-RADS category 3 findings; and 27 (8.8%) had B-IRADS
category 4 or 5 findings. Of the 27 studies that led to a biopsy recommendation,
10 (37%) yielded benign pathologic findings, five (18.5%) yielded malignant
pathologic findings, and seven (25.9%) yielded high-risk lesions. Of the 27
studies with BI-RADS 3 findings, two (7.4%) resulted in biopsy, findings of both
were benign. Overall, malignancy was detected in five of 307 screening studies
(1.6%) and in five of 133 screened patients (3.8%). The positive predictive value
(PPV) of these screening studies for which biopsy was recommended was 18.5%. The
PPV 3 (studies for which biopsy was recommended and actually performed, as
described in the BI-RADS guidelines) was 23.8%. CONCLUSION: Screening breast MR
imaging helped identify breast cancer in LCIS patients at a rate similar to that
shown in high-risk populations for whom screening breast MR imaging is currently
consistently recommended.
PMID- 21900619
TI - Color and power Doppler US for diagnosing carpal tunnel syndrome and determining
its severity: a quantitative image processing method.
AB - PURPOSE: To determine whether intraneural vascularity seen at color Doppler
ultrasonography (US) can be used to diagnose carpal tunnel syndrome (CTS) and to
evaluate an image processing method for quantifying the severity of CTS on the
basis of this vascularity. MATERIALS AND METHODS: This study was approved by the
university ethics review committee. One hundred one patients with clinical
evidence of CTS and 55 healthy control subjects were enrolled. Electrodiagnostic
testing (EDT) was performed in all participants, and the presence of intraneural
vascularity was evaluated with color Doppler US. An image processing program was
designed by using software to determine the sum of pixels in the intraneural
vascular area on power Doppler US scans of the median nerve. The relationship
between the number of pixels and the severity of the abnormality at EDT was
determined. RESULTS: The sensitivity (83%) and specificity (89%) of intraneural
vascularity in the diagnosis of CTS were similar to those of EDT (81% and 84%,
respectively). Intraneural vascularity was seen in 91.4% of patients with mild
CTS and 100% of patients with moderate or severe CTS. In participants with
positive intraneural vascularity, the sum of pixels in the intraneural vascular
area was significantly higher in patients than in control subjects and paralleled
the severity of the abnormality at EDT (P < .01). CONCLUSION: Color Doppler US
can be used to accurately diagnose CTS. By processing the recorded power Doppler
images and determining the number of pixels in the intraneural vascular area, the
severity of CTS can be assessed. 2011 SUPPLEMENTAL MATERIAL:
http://radiology.rsna.org/lookup/suppl/doi:10.1148/radiol.11110150/-/DC1.
PMID- 21900621
TI - MR arthrography of the hip: comparison of IDEAL-SPGR volume sequence to standard
MR sequences in the detection and grading of cartilage lesions.
AB - PURPOSE: To compare the diagnostic performance of iterative decomposition of
water and fat with echo asymmetry and least-squares estimation (IDEAL)-spoiled
gradient-recalled echo (SPGR) with that of standard magnetic resonance (MR)
arthrography sequences for detecting and grading cartilage lesions within the hip
joint during MR arthrography. MATERIALS AND METHODS: Following institutional
review board approval, 67 consecutive hip MR arthrograms were retrospectively
reviewed independently by three musculoskeletal radiologists and one
musculoskeletal fellow. IDEAL-SPGR images and the two-dimensional images, the
latter from the routine MR arthrography protocol, were evaluated at separate
sittings to grade each articular surface of the hip joint. By using arthroscopy
as the reference standard, the sensitivity and specificity of the two techniques
for detecting and grading cartilage lesions were determined. The McNemar test was
used to compare diagnostic performance. Interreader agreement was calculated
using Fleiss kappa values. RESULTS: For all readers and surfaces combined, the
sensitivity and specificity for detecting cartilage lesions was 74% and 77%,
respectively, for IDEAL-SPGR and 70% and 84%, respectively, for the routine MR
arthrography protocol. IDEAL-SPGR had similar sensitivity (P = .12) to and
significantly lower specificity (P < .001) than the routine MR arthrography
protocol for depicting cartilage lesions. When analyzing the differences in
sensitivity and specificity by reader, the two readers who had experience with
IDEAL-SPGR had no significant difference in sensitivity and specificity for
detecting cartilage lesions between the two sequences. For all readers and
surfaces combined, IDEAL-SPGR had a higher accuracy in correctly grading
cartilage lesion (P = .012-.013). Interobserver agreement for detecting cartilage
lesions did not differ between the two techniques. CONCLUSION: IDEAL-SPGR had
similar sensitivity and significantly lower specificity for detecting cartilage
lesions and higher accuracy for grading cartilage lesions than did a routine MR
arthrography protocol; the lower specificity of IDEAL-SPGR for detecting
cartilage lesions was not seen in experienced readers.
PMID- 21900620
TI - Timeliness of follow-up after abnormal screening mammogram: variability of
facilities.
AB - PURPOSE: To describe the timeliness of follow-up care in community-based settings
among women who receive a recommendation for immediate follow-up during the
screening mammography process and how follow-up timeliness varies according to
facility and facility-level characteristics. MATERIALS AND METHODS: This was an
institutional review board-approved and HIPAA-compliant study. Screening
mammograms obtained from 1996 to 2007 in women 40-80 years old in the Breast
Cancer Surveillance Consortium were examined. Inclusion criteria were a
recommendation for immediate follow-up at screening, or subsequent imaging, and
observed follow-up within 180 days of the recommendation. Recommendations for
additional imaging (AI) and biopsy or surgical consultation (BSC) were analyzed
separately. The distribution of time to follow-up care was estimated by using the
Kaplan-Meier estimator. RESULTS: Data were available on 214,897 AI
recommendations from 118 facilities and 35,622 BSC recommendations from 101
facilities. The median time to subsequent follow-up care after recommendation was
14 days for AI and 16 days for BSC. Approximately 90% of AI follow-up and 81% of
BSC follow-up occurred within 30 days. Facilities with higher recall rates tended
to have longer AI follow-up times (P < .001). Over the study period, BSC follow
up rates at 15 and 30 days improved (P < .001). Follow-up times varied
substantially across facilities. Timely follow-up was associated with larger
volumes of the recommended procedures but not notably associated with facility
type nor observed facility-level characteristics. CONCLUSION: Most patients with
follow-up returned within 3 weeks of the recommendation.
PMID- 21900622
TI - Idiopathic normal-pressure hydrocephalus: temporal changes in ADC during cardiac
cycle.
AB - PURPOSE: To determine whether temporal changes in apparent diffusion coefficient
(ADC) over the cardiac cycle are different in patients with idiopathic normal
pressure hydrocephalus (INPH) as compared with patients with ex vacuo ventricular
dilatation and healthy control subjects. MATERIALS AND METHODS: This prospective
study was approved by the institutional review board and was performed only after
informed consent was obtained from each patient. At 1.5 T,
electrocardiographically triggered single-shot diffusion echo-planar magnetic
resonance imaging (b = 0 and 1000 sec/mm(2)) was performed with sensitivity
encoding and half-scan techniques to minimize bulk motion. DeltaADC was defined
as the difference between maximum and minimum ADC on a pixel-by-pixel basis over
20 phases of the cardiac cycle. Mean ADC during the diastolic phase and DeltaADC
in the frontal white matter were determined in patients with INPH (n = 13),
patients with ex vacuo ventricular dilatation (n = 8), and healthy volunteers (n
= 10). Kruskal-Wallis tests were used to determine significance between groups.
RESULTS: Mean DeltaADC in the INPH group was significantly higher than that in
the ex vacuo ventricular dilatation and control groups (P < .01 for both). There
was no significant difference in DeltaADC between the ex vacuo ventricular
dilatation and control groups (P = .86). There was no significant difference in
mean ADC during the diastolic phase among groups (P > .05 for all). There was no
significant correlation between DeltaADC and mean ADC during the diastolic phase
in any group. CONCLUSION: Determination of fluctuation of ADC over the cardiac
cycle may render it possible to noninvasively obtain new and more detailed
information than that provided by standard ADC measurement in suspected INPH,
potentially facilitating the diagnosis of this disease.
PMID- 21900623
TI - Quantitative CT assessment of emphysema and airways in relation to lung cancer
risk.
AB - PURPOSE: To determine whether quantitative computed tomographic (CT) measurements
of emphysema and airway dimensions are associated with lung cancer risk in a
screening population. MATERIALS AND METHODS: Institutional review board approval
and informed consent for the use of deidentified images were obtained. In this
retrospective study, CT scans were analyzed from 279 participants in the CT
screening arm of the National Lung Screening Trial who were diagnosed with lung
cancer and 279 participants who were not diagnosed with lung cancer after a
median follow-up period of 6.6 years. Quantitative CT measurements of emphysema
and right upper lobe apical segmental and subsegmental airway dimensions, and
multiple patient history-related variables, were compared between the two groups.
Significant variables were tested in multivariate models for association with
lung cancer by using multiple logistic regression. RESULTS: The emphysema index
of percentage upper lung volume less than -950 HU had the strongest association
with lung cancer (mean, 10.7% [standard deviation, 13.5] in patients vs 7.2%
[standard deviation, 10.4] in control subjects; P < .001), but the relationship
was weak (R(2) = 0.015, P < .001, c = 0.57). No CT measures of emphysema had an
association with lung cancer independent of the patient medical history
variables. Airway dimensions were not associated with lung cancer. CONCLUSION:
Quantitative CT measurements of emphysema but not airway dimensions were only
weakly associated with lung cancer, demonstrating no potential practical value
for clinical risk stratification.
PMID- 21900624
TI - Articular cartilage treatment in high-level male soccer players: a prospective
comparative study of arthroscopic second-generation autologous chondrocyte
implantation versus microfracture.
AB - BACKGROUND: Soccer is a highly demanding sport for the knee joint, and chondral
injuries can cause disabling symptoms that may jeopardize an athlete's career.
Articular cartilage lesions are difficult to treat, and the increased mechanical
stress produced by this sport makes their management even more complex.
HYPOTHESIS: To evaluate whether the regenerative cell-based approach allows these
highly demanding athletes a better functional recovery compared with the bone
marrow stimulation approach. STUDY DESIGN: Cohort study; Level of evidence, 2.
METHODS: Forty-one professional or semiprofessional male soccer players were
treated from 2000 to 2006 and evaluated prospectively at 2 years and at a final
7.5-year mean follow-up (minimum, 4 years). Twenty-one patients were treated with
arthroscopic second-generation autologous chondrocyte implantation (Hyalograft C)
and 20 with the microfracture technique. The clinical outcome of all patients was
analyzed using the cartilage standard International Cartilage Repair Society
(ICRS) evaluation package. The sport activity level was evaluated with the Tegner
score, and the recovery time was also recorded. RESULTS: A significant
improvement in all clinical scores from preoperative to final follow-up was found
in both groups. The percentage of patients who returned to competition was
similar: 80% in the microfracture group and 86% in the Hyalograft C group.
Patients treated with microfracture needed a median of 8 months before playing
their first official soccer game, whereas the Hyalograft C group required a
median time of 12.5 months (P = .009). The International Knee Documentation
Committee (IKDC) subjective score showed similar results at 2 years' follow-up
but significantly better results in the Hyalograft C group at the final
evaluation (P = .005). In fact, in the microfracture group, results decreased
over time (from 86.8 +/- 9.7 to 79.0 +/- 11.6, P < .0005), whereas the Hyalograft
C group presented a more durable outcome with stable results (90.5 +/- 12.8 at 2
years and 91.0 +/- 13.9 at the final follow-up). CONCLUSION: Despite similar
success in returning to competitive sport, microfracture allows a faster recovery
but present a clinical deterioration over time, whereas arthroscopic second
generation autologous chondrocyte implantation delays the return of high-level
male soccer players to competition but can offer more durable clinical results.
PMID- 21900625
TI - Recurrent patellar dislocation in adolescents: medial retinaculum plication
versus vastus medialis plasty.
AB - BACKGROUND: The clinical outcome of arthroscopic medial retinaculum plication
(MRP) compared with vastus medialis plasty (VMP) for recurrent patellar
dislocation in adolescents is unknown. HYPOTHESIS: Arthroscopic MRP can yield
similar results to open VMP for recurrent patellar dislocation in adolescents.
STUDY DESIGN: Randomized controlled clinical trial; Level of evidence, 2.
METHODS: Sixty adolescent patients were randomly divided into 2 groups to receive
arthroscopic MRP and open VMP respectively. The patients were followed up at 3,
6, 12, and 24 months postoperatively and computed tomography (CT) scans were
taken immediately after operation and at 12 and 24 months postoperatively to
evaluate the position of the patella. Knee function was evaluated at 24 months
postoperatively according to the International Knee Documentation Committee
(IKDC), Kujala, Lysholm, and Tegner rating scales. For those who underwent
operation more than 3 years previously, an additional review was taken to
evaluate the latest patellar stability status. RESULTS: The final follow-up time
was 56.8 +/- 21.5 months (range, 24-92 months) and 59.1 +/- 24.7 months (range,
24-88 months), respectively, in the MRP and VMP groups. The CT examination showed
that the correction of the patellar position deteriorated over time in both
groups. The final patellar position was significantly better than that before
surgery in the VMP group, but not in the MRP group. The VMP group had
significantly better clinical results at each follow-up compared with the MRP
group. The IKDC, Lysholm, Kujala, and Tegner scores were 62.5 +/- 6.2, 70.7 +/-
5.1, 76.6 +/- 4.8, and 3.9 +/- 0.7, respectively, in the MRP group, and 71.8 +/-
7.1 (P < .001), 79.4 +/- 5.5 (P < .001), 82.9 +/- 4.8 (P < .001), and 5.1 +/- 1.4
(P < .001), respectively, in the VMP group at the 2-year follow-up. Five patients
(17.9%) in the MRP group and 2 patients (7.7%) in the VMP group (P = .267)
experienced episodes of redislocation at the final follow-up. CONCLUSION:
Arthroscopic MRP is less reliable for maintaining the corrected position of the
patella and for functional recovery compared with VMP for recurrent patellar
dislocation in adolescents.
PMID- 21900626
TI - Arthroscopic versus open distal clavicle excision: a comparative assessment at
intermediate-term follow-up.
AB - BACKGROUND: While few comparative studies exist, it has been suggested that open
distal clavicle excisions (DCEs) provide inferior results when compared with the
all-arthroscopic technique. PURPOSE: The purpose of this study was to compare the
intermediate-term (5-year follow-up) results of patients undergoing arthroscopic
versus open DCE for the treatment of recalcitrant acromioclavicular joint pain.
STUDY DESIGN: Cohort study; Level of evidence, 3. METHODS: All patients who
underwent an arthroscopic or open DCE between January 1999 and September 2006
were reviewed. Forty-eight patients (49 shoulders; 32 arthroscopic, 17 open)
following DCE without significant glenohumeral pathologic changes were included.
The mean follow-up for group I (open) and group II (arthroscopic) was 5.3 years
and 4.2 years, respectively. The American Shoulder and Elbow Surgeons (ASES)
score, visual analog scale (VAS) pain score, surgical time, and minimum
radiographic acromioclavicular joint distance were calculated. Each patient
completed a questionnaire assessing their scar satisfaction, percentage of normal
shoulder function, and willingness to have the surgery again. Risk factors for
poor outcomes were analyzed. RESULTS: Arthroscopic patients had significantly
less pain (P = .035) by VAS (0.61 +/- 1.02) compared with open (1.59 +/- 2.15) at
final follow-up. There was no significant difference between group I and group II
with regard to ASES (87.5 +/- 17.6 vs 94.6 +/- 8.6), percentage of normal
shoulder function (89.7% +/- 12.5 vs 92.9% +/- 8.6), average operative time (53.1
minutes vs 48 minutes), or radiographic resection distance (12.8 +/- 2.1 mm vs
9.5 +/- 2.9 mm). In the open group, patients with 16 of 17 shoulders were
satisfied with their scar and 100% would do it again. In the arthroscopic group,
patients with 31 of 32 shoulders (97%) were both satisfied and would have the
surgery again. CONCLUSION: Open and arthroscopic DCE are both effective surgeries
to treat recalcitrant acromioclavicular joint pain. At intermediate-term follow
up, they provide similarly good to excellent results with regard to patient
satisfaction and shoulder function. Although both are effective treatments, less
residual pain was found using the arthroscopic technique.
PMID- 21900627
TI - Abnormal tactile temporal discrimination in psychogenic dystonia.
AB - OBJECTIVE: Neurophysiologic studies demonstrated that patients with primary
torsion dystonia (PTD) and with psychogenic dystonia (Psy-D) share similar
abnormalities in the motor system. In this study, we evaluated somatosensory
function in Psy-D by testing temporal discrimination threshold (TDT), and
compared the results with those obtained in patients with PTD. METHODS: TDT of
tactile stimuli was assessed in 10 patients with Psy-D, 10 patients with PTD, and
16 control subjects. The 2 groups of patients were matched for age, gender,
disease duration, and distribution of dystonia. Tactile stimuli consisted of
pairs of non-noxious electrical shocks delivered to the right or left hand at
interstimulus interval increasing from 0 to 400 msec, in 10-msec steps. TDT was
defined as the value at which subjects recognized the 2 stimuli as asynchronous.
RESULTS: TDT was higher in Psy-D and PTD compared to control subjects, for both
the right and the left hand. In a subgroup of patients with unilateral dystonia
(Psy-D = 4, PTD = 5), TDT did not differ between the affected and the unaffected
side in both groups of patients. Disease duration was not correlated to the
increased TDT value. CONCLUSIONS: Our study suggests an impaired processing of
somatosensory inputs in both Psy-D and PTD. These abnormalities might represent a
neurophysiological trait predisposing to develop a dystonic posture triggered by
psychiatric and psychological factors.
PMID- 21900628
TI - Advanced glycation end product level, diabetes, and accelerated cognitive aging.
AB - OBJECTIVE: Several studies report that diabetes increases risk of cognitive
impairment; some have hypothesized that advanced glycation end products (AGEs)
underlie this association. AGEs are cross-linked products that result from
reactions between glucose and proteins. Little is known about the association
between peripheral AGE concentration and cognitive aging. METHODS: We
prospectively studied 920 elders without dementia, 495 with diabetes and 425 with
normal glucose (mean age 74.0 years). Using mixed models, we examined baseline
AGE concentration, measured with urine pentosidine and analyzed as tertile, and
performance on the Modified Mini-Mental State Examination (3MS) and Digit Symbol
Substitution Test (DSST) at baseline and repeatedly over 9 years. Incident
cognitive impairment (a decline of >1.0 SD on each test) was analyzed with
logistic regression. RESULTS: Older adults with high pentosidine level had worse
baseline DSST score (p=0.05) but not different 3MS score (p=0.32). On both tests,
there was a more pronounced 9-year decline in those with high and mid pentosidine
level compared to those in the lowest tertile (3MS 7.0, 5.4, and 2.5 point
decline, p overall <0.001; DSST 5.9, 7.4, and 4.5 point decline, p=0.03).
Incident cognitive impairment was higher in those with high or mid pentosidine
level than those in the lowest tertile (3MS: 24% vs 17%, odds ratio=1.55; 95%
confidence interval 1.07-2.26; DSST: 31% vs 22%, odds ratio=1.62; 95% confidence
interval 1.13-2.33). There was no interaction between pentosidine level, diabetes
status, and cognitive decline. Multivariate adjustment for age, sex, race,
education, hypertension, cardiovascular disease, estimated glomerular filtration
rate, and diabetes diminished results somewhat but overall patterns remained
similar. CONCLUSION: High peripheral AGE level is associated with greater
cognitive decline in older adults with and without diabetes.
PMID- 21900629
TI - Prognostication after cardiac arrest: a tale of timing, confounders, and self
fulfillment.
PMID- 21900630
TI - Recurrent stroke-like episodes in X-linked Charcot-Marie-Tooth disease.
PMID- 21900631
TI - A randomized trial of hypothesis-driven vs screening neurologic examination.
AB - OBJECTIVE: We hypothesized that trainees would perform better using a hypothesis
driven rather than a traditional screening approach to the neurologic
examination. METHODS: We randomly assigned 16 medical students to perform
screening examinations of all major aspects of neurologic function or hypothesis
driven examinations focused on aspects suggested by the history. Each student
examined 4 patients, 2 of whom had focal deficits. Outcomes of interest were the
correct identification of patients with focal deficits, number of specific
deficits detected, and examination duration. Outcomes were assessed by an
investigator blinded to group assignments. The McNemar test was used to compare
the sensitivity and specificity of the 2 examination methods. RESULTS:
Sensitivity was higher with hypothesis-driven examinations than with screening
examinations (78% vs 56%; p = 0.046), although specificity was lower (71% vs
100%; p = 0.046). The hypothesis-driven group identified 61% of specific
examination abnormalities, whereas the screening group identified 53% (p =
0.008). Median examination duration was 1 minute shorter in the hypothesis-driven
group (7.0 minutes vs 8.0 minutes; p = 0.13). CONCLUSIONS: In this randomized
trial comparing 2 methods of neurologic examination, a hypothesis-driven approach
resulted in greater sensitivity and a trend toward faster examinations, at the
cost of lower specificity, compared with the traditional screening approach. Our
findings suggest that a hypothesis-driven approach may be superior when the
history is concerning for an acute focal neurologic process.
PMID- 21900632
TI - Differential features of carotid and vertebral artery dissections: the CADISP
study.
AB - OBJECTIVE: To examine whether risk factor profile, baseline features, and outcome
of cervical artery dissection (CEAD) differ according to the dissection site.
METHODS: We analyzed 982 consecutive patients with CEAD included in the Cervical
Artery Dissection and Ischemic Stroke Patients observational study (n = 619 with
internal carotid artery dissection [ICAD], n = 327 with vertebral artery
dissection [VAD], n = 36 with ICAD and VAD). RESULTS: Patients with ICAD were
older (p < 0.0001), more often men (p = 0.006), more frequently had a recent
infection (odds ratio [OR] = 1.59 [95% confidence interval (CI) 1.09-2.31]), and
tended to report less often a minor neck trauma in the previous month (OR = 0.75
[0.56-1.007]) compared to patients with VAD. Clinically, patients with ICAD more
often presented with headache at admission (OR = 1.36 [1.01-1.84]) but less
frequently complained of cervical pain (OR = 0.36 [0.27-0.48]) or had cerebral
ischemia (OR = 0.32 [0.21-0.49]) than patients with VAD. Among patients with CEAD
who sustained an ischemic stroke, the NIH Stroke Scale (NIHSS) score at admission
was higher in patients with ICAD than patients with VAD (OR = 1.17 [1.12-1.22]).
Aneurysmal dilatation was more common (OR = 1.80 [1.13-2.87]) and bilateral
dissection less frequent (OR = 0.63 [0.42-0.95]) in patients with ICAD. Multiple
concomitant dissections tended to cluster on the same artery type rather than
involving both a vertebral and carotid artery. Patients with ICAD had a less
favorable 3-month functional outcome (modified Rankin Scale score >2, OR = 3.99
[2.32-6.88]), but this was no longer significant after adjusting for baseline
NIHSS score. CONCLUSION: In the largest published series of patients with CEAD,
we observed significant differences between VAD and ICAD in terms of risk
factors, baseline features, and functional outcome.
PMID- 21900633
TI - Does therapeutic hypothermia affect time to awakening in cardiac arrest
survivors?
AB - OBJECTIVE: Current guidelines indicate that certain clinical examination findings
at 72 hours after cardiac arrest may be useful in prognosis. Some have suggested
that hypothermia may alter the examination. We aimed to examine timing of
awakening in cardiac arrest survivors treated with hypothermia. METHODS: A
retrospective review of prospectively identified cardiac arrest survivors from
June 2006 to December 2010 at a large academic institution. Data including time
to awakening, prognostic variables, systemic complications, cause of mortality,
reasons, and timing to withdrawal of life-sustaining treatments were recorded.
Outcome measure of in-hospital mortality was assessed. RESULTS: A total of 227
patients were included. In-hospital mortality was 53%. Of 128 treated with
induced hypothermia, 64% survived. Of 99 patients not treated with hypothermia,
24% survived. Median day of awakening was day 2 for both groups and most (91%
hypothermic and 79% nonhypothermic) awakened within 3 days. In the hypothermic
group, withdrawal of life-sustaining treatments preceded death in 41 patients;
the decision was based primarily on poor neurologic prognosis in 29. The average
day of death was 5 (range 3-11). In the nonhypothermic group, withdrawal of life
sustaining treatments took place in 69 patients, of which 55 were based on poor
neurologic prognosis. CONCLUSIONS: Awakening after therapeutic hypothermia is
usually evident within 3 days of cardiac arrest and not delayed compared with
nonhypothermia cases. Mortality in the hypothermia group was not uncommonly
preceded by consequences of critical systemic illness.
PMID- 21900634
TI - Advanced glycation end products, diabetes, and the brain.
PMID- 21900635
TI - Stamping out neurophobia: a new hypothesis?
PMID- 21900637
TI - Genetic analysis of aquaporin-4 in neuromyelitis optica.
AB - OBJECTIVE: Autoantibodies to aquaporin-4 (AQP4) are specific and pathogenic for
neuromyelitis optica (NMO). Therefore, we evaluated whether AQP4 single
nucleotide polymorphisms (SNPs) are associated with susceptibility to NMO or
whether mutations that potentially alter AQP4 structure or expression are present
in some patients. METHODS: We genotyped 8 AQP4 SNPs chosen based on their minor
allele frequency, location, and novelty in 177 NMO sporadic cases, 14 NMO
familial cases, and 1,363 matched controls by TaqMan-based assay. We performed
bidirectional sequencing of the promoter (1 kb), exons 0-4, and flanking splice
consensus sequences, and the 5' and 3' untranslated regions of 177 sporadic and
14 familial NMO cases. RESULTS: One of 8 SNPs (minor allele frequency = 0.01) was
associated with NMO (NC 18.8; chrom pos. 22695167: T>A): odds ratio (95%
confidence interval) = 13.1 (1.4-126.7); p = 0.026. In 3 patients with NMO (2
related), we detected 2 different missense allelic mutations at Arg19 (R19I and
R19T). None of the 1,363 control subjects had Arg19 mutations (p = 0.001).
CONCLUSIONS: Except for one uncommon SNP, no tested SNP was associated with NMO,
nor were 3 SNP haplotypes, providing no support for the hypothesis that genetic
variation in AQP4 accounts for overall susceptibility to NMO. Two different
allelic Arg19 missense mutations are specific to NMO and segregated with the
disease in one pedigree. Although the pathobiology underlying this is not yet
established, their effects on the structure of the M1 isoform N terminus or the
regulatory sequence of the M23 isoform by virtue of their location support a role
of AQP4 orthogonal array formation on molecular susceptibility to NMO.
PMID- 21900636
TI - Minocycline treatment for HIV-associated cognitive impairment: results from a
randomized trial.
AB - OBJECTIVE: We conducted a study of minocycline to assess its safety,
tolerability, and efficacy for the treatment of HIV-associated cognitive
impairment. METHODS: HIV-1-infected individuals with progressive neurocognitive
decline were enrolled in a double-blind, placebo-controlled study of minocycline.
Participants were randomized to receive minocycline 100 mg or matching placebo
orally every 12 hours. The primary efficacy measure was change in a
neuropsychological test composite z score (NPZ-8) from baseline to week 24.
Measures of safety included the frequency of adverse events and changes over time
in laboratory tests. After 50% of participants completed the double-blind phase,
an interim analysis of futility for the primary outcome measure was performed,
and our Data and Safety Monitoring Board recommended early study termination.
RESULTS: A total of 107 HIV-1-infected individuals with cognitive impairment were
enrolled. The minocycline group did not show improvement in the primary outcome
measure (NPZ-8) (mean 24-week change = 0.12) compared to placebo (mean 24-week
change = 0.17) (95% confidence interval = [-0.26, 0.39], p = 0.70). There were
few severe adverse events or laboratory abnormalities in either treatment group.
CONCLUSION: Minocycline was safe and well-tolerated in individuals with HIV
associated cognitive impairment, but cognitive improvement was not observed.
Classification of evidence. This interventional study provides Class II evidence
for the safety, tolerability, and efficacy of minocycline for the treatment of
HIV-associated cognitive impairment.
PMID- 21900640
TI - Low-magnitude whole body vibration with resistive exercise as a countermeasure
against cardiovascular deconditioning after 60 days of head-down bed rest.
AB - Whole body vibration with resistive exercise is a promising countermeasure
against some weightlessness-induced dysfunctions. Our objective was to study
whether the combination of low-magnitude whole body vibration with a resistive
exercise can prevent the cardiovascular deconditioning induced by a nonstrict 60
day head-down bed rest (Earth Star International Bed Rest Experiment Project).
Fourteen healthy men participated in this study. We recorded electrocardiograms
and blood pressure waves by means of a noninvasive beat-by-beat measurement
system (Cardiospace, integrated by Centre National d'Etudes Spatiales and
Astronaut Center of China) during an orthostatic test (20 min of 75-degree head
up tilt test) before and immediately after bed rest. We estimated heart rate,
blood pressure, cardiac output, stroke volume, total peripheral resistance,
baroreflex sensitivity, and heart rate variability. Low-magnitude whole body
vibration with resistive exercise prevented an increase of the sympathetic index
(reflecting the sympathovagal balance of cardiac autonomic control) and limited
the decrease of the spontaneous baroreflex sensitivity induced by 60 days of head
down bed rest. However, this countermeasure had very little effect on cardiac
hemodynamics and did not improve the orthostatic tolerance. This combined
countermeasure did not efficiently prevent orthostatic intolerance but prevents
changes in the autonomic nervous system associated with cardiovascular
deconditioning. The underlying mechanisms remain hypothetical but might involve
cutaneous and muscular mechanoreceptors.
PMID- 21900639
TI - Sepsis-induced alterations in sleep of rats.
AB - Sepsis is a systemic immune response to infection that may result in multiple
organ failure and death. Polymicrobial infections remain a serious clinical
problem, and in the hospital, sepsis is the number-one noncardiac killer.
Although the central nervous system may be one of the first systems affected,
relatively little effort has been made to determine the impact of sepsis on the
brain. In this study, we used the cecal ligation and puncture (CLP) model to
determine the extent to which sepsis alters sleep, the EEG, and brain temperature
(Tbr) of rats. Sepsis increases the amount of time rats spend in non-rapid eye
movement sleep (NREMS) during the dark period, but not during the light period.
Rapid eye movements sleep (REMS) of septic rats is suppressed for about 24 h
following CLP surgery, after which REMS increases during dark periods for at
least three nights. The EEG is dramatically altered shortly after sepsis
induction, as evidenced by reductions in slow-frequency components. Furthermore,
sleep is fragmented, indicating that the quality of sleep is diminished. Effects
on sleep, the EEG, and Tbr persist for at least 84 h after sepsis induction, the
duration of our recording period. Immunohistochemical assays focused on brain
stem mechanisms responsible for alterations in REMS, as little information is
available concerning infection-induced suppression of this sleep stage. Our
immunohistochemical data suggest that REMS suppression after sepsis onset may be
mediated, in part, by the brain stem GABAergic system. This study demonstrates
for the first time that sleep and EEG patterns are altered during CLP-induced
sepsis. These data suggest that the EEG may serve as a biomarker for sepsis
onset. These data also contribute to our knowledge of potential mechanisms,
whereby infections alter sleep and other central nervous system functions.
PMID- 21900641
TI - Central stiffening in adulthood linked to aberrant aortic remodeling under
suboptimal intrauterine conditions.
AB - This study examined perturbed aortic development and subsequent wall stiffening
as a link to later cardiovascular disease. Placental insufficiency was induced in
pregnant guinea pigs at midgestation by uterine artery ligation. Near term,
fetuses were killed and defined as normal birth weight (NBW), low birth weight
(LBW), and intrauterine growth restricted (IUGR). Offspring were classified
according to birth weight and killed in adulthood. Collagen and elastin content
of aortas were analyzed using Sirius red and orcein staining, respectively.
Immunofluorescence was used for detection of alpha-actin and nonmuscle myosin
heavy chain (MHC-B), a marker of synthetic-type vascular smooth muscle cells
(VSMCs). Ex vivo generation of length-tension curves was performed with aortic
rings from adult offspring. Relative elastic fiber content was decreased by 10%
in LBW and 14% in IUGR compared with NBW fetuses. In adulthood, relative elastic
fiber content was 51% lower in LBW vs. NBW, and the number of elastic laminae
adjusted for wall thickness was 25% lower in LBW (P < 0.01). The percent area
stained for MHC-B was sixfold higher in LBW vs. NBW fetuses (P < 0.0001) and
threefold higher in LBW vs. NBW adult offspring (P < 0.05). The increase in MHC-B
in LBW offspring concurred with a 41% increase in total collagen content and a 33
and 56% increase in relative and total alpha-actin content, respectively (P <
0.05). Thus aortic wall stiffening in adulthood can be traced to altered matrix
composition established under suboptimal intrauterine conditions that is
amplified postnatally by the activity of synthetic VSMCs.
PMID- 21900638
TI - Secondary preventive medication persistence and adherence 1 year after stroke.
AB - OBJECTIVE: Data on long-term use of secondary prevention medications following
stroke are limited. The Adherence eValuation After Ischemic stroke-Longitudinal
(AVAIL) Registry assessed patient, provider, and system-level factors influencing
continuation of prevention medications for 1 year following stroke
hospitalization discharge. METHODS: Patients with ischemic stroke or TIA
discharged from 106 hospitals participating in the American Heart Association Get
With The Guidelines-Stroke program were surveyed to determine their use of
warfarin, antiplatelet, antihypertensive, lipid-lowering, and diabetes
medications from discharge to 12 months. Reasons for stopping medications were
ascertained. Persistence was defined as continuation of all secondary preventive
medications prescribed at hospital discharge, and adherence as continuation of
prescribed medications except those stopped according to health care provider
instructions. RESULTS: Of the 2,880 patients enrolled in AVAIL, 88.4% (2,457
patients) completed 1-year interviews. Of these, 65.9% were regimen persistent
and 86.6% were regimen adherent. Independent predictors of 1-year medication
persistence included fewer medications prescribed at discharge, having an
adequate income, having an appointment with a primary care provider, and greater
understanding of why medications were prescribed and their side effects.
Independent predictors of adherence were similar to those for persistence.
CONCLUSIONS: Although up to one-third of stroke patients discontinued one or more
secondary prevention medications within 1 year of hospital discharge, self
discontinuation of these medications is uncommon. Several potentially modifiable
patient, provider, and system-level factors associated with persistence and
adherence may be targets for future interventions.
PMID- 21900642
TI - Central circuitries for body temperature regulation and fever.
AB - Body temperature regulation is a fundamental homeostatic function that is
governed by the central nervous system in homeothermic animals, including humans.
The central thermoregulatory system also functions for host defense from invading
pathogens by elevating body core temperature, a response known as fever.
Thermoregulation and fever involve a variety of involuntary effector responses,
and this review summarizes the current understandings of the central circuitry
mechanisms that underlie nonshivering thermogenesis in brown adipose tissue,
shivering thermogenesis in skeletal muscles, thermoregulatory cardiac regulation,
heat-loss regulation through cutaneous vasomotion, and ACTH release. To defend
thermal homeostasis from environmental thermal challenges, feedforward
thermosensory information on environmental temperature sensed by skin
thermoreceptors ascends through the spinal cord and lateral parabrachial nucleus
to the preoptic area (POA). The POA also receives feedback signals from local
thermosensitive neurons, as well as pyrogenic signals of prostaglandin E(2)
produced in response to infection. These afferent signals are integrated and
affect the activity of GABAergic inhibitory projection neurons descending from
the POA to the dorsomedial hypothalamus (DMH) or to the rostral medullary raphe
region (rMR). Attenuation of the descending inhibition by cooling or pyrogenic
signals leads to disinhibition of thermogenic neurons in the DMH and sympathetic
and somatic premotor neurons in the rMR, which then drive spinal motor output
mechanisms to elicit thermogenesis, tachycardia, and cutaneous vasoconstriction.
Warming signals enhance the descending inhibition from the POA to inhibit the
motor outputs, resulting in cutaneous vasodilation and inhibited thermogenesis.
This central thermoregulatory mechanism also functions for metabolic regulation
and stress-induced hyperthermia.
PMID- 21900643
TI - Recovery of baroreflex control of renal sympathetic nerve activity after spinal
lesions in the rat.
AB - Spinal cord injury (SCI) has serious long-term consequences on sympathetic
cardiovascular regulation. Orthostatic intolerance results from insufficient
baroreflex regulation (BR) of sympathetic outflow to maintain proper blood
pressure upon postural changes. Autonomic dysreflexia occurs due to insufficient
inhibition of spinal sources of sympathetic activity. Both of these conditions
result from the inability to control sympathetic activity caudal to SCI. It is
well established that limited motor ability recovers after incomplete SCI.
Therefore, the goal of this study was to determine whether recovery of BR occurs
after chronic, left thoracic spinal cord hemisection at either T(3) or T(8).
Baroreflex tests were performed in rats by measuring the reflex response of left
(ipsilateral) renal sympathetic nerve activity to decreases and increases in
arterial pressure produced by ramped infusions of sodium nitroprusside and
phenylephrine, respectively. One week after a T(3) left hemisection, BR function
was modestly impaired. However, 8 wk after a T(3) left hemisection, BR function
was normal. One week after a T(8) left hemisection, BR function was significantly
impaired, and 8 wk after a T(8) left hemisection, BR function was significantly
improved. These results indicate that BR of renal sympathetic nerve activity in
rats may partially recover after spinal cord hemisections, becoming normal by 8
wk after a T(3) lesion, but not after a T(8) lesion. The nature of the spinal
cord and/or brain stem reorganization that mediates this recovery remains to be
determined.
PMID- 21900646
TI - Enhanced open-loop but not closed-loop cardiac baroreflex sensitivity during
orthostatic stress in humans.
AB - The neural interaction between the cardiopulmonary and arterial baroreflex may be
critical for the regulation of blood pressure during orthostatic stress. However,
studies have reported conflicting results: some indicate increases and others
decreases in cardiac baroreflex sensitivity (i.e., gain) with cardiopulmonary
unloading. Thus the effect of orthostatic stress-induced central hypovolemia on
regulation of heart rate via the arterial baroreflex remains unclear. We sought
to comprehensively assess baroreflex function during orthostatic stress by
identifying and comparing open- and closed-loop dynamic cardiac baroreflex gains
at supine rest and during 60 degrees head-up tilt (HUT) in 10 healthy men.
Closed-loop dynamic "spontaneous" cardiac baroreflex sensitivities were
calculated by the sequence technique and transfer function and compared with two
open-loop carotid-cardiac baroreflex measures using the neck chamber system: 1) a
binary white-noise method and 2) a rapid-pulse neck pressure-neck suction
technique. The gain from the sequence technique was decreased from -1.19 +/- 0.14
beats.min(-1).mmHg(-1) at rest to -0.78 +/- 0.10 beats.min(-1).mmHg(-1) during
HUT (P = 0.005). Similarly, closed-loop low-frequency baroreflex transfer
function gain was reduced during HUT (P = 0.033). In contrast, open-loop low
frequency transfer function gain between estimated carotid sinus pressure and
heart rate during white-noise stimulation was augmented during HUT (P = 0.01).
This result was consistent with the maximal gain of the carotid-cardiac
baroreflex stimulus-response curve (from 0.47 +/- 0.15 beats.min(-1).mmHg(-1) at
rest to 0.60 +/- 0.20 beats.min(-1).mmHg(-1) at HUT, P = 0.037). These findings
suggest that open-loop cardiac baroreflex gain was enhanced during HUT. Moreover,
under closed-loop conditions, spontaneous baroreflex analyses without external
stimulation may not represent open-loop cardiac baroreflex characteristics during
orthostatic stress.
PMID- 21900644
TI - Interactions between CD36 and global intestinal alkaline phosphatase in mouse
small intestine and effects of high-fat diet.
AB - The mechanisms of the saturable component of long-chain fatty acid (LCFA)
transport across the small intestinal epithelium and its regulation by a high-fat
diet (HFD) are uncertain. It is hypothesized here that the putative fatty acid
translocase/CD36 and intestinal alkaline phosphatases (IAPs) function together to
optimize LCFA transport. Phosphorylated CD36 (pCD36) was expressed in mouse
enterocytes and dephosphorylated by calf IAP (CIAP). Uptake of fluorescently
tagged LCFA into isolated enteroctyes was increased when cells were treated with
CIAP; this was blocked with a specific CD36 inhibitor. pCD36 colocalized in
enterocytes with the global IAP (gIAP) isozyme and, specifically,
coimmunoprecipitated with gIAP, but not the duodenal-specific isozyme (dIAP).
Purified recombinant gIAP dephosphorylated immunoprecipitated pCD36, and
antiserum to gIAP decreased initial LCFA uptake in enterocytes. Body weight,
adiposity, and plasma leptin and triglycerides were significantly increased in
HFD mice compared with controls fed a normal-fat diet. HFD significantly
increased immunoreactive CD36 and gIAP, but not dIAP, in jejunum, but not
duodenum. Uptake of LCFA was increased in a CD36-dependent manner in enterocytes
from HFD mice. It is concluded that CD36 exists in its phosphorylated and
dephosphorylated states in mouse enterocytes, that pCD36 is a substrate of gIAP,
and that dephosphorylation by IAPs results in increased LCFA transport
capability. HFD upregulates CD36 and gIAP in parallel and enhances CD36-dependent
fatty acid uptake. The interactions between these proteins may be important for
efficient fat transport in mouse intestine, but whether the changes in gIAP and
CD36 in enterocytes contribute to HFD-induced obesity remains to be determined.
PMID- 21900647
TI - Distension of the uterus induces HspB1 expression in rat uterine smooth muscle.
AB - The uterine musculature, or myometrium, demonstrates tremendous plasticity during
pregnancy under the influences of the endocrine environment and mechanical
stresses. Expression of the small stress protein heat shock protein B1 (HspB1)
has been reported to increase dramatically during late pregnancy, a period marked
by myometrial hypertrophy caused by fetal growth-induced uterine distension.
Thus, using unilaterally pregnant rat models and ovariectomized nonpregnant rats
with uteri containing laminaria tents to induce uterine distension, we examined
the effect of uterine distension on myometrial HspB1 expression. In unilaterally
pregnant rats, HspB1 mRNA and Ser(15)-phosphorylated HspB1 (pSer(15) HspB1)
protein expression were significantly elevated in distended gravid uterine horns
at days 19 and 23 (labor) of gestation compared with nongravid horns. Similarly,
pSer(15) HspB1 protein in situ was only readily detectable in the distended horns
compared with the nongravid horns at days 19 and 23; however, pSer(15) HspB1 was
primarily detectable in situ at day 19 in membrane-associated regions, while it
had primarily a cytoplasmic localization in myometrial cells at day 23. HspB1
mRNA and pSer(15) HspB1 protein expression were also markedly increased in
ovariectomized nonpregnant rat myometrium distended for 24 h with laminaria tents
compared with empty horns. Therefore, uterine distension plays a major role in
the stimulation of myometrial HspB1 expression, and increased expression of this
small stress protein could be a mechanoadaptive response to the increasing
uterine distension that occurs during pregnancy.
PMID- 21900645
TI - Blood pressure and renal hemodynamic responses to acute angiotensin II infusion
are enhanced in a female mouse model of systemic lupus erythematosus.
AB - Inflammation and immune system dysfunction contributes to the development of
cardiovascular and renal disease. Systemic lupus erythematosus (SLE) is a chronic
autoimmune inflammatory disorder that carries a high risk for both renal and
cardiovascular disease. While hemodynamic changes that may contribute to
increased cardiovascular risk have been reported in humans and animal models of
SLE, renal hemodynamics have not been widely studied. The renin-angiotensin
system (RAS) plays a central role in renal hemodynamic control, and although RAS
blockade is a common therapeutic strategy, the role of RAS in hemodynamic
function during SLE is not clear. This study tested whether mean arterial
pressure (MAP) and renal hemodynamic responses to acute infusions of ANG II in
anesthetized animals were enhanced in an established female mouse model of SLE
(NZBWF1). Baseline MAP was not different between anesthetized SLE and control
(NZWLacJ) mice, while renal blood flow (RBF) was significantly lower in mice with
SLE. SLE mice exhibited an enhanced pressor response and greater reduction in RBF
after ANG II infusion. An acute infusion of the ANG II receptor blocker losartan
increased RBF in control mice but not in mice with SLE. Renin and ANG II type 1
receptor expression was significantly lower, and ANG II type 2 receptor
expression was increased in the renal cortex from SLE mice compared with
controls. These data suggest that there are fewer ANG II receptors in the kidneys
from mice with SLE but that the existing receptors exhibit an enhanced
sensitivity to ANG II.
PMID- 21900648
TI - Skeletal muscle weakness due to deficiency of CuZn-superoxide dismutase is
associated with loss of functional innervation.
AB - An association between oxidative stress and muscle atrophy and weakness in vivo
is supported by elevated oxidative damage and accelerated loss of muscle mass and
force with aging in CuZn-superoxide dismutase-deficient (Sod1(-/-)) mice. The
purpose was to determine the basis for low specific force (N/cm(2)) of
gastrocnemius muscles in Sod1(-/-) mice and establish the extent to which
structural and functional changes in muscles of Sod1(-/-) mice resemble those
associated with normal aging. We tested the hypothesis that muscle weakness in
Sod1(-/-) mice is due to functionally denervated fibers by comparing forces
during nerve and direct muscle stimulation. No differences were observed for wild
type mice at any age in the forces generated in response to nerve and muscle
stimulation. Nerve- and muscle-stimulated forces were also not different for 4-wk
old Sod1(-/-) mice, whereas, for 8- and 20-mo-old mice, forces during muscle
stimulation were 16 and 30% greater, respectively, than those obtained using
nerve stimulation. In addition to functional evidence of denervation with aging,
fiber number was not different for Sod1(-/-) and wild-type mice at 4 wk, but 50%
lower for Sod1(-/-) mice by 20 mo, and denervated motor end plates were prevalent
in Sod1(-/-) mice at both 8 and 20 mo and in WT mice by 28 mo. The data suggest
ongoing denervation in muscles of Sod1(-/-) mice that results in fiber loss and
muscle atrophy. Moreover, the findings support using Sod1(-/-) mice to explore
mechanistic links between oxidative stress and the progression of deficits in
muscle structure and function.
PMID- 21900650
TI - Urgent measures for an old problem.
PMID- 21900649
TI - Phylogenomic analysis resolves the interordinal relationships and rapid
diversification of the laurasiatherian mammals.
AB - Although great progress has been made in resolving the relationships of placental
mammals, the position of several clades in Laurasiatheria remain controversial.
In this study, we performed a phylogenetic analysis of 97 orthologs (46,152 bp)
for 15 taxa, representing all laurasiatherian orders. Additionally, phylogenetic
trees of laurasiatherian mammals with draft genome sequences were reconstructed
based on 1608 exons (2,175,102 bp). Our reconstructions resolve the interordinal
relationships within Laurasiatheria and corroborate the clades Scrotifera,
Fereuungulata, and Cetartiodactyla. Furthermore, we tested alternative topologies
within Laurasiatheria, and among alternatives for the phylogenetic position of
Perissodactyla, a sister-group relationship with Cetartiodactyla receives the
highest support. Thus, Pegasoferae (Perissodactyla + Carnivora + Pholidota +
Chiroptera) does not appear to be a natural group. Divergence time estimates from
these genes were compared with published estimates for splits within
Laurasiatheria. Our estimates were similar to those of several studies and
suggest that the divergences among these orders occurred within just a few
million years.
PMID- 21900651
TI - Desperately seeking savings: states shift more Medicaid enrollees to managed
care.
PMID- 21900652
TI - A decade of health care cost growth has wiped out real income gains for an
average US family.
AB - Although a median-income US family of four with employer-based health insurance
saw its gross annual income increase from $76,000 in 1999 to $99,000 in 2009 (in
current dollars), this gain was largely offset by increased spending to pay for
health care. Monthly spending increases occurred in the family's health insurance
premiums (from $490 to $1,115), out-of-pocket health spending (from $135 to
$235), and taxes devoted to health care (from $345 to $440). After accounting for
price increases in other goods and services, the family had $95 more in monthly
income to devote to nonhealth spending in 2009 than in 1999. By contrast, had the
rate of health care cost growth not exceeded general inflation, the family would
have had $545 more per month instead of $95-a difference of nearly $5,400 per
year. Even the $95 gain was artificial, because tax collections in 2009 were
insufficient to cover actual increases in federal health spending. As a result,
we argue, the burdens imposed on all payers by steadily rising health care
spending can no longer be ignored.
PMID- 21900653
TI - Lower-income families pay a higher share of income toward national health care
spending than higher-income families do.
AB - All health care spending from public and private sources, such as governments and
businesses, is ultimately paid by individuals and families. We calculated the
burden of US health care spending on families as a percentage of income and found
that at the national level, lower-income families pay a larger share of their
incomes toward health care than do higher-income families. Specifically, we found
that payments made privately, such as those for health insurance or out-of-pocket
spending for care, and publicly, through taxes and tax expenditures, consumed
more than 20 percent of family income for families in the lowest-income quintile
but no more than 16 percent for families in any other income quintile. Our
analysis provides a framework for considering the equity of various initiatives
under health reform. Although many effects remain to be seen, we find that,
overall, the Affordable Care Act should reduce inequities in the burden of paying
for national health care spending.
PMID- 21900654
TI - Higher fees paid to US physicians drive higher spending for physician services
compared to other countries.
AB - Higher health care prices in the United States are a key reason that the nation's
health spending is so much higher than that of other countries. Our study
compared physicians' fees paid by public and private payers for primary care
office visits and hip replacements in Australia, Canada, France, Germany, the
United Kingdom, and the United States. We also compared physicians' incomes net
of practice expenses, differences in financing the cost of medical education, and
the relative contribution of payments per physician and of physician supply in
the countries' national spending on physician services. Public and private payers
paid somewhat higher fees to US primary care physicians for office visits (27
percent more for public, 70 percent more for private) and much higher fees to
orthopedic physicians for hip replacements (70 percent more for public, 120
percent more for private) than public and private payers paid these physicians'
counterparts in other countries. US primary care and orthopedic physicians also
earned higher incomes ($186,582 and $442,450, respectively) than their foreign
counterparts. We conclude that the higher fees, rather than factors such as
higher practice costs, volume of services, or tuition expenses, were the main
drivers of higher US spending, particularly in orthopedics.
PMID- 21900655
TI - The growth in cost per case explains far more of US health spending increases
than rising disease prevalence.
AB - Some prior research has suggested that health spending for many diseases has been
driven more by increases in so-called treated prevalence-the number of people
receiving treatment for a given condition-than by increases in cost per case. Our
study reached a different conclusion. We examined treated prevalence, clinical
prevalence-the number of people with a given disease, treated or not-and cost per
case across all medical conditions between 1996 and 2006. Over this period, three
fourths of the increase in real per capita health spending was attributable to
growth in cost per case, while treated prevalence accounted for about one-fourth
of spending growth. Our evidence suggests that most of the treated-prevalence
effect is due to an increase in the share of eligible people being treated rather
than an increase in clinical prevalence of diseases. We conclude that efforts to
curb health spending should focus more on reining in cost per case.
PMID- 21900656
TI - Health care costs are a key driver of growth in federal and state assistance to
working-age people with disabilities.
AB - A large and rapidly growing share of US government expenditures pays for
assistance to working-age people with disabilities. In 2008 federal spending for
disability assistance totaled $357 billion, representing 12 percent of all
federal outlays. The states' share of joint federal-state disability programs,
more than 90 percent of it for Medicaid, was $71 billion. The increased cost of
health care-which represented 55 percent of combined state and federal outlays
for this population in 2008-is one of the two main causes of spending growth for
people with disabilities. Health care is already likely to be a target of further
efforts by states and the federal government to contain or reduce spending, and
it is therefore probable that spending restraints will affect the working-age
population with disabilities. In fact, unless ways can be identified to make
delivery of health care to this population more efficient, policy makers may be
unable to avoid funding cuts that will further compromise its well-being.
PMID- 21900657
TI - Enrolling people with prediabetes ages 60-64 in a proven weight loss program
could save Medicare $7 billion or more.
AB - Rising chronic disease prevalence among Medicare beneficiaries, including new
enrollees, is a key driver of health care spending. Randomized trials have shown
that lifestyle modification interventions such as those in the National Diabetes
Prevention Program clinical trial reduce the incidence of chronic disease and
that community-based programs applying the same principles can produce net health
care savings. We propose expanding a proven, community-based weight loss program
nationwide and enrolling overweight and obese prediabetic adults ages 60-64. We
estimate that making the program available to a single cohort of eligible people
could save Medicare $1.8-$2.3 billion over the following ten years. Estimated
savings would be even higher ($3.0-$3.7 billion) if equally overweight people at
risk for cardiovascular disease were also enrolled. We estimate that lifetime
Medicare savings could range from approximately $7 billion to $15 billion,
depending on how broadly program eligibility was defined and actual levels of
program participation, for a single "wave" of eligible people. In this context we
propose that Medicare expand its new wellness benefit to include reimbursement
for this and other qualifying behavior change programs.
PMID- 21900658
TI - At Virginia Mason, collaboration among providers, employers, and health plans to
transform care cut costs and improved quality.
AB - Virginia Mason Medical Center in Seattle has worked in collaboration with health
plans and employers to facilitate development of standardized approaches to care
of patients with common conditions. These efforts have eliminated unnecessary
treatment and decreased costs to employers, health plans, patients, and
providers. We describe our collaborative approach and illustrate it with the
example of improved treatment for patients with uncomplicated headache, for which
we have achieved 91 percent patient satisfaction, decreased use of advanced
imaging by 23 percent, and provided same-day appointments in 95 percent of cases.
As a model for improving quality while reducing cost, the Virginia Mason
experience demonstrates that a multispecialty group practice, hospital,
employers, and health plans can define quality and align performance and payment
along common goals.
PMID- 21900660
TI - Integrated telehealth and care management program for Medicare beneficiaries with
chronic disease linked to savings.
AB - Treatment of chronically ill people constitutes nearly four-fifths of US health
care spending, but it is hampered by a fragmented delivery system and
discontinuities of care. We examined the impact of a care coordination approach
called the Health Buddy Program, which integrates a telehealth tool with care
management for chronically ill Medicare beneficiaries. We evaluated the program's
impact on spending for patients of two clinics in the US Northwest who were
exposed to the intervention, and we compared their experience with that of
matched controls. We found significant savings among patients who used the Health
Buddy telehealth program, which was associated with spending reductions of
approximately 7.7-13.3 percent ($312-$542) per person per quarter. These results
suggest that carefully designed and implemented care management and telehealth
programs can help reduce health care spending and that such programs merit
continued attention by Medicare. Meanwhile, mortality differences in the
treatment and control groups suggest that the intervention may have produced
noticeable changes in health outcomes, but we leave it to future research to
explore these effects fully.
PMID- 21900661
TI - Model safety-net programs could care for the uninsured at one-half the cost of
Medicaid or private insurance.
AB - Because the reforms under the Affordable Care Act of 2010 will leave an estimated
twenty million or more people still uninsured, some Americans will continue to
seek care at low or no cost through existing safety-net systems. To identify
appropriate care models, this comparative case study assessed the costs of care
provided by four large, well-structured, comprehensive safety-net programs for
the uninsured in Colorado, Michigan, North Carolina, and Texas. The average
monthly resource cost-including the value of referred, donated, and in-kind
services-in these model programs was $141-$209 per adult in 2008. This was 25-50
percent less than the estimated cost of care for comparison groups covered by
local Medicaid programs or by private insurance that provided similar services.
Although these programs' services are somewhat less comprehensive than those of
generous insurance plans, the findings suggest that these model safety-net
programs could be adapted to provide an alternative type of coverage for the
uninsured, including both low-income and middle-class people.
PMID- 21900662
TI - Medicare's bundled payment pilot for acute and postacute care: analysis and
recommendations on where to begin.
AB - In the National Pilot Program on Payment Bundling, a subset of Medicare providers
will receive a single payment for an episode of acute care in a hospital,
followed by postacute care in a skilled nursing or rehabilitation facility, the
patient's home, or other appropriate setting. This article examines the promises
and pitfalls of bundled payments and addresses two important design decisions for
the pilot: which conditions to include, and how long an episode should be. Our
analysis of Medicare data found that hip fracture and joint replacement are good
conditions to include in the pilot because they exhibit strong potential for cost
savings. In addition, these conditions pose less financial risk for providers
than other common ones do, so including them would make participation in the
program more appealing to providers. We also found that longer episode lengths
captured a higher percentage of costs and hospital readmissions while adding
little financial risk. We recommend that the Medicare pilot program test
alternative design features to help foster payment innovation throughout the
health system.
PMID- 21900663
TI - Early lessons from accountable care models in the private sector: partnerships
between health plans and providers.
AB - New health care delivery and payment models in the private sector are being
shaped by active collaboration between health insurance plans and providers. We
examine key characteristics of several of these private accountable care models,
including their overall efforts to improve the quality, efficiency, and
accountability of care; their criteria for selecting providers; the payment
methods and performance measures they are using; and the technical assistance
they are supplying to participating providers. Our findings show that not all
providers are equally ready to enter into these arrangements with health plans
and therefore flexibility in design of these arrangements is critical. These
findings also hold lessons for the emerging public accountable care models, such
as the Medicare Shared Savings Program-underscoring providers' need for
comprehensive and timely data and analytic reports; payment tailored to
providers' readiness for these contracts; and measurement of quality across
multiple years and care settings.
PMID- 21900664
TI - The increased concentration of health plan markets can benefit consumers through
lower hospital prices.
AB - The long-term trend of consolidation among US health plans has raised providers'
concerns that the concentration of health plan markets can depress their prices.
Although our study confirmed that, it also revealed a more complex picture.
First, we found that 64 percent of hospitals operate in markets where health
plans are not very concentrated, and only 7 percent are in markets that are
dominated by a few health plans. Second, we found that in most markets, hospital
market concentration exceeds health plan concentration. Third, our study
confirmed earlier studies showing that greater hospital market concentration
leads to higher hospital prices. Fourth, we found that hospital prices in the
most concentrated health plan markets are approximately 12 percent lower than in
more competitive health plan markets. Overall, our results show that more
concentrated health plan markets can counteract the price-increasing effects of
concentrated hospital markets, and that-contrary to conventional wisdom-increased
health plan concentration benefits consumers through lower hospital prices as
long as health plan markets remain competitive. Our findings also suggest that
consumers would benefit from policies that maintained competition in hospital
markets or that would restore competition to hospital markets that are
uncompetitive.
PMID- 21900665
TI - Medical group responses to global payment: early lessons from the 'Alternative
Quality Contract' in Massachusetts.
AB - The largest insurer in Massachusetts, Blue Cross Blue Shield, began a new program
in 2009 that combines global payments-fixed payments for the care of patient
populations during a specified time period-with large potential quality bonuses
for medical groups. In interviews with representatives of the participating
medical groups, many of which could be considered prototype accountable care
organizations, we found that most groups initially focused on two goals: building
the infrastructure to help primary care providers earn quality bonuses; and
managing referrals to direct patients to lower-cost settings. Groups are working
to overcome numerous challenges, which include improving their data management
capabilities; managing conflicting incentives in their fee-for-service contracts;
and establishing cultures that emphasize teamwork, patient-centered care, and
effective stewardship of medical resources. The participating medical groups are
diverse in terms of size, organizational structure, and prior experience with
managed care contracting. If the groups can succeed in reducing annual growth in
health spending by half over the five-year contract, it could signal that even
newly formed accountable care organizations can navigate a shift from fee-for
service to population-based payment models.
PMID- 21900666
TI - Urban hospital 'clusters' do shift high-risk procedures to key facilities, but
more could be done.
AB - Since the 1990s, rapid consolidation in the hospital sector has resulted in the
vast majority of hospitals joining systems that already had a considerable
presence within their markets. We refer to these important local and regional
systems as "clusters." To determine whether hospital clusters have taken
measurable steps aimed at improving the quality of care-specifically, by
concentrating low-volume, high-complexity services within selected "lead"
facilities-this study examined within-cluster concentrations of high-risk cases
for seven surgical procedures. We found that lead hospitals on average performed
fairly high percentages of the procedures per cluster, ranging from 59 percent
for esophagectomy to 87 percent for aortic valve replacement. The numbers
indicate that hospitals might need to work with rival facilities outside their
cluster to concentrate cases for the lowest-volume procedures, such as
esophagectomies, whereas coordination among cluster members might be sufficient
for higher-volume procedures. The results imply that policy makers should focus
on clusters' potential for restructuring care and further coordinating services
across hospitals in local areas.
PMID- 21900667
TI - Strict hand hygiene and other practices shortened stays and cut costs and
mortality in a pediatric intensive care unit.
AB - Efforts to reduce infections acquired during a hospital stay through improvements
in the quality of care have had measurable results in many hospital settings. In
pediatric intensive care units, the right quality interventions can save lives
and money. We found that improving practices of hand hygiene, oral care, and
central-line catheter care reduced hospital-acquired infections and improved
mortality rates among children admitted to a large pediatric intensive care unit
in 2007-09. In addition, on average patients admitted after the quality
interventions were fully implemented spent 2.3 fewer days in the hospital, their
hospitalization cost $12,136 less, and mortality was 2.3 percentage points lower,
compared to patients admitted before the interventions. The projected annual cost
savings for the single pediatric intensive care unit studied was approximately
$12 million. Given the modest expenses incurred for these improvements-which
mainly consisted of posters for an educational campaign, a training "fair,"
roughly $21 per day for oral care kits, about $0.60 per day for chlorhexidine
antiseptic patches, and hand sanitizers attached to the walls outside patients'
rooms-this represents a significant return on investment. Used on a larger scale,
these quality improvements could save lives and reduce costs for patients,
hospitals, and payers around the country, provided that sustained efforts ensure
compliance with new protocols and achieve long-lasting changes.
PMID- 21900668
TI - Affordable Care Act reforms could reduce the number of underinsured US adults by
70 percent.
AB - To provide a baseline and assess the potential of changes brought about under the
Affordable Care Act, this study estimates the number of US adults who were
underinsured or uninsured in 2010. Using indicators of medical cost exposure
relative to income, we find that 44 percent (81 million) of adults ages 19-64
were either uninsured or underinsured in 2010-up from 75 million in 2007 and 61
million in 2003. Adults with incomes below 250 percent of the federal poverty
level account for sizable majorities of those at risk of becoming uninsured or
underinsured. If reforms succeed in increasing the affordability of care for
people in this income range, we could expect a 70 percent drop in the number of
underinsured people and a steep drop in the number of uninsured people.
PMID- 21900669
TI - An agenda for improving compassionate care: a survey shows about half of patients
say such care is missing.
AB - As the US health care system undergoes restructuring and pressure to reduce costs
intensifies, patients worry that they will receive less compassionate care. So do
health care providers. Our survey of 800 recently hospitalized patients and 510
physicians found broad agreement that compassionate care is "very important" to
successful medical treatment. However, only 53 percent of patients and 58 percent
of physicians said that the health care system generally provides compassionate
care. Given strong evidence that such care improves health outcomes and patients'
care experiences, we recommend that national quality standards include measures
of compassionate care; that such care be a priority for comparative effectiveness
research to determine which aspects have the most influence on patients' care
experiences, health outcomes, and perceptions of health-related quality of life;
and that payers reward the provision of such care. We also recommend the
development of systematic approaches to help health care professionals improve
the skills required for compassionate care.
PMID- 21900670
TI - Strong government influence over the Israeli health care system has led to low
rates of spending growth.
AB - Israel reformed its health care system in 1995. In contrast to many other
developed nations, it has since experienced relatively low rates of growth in
health spending, even as health outcomes have continued to improve. This paper
describes characteristics of the Israeli system that have helped control rising
costs. We describe how the national government exerts direct operational control
over a large proportion of total health care expenditures (39.1 percent in 2007)
through a range of mechanisms, including caps on hospital revenue and national
contracts with salaried physicians. The Ministry of Finance has been able to
persuade the national government to agree to relatively small increases in the
health care budget because the system has performed well, with a very high level
of public satisfaction. It is unclear whether this success in health expenditure
control can be sustained because of growing signs of strain within the system,
the rapid increase in nongovernment financing for health care services, and the
growing prosperity of Israeli society.
PMID- 21900671
TI - How a children's hospital discovered child pornographers in its midst.
PMID- 21900672
TI - Foundation's consumer advocacy health reform initiative strengthened groups'
effectiveness.
AB - Private foundations may hesitate to fund consumer advocacy for enacting and
implementing health reform because the effects are hard to measure, and because
they are concerned that funds will be used for lobbying activities that are
prohibited by federal tax rules governing private philanthropy. Mathematica
Policy Research evaluated a Robert Wood Johnson Foundation initiative supporting
state consumer health advocacy networks. During the three-year grant period, most
networks coalesced and improved their ability to advocate effectively. A majority
of state policy makers reported that consumers became more involved and effective
in shaping health policy, and many wanted consumer advocates to remain involved
in public debates on implementing federal health reform. The evaluation shows
that targeted investments by foundations to strengthen consumer groups' ability
to advocate effectively can help ensure that their voice is heard in critical
policy debates.
PMID- 21900674
TI - Turning smaller practices into patient-centered medical homes.
PMID- 21900675
TI - Caring for patients and much more.
PMID- 21900677
TI - Explanations for increased prescription drug abuse.
PMID- 21900678
TI - Dissecting the phthalate-induced Sertoli cell injury: the fragile balance of
proteases and their inhibitors.
PMID- 21900679
TI - A homolog of the cell apoptosis susceptibility gene involved in ovary development
of Chinese shrimp Fenneropenaeus chinensis.
AB - The cell apoptosis susceptibility (CAS) gene is a homolog of the yeast chromosome
segregation (CSE1) gene, which functions in cell proliferation and apoptosis. In
the present study, a homolog of CAS was cloned from Chinese shrimp Fenneropenaeus
chinensis (FcCAS). The full-length FcCAS cDNA is 3534 bp and contains an open
reading frame encoding 968 amino acids. The predicted tertiary FcCAS structure is
highly similar to that of CSE1 from the yeast Saccharomyces cerevisiae. RT-PCR
analysis showed that the FcCAS gene is expressed mainly in testis, ovary,
stomach, lymphoid organs, gills, and hemocytes. RNA in situ hybridization showed
that FcCAS transcripts were distributed mainly in the cytoplasm of oocytes.
Western blot analysis showed that FcCAS could be detected only in testis and
ovary, and its expression levels differed at different developmental stages of
ovaries. Immunohistochemical analysis showed that FcCAS existed in both the
cytoplasm and the nucleus, which suggested that FcCAS might function as a nuclear
protein. No transcript was detected in the abnormally developed ovaries of
triploid shrimp. Therefore, we inferred that the FcCAS gene might be one of the
key genes that is closely related to ovary development in shrimp.
PMID- 21900680
TI - Loss of Wnt5a disrupts primordial germ cell migration and male sexual development
in mice.
AB - Disruptions in the regulatory pathways controlling sex determination and
differentiation can cause disorders of sex development, often compromising
reproductive function. Although extensive efforts have been channeled into
elucidating the regulatory mechanisms controlling the many aspects of sexual
differentiation, the majority of disorders of sex development phenotypes are
still unexplained at the molecular level. In this study, we have analyzed the
potential involvement of Wnt5a in sexual development and show in mice that Wnt5a
is male-specifically upregulated within testicular interstitial cells at the
onset of gonad differentiation. Homozygous deletion of Wnt5a affected sexual
development in male mice, causing testicular hypoplasia and bilateral
cryptorchidism despite the Leydig cells producing factors such as Hsd3b1 and
Insl3. Additionally, Wnt5a-null embryos of both sexes showed a significant
reduction in gonadal germ cell numbers, which was caused by aberrant primordial
germ cell migration along the hindgut endoderm prior to gonadal colonization. Our
results indicate multiple roles for Wnt5a during mammalian reproductive
development and help to clarify further the etiology of Robinow syndrome (OMIM
268310), a disease previously linked to the WNT5A pathway.
PMID- 21900681
TI - Immunophenotype and cytokine profiles of rhesus monkey CD56bright and CD56dim
decidual natural killer cells.
AB - The primate endometrium is characterized in pregnancy by a tissue-specific
population of CD56(bright) natural killer (NK) cells. These cells are observed in
human, rhesus, and other nonhuman primate decidua. However, other subsets of NK
cells are present in the decidua and may play distinct roles in pregnancy. The
purpose of this study was to define the surface marker phenotype of rhesus monkey
decidual NK (dNK) cell subsets, and to address functional differences by
profiling cytokine and chemokine secretion in contrast with decidual T cells and
macrophages. Rhesus monkey decidual leukocytes were obtained from early pregnancy
tissues, and were characterized by flow cytometry and multiplex assay of secreted
factors. We concluded that the major NK cell population in rhesus early pregnancy
decidua are CD56(bright) CD16(+)NKp30(-) decidual NK cells, with minor CD56(dim)
and CD56(neg) dNK cells. Intracellular cytokine staining demonstrated that
CD56(dim) and not CD56(bright) dNK cells are the primary interferon-gamma (IFNG)
producers. In addition, the profile of other cytokines, chemokines, and growth
factors secreted by these two dNK cell populations was generally similar, but
distinct from that of peripheral blood NK cells. Finally, analysis of multiple
pregnancies from eight dams revealed that the decidual immune cell profile is
characteristic of an individual animal and is consistently maintained across
successive pregnancies, suggesting that the uterine immune environment in
pregnancy is carefully regulated in the rhesus monkey decidua.
PMID- 21900682
TI - Minimal fertility defects in mice deficient in oocyte-expressed Smad4.
AB - Bidirectional signaling between oocytes and granulosa cells is required for
normal folliculogenesis. Oocyte-secreted members of the transforming growth
factor beta (TGFB) family, growth differentiation factor 9 (GDF9), and bone
morphogenetic protein 15 (BMP15) are well-known mediators of granulosa cell
function. Deletion in granulosa cells of Smad4, the common SMAD mediating all
canonical TGFB-related protein signals, results in infertility. Reciprocal
signaling by granulosa cell-expressed TGFB family ligands, such as activin, to
the oocyte during follicle development has been proposed but not tested in vivo
using conditional knockout mice. Therefore, we generated two oocyte-specific
conditional knockout models for the common SMAD, Smad4, using cre recombinase
expression from either the zona pellucida 3 (Zp3) or Gdf9 promoter. Cre
expression from the Gdf9 promoter occurs at a slightly earlier time point in
follicle development than from Zp3. Deletion of Smad4 using Zp3cre had no effect
on fertility, while deletion of Smad4 with Gdf9icre resulted in a slight, but
significant, reduction in litter size. These mouse models suggest a novel,
although minor, role for Smad4 in the oocyte restricted to the primordial
follicle stage.
PMID- 21900684
TI - Importin alpha2-interacting proteins with nuclear roles during mammalian
spermatogenesis.
AB - Spermatogenesis, the process of generating haploid sperm capable of fertilizing
the female gamete, requires the timely transport into the nucleus of
transcription and chromatin-remodeling factors, mediated by members of the
importin (IMP) superfamily. Previous IMP expression profiling implies a role for
IMPalpha2 in testicular germ cells late in spermatogenesis. To identify
interacting proteins of IMPalpha2 that are potential drivers of germ cell
development, we performed yeast two-hybrid screening of an adult mouse testis
library. IMPalpha2 interactions were verified by coimmunoprecipitation
approaches, whereas immunohistochemical staining of testis sections confirmed
their coexpression with IMPalpha2 in specific testicular cell types. Key
interactors identified were a novel isoform of a cysteine and histidine rich
protein (Chrp), a protein inhibitor of activated STAT (PIAS) family member
involved in transcriptional regulation and sumoylation, Androgen receptor
interacting protein 3 (Arip3), and Homologous protein 2 (Hop2), known to be
involved in homologous chromosome pairing and recombination, all of which are
highly expressed in the testis and show mRNA expression profiles similar to that
of IMPalpha2 throughout testicular development. This is the first study to
identify binding partners of IMPalpha2 in the developmental context of germ line
development, and we propose that the regulated expression and timely IMPalpha2
mediated nuclear transport of these proteins may coordinate events during
spermatogenesis, with IMPalpha2-mediated nuclear localization representing a
potentially critical developmental switch in the testis.
PMID- 21900683
TI - Immunoprotective properties of primary Sertoli cells in mice: potential
functional pathways that confer immune privilege.
AB - Primary Sertoli cells isolated from mouse testes survive when transplanted across
immunological barriers and protect cotransplanted allogeneic and xenogeneic cells
from rejection in rodent models. In contrast, the mouse Sertoli cell line (MSC-1)
lacks immunoprotective properties associated with primary Sertoli cells. In this
study, enriched primary Sertoli cells or MSC-1 cells were transplanted as
allografts into the renal subcapsular area of naive BALB/c mice, and their
survival in graft sites was compared. While Sertoli cells were detected within
the grafts with 100% graft survival throughout the 20-day study, MSC-1 cells were
rejected between 11 and 14 days, with 0% graft survival at 20 days
posttransplantation. Nonetheless, the mechanism for primary Sertoli cell survival
and immunoprotection remains unresolved. To identify immune factors or functional
pathways potentially responsible for immune privilege, gene expression profiles
of enriched primary Sertoli cells were compared with those of MSC-1 cells.
Microarray analysis identified 2369 genes in enriched primary Sertoli cells that
were differentially expressed at +/-4-fold or higher levels than in MSC-1 cells.
Ontological analyses identified multiple immune pathways, which were used to
generate a list of 340 immune-related genes. Three functions were identified in
primary Sertoli cells as potentially important for establishing immune privilege:
suppression of inflammation by specific cytokines and prostanoid molecules,
slowing of leukocyte migration by controlled cell junctions and actin
polymerization, and inhibition of complement activation and membrane-associated
cell lysis. These results increase our understanding of testicular immune
privilege and, in the long-term, could lead to improvements in transplantation
success.
PMID- 21900685
TI - Copper/zinc superoxide dismutase insufficiency impairs progesterone secretion and
fertility in female mice.
AB - Copper/zinc superoxide dismutase (CuZn-SOD, SOD1) is one of the major antioxidant
enzymes, and is localized in the cytoplasm to scavenge superoxide. To investigate
the physiological role of SOD1 in the ovaries, we analyzed the fertility of Sod1
deficient female mice. To evaluate their hormonal metabolism, we measured
pituitary and ovarian hormone levels in the plasma of the mutant mice. Plasma
follicle-stimulating hormone, luteinizing hormone, and estradiol were not altered
in the mutant compared to the wild-type females, while the plasma progesterone
level was significantly reduced in the mutant females. Furthermore, the mutant
mice showed decreased progesterone secretion under the condition of
superovulation. In a histochemical analysis, we observed a remarkable reduction
in the corpus luteum area in the mutant ovaries without atrophic changes. The
mutant mice also displayed enhanced superoxide generation in the region
surrounding the corpora lutea, which was associated with increased apoptotic
cells and suppressed vasculature. These results suggested that SOD1 deficiency
dysregulated luteal formation because of increased superoxide generation in the
ovary. In vitro fertilization experiments showed no abnormal fertilization of
Sod1-deficient oocytes. In addition, when Sod1-deficient embryos were transferred
into the oviducts of wild-type females, mutant embryos developed at a normal
rate, indicating that SOD1 deficiency in embryos did not cause miscarriage in the
uterus of wild-type females. These results indicated that increased intracellular
ROS impaired luteal formation and progesterone production in the mutant females,
thus suggesting that SOD1 plays a crucial role in both the luteal function and
the maintenance of fertility in female mice.
PMID- 21900686
TI - Abnormal reproductive patterns in Przewalski's mares are associated with a loss
in gene diversity.
AB - The ex situ population of the Przewalski's horse (Equus ferus przewalskii) is not
self-sustaining (20% foaling rate), and the demography is skewed toward aging
individuals with low gene diversity. We designed the present study to gain a
better understanding of the reproductive biology of the Przewalski's mare and to
determine whether age and gene diversity influenced reproductive function. Urine
samples were collected 3-7 days/wk from 19 mares from May to September, and
ultrasound examinations of follicular structures were performed 3 days/wk for 5
wk from May through July in nine individuals. A high proportion of mares
exhibited abnormal (endocrine, 5 [26.3%] of 19; follicular, 2 [22.2%] of 9) or
acyclic (endocrine, 4 [21.1%] of 19; follicular, 3 [33.3%] of 9) reproductive
patterns. In four cyclic mares, estrous cycle length was 25.1 +/- 1.2 days, with
12.2 +/- 0.9 days of diestrus. Follicles in cyclic mares grew 1.2 +/- 0.6 mm per
day and ovulated after reaching 40.4 +/- 8.9 mm. Mares with a high coefficient of
inbreeding excreted reduced levels of mean urinary estrogens (r(2) = 0.476, P <
0.05), but age had no significant impact on reproductive patterns in this
population. Overall, these data suggest that long-term genetic management of this
population is necessary to maintain reproductive fitness.
PMID- 21900687
TI - Myostatin promotes the wasting of human myoblast cultures through promoting
ubiquitin-proteasome pathway-mediated loss of sarcomeric proteins.
AB - Myostatin is a negative regulator of skeletal muscle growth and in fact acts as a
potent inducer of "cachectic-like" muscle wasting in mice. The mechanism of
action of myostatin in promoting muscle wasting has been predominantly studied in
murine models. Despite numerous reports linking elevated levels of myostatin to
human skeletal muscle wasting conditions, little is currently known about the
signaling mechanism(s) through which myostatin promotes human skeletal muscle
wasting. Therefore, in this present study we describe in further detail the
mechanisms behind myostatin regulation of human skeletal muscle wasting using an
in vitro human primary myotube atrophy model. Treatment of human myotube
populations with myostatin promoted dramatic myotubular atrophy. Mechanistically,
myostatin-induced myotube atrophy resulted in reduced p-AKT concomitant with the
accumulation of active dephosphorylated Forkhead Box-O (FOXO1) and FOXO3. We
further show that addition of myostatin results in enhanced activation of atrogin
1 and muscle-specific RING finger protein 1 (MURF1) and reduced expression of
both myosin light chain (MYL) and myosin heavy chain (MYH). In addition, we found
that myostatin-induced loss of MYL and MYH proteins is dependent on the activity
of the proteasome and mediated via SMAD3-dependent regulation of FOXO1 and
atrogin-1. Therefore, these data suggest that the mechanism through which
myostatin promotes muscle wasting is very well conserved between species, and
that myostatin-induced human myotube atrophy is mediated through inhibition of
insulin-like growth factor (IGF)/phosphoinositide 3-kinase (PI3-K)/AKT signaling
and enhanced activation of the ubiquitin-proteasome pathway and elevated protein
degradation.
PMID- 21900689
TI - Induction of brain natriuretic peptide and monocyte chemotactic protein-1 gene
expression by oxidized low-density lipoprotein: relevance to ischemic heart
failure.
AB - Brain natriuretic peptide (BNP) and monocyte chemotactic protein-1 (MCP-1) are
biomarkers of heart failure (HF). The aim of the present study was to determine
the role of oxidized low-density lipoprotein (Ox-LDL) in the induction of these
biomarkers and the signaling pathways involved in vitro. Incubation of HL-1
cardiomyocytes and human myocytes with Ox-LDL induced the expression of BNP and
MCP-1 genes, while native LDL had no effect. When peroxides associated with Ox
LDL were reduced to hydroxides, the ability to induce BNP and MCP-1 gene
expression was abolished. Furthermore, exposure of HL-1 cells to ischemic
conditions alone had no effect on BNP gene expression, while ischemia followed by
reperfusion resulted in increased expression of BNP gene. Inhibitors of ERK and
JNK inhibited the induction of BNP. Signaling array results suggested that the
induction of both MAPK and NF-kappaB pathways is involved in the induction of BNP
by Ox-LDL. These results suggest that Ox-LDL or peroxidized lipids formed in
oxidatively stressed myocytes during ischemia-reperfusion injury may play a role
in the induction of BNP and MCP-1.
PMID- 21900688
TI - Role of caveolin-1 in endothelial BKCa channel regulation of vasoreactivity.
AB - A novel vasodilatory influence of endothelial cell (EC) large-conductance Ca(2+)
activated K(+) (BK(Ca)) channels is present following in vivo exposure to chronic
hypoxia (CH) and may exist in other pathological states. However, the mechanism
of channel activation that results in altered vasoreactivity is unknown. We
tested the hypothesis that CH removes an inhibitory effect of the scaffolding
domain of caveolin-1 (Cav-1) on EC BK(Ca) channels to permit activation, thereby
affecting vasoreactivity. Experiments were performed on gracilis resistance
arteries and ECs from control and CH-exposed (380 mmHg barometric pressure for 48
h) rats. EC membrane potential was hyperpolarized in arteries from CH-exposed
rats and arteries treated with the cholesterol-depleting agent methyl-beta
cyclodextrin (MBCD) compared with controls. Hyperpolarization was reversed by the
BK(Ca) channel antagonist iberiotoxin (IBTX) or by a scaffolding domain peptide
of Cav-1 (AP-CAV). Patch-clamp experiments documented an IBTX-sensitive current
in ECs from CH-exposed rats and in MBCD-treated cells that was not present in
controls. This current was enhanced by the BK(Ca) channel activator NS-1619 and
blocked by AP-CAV or cholesterol supplementation. EC BK(Ca) channels displayed
similar unitary conductance but greater Ca(2+) sensitivity than BK(Ca) channels
from vascular smooth muscle. Immunofluorescence imaging demonstrated greater
association of BK(Ca) alpha-subunits with Cav-1 in control arteries than in
arteries from CH-exposed rats, although fluorescence intensity for each protein
did not differ between groups. Finally, AP-CAV restored myogenic and
phenylephrine-induced constriction in arteries from CH-exposed rats without
affecting controls. AP-CAV similarly restored diminished reactivity to
phenylephrine in control arteries pretreated with MBCD. We conclude that CH
unmasks EC BK(Ca) channel activity by removing an inhibitory action of the Cav-1
scaffolding domain that may depend on cellular cholesterol levels.
PMID- 21900691
TI - Stretch-activated calcium channel protein TRPC1 is correlated with the different
degrees of the dystrophic phenotype in mdx mice.
AB - In Duchenne muscular dystrophy (DMD) and in the mdx mouse model of DMD, the lack
of dystrophin is related to enhanced calcium influx and muscle degeneration.
Stretch-activated channels (SACs) might be directly involved in the pathology of
DMD, and transient receptor potential cation channels have been proposed as
likely candidates of SACs. We investigated the levels of transient receptor
potential canonical channel 1 (TRPC1) and the effects of streptomycin, a SAC
blocker, in muscles showing different degrees of the dystrophic phenotype. Mdx
mice (18 days old, n = 16) received daily intraperitoneal injections of
streptomycin (182 mg/kg body wt) for 18 days, followed by removal of the
diaphragm, sternomastoid (STN), biceps brachii, and tibialis anterior muscles.
Control mdx mice (n = 37) were injected with saline. Western blot analysis showed
higher levels of TRPC1 in diaphragm muscle compared with STN and limb muscles.
Streptomycin reduced creatine kinase and prevented exercise-induced increases of
total calcium and Evans blue dye uptake in diaphragm and in STN muscles. It is
suggested that different levels of the stretch-activated calcium channel protein
TRPC1 may contribute to the different degrees of the dystrophic phenotype seen in
mdx mice. Early treatment designed to regulate the activity of these channels may
ameliorate the progression of dystrophy in the most affected muscle, the
diaphragm.
PMID- 21900690
TI - Vascular smooth muscle insulin resistance, but not hypertrophic signaling, is
independent of angiotensin II-induced IRS-1 phosphorylation by JNK.
AB - Angiotensin II (ANG II) has been implicated in the pathogenesis of diabetic micro
and macrovascular disease. In vascular smooth muscle cells (VSMCs), ANG II
phosphorylates and degrades insulin receptor substrate-1 (IRS-1). While the
pathway responsible for IRS-1 degradation in this system is unknown, c-Jun NH(2)
terminal kinase (JNK) has been linked with serine phosphorylation of IRS-1 and
insulin resistance. We investigated the role of JNK in ANG II-induced IRS-1
phosphorylation, degradation, Akt activation, glucose uptake, and hypertrophic
signaling, focusing on three IRS-1 phosphorylation sites: Ser302, Ser307, and
Ser632. Maximal IRS-1 phosphorylation on Ser632 occurred at 5 min, on Ser307 at
30 min, and on Ser302 at 60 min. The JNK inhibitor SP600125 reduced ANG II
induced IRS-1 Ser307 phosphorylation (by 80%), IRS-1 Ser302 phosphorylation (by
70%), and IRS-1 Ser632 phosphorylation (by 50%). However, JNK inhibition had no
effect on ANG II-mediated IRS-1 degradation, nor did it reverse the ANG II
induced decrease in Akt phosphorylation or glucose uptake. Transfection of VSMCs
with mutants S307A, S302A, or S632A of IRS-1 did not block ANG II-mediated IRS-1
degradation. In contrast, JNK inhibition attenuated insulin-induced upregulation
of collagen and smooth muscle alpha-actin in ANG II-pretreated cells. We conclude
that phosphorylation of Ser307, Ser302, and Ser632 of IRS-1 is not involved in
ANG II-mediated IRS-1 degradation, and that JNK alone does not mediate ANG II
stimulated IRS-1 degradation, but rather is responsible for the hypertrophic
effects of insulin on smooth muscle.
PMID- 21900692
TI - Historical perspectives in fat cell biology: the fat cell as a model for the
investigation of hormonal and metabolic pathways.
AB - For many years, there was little interest in the biochemistry or physiology of
adipose tissue. It is now well recognized that adipocytes play an important
dynamic role in metabolic regulation. They are able to sense metabolic states via
their ability to perceive a large number of nervous and hormonal signals. They
are also able to produce hormones, called adipokines, that affect nutrient
intake, metabolism and energy expenditure. The report by Rodbell in 1964 that
intact fat cells can be obtained by collagenase digestion of adipose tissue
revolutionized studies on the hormonal regulation and metabolism of the fat cell.
In the context of the advent of systems biology in the field of cell biology, the
present seems an appropriate time to look back at the global contribution of the
fat cell to cell biology knowledge. This review focuses on the very early
approaches that used the fat cell as a tool to discover and understand various
cellular mechanisms. Attention essentially focuses on the early investigations
revealing the major contribution of mature fat cells and also fat cells
originating from adipose cell lines to the discovery of major events related to
hormone action (hormone receptors and transduction pathways involved in hormonal
signaling) and mechanisms involved in metabolite processing (hexose uptake and
uptake, storage, and efflux of fatty acids). Dormant preadipocytes exist in the
stroma-vascular fraction of the adipose tissue of rodents and humans; cell
culture systems have proven to be valuable models for the study of the processes
involved in the formation of new fat cells. Finally, more recent insights into
adipocyte secretion, a completely new role with major metabolic impact, are also
briefly summarized.
PMID- 21900693
TI - A novel, selective inhibitor of fibroblast growth factor receptors that shows a
potent broad spectrum of antitumor activity in several tumor xenograft models.
AB - The fibroblast growth factor receptors (FGFR) are tyrosine kinases that are
present in many types of endothelial and tumor cells and play an important role
in tumor cell growth, survival, and migration as well as in maintaining tumor
angiogenesis. Overexpression of FGFRs or aberrant regulation of their activities
has been implicated in many forms of human malignancies. Therefore, targeting
FGFRs represents an attractive strategy for development of cancer treatment
options by simultaneously inhibiting tumor cell growth, survival, and migration
as well as tumor angiogenesis. Here, we describe a potent, selective, small
molecule FGFR inhibitor, (R)-(E)-2-(4-(2-(5-(1-(3,5-Dichloropyridin-4-yl)ethoxy)
1H-indazol-3yl)vinyl)-1H-pyrazol-1-yl)ethanol, designated as LY2874455. This
molecule is active against all 4 FGFRs, with a similar potency in biochemical
assays. It exhibits a potent activity against FGF/FGFR-mediated signaling in
several cancer cell lines and shows an excellent broad spectrum of antitumor
activity in several tumor xenograft models representing the major FGF/FGFR
relevant tumor histologies including lung, gastric, and bladder cancers and
multiple myeloma, and with a well-defined pharmacokinetic/pharmacodynamic
relationship. LY2874455 also exhibits a 6- to 9-fold in vitro and in vivo
selectivity on inhibition of FGF- over VEGF-mediated target signaling in mice.
Furthermore, LY2874455 did not show VEGF receptor 2-mediated toxicities such as
hypertension at efficacious doses. Currently, this molecule is being evaluated
for its potential use in the clinic.
PMID- 21900694
TI - Benefits and mechanisms of recovery among peer providers with psychiatric
illnesses.
AB - Providing peer support to individuals with psychiatric disabilities has emerged
as a promising modality of mental health services. These services are delivered
by individuals who experience mental illnesses themselves. The purpose of this
study was to explore how working as a peer provider can enhance personal
recovery. The study was conducted with 31 peer providers employed in a variety of
mental health agencies. Data were collected through face-to-face semistructured
interviews and analyzed using a grounded theory approach. Qualitative analysis
revealed a wide range of recovery benefits for the peer providers. The benefits
span across five wellness domains: foundational, emotional, spiritual, social,
and occupational. In addition, analysis revealed five role-related and five work
environment-related mechanisms of beneficial impact. The role of sharing one's
personal story is highlighted as contributing to positively reauthoring one's
self-narrative. Implications for peer training, job development, and workplace
supports are discussed.
PMID- 21900695
TI - Understanding ethnic and other socio-demographic differences in patient
experience of primary care: evidence from the English General Practice Patient
Survey.
AB - BACKGROUND: Ethnic minorities and some other patient groups consistently report
lower scores on patient surveys, but the reasons for this are unclear. This study
examined whether low scores of ethnic minority and other socio-demographic groups
reflect their concentration in poorly performing primary care practices, and
whether any remaining differences are consistent across practices. METHODS: Using
data from the 2009 English General Practice Patient Survey (2 163 456 respondents
from 8267 general practices) this study examined associations between patient
socio-demographic characteristics and 11 measures of patient-reported experience.
FINDINGS: South Asian and Chinese patients, younger patients, and those in poor
health reported a less positive primary care experience than White patients,
older patients and those in better health. For doctor communication, about half
of the overall difference associated with South Asian patients (ranging from -6
to -9 percentage points) could be explained by their concentration in practices
with low scores, but the other half arose because they reported less positive
experiences than White patients in the same practices. Practices varied
considerably in the direction and extent of ethnic differences. In some practices
ethnic minority patients reported better experience than White patients.
Differences associated with gender, Black ethnicity and deprivation were small
and inconsistent. CONCLUSION: Substantial ethnic differences in patient
experience exist in a national healthcare system providing universal coverage.
Improving the experience of patients in low-scoring practices would not only
improve the quality of care provided to their White patients but it would also
substantially reduce ethnic group differences in patient experience. There were
large variations in the experiences reported by ethnic minority patients in
different practices: practices with high patient experience scores from ethnic
minority patients could be studied as models for quality improvement.
PMID- 21900697
TI - The van Niel International Prize for Studies in Bacterial Systematics, awarded by
The University of Queensland Awarded in 2011 to George M. Garrity.
AB - The Senate of The University of Queensland, on the recommendation of a panel of
experts of the International Committee on Systematics of Prokaryotes, is pleased
to present the van Niel International Prize for Studies in Bacterial Systematics
for the triennium 2009-2011 to Professor George M. Garrity in recognition of his
contribution made to the field of bacterial systematics. The award, established
in 1986 by Professor V. B. D. Skerman of The University of Queensland, honours
the contribution of scholarship in the field of microbiology by Professor
Cornelis Bernardus van Niel.
PMID- 21900698
TI - Importance of past occupational exposures in the rising incidence of idiopathic
pulmonary fibrosis in the U.K.
PMID- 21900699
TI - Selfish genes and reproductive fitness.
PMID- 21900700
TI - Policies for patient access to clinical data via PHRs: current state and
recommendations.
AB - OBJECTIVE: Healthcare delivery organizations are increasingly using online
personal health records (PHRs) to provide patients with direct access to their
clinical information; however, there may be a lack of consistency in the data
made available. We aimed to understand the general use and functionality of PHRs
and the organizational policies and decision-making structures for making data
available to patients. MATERIALS AND METHODS: A cross-sectional survey was
administered by telephone structured interview to 21 organizations to determine
the types of data made available to patients through PHRs and the presence of
explicit governance for PHR data release. Organizations were identified based on
a review of the literature, PHR experts, and snowball sampling. Organizations
that did not provide patients with electronic access to their data via a PHR were
excluded. RESULTS: Interviews were conducted with 17 organizations for a response
rate of 81%. Half of the organizations had explicit governance in the form of a
written policy that outlined the data types made available to patients. Overall,
88% of the organizations used a committee structure for the decision-making
process and included senior management and information services. All
organizations sought input from clinicians. Discussion There was considerable
variability in the types of clinical data and the time frame for releasing these
data to patients. Variability in data release policies may have implications for
PHR use and adoption. CONCLUSIONS: Future policy activities, such as requirement
specification for the latter stages of Meaningful Use, should be leveraged as an
opportunity to encourage standardization of functionality and broad deployment of
PHRs.
PMID- 21900701
TI - Exploiting domain information for Word Sense Disambiguation of medical documents.
AB - OBJECTIVE: Current techniques for knowledge-based Word Sense Disambiguation (WSD)
of ambiguous biomedical terms rely on relations in the Unified Medical Language
System Metathesaurus but do not take into account the domain of the target
documents. The authors' goal is to improve these methods by using information
about the topic of the document in which the ambiguous term appears. DESIGN: The
authors proposed and implemented several methods to extract lists of key terms
associated with Medical Subject Heading terms. These key terms are used to
represent the document topic in a knowledge-based WSD system. They are applied
both alone and in combination with local context. MEASUREMENTS: A standard
measure of accuracy was calculated over the set of target words in the widely
used National Library of Medicine WSD dataset. RESULTS AND DISCUSSION: The
authors report a significant improvement when combining those key terms with
local context, showing that domain information improves the results of a WSD
system based on the Unified Medical Language System Metathesaurus alone. The best
results were obtained using key terms obtained by relevance feedback and weighted
by inverse document frequency.
PMID- 21900703
TI - Intracompartmental pressure testing: results of an international survey of
current clinical practice, highlighting the need for standardised protocols.
AB - Despite more recent non-invasive modalities generating some credence in the
literature, intracompartmental pressure testing is still considered the 'gold
standard' for investigating chronic exertional compartment syndrome (CECS).
Intracompartmental pressure testing, when used correctly, has been shown to be
accurate and reliable. However, it is a user-dependent investigation, and the
manner in which the investigation is conducted plays a large role in the outcome
of the test. Despite this, a standard, reproducible protocol for
intracompartmental pressure testing has not been described. This results in
confusion regarding interpretation of results and reduces the tests' reliability.
A summary of the current understanding of CECS is presented, along with the
results of a survey of specialists in Australia and New Zealand who perform
intracompartmental pressure testing, which confirms that a uniform approach is
currently not used in clinical practice. This highlights the need for a consensus
and standardised approach to intracompartmental pressure testing.
PMID- 21900702
TI - Clinician characteristics and use of novel electronic health record functionality
in primary care.
AB - BACKGROUND: Conventional wisdom holds that older, busier clinicians who see
complex patients are less likely to adopt and use novel electronic health record
(EHR) functionality. METHODS: To compare the characteristics of clinicians who
did and did not use novel EHR functionality, we conducted a retrospective
analysis of the intervention arm of a randomized trial of new EHR-based tobacco
treatment functionality. RESULTS: The novel functionality was used by 103 of 207
(50%) clinicians. Staff physicians were more likely than trainees to use the
functionality (64% vs 37%; p<0.001). Clinicians who graduated more than 10 years
previously were more likely to use the functionality than those who graduated
less than 10 years previously (64% vs 42%; p<0.01). Clinicians with higher
patient volumes were more likely to use the functionality (lowest quartile of
number of patient visits, 25%; 2nd quartile, 38%; 3rd quartile, 65%; highest
quartile, 71%; p<0.001). Clinicians who saw patients with more documented
problems were more likely to use the functionality (lowest tertile of documented
patient problems, 38%; 2nd tertile, 58%; highest tertile, 54%; p=0.04). In
multivariable modeling, independent predictors of use were the number of patient
visits (OR 1.2 per 100 additional patients; 95% CI 1.1 to 1.4) and number of
documented problems (OR 2.9 per average additional problem; 95% CI 1.4 to 6.1).
CONCLUSIONS: Contrary to conventional wisdom, clinically busier physicians seeing
patients with more documented problems were more likely to use novel EHR
functionality.
PMID- 21900704
TI - A-Z of nutritional supplements: dietary supplements, sports nutrition foods and
ergogenic aids for health and performance: part 24.
PMID- 21900705
TI - Coding of heart failure diagnoses in Saskatchewan: a validation study of hospital
discharge abstracts.
AB - BACKGROUND: Validity of Heart Failure (HF) diagnoses from administrative records
has not been extensively evaluated, especially with respect to small / unselected
hospitals. OBJECTIVES: To determine the positive predictive value of a primary /
most responsible diagnosis of HF among a general population of subjects
discharged from Saskatchewan hospitals. METHODS: Using administrative health
records from the Province of Saskatchewan, Canada, we identified subjects
experiencing their first HF hospitalization between 1994 and 2003. From this
cohort, we randomly selected 500 subjects for individual validation using
Framingham and Carlson criteria. RESULTS: The 466 charts available for analysis,
74% (345/466) and 63.9% (298/466) of subjects met criteria for a clinical
diagnosis of HF based on Framingham or Carlson criteria, respectively; 57.5%
(268/466) met both criterion. Provincial hospitals (located in the largest urban
centres) were associated with the highest proportion of confirmed HF diagnoses
(87.8% by Framingham criteria) compared to progressively smaller hospitals
(regional 77.9%; district 64.2%; and community 60.0%). Accuracy also differed
when stratified by physician category. Cardiologists and internists were
associated with the highest rates of confirmed diagnoses [(97.5% (39 / 40) and
85.0% (34 / 40)]) compared to general practitioners [(73.1% (95 / 130)]) and
other physicians [(69.1% (177 / 256)]), by Framingham criteria. CONCLUSIONS:
Hospital discharge abstracts indicating HF are frequently inaccurate. These
findings have important implications for the epidemiologic study of HF as well as
the clinical management of patients.
PMID- 21900706
TI - A need for closer examination of FASD by the criminal justice system: has the
call been answered?
AB - Individuals with FASD exhibit deficits in many domains that can include memory,
learning, behavioural inhibition, executive functioning, interpersonal skills,
and language. These deficits have serious implications for affected persons when
they become engaged in the legal system. In 2004, Moore and Green reviewed case
law and psychological literature which suggested that FASD-related deficits
placed affected individuals at a significant disadvantage in the justice system.
According to them, this disadvantage stemmed from the limited awareness and
knowledge of FASD demonstrated by key players in the justice system, as well as
the scarcity of effective interventions in place to rehabilitate affected
defendants. The aim of the current paper is to assess the extent to which
awareness of FASD-related issues in the Canadian justice system has advanced
since the publication of Moore and Green's conclusions. First, the deficits
associated with FASD and their implications for the justice system are described.
Next, recent case law and psychological evidence are reviewed as we consider
issues of witness reliability and false confessions. The significance of FASD for
sentencing, fitness to stand trial, and the Not Criminally Responsible by Reason
of Mental Disorder defence are also briefly discussed. Finally, emerging system
wide responses to FASD-related issues are presented. Overall, it appears that the
call for closer examination of FASD by the justice system has been answered, but
a need for increased education and awareness remains.
PMID- 21900707
TI - A differential approach for examining the behavioural phenotype of fetal alcohol
spectrum disorders.
AB - BACKGROUND: In 2006, Nash and colleagues published results suggesting that
individual items from the Child Behavior Checklist (CBCL) could be used as a
screening tool that was highly sensitive in differentiating children with FASD
from controls and children with Attention Deficit Hyperactivity Disorder (ADHD).
Since many of the items referred to features of Oppositional Defiant/Conduct
Disorder (ODD/CD), it was not clear whether the items reflected comorbidity with
ODD/CD, or were unique to children with FASD. OBJECTIVES: The present study
sought to replicate the results of our 2006 paper using a new and larger sample,
which also includes a group of children diagnosed with ODD/CD. METHODS:
Retrospective psychological chart review was conducted on 56 children with FASD,
50 with ADHD, 60 with ODD/CD, and 50 normal control (NC) children. Receiver
operating characteristic curve (ROC) analysis of CBCL items discriminating FASD
from NC was used to compare FASD to the ADHD and ODD/CD groups. RESULTS: ROC
analyses showed scores of a) 3 or higher on 10 items differentiated FASD from NC
with a sensitivity of 98%, specificity of 42% and b) 2 or higher on 5 items
reflecting oppositional behaviors differentiated FASD from ADHD with a
sensitivity of 89% and specificity of 42%. CONCLUSION: Our findings partially
replicate the results of our 2006 study and additionally elucidate the
behavioural differences between children with FASD and those with ODD/CD. The
proposed screening tool is currently the only tool available that is empirically
derived and able to differentiate children with FASD from children with
clinically similar profiles.
PMID- 21900708
TI - A generic organ based ontology system, applied to vertebrate heart anatomy,
development and physiology.
AB - We present a novel approach to modelling biological information using ontologies.
The system interlinks three ontologies, comprising anatomical, developmental and
taxonomical information, and includes instances of structures for different
species. The framework is constructed for comparative analyses in the field of
evolutionary development. We have applied the approach to the vertebrate heart
and present four case studies of the functionality of the system, focusing on
cross-species comparisons, developmental studies, physiological studies and 3D
visualisation.
PMID- 21900709
TI - Protein analysis tools and services at IBIVU.
AB - During the last years several new tools applicable to protein analysis have made
available on the IBIVU web site. Recently, a number of tools, ranging from
multiple sequence alignment construction to domain prediction, have been updated
and/or extended with services for programmatic access using SOAP. We provide an
overview of these tools and their application.
PMID- 21900710
TI - Does antiviral therapy prevent hepatocellular carcinoma?
AB - Chronic infection with HBV or HCV can lead to the development of hepatocellular
carcinoma (HCC). The major risk factors for HBV-related HCC are persistent
presence of hepatitis B e antigen (HBeAg) and/or high serum HBV DNA levels, and
cirrhosis. The major risk factor for HCV-related HCC is cirrhosis. One randomized
double blind controlled trial of lamivudine in patients with HBeAg and/or high
serum HBV DNA levels showed that antiviral therapy prevented disease progression
and reduced the incidence of HCC. A beneficial effect of antiviral therapy on the
risk of HCC has also been shown in cohort studies and meta-analyses, particularly
among responders. Several randomized controlled trials of interferon in patients
with HCV-related cirrhosis showed that treated patients had a lower incidence of
HCC. A greater effect was observed in patients who achieved sustained virological
response, while the benefit in non-responders is unclear. Antiviral therapies for
hepatitis B and hepatitis C can prevent but not completely eliminate HCC.
Improvement in identification of infected persons, accessibility of care and
affordability of treatment is needed for antiviral therapy to have a major impact
on the global incidence of HCC.
PMID- 21900711
TI - Effect of raltegravir on the total and unintegrated proviral HIV DNA during
raltegravir-based HAART.
AB - BACKGROUND: Raltegravir is the first approved antiretroviral able to prevent HIV
genome integration into the host chromosomes. The aim of the study is to test if
raltegravir plasma concentrations can be associated with proviral DNA decline
during raltegravir-based salvage therapy. METHODS: A total of 33 multidrug
resistant HIV-infected patients were enrolled in a longitudinal open-label pilot
study and completed a 24-week follow-up. The CD4(+) T-cell count, plasma viral
load, proviral HIV DNA and two-long-terminal repeat (2-LTR) circular forms were
assessed at baseline, day 14, 30, 60, 90 and 180. The raltegravir trough
concentration (C (trough)) was measured by HPLC-ultraviolet and patients were
divided into two groups according to the median raltegravir C (trough). RESULTS:
The mean+/-SD values of baseline HIV RNA, CD4(+) T-cell count and HIV DNA were
4.4+/-0.82 log copies/ml, 256+/-177 cells/mm(3) , and 2,668+/-4,721 copies/10(6)
peripheral blood mononuclear cells, respectively. Despite a transient increase of
total DNA at week 2, a marked proviral DNA decay (P=0.01) with an increase of the
2-LTR unintegrated/total DNA ratio (P=0.06) over time was observed. At univariate
analysis, no correlation between raltegravir C(trough) and classical virological
parameters was observed. Nevertheless, the decay of proviral HIV DNA was more
pronounced in patients displaying C(trough)<158 ng/ml with respect to those with
C(trough)>158 ng/ml (P=0.046). CONCLUSIONS: Successful raltegravir-based therapy
produces a significant decline in proviral DNA and is associated with an increase
of the unintegrated/total DNA ratio. Further studies are necessary to define the
possible role of pharmacokinetic raltegravir monitoring and the biological
meaning of unintegrated proviral DNA.
PMID- 21900713
TI - Considerable under-treatment of chronic HCV infection in HIV patients despite
acceptable sustained virological response rates in a real-life setting.
AB - BACKGROUND: According to guidelines, treatment of HCV infection should be
considered a priority in HIV-HCV-coinfected patients. METHODS: This multicentre
study includes HIV-HCV-coinfected patients diagnosed since 2001 in 14
participating centres in Austria and Germany. Demographic and virological data
were recorded. Factors associated with non-initiation of HCV treatment were
identified. RESULTS: Among 9,524 HIV patients screened, 1,033 HIV-HCV-coinfected
patients were identified (male/female: 760/273; age: 43+/-9 years; weight: 71+/
12 kg; CD4(+) T-cell nadir: 255+/-189 cells/MUl; HCV RNA: 3.79*10(6) IU/ml; HIV
RNA: 65*10(3) copies/ml). HCV genotype (GT) was predominantly GT-1 (62%). A total
of 416 (40%) patients received HCV treatment, whereas 617 (60%) patients remained
untreated. The main reasons for deferral of HCV treatment were patient refusal
(20%), adherence/compliance (18%), active intravenous drug abuse (14%) and
advanced immunodeficiency/AIDS (9%). Patients starting HCV treatment had
significantly lower fibrosis stage (F2 versus F4; P<0.0001), higher CD4(+) T-cell
count (530 cells/MUl versus 430 cells/MUl; P<0.0001), lower HIV RNA levels
(18*10(3) copies/ml versus 47*10(3) copies/ml; P=0.0008) and higher alanine
aminotransferase (ALT; 113 IU/ml versus 75 IU/ml; P<0.0001) than patients without
initiation of HCV treatment. Age, HCV GTs, HCV RNA, haemoglobin levels, platelet
count and white blood cell count were similar in patients receiving and in
patients not receiving antiviral therapy. Multivariate analysis identified ALT
levels (P<0.0001) and CD4(+) T-cell count (P<0.0001) as independent predictors of
treatment uptake. The overall sustained virological response (SVR) was 41%
(155/416), with GT-1 and non-GT1 patients achieving SVR rates of 29% and 48%,
respectively. CONCLUSIONS: This large cohort study provides evidence for
considerable under-treatment of chronic HCV infection in HIV patients. Despite
acceptable treatment success in this real-life setting, HCV remains untreated in
the majority of patients and often owing to potentially modifiable reasons.
PMID- 21900714
TI - Liver interleukin-8 messenger RNA expression and interferon sensitivity
determining region mutations relate to treatment response in hepatitis C 1b.
AB - BACKGROUND: In vitro study has shown that mechanisms for inhibiting interferon
(IFN)-alpha antiviral action by non-structural 5A protein include interaction
with IFN-induced RNA-dependent protein kinase and induction of interleukin (IL)-8
expression. Mutations in the non-structural 5A IFN sensitivity-determining region
(ISDR) were reported to correlate with sustained virological response (SVR). IL-8
is associated with the inhibition of IFN-alpha action. We investigated whether
pretreatment ISDR mutations and hepatic IL-8 messenger RNA (mRNA) expression had
an effect on the SVR rate under combination therapy. METHODS: A total of 53 HCV
1b patients who completed 24 weeks of pegylated-IFN-alpha2b plus ribavirin, a 24
week follow-up and had enough tissue specimens were enrolled. Liver biopsy was
performed within 6 months before antiviral therapy. Hepatic IL-8 mRNA expression
was measured by real-time reverse transcriptase PCR. RESULTS: Of 53 patients, 30
exhibited SVR. Multivariate analysis revealed that hepatic IL-8 mRNA expression
<1.5*10(-4) (OR 6.66, 95% CI 1.77-25.05) and ISDR mutations >=4 (OR 12.20, 95% CI
1.23-125.00) were independent predictors of SVR. Fibrosis scores and alanine
aminotransferase levels were predictive of hepatic IL-8 mRNA expression by
multiple linear regression analysis (r(2)=0.204). CONCLUSIONS: SVR to combination
therapy in hepatitis C 1b patients was associated with down-regulated hepatic IL
8 mRNA expression and ISDR mutations. Fibrosis scores and alanine
aminotransferase levels were predictive of hepatic IL-8 mRNA expression.
PMID- 21900712
TI - Outcomes in the first year after initiation of first-line HAART among
heterosexual men and women in the UK CHIC Study.
AB - BACKGROUND: We analysed the influence of gender on use and outcomes of first-line
HAART in a UK cohort. METHODS: Analyses included heterosexuals starting HAART
from 1998-2007 with pre-treatment CD4(+) T-cell count<350 cells/mm(3) and viral
load (VL)>500 copies/ml. Virological suppression (<50 copies/ml), virological
rebound (>500 copies/ml), CD4(+) T-cell counts at 6 and 12 months, clinical
events and treatment discontinuation/switch in the first year of HAART were
compared using linear, logistic and Cox regression. RESULTS: Compared with women
(n=2,179), men (n=1,487) were older and had lower CD4(+) T-cell count and higher
VL at start of HAART. Median follow-up was 3.8 years (IQR 2.0-6.2). At 6 and 12
months, 72.7% and 75.3% had VL<=50 copies/ml, with no large differences between
genders at either time after adjustment for confounders (6 months, OR 0.92 [95%
CI 0.76-1.13]; 12 months, OR 1.06 [95% CI 0.85-1.31]). Overall, 79.4% patients
achieved virological suppression and 19.2% experienced virological rebound,
without gender differences, although men had an increased risk of rebound after
excluding pregnant women (adjusted relative hazard [RH] 1.33 [95% CI 1.04-1.71]).
Mean CD4(+) T-cell count increases at 6 and 12 months were, respectively, 112 and
156 cells/mm(3) overall, with mean differences between men and women of -14.6
cells/mm(3) (95% CI -24.6--4.5) and -12.1 cells/mm(3) (95% CI -24.4-0.2) at 6 and
12 months, respectively. Clinical progression was similar in men and women, but
men were less likely to experience treatment discontinuation/switch (adjusted RH
0.72 [95% CI 0.63-0.83]). CONCLUSIONS: Despite higher discontinuation rates among
women, men had an increased risk of virological rebound and slightly poorer
CD4(+) T-cell count responses. Identifying the reasons underlying treatment
discontinuation/switch may help optimize treatment strategies for both genders.
PMID- 21900715
TI - Effect of an induction period of pegylated interferon-alpha2a and ribavirin on
early virological response in HIV-HCV-coinfected patients: results from the CORAL
2 study.
AB - BACKGROUND: It is uncertain whether a 4-week induction period of pegylated
interferon and ribavirin increases early virological response (EVR) in HIV-HCV
coinfected patients. METHODS: HIV and HCV genotype 1- and 4-coinfected subjects
were randomized to receive pegylated interferon-alpha2a 270 MUg/week plus
ribavirin 1,600 mg daily and epoetin-beta for 4 weeks, followed by pegylated
interferon-alpha2a at standard dosages plus weight-based ribavirin (WBR) dosage
for 8 weeks (induction arm [IA]), or pegylated interferon-alpha2a plus WBR for 12
weeks (standard therapy arm [SA]). HCV RNA was determined at weeks 0, 1, 2, 3, 4,
8 and 12. Ribavirin plasma trough concentrations were determined at weeks 4 (RBV
C(4)) and 12 (RBV-C(12)). RESULTS: A total of 67 patients were included; 33 in
the SA and 34 in the IA. Overall, 25% received nucleoside reverse transcriptase
inhibitor (NRTI)-sparing regimens. More patients achieved an HCV RNA decrease >=1
log(10) at week 4 in the IA than in the SA (62% versus 38%; P=0.017), but EVR
rates were similar in the two groups (74% versus 59% in the IA and SA,
respectively; P=0.15). Independent predictors of faster HCV RNA decrease at 12
weeks were higher RBV-C(4) and younger age. RBV-C(4) were higher in patients
allocated in the IA and in those receiving NRTIs (P=0.039). CONCLUSIONS: A 4-week
induction with pegylated interferon-alpha2a plus ribavirin was associated with a
greater decrease in HCV RNA at week 4; however, this did not translate into
higher EVR rates. Higher RBV doses and avoidance of NRTI-sparing antiretroviral
regimens might improve HCV treatment efficacy.
PMID- 21900716
TI - Residual HIV-1 RNA and HIV-1 DNA production in the genital tract reservoir of
women treated with HAART: the prospective ANRS EP24 GYNODYN study.
AB - BACKGROUND: The female genital tract constitutes a reservoir for HIV providing
active production of both cell-free HIV RNA and cell-associated DNA within the
cervicovaginal secretions. The objective of this study was to prospectively
assess residual HIV-1 RNA and HIV-1 DNA production in the genital tract reservoir
of women initiating HAART over an 18-month period. METHODS: Paired blood and
cervicovaginal lavage samples were collected at inclusion and 1, 6, 12 and 18
months after HAART initiation, in 23 women in first-line HAART and six women in
virological failure, for measurement of HIV-1 RNA and HIV-1 DNA shedding and/or
drug concentrations. RESULTS: A dramatic decrease of HIV-1 RNA and HIV-1 DNA
occurred in both blood and cervicovaginal samples over the first 6 months on
HAART, followed by a shelf up to 18 months, independently of the drugs' genital
pharmacokinetics. While cervicovaginal HIV-1 RNA became undetectable in >90% of
women from 6 months on HAART, genital HIV-1 DNA remained frequently detectable
(27-50%). Nearly 40% of women with sustained undetectable plasma HIV-1 RNA after
6-18 months on HAART harboured transient HIV-1 RNA (15% of women) or HIV-1 DNA
(31% of women) in their genital secretions. CONCLUSIONS: Low-level cervicovaginal
HIV-1 shedding is frequently evidenced in HAART-treated women with transient HIV
1 RNA and persistent HIV-1 DNA despite a systemic control of viral replication,
resulting in possible residual genital infectivity.
PMID- 21900718
TI - Long-term remission of recurrent herpes labialis following topical imiquimod
application on distant healthy skin: a clinical and immunological study.
AB - BACKGROUND: Given the limitations of current antiviral therapies, safer and more
effective approaches to the management of recurrent herpes labialis (RHL) are
needed. METHODS: A patient with a 23-year history of RHL and 14 healthy
individuals were studied. The patient applied imiquimod to distant healthy skin
for 3 weeks. Peripheral blood (PB) samples were collected from the patient during
treatment and 21 months after its discontinuation; samples were collected from
the controls once. The distribution of lymphocyte populations in PB were analysed
by flow cytometry and PB cytokine levels were measured using cytometric bead
arrays. RESULTS: The patient showed long-term remission of the disorder
subsequent to a 3-week imiquimod application to distant healthy skin. Imiquimod
treatment induced the activation and proliferation of T-helper and cytotoxic T
cells, B-cells and T-regulatory cells. In addition, there was a very strong
transient increase of T-helper 1 cells (resulting in interferon-gamma secretion)
and type 1 (pro-inflammatory) polarization of the immune response accompanied by
a sustainable interferon-alpha production. At follow-up 21 months after treatment
cessation, with the patient remaining relapse-free, the patient had control
levels of all cytokines, increased levels of activated cytotoxic T-cells,
continuous production of new T-helper cells and B-cells and near-to-normal levels
of T-regulatory cells. CONCLUSIONS: Our results indicate that topical application
of imiquimod to healthy skin is capable of causing systemic immunomodulation.
This treatment might represent a new and effective alternative to established
therapeutic and prophylactic regimens for RHL.
PMID- 21900717
TI - Early outcomes and the virological effect of delayed treatment switching to
second-line therapy in an antiretroviral roll-out programme in South Africa.
AB - BACKGROUND: More patients in resource-limited settings are starting second-line
antiretroviral treatment (ART) following first-line ART failure. We aimed to
describe predictors of lack of virological suppression in HIV-infected patients
on second-line ART in a roll-out programme in South Africa. METHODS: A
retrospective analysis was performed on an adult HIV treatment cohort who started
second-line ART (lopinavir/ritonavir, didanosine and zidovudine) after
virological failure of first-line ART (two consecutive HIV RNA>1,000 copies/ml).
Predictors of week 24 lack of suppression (HIV RNA>400 copies/ml) on second-line
ART were determined by bivariate analysis where missing equals failure. A
multivariable model that adjusted for gender, age and time to ART switch was
used. We tested these findings in sensitivity analyses defining lack of
suppression at week 24 as HIV RNA>1,000 and >5,000 copies/ml. RESULTS: Of 6,339
patients on ART, 202 started second-line ART. At week 24, an estimated 41% (95%
CI 34-47) did not achieve virological suppression. Female sex (adjusted OR 2.25,
95% CI 1.03-4.88) and time to ART switch (adjusted OR 1.07, 95% CI 1.01-1.14 for
each additional month) increased the risk of lack of virological suppression.
Age, CD4(+) T-cell count and HIV RNA at second-line ART initiation did not
predict this outcome. In multivariate models, these findings were insensitive to
the definition of lack of virological suppression. CONCLUSIONS: A substantial
number of HIV-infected patients do not achieve virological suppression by week 24
of second-line ART. Women and patients with delayed start of second-line ART
after first-line ART failure were at an increased risk of lack of virological
suppression.
PMID- 21900719
TI - Next-generation sequencing of dried blood spot specimens: a novel approach to HIV
drug-resistance surveillance.
AB - BACKGROUND: HIV drug-resistance (DR) surveillance in resource-limited settings
can be performed using dried blood spots (DBS) because of ease of collection,
transportation and storage. Analysis of pooled specimens on next-generation
sequencing (NGS)-based platforms, such as the 454 pyrosequencing, is an efficient
sequencing method for determining HIV DR rates. In this study, we conducted HIV
DR surveillance on DBS using NGS and identified minority variants in individual
patients. METHODS: A total of 48 extracts of DBS from an HIV DR surveillance
study in Mexico City were re-amplified using primers tagged with multiplex
identifiers, pooled and pyrosequenced. Consensus sequences were generated for
each specimen with mixtures identified at positions where >20% of the reads
contained a variant. Individual consensus sequences were then analysed for DR
mutations and compared with those derived from Sanger sequencing. RESULTS: DBS
analysed with tagged pooled pyrosequencing (TPP) were highly concordant with
Sanger sequencing genotypes from matching plasma and DBS (99.21% and 99.51%,
respectively). An exception was an M184I mutation only detected with TPP of DBS
at a frequency of 20.4%. Multiple specimens had minority variant reads below the
20% mixture threshold. CONCLUSIONS: TPP using DBS is an effective method for HIV
DR surveillance. TPP for genotyping results in cost savings of 40% over
conventional in-house methods. The effect of low-abundance DR mutations,
undetectable by conventional methods, remains to be determined. This technology
might be applied to any HIV specimen (plasma/serum) and can also be used for
other diagnostic assays where DNA sequencing is required.
PMID- 21900720
TI - Ribavirin priming improves the virological response to antiviral treatment in
transplanted patients with recurrent hepatitis C: a pilot study.
AB - INTRODUCTION: Patients with hepatitis C recurrence after liver transplantation
represent a clinical challenge. Antiviral treatment in transplant patients has
usually poor tolerability and limited efficacy, with a mean sustained virological
response (SVR) of 30%. Our pilot study was aimed at evaluating whether 8-week
ribavirin pre-treatment could increase either adherence or antiviral effect of a
48-week combination therapy. METHODS: Ribavirin pre-treatment (8 weeks) was
started with 600 mg daily and increased to 10.4 mg/kg/day. After pre-treatment,
1.5 MUg/kg/week pegylated interferon-alpha2b was added for 48 additional weeks of
combination therapy. Blood count, liver function tests and plasma HCV-RNA were
examined monthly. Ribavirin plasma concentrations were determined by HPLC.
RESULTS: Thirteen patients (mean age 53+/-2 years, 11 males) were treated: eight
were HCV genotype 1/4; five were genotype 2/3. The median baseline HCV RNA level
was 6.5 log(10) (range 5.84-7.42 log(10)). During ribavirin pre-treatment the
median HCV RNA levels decreased significantly (5.7 log(10) ; P=0.023). During
combination therapy 6/13 (46%) patients exhibited a rapid virological response
(RVR) and 10/13 (77%) patients a complete early virological response, two were
non-responders. A decline of 0.5 log(10) HCV RNA during pre-treatment predicted
RVR. SVR occurred in six patients (46%): four were genotype 2/3. Stable ribavirin
dose reduction was required in only two patients (15%) in whom transient
interferon reduction was also required. CONCLUSION: This proof-of-concept study
indicates that ribavirin pre-treatment increased the tolerability of the
antiviral treatment, and improved its efficacy in liver transplant patients.
Moreover, the degree of HCV RNA decline during pre-treatment allowed one to
predict on-treatment response.
PMID- 21900721
TI - Abacavir coadministration does not interfere with the suppressive activity of
ribavirin in an HCV replicon system.
AB - BACKGROUND: HCV is a major cause of morbidity and mortality in HIV-coinfected
patients. Several observational studies have suggested that HCV response to
pegylated interferon and ribavirin is lower in HIV-coinfected patients treated
with abacavir. It has been postulated that abacavir could compete with ribavirin
to be phosphorylated, leading to a reduction in the active form of the drug
(triphosphorylated ribavirin). Here, we studied the effect of abacavir, tenofovir
or lamivudine addition on the suppressive activity of ribavirin in an HCV RNA
replicon system. METHODS: We used the human hepatoma HuH-7 cell clone 9B
containing the HCV genotype 1b replicon I389/NS3-3'. Cells were treated for 24 h
with ribavirin (0, 10 and 50 MUM) plus abacavir, tenofovir or lamivudine at doses
of 0, 10 and 50 MUM and HCV RNA production was quantified by real-time PCR in
triplicate assays. Results were expressed as mean+/-SD of the HCV RNA produced
per cell (log(10) IU/cell). Means were compared using the Student's t-test.
RESULTS: Ribavirin treatment produced a dose-dependent suppression of HCV RNA
production by the replicon system. Combination of ribavirin and interferon
resulted in an additive antiviral activity. The addition of abacavir did not
modify the suppressive activity of ribavirin on the replicon HCV RNA expression.
Similar results were obtained when ribavirin was used in combination with
tenofovir or lamivudine. CONCLUSIONS: In a subgenomic HCV RNA replicon system,
the antiviral effect of ribavirin was not modified by the addition of abacavir,
tenofovir or lamivudine.
PMID- 21900723
TI - In vitro inhibition of feline leukaemia virus infection by synthetic peptides
derived from the transmembrane domain.
AB - BACKGROUND: The feline leukaemia virus (FeLV) is a gammaretrovirus commonly
affecting cats. Infection with this virus often leads to fatal outcomes and, so
far, no cure is available for this disease. Synthetic peptides with structures
mimicking the transmembrane protein of the viral surface proteins hold the
potential to effectively interfere with viral entry by hampering the fusion of
viral and host cell membranes and constitute a novel approach for the treatment
of infections with retroviruses. We identified and synthetically produced 11 FeLV
peptides and evaluated their potential to block FeLV infection in vitro. METHODS:
Cell cultures were exposed to FeLV subgroup A prior to the addition of the
peptides. The inhibitory effect of the peptides was assessed by measuring FeLV
gag protein in the supernatant of peptide versus mock-treated cell cultures using
an ELISA. RESULTS: A peptide (EPK364) of 37 amino acids in length, with sequence
homology to the HIV fusion inhibitor T-20, significantly suppressed viral
replication by 88%, whereas no effects were found for shorter peptides. Two
structurally modified variants of EPK364 also inhibited viral replication by up
to 58% (EPK397) and 27% (EPK398). CONCLUSIONS: Our data support the
identification of synthetic FeLV peptides that have the potential for a curative
short-term therapy of viraemic cats. In addition, these peptides might become an
important tool in xenotransplantation, where endogenous gammaretroviruses of the
donor species might be able to infect the host.
PMID- 21900724
TI - Hepatitis B surface antigen (HBsAg) decrease and serum interferon-inducible
protein-10 levels as predictive markers for HBsAg loss during treatment with
nucleoside/nucleotide analogues.
AB - BACKGROUND: Hepatitis B surface antigen (HBsAg) loss is the ultimate goal of
antiviral therapy and its prediction may be important for treatment
individualization. Quantitative HBsAg (qHBsAg) has been shown to predict response
to interferon-alpha, but few studies have analysed qHBsAg during treatment with
nucleoside/nucleotide analogues (NAs). Serum interferon-inducible protein-10 (IP
10) has been associated with treatment response in hepatitis C, but data in
chronic hepatitis B are lacking. Here, we aimed to investigate potential factors
predictive for HBsAg loss. METHODS: HBsAg was quantified at multiple time points
in 126 patients with chronic HBV infection; 95 received NA treatment for 6-107
months. At an early time point (first 6 months of therapy) and late time point
after virological response (VR; HBV DNA<100 IU/ml), we distinguished three
patterns of HBsAg decrease: strong decrease (>0.5 log(10)), moderate decrease
(10% to 0.5 log(10)) and no decrease (<10%). In addition to conventional
biochemical and virological parameters, we analysed serum IP-10 levels in 55
patients. RESULTS: Early and late HBsAg kinetics did not correlate. Overall, 42%
of patients with a strong HBsAg decrease 2 years after VR cleared HBsAg.
Importantly, no patient without a late HBsAg decrease >0.5 log(10) cleared HBsAg.
By contrast, early HBsAg decrease after 6 months of NA therapy was not associated
with HBsAg loss. Baseline serum IP-10 levels were associated with late but not
early HBsAg kinetics and were highest in patients with HBsAg loss. CONCLUSIONS:
Monitoring qHBsAg after successful HBV DNA suppression might be useful to
identify patients who clear HBsAg, implicating finite NA treatment. The role of
IP-10 as predictive marker for HBsAg loss should be further evaluated.
PMID- 21900725
TI - Prevalence of low-level HIV-1 variants with reverse transcriptase mutation K65R
and the effect of antiretroviral drug exposure on variant levels.
AB - BACKGROUND: It has been reported that treatment-naive individuals infected with
HIV-1 subtype C may be more likely to harbour viral variants possessing a K65R
reverse transcriptase gene mutation. The objectives of this study were to
determine the prevalence of low-level K65R variants within different HIV-1
subtypes and to assess the effects of antiretroviral exposure on K65R variant
levels. METHODS: Treatment-naive individuals infected with different HIV-1
subtypes were genotyped by ultra-deep sequencing. Samples were evaluated for low
level variants to 0.4% or 1% levels depending upon viral load. Estimated
mutational load was calculated by multiplying the percentage of the variant by
the plasma viral load. RESULTS: A total of 411 treatment-naive individuals were
evaluated by ultra-deep sequencing to 1% levels; 4 subjects (0.97%) had K65R
variants at >=1% or had a very high mutation load. All four subjects had variants
with linked drug resistance mutations suggesting transmitted resistant variants.
147 ARV-naive subjects were sequenced to 0.4% levels; 8.8% (13/147) had K65R low
level variants identified: 2.2% (2/92) in subtype B, 35.7% (10/28) in subtype C
(P<0.001 for B versus C) and 3.7% (1/27) in non-B/C subtypes. The 13 ARV-naive
subjects with K65R variants at <1% received tenofovir plus emtricitabine plus a
ritonavir-boosted protease inhibitor (TDF+FTC+PI/r) and 5 subsequently
experienced virological failure. There was no enhancement in K65R levels by
percentage or mutational load compared to pre-therapy levels. CONCLUSIONS: Low
level K65R variants were more frequently identified in subtype C. K65R variants
at >1% levels likely represent transmitted resistant variants. The clinical
implication of low-level K65R variants below 1% in treatment-naive subjects who
receive TDF+FTC+PI/r remains to be determined as the majority are very low-level
and did not increase after antiretroviral exposure.
PMID- 21900722
TI - Insufficient antiretroviral therapy in pregnancy: missed opportunities for
prevention of mother-to-child transmission of HIV in Europe.
AB - BACKGROUND: Although mother-to-child transmission (MTCT) rates are at an all-time
low in Western Europe, potentially preventable transmissions continue to occur.
Duration of antenatal combination antiretroviral therapy (ART) is strongly
associated with MTCT risk. METHODS: Data on pregnant HIV-infected women enrolled
in the Western and Central European sites of the European Collaborative Study
between January 2000 and July 2009 were analysed. The proportion of women
receiving no antenatal ART or 1-13 days of treatment was investigated, and
associated factors explored using logistic regression models. RESULTS: Of 2,148
women, 142 (7%) received no antenatal ART, decreasing from 8% in 2000-2003 to 5%
in 2004-2009 (chi(2)=8.73; P<0.01). A further 41 (2%) received 1-13 days of ART.
One-third (64/171) of women with 'insufficient' (0 or 1-13 days) antenatal ART
had a late HIV diagnosis (in the third trimester or intrapartum), but half
(85/171) were diagnosed before conception. Pre-term delivery <34 weeks was
associated with receipt of no and 1-13 days antenatal ART (adjusted odds ratios
[ORs] 2.9 [P<0.01] and 4.5 [P<0.01], respectively). History of injecting drug use
was associated with an increased risk of no ART (adjusted OR 2.9; P<0.01) and
severe symptomatic HIV disease with a decreased risk (adjusted OR 0.2; P<0.01).
MTCT rates were 1.1% (15/1,318) among women with >=14 days antenatal ART and 7.4%
(10/136) among those with insufficient ART. CONCLUSIONS: Over the last 10 years,
around one in 11 women in this study received insufficient antenatal ART,
accounting for 40% of MTCTs. One-half of these women were diagnosed before
conception, suggesting disengagement from care.
PMID- 21900726
TI - Selection of an M184V mutation in the cerebrospinal fluid of a treatment-naive
HIV-infected individual starting darunavir-based therapy.
AB - Here, we describe a newly diagnosed HIV-1-infected patient, in whom shortly after
the initiation of a darunavir-based regimen, the HIV-1 virus exclusively mutated
in the cerebrospinal fluid (CSF), leading to an increase in CSF HIV-1 RNA load
and neurological complaints.
PMID- 21900727
TI - Long-lasting persistence of integrase resistance mutations in HIV-2-infected
patients after raltegravir withdrawal.
AB - BACKGROUND: Little is known in HIV-2 infection about the kinetics of
disappearance of raltegravir (RAL)-resistant virus after RAL withdrawal. METHODS:
RAL was interrupted in four highly antiretroviral-experienced HIV-2-infected
patients exhibiting a virological failure when receiving RAL. Integrase gene was
sequenced from plasma samples collected at the time of RAL failure and at further
time points following RAL withdrawal. RESULTS: At the time of RAL withdrawal,
virus exhibited different integrase resistance pathways: G140S/Q148R, E92Q/N155H,
T97A/N155H and T97A/Y143C. In patient 1, the G140S/Q148R double-mutant was still
detected at month (M)7 and at M11 after stopping RAL, but was no longer detected
at M15. Regarding patient 2, the double-mutant E92Q/N155H was still present at M2
and M8 after stopping RAL, and was no longer detected at M12. In patient 3, RAL
resistant virus with T97A/N155H mutations were still present 1 month after
stopping RAL, and were no longer detected at M14. Regarding patient 4, the mutant
T97A/Y143C was still detected at M1 and M3 following RAL withdrawal. At M18 after
RAL stop, integrase genotypic pattern evolved to T97A/Y143G. CONCLUSIONS:
Persistence of HIV-2 RAL-resistant mutants was observed in all the key genetic
RAL resistance pathways. These findings have clinical implications especially in
HIV-2-infected patients for whom therapeutic arsenal is limited compared to HIV
1, since the persistence of resistant mutants might compromise the possible
efficacy of upcoming second-generation integrase inhibitors.
PMID- 21900728
TI - The value of screening HIV-infected individuals for didanosine-related liver
disease?
PMID- 21900729
TI - Barriers to overcome for transition of breath tests from research to routine
clinical practice.
PMID- 21900730
TI - Three-dimensional inkjet biofabrication based on designed images.
AB - Tissue engineering has been developed with the ultimate aim of manufacturing
human organs, but success has been limited to only thin tissues and tissues with
no significant structures. In order to construct more complicated tissues, we
have developed a three-dimensional (3D) fabrication technology in which 3D
structures are directly built up by layer-by-layer printing with living cells and
several tissue components. We developed a custom-made inkjet printer specially
designed for this purpose. Recently, this printer was improved, and the on-demand
printing mode was developed and installed to fabricate further complicated
structures. As a result of this version, 3D layer-by-layer printing based on
complicated image data has become possible, and several 2D and 3D structures with
more complexity than before were successfully fabricated. The effectiveness of
the on-demand printing mode in the fabrication of complicated 3D tissue
structures was confirmed. As complicated 3D structures are essential for
biofunctional tissues, inkjet 3D biofabrication has great potential for
engineering complicated bio-functional tissues.
PMID- 21900731
TI - CAD/CAM-assisted breast reconstruction.
AB - The application of computer-aided design and manufacturing (CAD/CAM) techniques
in the clinic is growing slowly but steadily. The ability to build patient
specific models based on medical imaging data offers major potential. In this
work we report on the feasibility of employing laser scanning with CAD/CAM
techniques to aid in breast reconstruction. A patient was imaged with laser
scanning, an economical and facile method for creating an accurate digital
representation of the breasts and surrounding tissues. The obtained model was
used to fabricate a customized mould that was employed as an intra-operative aid
for the surgeon performing autologous tissue reconstruction of the breast removed
due to cancer. Furthermore, a solid breast model was derived from the imaged data
and digitally processed for the fabrication of customized scaffolds for breast
tissue engineering. To this end, a novel generic algorithm for creating porosity
within a solid model was developed, using a finite element model as intermediate.
PMID- 21900732
TI - The great challenge for exhaled breath analysis: embracing complexity, delivering
simplicity.
PMID- 21900733
TI - Single ion induced surface nanostructures: a comparison between slow highly
charged and swift heavy ions.
AB - This topical review focuses on recent advances in the understanding of the
formation of surface nanostructures, an intriguing phenomenon in ion-surface
interaction due to the impact of individual ions. In many solid targets, swift
heavy ions produce narrow cylindrical tracks accompanied by the formation of a
surface nanostructure. More recently, a similar nanometric surface effect has
been revealed for the impact of individual, very slow but highly charged ions.
While swift ions transfer their large kinetic energy to the target via ionization
and electronic excitation processes (electronic stopping), slow highly charged
ions produce surface structures due to potential energy deposited at the top
surface layers. Despite the differences in primary excitation, the similarity
between the nanostructures is striking and strongly points to a common mechanism
related to the energy transfer from the electronic to the lattice system of the
target. A comparison of surface structures induced by swift heavy ions and slow
highly charged ions provides a valuable insight to better understand the
formation mechanisms.
PMID- 21900734
TI - The exchange bias phenomenon in uncompensated interfaces: theory and Monte Carlo
simulations.
AB - We performed Monte Carlo simulations of a bilayer system composed of two thin
films, one ferromagnetic (FM) and the other antiferromagnetic (AFM). Two lattice
structures for the films were considered: simple cubic and body centered cubic
(bcc). We imposed an uncompensated interfacial spin structure in both lattice
structures; in particular we emulated an FeF2-FM system in the case of the bcc
lattice. Our analysis focused on the incidence of the interfacial strength
interactions between the films, J(eb), and the effect of thermal fluctuations on
the bias field, H(EB). We first performed Monte Carlo simulations on a
microscopic model based on classical Heisenberg spin variables. To analyze the
simulation results we also introduced a simplified model that assumes coherent
rotation of spins located on the same layer parallel to the interface. We found
that, depending on the AFM film anisotropy to exchange ratio, the bias field is
controlled either by the intrinsic pinning of a domain wall parallel to the
interface or by the stability of the first AFM layer (quasi-domain wall) near the
interface.
PMID- 21900735
TI - A magnetic Compton scattering study of Ga rich Co-Ni-Ga ferromagnetic shape
memory alloys.
AB - The temperature dependent spin momentum densities of Co(1.8)NiGa(1.2) and
Co(2)Ni(0.76)Ga(1.24) alloys have been measured using the magnetic Compton
scattering technique. The individual contributions of constituents in the
formation of the total spin moment are also calculated using Compton line shape
analysis. The magnetic Compton data when compared with the magnetization data
obtained using a vibrating sample magnetometer show a negligible orbital
contribution. The spin moments deduced from the experimental Compton data are
compared with the theoretical results obtained from the full potential linearized
augmented plane wave method and are found to be in good agreement. The origin of
the magnetism in both alloys is also described in terms of the e(g) and t(2g)
contributions of Ni and Co.
PMID- 21900736
TI - Modulated spin waves and robust quasi-solitons in classical Heisenberg rings.
AB - We investigate the dynamical behavior of finite rings of classical spin vectors
interacting via nearest-neighbor isotropic exchange in an external magnetic
field. Our approach is to utilize the solutions of a continuum version of the
discrete spin equations of motion (EOM) which we derive by assuming continuous
modulations of spin wave solutions of the EOM for discrete spins. This continuum
EOM reduces to the Landau-Lifshitz equation in a particular limiting regime. The
usefulness of the continuum EOM is demonstrated by the fact that the time-evolved
numerical solutions of the discrete spin EOM closely track the corresponding time
evolved solutions of the continuum equation. It is of special interest that our
continuum EOM possesses soliton solutions, and we find that these characteristics
are also exhibited by the corresponding solutions of the discrete EOM. The
robustness of solitons is demonstrated by considering cases where initial states
are truncated versions of soliton states and by numerical simulations of the
discrete EOM equations when the spins are coupled to a heat bath at finite
temperatures.
PMID- 21900737
TI - Ideal shear strength under compression and tension in C, Si, Ge, and cubic SiC:
an ab initio density functional theory study.
AB - Ideal shear strength under superimposed normal stress of cubic covalent crystals
(C, Si, Ge, and SiC) is evaluated by ab initio density functional theory
calculation. Shear directions in [112] and [110] on the (111) plane are examined.
The critical shear stress along the former direction is lower than that along the
latter in all the crystals unless the hydrostatic tension is extremely high. In
both the [112]-shear and [110]-shear, critical shear stress is increased by
compression in C but is decreased in the other crystals. The different response
of the critical shear stress to normal stress is due to the strength of the bond
order term, i.e., dependence of the short-range interatomic attraction on the
bond-angle.
PMID- 21900738
TI - The effect of band Jahn-Teller distortion on the magnetoresistivity of
manganites: a model study.
AB - We present a model study of magnetoresistance through the interplay of
magnetisation, structural distortion and external magnetic field for the
manganite systems. The manganite system is described by the Hamiltonian which
consists of the s-d type double exchange interaction, Heisenberg spin-spin
interaction among the core electrons, and the static and dynamic band Jahn-Teller
(JT) interaction in the e(g) band. The relaxation time of the e(g) electron is
found from the imaginary part of the Green's function using the total Hamiltonian
consisting of the interactions due to the electron and phonon. The calculated
resistivity exhibits a peak in the pure JT distorted insulating phase separating
the low temperature metallic ferromagnetic phase and the high temperature
paramagnetic phase. The resistivity is suppressed with the increase of the
external magnetic field. The e(g) electron band splitting and its effect on
magnetoresistivity is reported here.
PMID- 21900739
TI - Structural investigation of LaAlO3 up to 63 GPa.
AB - We report a high-pressure synchrotron x-ray diffraction on a LaAlO(3) single
crystal. The transition from rhombohedral to cubic at 14.8 GPa is confirmed by
the loss of the superstructure reflections, whose intensity shows a linear
pressure dependence, characteristic of a second-order transition. The crystal
remains cubic up to 63 GPa, the highest pressure reached, which provides a
confirmation over a very large pressure range of the general rules for the
evolution of distortions of perovskites under pressure. We report the parameters
of the Birch-Murnaghan equations of state in the low- and high-pressure phases
and discuss the evolution of the bulk modulus.
PMID- 21900740
TI - Auxin efflux transporter MtPIN10 regulates compound leaf and flower development
in Medicago truncatula.
AB - Plant diversity in nature is to a large extent reflected by morphological
diversity of their leaves. Both simple and dissected (with multiple blades or
leaflets) leaves are initiated from shoot apical meristem (SAM) in a highly
ordered fashion. Similarly, development of leaflets from leaf marginal meristem
(marginal blastozone) is also highly ordered. How morphological diversity of
plant leaves is regulated remains an important topic of studies on plant form
evolution. Here, we describe isolation and characterization of loss-of-function
mutants of auxin efflux transporter MtPIN10 of a legume species, Medicago
truncatula. Mtpin10 mutants exhibit defects in diverse developmental processes
including leaf and leaflet development. Cross species genetic complementation
demonstrates that MtPIN10 and Arabidopsis PIN1 are functional orthologs. Double
mutant analyses reveal complex genetic interactions between MtPIN10 and Medicago
SINGLE LEAFLET1 (SGL1), and CUP-SHAPED COTYLEDON2 (MtCUC2), three regulatory
genes involved in developmental processes including dissected leaf and flower
development.
PMID- 21900741
TI - Transcriptome analysis of Arabidopsis thaliana plants in response to kin and
stranger recognition.
AB - Recent reports have demonstrated that Arabidopsis thaliana has the ability to
alter its growth differentially when grown in the presence of secretions from
other A. thaliana plants that are kin or strangers, however, little knowledge has
been gained as to the physiological processes involved in these plant-plant
interactions. Therefore, we examined the root transcriptome of A. thaliana plants
exposed to stranger versus kin secretions to determine genes involved in these
processes. We conducted a whole transcriptome analysis on root tissues and
categorized genes with significant changes in expression. Genes from four
categories of interest based on significant changes in expression were identified
as ATP/GST transporter, auxin/auxin related, secondary metabolite and pathogen
response genes. Multiple genes in each category were tested and results indicated
that pathogen response genes were involved in the kin recognition response.
Plants were then infected with Pseudomonas syringe pv. Tomato DC3000 to further
examine the role of these genes in plants exposed to own, kin and stranger
secretions in pathogen resistance. This study concluded that multiple
physiological pathways are involved in the kin recognition. The possible
implication of this study opens up a new dialogue in terms of how plant-plant
interactions change under a biotic stress.
PMID- 21900742
TI - Constitutive expression of MKS1 confers susceptibility to Botrytis cinerea
infection independent of PAD3 expression.
AB - Signal transduction through MAPK cascades is essential for eukaryotic cell
response to various extracellular stimuli, such as the induction of innate immune
responses. Arabidopsis thaliana relies in particular on three of its 20 MAPKs,
MPK3,-4,-6, for a proper immune response. Recently we showed that one MPK4
substrate, MKS1, is required for basal resistance against the virulent
Pseudomonas syringae and the oomycete Hyaloperonospora arabidopsidis.
Overexpression of MKS1 (35S-MKS1) led to increased resistance to the same
pathogens but also to an increased susceptibility towards the fungi Botrytis
cinerea. MKS1 interacts with the transcription factor WRKY33, which in turn
controls the regulation of PAD3 and CYP71A13, two genes, required for proper
resistance to B. cinerea. Therefore, we tested if the increased susceptibility
towards B. cinerea from 35S-MKS1 was due to deregulation of WRKY33 targets. PAD3
and CYP71A13 expression is similar in 35S-MKS1 and WT after B. cinerea treatment
suggesting another mechanism controls 35S-MKS1 susceptibility.
PMID- 21900743
TI - Acetylcholine promotes the emergence and elongation of lateral roots of Raphanus
sativus.
AB - Radish (Raphanus sativus L.) was grown on four layers of paper towel moistened
with distilled water with and without acetylcholine (ACh) for five days in the
dark after sowing. ACh at 1 nM promoted the growth (emergence and elongation) of
lateral roots of radish plants, but had no effect on the stems and main roots.
Moreover, ACh enhanced the dry weight of roots [main (primary) + lateral roots].
Neostigmine, an inhibitor of acetylcholinesterase (AChE) also promoted the
emergence and elongation of lateral roots, and atropine, a competitive inhibitor
of ACh receptor, suppressed the emergence and elongation. ACh suppressed the
activity of AChE and increased the amount of proteins and pyridine nucleotides
(NAD and NADH) in the roots of the seedlings. It also increased the activities of
NAD-forming enzymes [NAD synthetase and ATP-nicotinamide mononucleotide (ATP-NMN)
adenyltransferase], and enhanced the amount of DNA in the roots of the seedlings.
The relationship between ACh and the emergence and growth of lateral roots was
discussed from a biochemical viewpoint.
PMID- 21900744
TI - SIRT6 overexpression induces massive apoptosis in cancer cells but not in normal
cells.
AB - Emerging evidence suggests that Sirtuin 6 (SIRT6) functions as a longevity
assurance gene by promoting genomic stability, regulating metabolic processes and
attenuating inflammation. Here, we examine the effect of SIRT6 activation on
cancer cells. We show that SIRT6 overexpression induces massive apoptosis in a
variety of cancer cell lines but not in normal, non-transformed cells. This cell
death requires the mono-ADP-ribosyltransferase but not the deacetylase activity
of SIRT6 and is mediated by the activation of both the p53 and p73 apoptotic
signaling cascades in cancer cells by SIRT6. These results suggest that SIRT6 is
an attractive target for pharmacological activation in cancer treatment.
PMID- 21900745
TI - Linear polyubiquitin chains: a new modifier involved in NFkappaB activation and
chronic inflammation, including dermatitis.
AB - The ubiquitin conjugation system regulates a wide variety of biological
phenomena, including protein degradation and signal transduction, by regulating
protein function via polyubiquitin conjugation in most cases. Several types of
polyubiquitin chains exist in cells, and the type of polyubiquitin chain
conjugated to a protein seems to determine how that protein is regulated. We
identified a novel linear polyubiquitin chain and the ubiquitin-protein ligase
complex that assembles it, designated LUBAC. Both were shown to have crucial
roles in the canonical NFkappaB activation pathway. This year, three groups,
including our laboratory, identified SHARPIN as a new subunit of LUBAC. Of great
interest, Sharpin was identified as a causative gene of chronic proliferative
dermatitis in mice (cpdm), which is characterized by numerous inflammatory
symptoms including chronic dermatitis, arthritis and immune disorders. Deletion
of SHARPIN drastically reduces the amount of LUBAC and attenuates signal-induced
NFkappaB activation. The pleomorphic symptoms of cpdm mice suggest that LUBAC
mediated NFkappaB activation may play critical roles in mammals and be involved
in various disorders. A forward look into the linear polyubiquitin research is
also discussed.
PMID- 21900746
TI - C086, a novel analog of curcumin, induces growth inhibition and down-regulation
of NFkappaB in colon cancer cells and xenograft tumors.
AB - New analogues of curcumin with improved properties are needed to meet therapeutic
requirements. In this study, the effects of C086 on growth inhibition and
NFkappaB pathway regulation were investigated in colon cancer cells and xenograft
tumors. C086 exhibited potent antiproliferative activity in all 6 colon cancer
cell lines. In a xenograft model of SW480 cells in nude mice, the oral
administration of C086 showed significant growth suppression of SW480 tumors, and
both Western blot and immunohistochemistry analyses showed decreased NFkappaB
(p65) expression in tumor tissues. Using TNF-alpha to induce NFkappaB activation
in SW480 cells, it was revealed that C086 inhibited IkappaBalpha phosphorylation
and its subsequent degradation, and suppressed the nuclear translocation and DNA
binding activity of NFkappaB. C-Myc, cyclin D1, and Bcl-2, NFkappaB-regulated
gene products involving in cellular proliferation and antiapoptosis, were
decreased in the C086 treated groups. This effect was accompanied by pro
apoptosis of C086 in colon cancer cells and lower expression of PCNA in C086
treated colon cancer xenografts. Immunostaining for CD31 showed that there were
fewer microvessels in C086 treated SW480 tumors, and NFkappaB-targeted gene
products involved in angiogenesis (i.e., vascular endothelial growth factor,
matrix metalloproteinase-9) were also downregulated. C086 also inhibited bovine
aortic endothelial cell (BAEC) proliferation and tube formation in Matrigel.
Overall, our results suggest that C086 is a potent antitumor agent and has
promising future in colon cancer. C086 suppressed NFkappaB activation through
inhibition of IkappaBalpha phosphorylation. Downregulation of NFkappaB-regulated
gene products contributed to the antiproliferation, pro-apoptosis, and
antiangiogenesis effect of C086.
PMID- 21900748
TI - The tumor suppressor tuberin regulates mitotic onset through the cellular
localization of cyclin B1.
AB - Tuberous sclerosis is a multi-organ disorder characterized by the formation of
benign tumors, called hamartomas, which affects more than 1 million people
worldwide. The syndrome is initiated by a mutation in one of two tumor suppressor
genes, TSC1 or TSC2, that encode for the proteins hamartin and tuberin,
respectively. Herein, we demonstrate that tuberin binds and regulates the G 2/M
cyclin, cyclin B1. We have determined that this binding region encompasses a
mutational hotspot within tuberin that is implicated in some of the most severe
cases of TS. Mimicking a mutation found in a subset of patients with tuberous
sclerosis, we found a significant reduction in the binding between tuberin and
cyclin B1. Functionally, our data supports that tuberin plays a role in
regulating the cellular localization of cyclin B1. These results demonstrate a
novel and clinically relevant mechanism, where tuberin functions in mitotic
onset.
PMID- 21900747
TI - Schedule-dependent synergy of histone deacetylase inhibitors with DNA damaging
agents in small cell lung cancer.
AB - Small cell lung cancer (SCLC) is an aggressive lung cancer subtype in need of
better therapies. Histone deacetylase inhibitors (HDIs) promote increased lysine
acetylation in nucleosomal histones and are thought to relax chromatin, thereby
allowing increased access of transcription factors and DNA damaging agents alike
to DNA. We studied whether two HDIs, belinostat and romidepsin, could be
effectively combined with cisplatin or etoposide (VP-16) for SCLC cells. Analysis
of cell survival and synergy was performed using CalcuSyn mathematical modeling
to calculate a combination index. Immunostaining of gammaH2AX was performed to
evaluate persistence of DNA damage following simultaneous or sequential exposure.
Based on CalcuSyn modeling, HDIs synergized with DNA damaging agents only when
added simultaneously. An additive-to-antagonistic effect was seen with HDI
pretreatment for 24 h or with addition after cisplatin or etoposide. Furthermore,
pretreatment with HDIs resulted in normalization of cell cycle and reduced PARP
degradation as compared with simultaneous treatment. The increase in gammaH2AX
phosphorylation confirmed that simultaneous but not sequential treatment enhanced
double-stranded DNA breaks. These results suggest that DNA relaxation is not
required for synergy of HDIs with DNA damaging agents, and that scheduling of
drug administration will be critical for rational development of clinical
protocols.
PMID- 21900749
TI - A GSK-3-mediated transcriptional network maintains repression of immediate early
genes in quiescent cells.
AB - Glycogen synthase kinase-3 (GSK-3) plays a central role in cell survival and
proliferation, in part by the regulation of transcription. Unlike most protein
kinases, GSK-3 is active in quiescent cells in the absence of growth factor
signaling. In a recent series of studies, we employed a systems-level approach to
understanding the transcription network regulated by GSK-3 in a quiescent cell
model. We identified a group of immediate early genes that were upregulated in
quiescent cells solely by the inhibition of GSK-3 in the absence of growth factor
stimulation. Computational analysis of the upstream sequences of these genes
identified statistically over-represented binding sites for the transcription
factors CREB, NFkappaB and AP-1, and the roles of these factors in regulating
expression of GSK-3 target genes were verified by chromatin immunoprecipitation
and RNA interference. In quiescent cells, GSK-3 inhibits CREB, NFkappaB and AP-1,
thereby maintaining repression of their target genes and contributing to
maintenance of cell cycle arrest.
PMID- 21900751
TI - Raptor, a positive regulatory subunit of mTOR complex 1, is a novel
phosphoprotein of the rDNA transcription machinery in nucleoli and chromosomal
nucleolus organizer regions (NORs).
AB - Raptor is the key scaffolding protein that recruits mTOR substrates to rapamycin
sensitive mTOR complex 1 (mTORC1), a molecular integrator of mitogenic and
nutrient/energy environmental inputs into protein translation and cell growth.
Although Raptor phosphorylation on various sites is pivotal in the regulation of
mTORC1 activity, it remains to be elucidated whether site-specific
phosphorylation differentially distributes Raptor to unique subcellular
compartments. When exploring the spatiotemporal cell cycle dynamics of six
different phospho (P)-Raptor isoforms (Thr ( 706) , Ser ( 722) , Ser ( 863) , Ser
( 792) and Ser ( 877) ), a number of remarkable events differentially defined a
topological resetting of P-RaptorThr706 on interphasic and mitotic chromosomes.
In interphase nuclei, P-Raptor (Thr706) co-localized with fibrillarin, a
component of the nucleolar small nuclear ribonucleoprotein particle, as well as
with RNA polymerase I, the enzyme that transcribes nucleolar rRNA. Upon
Actinomycin D-induced nucleolar segregation and disaggregation, P-RaptorThr706
was excluded from the nucleolus to accumulate at discrete nucleoplasmic bodies.
During mitosis, CDK1 inhibition-induced premature assembly of nucleoli relocated
fibrillarin to the surrounding regions of chromosomal-associated P-Raptor
(Thr706) , suggesting that a subpopulation of mitotic P-Raptor (Thr706) remained
targeted at chromosomal loops of rDNA or nuclear organizer regions (NORs). At the
end of mitosis and cytokinesis, when reassembly of incipient nucleoli begins upon
NORs activation of rDNA transcription, fibrillarin spatially reorganized with P
Raptor (Thr706) to give rise to daughter nucleoli. Treatment with IGF1
exclusively hyperactivated nuclear P-Raptor (Ser706) and concomitantly promoted
Ser ( 2481) autophosphorylation of mTOR, which monitors mTORC1-associated
catalytic activity. Nucleolar- and NOR-associated P-Raptor (Ser706) may
physically link mTORC1 signaling to ever-growing nucleolus plurifunctionality
including ribosome biogenesis, cell stress sensor and cell cycle/aging control.
PMID- 21900750
TI - Network calisthenics: control of E2F dynamics in cell cycle entry.
AB - Stimulation of quiescent mammalian cells with mitogens induces an abrupt increase
in E2F1-3 expression just prior to the onset of DNA synthesis, followed by a
rapid decline as replication ceases. This temporal adaptation in E2F facilitates
a transient pattern of gene expression that reflects the ordered nature of DNA
replication. The challenge to understand how E2F dynamics coordinate molecular
events required for high-fidelity DNA replication has great biological
implications. Indeed, precocious, prolonged, elevated or reduced accumulation of
E2F can generate replication stress that culminates in either arrest or death.
Accordingly, temporal characteristics of E2F are regulated by several network
modules that include feedforward and autoregulatory loops. In this review, we
discuss how these network modules contribute to "shaping" E2F dynamics in the
context of mammalian cell cycle entry.
PMID- 21900753
TI - Mesenchymal stem cell-secreted soluble signaling molecules potentiate tumor
growth.
AB - In previous studies, we and others have shown that bone marrow mesenchymal stem
cells (MSCs) are recruited to sites of growing tumors and promote tumor growth in
mouse xenograft models, suggesting that interactions between MSCs and tumor cells
may play an important role in this process. However, the exact mechanism remains
unclear. In the present study, we investigated whether the physical presence or
the continuous presence of MSCs is required for enhanced tumor growth, and we
found that pretreatment of tumor cells SGC-7901 with a single dose of human MSC
conditioned medium (hMSC-CM) in vitro is sufficient to potentiate tumor growth
comparable to the effect of MSC co-injection in vivo in mouse xenograft models.
We further showed that significant tumor modifying activity is present in post
ultracentrifigation soluble fraction. Biochemical analysis suggests that hMSC-CM
induces the expression of VEGF of tumor cells as well as the activation of RhoA
GTPase and ERK1/2. Furthermore, hMSC-CM-enhanced tumor growth is sustainable in
serial transplantation, suggesting that MSC-secreted factors have profound
effects on "reprogramming" of tumor growth. Our data provide new insights into
the way in which MSCs modify tumor growth and offer a new and exciting
opportunity to develop effective therapeutics for intercepting tumor progression.
PMID- 21900755
TI - Cataract surgery: from couching to femtosecond, look how far we have come!
PMID- 21900756
TI - Bibliography. Cataract surgery and lens implantation. Current world literature.
PMID- 21900759
TI - Forty-eight years with duchenne muscular dystrophy.
PMID- 21900752
TI - MDM2 promotes SUMO-2/3 modification of p53 to modulate transcriptional activity.
AB - The tumor suppressor p53 is extensively regulated by post-translational
modification, including modification by the small ubiquitin-related modifier
SUMO. We show here that MDM2, previously shown to promote ubiquitin, Nedd8 and
SUMO-1 modification of p53, can also enhance conjugation of endogenous SUMO-2/3
to p53. Sumoylation activity requires p53-MDM2 binding but does not depend on an
intact RING finger. Both ARF and L11 can promote SUMO-2/3 conjugation of p53.
However, unlike the previously described SUMO-1 conjugation of p53 by an MDM2-ARF
complex, this activity does not depend on the ability of MDM2 to relocalize to
the nucleolus. Interestingly, the SUMO consensus is not conserved in mouse p53,
which is therefore not modified by SUMO-2/3. Finally, we show that conjugation of
SUMO-2/3 to p53 correlates with a reduction of both activation and repression of
a subset of p53-target genes.
PMID- 21900760
TI - Ultrasound-guided injection of the piriformis muscle.
PMID- 21900761
TI - 'Pure' partial trisomy 11q (11q23.1->11qter): expanding the phenotype.
PMID- 21900763
TI - Editorial comment.
PMID- 21900764
TI - Current world literature.
PMID- 21900766
TI - Trends in antimicrobial resistance in intensive care units in the United States.
AB - PURPOSE OF REVIEW: Antimicrobial resistance and a paucity of new antimicrobial
agents are ongoing challenges. This review focuses on the major epidemiologic
trends and novel treatments, when available, for methicillin-resistant
Staphylococcus aureus (MRSA), vancomycin-resistant enterococcus, Clostridium
difficile, and multidrug-resistant Gram-negative bacilli in the United States
during 2010-2011. RECENT FINDINGS: MRSA bloodstream infection rates have
declined, primarily due to interventions aimed at decreasing vascular catheter
infections. The proportion of MRSA due to the community-associated strain USA300
continues to increase. Recent studies of active surveillance and contact
isolation for MRSA prevention provide conflicting views of efficacy. Two novel
treatments for recurrent C. difficile infection, monoclonal antibodies and
fidaxomicin, show promising results. Antimicrobial resistance among Gram-negative
bacilli has become widespread; extended-spectrum beta-lactamases are now commonly
found among Escherichia coli causing community-acquired infections in the United
States. Klebsiella pneumoniae carbapenemases have spread beyond the northeast,
and the New Delhi metallo-beta-lactamase has been reported in multiple countries
within a few years of its discovery. SUMMARY: Antimicrobial resistance,
particularly among Gram-negative bacilli, continues to increase at a rapid rate.
Given the frequent transfer of patients between outpatient and acute care
settings, as well as between different geographic regions, coordinated infection
control interventions are warranted.
PMID- 21900767
TI - Current insights in sepsis: from pathogenesis to new treatment targets.
AB - PURPOSE OF REVIEW: Sepsis continues to be a leading cause of ICU death. This
review summarizes current knowledge on sepsis pathogenesis and new therapeutical
strategies. RECENT FINDINGS: Although systemic inflammatory response syndrome
predominates in early sepsis, the compensatory anti-inflammatory response
syndrome causes immunosuppression associated with late mortality. Toll-like
receptors (TLR), the inflammasomes and other pattern-recognition receptors (PRR)
initiate the host response after recognition of invading pathogens and endogenous
danger signals. The TLR-regulated pro-inflammatory cytokines macrophage migration
inhibitory factor and high-mobility-group-box-1 protein are promising treatment
targets. Controversy on intensive insulin therapy, steroids, and activated
protein C in sepsis has led to a re-evaluation of these immunomodulatory
strategies. Interestingly, the anticoagulant protein C also exerts cytoprotective
effects by neutralizing extracellular DNA. Endotoxin removal devices, TLR4
inhibitors, and restoration of sepsis-induced immunosuppression are other
strategies being evaluated in sepsis patients. SUMMARY: Sepsis can be seen as a
PRR-mediated dysregulation of the immune system following pathogen invasion in
which a careful balance between inflammatory and anti-inflammatory responses is
vital. Detailed knowledge of host response pathways and new approaches in sepsis
trial design, which take into account patient heterogeneity and the phase of the
immunological response, represent major steps forward in sepsis research.
PMID- 21900768
TI - Probiotics for prevention of nosocomial infections: efficacy and adverse effects.
AB - PURPOSE OF REVIEW: In this era of increasing antimicrobial resistance, use of
probiotics in infection prevention has brought new perspective. However, in 2008
the, until then considered, safe use of probiotics became an important topic
after publication of a trial showing excess mortality in patients on probiotic
prophylaxis. In this article, we review the concept of infection prevention by
probiotics and the present knowledge of the efficacy of probiotics in prevention
of infections among patients with abdominal diseases and in intensive care.
Safety issues of probiotics will be discussed extensively. RECENT FINDINGS: Over
30 clinical trials with probiotics to prevent infections have been published,
some of which were prematurely stopped recently. Studies with critically ill
patients and patients with abdominal diseases showed conflicting results
regarding the effects of probiotics on infection rates, as did meta-analyses.
These studies are difficult to compare because different probiotics were used
which all have different efficacy and safety profiles. SUMMARY: The efficacy of
probiotics in infection prevention among critically ill patients is still not
unequivocally determined. The safety profile differs per probiotic strain and
should not be generalized towards other strains and patient populations. A well
designed and well powered clinical trial with clear endpoints to demonstrate
efficacy is warranted.
PMID- 21900769
TI - Understanding health economic analysis in critical care: insights from recent
randomized controlled trials.
AB - PURPOSE OF REVIEW: The article reviews the methods of health economic analysis
(HEA) in clinical trials of critically ill patients. Emphasis is placed on the
usefulness of HEA in the context of positive and 'no effect' studies, with recent
examples. RECENT FINDINGS: The need to control costs and promote effective
spending in caring for the critically ill has garnered considerable attention due
to the high cost of critical illness. Many clinical trials focus on short-term
mortality, ignoring costs and quality of life, and fail to change clinical
practice or promote efficient use of resources. Incorporating HEA into clinical
trials is a possible solution. Such studies have shown some interventions,
although expensive, provide good value, whereas others should be withdrawn from
clinical practice. Incorporating HEA into randomized controlled trials (RCTs)
requires careful attention to collect all relevant costs. Decision trees,
modeling assumptions and methods for collecting costs and measuring outcomes
should be planned and published beforehand to minimize bias. SUMMARY: Costs and
cost-effectiveness are potentially useful outcomes in RCTs of critically ill
patients. Future RCTs should incorporate parallel HEA to provide both economic
outcomes, which are important to the community, alongside patient-centered
outcomes, which are important to individuals.
PMID- 21900770
TI - Health-related quality of life: implications for critical care interventional
studies and why we need to collaborate with patients.
AB - PURPOSE OF REVIEW: Health-related quality of life (HRQoL) is an important patient
reported outcome measure following critical illness. 'Validated' and
professionally endorsed generic measures are widely used to evaluate critical
care intervention and guide practice, policy and research. Although recognizing
that they are 'here to stay', leading QoL researchers are beginning to question
their 'fitness for purpose'. It is therefore timely to review critiques of their
limitations in the wider healthcare and social science literatures and to examine
the implications for critical care research including, in particular, emerging
interventional studies in which HRQoL is the primary outcome of interest. RECENT
FINDINGS: Generic HRQoL measures have provided important yet limited insights
into HRQoL among survivors of critical illness. They are rarely developed or
validated in collaboration with patients and cannot therefore be assumed to
reflect their experiences and perspectives. SUMMARY: Collaboration with patients
is advocated in order to improve the interpretation and utility of such data.
Failure to do so may result in important study effects being overlooked and the
dismissal of potentially useful interventions.
PMID- 21900771
TI - Current world literature.
PMID- 21900773
TI - Current world literature.
PMID- 21900775
TI - Current world literature.
PMID- 21900777
TI - Impact areas in pediatric transplantation.
PMID- 21900778
TI - Current world literature.
PMID- 21900780
TI - Mechanism of valvular regurgitation.
AB - PURPOSE OF REVIEW: Despite improvements in surgical techniques, valvular
regurgitation results in major morbidity in children with heart disease.
Functional anatomy, mechanisms of valve closure and adaptation to changing
hemodynamic stress in normal mitral and tricuspid valves are complex and only
partially understood. As well, pathology of atrioventricular valve regurgitation
is further complicated by congenital valve abnormalities involving leaflet
tissue, supporting chordal apparatus and displaced papillary muscles. This review
provides a current understanding of the mechanisms that result in
atrioventricular valve failure. RECENT FINDINGS: Mitral valve leaflets have
contractile elements, in addition to atrial muscle modulation of leaflet tension.
When placed under mechanical tethering stress, the mitral valve adapts by leaflet
expansion, which increases coaptation surface reserve and chordal thickening.
Both pediatric and adult studies are increasingly reporting on the importance of
subvalvar apparatus function in maintaining valve competency. SUMMARY: The
maintenance of efficient valve function is accomplished by a complex series of
events involving atrial and annular contraction, annular deformation, active
leaflet tension, chordal transmission of papillary muscle contractions and
ventricular contraction.
PMID- 21900781
TI - Health-related quality of life in cystic fibrosis.
AB - PURPOSE OF REVIEW: To review the contribution of various therapeutic
interventions on both longevity and quality of life in cystic fibrosis patients.
RECENT FINDINGS: Long-term survival in cystic fibrosis has increased markedly in
the past 25 years, largely due to a robust clinical trials program carried out at
Cystic Fibrosis Foundation accredited clinical centers in the United States and
similar organizations worldwide. Cystic fibrosis patients are recommended
complex, time-intensive daily therapies that are often difficult for families and
patients to sustain long-term. Recently, attention to the perceived value of a
particular therapy on a patient's well being is recognized as important for
individualizing therapeutic regimens that provide maximal clinical benefit and
are more likely to be adhered to long-term by the patient. Cystic fibrosis care
is in a new era in which patient-related outcomes (PROs) for the assessment of
health-related quality of life (HRQOL) are regarded with equal importance to
medically beneficial therapies. SUMMARY: Numerous advances in the clinical care
of cystic fibrosis have led to improved survival, although definitive correction
of the abnormal cystic fibrosis transmembrane regulator protein function remains
elusive. Patients struggle to maintain rigorous, time-intensive therapeutic
regimens, whereas clinicians strive to identify which interventions preserve
quality of life. Cystic fibrosis patients depend on their caregiver's ability to
assess both the medical benefit and the contribution to quality of life that
therapeutic regimes bring to their disease. Caregivers, in turn, need measures of
HRQOL in order to prioritize the various therapeutic interventions that are at
their disposal in order to provide not just longevity, but meaningful quality of
life.
PMID- 21900782
TI - The role of growth hormone and insulin-like growth factor-1 in Crohn's disease:
implications for therapeutic use of human growth hormone in pediatric patients.
AB - PURPOSE OF REVIEW: This review evaluates the role of the growth hormone (GH) and
insulin-like growth factor (IGF) in influencing linear growth in pediatric
Crohn's disease. It also examines the current evidence concerning the use of
recombinant human growth hormone (rhGH) as a potential therapy in achieving
optimal growth and inducing mucosal healing for pediatric Crohn's disease. RECENT
FINDINGS: Current treatment strategies for Crohn's disease including antitumor
necrosis factor-alpha (TNF-alpha) therapy have been demonstrated to improve
growth velocity, but linear growth deficits persist despite optimization of
therapy. By complex mechanisms, including the reduction of levels of IGF-1 and
induction of systemic and hepatic GH resistance, cytokines such as TNF-alpha and
interleukin-6 (IL-6), commonly elevated in active Crohn's disease, are important
as mediators of linear growth delay. Recent evidence suggests that rhGH therapy
is effective in improving short-term linear growth for a selected group of
patients but of limited benefit as a therapy for improving mucosal disease and
reducing clinical disease activity. SUMMARY: Crohn's disease interacts with the
GH-IGF-1 axis in important ways. Recent studies evaluating rhGH use in pediatric
Crohn's disease have demonstrated some efficacy in reversing persistent linear
growth delay but limited benefits in terms of improving mucosal disease and
clinical disease activity. Larger studies of adequate power are needed to confirm
a true benefit in terms of growth, to examine a potential benefit with regard to
modification of disease activity, and to evaluate long-term risks.
PMID- 21900783
TI - Current world literature.
PMID- 21900784
TI - Does BMI affect the clinical efficacy of proton pump inhibitor therapy in GERD?
The case for rabeprazole.
AB - BACKGROUND: Increased BMI is associated with a higher risk of gastroesophageal
reflux disease. AIMS: To investigate whether overweight/obesity (BMI>=25 kg/m(2))
affects rabeprazole clinical efficacy versus omeprazole in patients with erosive
esophagitis (EE). PATIENTS AND METHODS: Post-hoc analysis of EE healing rate and
symptom response stratified by patient BMI was performed on data from a
multicenter, double-blind, randomized, 4-to-8-week trial comparing EE healing
with rabeprazole (20 mg daily) and omeprazole (20 mg daily). Analysis of
variance, two-sample t-test, Blackwelder's test for equivalence, log-rank, and
Cochran-Mantel-Haenszel tests were used to analyze comparisons. RESULTS: In the
two BMI groups (<25 kg/m(2) and >=25 kg/m(2) respectively), rabeprazole and
omeprazole were equally effective for mucosal healing regardless of patient's BMI
(N=542, P>0.05). However, in overweight/obese patients, rabeprazole was
significantly faster than omeprazole in inducing heartburn relief during the
first treatment week (P<0.0001). CONCLUSIONS: Results of this study show that the
clinical efficacy of rabeprazole is maintained in overweight/obese patients with
gastroesophageal reflux disease and suggest that this subgroup of patients may
derive, from rabeprazole, even greater benefit than lean patients.
PMID- 21900785
TI - Meta-analysis: cyclooxygenase-2 inhibitors are no better than nonselective
nonsteroidal anti-inflammatory drugs with proton pump inhibitors in regard to
gastrointestinal adverse events in osteoarthritis and rheumatoid arthritis.
AB - OBJECTIVE: To compare cyclooxygenase-2 (Cox-2) inhibitors alone with NSAIDs plus
proton pump inhibitors (PPIs) in preventing gastrointestinal adverse events:
upper gastrointestinal (UGI) adverse events and gastrointestinal symptoms in
Osteoarthritis and Rheumatoid arthritis. METHODS: PubMed, the Cochrane Library,
EMBASE, ISI Web of Knowledge, Chinese Biomedical Literature Database, and
reference lists of relevant papers for articles published 1990-2010.12 were
searched. The related data matching standards set for this study were extracted.
Statistical analyses were carried out using RevMan (5.0) software. RESULTS: The
meta-analysis of six randomized controlled trials with a total of 6219 patients
revealed that there was no difference in the UGI adverse events between Cox-2
inhibitors and nonselective NSAIDs with concurrent use of PPIs [relative risk
(RR) 0.61, 95% confidence interval (CI) 0.34-1.09]. There was no significant
difference in gastrointestinal symptoms (RR 1.10, 95% CI: 0.88-1.39) and the
cardiovascular adverse events (RR 1.67, 95% CI: 0.78-3.59) between the two
groups. CONCLUSION: Cox-2 inhibitors are no better than nonselective NSAIDs with
PPIs in regard to UGI adverse events, gastrointestinal symptoms and
cardiovascular adverse events in Osteoarthritis and Rheumatoid arthritis. On the
basis of the current evidence and the combined wishes of the patient, clinicians
should carefully consider and weigh both gastrointestinal and cardiovascular risk
before selecting NSAID plus PPIs or Cox-2 inhibitors.
PMID- 21900786
TI - Preparation before colonoscopy: a randomized controlled trial comparing different
regimes.
AB - BACKGROUND AND AIM: A good bowel preparation is essential for optimal
visualization of the large intestine. Several preparations with a difference in
composition and volume are available. We compared five methods for bowel
cleansing quality and patients' acceptability. PATIENTS AND METHODS: Adult
ambulatory outpatients scheduled for elective colonoscopy were randomized to
receive 4-l polyethylene glycol (PEG) solution (Klean-prep), 2-l PEG
solution+ascorbic acid (Moviprep), or a sodium phosphate (NaP) solution,
Phosphoral. Patients with the PEG solutions were also randomized to receive
simethicone (Aeropax), to investigate whether this improves the bowel cleansing
efficacy. Before colonoscopy patients completed a questionnaire about the
acceptability and tolerability of the preparation. Endoscopists blinded to the
type of preparation gave a bowel cleansing score. RESULTS: Data were available
for 461 patients. 2-l PEG+ascorbic acid was noninferior to 4-l PEG in bowel
cleansing quality of rectosigmoid and colon. NaP was noninferior to 4-l PEG in
bowel cleansing quality of rectosigmoid but inferior for the whole colon.
Compliance was significantly less in the group with 4-l PEG compared with the 2-l
PEG and NaP group. No difference was found for abdominal cramps. Taste was
significantly better in the 2-l PEG group. Simethicone did not improve the bowel
cleansing quality. CONCLUSION: 2-l PEG+ascorbic acid was noninferior to the 4-l
PEG solution in bowel cleansing quality and was better in taste and compliance.
NaP was inferior to 4-l PEG in bowel cleansing quality. Addition of simethicone
gave no improvement.
PMID- 21900787
TI - A single IL28B genotype SNP rs12979860 determination predicts treatment response
in patients with chronic hepatitis C Genotype 1 virus.
AB - BACKGROUND: Recent studies have suggested that host genetics may be useful for
predicting drug response and have supported the recommendation that single
polynucleotide polymorphisms (SNPs) of IL28B should be investigated when treating
hepatitis C virus (HCV)-1 infected patients. The aim of this study was to
determine whether a single IL-28B genotype SNP rs8099917 or rs12979860
determination is sufficient to predict treatment failure in patients with chronic
HCV. METHODS: A total of 198 patients were included; mean (+/-standard deviation)
age was 47+/-12 years and 140 (71%) were men. One hundred and fifty-six (79%)
patients were infected with HCV genotype 1 and 42 (21%) with HCV genotypes 2 or
3. One hundred and eight (55%) patients had sustained virologic response (SVR).
Two SNPs in the IL-28B were analyzed (rs8099917 and rs12979860). RESULTS: A total
of 115 (58%) patients had rs8099917 TT genotype and 61 (31%) had rs12979860 CC
genotype. Rs8099917 TT and rs12979860 CC genotypes were associated with SVR in
HCV genotype 1 patients [odds ratio=2.60 (1.36-5.00), P=0.004 and odds ratio=3.30
(1.58-6.90), P=0.03 respectively]. No association was found between SNPs and SVR
in HCV genotype 2 or 3 patients. CONCLUSION: This study confirms that SNPs
rs8099917 and rs12979860 used alone may be useful for predicting the outcome of
HCV treatment. In a rational and cost-effective approach, determination of only
one of these two SNPs is sufficient for predicting SVR. Because of the highest
predictive SVR associated with rs12979860 CC compared with the rs8099917 TT
(respective positive predictive value: 72% vs. 63%, P=ns), rs12979860
determination alone is sufficient for predicting interferon response.
PMID- 21900788
TI - Clinical and laboratory evaluation of patients with end-stage liver cell failure
injected with bone marrow-derived hepatocyte-like cells.
AB - AIM: One of the defining features of the liver is the capacity to maintain a
constant size despite injury. Extrahepatic stem cells especially bone marrow
derived stem cells are thought to undertake an important role in liver
repopulation. This study was carried out to evaluate the outcome of autologous
bone marrow-derived hepatocytes transplantation in patients with end-stage liver
cell failure due to chronic hepatitis C. METHODS: Forty patients were included,
divided into two groups. Group I: 20 patients receiving autologous bone marrow
derived mesenchymal stem cells stimulated to hepatic lineage. They were
subdivided into two groups regarding the route of transplantation: intrasplenic
(10) and intrahepatic (10). Group II: included 20 patients who received
traditional supportive treatment. Patients were followed up using examination,
laboratory investigations, abdominal ultrasonography, and evaluated by Child
score, Model for End Stage Liver Disease score, fatigue scale, and performance
status. RESULTS: The results showed significant improvement in group I regarding
ascites, lower limb edema, and serum albumin, over the control group. Group I
also showed statistically significant improvement in Child score, Model for End
Stage Liver Disease score, fatigue scale, and performance status over the
controls. No difference was observed between intrahepatic and intrasplenic
groups. CONCLUSION: This study demonstrated the safety and short-term efficacy of
autologous bone marrow-derived mesenchymal stem cell injection in liver cell
failure. Further study is necessary to standardize the cell dose, determine the
life span of the injected cells, and detect the appearance of long-term
complications.
PMID- 21900789
TI - Metastatic cutaneous Crohn's disease of the face: a case report and review of the
literature.
AB - Metastatic cutaneous Crohn's disease is one of the most uncommon cutaneous
extraintestinal manifestations. The face is the rarest location, with only eight
cases described in the literature. We report a rare case of a young man with
Crohn's disease and two granulomatous lesions on the face in a nodular form. To
the best of our knowledge, this is the first report of metastatic Crohn's disease
of the forehead with the features of nodules. A review of the literature
concerning metastatic Crohn's disease is also provided.
PMID- 21900791
TI - Are we entering a new era in melanoma treatment? Lesson from ASCO 2011.
PMID- 21900793
TI - Differentiation of melanoma and benign nevi by fluorescence in-situ
hybridization.
AB - Malignant melanoma is sometimes difficult to distinguish from benign nevus, and
ancillary confirmatory studies would be of value in selected cases. To accurately
differentiate melanoma from benign nevus, we investigated the utility of
chromosomal anomalies in skin biopsy specimens using multitargeted fluorescence
in-situ hybridization (FISH). Skin biopsy specimens were retrospectively
collected from 63 patients diagnosed with benign compound nevus (n=32) or
malignant melanoma (n=31); each diagnosis was independently confirmed before
study by a second dermatopathologist. Unstained tissue sections were hybridized
for 30 min using fluorescence-labeled oligo-DNA probes for chromosomes 6, 7, 11,
and 20. Fluorescent signals for each chromosome were enumerated in 30 cells per
case. Numeric chromosomal anomalies were found in 0% (0 of 32) of normal
epidermis, 6% (two of 32) of compound nevi, and 94% (29 of 31) of melanomas
(nevus vs. melanoma, P<0.0001). The mean number of cells with chromosomal changes
was 23 in melanoma specimens, significantly higher than that in compound nevi
(P<0.0001). The most frequent chromosomal anomaly in melanoma was gain of
chromosome 11, followed consecutively by gains of chromosomes 7, 20, and 6.
Chromosomal anomalies detected by FISH had an overall sensitivity of 94% and
specificity of 94% in the separation of nevus and melanoma. With the use of oligo
DNA probes, multitargeted FISH directed against chromosomes 6, 7, 11, and 20 is
highly sensitive and specific for separation of nevus and melanoma. Unlike other
traditional FISH probes, oligo-DNA probes required shorter hybridization time,
allowing faster diagnostic evaluation.
PMID- 21900792
TI - Expression of CD133 and other putative stem cell markers in uveal melanoma.
AB - 'Cancer stem cells' (CSCs) are tumor cells with stem cell properties hypothesized
to be responsible for tumorigenesis, metastatis, and resistance to treatment, and
have been identified in different tumors including cutaneous melanoma, using stem
cell markers such as CD133. This study explored expression of CD133 and other
putative stem cell markers in uveal melanoma. Eight uveal melanoma cell lines
were subjected to flow-cytometric (fluorescence-activated cell sorting) analysis
of CD133 and other stem cell markers. Eight paraffin-embedded tumors were
analyzed by immunohistochemistry for CD133, Pax6, Musashi, nestin, Sox2, ABCB5,
and CD68 expressions. Ocular, uveal melanoma, and hematopoietic stem cell
distributions of C-terminal and N-terminal CD133 mRNA splice variants were
compared by reverse-transcription PCR. Fluorescence-activated cell sorting
analysis revealed a population of CD133-positive/nestin-positive cells in cell
lines Mel270, OMM 2.3, and OMM2.5. All cell lines studied were positive for
nestin, CXCR-4, CD44, and c-kit. Immunohistochemistry identified cells positive
for CD133, Pax6, Musashi, nestin, Sox2, ABCB5, and CD68 predominantly at the
invading tumor front. C-terminal primers interacting with CD133 splice variant s2
detected a novel variant lacking exon 27. Differential expression of CD133 splice
variants was found in iris, ciliary body, retina, and retinal pigment
epithelium/choroid as well as in uveal melanoma cell lines. mRNA for nestin,
Sox2, and Musashi was present in all studied cell lines. Uveal melanoma such as
cutaneous melanoma may therefore contain CSCs. Further experiments are needed to
isolate stem cell marker-positive cells, to evaluate their functional properties
and to explore therapeutical approaches to these putative CSCs in uveal melanoma.
PMID- 21900799
TI - The experience of pain and the putamen: a new link found with functional MRI and
diffusion tensor imaging.
PMID- 21900800
TI - Progress in sequencing human cancer genomes: advancements in childhood
medulloblastoma.
PMID- 21900801
TI - HIF-1alpha potentiates mesenchymal stem cell mediated osteogenesis by coupling to
angiogenesis.
PMID- 21900802
TI - More nurses means fewer inpatient deaths.
PMID- 21900803
TI - Restructuring of multidisciplinary scientific meetings improves valuable exchange
of information.
PMID- 21900804
TI - Changing neural networks with brain machine interfaces-neuronal firing
adaptations to BMI learning.
PMID- 21900805
TI - Guidelines or individualized medicine: can they be reconciled?
PMID- 21900806
TI - Differential expression of pluripotency factors Sox2 and Oct4 regulate neuronal
and mesenchymal lineages.
PMID- 21900807
TI - Exposing conflicts of interest and complications of rhBMP-2.
PMID- 21900808
TI - Glioblastoma multiforme stem-like cells and hypoxia: the novel role of HAF.
PMID- 21900809
TI - Differential scanning calorimetry applied to cerebrospinal fluid analysis in
glioblastoma.
PMID- 21900811
TI - Executive nurse educational preparation.
PMID- 21900812
TI - What nursing leaders must master...
PMID- 21900810
TI - Postoperative infection may influence survival in patients with glioblastoma:
simply a myth?
AB - BACKGROUND: It is a prevalent myth that a postoperative infection may actually
confer a survival advantage in patients with malignant glioma. This contention is
based largely on anecdotal reports. Recently, a single-center study showed there
was no survival advantage in those patients who had glioblastoma with
postoperative infection. OBJECTIVE: To examine the impact of postoperative
infections on outcome in patients with glioblastoma treated at our center.
METHODS: This study included 197 patients with newly diagnosed primary
glioblastoma treated from January 2001 to January 2008. Of the 197 patients, 10
(5.08%) had postoperative bacterial infection. The Kaplan-Meier method, log-rank
test, and Breslow test were used in the univariate approach; Cox regression was
used in the multivariable approach. RESULTS: The median survival was 16 months
(95% confidence interval [CI], 14-18 mo). The infection group had a significant
advantage in the median survival: 30 months (95% CI, 21-39) vs 15 months (95% CI,
13-17) for patients without postoperative infection. This advantage was also
confirmed by Cox regression; in fact, patients not developing a postoperative
infection showed an adjusted hazard ratio for death of 2.3 (95% CI, 1-5.3).
CONCLUSION: The association between infection and prolonged survival is not
definitive; we acknowledge the considerable difficulties in undertaking this type
of study in a retrospective manner. Our results can instead stimulate further
multicentric studies (to increase the number of patients) or experimental studies
using genetically modified bacteria for treatment of glioblastoma.
PMID- 21900813
TI - Back to the future: learning from the past to prepare competent nurse leaders for
the future. Interview by Franklin A. Shaffer.
AB - If today's need for highly competent nurse leaders is urgent, and there seems to
be no controversy about this fact, what will be the need in the next few years?
And what will ensure the availability of these highly competent persons? Between
the opportunities offered by the Accountable Care Act, and the IOM Report on the
Future of Nursing's recommendations, the consensus is that the need will be
great. This is not a new concern: During the 1960s, the pendulum in graduate
education in nursing swung from functional preparation in teaching, supervision,
and administration to clinical specialization. While the change was a logical
one, inadequate consideration was given to the preparation of people who would
fill these roles in the real world. Consequently, Boston University School of
Nursing held an invitational conference in 1978 to respond to the call for
preparation of competent nursing leaders. The author interviews some of the
leaders who attended and/or presented at this conference to see just how much we
could learn for the past to apply today.
PMID- 21900814
TI - Innovations in academic and practice partnerships: new collaborations within
existing models.
AB - New models in academic institutions that engage practice experts accelerate
innovative thinking, productivity, and quality. A successful model in a southwest
university college of nursing and health innovation is presented. In this
article, the authors provide experiences specific to identifying needs,
developing partnerships, integrating experts into the college, and challenges in
sustaining partnerships and their potential for transforming the academic and
service relationship in the age of reform.
PMID- 21900815
TI - Developing nurse leaders: a program enhancing staff nurse leadership skills and
professionalism.
AB - This study aims to determine whether participation in the Nursing Leadership
Perspectives Program (NLPP) at Mayo Clinic in Rochester, Minnesota, produced a
change in leadership skills, increased professional activities, leadership
promotion, and retention rates of participants. The NLPP is an educational
program designed to enhance leadership skills and promote professionalism of
registered nurses. The 6-month program provides participants with theoretical
knowledge, core competencies, and opportunities to practice application of
leadership skills. Outcome metrics were collected from registered nurses who
completed the program (n = 15). Data analysis included descriptive and
nonparametric methods. Participants reported statistically significant changes in
their leadership skills after participation in the program (P = .007) on the
Leadership Practices Inventory. Changes in professional behavior were also
statistically significant as rated by the Nursing Activity Scale (P = .001).
Participants demonstrated a change in leadership skills and professional behavior
following the program.
PMID- 21900816
TI - Developing the leadership skills of new graduates to influence practice
environments: a novice nurse leadership program.
AB - The authors of the recently published Institute of Medicine on the Future of
Nursing report emphasized the importance of preparing nurses to lead change to
advance health care in the United States. Other scholars linked practice
environments to safe quality care. In order for nurses to fully actualize this
role in practice environments, they need to possess leadership skills sets that
identify and respond to challenges faced. New nurses are no exception. This
article presents a program with a 5-year track record that is designed to support
transition and enhance the skill sets of leadership for new nurses in their first
year of practice. Qualitative and quantitative evaluation measurements at
baseline and postprogram provided data for evaluation of the first 4 cohorts in
the program. Evaluative outcomes presented indicate that new nurses gained
leadership and translational research skills that contributed to their ability to
influence practice environments. Nonetheless, practice environments continue to
need improvement and ongoing leadership from all levels of nursing must be
upheld.
PMID- 21900817
TI - Advancing nursing leadership: a model for program implementation and measurement.
AB - Despite the abundant literature documenting the need for nurse management
education and career development, only recently have professional standards been
targeted for this group. Competency standards for nurse leaders repeatedly
identify systems-level concepts including finance and budget, communication
skills, strategic management, human resources management, change management, and
computer technology skills. However, educational initiatives to meet these
standards are still at the early stages and most nurse leaders continue to
acquire knowledge and experience through "on-the-job" training. This article will
illustrate the need for partnerships and collaboration between academia and
hospitals to advance nursing leadership to the next century. In addition, a tool
to measure the impact of a graduate certificate program in nursing administration
on nurse leader competencies is presented. Overall, the certificate program has
been successful in multiple ways; it has "graduated" almost 80 nurse leaders,
improved participant competence in their role at the systems level, as well as
providing an impetus for completion of a graduate degree post program.
PMID- 21900818
TI - Beyond the classroom: nurse leader preparation and practices.
AB - Formal academic education and experience as a nurse are established preparation
for the chief nurse executive (CNE) or upcoming nurse leaders. This article
proposes that the nurse leader must build on these fundamentals through self
discipline, lifelong learning, and practice. Three critical ingredients are
discussed to guide the nurse leader on a life/career for the CNE and the nurse
leader at every level. These include fostering relationships, feeding
intellectual curiosity, and engaging in self-care practices. These indispensable
ingredients of the successful nurse leader serve as an augmentation to formal
education and experience for the nurse aspiring to reach the CNE level and beyond
as well as for the current CNE mentoring future leaders.
PMID- 21900819
TI - Creating insight when the literature is absent: the circle of advisors.
AB - When changes happen as rapidly as they do today, the literature is often absent.
Although related literature may be available to substantiate a direction to take
when faced with some issue to resolve, that literature may be vague in terms of
its applicability within health care. The idea of a circle of advisors was
instituted to gain insight from experts who had faced similar challenges and
often had extensive networks of shared experiences. The use of a sequential
dialog identified specific talents to be developed in a chief nurse executive
enculturation program.
PMID- 21900820
TI - Chief nursing officer executive development: a crisis or a challenge?
AB - The roles and responsibilities of nurses are expanding, as they become more
involved in administration and leadership within their field. Nurses are taking
on executive positions, which have become a crucial part of hospital
organizations. With this expansion, an executive development program is necessary
to provide nurses with the knowledge and competencies that these executive
positions require. Their focus must evolve from singular bedside practice to the
greater scope of health care administration. Leadership skills are extremely
important, in addition to interpersonal, management, mentoring, and
interprofessional skills. A number of nursing associations have worked to develop
a set of curricula for nurse executive education, but a consistent and specific
set of academic requirements has yet to be agreed upon. With the importance of
the chief nursing officer's (CNO) role in the function of a hospital and the
requirement of the knowledge of the changing health care system in the United
States, it is essential that nurses are provided with proper education and
training that will help them become successful nurse executives. Some suggestions
include a dual MSN/MBA degree, a PhD in nursing, continuing education institutes,
and mentoring programs. However, research must be done to clarify the best way to
prepare CNOs for their roles.
PMID- 21900821
TI - Global nursing leadership: a practical guide.
AB - Nursing leaders of today must be prepared for a nursing practice environment
inclusive of local, national, and global work and issues. The educational
preparation of nursing leaders should incorporate a fundamental curriculum that
offers a broad preparation and basic leadership skills along with guidelines and
experiences to support global outreach and collaboration with many cultures and
health care environments. This article provides a practical guide on entry into
Global Nursing Leadership for nursing leaders from the nurse executive including
all levels of nursing management.
PMID- 21900822
TI - Regulation 101 for the nurse administrator.
PMID- 21900823
TI - News from the front.
PMID- 21900824
TI - Maternal exposure to unexpected economic contraction and birth weight for
gestational age.
AB - BACKGROUND: The macro-level economy may affect fetal health through maternal
behavioral or physiologic responses. METHODS: We used a multilevel design to
examine associations between exposure to state-level unexpected economic
contraction during each trimester of gestation and birth weight for gestational
age percentile and small for gestational age (SGA), using the National
Longitudinal Survey of Youth 1979. We examined differences in observed
associations by maternal educational attainment, race/ethnicity, employment
status, and poverty status. RESULTS: Exposure in the first trimester was
associated with a 3.7 percentile point decrease in birth weight for gestational
age (95% confidence interval [CI] = -6.8 to -0.6). This association appeared
stronger for women "keeping house" or with <12 years education. Exposure in the
first trimester was also associated with increased odds of SGA (odds ratio = 1.5
[95% CI = 1.1 to 2.1]) and term SGA (odds ratio = 1.6 [95% CI = 1.2 to 2.3]).
CONCLUSIONS: Unexpected economic contraction during early pregnancy may be
associated with reduced fetal growth.
PMID- 21900825
TI - Does antidepressant use attenuate the risk of a major depressive episode in
pregnancy?
AB - BACKGROUND: Many women become pregnant while undergoing antidepressant treatment
and are concerned about continuing antidepressant medication. However,
antidepressant discontinuation may increase the risk of a new episode of major
depressive disorder. We sought to estimate differences in the risk of developing
a new major depressive episode among pregnant and postpartum women with recurrent
illness who either did or did not use antidepressants. METHODS: Participants were
recruited from obstetrical settings; we analyzed a subgroup of 778 women with a
history of a depressive disorder. Diagnoses were determined by the Composite
International Diagnostic Interview administered twice in pregnancy and once after
delivery. We used Cox Regression to model onset of a major depressive episode
with a time-dependent predictor of antidepressant use. RESULTS: There was no
clear difference in risk of a major depressive episode between women who took
antidepressants and women who did not (hazard ratio [HR] = 0.88; 95% CI = 0.51
1.50). After accounting for antidepressant use, clearly hazardous factors
included 4 or more depressive episodes before pregnancy (HR = 1.97; 95% CI = 1.09
3.57), black race (HR = 3.69; 95% CI = 2.16-6.30), and Hispanic ethnicity (HR =
2.33; 95% CI = 1.47-3.69). CONCLUSIONS: Failure to use or discontinuation of
antidepressants in pregnancy did not have a strong effect on the development of a
major depressive episode. Women with 4 or more episodes before pregnancy were at
high risk of a major depressive episode, independent of antidepressant use. Black
and Hispanic women also were at high risk of a major depressive episode, but it
is possible that this effect is attributable to unmeasured factors.
PMID- 21900826
TI - Sex and race differences in the prevalence of fatty liver disease as measured by
computed tomography liver attenuation in European American and African American
participants of the NHLBI family heart study.
AB - BACKGROUND AND AIMS: Liver attenuation (LA) [Hounsfield Units (HU)] by computed
tomography is a validated quantitative measure that is inversely related to liver
fat burden. We examined race and sex differences on the distribution of LA [one
of the first stages of fatty liver disease (FLD)] and the predictors of these
mean differences in European American (EA) and African American (AA) participants
of the Family Heart Study. MATERIALS AND METHODS: A total of 1242 (1064 EA, 178
AA) and 1477 (1150 EA, 327 AA) men and women, respectively, underwent computed
tomography examination from which LA and abdominal adipose volume were measured.
LA (adjusted for phantom and field center) was the dependent variable in linear
mixed models (to control for family relatedness) that tested for mean differences
by race and by sex. Independent explanatory variables included age, BMI, visceral
adipose tissue volume (VAT), subcutaneous adipose tissue volume, alcohol
consumption, triglyceride, HDL-cholesterol, and insulin resistance. RESULTS: Mean
LA varied significantly by sex, [(men) 57.76+/-10.03 HU and (women) 60.03+/-10.91
HU, P=0.0002], but not by race. Higher LA was associated with older age, whereas
higher values of VAT, triglycerides, and insulin resistance were associated with
lower LA in men and women. In contrast, alcohol consumption and BMI were
associated with lower LA only among men. In analyses stratified by race, LA was
associated with alcohol consumption, VAT, and insulin resistance in both EA and
AA and with age, BMI, and HDL-cholesterol in EA participants only. CONCLUSIONS:
Our study findings confirm that there are important sex differences and race by
sex interaction effects on the distribution of LA, the prevalence of FLD, and on
the influence of metabolic risk factors on LA and FLD.
PMID- 21900827
TI - The concept of bootstrapping of structural equation models with smaller samples:
an illustration using mealtime rituals in diabetes management.
AB - OBJECTIVE: The objective of this study was to offer a practical demonstration of
the use of bootstrapping in structural equation modeling (SEM) with smaller
samples by evaluating family dinnertime rituals at the intersection between the
family social environment and pediatric type 1 diabetes mellitus management.
METHOD: Participants were 77 children and adolescents aged 6 to 18 years and
their mothers from a larger study of child and parental challenges related to
managing the treatment regimen and correlates of adherence. SEM was used to test
a model of maternal reports of the family social environment as exogenous
variables with mealtime rituals and child hemoglobin A1c levels as endogenous
variables. The bootstrapping procedure and the Bollen-Stine bootstrapped chi test
were then applied to test the stability and appropriateness of this model.
RESULTS: Using SEM, the final model had robust goodness-of-fit indicators and
revealed that the family social environment characteristics of control and
cohesion were related to mealtime rituals, which was associated with hemoglobin
A1c levels. The bootstrapping procedure indicated that the parameter estimates
were very stable, thus lending greater credence to the model. The Bollen-Stine
approach provided evidence that the entire hypothesized model was not
significantly different from the model derived from bootstrapping. CONCLUSIONS:
This investigation offers a practical demonstration of the bootstrapping
technique and the Bollen-Stine approach for testing models on smaller samples in
SEM. These techniques may be used with relatively small samples, which are common
in developmental/behavioral pediatrics and pediatric psychology research.
PMID- 21900828
TI - Developmental coordination disorder in school-aged children born very preterm
and/or at very low birth weight: a systematic review.
AB - OBJECTIVE: : To systematically review and synthesize the literature to document
the association between infants born very preterm and/or very low birth weight
(VLBW) and the presence of developmental coordination disorder (DCD) at school
age. METHODS: : Seven databases were systematically searched. Studies were
included if they examined very preterm (<32 weeks) and/or VLBW (<1500 g) infants
to school age (age, 5-18 years), had a full-term and/or normal birth weight
comparison group, and used a formal measure of motor impairment. Studies that
included only infants who were small for gestational age or diagnosed with
cerebral palsy were excluded. Two independent reviewers completed abstract and
full-text screening, data extraction, and quality assessment of included studies.
RESULTS: : Sixteen articles were included, with 7 studies incorporated into 2
meta-analyses using cutoff scores of either <5th or 5-15th percentile on the
Movement Assessment Battery for Children. Both analyses showed a significant
increase in the likelihood of DCD for children born very preterm and/or 1500 g or
less, with odds ratios of 6.29 (95% confidence interval, 4.37-9.05, p < .00001)
and 8.66 (95% confidence interval, 3.40-22.07, p < .00001) for <5th or 5-15th
percentile scores, respectively. CONCLUSIONS: : Consistent across studies, DCD is
more prevalent in the VLBW/very preterm population than full-term/normal birth
weight control children and the general school-age population, with significantly
greater odds of developing the disorder. Clinical practice should focus on early
identification of and intervention for children with DCD, while research should
focus on determining the mechanisms underlying DCD in the preterm population.
PMID- 21900829
TI - Effective out-of-operating room airway management for physicians not
traditionally trained in airway management.
AB - BACKGROUND: There is a dearth of literature about the safety and practicality of
intubation performed by an internal medicine (IM) or any other nonanesthesia,
nonemergency physician. OBJECTIVES: The objectives of the study were to describe
abbreviated airway management training guidelines for IM physicians staffing the
emergency department and to compare the success rates between intubations
performed by anesthesia and IM physicians, respectively. METHODS: In this study,
272 consecutive out-of-operating room intubations performed by anesthesia and IM
physicians were evaluated after creating and implementing an abbreviated
intubation training protocol. RESULTS: Of 165 intubations attempted by IM
physicians and 107 intubations attempted by the anesthesia service, the rates of
successful intubation were 93% and 99%, respectively (P = 0.02). There were no
other statistically significant differences in outcomes. CONCLUSIONS:
Procedurally oriented IM fellows could provide a temporary solution to hospitals
that currently do not have the resources to provide full-time, in-house
anesthesiology or emergency physicians for management of the emergent airway.
PMID- 21900830
TI - Monitoring of anti-transglutaminase autoantibodies in pediatric celiac disease
using a sensitive radiobinding assay.
AB - OBJECTIVES: The diagnosis of celiac disease (CD) is based on the histological
identification of gluten-sensitive enteropathy and detection of anti-tissue
transglutaminase antibodies (tTGA) and/or endomysial antibodies. Serial
measurements of tTGA are now recommended as a follow-up strategy to monitor
compliance with a gluten-free diet (GFD). We evaluated the performances of a
quantitative radiobinding assay (RBA) of tTGA immunoglobulin A at diagnosis and
during monitoring of GFD in pediatric CD. METHODS: Eighty children with confirmed
CD were selected. Levels of serum tTGA measured by RBA and a commercial enzyme
linked immunosorbent assay (ELISA) were compared at diagnosis. The relation
between RBA-tTGA levels and histological damage was analyzed, as well as the time
course of tTGA clearance during GFD. RESULTS: Both RBA and ELISA showed high
sensitivity and specificity for tTGA detection at diagnosis. There was no
relation between RBA-tTGA levels at diagnosis and severity of mucosal damage.
Upon initiation of GFD, the rate of RBA-tTGA positivity declined slower than that
of endomysial antibodies positivity, with >50% of the children still tTGA
positive at year 5; however, tTGA levels decreased rapidly during the first year
of GFD and more slowly thereafter. Children who seroreverted had lower tTGA
levels at diagnosis (2080+/-1554 cpm) than those who remained tTGA positive
throughout follow-up (3688+/-1435 cpm). CONCLUSIONS: The high sensitivity of RBA
is likely responsible for higher tTGA positivity rates during GFD than previously
reported with ELISA. A decreasing trend for tTGA levels may represent a better
surrogate marker of compliance with GFD than absolute normal tTGA levels.
PMID- 21900831
TI - Biliary atresia: new lessons learned from the past.
PMID- 21900832
TI - Dysmegakaryopoiesis, a clue for an early diagnosis of familial platelet disorder
with propensity to acute myeloid leukemia in case of unexplained inherited
thrombocytopenia associated with normal-sized platelets.
AB - We report dysmegakaryopoiesis in a case of familial platelet disorder with
predisposition to acute myeloid leukemia (familial platelet disorder/acute
myeloid leukemia phenotype Mendelian Inheritance in Man number 601 399). Slight
reduction of the number of megakaryocytes with high nucleocytoplasmic ratio,
strongly basophilic cytoplasm and poorly lobulated nuclei are suggestive of
megakaryocytic dysplasia.
PMID- 21900833
TI - Methemoglobinemia in postchemotherapy stomatitis topical treatment: 2 pediatric
cases.
AB - Methemoglobinemia is a rare congenital or acquired disease of increased blood
methemoglobin concentration. We documented 2 cases of children suffering from
neuroblastoma whose postchemotherapy anemia, leucopenia, and stomatitis were
complicated by methemoglobinemia after using a formulary oral gel (7.5%
benzocaine, doxycycline, nystatin, glycerin). The complication resulted in
hospital treatment. Percutaneous oxygen saturation remained at 85% and 87%
despite administration of 100% oxygen through a nonrebreather mask. Arterial
blood gas analysis showed an oxygen saturation of 98% and 97%, respectively.
Spectroscopic measurement showed methemoglobin concentration of 42% and 35.5%,
respectively. After red blood cell transfusion and oral ascorbic acid in case 1
and methylene blue in case 2, the patients' condition improved. Although the
benzocaine gel is not in use in several medical systems, it should be considered
as a possible reason for methemoglobinemia.
PMID- 21900834
TI - Barrett esophagus in long-term survivors of childhood solid tumors.
AB - We report on 2 cases of long-term survivors of childhood solid tumors, who
developed Barrett esophagus (BE) after treatment for neuroblastoma and Hodgkin
lymphoma, respectively. Case 1: A stage 3 neuroblastoma was treated with surgery,
carboplatin/etoposide chemotherapy, and supradiaphragmatic radiotherapy (30 Gy).
Twelve years later, based on endoscopic and histologic findings, BE was diagnosed
on the middle segment. Case 2: A stage IIIB Hodgkin lymphoma received
mechloretamine, oncovin, procarbazine, prednisone/adriamycin, bleomycin,
vinblastine, dacarbazine chemotherapy and supra/subdiaphragmatic radiotherapy (25
Gy). Nineteen years later, BE was diagnosed associated with an esophageal
stricture. In long-term survivors of childhood tumors who had received chest/neck
radiotherapy and chemotherapy, the risk of BE may be increased, therefore the
diagnosis should be considered in the presence of gastroesophageal symptoms.
PMID- 21900835
TI - Carcinoid tumor with bilateral renal involvement in a child.
AB - Carcinoid tumors are uncommon in children. Kidneys are rarely involved as they do
not possess neuro-endocrine cells. Work up of painless hematuria after abdominal
trauma in a 10-year-old boy revealed primary carcinoid tumors with metastasis to
both kidneys. We were unable to find any previous reports of renal involvement by
carcinoid tumor in children.
PMID- 21900836
TI - A randomized phase II trial of pemetrexed/gemcitabine/bevacizumab or
pemetrexed/carboplatin/bevacizumab in the first-line treatment of elderly
patients with advanced non-small cell lung cancer.
AB - PURPOSE: To assess time to progression (TTP) in elderly patients with previously
untreated nonsquamous non-small cell lung cancer treated with
pemetrexed/gemcitabine/bevacizumab or pemetrexed/carboplatin/bevacizumab.
METHODS: Eligible patients were aged 70 years or older with newly diagnosed stage
IIIB/IV nonsquamous non-small cell lung cancer; Eastern Cooperative Oncology
Group performance status 0 to 1; adequate organ function; and no active central
nervous system metastasis. Patients were randomized 1:1 to cohort A (pemetrexed
500 mg/m2 IV, gemcitabine 1500 mg/m2 IV, and bevacizumab 10 mg/kg IV; days 1 and
15 of 28-day cycles) or cohort B (pemetrexed 500 mg/m2 IV, carboplatin area under
the concentration-time curve =5 IV, and bevacizumab 15 mg/kg IV; day 1 of 21-day
cycles). After six cycles, stable/responding patients continued bevacizumab until
disease progression. RESULTS: Between March 2007 and December 2009, 110 patients
(median age, 76 years; 88% stage IV) were treated for medians of 2.5 cycles
(cohort A) and 6 cycles (cohort B). Overall response rate was 35% in both
cohorts, with stable disease rates of 33% (A) and 45% (B). TTP by cohort was 4.7
and 10.2 months with median OS 7.5 and 14.8 months, respectively. Severe
toxicities included the following: neutropenia (A, 51% and B, 45%), fatigue (A,
36% and B, 18%), anemia (A, 22% and B, 7%), infection (A, 25% and B, 7%),
thrombocytopenia (A, 11% and B, 31%), and thromboembolism (A, 7% and B, 7%).
Three potential treatment-related deaths occurred in cohort A (sepsis,
thrombocytopenia, and myocardial infarction) and two in B (sepsis and pulmonary
hemorrhage). CONCLUSIONS: Treatment with pemetrexed/carboplatin/bevacizumab was
associated with improved TTP and OS in this elderly population and should be
further evaluated. Treatment-related toxicities were expected and usually
manageable, although deaths occurred with both regimens.
PMID- 21900837
TI - Epidermal growth factor receptor mutation status in circulating free DNA in
serum: from IPASS, a phase III study of gefitinib or carboplatin/paclitaxel in
non-small cell lung cancer.
AB - INTRODUCTION: In IPASS (IRESSA Pan-Asia Study), clinically selected patients with
pulmonary adenocarcinoma received first-line gefitinib or carboplatin/paclitaxel.
This preplanned, exploratory analysis was conducted to increase understanding of
the use of surrogate samples, such as serum, versus tumor biopsy samples for
determining EGFR mutation status in the Japanese cohort (n = 233). METHODS: EGFR
mutations were assessed using tumor tissue-derived DNA (n = 91) and circulating
free (cf) DNA from pretreatment serum samples (n = 194). RESULTS: Fewer patients
were EGFR mutation positive when assessed using pretreatment cfDNA (23.7%) versus
tumor tissue-derived DNA (61.5%). cfDNA results identified no false positives but
a high rate of false negatives (56.9%). There was a significant interaction
between cfDNA EGFR mutation status and treatment for progression-free survival
(PFS) (p = 0.045). PFS was significantly longer and objective response rate (ORR)
higher with gefitinib than carboplatin/paclitaxel in the cfDNA EGFR mutation
positive subgroup (PFS: hazard ratio [HR], 0.29; 95% confidence interval [CI],
0.14-0.60; p < 0.001; ORR: odds ratio [OR], 1.71; 95% CI, 0.48-6.09; 75.0% versus
63.6%; p = 0.40). There was a slight numerical advantage in PFS and ORR for
gefitinib over carboplatin/paclitaxel in the cfDNA EGFR mutation-negative
subgroup, likely due to the high rate of false negatives within this subgroup.
CONCLUSIONS: These results merit further investigation to determine whether
alternative sources of tumor DNA, such as cfDNA in serum, could be used for
determining EGFR mutation status in future; currently, where a sample is
available, analysis of tumor material is recommended.
PMID- 21900838
TI - Increasing age and carcinoma not otherwise specified: a 20-year population study
of 40,118 lung cancer patients.
AB - BACKGROUND AND AIM: New treatment regimens require a differentiation between
histological subsets of non-small cell lung cancer. We aimed to assess how the
incidence and prognosis of carcinoma not otherwise specified (NOS) coincide with
an aging patient population, disease stage, and diagnostic methods used. METHODS:
Complete national data on 40,118 cases (including 6,597 diagnosed with carcinoma
NOS) from the Cancer Registry of Norway (1988-2007) are presented. RESULTS: The
proportion of elderly patients (70 years and older) have increased to over half
of all patients diagnosed, a trend also evident among carcinoma NOS patients. The
proportion of carcinomas NOS reported to the cancer registry in this 20-year
period has increased from 12% in 1988 to 19% in 2007. Crude 5-year relative
survival in carcinoma NOS was lowest of all non-small cell lung cancer entities
throughout the 20-year period; however, patients diagnosed with carcinoma NOS in
the period 2003 to 2007 had about 24% lower risk of dying within 5 years after
diagnosis compared with patients diagnosed between 1988 and 1992, adjusted for
covariates. Most lung cancers are diagnosed by biopsy of the primary lesion;
although the proportion is lower among carcinomas NOS than other histological
entities. By the last 5-year period studied, carcinoma NOS was the histological
entity most commonly diagnosed by cytology (38%). CONCLUSION: The proportion of
carcinomas NOS has increased to 19% of all lung cancer cases. This histological
entity is associated with older age and poor survival.
PMID- 21900839
TI - Chest wall sarcomas are accurately diagnosed by image-guided core needle biopsy.
AB - OBJECTIVE: Sarcomas are rare mesenchymal malignancies. Accurate preoperative
diagnosis is a prerequisite in considering investigational or institutional
management algorithms that include neoadjuvant treatment. We reviewed our
experience using core needle biopsy for chest wall sarcomas. METHODS: A
retrospective review of our sarcoma databases revealed that 40 core needle
biopsies and 35 tumor resections were performed in 34 patients, with chest wall
musculoskeletal tumors, referred to the University of California, Los Angeles
from 1991 to 2010. Primary, metastatic, or recurrent sarcomas involving the
sternum, ribs, and soft tissues of the chest wall were evaluated for (1) adequacy
of tissue from image-guided core needle biopsies and (2) accuracy in determining
malignancy, histological subtype, and sarcoma grade. RESULTS: Twenty-eight of the
40 needle biopsy samples (70%) were adequate for histopathological analysis.
Forty-two percent of nondiagnostic findings occurred due to insufficient tissue,
whereas the remainder had sufficient tissue, but the pathologist was unable to
determine specific histology. Excluding the nondiagnostic samples, the accuracy
in determining malignancy, histological subtype, and grade in sarcomas was 100,
92, and 87%, respectively. The sensitivity and specificity of determining
malignancy and high-grade sarcomas were 100, 100, 77, and 100%, respectively.
There were no complications from the image-guided biopsies. CONCLUSIONS: We
demonstrated that image-guided core needle biopsy when performed and reviewed by
experienced radiologists and musculoskeletal pathologists is a safe and accurate
diagnostic technique for chest wall sarcomas. Core needle biopsy should be
considered in the multidisciplinary approach to chest wall musculoskeletal
tumors, especially when induction therapy is considered.
PMID- 21900840
TI - Everolimus in combination with pemetrexed in patients with advanced non-small
cell lung cancer previously treated with chemotherapy: a phase I study using a
novel, adaptive Bayesian dose-escalation model.
AB - INTRODUCTION: Pemetrexed is an established second-line therapy for non-small cell
lung cancer (NSCLC). Everolimus has previously been shown to have some clinical
activity when used as a single agent in NSCLC. The aim of this phase I study was
to evaluate the safety and feasibility of combining pemetrexed with everolimus in
patients with NSCLC who had disease progression after one previous treatment.
METHODS: Patients with stage IIIb/IV NSCLC and one previous chemotherapy regimen
were enrolled. A Bayesian dose-escalation model was used to determine the
feasible doses of daily or weekly everolimus combined with pemetrexed (500 mg/m
q3w). The primary end point was rate of cycle 1 dose-limiting toxicities (DLTs).
Secondary end points included safety, relative dose intensity of pemetrexed,
pharmacokinetics, and tumor response. RESULTS: Twenty-four patients received
daily everolimus (2.5, 5, 7.5, or 10 mg) and 19 received weekly everolimus (30 or
50 mg) with pemetrexed. Cycle 1 DLTs in the daily regimen included febrile
neutropenia, neutropenia, rash/pruritus, and thrombocytopenia; in the weekly
regimen, DLTs included neutropenia and stomatitis. The most frequent grade 3/4
adverse events were neutropenia, dyspnea, and thrombocytopenia. Three partial
responses were observed with everolimus 5 mg/d and two with 50 mg/wk.
Pharmacokinetics did not suggest an influence of everolimus on pemetrexed
parameters; pemetrexed resulted in a minor decrease in everolimus exposure with
both daily and weekly regimens. CONCLUSIONS: Everolimus 5 mg/d or 50 mg/wk with
the standard regimen of pemetrexed are feasible dosages in patients with stage
IIIb/IV NSCLC.
PMID- 21900841
TI - Anabolic steroid use and longitudinal, radial, and circumferential cardiac
motion.
AB - PURPOSE: The purpose of this study was to investigate the effect of anabolic
steroid (AS) use on cardiac structure and function and cardiovascular risk
factors. METHODS: We recruited 47 strength-trained individuals (male = 46, female
= 1), with 28 self-reporting regular AS use and 19 self-reporting never taking
AS. Participants underwent assessment of body composition, lipid profiles, blood
pressure, 12-lead ECG, and a comprehensive echocardiographic examination
incorporating speckle tracking of longitudinal, radial, and circumferential left
ventricular (LV) motion. A subgroup of AS users (n = 4) were tested during
periods of AS use and abstinence. RESULTS: AS users were heavier (96 +/- 15 vs 81
+/- 9 kg, P < 0.05), had higher LDL (3.68 +/- 0.47 vs 2.41 +/- 0.49 mmol.L-1, P <
0.05), and had higher resting HR (79 +/- 12 vs 64 +/- 13 beats.min-1), although
blood pressures did not differ significantly between groups. In AS, LV wall
thickness and mass were significantly greater (12 +/- 2 vs 11 +/- 1 mm and 280 +/
60 vs 231 +/- 44 g, respectively, P < 0.05), whereas ejection fractions and peak
longitudinal strain ([Latin Small Letter Open E]) were significantly lower (58%
+/- 8% vs 63% +/- 6% and -14.6% +/- 2.3% vs -16.9% +/- 2.2%, P < 0.05). Indices
of global diastolic function were reduced in AS users (E/A, E'/A'). Some
diastolic strain rates (ESR and ASR) were altered in AS users. The E/A SR ratio
was reduced in the longitudinal plane as well as in the circumferential and
radial plane at the basal level (P < 0.05). Basal LV E/A rotation rate was also
decreased in AS users (P < 0.05). CONCLUSIONS: AS use is associated with
alterations in cardiac structure and function that, allied to poor lipid
profiles, represent an increased cardiovascular risk profile.
PMID- 21900843
TI - Recreational cycling and cardiorespiratory fitness in English youth.
AB - PURPOSE: Schoolchildren who cycle to school have higher cardiorespiratory fitness
(CRF) than those who are driven or use public transport. The purpose of this
study was to determine whether recreational cycling is similarly associated with
CRF. METHODS: Participants were 5578 (54% males) English schoolchildren (10.0
15.9 yr). All reported frequency of recreational cycling events via 7-d recall.
Responses were categorized as follows: "noncyclists" = 0, "occasional cyclists" =
1-4, or "regular cyclists" = 5+ (times per week). CRF was assessed using the 20-m
shuttle run test with performance classified as "fit" or "unfit" based on
FITNESSGRAM standards. RESULTS: Overall, 26% of males and 46% of females were
noncyclists. Compared with noncyclists, the 40% of males and 42% of females
classified as occasional cyclists were more likely to be fit (males: odds ratio
(OR) = 1.30, 95% confidence interval (CI) = 1.07-1.59; females: OR = 1.41, 95% CI
= 1.13-1.76). Regular cyclists (34% males and 12% females) had a greater
likelihood still of being classified as fit (males: OR = 1.58, 95% CI = 1.29
1.95; females: OR = 1.55, 95% CI = 1.09-2.20). No odds remained significant after
adjusting for physical activity. Removal of participants who cycled to school had
little overall effect on the likelihood of being classified as being fit.
CONCLUSIONS: Previous research has focused only on young people's commuter
cycling habits, at the expense of the more common activity of recreational
cycling. Recreational cycling may provide an alternative target for interventions
to increase physical activity and improve CRF youth. Recreational cycling could
potentially serve as a way to gain cycling confidence and establish habits that
act as precursors to commuter cycling.
PMID- 21900842
TI - Effect of different doses of aerobic exercise training on total bilirubin levels.
AB - Low serum bilirubin levels have been associated with increased risk for
cardiovascular disease, and recent data suggest that lower body fat and
reductions in weight are associated with higher bilirubin levels. However, it is
unknown if exercise training can increase bilirubin levels and whether a higher
dose of exercise will further increase bilirubin levels compared with a lower
dose. PURPOSE: The primary aim of our current report was to examine whether
exercise dose affects bilirubin levels in obese postmenopausal women from the
Dose-Response to Exercise in Women trial. In addition, we evaluated whether
changes in fitness, insulin sensitivity, and waist circumference associated with
exercise training were associated with change in bilirubin levels. METHODS:
Participants (n = 419) were randomized to the control group or to 4, 8, and 12
kcal.kg-1.wk-1 (KKW) of exercise training at an intensity of 50% of aerobic
capacity. Total bilirubin levels were evaluated at baseline and at follow-up.
RESULTS: Exercise training significantly increased serum bilirubin levels only in
the 12-KKW group (0.044 mg.dL-1, P = 0.026) compared with the control group
(0.004 mg.dL-1). Subgroup analyses showed that there was a significant increase
in bilirubin levels in participants in the 12-KKW group (0.076 mg.dL-1) who were
classified as insulin resistant (homeostatic model assessment for insulin
resistance score > 2.6) compared with insulin-resistant control participants
(0.018 mg.dL-1, P = 0.028). CONCLUSIONS: Our findings suggest that high doses of
exercise training are necessary to significantly increase bilirubin levels in
previously sedentary postmenopausal women and especially those with impaired
glucose metabolism.
PMID- 21900845
TI - Strength training with blood flow restriction diminishes myostatin gene
expression.
AB - PURPOSE: The aim of the study was to determine whether the similar muscle
strength and hypertrophy responses observed after either low-intensity resistance
exercise associated with moderate blood flow restriction or high-intensity
resistance exercise are associated with similar changes in messenger RNA (mRNA)
expression of selected genes involved in myostatin (MSTN) signaling. METHODS:
Twenty-nine physically active male subjects were divided into three groups: low
intensity (20% one-repetition maximum (1RM)) resistance training (LI) (n = 10),
low-intensity resistance exercise associated with moderate blood flow restriction
(LIR) (n = 10), and high-intensity (80% 1RM) resistance exercise (HI) (n = 9).
All of the groups underwent an 8-wk training program. Maximal dynamic knee
extension strength (1RM), quadriceps cross-sectional area (CSA), MSTN,
follistatin-like related genes (follistatin (FLST), follistatin-like 3 (FLST-3)),
activin IIb, growth and differentiation factor-associated serum protein 1 (GASP
1), and MAD-related protein (SMAD-7) mRNA gene expression were assessed before
and after training. RESULTS: Knee extension 1RM significantly increased in all
groups (LI = 20.7%, LIR = 40.1%, and HI = 36.2%). CSA increased in both the LIR
and HI groups (6.3% and 6.1%, respectively). MSTN mRNA expression decreased in
the LIR and HI groups (45% and 41%, respectively). There were no significant
changes in activin IIb (P > 0.05). FLST and FLST-3 mRNA expression increased in
all groups from pre- to posttest (P < 0.001). FLST-3 expression was significantly
greater in the HI when compared with the LIR and LI groups at posttest (P = 0.024
and P = 0.018, respectively). GASP-1 and SMAD-7 gene expression significantly
increased in both the LIR and HI groups. CONCLUSIONS: We concluded that LIR was
able to induce gains in 1RM and quadriceps CSA similar to those observed after
traditional HI. These responses may be related to the concomitant decrease in
MSTN and increase in FLST isoforms, GASP-1, and SMAD-7 mRNA gene expression.
PMID- 21900844
TI - Predicting maximal HR in heart failure patients on beta-blockade therapy.
AB - PURPOSE: Standards for estimating maximal HR are important when interpreting the
adequacy of physiologic stress during exercise testing, assessing chronotropic
response, and prescribing an exercise training regimen. The equation 220 - age is
used to estimate maximum HR; however, it overestimates measured maximal HR in
patients taking beta-adrenergic blockade (betaB) therapy. This study developed
and validated a practical equation to predict maximal HR in patients with heart
failure (HF) taking betaB therapy. METHODS: Data from symptom-limited exercise
tests completed on patients with systolic HF participating in the Heart Failure:
A Controlled Trial Investigating Outcomes of Exercise Training trial and taking a
betaB agent were used to develop a simplified equation, which was validated using
bootstrapping. RESULTS: The simplified derived equation was 119 + 0.5 (resting
HR) - 0.5 (age) - (0, if test was completed using a treadmill; 5, if using a
stationary bike). The R2 and SEE were 0.28 and 18 beats.min(-1), respectively.
Validation of this equation yielded a mean R and SEE of 0.28 and 18 beats.min(
1), respectively. For the equation 220 - age, the R2 was -2.93, and the SEE was
43 beats.min(-1). CONCLUSIONS: We report a valid and simple population-specific
equation for estimating peak HR in patients with HF taking betaB therapy. This
equation should be helpful when evaluating chronotropic response or assessing if
a maximum effort was provided during exercise testing. We caution, however, that
the magnitude of the variation (SEE = 18 beats.min(-1)) associated with this
prediction equation may make it impractical when prescribing exercise intensity.
PMID- 21900846
TI - Influence of competition on performance and pacing during cycling exercise.
AB - PURPOSE: The study's purpose was to examine the influence of head-to-head (HH)
competition on performance, pacing strategy, and bioenergetics during a 2000-m
cycling task. METHODS: Fourteen participants completed three 2000-m
familiarization time trials (TTs) on a Velotron cycle ergometer, before
completing an additional TT and a 2000-m simulated HH competition in a
counterbalanced order. During the trials, a computer-generated image of the
participants completing the 2000-m course was projected onto a screen positioned
in front of the participants. Although participants believed they were competing
against another individual during the HH competition, they were in fact competing
against their best familiarization performance (FAM), replayed on the screen by
the Velotron software. RESULTS: Performance was significantly faster in HH than
in FAM or TT (184.6 +/- 6.2, 187.7 +/- 8.2, and 188.3 +/- 9.5 s, respectively).
Pacing profile in HH initially matched the FAM performance but was better
maintained from 1000 m until the end of exercise. The higher power output during
the latter part of the test was achieved by a greater anaerobic energy
contribution, whereas the aerobic energy yield remained unchanged. CONCLUSIONS:
HH competition encourages participants to increase their performance. This occurs
primarily via an increased anaerobic energy yield, which seems to be centrally
mediated, and is consistent with the concept of a physiologic reserve.
PMID- 21900847
TI - Preschoolers' physical activity, screen time, and compliance with
recommendations.
AB - PURPOSE: Little evidence exists about the prevalence of adequate levels of
physical activity and of appropriate screen-based entertainment in preschool
children. Previous studies have generally relied on small samples. This study
investigates how much time preschool children spend being physically active and
engaged in screen-based entertainment. The study also reports compliance with the
recently released Australian recommendations for physical activity (>=3 h.d(-1))
and screen entertainment (<=1 h.d(-1)) and the National Association for Sport and
Physical Education physical activity guidelines (>=2 h.d(-1)) and American
Academy of Pediatrics screen-based entertainment recommendations (<=2 h.d(-1)) in
a large sample of preschool children. METHODS: Participants were 1004 Melbourne
preschool children (mean age = 4.5 yr, range = 3-5 yr) and their families in the
Healthy Active Preschool Years study. Physical activity data were collected by
accelerometry during an 8-d period. Parents reported their child's
television/video/DVD viewing, computer/Internet, and electronic game use during a
typical week. A total of 703 (70%) had sufficient accelerometry data, and 935
children (93%) had useable data on time spent in screen-based entertainment.
RESULTS: Children spent 16% (approximately 127 min.d(-1)) of their time being
physically active. Boys and younger children were more active than were girls and
older children, respectively. Children spent an average of 113 min.d(-1) in
screen-based entertainment. Virtually no children (<1%) met both the Australian
recommendations and 32% met both the National Association for Sport and Physical
Education and American Academy of Pediatrics recommendations. CONCLUSIONS: The
majority of young children are not participating in adequate amounts of physical
activity and in excessive amounts of screen-based entertainment. It is likely
that physical activity may decline and that screen-based entertainment may
increase with age. Compliance with recommendations may be further reduced.
Strategies to promote physical activity and reduce screen-based entertainment in
young children are required.
PMID- 21900848
TI - Asymmetry of quadriceps muscle oxygenation during elite short-track speed
skating.
AB - PURPOSE: It has been suggested that, because of the low sitting position in short
track speed skating, muscle blood flow is restricted, leading to decreases in
tissue oxygenation. Therefore, wearable wireless-enabled near-infrared
spectroscopy (NIRS) technology was used to monitor changes in quadriceps muscle
blood volume and oxygenation during a 500-m race simulation in short-track speed
skaters. METHODS: Six elite skaters, all of Olympic standard (age = 23 +/- 1.8
yr, height = 1.8 +/- 0.1 m, mass = 80.1 +/- 5.7 kg, midthigh skinfold thickness =
7 +/- 2 mm), were studied. Subjects completed a 500-m race simulation time trial
(TT). Whole-body oxygen consumption was simultaneously measured with muscle
oxygenation in right and left vastus lateralis as measured by NIRS. RESULTS: Mean
time for race completion was 44.8 +/- 0.4 s. VO2 peaked 20 s into the race. In
contrast, muscle tissue oxygen saturation (TSI%) decreased and plateaued after 8
s. Linear regression analysis showed that right leg TSI% remained constant
throughout the rest of the TT (slope value = 0.01), whereas left leg TSI%
increased steadily (slope value = 0.16), leading to a significant asymmetry (P <
0.05) in the final lap. Total muscle blood volume decreased equally in both legs
at the start of the simulation. However, during subsequent laps, there was a
strong asymmetry during cornering; when skaters traveled solely on the right leg,
there was a decrease in its muscle blood volume, whereas an increase was seen in
the left leg. CONCLUSIONS: NIRS was shown to be a viable tool for wireless
monitoring of muscle oxygenation. The asymmetry in muscle desaturation observed
on the two legs in short-track speed skating has implications for training and
performance.
PMID- 21900849
TI - A phase III, randomized, placebo-controlled, double-blind trial of flaxseed for
the treatment of hot flashes: North Central Cancer Treatment Group N08C7.
AB - OBJECTIVE: Preliminary data suggest that flaxseed, a rich source of dietary
lignans, may be a potentially effective treatment of hot flashes. A phase III,
randomized, placebo, controlled trial was conducted to evaluate the efficacy of
flaxseed in reducing hot flashes. METHODS: Postmenopausal women with or without
breast cancer were randomly assigned to a flaxseed bar (providing 410 mg of
lignans) for 6 weeks versus a placebo bar. Participants completed daily,
prospective, hot flash diaries during the baseline week, and then ate one study
bar per day for 6 weeks while recording their daily hot flashes. The
intraparticipant difference in hot flash activity between baseline and the last
treatment week was the primary endpoint. Adverse effects were evaluated through a
self-report and the Common Terminology Criteria assessment. RESULTS: A total of
188 women were enrolled in this trial. The mean hot flash score was reduced 4.9
in the flaxseed group and 3.5 in the placebo group (P = 0.29). In both groups,
slightly more than a third of the women received a 50% reduction in their hot
flash score. Only one adverse effect was significantly different between groups,
grade 1 pruritus, which was more common in the placebo group (8% vs 1%). Both
groups reported abdominal distension, flatulence, diarrhea, and nausea. Adherence
and ability to detect treatment assignment did not differ between groups.
CONCLUSIONS: The results of this trial do not support the use of 410 mg of
lignans for the reduction of hot flashes. The bars were fairly well tolerated,
with both groups reporting gastrointestinal effects, probably due to the fiber
content.
PMID- 21900851
TI - The perimenopausal atherosclerosis transition: relationships between calcified
and noncalcified coronary, aortic, and carotid atherosclerosis and risk factors
and hormone levels.
AB - OBJECTIVE: Women of perimenopause age experience an upward transition of
cardiovascular risk possibly in association with changing hormonal status. We
examined the cross-sectional relationships between the atherosclerotic plaque
within the coronary and carotid arteries and aorta and the menopausal hormone
levels among asymptomatic perimenopausal women. METHODS: The Assessment of the
Transition of Hormonal Evaluation with Noninvasive Imaging of Atherosclerosis was
a prospective substudy of the Prospective Army Coronary Calcium project. We
screened 126 asymptomatic perimenopausal women (mean age, 50 y) using contrast
enhanced CT angiography (multidetector CT) and carotid ultrasound. Women had
coronary calcium data from 5 to 10 years earlier. The measures included
cardiovascular risk factors, serum hormone levels, 64-slice multidetector CT, and
carotid ultrasound. RESULTS: The prevalence of any coronary plaque was 35.5%. The
prevalence of noncalcified plaque was 30.2%, and noncalcified plaque was the only
manifestation of coronary artery disease in 10.7%. Markers of androgenicity
(increased free testosterone and reduced sex hormone-binding globulin) were
associated with an increased extent of calcified and noncalcified coronary artery
plaque and aortic plaque. However, these relationships were not independent of
cardiovascular risk factors. Follicle-stimulating hormone was directly associated
with the number of aortic plaques. The levels of estrogen hormones were unrelated
to plaque presence or extent. CONCLUSIONS: Coronary, aortic, and carotid arterial
plaque is prevalent in perimenopausal women without cardiac symptoms. The
assessment of perimenopausal hormone status was not independently associated with
subclinical atherosclerosis beyond standard cardiovascular risk factors.
PMID- 21900850
TI - Negative affect and vasomotor symptoms in the Study of Women's Health Across the
Nation Daily Hormone Study.
AB - OBJECTIVE: Vasomotor symptoms (VMS) are common during the menopausal transition.
Negative affect is consistently associated with self-reported VMS, but the
interpretation of this relationship is limited by the infrequent measurement and
retrospective recall of VMS. Using prospective data from daily diaries, we
examined the daily association between negative affect and reported VMS, as well
as the temporal associations between negative affect and next-day VMS and between
VMS and next-day negative affect. METHODS: Data were derived from the third wave
of the Daily Hormone Study (N = 625). The Daily Hormone Study is a substudy of
the Study of Women's Health Across the Nation, a multisite community-based
prospective cohort study of the menopausal transition. Participants reported VMS
and affect in daily diaries for 12 to 50 days. Multilevel mixed models were used
to determine the associations between reported VMS and negative affect, adjusted
by antidepressant use, age, education, menopause status, self-reported health,
and race/ethnicity, drawn from annual Study of Women's Health Across the Nation
visits. RESULTS: VMS were reported by 327 women (52.3%). Negative affect was
positively associated with VMS (odds ratio [OR], 1.76; 95% CI, 1.43-2.17; P <
0.001) in cross-sectional analyses. Negative affect, adjusted by same-day VMS,
was not predictive of next-day VMS (OR, 1.11; 95% CI, 0.85-1.35; P = 0.55),
whereas VMS, adjusted by same-day negative affect, was predictive of negative
affect for the next day (OR, 1.27; 95% CI, 1.03-1.58; P = 0.01). CONCLUSIONS:
Negative affect is more likely to be reported on the same day and the day after
VMS. Potential mechanisms underlying this relationship include negative cognitive
appraisal, sleep disruption, and unmeasured third factors.
PMID- 21900852
TI - Structural and functional implications of severe foveal dystopia in epiretinal
membranes.
AB - PURPOSE: The purpose of this study was to examine the functional and structural
correlates of severe foveal dystopia in patients with epiretinal membranes.
METHODS: For this retrospective study of 29 eyes with epiretinal membrane, we
identified 7 eyes that had severe foveal dystopia (defined as fovea located >200
MUm from its expected location) and followed the direction and rate of foveal
movement pre- and postoperatively. RESULTS: Epiretinal membrane traction caused
the fovea to move preoperatively at a rate of 275 MUm/month from its anatomical
location in 2 patients. The final preoperative foveal location was, on average,
1,217 +/- 683 MUm away from its expected location. Postoperatively, foveal
movement toward its expected location was largest during the first month after
surgery (mean = 547 +/- 340 MUm) and slowed down until the final follow-up
position was achieved (mean = 301 +/- 131 MUm). Overall, the fovea moved a total
of 848 +/- 445 MUm, allowing the fovea to correct only 32.8 +/- 22.1% of the
total displacement from its expected location. A univariate regression model
confirmed a linear relationship between preoperative visual acuity and
preoperative foveal distance from its expected anatomical location with an R of
0.759 (P = 0.0107). CONCLUSION: The extent of tractional foveal dystopia
correlates with decreased visual acuity. Although all patients experienced
functional and anatomical improvements with surgery, long-standing or severe
foveal dystopia may be associated with permanent structural changes that limit
functional outcome. Cases with extreme degrees of foveal dystopia may benefit
from early intervention to prevent irreversible structural and functional
changes.
PMID- 21900853
TI - Indolent nonprogressive multifocal choroidal lesions.
AB - PURPOSE: We present a case series of four patients with unilateral,
nonprogressive, yellow or white choroidal lesions of unknown etiology. METHODS:
Four healthy patients were referred to an academic medical retina practice for
unusual fundus findings in one eye only. Both eyes of all four patients underwent
clinical examination and retinal imaging, including fluorescein angiography,
indocyanine green imaging, and optical coherence tomography. The outcome of this
series was based on the clinical course of these patients and the features of the
retinal images. RESULTS: The differential diagnosis based on the clinical
appearances for these unknown cases includes birdshot chorioretinopathy, lymphoma
or reactive lymphoid hyperplasia, metastases, orbital and intraocular
pseudotumor, and bacterial or fungal infection. Extensive workups for these
clinical entities including HLA-A29, angiotensin-converting enzyme level and
computed tomography of the chest, liver function testing, magnetic resonance
imaging of the brain, and orbital ultrasound have remained negative. CONCLUSION:
Clinical and imaging characteristics for the four patients include absence of
intraocular inflammation, late staining of lesions on fluorescein angiography,
and hypofluorescence of lesions on indocyanine green. Lesions were not visible in
the retina or retinal pigment epithelium using time domain optical coherence
tomography. However, enhanced depth imaging spectral-domain optical coherence
tomography imaging available for one patient suggests that these lesions are
localized to the choroid; further interpretation of this advanced imaging
technique will likely prove useful in the future. The patients' clinical course
has remained nonprogressive with no changes over a prolonged period of
observation. These cases could represent atypical manifestations of known retinal
disease diagnoses or variations of a new chorioretinal disease process.
PMID- 21900854
TI - Critical period for foveal fine structure in children with regressed retinopathy
of prematurity.
AB - PURPOSE: Midgestation is a critical period in the formation of the foveal
avascular zone. The authors evaluated the effects of preterm birth on foveal
structure in children with regressed retinopathy of prematurity. METHODS:
Children with regressed retinopathy of prematurity with normal-appearing
posterior poles (n = 26) and full-term control children (n = 56) were
investigated. Frequency-domain optical coherence tomography 9-mm line scans
across the fovea were obtained from right eyes. Using a customized segmentation
program in MATLAB, total retinal thickness and the thickness of individual
retinal layer regions were measured at the fovea (0 degrees ) and throughout +/-
8 degrees . RESULTS: Total thickness of the fovea in the retinopathy of
prematurity group (287.7 +/- 47.6 MUm) was greater than that in the control group
(230.1 +/- 18.2 MUm). Bilinear fitting was performed to examine the relationship
between total thickness and gestational age. Before 28 weeks, foveal thickness
decreased with gestational age (14.3 MUm/week); after 28 weeks, foveal thickness
decreased only slightly (2.73 MUm/week). Inner retinal layers contributed to the
difference in thickness between groups more than outer layers. Foveal thickness
was correlated with gestational age at birth but not with visual acuity or
refractive error. CONCLUSION: Preterm birth before 28 weeks of gestational age
was associated with a failure of the inner retinal layers to migrate away from
the fovea, resulting in increased foveal thickness.
PMID- 21900855
TI - The expanding role of the anterolateral thigh free flap in head and neck
reconstruction.
AB - PURPOSE OF REVIEW: To review the recent literature on the expanding use of the
anterolateral thigh free flap for head and neck reconstruction. RECENT FINDINGS:
The versatility of the anterolateral thigh free flap allows it to be raised as a
subcutaneous, musculocutaneous, fasciocutaneous, or adipofascial flap. In recent
years, it has been reported to reconstruct defects involving the scalp, skull
base, pharynx, tracheal stoma, oral cavity, and oropharynx. Various techniques
have also been described in its harvest and inset, including combination flaps in
conjunction with other free flaps or bone grafts, chimeric flaps, double-paddled
skin flaps, and sensate flaps. These reports confer good functional and aesthetic
outcomes equal to or better than other fasciocutaneous free flaps. SUMMARY: The
role of the anterolateral thigh free flap in reconstructing head and neck defects
is ever expanding, with many novel harvesting and reconstructive techniques
described in recent years. Because of the large surface area of the anterolateral
thigh, as well as the ability to tailor variable amounts of skin, muscle, fat, or
fascia associated with this flap, the reconstruction options are numerous. More
importantly, good functional and aesthetic outcomes are achievable with an
associated low morbidity of the donor site.
PMID- 21900856
TI - Modern concepts in computer-assisted craniomaxillofacial reconstruction.
AB - PURPOSE OF REVIEW: To review the past year's literature regarding current
computer-assisted reconstruction techniques and their outcomes. RECENT FINDINGS:
Current computer-assisted craniofacial reconstruction research is focused on data
acquisition, planning, surgical and assessment phases. The major areas of
interest among researchers include cosmetic surgery; cleft and craniofacial
surgery; traumatic reconstruction, head and neck tumor reconstruction; and
orthognathic surgery and distraction osteogenesis. Recent advances in the fields
include facial analysis and planning in rhinoplasty, facial surface and bone
graft volume analysis in cleft surgery, computer-guided tumor ablation and
osteocutaneous reconstruction in tumor surgery, and preoperative planning and
surgical assistance in orthognathic and distraction osteogenesis surgery.
SUMMARY: Research in computer-aided craniofacial surgery is progressing at a
rapid rate. Rather than just the latest innovation, sound research studies are
proving computer assistance to be invaluable in producing superior outcomes,
especially in the fields of head and neck surgery, orthognathic surgery, and
craniomaxillofacial trauma surgery. Further outcome studies and cost-benefit
analyses are still needed to show the superiority of these methods to
contemporary techniques.
PMID- 21900858
TI - Registered nurses' judgments of the classification and risk level of patient care
errors.
AB - As frontline clinicians, nurses play a critical role in mitigating patient harm,
recovery from health care errors, and overall improvement of patient safety. This
cross-sectional study asked nurse respondents to make judgments about the
classification and severity of errors in 4 clinical vignettes. Our results showed
that agreement about error classification and associated risk among registered
nurses is less than optimal. Further research is needed to advance our
understanding of how nurses working in complex patient care situations can
improve their ability to recognize subtle cues to facilitate early recognition of
potential errors.
PMID- 21900857
TI - Do postconcussive symptoms discriminate injury severity in pediatric mild
traumatic brain injury?
AB - OBJECTIVES: To assess whether postconcussive symptoms (PCS) can be used to
discriminate injury severity among children with mild traumatic brain injury
(TBI). PARTICIPANTS: One hundred eighty-six children with mild TBI, divided into
high and low injury severity depending on whether the injury was associated with
a loss of consciousness (LOC), and a comparison group of 99 children with
orthopedic injuries (OI), all aged 8 to 15 years at the time of injury. MAIN
MEASURES: Parent-rated frequency and severity of PCS at initial assessment within
2 weeks postinjury and again at 3 and 12 months postinjury. RESULTS: Ratings of
PCS obtained at the initial and 3-month assessments differentiated children with
mild TBI from OI, although only ratings at the initial assessment discriminated
among all 3 groups. Somatic PCS accounted for most of the discriminatory power.
CONCLUSIONS: Overall, the accuracy of group classification was relatively modest,
with a large proportion of misclassifications of children in the mild-TBI groups.
Although children with mild TBI have more PCS than children with OI, PCS do not
permit sufficiently accurate discrimination of mild TBI and injury severity to
warrant diagnostic decisions at this time.
PMID- 21900859
TI - Reporting of hazards and near-misses in the ambulatory care setting.
AB - The purpose of this study was to describe the frequency and types of hazard and
near-miss events in the ambulatory setting. Nursing students (N = 566) submitted
9272 reports while in their ambulatory care rotation. Of these, 1624 were hazards
and 985 were near-misses. The most commonly reported hazards and near-misses were
related to infection (20.0%) and medication (19.1%), respectively. The most
frequent category of open-ended comments was related to administrative problems.
PMID- 21900860
TI - Nurse staffing in critical access hospitals: structural factors linked to quality
care.
AB - Evidence links the amount of registered nurse care to improved patient outcomes
in large hospitals, but little is known about registered nurse staffing in small
critical access hospitals, which comprise 30% of all US hospitals. Our study
findings show that the unique work environment of critical access hospitals means
registered nurses are often overextended, reassigned from inpatient care, and/or
interrupted creating potential safety and quality risks. Further research is
needed to understand what critical access hospitals consider "safe" levels of
nurse staffing and what processes are implemented to mitigate these risks.
PMID- 21900861
TI - Evidence-based design for a safer environment without construction.
AB - This article explores the implementation of evidence-based design elements in the
renovation of 1 patient room on a budget of $3500. The functional mock-up was
evaluated through survey and focus groups by staff, visitors, and physicians to
identify problematic features. Overall, participants perceived that design
elements were effective with only minor modification needed before replication.
Suggestions are provided for ways to implement evidence-based design with limited
funds.
PMID- 21900862
TI - Synthesis, spectroscopic properties and antipathogenic activity of new thiourea
derivatives.
AB - A number of acylthioureas, 2-((4-methylphenoxy)methyl)-N-(aryl
carbamothioyl)benzamides (aryl = 3,5-dichlorophenyl, 2,3-dichlorophenyl, 3,4
dichloro-phenyl, 2,4,5-trichlorophenyl, 3,4,5-trichlorophenyl, 2-bromophenyl, 2,4
dibromophenyl, 2,5-dibromophenyl, 2-iodophenyl, 3-fluorophenyl, 2,3,4
trifluorophenyl, 2,4,5-trifluoro-phenyl, 2,4,6-trifluorophenyl) have been
synthesized, characterized by elemental analysis, IR and NMR spectroscopy and
tested for their interaction with bacterial cells in free and adherent state. The
anti-pathogenic activity was correlated with the presence of one iodine, bromide
or fluorine, and two or three chloride atoms on the N-phenyl substituent of the
thiourea moiety, being significant especially on Pseudomonas aeruginosa and
Staphylococcus aureus strains, known for their ability to grow in biofilms. Our
results demonstrate the potential of these derivatives for further development of
novel anti-microbial agents with antibiofilm properties.
PMID- 21900863
TI - Studies on the electrochemical behavior of thiazolidine and its applications
using a flow-through chronoamperometric sensor based on a gold electrode.
AB - The electrochemical behaviors of thiazolidine (tetrahydrothiazole) on gold and
platinum electrodes were investigated in a Britton-Robinson buffer (pH 2.77
11.61), acetate buffer (pH 4.31), phosphate buffer solutions (pH 2.11 and 6.38)
and methanol or acetonitrile containing various supporting electrolytes.
Detection was based on a gold wire electrochemical signal obtained with a
supporting electrolyte containing 20% methanol-1.0 mM of phosphate buffer (pH
6.87, potassium dihydrogen phosphate and dipotassium hydrogen phosphate) as the
mobile phase. Comparison with results obtained with a commercial amperometric
detector shows good agreement. Using the chronoamperometric sensor with the
current at a constant potential, and measurements with suitable experimental
parameters, a linear concentration from 0.05 to 16 mg L-1 was found. The limit of
quantification (LOQ) of the method for thiazolidine was found to be 1 ng.
PMID- 21900864
TI - Synthesis of no-carrier-added 4-[18F]fluorophenol from 4-benzyloxyphenyl-(2
thienyl)iodonium bromide.
AB - 4-[(18)F]Fluorophenol is a versatile synthon for the synthesis of more complex
radiopharmaceuticals bearing a 4-[(18)F]fluorophenoxy moiety. In order to prepare
4-[(18)F]fluorophenol in no-carrier-added (n.c.a.) form only a nucleophilic
labelling method starting from [(18)F]fluoride is suitable. In this paper a new,
two step radiosynthesis starting from 4-benzyloxyphenyl-(2-thienyl)iodonium
bromide and [(18)F]fluoride with subsequent deprotection is described, yielding
n.c.a. [(18)F]fluorophenol in 34 to 36% radiochemical yield.
PMID- 21900865
TI - Synthesis and structural characterization of 1-[2-(5-nitro-1H-indol-2
yl)phenyl]methylpyridinium chloride.
AB - In the course of studies on hybrid antibacterials incorporating 2-aryl-5-nitro-1H
indole moieties as potential bacterial NorA efflux pump inhibitors, the compound
1-[2-(5-nitro-1H-indol-2-yl)phenyl]methylpyridinium chloride (2) was synthesized
and structurally characterized. This pyridinium chloride salt crystallized in the
monoclinic space group P2(1)/c with the following unit cell dimensions: a
10.274(3) A, b 13.101(4) A, c 13.439(4) A, b 107.702(7) degrees , V 1723.2(9) A3,
Z (f.u.) = 4; R1 = 0.048, and wR2 = 0.13. Of interest in the single crystal X-ray
structure is the (intramolecular) disposition of the pyridinium plane over the
indole heterocyclic residue [interplanar dihedral angle 17.91(4) degrees ].
PMID- 21900866
TI - A novel anti-inflammatory role for ginkgolide B in asthma via inhibition of the
ERK/MAPK signaling pathway.
AB - Ginkgolide B is an anti-inflammatory extract of Ginkgo biloba and has been used
therapeutically. It is a known inhibitor of platelet activating factor (PAF),
which is important in the pathogenesis of asthma. Here, a non-infectious mouse
model of asthma is used to evaluate the anti-inflammatory capacity of ginkgolide
B (GKB) and characterize the interaction of GKB with the mitogen activated
protein kinase (MAPK) pathway. BALB/c mice that were sensitized and challenged to
ovalbumin (OVA) were treated with GKB (40 mg/kg) one hour before they were
challenged with OVA. Our study demonstrated that GKB may effectively inhibit the
increase of T-helper 2 cytokines, such as interleukin (IL)-5 and IL-13 in
bronchoalveolar lavage fluid (BALF). Furthermore, the eosinophil count in BALF
significantly decreased after treatment of GKB when compared with the OVA
challenged group. Histological studies demonstrated that GKB substantially
inhibited OVA-induced eosinophilia in lung tissue and mucus hyper-secretion by
goblet cells in the airway. These results suggest that ginkgolide B may be useful
for the treatment of asthma and its efficacy is related to suppression of
extracellular regulating kinase/MAPK pathway.
PMID- 21900867
TI - Synthesis of quinolin-2-one alkaloid derivatives and their inhibitory activities
against HIV-1 reverse transcriptase.
AB - Based on an established common pharmacophore of HIV-1 non-nucleoside reverse
transcriptase inhibitors (NNTTIs), a series of quinolin-2-one derivatives were
synthesized and assayed for their in vitro activities against HIV-1 reverse
transcriptase (RT) for the first time. Some of the tested compounds were active
against HIV-1 RT. Compounds 4a2 and 4d2 showed inhibitory activities with IC(50)
values of 0.21 and 0.15 mM, respectively, with a mode of interaction with RT
residues of the allosteric pocket similar to that of efavirenz.
PMID- 21900868
TI - Synthesis of some oxadiazole derivatives as new anticandidal agents.
AB - In this study, 5-[(pyrimidin-2-ylthio)methyl]-1,3,4-oxadiazole-2(3H)-thione (3)
was synthesized via the ring closure reaction of 2-(pyrimidin-2
ylthio)acetohydrazide (2) with carbon disulphide. New oxadiazole derivatives 4a-f
were obtained by the nucleophilic substitution reaction of compound 3 with
various phenacyl bromides. The chemical structures of the compounds were
elucidated by IR, 1H-NMR, 13C-NMR and FAB+-MS spectral data and elemental
analyses. The newly synthesized derivatives 4a-f were tested in vitro by using a
microbroth dilution method against C. albicans (clinical isolate, Osmangazi
University, Faculty of Medicine, Eskisehir, Turkey), C. albicans (ATCC 90028), C.
glabrata (clinical isolate, Osmangazi University, Faculty of Medicine, Eskisehir,
Turkey), C. tropicalis (NRRL Y-12968), C. krusei (NRRL Y-7179), C. parapsilosis
(NRRL Y- 12696), C. albicans (NRRL Y-12983), C. glabrata (clinical isolate,
Anadolu University, Faculty of Science, Department of Biology, Eskisehir,
Turkey). Among these compounds, compound 4a was found to be the most potent
derivative (MIC = 0.007-0.06 versus ketoconazole: 0.001-0.007 mg/mL) against
Candida species, except C. tropicalis and C. krusei when compared with the
standard antifungal ketoconazole.
PMID- 21900869
TI - Antioxidant and antiacetylcholinesterase activities of some commercial essential
oils and their major compounds.
AB - The commercial essential oils of Citrus aurantium L., Cupressus sempervirens L.,
Eucalyptus globulus Labill., Foeniculum vulgare Mill. and Thymus vulgaris L.,
isolated by steam distillation by a company of Morocco were evaluated in terms of
in vitro antioxidant activity through several methods. In vitro
acetylcholinesterase inhibitory activity was also determined. Citrus limon (L.)
Burm. f. oil was also studied, but it was obtained by peel expression. The best
antioxidant was T. vulgaris oil, independent of the method used, mainly due to
the presence of the phenolic monoterpenes thymol and carvacrol, which when
studied as single compounds also presented the best activities. Concerning the
acetylcholinesterase inhibition activity, E. globulus was the most effective.
Nevertheless its main components 1,8-cineole and limonene were not the most
active, a feature that corresponded to d-3-carene.
PMID- 21900870
TI - Synthesis and transformations of di-endo-3-aminobicyclo-[2.2.2]oct-5-ene-2
carboxylic acid derivatives.
AB - all-endo-3-amino-5-hydroxybicyclo[2.2.2]octane-2-carboxylic acid (13) and all
endo-5-amino-6-(hydroxymethyl)bicyclo[2.2.2]octan-2-ol (10) were prepared via
dihydro-1,3-oxazine or g-lactone intermediates by the stereoselective
functionalization of an N-protected derivative of endo-3-aminobicyclo[2.2.2]oct-5
ene-2-carboxylic acid (2). Ring closure of b-amino ester 4 resulted in tricyclic
pyrimidinones 15 and 16. The structures, stereochemistry and relative
configurations of the synthesized compounds were determined by IR and NMR.
PMID- 21900871
TI - Chemical suicides in automobiles--six states, 2006-2010.
AB - During a 3-month period in 2008 in Japan, 208 persons committed suicide by mixing
household chemicals and, while in a confined space, breathing in the resultant
poisonous gas. The large number of similar suicides is believed to have resulted
from the posting of directions for generating poisonous gas on the Internet. In
addition to claiming the suicide victim, lethal gas generated by intentionally
mixing household chemicals can leak from confined spaces, triggering evacuations,
and exposing bystanders and first responders to injury. Chemical suicides similar
to those in Japan in 2008 have been reported increasingly in the United States,
with the majority occurring inside automobiles. To characterize such incidents in
the United States, the Agency for Toxic Substances and Disease Registry (ATSDR)
analyzed reports of chemical suicides and attempted suicides that occurred in
automobiles, using 2006--2009 data from states participating in the Hazardous
Substances Emergency Events Surveillance (HSEES) system and 2010 data from states
participating in the new National Toxic Substance Incidents Program (NTSIP). This
report summarizes the results of that analysis, which found that, during 2006-
2010, a total of 10 chemical suicide incidents were reported from six states,
resulting in the deaths of nine suicide victims and injuries to four law
enforcement officers. When responding to suspected chemical suicide incidents,
emergency responders must take precautions to ensure both their safety and the
safety of any bystanders in the immediate vicinity.
PMID- 21900872
TI - Maternal and infant outcomes among severely ill pregnant and postpartum women
with 2009 pandemic influenza A (H1N1)--United States, April 2009-August 2010.
AB - Pregnant women with influenza are at increased risk for hospitalization and
death. Since 2004, the Advisory Committee on Immunization Practices (ACIP) has
recommended inactivated influenza vaccine for all women who are pregnant during
influenza season, regardless of trimester. Nonetheless, after the 2004
recommendation, estimated annual influenza vaccination coverage among pregnant
women was approximately 15%, before increasing to nearly 50% during the 2009
influenza A (H1N1) pandemic. Since April 2009, CDC has collaborated with state
and local health departments to conduct enhanced surveillance for severe
influenza among pregnant and postpartum women. To assess maternal and infant
outcomes among severely ill pregnant and postpartum women with 2009 H1N1 during
the 2009 H1N1 pandemic, CDC analyzed data for the period April 15, 2009 to August
10, 2010. This report summarizes the results of that analysis, which found that,
among 347 severely ill pregnant women, 75 died from 2009 H1N1, and 272 were
admitted to an intensive-care unit (ICU) and survived. Women who survived
received antiviral treatment sooner after symptom onset than women who died.
Pregnant women with severe influenza who delivered during their influenza
hospitalization were more likely to deliver preterm and low birth weight infants
than those in the general U.S. population; infants born after their mother's
influenza hospitalization discharge were more likely to be small for gestational
age. These data document the severe effects of 2009 H1N1 on pregnant women and
their infants, emphasize the importance of vaccinating pregnant women against
influenza, and demonstrate the value of prompt administration of antivirals to
pregnant women with suspected or confirmed influenza.
PMID- 21900873
TI - Surveillance for foodborne disease outbreaks--United States, 2008.
AB - Foodborne agents cause an estimated 48 million illnesses annually in the United
States, including 9.4 million illnesses from known pathogens. CDC collects data
on foodborne disease outbreaks submitted from all states and territories through
the Foodborne Disease Outbreak Surveillance System. During 2008, the most recent
year for which data are finalized, 1,034 foodborne disease outbreaks were
reported, which resulted in 23,152 cases of illness, 1,276 hospitalizations, and
22 deaths. Among the 479 outbreaks with a laboratory-confirmed single etiologic
agent reported, norovirus was the most common, accounting for 49% of outbreaks
and 46% of illnesses. Salmonella was the second most common, accounting for 23%
of outbreaks and 31% of illnesses. Among the 218 outbreaks attributed to a food
vehicle with ingredients from only one of 17 defined food commodities, the top
commodities to which outbreaks were attributed were poultry (15%), beef (14%),
and finfish (14%), whereas the top commodities to which outbreak-related
illnesses were attributed were fruits and nuts (24%), vine-stalk vegetables
(23%), and beef (13%). Outbreak surveillance provides insights into the agents
that cause foodborne illness, types of implicated foods, and settings where
transmission occurs. Public health, regulatory, and food industry professionals
can use this information to target prevention efforts against pathogens and foods
that cause the most foodborne disease outbreaks.
PMID- 21900874
TI - Respiratory syncytial virus--United States, July 2007-June 2011.
AB - Each year in the United States, an estimated 75,000-125,000 hospitalizations
related to respiratory syncytial virus (RSV)occur among children aged <1 year,
and RSV infection results in approximately 1.5 million outpatient visits among
children aged <5 years. In the United States, RSV season begins in the fall,
peaks in winter, and ends in the late winter and early spring. However, the exact
timing and duration vary from year to year and by geographic region. To describe
trends in RSV seasonality, data from the National Respiratory and Enteric Virus
Surveillance System (NREVSS) were used to determine the onset, offset, and peak
of the July 2010--June 2011 RSV season, and for an aggregate analysis of the four
most recent RSV seasons (July 2007--June 2011). During 2010--11, excluding
Florida, season onset occurred from mid-November to early January, and offset
occurred from mid-March to late April across all 10 U.S. Department of Health and
Human Services (HHS) regions. Florida is reported separately because it has an
earlier onset and longer duration than the rest of the country. During the four
seasons from 2007 through 2011, onset among the HHS regions excluding Florida
ranged from mid-October to early January, and offset ranged from early February
to early May. Information on national and regional patterns can be used by
clinicians and public health officials to guide diagnostic testing during
respiratory disease outbreaks and determine when to provide RSV immunoprophylaxis
for children at high risk for serious complications.
PMID- 21900875
TI - Vital signs: current cigarette smoking among adults aged >=18 years--United
States, 2005-2010.
AB - BACKGROUND: Tobacco use remains the leading cause of preventable morbidity and
mortality in the United States. METHODS: The 2005--2010 National Health Interview
Surveys and the 2010 Behavioral Risk Factor Surveillance System survey were used
to estimate national and state adult smoking prevalence, respectively. Current
cigarette smokers were defined as adults aged >=18 years who reported having
smoked >=100 cigarettes during their lifetime and who now smoke every day or some
days. RESULTS: In 2010, 19.3% of U.S. adults were current cigarette smokers.
Higher smoking prevalence was observed in the Midwest (21.8%) and South (21.0%).
From 2005 to 2010, the proportion of smokers declined from 20.9% to 19.3% (p<0.05
for trend), representing approximately 3 million fewer smokers in 2010 than would
have existed had prevalence not declined since 2005. The proportion of daily
smokers who smoked one to nine cigarettes per day (CPD) increased from 16.4% to
21.8% during 2005-2010 (p<0.05 for trend), whereas the proportion who smoked >=30
CPD decreased from 12.7% to 8.3% (p<0.05 for trend). CONCLUSIONS: During 2005
2010, an overall decrease was observed in the prevalence of cigarette smoking
among adults; however, the amount and direction of change has not been consistent
year-to-year. IMPLICATIONS FOR PUBLIC HEALTH PRACTICE: Enhanced efforts are
needed to accelerate the decline in cigarette smoking among adults. Population
based prevention strategies, such as tobacco taxes, media campaigns, and smoke
free policies, in concert with clinical cessation interventions, can help
decrease cigarette smoking and reduce the health burden and economic impact of
tobacco-related diseases in the United States.
PMID- 21900876
TI - Swine-origin influenza A (H3N2) virus infection in two children--Indiana and
Pennsylvania, July-August 2011.
AB - Influenza A viruses are endemic in many animal species, including humans, swine,
and wild birds, and sporadic cases of transmission of influenza A viruses between
humans and animals do occur, including human infections with avian-origin
influenza A viruses (i.e., H5N1 and H7N7) and swine-origin influenza A viruses
(i.e., H1N1, H1N2, and H3N2). Genetic analysis can distinguish animal origin
influenza viruses from the seasonal human influenza viruses that circulate widely
and cause annual epidemics. This report describes two cases of febrile
respiratory illness caused by swine-origin influenza A (H3N2) viruses identified
on August 19 and August 26, 2011, and the current investigations. No
epidemiologic link between the two cases has been identified, and although
investigations are ongoing, no additional confirmed human infections with this
virus have been detected. These viruses are similar to eight other swine-origin
influenza A (H3N2) viruses identified from previous human infections over the
past 2 years, but are unique in that one of the eight gene segments (matrix [M]
gene) is from the 2009 influenza A (H1N1) virus. The acquisition of the M gene in
these two swine-origin influenza A (H3N2) viruses indicates that they are
"reassortants" because they contain genes of the swine-origin influenza A (H3N2)
virus circulating in North American pigs since 1998 and the 2009 influenza A
(H1N1) virus that might have been transmitted to pigs from humans during the 2009
H1N1 pandemic. However, reassortments of the 2009 influenza A (H1N1) virus with
other swine influenza A viruses have been reported previously in swine.
Clinicians who suspect influenza virus infection in humans with recent exposure
to swine should obtain a nasopharyngeal swab from the patient for timely
diagnosis at a state public health laboratory and consider empiric neuraminidase
inhibitor antiviral treatment to quickly limit potential human transmission.
PMID- 21900877
TI - Identification of two novel CAKUT-causing genes by massively parallel exon
resequencing of candidate genes in patients with unilateral renal agenesis.
AB - Congenital abnormalities of the kidney and urinary tract (CAKUT) are the most
frequent cause of chronic kidney disease in children, accounting for about half
of all cases. Although many forms of CAKUT are likely caused by single-gene
defects, mutations in only a few genes have been identified. In order to detect
new contributing genes we pooled DNA from 20 individuals to amplify all 313 exons
of 30 CAKUT candidate genes by PCR analysis and massively parallel exon
resequencing. Mutation carriers were identified by Sanger sequencing. We repeated
the analysis with 20 new patients to give a total of 29 with unilateral renal
agenesis and 11 with other CAKUT phenotypes. Five heterozygous missense mutations
were detected in 2 candidate genes (4 mutations in FRAS1 and 1 in FREM2) not
previously implicated in non-syndromic CAKUT in humans. All of these mutations
were absent from 96 healthy control individuals and had a PolyPhen score over
1.4, predicting possible damaging effects of the mutation on protein function.
Recessive truncating mutations in FRAS1 and FREM2 were known to cause Fraser
syndrome in humans and mice; however, a phenotype in heterozygous carriers has
not been described. Thus, heterozygous missense mutations in FRAS1 and FREM2
cause non-syndromic CAKUT in humans.
PMID- 21900878
TI - Medullary amyloidosis associated with apolipoprotein A-IV deposition.
AB - Amyloidosis is caused by extracellular deposition of proteins in an insoluble
manner within tissues. In hereditary forms of amyloidosis, transthyretin,
fibrinogen A-alpha, lysozyme, gelsolin, apolipoprotein A-I, and A-II accumulate
in the tissue plaques. Here we describe a 52-year-old man with no family history
of renal disease who presented with increased urinary frequency, gradual loss of
renal function but no significant proteinuria. Renal biopsy found large amounts
of amyloid restricted to the medulla with no involvement of glomeruli or vessels.
Immunohistochemical analysis for transthyretin or serum amyloid A and tests for
an underlying monoclonal gammopathy were negative. Although initially suspected
to be amyloid light chain amyloidosis, laser microdissection and mass
spectrometry showed that the amyloid was composed of large amounts of
apolipoprotein A-IV. This was based on mass spectrometry studies that showed 100,
96, and 73 spectra in three microdissected samples that matched to apolipoprotein
A-IV with 100% probability. DNA analyses detected three sequence variants
representing common polymorphisms of the apolipoprotein A-IV gene. Thus, in this
case, apolipoprotein A-IV deposition and renal involvement appear to be
restricted to the medulla. A high degree of suspicion is required for the
diagnosis of apolipoprotein A-IV amyloidosis as it may be missed if a renal
biopsy consists only of cortex.
PMID- 21900879
TI - Proteinuria and hypertension with tyrosine kinase inhibitors.
AB - Tyrosine kinases are important for the development of pathological angiogenesis,
a critical factor for survival and proliferation of tumor cells. Inhibition of
tyrosine kinases either through targeted binding of its ligands or inhibition of
its receptor has led to significant hindrance in angiogenesis and has improved
survival for several cancers. Several of these antibodies or small molecules have
been approved for treatment of recurrent and resistant cancers over the last
decade. Although generally well tolerated, tyrosine kinase inhibitors have been
linked with development of hypertension and proteinuria. We review the literature
for incidence and severity of hypertension and proteinuria among several tyrosine
kinase inhibitors, their pathophysiologic mechanisms, and provide a guide for
screening and management.
PMID- 21900880
TI - Cysteamine therapy delays the progression of nephropathic cystinosis in late
adolescents and adults.
AB - Nephropathic cystinosis is a multisystem autosomal recessive disease caused by
cystine accumulation, which is usually treated by oral cysteamine. In order to
determine long-term effects of this therapy, we enrolled 86 adult patients (mean
age 26.7 years) diagnosed with nephropathic cystinosis, 75 of whom received
cysteamine. Therapy was initiated at a mean age of 9.9 years with a mean duration
of 17.4 years. By last follow-up, 78 patients had end-stage renal disease (mean
age 11.1 years), 62 had hypothyroidism (mean age 13.4), 48 developed diabetes
(mean age 17.1 years), and 32 had neuromuscular disorders (mean age 23.3 years).
Initiating cysteamine therapy before 5 years of age significantly decreased the
incidence and delayed the onset of end-stage renal disease, and significantly
delayed the onset of hypothyroidism, diabetes, and neuromuscular disorders. The
development of diabetes and hypothyroidism was still significantly delayed,
however, in patients in whom therapy was initiated after 5 years of age, compared
with untreated patients. The life expectancy was significantly improved in
cysteamine-treated versus untreated patients. Thus, cysteamine decreases and
delays the onset of complications and improves life expectancy in cystinosis.
Hence, cysteamine therapy should be introduced as early as possible during
childhood and maintained lifelong.
PMID- 21900881
TI - Inhibition of histone deacetylases targets the transcription regulator Id2 to
attenuate cystic epithelial cell proliferation.
AB - The pan-histone deacetylase (HDAC) inhibitor, trichostatin A, was found to reduce
cyst progression and slow the decline of kidney function in Pkd2 knockout mice,
model of autosomal dominant polycystic kidney disease (ADPKD). Here we determine
whether HDAC inhibition acts by regulating cell proliferation to prevent cyst
formation, or by other mechanisms. The loss of Pkd1 caused an upregulation of the
inhibitor of differentiation 2 (Id2), a transcription regulator, triggering an
Id2-mediated downregulation of p21 in mutant mouse embryonic kidney cells in
vitro. Using mouse embryonic kidney cells, mutant for Pkd1, we found that
trichostatin A decreased Id2, which resulted in upregulation of p21. Further,
phosphorylated retinoblastoma (Rb), usually regulated by Cdk2/Cdk4 activity, was
also reduced in these cells. Since these latter enzymes are under the control of
p21, these studies suggest that the proliferation of cyst epithelial cells that
is reduced by trichostatin A might result from p21 upregulation, or alternatively
through the Rb-E2F pathway. Additional studies showed that Id2 directly bound to
Rb, releasing the transcription activator E2F from transcriptionally inactive Rb
E2F complexes. HDAC inhibition was able to reverse this process by downregulation
of Id2. Furthermore, treatment of pregnant Pkd1 mice with trichostatin A
prevented cyst formation in the developing embryonic kidneys, showing that this
inhibition is effective in vivo during early cyst formation. Thus, HDAC
inhibition targets Id2-mediated pathways to downregulate cystic epithelial cell
proliferation and hence cystogenesis.
PMID- 21900882
TI - 7,8-dihydroxyflavone, a small-molecule TrkB agonist, reverses memory deficits and
BACE1 elevation in a mouse model of Alzheimer's disease.
AB - Increasing evidence suggests that reductions in brain-derived neurotrophic factor
(BDNF) and its receptor tyrosine receptor kinase B (TrkB) may have a role in the
pathogenesis of Alzheimer's disease (AD). However, the efficacy and safety
profile of BDNF therapy (eg, gene delivery) remains to be established toward
clinical trials. Here, we evaluated the effects of 7,8-dihydroxyflavone (7,8
DHF), a recently identified small-molecule TrkB agonist that can pass the blood
brain barrier, in the 5XFAD transgenic mouse model of AD. 5XFAD mice at 12-15
months of age and non-transgenic littermate controls received systemic
administration of 7,8-DHF (5 mg/kg, i.p.) once daily for 10 consecutive days. We
found that 7,8-DHF rescued memory deficits of 5XFAD mice in the spontaneous
alternation Y-maze task. 5XFAD mice showed impairments in the hippocampal BDNF
TrkB pathway, as evidenced by significant reductions in BDNF, TrkB receptors, and
phosphorylated TrkB. 7,8-DHF restored deficient TrkB signaling in 5XFAD mice
without affecting endogenous BDNF levels. Meanwhile, 5XFAD mice exhibited
elevations in the beta-secretase enzyme (BACE1) that initiates amyloid-beta
(Abeta) generation, as observed in sporadic AD. Interestingly, 7,8-DHF blocked
BACE1 elevations and lowered levels of the beta-secretase-cleaved C-terminal
fragment of amyloid precursor protein (C99), Abeta40, and Abeta42 in 5XFAD mouse
brains. Furthermore, BACE1 expression was decreased by 7,8-DHF in wild-type mice,
suggesting that BDNF-TrkB signaling is also important for downregulating baseline
levels of BACE1. Together, our findings indicate that TrkB activation with
systemic 7,8-DHF can ameliorate AD-associated memory deficits, which may be, at
least in part, attributable to reductions in BACE1 expression and beta
amyloidogenesis.
PMID- 21900883
TI - The Alcohol Clinical Trials Initiative (ACTIVE): purpose and goals for assessing
important and salient issues for medications development in alcohol use
disorders.
AB - Although progress has been made in the treatment of alcohol use disorders, more
effective treatments are needed. In the last 15 years, several medications have
been approved for use in alcohol dependence but have only limited effectiveness
and clinical acceptance. While academics have developed some 'standards' for the
performance of clinical trials for alcohol dependence, they vary considerably, in
the type of populations to be studied, the length of trials, salient outcome
measures, and data analyses to be used (especially in the treatment of missing
data). This variability impedes the commercial development of medications to
treat alcohol dependence. Using a model similar to that used to develop an expert
consensus for medications to improve cognitive aspects of schizophrenia (MATRICS)
and in the treatment of pain (IMMPACT), a workgroup has been formed under the
auspices of ACNP, known as the ACTIVE (Alcohol Clinical Trials Initiative) group,
to evaluate data from completed clinical trials to develop a consensus on key
issues in the conduct of clinical trials in alcohol dependence. ACTIVE consists
of academic experts, industry representatives, and staff from the Food and Drug
Administration, the National Institute on Alcohol Abuse and Alcoholism, and the
National Institute on Drug Abuse. This paper describes the rationale behind the
effort, its history and organization, and initial key questions that have been
identified as the primary focus of the workgroup. Future papers will focus on
knowledge gained from the re-analysis of completed trials and provide consensus
opinions regarding the performance of clinical trials that might be undertaken in
the future.
PMID- 21900885
TI - GABA(A) receptor blockade enhances memory consolidation by increasing hippocampal
BDNF levels.
AB - Memory consolidation is the process by which acquired information is converted to
something concrete to be retrieved later. Here we examined a potential role for
brain-derived neurotrophic factor (BDNF) in mediating the enhanced memory
consolidation induced by the GABA(A) receptor antagonist, bicuculline methiodide.
With the administration of an acquisition trial in naive mice using a passive
avoidance task, mature BDNF (mBDNF) levels were temporally changed in the
hippocampal CA1 region, and the lowest levels were observed 9 h after the
acquisition trial. In the passive avoidance task, bicuculline methiodide
administration within 1 h of training but not after 3 h significantly increased
latency time in the retention trial 24 h after the acquisition trial.
Concomitantly, 1 h post-training administration of bicuculline methiodide, which
enhanced memory consolidation, significantly increased mBDNF levels 9 h after
training compared to those of the vehicle-treated control group. In addition,
exogenous human recombinant BDNF (hrBDNF) administration 9 h after training into
the hippocampal CA1 region facilitated memory consolidation confirming that the
increase in mBDNF at around 9 h after training plays a key role in the
enhancement of memory consolidation. Moreover, the increases in latency time and
immediate early gene expressions by bicuculline methiodide or hrBDNF were
significantly blocked by anisomycin, a protein synthesis inhibitor, K252a, a
tyrosine receptor kinase (Trk) inhibitor, or anti-TrkB IgG. These findings
suggest that the increase in the level of mBDNF and its function during a
restricted time window after training are required for the enhancement of memory
consolidation by GABA(A) receptor blockade.
PMID- 21900887
TI - Prolonged use of aspirin alters human and rat intestinal cells and thereby limits
the absorption of clopidogrel.
AB - Clopidogrel therapy to prevent atherothrombosis faces the challenge of reduced
responsiveness. The absorption of clopidogrel is regulated by multidrug
resistance protein 1 (MDR1) in the intestinal epithelium. Given that aspirin
induces MDR1 in cancer cells and peripheral blood cells, it may induce MDR1 in
intestinal epithelial cells as well, thereby affecting the absorption of
clopidogrel. In this study, aspirin treatment induced the expression of MDR1 in
human epithelial colorectal (Caco-2) cells in vitro and in rat intestine in vivo,
as evidenced by dose-dependent increases in gene, protein, and efflux function.
Along with the upregulation of MDR1 proteins by aspirin, clopidogrel absorption
was significantly decreased in the aspirin-treated Caco-2 cells and in rat
intestine. Our data provide evidence that prolonged use of aspirin may reduce the
intestinal absorption of clopidogrel. Further human studies would be necessary to
clarify whether these data have any relevance to prevention of stroke or
myocardial infarction.
PMID- 21900888
TI - The stuttering progress of cell therapy for heart disease.
AB - Stem cell therapy has emerged as a potential therapeutic strategy for myocardial
infarction (MI). Multiple cell types used to regenerate the injured heart have
been tested in clinical trials. The results of studies of skeletal myoblasts
(SKMs) have been resoundingly negative, and the bone marrow-derived-cell
experience leaves much to be desired. A number of lessons arise from the large
scale bone marrow-derived-cell trials: (i) efficacy has been inconsistent and,
overall, modest; however, unexpectedly meaningful benefits on clinical end points
have been reported; (ii) cardiac engraftment of cells is disappointingly low, and
delivery methods need to be optimized and combined with strategies to boost
retention; (iii) the cardiomyogenic potential of bone marrow cells is low;
however, functional benefit can be achieved through indirect pathways; and (iv)
autologous cell therapy has severe limitations; highly standardized allogeneic
cell products are attractive. Given the spotty trajectory of cell therapy to
date, a more systematic approach to product development and preclinical
optimization will facilitate more effective clinical translation.
PMID- 21900886
TI - Pharmacogenetics of naltrexone in asian americans: a randomized placebo
controlled laboratory study.
AB - Recent clinical and laboratory studies have shown that the effects of naltrexone
for alcoholism may be moderated by the Asn40Asp single-nucleotide polymorphism
(SNP) of the MU-opioid receptor gene (OPRM1). Allele frequencies for this
polymorphism, however, have been shown to vary substantially as a function of
ethnic background, such that individuals of Asian descent are more likely to
carry the minor (Asp40) allele. The objective of this study is to test the
naltrexone pharmacogenetic effects of the Asn40Asp SNP in a sample of Asian
Americans. This study consists of a double-blinded, randomized, placebo
controlled laboratory trial of naltrexone. Participants (n=35, 10 females; 13
Asn40Asn and 22 Asp40 carriers) were non-treatment-seeking heavy drinkers
recruited from the community. After taking naltrexone or placebo, participants
completed an intravenous alcohol administration session. The primary outcome
measures were subjective intoxication and alcohol craving. Results suggested that
Asp40 carriers experienced greater alcohol-induced sedation, subjective
intoxication, and lower alcohol craving on naltrexone, as compared to placebo,
and to Asn40 homozygotes. There results were maintained when controlling for
ALDH2 (rs671) and ADH1B (rs1229984) markers and when examining the three levels
of OPRM1 genotype, thereby supporting an OPRM1 gene dose response. These findings
provide a much-needed extension of previous studies of naltrexone
pharmacogenetics to individuals of Asian descent, an ethnic group more likely to
express the minor allele putatively associated with improved biobehavioral and
clinical response to this medication. These findings help further delineate the
biobehavioral mechanisms of naltrexone and its pharmacogenetics.
PMID- 21900890
TI - Increasing tamoxifen dose in breast cancer patients based on CYP2D6 genotypes and
endoxifen levels: effect on active metabolite isomers and the antiestrogenic
activity score.
AB - Tamoxifen (Tam), the major drug for estrogen receptor (ER)-positive breast
cancer, is converted to its active metabolites, Z- and Z'-endoxifen and 4-OH-Tam
isomers, primarily by cytochrome P450 CYP2D6. In 117 patients taking 20 mg/day of
Tam, we determined CYP2D6 genotypes and measured the plasma levels of Tam
metabolites. The Z-endoxifen levels increased while Z'-endoxifen levels decreased
with increasing metabolizer phenotype activity (MPA) score (P <= 0.0004). The
dosage in patients with endoxifen <40 nmol/l and/or CYP2D6 MPA scores of 0 was
increased to 30 mg/day and their metabolite isomers were monitored for up to 90
days. Of the 24 patients on the increased dose, 90% showed an increase in active
isomers by day 60; the rate of increase correlated with the MPA score. Notably,
their antiestrogenic activity scores (AASs), which estimate total isomer biologic
activity, increased from a baseline median of 17 to 26 at day 60. Further studies
involving increasing/decreasing the Tam dosage based on the AAS may determine
whether dose adjustment can optimize treatment and improve long-term survival.
PMID- 21900891
TI - Clinical Pharmacogenetics Implementation Consortium Guidelines for CYP2C9 and
VKORC1 genotypes and warfarin dosing.
AB - Warfarin is a widely used anticoagulant with a narrow therapeutic index and large
interpatient variability in the dose required to achieve target anticoagulation.
Common genetic variants in the cytochrome P450-2C9 (CYP2C9) and vitamin K-epoxide
reductase complex (VKORC1) enzymes, in addition to known nongenetic factors,
account for ~50% of warfarin dose variability. The purpose of this article is to
assist in the interpretation and use of CYP2C9 and VKORC1 genotype data for
estimating therapeutic warfarin dose to achieve an INR of 2-3, should genotype
results be available to the clinician. The Clinical Pharmacogenetics
Implementation Consortium (CPIC) of the National Institutes of Health
Pharmacogenomics Research Network develops peer-reviewed gene-drug guidelines
that are published and updated periodically on http://www.pharmgkb.org based on
new developments in the field.(1).
PMID- 21900892
TI - From treating complex coronary artery disease to promoting cardiovascular health:
therapeutic transitions and challenges, 2010-2020.
AB - Cardiovascular disease (CVD) has become the most common cause of mortality
worldwide. Obesity, insufficient physical exercise, diabetes, and advancing age
are major risk factors for developing cardiovascular disease that are currently
increasing in prevalence. Nevertheless, significant progress has recently been
made in the treatment of complex cardiovascular and coronary artery disease
(CAD), with pharmacological management set to assume an increasingly important
role. Other timely factors, such as the development of the polypill and high
level medical and political interest in advancing cardiovascular health, are
driving forces that may help to make inroads into the global cardiovascular
disease burden. In this article, we critically review the key challenges that we
face in the coming decade as we strive to transition and apply our growing
knowledge of complex CAD to promoting global cardiovascular health.
PMID- 21900884
TI - Review of pharmacological treatment in mood disorders and future directions for
drug development.
AB - After a series of serendipitous discoveries of pharmacological treatments for
mania and depression several decades ago, relatively little progress has been
made for novel hypothesis-driven drug development in mood disorders.
Multifactorial etiologies of, and lack of a full understanding of, the core
neurobiology of these conditions clearly have contributed to these development
challenges. There are, however, relatively novel targets that have raised
opportunities for progress in the field, such as glutamate and cholinergic
receptor modulators, circadian regulators, and enzyme inhibitors, for alternative
treatment. This review will discuss these promising new treatments in mood
disorders, the underlying mechanisms of action, and critical issues of their
clinical application. For these new treatments to be successful in clinical
practice, it is also important to design innovative clinical trials that identify
the specific actions of new drugs, and, ideally, to develop biomarkers for
monitoring individualized treatment response. It is predicted that future drug
development will identify new agents targeting the molecular mechanisms involved
in the pathophysiology of mood disorders.
PMID- 21900893
TI - SUMO1-dependent modulation of SERCA2a in heart failure.
AB - The calcium-transporting ATPase ATP2A2, also known as SERCA2a, is a critical
ATPase responsible for Ca(2+) re-uptake during excitation-contraction coupling.
Impaired Ca(2+) uptake resulting from decreased expression and reduced activity
of SERCA2a is a hallmark of heart failure. Accordingly, restoration of SERCA2a
expression by gene transfer has proved to be effective in improving cardiac
function in heart-failure patients, as well as in animal models. The small
ubiquitin-related modifier (SUMO) can be conjugated to lysine residues of target
proteins, and is involved in many cellular processes. Here we show that SERCA2a
is SUMOylated at lysines 480 and 585 and that this SUMOylation is essential for
preserving SERCA2a ATPase activity and stability in mouse and human cells. The
levels of SUMO1 and the SUMOylation of SERCA2a itself were greatly reduced in
failing hearts. SUMO1 restitution by adeno-associated-virus-mediated gene
delivery maintained the protein abundance of SERCA2a and markedly improved
cardiac function in mice with heart failure. This effect was comparable to
SERCA2A gene delivery. Moreover, SUMO1 overexpression in isolated cardiomyocytes
augmented contractility and accelerated Ca(2+) decay. Transgene-mediated SUMO1
overexpression rescued cardiac dysfunction induced by pressure overload
concomitantly with increased SERCA2a function. By contrast, downregulation of
SUMO1 using small hairpin RNA (shRNA) accelerated pressure-overload-induced
deterioration of cardiac function and was accompanied by decreased SERCA2a
function. However, knockdown of SERCA2a resulted in severe contractile
dysfunction both in vitro and in vivo, which was not rescued by overexpression of
SUMO1. Taken together, our data show that SUMOylation is a critical post
translational modification that regulates SERCA2a function, and provide a
platform for the design of novel therapeutic strategies for heart failure.
PMID- 21900894
TI - Polyamine sensing by nascent ornithine decarboxylase antizyme stimulates decoding
of its mRNA.
AB - Polyamines are essential organic polycations with multiple cellular functions
relevant for cell division, cancer and ageing. Regulation of polyamine synthesis
is mainly achieved by controlling the activity of ornithine decarboxylase (ODC)
through an unusual mechanism involving ODC antizyme, the binding of which
disrupts homodimeric ODC and targets it for ubiquitin-independent degradation by
the 26S proteasome. Whereas mammals express several antizyme genes, we have
identified a single orthologue, termed OAZ1, in Saccharomyces cerevisiae. Similar
to its mammalian counterparts, OAZ1 synthesis is induced with rising
intracellular polyamine concentrations, which also inhibit ubiquitin-dependent
degradation of the OAZ1 protein. Together, these mechanisms contribute to a
homeostatic feedback regulation of polyamines. Antizyme synthesis involves a
conserved +1 ribosomal frameshifting (RFS) event at an internal STOP codon during
decoding of its messenger RNA. Here we used S. cerevisiae OAZ1 to dissect the
enigmatic mechanism underlying polyamine regulation of RFS. In contrast with
previous assumptions, we report here that the nascent antizyme polypeptide is the
relevant polyamine sensor that operates in cis to negatively regulate upstream
RFS on the polysomes, where its own mRNA is being translated. At low polyamine
levels, the emerging antizyme polypeptide inhibits completion of its synthesis
causing a ribosome pile-up on antizyme mRNA, whereas polyamine binding to nascent
antizyme promotes completion of its synthesis. Thus, our study reveals a novel
autoregulatory mechanism, in which binding of a small metabolite to a nascent
sensor protein stimulates the latter's synthesis co-translationally.
PMID- 21900897
TI - Get it together.
PMID- 21900895
TI - Widespread iron-rich conditions in the mid-Proterozoic ocean.
AB - The chemical composition of the ocean changed markedly with the oxidation of the
Earth's surface, and this process has profoundly influenced the evolutionary and
ecological history of life. The early Earth was characterized by a reducing ocean
atmosphere system, whereas the Phanerozoic eon (less than 542 million years ago)
is known for a stable and oxygenated biosphere conducive to the radiation of
animals. The redox characteristics of surface environments during Earth's middle
age (1.8-1 billion years ago) are less well known, but it is generally assumed
that the mid-Proterozoic was home to a globally sulphidic (euxinic) deep ocean.
Here we present iron data from a suite of mid-Proterozoic marine mudstones.
Contrary to the popular model, our results indicate that ferruginous (anoxic and
Fe(2+)-rich) conditions were both spatially and temporally extensive across
diverse palaeogeographic settings in the mid-Proterozoic ocean, inviting new
models for the temporal distribution of iron formations and the availability of
bioessential trace elements during a critical window for eukaryotic evolution.
PMID- 21900896
TI - Derivation of haploid embryonic stem cells from mouse embryos.
AB - Most animals are diploid, but haploid-only and male-haploid (such as honeybee and
ant) species have been described. The diploid genomes of complex organisms limit
genetic approaches in biomedical model species such as mice. To overcome this
problem, experimental induction of haploidy has been used in fish. Haploid
development in zebrafish has been applied for genetic screening. Recently,
haploid pluripotent cell lines from medaka fish (Oryzias latipes) have also been
established. In contrast, haploidy seems less compatible with development in
mammals. Although haploid cells have been observed in egg cylinder stage
parthenogenetic mouse embryos, most cells in surviving embryos become diploid.
Here we describe haploid mouse embryonic stem cells and show their application in
forward genetic screening.
PMID- 21900898
TI - Cheap drugs pulled despite wealth gap in middle-income countries.
PMID- 21900899
TI - Combination products neglected by FDA device evaluation.
PMID- 21900900
TI - FDA reorganization inspires hope for better coordination.
PMID- 21900901
TI - Proposed centralization of trial oversight stirs mixed reaction.
PMID- 21900902
TI - French law to make conflict of interest disclosure mandatory.
PMID- 21900903
TI - Patent protection brings hope to insurers.
PMID- 21900904
TI - Nonprofit disease groups earmark grants for drug repositioning.
PMID- 21900905
TI - PrEP trial successes prompt cost-effectiveness questions.
PMID- 21900906
TI - US budget quagmire leaves global health funding in the lurch.
PMID- 21900907
TI - Straight talk with...Alexander von Gabain. Interview by Hannah Waters.
PMID- 21900909
TI - Taking tissue engineering to heart.
PMID- 21900910
TI - Consistent clinical research standards benefit patients around the world.
PMID- 21900912
TI - Tissue-specific adult stem cells in the human lung.
PMID- 21900913
TI - Paraoxonase-1 and clopidogrel efficacy.
PMID- 21900914
TI - Paraoxonase-1 and clopidogrel efficacy.
PMID- 21900915
TI - Paraoxonase-1 and clopidogrel efficacy.
PMID- 21900917
TI - A new twist in the function of the cardiac lipid droplet.
PMID- 21900918
TI - Cancer stem cells renew their impact.
PMID- 21900919
TI - The social aspects of EMT-MET plasticity.
PMID- 21900920
TI - Anticancer effects of imatinib via immunostimulation.
PMID- 21900921
TI - Starting the scar: a primary role for pericytes?
PMID- 21900922
TI - Autoimmunity's collateral damage: Immunodeficiency hints at autoreactivity to
cytokines.
PMID- 21900923
TI - Autoimmunity's collateral damage: Gut microbiota strikes 'back'.
PMID- 21900936
TI - Resolving controversies on the path to Alzheimer's therapeutics.
AB - Alzheimer's disease constitutes a personal and societal tragedy of immense
proportions. Since 1960, research in laboratories and clinics worldwide has
elucidated many features of this insidious and ultimately fatal syndrome, and
this progress has led to initial human trials of potentially disease-modifying
agents. However, some of these agents have already failed. Gnawing controversies
and important gaps in our knowledge seem to cast additional doubt on the ability
of the field to move forward effectively. Here I discuss some of these looming
concerns and offer possible explanations for the major trial failures that
suggest they are not predictive of the future. Rigorous preclinical validation of
mechanism-based therapeutic agents followed by meticulously designed trials that
focus on the cardinal cognitive symptoms and their associated biomarkers in the
mild or presymptomatic phases of Alzheimer's disease are likely to lead to
success, perhaps in the not-too-distant future.
PMID- 21900940
TI - Chronic kidney disease in postmenopausal women.
AB - Menopause is derived from the Greek words men (month) and pauses (cessation) and
means permanent cessation of menstruation after the loss of ovarian activity.
Chronic kidney disease (CKD) has recently been associated with cardiovascular
events in several studies. CKD patients have a heavy burden of traditional
cardiovascular risk factors in addition to a range of nontraditional risk factors
such as inflammation and abnormal metabolism of calcium and phosphate. In this
review, the association of CKD and cardiovascular disease (CVD), as well as of
osteoporosis in postmenopausal women is discussed. CKD mineral and bone disorder,
characterized by disturbances of calcium/phosphate/parathyroid hormone, bone
abnormalities and vascular and soft tissue calcification, is highly prevalent in
CKD and is a strong, independent predictor of bone fracture, CVD and death.
Estrogen has been shown to: (a) decrease the expression of angiotensin type 1
receptors in vasculature and kidneys; (b) reduce the expression and activity of
angiotensin-converting enzyme, and (c) cause the release of angiotensinogen
substrate from the liver. However, the degree of activation or suppression of the
renin-angiotensin-aldosterone system by estrogen has not been clearly
established. Clinical data on the effects of estrogen therapy on bone mineral
densities are extremely limited in the ESRD population. CVD is the most common
cause of death in postmenopausal women with CKD and many contributing factors
have been explored. Future research for prevention of CVD in postmenopausal women
with CKD would focus on the biology of vascular calcification as well as bone
loss.
PMID- 21900941
TI - Efficacy and safety of aliskiren, a direct renin inhibitor, compared with
ramipril in Asian patients with mild to moderate hypertension.
AB - This 8-week, randomized, double-blind, parallel-group study compared the efficacy
and safety of aliskiren with ramipril in Asian patients with mild to moderate
hypertension. Following a 2- to 3-week placebo run-in period, patients with mean
sitting diastolic blood pressure (msDBP) >=95 and <110 mm Hg were randomized to
receive once daily dose of either aliskiren 75, 150, 300 mg or ramipril 5 mg for
8 weeks. Efficacy variables were the changes in msDBP and mean sitting systolic
BP (msSBP) and BP control rates (<140/90 mm Hg). Safety was assessed by recording
adverse events (AEs) and serious AEs (SAEs). Of 1316 randomized patients, 1160
(88.1%) completed the study. At the study endpoint, patients on aliskiren had
greater mean BP reductions (14.39/11.63 mm Hg for 300 mg; 12.16/10.04 mm Hg for
150 mg; 12.24/10.66 mm Hg for 75 mg) than those on 5 mg ramipril (11.46/9.19 mm
Hg). All aliskiren doses were statistically non-inferior (P<0.0001) to ramipril
in reducing msDBP. The reduction in BP for aliskiren 300 mg was statistically
superior vs. ramipril (P<0.002). Blood pressure control rates were higher for
aliskiren (300 mg, 52.29%; 150 mg, 48.11%; 75 mg, 45.68%) than for ramipril (5
mg, 43.7%); the difference for aliskiren 300 mg vs. ramipril 5 mg was
statistically significant (P<0.05). Aliskiren was well tolerated with a fourfold
lower incidence of cough (0.6-1.2%) compared with ramipril (5.2%). SAEs were rare
in this study (0.5%). Aliskiren produced greater BP reductions with a lower
incidence of cough than ramipril in Asian patients with mild to moderate
hypertension.
PMID- 21900943
TI - Role of brainstem thyrotropin-releasing hormone-triggered sympathetic
overactivation in cardiovascular mortality in type 2 diabetic Goto-Kakizaki rats.
AB - Sympathetic hyperactivity has an important role in cardiovascular mortality in
patients with type 2 diabetes (T2D). Thyrotropin-releasing hormone (TRH)
containing fibers innervate autonomic motor and premotor nuclei of the brainstem
and spinal cord that regulate cardiovascular functions. We compared
cardiovascular responses to application of TRH-analog in the brainstem of Wistar
and T2D Goto-Kakizaki (GK) rats. GK rats exhibited basal systolic hypertension
(152+/-2 mm Hg) and had a significantly potentiated, dose-related hypertensive
response to intracisternal (i.c.) injection of the TRH-analog RX77368 (10-60 ng).
In GK rats only, i.c. RX77368 (30-60 ng) markedly increased heart rate (HR; +88
b.p.m.) and induced acute cardiac mortality (100%), concurrent with extreme
hyperglycemia (>26 mmol l(-1)), increased plasma H(2)O(2) and 8-isoprostane, and
enhanced heart expression of NADPH oxidase 4 and vascular cell adhesion molecule
1 mRNAs. GK rats also had elevated basal plasma epinephrine, higher adrenal gene
expression of tyrosine hydroxylase and dopamine beta-hydroxylase (DbetaH), and
greater plasma catecholamine and adrenal DbetaH responses to i.c. TRH-analog,
compared with Wistar rats. In GK rats, hexamethonium blocked i.c. RX77368-induced
hypertensive and tachycardic responses, and reduced mortality by 86%, whereas
phentolamine abolished the hypertensive response but enhanced tachycardia (+160
b.p.m.), and reduced mortality by 50%. The angiotensin II type 1 receptor
antagonist irbesartan prevented i.c. RX77368-induced increases in blood pressure,
HR and mortality. In conclusion, sympathetic overactivation triggered by
brainstem TRH contributes to the mechanism of cardiovascular morbidity and
mortality in T2D, which involves heightened cardiac inflammation and peripheral
oxidative stress responses to sympathetic drive, and a mediating role of the
renin-angiotensin system.
PMID- 21900942
TI - Losartan modulates muscular capillary density and reverses thiazide diuretic
exacerbated insulin resistance in fructose-fed rats.
AB - The renin-angiotensin system (RAS) is involved in the pathogenesis of insulin
sensitivity (IS). The role of RAS in insulin resistance and muscular circulation
has yet to be elucidated. Therefore, this study sought to determine the
mechanisms of angiotensin II receptor blockers (ARBs) and/or diuretics on IS and
capillary density (CD) in fructose-fed rats (FFRs). Sprague-Dawley rats were fed
either normal chow (control group) or fructose-rich chow for 8 weeks. For the
last 4 weeks, FFRs were allocated to four groups: an FFR group and groups treated
with the thiazide diuretic hydrochlorothiazide (HCTZ), with the ARB losartan, or
both. IS was evaluated by the euglycemic hyperinsulinemic glucose clamp technique
at week 8. In addition, CD in the extensor digitorum longus muscle was evaluated.
Blood pressure was significantly higher in the FFRs than in the controls. HCTZ,
losartan and their combination significantly lowered blood pressure. IS was
significantly lower in the FFR group than in the controls and was even lower in
the HCTZ group. Losartan alone or combined with HCTZ significantly increased IS.
In all cases, IS was associated with muscular CD, but not with plasma adiponectin
or lipids. These results indicate that losartan reverses HCTZ-exacerbated insulin
resistance, which can be mediated through the modulation of muscular circulation
in rats with impaired glucose metabolism.
PMID- 21900945
TI - Y-chromosome haplogroup diversity in the sub-Himalayan Terai and Duars
populations of East India.
AB - The sub-Himalayan Terai and Duars, the important outermost zones comprising the
plains of East India, are known as the reservoirs of ethnic diversity. Analysis
of the paternal genetic diversity of the populations inhabiting these regions and
their genetic relationships with adjacent Himalayan and other Asian populations
has not been addressed empirically. In the present investigation, we undertook a
Y-chromosome phylogeographic study on 10 populations (n=375) representing four
different linguistic groups from the sub-Himalayan Terai and Duars regions of
East India. The high-resolution analysis of Y-chromosome haplogroup variations
based on 76 binary markers revealed that the sub-Himalayan paternal gene pool is
extremely heterogeneous. Three major haplogroups, namely H, O and R, are shared
across the four linguistic groups. The Indo-European-speaking castes exhibit more
haplogroup diversity than the tribal groups. The findings of the present
investigation suggest that the sub-Himalayan gene pools have received predominant
Southeast Asian contribution. In addition, the presence of Northeast and South
Asian signatures illustrate multiple events of population migrations as well as
extensive genetic admixture amongst the linguistic groups.
PMID- 21900944
TI - Genome-wide association study identifies genetic variants in GOT1 determining
serum aspartate aminotransferase levels.
AB - We carried out a genome-wide association study of serum aspartate
aminotransferase (AST) activity in 866 Amish participants of the Heredity and
Phenotype Intervention Heart Study and identified significant association of AST
activity with a cluster of single nucleotide polymorphisms located on chromosome
10q24.1 (peak association was rs17109512; P=2.80E-14), in the vicinity of GOT1,
the gene encoding cytosolic AST (cAST). Sequencing of GOT1 revealed an in-frame
deletion of three nucleic acids encoding asparagine at position 389
c.1165_1167delAAC (p.Asn389del) in the gene. Deletion carriers had significantly
lower AST activity levels compared with homozygotes for the common allele (mean+/
s.d.: 10.0+/-2.8 versus 18.8+/-5.2 U l(-1); P=2.80E-14). Further genotyping of
the deletion in other Amish samples (n=1932) identified an additional 20 carriers
(minor allele frequency (MAF)=0.0052). The deletion was not detected in 647
outbred Caucasians. Asn at codon 389 is conserved among known mammalian cASTs. In
vitro transient transfection of wild-type and mutant cAST indicated that mutant
cAST protein was barely detectable in the cells. Furthermore, even after
correction for cAST expression, mutant cAST had markedly diminished enzymatic
activity. Remarkably, we did not find any association between the deletion and
metabolic traits including serum fasting glucose or insulin, fasting and post
meal lipids, inflammatory markers, or sub-clinical markers of cardiovascular
disease. In conclusion, we discovered a rare in-frame deletion in GOT1 gene,
which inactivates cAST enzyme in the Old Order Amish. This finding will help us
to understand structure and function of the enzyme and would be useful for
predicting serum AST levels.
PMID- 21900946
TI - Identification of independent risk loci for Graves' disease within the MHC in the
Japanese population.
AB - To identify genetic variants that confer the risk of Graves' disease (GD) in the
Japanese population, we conducted a two-stage genome-wide association study
(GWAS) using 1119 Japanese individuals with GD and 2718 unrelated controls, and a
subsequent replication study using independent 432 GD cases and 1157 controls. We
identified 34 single nucleotide polymorphisms (SNPs) to be significantly
associated with GD in the GWAS phase. Twenty-two out of 34 SNPs remained positive
in the replication study. All 22 SNPs were located within the major
histocompatibility complex (MHC) locus on chromosome 6p21. No strong long-range
linkage disequilibrium (LD) was observed among the 22 SNPs, indicating
independent involvement of multiple loci within the MHC with the risk of GD.
Multivariate stepwise logistic regression analysis selected rs3893464, rs4313034,
rs3132613, rs4248154, rs2273017, rs9394159 and rs4713693, as markers for
independent risk loci for GD. The analysis of LD between these seven SNPs and
tagging SNPs for GD-associated human leukocyte antigen (HLA) alleles in the
Japanese population (HLA-DPB1(*)0501 and HLA-A(*)0206) demonstrated that all of
and five of seven SNPs were not in strong LD with HLA-DPB1(*)0501 and HLA
A(*)0206, respectively. Although causal variants remain to be identified, our
results demonstrate the existence of multiple GD susceptibility loci within the
MHC region.
PMID- 21900948
TI - Survivin: a dual player in healthy and diseased skin.
AB - Survivin belongs to the inhibitor of apoptosis (IAP) protein family, and, in
addition to the antiapoptotic functions, it also regulates the cell cycle. The
survivin gene generates five major isoforms with diverse and opposite functions.
Survivin is highly expressed in cancer and in few normal adult tissues, including
skin. It is mostly detected in the nucleus of keratinocyte stem cells (KSCs), but
it is also expressed in melanocytes and fibroblasts. Survivin isoforms are
differentially detected in subpopulations of human keratinocytes, exerting
contrasting activities. Survivin has an important role in the regulation of cell
cycle in keratinocytes, and it protects these cells from anoikis and UV-induced
apoptosis. In melanoma, survivin is abundantly expressed, and its subcellular
localization varies depending upon tumor thickness and invasiveness. Survivin
overexpression has been shown in squamous cell carcinoma (SCC), and it is also
involved in UVB-induced carcinogenesis. The presence of survivin both in the
nucleus and in the cytoplasm throughout the epidermal layers of psoriatic lesions
suggests the involvement of this protein in the keratinocyte alterations typical
of this disease. Additional studies on the expression of survivin isoforms and
their subcellular localization in relation to function will confirm the key role
of survivin in the skin and will open the field to new therapeutic strategies for
many cutaneous conditions.
PMID- 21900947
TI - GM-CSF-independent CD1a expression in epidermal Langerhans cells: evidence from
human CD1A genome-transgenic mice.
PMID- 21900949
TI - No evidence for association of HPyV6 or HPyV7 with different skin cancers.
PMID- 21900950
TI - SLIT prevents the development of eczema in percutaneous allergen-sensitized mice.
PMID- 21900951
TI - The dual effect of the lupus-associated polymorphism rs10516487 on BANK1 gene
expression and protein localization.
AB - Numerous loci have been found genetically associated with complex diseases, but
only in a few cases has the functional variant and the molecular mechanism behind
it been identified. Recently, the association of the BANK1 gene with systemic
lupus erythematosus (SLE) was described. Here, we investigated the role of the
associated polymorphisms on gene function and found that SNP rs17266594 located
in the branch point consensus sequence has negligible effect on splicing or gene
expression. The non-synonymous SNP rs10516487 located in exon 2 influenced
splicing efficiency by creating an exonic splicing enhancer site for the SRp40
factor. Further, this same SNP generates protein isoforms with differential and
measurable self-association properties. The full-length protein isoform
containing the R61 variant forms larger protein scaffold complexes in the cell
cytoplasm compared with the protective BANK1-61H variant. We also observed that,
contrary to the full-length isoforms, the short Delta2 isoform of BANK1 displays
a homogeneous cytoplasmic distribution, underscoring the potential role of the
exon 2-coded protein domain in the scaffolding function of BANK1. We provide
evidence that the non-synonymous SNP rs10516487 (G>A; R61H) shows a dual nature
by first, influencing mRNA splicing and consequently the quantity of protein,
and, second, by producing a risk variant-containing protein isoform with
increased potential for multimerization.
PMID- 21900952
TI - A perfect replacement for the mercury sphygmomanometer: the case of the hybrid
blood pressure monitor.
AB - This study validated a hybrid mercury-free device as a replacement of the mercury
sphygmomanometer for professional use, and also as a standard for future
validations. A validation study was performed according to the European Society
of Hypertension International Protocol 2010 (ESH-IP) in 33 subjects using
simultaneous blood pressure (BP) measurements. A total of six BP measurements
were taken per participant simultaneously by a supervisor (S; hybrid auscultatory
device Nissei DM3000) and two observers (A and B; mercury sphygmomanometers). ESH
IP analysis (99 BP readings): mean device-observer systolic/diastolic BP
difference 0.2+/-2.0/0.1+/-2.0 mm Hg; systolic BP differences <=5/10/15 mm Hg in
97/99/99 readings, respectively (diastolic 98/99/99). All 33 subjects had 2 of 3
BP differences <=5 mm Hg and none without a difference <=5 mm Hg. Further
analysis (198 BP readings): mean differences S-A 0.1+/-2.4/0.2+/-2.4 mm Hg
(systolic/diastolic), S-B 0.3+/-2.1/0.2+/-2.2, A-B 0.2+/-2.4/0.0+/-2.3;
differences <=2 mm Hg S-A in 88/84% (systolic/diastolic), S-B 87/85%, A-B 87/86%
and <=4 mm Hg S-A 95/96%, S-B 95/96%, A-B 95/98%. In conclusion, a hybrid mercury
free auscultatory BP monitor comfortably passed the ESH-IP 2010 requirements and
has the same level of accuracy as the mercury sphygmomanometer. This device
appears to be a reliable alternative to the mercury sphygmomanometer for
professional use and also as a standard for future validations.
PMID- 21900953
TI - To dip or not to dip? The unique relationship between different blood pressure
patterns and cardiac function and structure.
AB - Dipping and nondipping blood pressure (BP) patterns are associated with different
levels of target organ damage and cardiovascular risk. The aim of our
investigation was to determine the relationship between different BP patterns
(dipping, nondipping, extreme dipping and reverse dipping type) and cardiac
structure, and function in hypertensive patients. This cross-sectional study
included 376 hypertensive patients. All subjects underwent 24-h ambulatory BP
monitoring, and complete two-dimensional, pulsed and tissue Doppler
echocardiography. Most of the parameters of the left ventricular (LV) diastolic
function (E/A, e'/a', E/e') significantly and progressively deteriorated from the
extreme dippers to the dippers and nondippers, and finally to the reverse
dippers. In contrast, LV structural parameters (IVS, RWT, LV mass index) showed a
statistically important difference only by comparing the dippers and the extreme
dippers with the rest of the patients. Simultaneously, the right ventricular (RV)
structural parameter (RVT, right ventricular thickness), and most RV diastolic
parameters significantly and progressively worsened from the extreme dippers,
over the dippers and the nondippers, to the reverse dippers. Daytime and night
time systolic BP, nocturnal systolic BP fall, and the nondipping and the reverse
dipping status were independently associated with LV and RV structure, as well as
with diastolic function. LV and RV structure, and diastolic function were
significantly more impaired with the nondippers and the reverse dippers compared
with others.
PMID- 21900954
TI - Aneuploidy stokes the fire.
PMID- 21900955
TI - That's the theory.
PMID- 21900956
TI - Cytokine cues.
PMID- 21900957
TI - The evil curse of ocular pemphigoid.
PMID- 21900958
TI - Essential requirement of cytochrome c release for caspase activation by
procaspase-activating compound defined by cellular models.
AB - Mitochondrial cytochrome c (cyt. c) release and caspase activation are often
impaired in tumors with Bcl-2 overexpression or Bax and Bak-defective status.
Direct triggering of cell death downstream of Bax and Bak is an attractive
strategy to kill such cancers. Small molecule compounds capable of direct caspase
activation appear to be the best mode for killing such tumors. However, there is
no precise model to screen such compounds. The currently employed cell-free
systems possess the inherent drawback of lacking cellular contents and organelles
that operate in integrating cell death signaling. We have developed highly
refined cell-based approaches to validate direct caspase activation in cancer
cells. Using this approach, we show that PAC-1 (first procaspase-activating
compound), the first direct activator of procaspases identified in a cell-free
system, in fact requires mitochondrial cyt. c release for triggering caspase
activation similar to other antitumor agents. It can induce significant caspase
activation and cell death in the absence of Bax and Bak, and in cells
overexpressing Bcl-2 and Bcl-xL. This study for the first time defines precise
criteria for the validation of direct caspase-activating compounds using
specialized cellular models that is expected to accelerate the discovery of
potential direct caspase activators.
PMID- 21900959
TI - CYLD regulates keratinocyte differentiation and skin cancer progression in
humans.
AB - CYLD is a gene mutated in familial cylindromatosis and related diseases, leading
to the development of skin appendages tumors. Although the deubiquitinase CYLD is
a skin tumor suppressor, its role in skin physiology is unknown. Using skin
organotypic cultures as experimental model to mimic human skin, we have found
that CYLD acts as a regulator of epidermal differentiation in humans through the
JNK signaling pathway. We have determined the requirement of CYLD for the
maintenance of epidermal polarity, keratinocyte differentiation and apoptosis. We
show that CYLD overexpression increases keratinocyte differentiation while CYLD
loss of function impairs epidermal differentiation. In addition, we describe the
important role of CYLD in the control of human non-melanoma skin cancer
progression. Our results show the reversion of the malignancy of human squamous
cell carcinomas that express increased levels of CYLD, while its functional
inhibition enhances the aggressiveness of these tumors which progress toward
spindle cell carcinomas. We have found that the mechanisms through which CYLD
regulates skin cancer progression include the control of tumor differentiation,
angiogenesis and cell survival. These findings of the role of CYLD in human skin
cancer prognosis make our results relevant from a therapeutic point of view, and
open new avenues for exploring novel cancer therapies.
PMID- 21900961
TI - Toxicity and exposure of an adenovirus containing human interferon alpha-2b
following intracystic administration in cynomolgus monkeys.
AB - The safety and toxicokinetics of SCH 721015, an adenovirus encoding the human
interferon alpha-2b gene, and Syn3 (SCH 209702), a novel excipient, were assessed
in cynomolgus monkeys administered intravesical doses of 2.5 * 10E11 or 1.25 *
10E13 particles SCH 721015 in 25 mg Syn3 or 25 mg Syn3 alone on study days 1 and
91. There was no systemic toxicity. Monkeys dosed with SCH 721015 in Syn3 were
positive for SCH 721015-specific DNA in the urine for 2 to 3 days following each
dose and had interferon alpha-2b protein in the urine for 1-3 days after a single
dose and in fewer animals after a second dose. Intracystic administration was
associated with inflammation and focal/multifocal ulceration in the urinary
bladder and irritation in the ureters and urethra at necropsy. The physical
trauma from catheterization and filling/emptying of the bladder was likely a
contributing factor and Syn3 exacerbated the trauma. There was nearly complete
resolution of these findings 2 months after the last dose. The trauma to the
bladder likely contributed to low, transient systemic exposure to Syn3, SCH
721015 and human interferon protein. The results of this study support the
clinical investigation of SCH 721015 in Syn3.
PMID- 21900960
TI - Serpina3n attenuates granzyme B-mediated decorin cleavage and rupture in a murine
model of aortic aneurysm.
AB - Granzyme B (GZMB) is a proapoptotic serine protease that is released by cytotoxic
lymphocytes. However, GZMB can also be produced by other cell types and is
capable of cleaving extracellular matrix (ECM) proteins. GZMB contributes to
abdominal aortic aneurysm (AAA) through an extracellular, perforin-independent
mechanism involving ECM cleavage. The murine serine protease inhibitor, Serpina3n
(SA3N), is an extracellular inhibitor of GZMB. In the present study,
administration of SA3N was assessed using a mouse Angiotensin II-induced AAA
model. Mice were injected with SA3N (0-120 MUg/kg) before pump implantation. A
significant dose-dependent reduction in the frequency of aortic rupture and death
was observed in mice that received SA3N treatment compared with controls. Reduced
degradation of the proteoglycan decorin was observed while collagen density was
increased in the aortas of mice receiving SA3N treatment compared with controls.
In vitro studies confirmed that decorin, which regulates collagen spacing and
fibrillogenesis, is cleaved by GZMB and that its cleavage can be prevented by
SA3N. In conclusion, SA3N inhibits GZMB-mediated decorin degradation leading to
enhanced collagen remodelling and reinforcement of the adventitia, thereby
reducing the overall rate of rupture and death in a mouse model of AAA.
PMID- 21900962
TI - The combination of chemotherapy with HVJ-E containing Rad51 siRNA elicited
diverse anti-tumor effects and synergistically suppressed melanoma.
AB - Dacarbazine (DTIC) is one of the most popular alkylating agents used for the
treatment of malignant melanoma. DTIC induces apoptosis of melanoma cells via
double-strand breaks (DSBs). Melanoma cells, however, tend to increase their
expression of DNA repair molecules in order to be resistant to DTIC. Here, we
show that DTIC increases expression of Rad51, but not Ku70, in a cultured B16-F10
mouse melanoma cell line in dose- and time-dependent manners. On introducing
Rad51 short interfering RNA (siRNA) with the hemagglutinating virus of Japan
envelope (HVJ-E) to B16-F10 cells, DSBs induced by DTIC treatment were not
efficiently repaired and resulted in enhanced apoptotic cell death. Colony
formation of B16-F10 cells that received Rad51 siRNA was significantly decreased
by DTIC treatment as compared with cells that received scramble siRNA. In
melanoma-bearing mice, the combination of three intratumoral injections of HVJ-E
containing Rad51 siRNA and five intraperitoneal injections of DTIC at a clinical
dose synergistically suppressed the tumors. Moreover, HVJ-E demonstrated anti
tumor immunity by inducing cytotoxic T lymphocytes to B16-F10 cells on
administration of DTIC. These results suggest that the combination of
chemotherapy with HVJ-E containing therapeutic molecules will provide a promising
therapeutic strategy for patients bearing malignant tumors resistant to
chemotherapeutic agents.
PMID- 21900964
TI - A retroviral vector suitable for ultrasound image-guided gene delivery to mouse
brain.
AB - Gene transfer to the early-stage embryonic brain using the ultrasound image
guided gene delivery (UIGD) technique has proven to be valuable for investigating
brain development. Thus far, this technology has been restricted to the study of
embryonic neurogenesis. When this technique is designed to be employed for the
study in adult animals, a long-term stable gene expression will be required. We
attempted to develop a retroviral vector suitable for expressing exogenous genes
in the brains of postnatal and adult mice in the context of the UIGD technique.
Retroviral vectors containing four different long terminal repeats (LTRs) (each
from Moloney murine leukemia virus (MoMLV), murine stem cell virus (MSCV),
myeloproliferative sarcoma virus (MPSV) and spleen focus-forming virus (SFFV))
were compared using the well-known CE vector having the EF1alpha internal
promoter as a control. The MS vector containing MSCV LTR produced a higher viral
titer and a higher level of gene expression than other vectors including CE. The
MS vector drove the gene expression in cultured neural stem cells for 3 weeks.
Furthermore, the MS vector could efficiently deliver the gene to the mouse
central nervous system, as transgene expression was found in various regions of
the brains and spinal cords as well as in all major neural cell types. The data
from an in vivo luciferase imaging analysis showed that the gene expression from
the MS vector was sustainable for almost 3 months. Our data suggested that the MS
vector would be suitable to construct mice containing the transgene expressed in
the brain or spinal cord in a quick and cost-effective manner.
PMID- 21900963
TI - Imaging gene delivery in a mouse model of congenital neuronal ceroid
lipofuscinosis.
AB - Adeno-associated virus (AAV)-mediated gene replacement for lysosomal disorders
have been spurred by the ability of some serotypes to efficiently transduce
neurons in the brain and by the ability of lysosomal enzymes to cross-correct
among cells. Here, we explored enzyme replacement therapy in a knock-out mouse
model of congenital neuronal ceroid lipofuscinosis (NCL), the most severe of the
NCLs in humans. The missing protease in this disorder, cathepsin D (CathD) has
high levels in the central nervous system. This enzyme has the potential
advantage for assessing experimental therapy in that it can be imaged using a
near-infrared fluorescence (NIRF) probe activated by CathD. Injections of an
AAV2/rh8 vector-encoding mouse CathD (mCathD) into both cerebral ventricles and
peritoneum of newborn knock-out mice resulted in a significant increase in
lifespan. Successful delivery of active CathD by the AAV2/rh8-mCathD vector was
verified by NIRF imaging of mouse embryonic fibroblasts from knock-out mice in
culture, as well as by ex vivo NIRF imaging of the brain and liver after gene
transfer. These studies support the potential effectiveness and imaging
evaluation of enzyme replacement therapy to the brain and other organs in CathD
null mice via AAV-mediated gene delivery in neonatal animals.
PMID- 21900965
TI - Enhanced pseudotyping efficiency of HIV-1 lentiviral vectors by a
rabies/vesicular stomatitis virus chimeric envelope glycoprotein.
AB - Rabies virus glycoprotein (RVG) can pseudotype lentiviral vectors, although at a
lower efficiency to that of vesicular stomatitis virus glycoprotein (VSVG).
Transduction with VSVG-pseudotyped vectors of rodent central nervous system (CNS)
leads to local neurotropic gene transfer, whereas with RVG-pseudotyped vectors
additional disperse transduction of neurons located at distal efferent sites
occurs via axonal retrograde transport. Attempts to produce high-titre RVG
pseudotyped lentiviral vectors for preclinical and clinical trials has to date
been problematic. We have constructed several chimeric RVG/VSVG glycoproteins and
found that a construct bearing the external/transmembrane domain of RVG and the
cytoplasmic domain of VSVG shows increased incorporation onto HIV-1 lentiviral
particles and has increased infectivity in vitro in 293T cells and in
differentiated neuronal cell lines of human, rat and murine origin. Stereotactic
application of vector pseudotyped with this RVG/VSVG chimera in the rat striatum
resulted in efficient gene transfer at the site of injection showing both
neuronal and glial tropism. Distal neuronal transduction in the substantia nigra,
thalamus and olfactory bulb via retrograde axonal transport also occurs after
intrastriatal administration of chimera-pseudotyped vectors at similar levels to
that observed with a RVG-pseudotyped vector. This is the first report of distal
transduction in the olfactory bulb. The enhanced pseudotyping with this envelope
should enable easier production of higher-titre pseudotyped lentiviral vectors
that exhibit efficient local and dispersed neuronal transduction in the CNS.
PMID- 21900966
TI - Quorum sensing control of phosphorus acquisition in Trichodesmium consortia.
AB - Colonies of the cyanobacterium Trichodesmium are abundant in the oligotrophic
ocean, and through their ability to fix both CO(2) and N(2), have pivotal roles
in the cycling of carbon and nitrogen in these highly nutrient-depleted
environments. Trichodesmium colonies host complex consortia of epibiotic
heterotrophic bacteria, and yet, the regulation of nutrient acquisition by these
epibionts is poorly understood. We present evidence that epibiotic bacteria in
Trichodesmium consortia use quorum sensing (QS) to regulate the activity of
alkaline phosphatases (APases), enzymes used by epibionts in the acquisition of
phosphate from dissolved-organic phosphorus molecules. A class of QS molecules,
acylated homoserine lactones (AHLs), were produced by cultivated epibionts, and
adding these AHLs to wild Trichodesmium colonies collected at sea led to a
consistent doubling of APase activity. By contrast, amendments of (S)-4,5
dihydroxy-2,3-pentanedione (DPD)-the precursor to the autoinducer-2 (AI-2) family
of universal interspecies signaling molecules-led to the attenuation of APase
activity. In addition, colonies collected at sea were found by high performance
liquid chromatography/mass spectrometry to contain both AHLs and AI-2. Both types
of molecules turned over rapidly, an observation we ascribe to quorum quenching.
Our results reveal a complex chemical interplay among epibionts using AHLs and AI
2 to control access to phosphate in dissolved-organic phosphorus.
PMID- 21900967
TI - An all-taxon microbial inventory of the Moorea coral reef ecosystem.
AB - The Moorea Coral Reef Long Term Ecological Research (LTER) Site (17.50 degrees S,
149.83 degrees W) comprises the fringe of coral reefs and lagoons surrounding the
volcanic island of Moorea in the Society Islands of French Polynesia. As part of
our Microbial Inventory Research Across Diverse Aquatic LTERS biodiversity
inventory project, we characterized microbial community composition across all
three domains of life using amplicon pyrosequencing of the V6 (bacterial and
archaeal) and V9 (eukaryotic) hypervariable regions of small-subunit ribosomal
RNA genes. Our survey spanned eight locations along a 130-km transect from the
reef lagoon to the open ocean to examine changes in communities along inshore to
offshore gradients. Our results illustrate consistent community differentiation
between inshore and offshore ecosystems across all three domains, with greater
richness in all domains in the reef-associated habitats. Bacterial communities
were more homogenous among open ocean sites spanning >100 km than among inshore
sites separated by <1 km, whereas eukaryotic communities varied more offshore
than inshore, and archaea showed more equal levels of dissimilarity among
subhabitats. We identified signature communities representative of specific
geographic and geochemical milieu, and characterized co-occurrence patterns of
specific microbial taxa within the inshore ecosystem including several bacterial
groups that persist in geographical niches across time. Bacterial and archaeal
communities were dominated by few abundant taxa but spatial patterning was
consistent through time and space in both rare and abundant communities. This is
the first in-depth inventory analysis of biogeographic variation of all three
microbial domains within a coral reef ecosystem.
PMID- 21900968
TI - Using network analysis to explore co-occurrence patterns in soil microbial
communities.
AB - Exploring large environmental datasets generated by high-throughput DNA
sequencing technologies requires new analytical approaches to move beyond the
basic inventory descriptions of the composition and diversity of natural
microbial communities. In order to investigate potential interactions between
microbial taxa, network analysis of significant taxon co-occurrence patterns may
help to decipher the structure of complex microbial communities across spatial or
temporal gradients. Here, we calculated associations between microbial taxa and
applied network analysis approaches to a 16S rRNA gene barcoded pyrosequencing
dataset containing >160 000 bacterial and archaeal sequences from 151 soil
samples from a broad range of ecosystem types. We described the topology of the
resulting network and defined operational taxonomic unit categories based on
abundance and occupancy (that is, habitat generalists and habitat specialists).
Co-occurrence patterns were readily revealed, including general non-random
association, common life history strategies at broad taxonomic levels and
unexpected relationships between community members. Overall, we demonstrated the
potential of exploring inter-taxa correlations to gain a more integrated
understanding of microbial community structure and the ecological rules guiding
community assembly.
PMID- 21900969
TI - Heavy weather.
PMID- 21900970
TI - A very Turkish coup.
PMID- 21900971
TI - Brain burdens.
PMID- 21900972
TI - Embrace failure to start up success.
PMID- 21900984
TI - Fukushima impact is still hazy.
PMID- 21900985
TI - NIH centre faces spell in limbo.
PMID- 21900986
TI - Jumpy stars slow hunt for other Earths.
PMID- 21900987
TI - Venus scientists fear neglect.
PMID- 21900988
TI - A radical approach to mental illness. Interview by Alison Abbott.
PMID- 21900989
TI - Climate and weather: Extreme measures.
PMID- 21900990
TI - Biodefence since 9/11: The price of protection.
PMID- 21900991
TI - Science since 9/11: Homeland insecurity.
PMID- 21900992
TI - Debt crisis: Crunch time for US science.
PMID- 21900996
TI - Q&A: Mr sustainability. Interview by Nicola Jones.
PMID- 21900997
TI - Flagging flora: heart disease link.
PMID- 21900998
TI - Research foundations: US private funder on indirect costs.
PMID- 21900999
TI - Flagging flora: help from bacteriocins?
PMID- 21901000
TI - Eco-indicators: Improve China's sustainability targets.
PMID- 21901001
TI - Astrophysics: Broad escape from the abyss.
PMID- 21901002
TI - Neuroscience: When lights take the circuits out.
PMID- 21901003
TI - Metabolism: Let them eat fat.
PMID- 21901005
TI - Geoscience: Earth's patchy late veneer.
PMID- 21901006
TI - Cancer: Let sleeping DNA lie.
PMID- 21901007
TI - BRCA1 tumour suppression occurs via heterochromatin-mediated silencing.
AB - Mutations in the tumour suppressor gene BRCA1 lead to breast and/or ovarian
cancer. Here we show that loss of Brca1 in mice results in transcriptional de
repression of the tandemly repeated satellite DNA. Brca1 deficiency is
accompanied by a reduction of condensed DNA regions in the genome and loss of
ubiquitylation of histone H2A at satellite repeats. BRCA1 binds to satellite DNA
regions and ubiquitylates H2A in vivo. Ectopic expression of H2A fused to
ubiquitin reverses the effects of BRCA1 loss, indicating that BRCA1 maintains
heterochromatin structure via ubiquitylation of histone H2A. Satellite DNA de
repression was also observed in mouse and human BRCA1-deficient breast cancers.
Ectopic expression of satellite DNA can phenocopy BRCA1 loss in centrosome
amplification, cell-cycle checkpoint defects, DNA damage and genomic instability.
We propose that the role of BRCA1 in maintaining global heterochromatin integrity
accounts for many of its tumour suppressor functions.
PMID- 21901008
TI - An origin of the radio jet in M87 at the location of the central black hole.
AB - Powerful radio jets from active galactic nuclei are thought to be powered by the
accretion of material onto the supermassive black hole (the 'central engine').
M87 is one of the closest examples of this phenomenon, and the structure of its
jet has been probed on a scale of about 100 Schwarzschild radii (R(s), the radius
of the event horizon). However, the location of the central black hole relative
to the jet base (a bright compact radio 'core') remains elusive. Observations of
other jets indicate that the central engines are located about 10(4)-10(6)R(s)
upstream from the radio core. Here we report radio observations of M87 at six
frequencies that allow us to achieve a positional accuracy of about 20
microarcseconds. As the jet base becomes more transparent at higher frequencies,
the multifrequency position measurements of the radio core enable us to determine
the upstream end of the jet. The data reveal that the central engine of M87 is
located within 14-23R(s) of the radio core at 43 GHz. This implies that the site
of material infall onto the black hole and the eventual origin of the jet reside
in the bright compact region seen on the image at 43 GHz.
PMID- 21901009
TI - Magnetic-field-induced charge-stripe order in the high-temperature superconductor
YBa2Cu3Oy.
AB - Electronic charges introduced in copper-oxide (CuO(2)) planes generate high
transition-temperature (T(c)) superconductivity but, under special circumstances,
they can also order into filaments called stripes. Whether an underlying tendency
towards charge order is present in all copper oxides and whether this has any
relationship with superconductivity are, however, two highly controversial
issues. To uncover underlying electronic order, magnetic fields strong enough to
destabilize superconductivity can be used. Such experiments, including quantum
oscillations in YBa(2)Cu(3)O(y) (an extremely clean copper oxide in which charge
order has not until now been observed) have suggested that superconductivity
competes with spin, rather than charge, order. Here we report nuclear magnetic
resonance measurements showing that high magnetic fields actually induce charge
order, without spin order, in the CuO(2) planes of YBa(2)Cu(3)O(y). The observed
static, unidirectional, modulation of the charge density breaks translational
symmetry, thus explaining quantum oscillation results, and we argue that it is
most probably the same 4a-periodic modulation as in stripe-ordered copper oxides.
That it develops only when superconductivity fades away and near the same 1/8
hole doping as in La(2-x)Ba(x)CuO(4) (ref. 1) suggests that charge order,
although visibly pinned by CuO chains in YBa(2)Cu(3)O(y), is an intrinsic
propensity of the superconducting planes of high-T(c) copper oxides.
PMID- 21901010
TI - The tungsten isotopic composition of the Earth's mantle before the terminal
bombardment.
AB - Many precious, 'iron-loving' metals, such as gold, are surprisingly abundant in
the accessible parts of the Earth, given the efficiency with which core formation
should have removed them to the planet's deep interior. One explanation of their
over-abundance is a 'late veneer'--a flux of meteorites added to the Earth after
core formation as a 'terminal' bombardment that culminated in the cratering of
the Moon. Some 3.8 billion-year-old rocks from Isua, Greenland, are derived from
sources that retain an isotopic memory of events pre-dating this cataclysmic
meteorite shower. These Isua samples thus provide a window on the composition of
the Earth before such a late veneer and allow a direct test of its importance in
modifying the composition of the planet. Using high-precision (less than 6 parts
per million, 2 standard deviations) tungsten isotope analyses of these rocks,
here we show that they have a isotopic tungsten ratio (182)W/(184)W that is
significantly higher (about 13 parts per million) than modern terrestrial
samples. This finding is in good agreement with the expected influence of a late
veneer. We also show that alternative interpretations, such as partial remixing
of a deep-mantle reservoir formed in the Hadean eon (more than four billion years
ago) or core-mantle interaction, do not explain the W isotope data well. The
decrease in mantle (182)W/(184)W occurs during the Archean eon (about four to
three billion years ago), potentially on the same timescale as a notable decrease
in (142)Nd/(144)Nd (refs 3 and 6). We speculate that both observations can be
explained if late meteorite bombardment triggered the onset of the current style
of mantle convection.
PMID- 21901014
TI - Augmentation index association with reactive hyperemia as assessed by peripheral
arterial tonometry in hypertension.
AB - BACKGROUND: Augmentation pressure has emerged as a surrogate marker for
cardiovascular disease, and endothelial dysfunction has been proposed as related
factor. However, the relationship between augmentation pressure and digital
endothelial function has not yet been well defined. We investigated the
relationship between augmentation pressure and digital reactive hyperemia (RH) in
patients with hypertension using peripheral arterial tonometry (PAT), which is
regarded as being representative of endothelial function. METHODS: One hundred
hypertensive patients (64 males; mean age, 49 +/- 12 years) without a history of
taking antihypertensive medication were enrolled in this study. RESULTS: The mean
augmentation pressure and augmentation index (AIx) normalized for a heart rate of
75 beats/min (AIx75) were 15 +/- 8 mm Hg and 26 +/- 11%, respectively. The mean
RH-PAT index and log transformed PAT ratio were 2.24 +/- 0.55 and 0.62 +/- 0.30.
There was an inverse relationship between the RH-PAT index and age, male sex, and
body mass index. The log transformed PAT ratio also showed inverse relationship
with age and male sex. The RH-PAT index and the log transformed PAT ratio showed
no relationship with augmentation pressure or AIx75. In a multiple linear
regression analysis, age, height, and central systolic BP demonstrated an
independent association with augmentation pressure and AIx75. CONCLUSION: In
patients with hypertension, the RH-PAT index determined using PAT was not
associated with augmentation pressure or AIx75. Digital vascular function may be
a less important factor for pressure augmentation in patients with hypertension.
PMID- 21901015
TI - Cell-penetrating peptides corresponding to the angiotensin II Type 1 receptor
reduce receptor accumulation and cell surface expression and signaling.
AB - BACKGROUND: Our previous published studies have established the gamma
aminobutyric acid (GABA) receptor-associated protein (GABARAP) as a trafficking
protein for the angiotensin II type 1A receptor (AT(1)R). GABARAP overexpression
increases both AT(1)R protein accumulation and translocation to the plasma
membrane. The present study examined the inhibitory effects of decoy peptides on
receptor expression and plasma membrane accumulation. The decoy peptides
correspond to the AT(1)R cytoplasmic domain located immediately proximal to the
7th transmembrane domain, a region implicated in GABARAP binding. This
competitive binding study was designed as a first step toward evaluating the
GABARAP:AT(1)R binding interface as a target for reducing AT(1)R trafficking to
the plasma membrane. METHODS: AT(1)R and GABARAP plasmids were transfected into
mammalian cell lines simultaneously with cell-penetrating peptides (CPPs). CPP-1
and CPP-2 consist of the penetratin (pANT(43-58)) CPP with downstream fusions of
GKKFKKYFLQL (AT(1)R) and GKKFEEAFLQL (AT(1)R-mutant) amino acids, respectively.
CPP-3 consists of the HIV TAT(48-60) CPP with GKKFKKYFLQL (AT(1)R) fused
downstream. Western blotting, signal transduction studies, and 3D deconvolution
microscopy experiments were employed. RESULTS: Immunoblot analyses and live cell
deconvolution microscopy demonstrated that inhibitory (but not control) peptides
completely blocked GABARAP-induced intracellular AT(1)R accumulation and cell
surface accumulation. GABARAP also stimulated angiotensin II-mediated phospho
ERK1/2 induction by ~ fivefold. This activation was, similarly, quantitatively
blocked by the inhibitory peptides. CONCLUSIONS: Cell-penetrating decoy peptides
which were designed to block the AT(1)R:GABARAP interaction, effectively reduced
AT(1)R intracellular accumulation and cell-surface trafficking and signaling. The
binding interaction site between AT(1)R and GABARAP represents a potential
therapeutic target.
PMID- 21901016
TI - Prostate cancer: intermediate-risk patients on radiotherapy benefit from addition
of short-term ADT.
PMID- 21901017
TI - Prostate cancer: DHT bypasses testosterone to drive progression to castration
resistance.
PMID- 21901019
TI - Kidney cancer: targeted therapy of glucose uptake via GLUT1 kills RCC cells.
PMID- 21901020
TI - Bladder cancer: EMDA mitomycin before TURBT is the best treatment for non-muscle
invasive disease.
PMID- 21901021
TI - Bladder cancer: robot-assisted laparoscopic radical cystectomy: a single-center
experience.
PMID- 21901022
TI - Prostate cancer: postprostatectomy climacturia.
PMID- 21901023
TI - Sexual dysfunction: penile revascularization has good long-term success rates.
PMID- 21901024
TI - Sugar-sweetened and diet beverages in relation to visceral adipose tissue.
AB - Frequent sugar-sweetened beverage (SSB) intake has been consistently associated
with increased adiposity and cardio-metabolic risk, whereas the association with
diet beverages is more mixed. We examined how these beverages associate with
regional abdominal adiposity measures, specifically visceral adipose tissue
(VAT). In a cross-sectional analysis of 791 non-Hispanic white men and women aged
18-70 we examined how beverage consumption habits obtained from a food frequency
questionnaire associate with overall and abdominal adiposity measures from MRI.
With increasing frequency of SSB intake, we observed increases in waist
circumference (WC) and the proportion of visceral to subcutaneous abdominal
adipose tissue (VAT%), with no change in total body fat (TBF%) or BMI. Greater
frequency of diet beverage intake was associated with greater WC, BMI, and TBF%,
but was not associated with variation in visceral adiposity We conclude that
increased frequency of SSB consumption is associated with a more adverse
abdominal adipose tissue deposition pattern.
PMID- 21901025
TI - Inflammation associated with obesity: relationship with blood and bone marrow
endothelial cells.
AB - The purpose of this study was to assess the inflammatory nature of obesity and
its effect on blood and bone marrow endothelial cell populations. Obese patients
(BMI >=30) had significantly higher concentrations of the inflammatory marker C
reactive protein (CRP) (P = 0.03) and lower concentrations of the anti
inflammatory cytokine interleukin-10 (IL-10) (P = 0.05). This cytokine profile is
consistent with obesity being an inflammatory condition and is further supported
by the significant correlation between total white blood cell count and BMI (r =
0.15; P = 0.035). High BMI was associated with significantly lower numbers of
early endothelial cells (CD45(-)/CD34(+)) in the bone marrow (r = -0.20; P =
0.0068). There was also a significant inverse correlation between BMI and a more
mature endothelial cell phenotype (CD45(-)/31(+)) in the blood (r = -0.17; P =
0.02). In addition, there was a significant correlation between BMI- and
endothelial-related cells of hematopoietic origin (CD133(+)/VEGFR-2(+)) in the
bone marrow (r = -0.26; P = 0.0007). Patients with higher plasma IL-10 and
insulin-like growth factor (IGF) concentrations had higher numbers of endothelial
phenotypes in the bone marrow suggesting a protective effect of these anti
inflammatory cytokines. In conclusion, this work confirms the inflammatory nature
of obesity and is the first to report that obesity is associated with reduced
endothelial cell numbers in the bone marrow of humans. These effects of obesity
may be a potential mechanism for impaired tissue repair in obese patients.
PMID- 21901026
TI - [Can we afford to become old?].
PMID- 21901027
TI - Global health--a challenge for us all.
PMID- 21901028
TI - [Yes to knowledge-based practice].
PMID- 21901029
TI - [Evidence-based materials and independent physicians].
PMID- 21901030
TI - [Two sides of the same thing?].
PMID- 21901032
TI - [Dementia among younger persons and Huntington disease].
PMID- 21901034
TI - [Incidence of stroke].
PMID- 21901035
TI - [Do general practitioners follow the national guidelines for treating urinary
tract infections with antibiotics?].
AB - BACKGROUND: Incorrect use of antibiotics is a major public health concern both
nationally and globally due to the development of antibiotic resistance. The goal
of this study was to see if prescription of antibiotics for urinary tract
infections in general practice was in accordance with national guidelines.
MATERIAL AND METHODS: We combined two sets of data from February and March 2003:
prescriptions of antibiotics redeemed in pharmacies, and electronic billing cards
collected from the National Insurance Agency from 145 general practitioners in
Vestfold county. We analysed all consultations related to urinary tract problems,
and we found which antibiotics had been prescribed and for how long. The
treatment was then compared with the national guidelines. A logistic regression
analysis identified factors associated with adequate treatment length. RESULTS:
Trimetoprime and mecillinam were most frequently prescribed to both genders.
Sixty-nine (6 %) of the total 1,102 prescriptions were quinolones. A total of 271
(32 %) of 847 patients who were prescribed antibiotics for cystitis did not get
treatment of sufficient length. Female and young patients were more often given
the correct duration of treatment. Doctors with 1,000-1,500 patients more
frequently prescribed sufficiently long treatment compared to other physicians.
Six patients (0.5 %) were prescribed another antibiotic between two and 14 days
after the first prescription. CONCLUSION: The choice of antibiotics conformed
well with national guidelines, but the duration of the treatment was often too
short. In spite of this, only 0.5 % showed signs of relapse. A number of factors
associated with adequate treatment length were identified. The empirical use of
furadantin can be increased.
PMID- 21901036
TI - [Post-exposure varicella prophylaxis].
AB - BACKGROUND: Varicella may have a serious and sometimes fatal course, especially
in immunocompromised patients. Some patient groups may need prophylaxis after
exposure to the varicella-zoster-virus. In this article we review the evidence
for usefulness of prophylactic measures after such exposure. MATERIAL AND
METHODS: The article is based on a non-systematic literature search in Medline,
the Cochrane Library, UpToDate and Clinical Evidence. RESULTS: The effect of post
exposure varicella prophylaxis on disease rate and severity of varicella is only
weakly documented. There is some evidence that passive immunisation with
varicella-zoster immunoglobulin (VZIG) reduces the risk of serious disease when
it is administered within 72-96 hours after exposure. Several studies of mostly
healthy children have shown that prophylactic acyclovir is better than control
treatment, but the studies are small and they are not properly designed. Post
exposure vaccination is shown to reduce disease rate and severity in otherwise
healthy children. INTERPRETATION: We believe that acyclovir or valacyclovir can
be used as post-exposure varicella prophylaxis in risk patients for whom the time
window for VZIG-use has expired.
PMID- 21901037
TI - [Use of GnRH antagonist for in vitro fertilization].
AB - BACKGROUND: For many patients, the typical treatment protocol for in vitro
fertilization (IVF) is both physically and psychologically demanding. An
alternative approach to use of gonadotropin-releasing hormone (GnRH)-agonists
traditionally used to prevent premature ovulation, is use of GnRH-antagonists.
The aim of this article is to describe advantages and disadvantages of using GnRH
antagonists in IVF. MATERIAL AND METHODS: The paper is based on literature
identified through a non-systematic search in PubMed, and more than ten years of
clinical experience with use of GnRH antagonists in IVF. RESULTS: To maintain a
similar pregnancy rate as that with GnRH-agonists, one can use GnRH-antagonists
at an earlier time-point during stimulation of the ovaries and a lower dose of
follicle stimulating hormone (FSH). A less intensive stimulation implies a lower
risk of complications and side effects and a shorter treatment period before egg
collection (from four-five weeks to less than two weeks). The main disadvantage
of the GnRH-antagonist protocol is that ovarian stimulation cannot be programmed
to the same extent as that with use of a GnRH-agonist. INTERPRETATION:
Stimulation with a GnRH-antagonist instead of a GnRH-agonist in IVF, is less
physically and psychologically demanding for the patients and maintains the same
birth rate.
PMID- 21901038
TI - [One-and-a-half syndrome].
PMID- 21901039
TI - [A man in his 60s with severe respiratory failure].
PMID- 21901040
TI - [Liver transplantation instead of lung transplantation].
PMID- 21901042
TI - The global health architecture--for the benefit of all?
PMID- 21901043
TI - A functioning health system is a prerequisite for good health care.
PMID- 21901044
TI - Priorities in global health.
PMID- 21901045
TI - Climate change--the biggest health threat of our time.
PMID- 21901046
TI - [Difficult for Palestinians to receive specialist treatment].
PMID- 21901047
TI - [Ethical aspects of physician-patient relations in the new media].
PMID- 21901050
TI - Electric control of magnon frequencies and magnetic moment of bismuth ferrite
thin films at room temperature.
AB - Here, we report the tuning of room-temperature magnon frequencies from 473 GHz to
402 GHz (14%) and magnetic moment from 4 to 18 emu/cm(3) at 100 Oe under the
application of external electric fields (E) across interdigital electrodes in
BiFeO(3) (BFO) thin films. A decrease in magnon frequencies and increase in
phonon frequencies were observed with Magnon and phonon Raman intensities are
asymmetric with polarity, decreasing with positive E (+E) and increasing with
negative E (-E) where polarity is with respect to in-plane polarization P. The
magnetoelectric coupling (alpha) is proved to be linear and a rather isotropic
alpha = 8.5 * 10(-12) sm(-1).
PMID- 21901051
TI - Transport of a soft cargo on a nanoscale ratchet.
AB - Surface ratchets can guide droplet transport for microfluidic systems. Here, we
demonstrated the actuation of microgels encapsulated in droplets using a
unidirectional nanotextured surface, which moves droplets with low vibration
amplitudes by a ratcheting mechanism. The nanofilm carries droplets along the
ratchets with minimal drop shape deformation to move the encapsulated soft cargo,
i.e., microscale hydrogels. The tilted nanorods of the nanofilm produce
unidirectional wetting, thereby enabling droplet motion in a single direction.
Maximum droplet translation speed on the nanofilm was determined to be 3.5 mm/s,
which offers a pathway towards high throughput microgel assembly applications to
build complex constructs.
PMID- 21901052
TI - Neurogenesis and Alzheimer's disease.
AB - Alzheimer's disease (AD) is a neurodegenerative disease, characterized in the
brain by amyloid plaque deposits and neurofibrillary tangles. It is the most
common form of dementia among older people. There is at present no cure for AD,
and current treatments consist mainly in drug therapy. Potential therapies for AD
involve gene and cellular therapy. The recent confirmation that neurogenesis
occurs in the adult brain and neural stem cells (NSCs) reside in the adult
central nervous system (CNS) provide new opportunities for cellular therapy in
the CNS, particularly for AD, and to better understand brain physiopathology.
Hence, researchers have aimed at characterizing neurogenesis in patients with AD.
Studies show that neurogenesis is increased in these patients, and in animal
models of AD. The effect of drugs used to treat AD on neurogenesis is currently
being investigated, to identify whether neurogenesis contributes to their
therapeutic activities.
PMID- 21901053
TI - Inhibition of intrinsic thrombin generation.
AB - BACKGROUND: The contact phase of coagulation is of physiologic/pathophysiologic
importance, whenever unphysiologic polynegative substances such as cell fragments
(microparticles) get in contact with blood. There are several clinically used
inhibitors of intrinsic thrombin generation. Here the inhibitory concentrations
50% (IC50) of these anticoagulants are measured by the highly specific thrombin
generation assay INCA. METHODS: Unfrozen pooled normal citrated plasma in
polystyrole tubes was supplemented at 23 degrees C in duplicate with 0-2 IU/ml
low molecular weight heparin (dalteparin), 0-2 IU/ml unfractionated heparin, 0
500 KIU/ml aprotinin, or 0-40 mM arginine. 50 MUl plasma or 1 IU/ml thrombin
standard were pipetted into a polystyrole microtiter plate with flat bottom. 5
MUl SiO(2)/CaCl(2) - reagent (INCA activator) were added and after 0-30 min
incubation at 37 degrees C 100 MUl 2.5 M arginine, pH 8.6, were added; arginine
inhibits hemostasis activation and depolymerizes generated fibrin within 20 min
at 23 degrees C. The in the physiologic 37 degrees C incubation phase generated
thrombin was then chromogenically detected. The intra-assay CV values were < 5%.
RESULTS AND DISCUSSION: The approximate IC50 were 0.01 IU/ml dalteparin, 0.02
IU/ml heparin, 25 KIU/ml aprotinin, and 12 mM arginine. The efficiency of any
anticoagulant on intrinsic thrombin generation should be measured for each
individual patient.
PMID- 21901054
TI - Neurogenesis and the effect of antidepressants.
AB - The recent evidence that neurogenesis occurs throughout adulthood and neural stem
cells (NSCs) reside in the adult central nervous system (CNS) suggests that the
CNS has the potential for self-repair. Beside this potential, the function of
newly generated neuronal cells in the adult brain remains the focus of intense
research. The hippocampus of patients with depression show signs of atrophy and
neuronal loss. This suggests that adult neurogenesis may contribute to the
biology of depression. The observations that antidepressants, like fluoxetine,
increase neurogenesis in the dentate gyrus (DG) and neurogenesis is required for
the behavioral effect of antidepressants, lead to a new theory for depression and
the design of new strategies and drugs for the treatment of depression. However,
the role of adult neurogenesis in the etiology of depression remains the source
of controversies and debates.
PMID- 21901056
TI - The Way that PEGyl-DSPC Liposomal Doxorubicin Particles Penetrate into Solid
Tumor Tissue.
AB - BACKGROUND: For enhancement of drug effectiveness and reduction of drug toxicity,
liposomal drugs have been studied in laboratories and clinics for decades.
Although the results obtained from in vitro are encouraging, but the results from
in vivo tests were not satisfactory. The main reasons for this situation were
that we do not have enough information about the way how liposomal particles
penetrating into solid tumor tissue, and what happening to the liposome particles
after they got into the tumor tissue. In this paper, we are going to report the
results from our observations on the way folic acid targeted and non-targeted
PEGyl-DSPC liposomal doxorubicin particles penetrate into solid tumor tissue.
METHODS: Subcutaneous transplanted murine L1210JF solid tumors in mice were used
as a model. PEGyl liposomal doxorubicins were injected through tail venue, and
tumor tissue samples were collected at special time points. Cryosections were cut
and dried by a flowing of air after mounted on the slides right away. Then the
dried cryosections were stained in water systems; the blood vessel cells were
stained with green fluorescent FITC labeled antibody against CD31 antigen; the
nuclei of the living cells were stained with a blue fluorescent dye DAPI. Since
the whole procedure was carried out in aquatic system, the red color fluorescent
liposomal doxorubicin particles remain visible under fluorescence microscope.
RESULTS: Both folate conjugated and non-conjugated PEGyl-DSPC liposomal
doxorubicin particles were only leaking out from the broken holes of blood
vessels with a special direction and spread out for a limited distance, which was
similar to the results showed before, in that observation a latex microsphere
sample was used as a model.
PMID- 21901055
TI - Modification of Monoaminergic Activity by MAO Inhibitors Influences
Methamphetamine Actions.
AB - Methamphetamine (METH) abuse is a serious health and social problem worldwide. At
present, however, there are no effective medications for the treatment of METH
abuse. Of the intracellular METH target proteins, monoamine oxidase (MAO) is
involved in the regulation of monoaminergic tone in the brain, resulting in the
modulation of METH-induced behavioral abnormalities in mammals. The METH-induced
expression of increased motor activity, stereotypy, and sensitization is closely
associated with monoaminergic transmission in the brain. Modification of MAO
activity by MAO inhibitors can influence METH action. Of the MAO inhibitors, the
propargylamine derivative clorgyline, an irreversible MAO-A inhibitor,
effectively blocks METH-induced hyperlocomotion and behavioral sensitization in
rodents. Analysis of the associated monoaminergic activity indicates an
involvement of altered striatal serotonergic transmission as well as an increased
dopaminergic tone. Some effects of MAO inhibitors on METH action appear to be
independent of MAO, suggesting complex mechanisms of action of MAO inhibitors in
METH abuse. This review describes current research to find effective treatment
for METH abuse, using MAO inhibitors.
PMID- 21901057
TI - Long-term L-carnitine administration reduces erythropoietin resistance in chronic
hemodialysis patients with thalassemia minor.
AB - BACKGROUND AND AIM: Both thalassemia and carnitine deficiency represent
independent causes of erythropoietin resistance, and thus anemia, in uremic
patients. We evaluated the unknown long-term effects of L-carnitine
administration in beta-thalassemic on chronic hemodialysis. METHODS: We studied
twelve subjects (M = 8; F = 4) affected by beta-thalassemia minor (beta-thal;
HbA2 level = 6.6 +/- 0.6%) and forty non-thalassemic subjects (M = 24; F = 16) as
controls (C), on chronic hemodialysis treatment. Patients and controls were at
target hemoglobin levels (11-12g/dl) prior to the study and underwent to i.v. L
carnitine administration for a one year period-time. RESULTS: Groups were
comparable for age, gender, serum levels of hemoglobin (Hb), iron, ferritine, PTH
and aluminum, transferrin saturation, and dialysis modalities. During the study
both groups showed significant Hb increase and erythropoietin (EPO) decrease; as
a difference, such changes emerged at the 3rd month in C but at the 8th month in
beta-thal. At start, during the dialysis session the erythrocyte MCV reduced in C
but not in beta-thal (65.3 +/- 3.2 to 65.5 +/- 3.2 fl; NS); along carnitine
administration period, however, MCV during dialysis decreased also in beta-thal,
starting since the 9th month of treatment. CONCLUSION: This study provides
evidence of the lowering of EPO resistance in beta-thalassemia patients on
hemodialysis due to long-term carnitine administration. Thus, prolonged carnitine
supplementation should be suggested to patients on dialysis affected by beta
thalassemia with poorly responsive anemia, or requiring large doses of
erythropoietin.
PMID- 21901058
TI - Cyclophilin and viruses: cyclophilin as a cofactor for viral infection and
possible anti-viral target.
AB - Cyclophilin (CyP) is a peptidyl prolyl cis/trans isomerase, catalyzing the cis
trans isomerization of proline residues in proteins. CyP plays key roles in
several different aspects of cellular physiology including the immune response,
transcription, mitochondrial function, cell death, and chemotaxis. In addition to
these cellular events, a number of reports demonstrated that CyP plays a critical
role in the life cycle of viruses, especially human immunodeficiency virus (HIV)
and hepatitis C virus (HCV). These two viruses are significant causes of
morbidity and mortality worldwide, but current therapies are often insufficient.
CyP may provide a novel therapeutic target for the management and/or cure of
these diseases, in particular HCV.
PMID- 21901059
TI - Effect of ribavirin alone or combined with silymarin on carbon tetrachloride
induced hepatic damage in rats.
AB - The effect of the antiviral agent ribavirin given alone or in combination with
silymarin on the development of liver injury induced in rats with carbon
tetrachloride (CCl(4); 2.8 ml/kg followed by 1.4 ml/kg after one week) was
studied. Ribavirin at three dose levels (30, 60 or 90 mg/kg), silymarin (25
mg/kg) or combination of ribavirin (60 mg/kg) and silymarin (25 mg/kg) was
administered once daily orally for 14 days, starting at time of administration of
CCl(4). The administration of ribavirin decreased the elevations in serum alanine
aminotransferase (ALT) by 78.5, 82.1, 75.1%, aspartate aminotransferase (AST)
47.5, 37.4, 38.8%, and alkaline phosphatase (ALP) by 23.4, 16, 21.6%,
respectively and also pre-vented the development of hepatic necrosis caused by
CCl(4). In comparison, the elevated serum ALT, AST and ALP levels decreased to
43.3%, 46%, and 37.5% of controls, respectively by silymarin. When silymarin was
combined with ribavirin, the serum activities of AST and ALP were further
decreased, indicating a beneficial additive effect. Morphometric analysis
indicated significant reduction in the area of necrosis and fibrosis on ribavirin
treatment and this was further reduced after the addition of silymarin. Metabolic
pertuberations caused by CCl(4) as reflected in a decrease in intracellular
protein content in hepatocytes were improved by ribavirin monotherapy and to
higher extent by combined silymarin and ribavirin therapy. Proliferating cell
nuclear antigen was reduced in nuclei of hepatocytes by ribavirin montherapy or
the combination of ribavirin and silymarin compared with CCl(4)-control group.
The study demonstrates that ribavirin treatment in the model of CCl(4)-induced
liver injury results in less liver damage. Results also indicate that the
combined application of ribavirin and sily-marin is likely to be a useful
additive in reducing liver injury.
PMID- 21901060
TI - Modulation of visceral nociception, inflammation and gastric mucosal injury by
cinnarizine.
AB - The effect of cinnarizine, a drug used for the treatment of vertigo was assessed
in animal models of visceral nociception, inflammation and gastric mucosal
injury. Cinnarizine (1.25-20 mg/kg, s.c.) caused dose-dependent inhibition of the
abdominal constrictions evoked by i.p. injection of acetic acid by 38.7-99.4%.
This effect of cinnarizine (2.5 mg/kg) was unaffected by co-administration of the
centrally acting dopamine D2 receptor antagonists, sulpiride, haloperidol or
metoclopramide, the peripherally acting D2 receptor antagonist domperidone, but
increased by the D2 receptor agonist bromocryptine and by the non-selective
dopamine receptor antagonist chlorpromazine. The antinociception caused by
cinnarizine was naloxone insenstive, but enhanced by propranolol, atropine and by
yohimbine. The antinociceptive effect of cinnarizine was prevented by co
treatment with the adenosine receptor blocker theophylline or by the ATP
sensitive potassium channel (K(ATP)) blocker glibenclamide. Cinnarizine at 2.5
mg/kg reversed the baclofen-induced antinociception. Cinnarizine at 2.5 mg/kg
reduced immobility time in the Porsolt's forced-swimming test by 24%. Cinnarizine
inhibited the paw oedema response to carrageenan and reduced gastric mucosal
lesions caused by indomethacin in rats. It is suggested that cinnarizine exerts
anti-inflammatory, antinociceptive and gastric protective properties. The
mechanism by which cinnarizine modulates pain transmission is likely to involve
adenosine receptors and K(ATP) channels.
PMID- 21901061
TI - The adaptogens rhodiola and schizandra modify the response to immobilization
stress in rabbits by suppressing the increase of phosphorylated stress-activated
protein kinase, nitric oxide and cortisol.
AB - Adaptogens possess anti-fatigue and anti-stress activities that can increase
mental and physical working performance against a background of fatigue or
stress. The aim of the present study was to ascertain which mediators of stress
response are significantly involved in the mechanisms of action of adaptogens,
and to determine their relevance as biochemical markers for evaluating anti
stress effects in rabbits subjected to restraint stress. Blood levels of stress
activated protein kinase (SAPK/JNK), the phosphorylated kinase p-SAPK/p-JNK,
nitric oxide (NO), cortisol, testosterone, prostaglandin E(2), leukotriene B(4)
and thromboxane B(2) were determined in groups of animals prior to daily oral
administration of placebo, rhodioloside or extracts of Eleutherococcus
senticosus, Schizandra chinensis, Rhodiola rosea, Bryonia alba and Panax ginseng
over a 7 day period. Ten minutes after the final treatment, animals were
immobilized for 2 hours and blood levels of the markers re-determined. In the
placebo group, only p-SAPK/p-JNK, NO and cortisol were increased significantly
(by 200-300% cf basal levels) following restraint stress, whilst in animals that
had received multiple doses of adaptogens/stress-protectors, the levels of NO and
cortisol remained practically unchanged after acute stress. Rhodioloside and
extracts of S. chinensis and R. rosea were the most active inhibitors of stress
induced p-SAPK/p-JNK. E. senticosus, B. alba and P. ginseng exerted little effect
on p-SAPK/p-JNK levels. It is suggested that the inhibitory effects of R. rosea
and S. chinensis on p-SAPK/p-JNK activation may be associated with their
antidepressant activity as well as their positive effects on mental performance
under stress.
PMID- 21901062
TI - Accelerators of osteogenesis by recombinant human bone morphogenetic protein-2.
AB - Bone morphogenetic protein (BMP) appears to be one of the most promising cytokine
and for clinical use in reconstructive surgery for bony defects and augmentation.
To evaluate the effect of basic fibroblast growth factor (bFGF), FK506,
elcatonin, and hyperbaric oxygenation (HBO) on osteoinduction by recombinant
human bone morphogenetic protein-2 (rhBMP-2), 2 or 5 MUg of rhBMP-2 was implanted
into intramuscular sites of rats. At 21 days after implantation, the
osteoinductive activity in the treatment group and control group was compared
radiographically, biochemically, and histologically. The amount of new bone in
the treatment group was significantly greater than that in the control group. The
alkaline phosphatase activity and calcium content in the treatment group were
significantly higher than those in the control group. These results suggest that
bFGF, FK506, elcatonin, and HBO accelerated the activity and rate of
osteoinduction by rhBMP2. These results may be useful when BMP is applied
clinically in near future.
PMID- 21901063
TI - Electrocardiographic findings in acutely and chronically T. cruzi-infected mice
treated by a phenyl-substituted analogue of furamidine DB569.
AB - Aromatic diamidines have been successfully used to combat a wide range of
parasites that cause important human infections. Recently we reported that a N
phenyl-substituted analogue of furamidine (DB569) exerts a micromolar
trypanocidal activity against Trypanosoma cruzi in vitro. Since DB569 also
reduces the cardiac parasitism and increases the survival rates of T. cruzi
infected mice, our present aim was to analyze the potential protection of DB569
in the development of altered cardiac electrical conduction system during acute
and chronic T. cruzi infection. In our experimental model of acute infection
(Swiss mice inoculated with Y strain of T. cruzi), the prevailing disorder
observed in electrocardiogram (ECG) analyses was sinus bradycardia. This ECG
alteration was reverted in acutely infected mice treated with DB569.
Interestingly, the DB569 treatment reduced significantly the numbers of CD8(+) T
cells in the cardiac infiltration. In addition, the noticed protection of DB569
in the ECG findings of acutely-infected animals was further extended to the
chronic infection. Our data suggest that the reversion to and further maintenance
of normal ECG profile in the DB569-treated infected animals may be associated
with the reduced cardiac CD8(+) lymphocyte infiltration and parasitism that might
be ultimately contributing to their increased survival rates.
PMID- 21901064
TI - Targeting receptors, transporters and site of absorption to improve oral drug
delivery.
AB - Although the oral route of drug administration is the most acceptable way of self
medication with a high degree of patient compliance, the intestinal absorption of
many drugs is severely hampered by different biological barriers. These barriers
comprise of biochemical and physical components. The biochemical barrier includes
enzymatic degradation in the gastrointestinal lumen, brush border and in the
cytoplasm of the epithelial cells as well as efflux transporters that pump drug
molecules from inside the epithelial cell back to the gastrointestinal lumen. The
physical barrier consists of the epithelial cell membranes, tight junctions and
mucus layer. Different strategies have been applied to improve the absorption of
drugs after oral administration, which range from chemical modification of drug
molecules and formulation technologies to the targeting of receptors,
transporters and specialized cells such as the gut-associated lymphoid tissues.
This review focuses specifically on the targeting of receptor-mediated
endocytosis, transporters and the absorption-site as methods of optimizing
intestinal drug absorption. Intestinal epithelial cells express several nutrient
transporters that can be targeted by modifying the drug molecule in such a way
that it is recognized as a substrate. Receptor-mediated endocytosis is a
transport mechanism that can be targeted for instance by linking a receptor
substrate to the drug molecule of interest. Many formulation strategies exist for
enhancing drug absorption of which one is to deliver drugs at a specific site in
the gastrointestinal tract where optimum drug absorption takes place.
PMID- 21901065
TI - Phospholipase A(2) activates hemostasis.
AB - BACKGROUND: Phospholipases A(2) (PLA(2)) are aggressive enzymes that can destroy
phospholipids of cell membranes. The resulting cell fragments trigger the
kallikrein-mediated contact phase of coagulation. The aim of the present study
was to expose citrated whole blood to PLA(2) and to quantify thrombin generation
in recalcified plasma. METHODS: Normal citrated blood was exposed to bovine
pancreatic or snake PLA(2), lipopolysaccharide (LPS), or zymosan A for 30-45 min
(RT). After centrifugation the plasma samples were recalcified (10 + 1) with 250
mM CaCl(2) in the recalcified coagulation activity assay (RECA). After 0-45 min
coagulation reaction time (CRT at 37 degrees C) 1.6 M arginine (final test
concentration) was added to stop hemostasis activation and to depolymerize non
crosslinked fibrin. The generated thrombin activity was chromogenically
determined. RESULTS: 100 ng/ml bovine pancreatic or snake PLA(2) generates about
0.2-0.8 IU/ml thrombin after 15 min CRT. This thrombin generation is similar as
that induced by 200 ng/ml LPS or 20 MUg/ml zymosan A. Up to 60 ng/ml bovine
pancreatic PLA(2) the generated thrombin activity is proportional to the PLA(2)
activity used; 1 MUg/ml PLA(2) induces much less thrombin, but PLA(2) at 10
MUg/ml again results into thrombin generation of 0.1-3 IU/ml at 10-15 min CRT. As
control, in pooled normal citrated plasma there is no significant change in
thrombin generation when exposed to up to 10 MUg/ml bovine pancreatic PLA(2).
DISCUSSION: Elevated plasmatic PLA(2) activities (occurring e.g. in trauma,
pancreatitis, or sepsis) activate the blood hemostasis system resulting in
pathologic disseminated intravascular coagulation (PDIC). It is suggested to
diagnose these life threatening states as early as possible, screening all
patients for plasmatic thrombin activity.
PMID- 21901066
TI - Cardiovascular pharmacology of sinomenine: the mechanical and
electropharmacological actions.
AB - Sinomenine is one of the alkaloids extracted from Chinese medical plant,
Sinomenium acutum Rehder et Wilson. Sinomenine has been used for Rheumatoid
arthritis as an anti-inflammatory and immunomodulative drugs. We have so far been
investigated the cardiovascular pharmacological actions of sinomenine. Sinomenine
dilated NE (5 MUM)-, KCl (60 mM)- and PDB (300 nM)-induced vasoconstrictions. The
pretreatment with nicardipine (0.1 MUM), staurosporine (30 nM), L-NMMA (100 MUM),
indomethacin (10 MUM) or propranolol significantly attenuated the sinomenine
induced vasorelaxation. Therefore, these results indicate that sinomenine causes
the vasorelaxation by the involvement with the inhibitions of Ca(2+) current
(I(Ca)) and PK-C, beta-adrenoceptor stimulation, and the activation of NO and
PGI(2) syntheses in endothelium. On the other hand, in the ventricular
cardiomyocytes of guinea pig, sinomenine inhibits I(Ca) and simultaneously
decreases the delayed rectifier K(+) current (I(K)), resulting in the
prolongation of action potential duration. Sinomenine also suppresses the
dysrhysmias induced by triggered activities under the Ca(2+) overload condition.
Therefore, sinomenine may be expected as one of effective therapeutic drugs for
heart failure and dysrhythmias, and may maintain the cardiovascular functions due
to modulation of cardiac ionic channels and blood vessels.
PMID- 21901067
TI - Circulating vascular endothelial growth factor (VEGF) levels in advanced stage
cancer patients compared to normal controls and diabetes mellitus patients with
critical ischemia.
AB - Anti-angiogenic therapy is emerging as a valuable tool in the treatment of
patients with cancer. As VEGF is a central target in anti-angiogenic therapy, its
levels in the circulation might be relevant in selecting tumor types or patients
likely to respond to this treatment. Additional VEGF has been recognized as a key
factor in the pathogenesis of diabetic retinopathy. Recently anti-angiogenic
therapy has been advocated in this situation.We measured VEGF levels in whole
blood in 42 patients with high grade (n = 26) and low grade (n = 16) end stage
cancer, and in 28 healthy controls and 37 patients with diabetes related vascular
disease. Only 2/26 patients in the group of high grade cancer had significantly
elevated VEGF levels, 1/16 in the low grade group and 1/28 in the healthy control
group. In contrast, in 10/37 diabetic patients the mean VEGF levels were
significantly elevated compared to the other groups. The mean level in these
diabetic patients was significantly elevated compared to the other groups.These
data indicate the limitation of the use of circulating VEGF levels as a potential
selection criterion for anti-angiogenic therapy in cancer patients and suggest
further studies into its application in the management of diabetic complications.
PMID- 21901068
TI - Endothelial dysfunction, erectile dysfunction and phosphodiesterase 5 inhibitors.
An update of the current data and future perspectives.
AB - Endothelial dysfunction is a pathological entity that multiply affects the health
status. Erectile dysfunction is being recognized as a condition that is strongly
interrelated with endothelial dysfunction, being a vascular event itself. Oral
pharmacotherapy for erectile dysfunction has provided us with a new armamentarium
on this condition. Phosphodiesterase 5 inhibitors have been investigated and
proved useful in clinical practice for erectile dysfunction but in addition to
this, the results seem promising of a beneficial effect on endothelial
dysfunction, as well.
PMID- 21901069
TI - The relationship of arginine deprivation, argininosuccinate synthetase and cell
death in melanoma.
AB - It has been shown that melanoma cells do not express argininosuccinate synthetase
(ASS) and therefore are unable to synthesize arginine from citrulline. Depleting
arginine using pegylated arginine deiminase (ADI-PEG20) results in cell death in
melanoma but not normal cells. This concept was translated into clinical trial
and responses were seen. However, induction of ASS expression does occur which
results in resistance to ADI-PEG20. We have used 4 melanoma cell lines to study
factors which may govern ASS expression. Although these 4 melanoma cell lines do
not express ASS protein or mRNA as detected by both immunoblot and northernblot
analysis, ASS protein can be induced after these cells are grown in the presence
of ADI-PEG20, but again repressed after replenishing arginine in the media. The
levels of induction are different and one cell line could not be induced.
Interestingly, a melanoma cell line with the highest level of induction could
also be made resistant to ADI-PEG20. This resistant line possesses high levels of
ASS mRNA and protein expression which cannot be repressed with arginine. Our
study indicates that ASS expression in melanoma cells is complex and governed by
biochemical parameters which are different among melanoma cells.
PMID- 21901070
TI - Trypanothione reductase: a viable chemotherapeutic target for antitrypanosomal
and antileishmanial drug design.
AB - Trypanosomiasis and leishmaniasis are two debilitating disease groups caused by
parasites of Trypanosoma and Leishmania spp. and affecting millions of people
worldwide. A brief outline of the potential targets for rational drug design
against these diseases are presented, with an emphasis placed on the enzyme
trypanothione reductase. Trypanothione reductase was identified as unique to
parasites and proposed to be an effective target against trypanosomiasis and
leishmaniasis. The biochemical basis of selecting this enzyme as a target, with
reference to the simile and contrast to human analogous enzyme glutathione
reductase, and the structural aspects of its active site are presented. The
process of designing selective inhibitors for the enzyme trypanothione reductase
has been discussed. An overview of the different chemical classes of inhibitors
of trypanothione reductase with their inhibitory activities against the parasites
and their prospects as future chemotherapeutic agents are briefly revealed.
PMID- 21901071
TI - Polymeric nanoparticles, nanospheres and nanocapsules, for cutaneous
applications.
AB - This review presents an overview about pharmaceutical and cosmetic topical
products containing polymeric nanoparticles (nanospheres and nanocapsules),
reporting the main preparation and characterization methods and the studies of
penetration and transport of substances through the skin. The penetration and
transport extent of those systems through the skin depends on the ingredients
chemical composition, on the encapsulation mechanism influencing the drug
release, on the size of nanoparticles and on the viscosity of the formulations.
The polymeric nanoparticles are able to modify the activity of drugs, delay and
control the drug release, and increase the drug adhesivity or its time of
permanence in the skin. Briefly, the nanoparticles can be useful as reservoirs of
lipophilic drugs to deliver them in the stratum corneum becoming an important
strategy to control their permeation into the skin.
PMID- 21901073
TI - Delivery systems for in vivo use of nucleic Acid drugs.
AB - The notorious biotechnological advance of the last few decades has allowed the
development of experimental methods for understanding molecular mechanisms of
genes and new therapeutic approaches. Gene therapy is maturing into a viable,
practical method with the potential to cure a variety of human illnesses. Some
nucleic-acid-based drugs are now available for controlling the progression of
genetic diseases by inhibiting gene expression or the activity of their gene
products. New therapeutic strategies employ a wide range of molecular tools such
as bacterial plasmids containing transgenic inserts, RNA interference and
aptamers. A nucleic-acid based constitution confers a lower immunogenic potential
and as result of the high stringency selection of large molecular variety, these
drugs have high affinity and selectivity for their targets. However, nucleic
acids have poor biostability thus requiring chemical modifications and delivery
systems to maintain their activity and ease their cellular internalization. This
review discusses some of the mechanisms of action and the application of
therapies based on nucleic acids such as aptamers and RNA interference as well as
platforms for cellular uptake and intracellular delivery of therapeutic
oligonucleotides and their trade-offs.
PMID- 21901075
TI - Use and safety of anthroposophic medications for acute respiratory and ear
infections: a prospective cohort study.
AB - OBJECTIVE: Anthroposophic medications (AMED) are widely used, but safety data on
AMED from large prospective studies are sparse. The objective of this analysis
was to determine the frequency of adverse drug reactions (ADR) to AMED in
outpatients using AMED for acute respiratory and ear infections. METHODS: A
prospective four-week observational cohort study was conducted in 21 primary care
practices in Europe and the U.S.A. The cohort comprised 715 consecutive
outpatients aged >=1 month, treated by anthroposophic physicians for acute otitis
and respiratory infections. Physicians' prescription data and patient reports of
adverse events were analyzed. Main outcome measures were use of AMED and ADR to
AMED. RESULTS: two patients had confirmed ADR to AMED: 1) swelling and redness at
the injection site after subcutaneous injections of Prunus spinosa 5%, 2)
sleeplessness after intake of Pneumodoron((r)) 2 liquid. These ADR lasted one and
two days respectively; both subsided after dose reduction; none were unexpected;
none were serious. The frequency of confirmed ADR to AMED was 0.61% (2/327) of
all different AMED used, 0.28% (2/715) of patients, and 0.004% (3/73,443) of
applications. CONCLUSION: In this prospective study, anthroposophic medications
used by primary care patients with acute respiratory or ear infections were well
tolerated.
PMID- 21901074
TI - Targeted brain tumor treatment-current perspectives.
AB - Brain tumor is associated with poor prognosis. The treatment option is severely
limited for a patient with brain tumor, despite great advances in understanding
the etiology and molecular biology of brain tumors that have lead to
breakthroughs in developing pharmaceutical strategies, and ongoing NCI/Pharma
sponsored clinical trials. We reviewed the literature on molecular targeted
agents in preclinical and clinical studies in brain tumor for the past decade,
and observed that the molecular targeting in brain tumors is complex. This is
because no single gene or protein can be affected by single molecular agent,
requiring the use of combination molecular therapy with cytotoxic agents. In this
review, we briefly discuss the potential molecular targets, and the challenges of
targeted brain tumor treatment. For example, glial tumors are associated with
over-expression of calcium-dependent potassium (K(Ca)) channels, and high grade
glioma express specific K(Ca) channel gene (gBK) splice variants, and mutant
epidermal growth factor receptors (EGFRvIII). These specific genes are promising
targets for molecular targeted treatment in brain tumors. In addition, drugs like
Avastin and Gleevec target the molecular targets such as vascular endothelial
cell growth factor receptor, platelet-derived growth factor receptors, and BRC
ABL/Akt. Recent discovery of non-coding RNA, specifically microRNAs could be used
as potential targeted drugs. Finally, we discuss the role of anti-cancer drug
delivery to brain tumors by breaching the blood-brain tumor barrier. This non
invasive strategy is particularly useful as novel molecules and humanized
monoclonal antibodies that target receptor tyrosine kinase receptors are rapidly
being developed.
PMID- 21901072
TI - Targeting human immunodeficiency virus type 1 assembly, maturation and budding.
AB - The targets for licensed drugs used for the treatment of human immunodeficiency
virus type 1 (HIV-1) are confined to the viral reverse transcriptase (RT),
protease (PR), and the gp41 transmembrane protein (TM). While currently approved
drugs are effective in controlling HIV-1 infections, new drug targets and agents
are needed due to the eventual emergence of drug resistant strains and drug
toxicity. Our increased understanding of the virus life-cycle and how the virus
interacts with the host cell has unveiled novel mechanisms for blocking HIV-1
replication. This review focuses on inhibitors that target the late stages of
virus replication including the synthesis and trafficking of the viral
polyproteins, viral assembly, maturation and budding. Novel approaches to
blocking the oligomerization of viral enzymes and the interactions between viral
proteins and host cell factors, including their feasibility as drug targets, are
discussed.
PMID- 21901076
TI - Effect of live Salmonella Ty21a in dextran sulfate sodium-induced colitis.
AB - BACKGROUND: Intestinal microbiota seems to play an essential role in the
development of inflammatory bowel diseases (IBD). We hypothesised that an oral
vaccine based on live Salmonella typhi would be well tolerated and could even
attenuate dextran sulfate sodium (DSS) induced colitis in rats, an animal model
of IBD. METHODS: Nine male Wistar rats was used for an initial tolerance study,
in which we used 3 dose-levels of Salmonella Ty21a, 0.5 * 10(9), 1 * 10(9), and 2
* 10(9)CFU, each dose being tested in 3 rats. Four treatment groups consisting of
8 male Wistar rats per group: 1) control group given standard food and water, 2)
control group given four daily administrations of Salmonella Ty21a 1 * 10(9) CFU,
3) water with 5% DSS the last 7 days, 4) four daily administrations of Salmonella
Ty21a before water with 5% DSS the last 7 days. The Salmonella Ty21a was
administered by gastric gavage on day 1, 3, 5 and 16, while DSS was given with
the drinking water from day 15 to 22. The animals were sacrificed and colonic
tissue removed for analysis 22 days after gavage of the first vaccine dose.
RESULTS: The animals in the tolerance study got no signs of disease. In the
treatment study, all animals receiving DSS had histologic indications of colitis,
particularly in the distal part of the colon. Administration of Salmonella Ty21a
had no significant effect on crypt and inflammation scores (p > 0.05).
CONCLUSION: Gastric administration of live vaccine strain Salmonella Ty21a was
well tolerated, but did not provide any significant protection against
development of DSS induced colitis in rats.
PMID- 21901078
TI - Platelet-derived growth factor as a therapeutic target for systemic autoimmune
diseases.
AB - Some systemic rheumatic diseases and disorders, especially fibrotic and vascular
disorders, are often refractory to corticosteroid therapy. Recently, ever
accumulating evidence suggests that platelet-derived growth factor (PDGF) is
involved in those refractory diseases. Imatinib mesylate inhibits the activation
of PDGF receptor as well as c-Abl, Bcr-Abl and c-Kit tyrosine kinases. It has
therefore been widely used for the treatment of chronic myeloid leukemia and
gastrointestinal stromal tumors. Imatinib effectively suppresses the activation
and proliferation of fibroblasts, mesangial cells and smooth muscle cells both in
vitro and in vivo. Additionally, it has recently been reported that some patients
with rheumatoid arthritis or idiopathic pulmonary arterial hypertension
demonstrated a good clinical response to imatinib therapy. Imatinib may therefore
overcome the limitations of current therapeutic strategy with corticosteroids and
immunosuppressive agents for refractory diseases, such as systemic sclerosis and
interstitial lung diseases, without clinical intolerability.
PMID- 21901077
TI - New insights into the understanding of gastrointestinal dysmotility.
AB - Our understanding of the physiology of digestion, absorption, secretion, and
motility in the gastrointestinal tract has improved immensely. Today it is well
established that the gross functions of the gastrointestinal tract depend on the
coordination between the muscles, nerves and hormones. The enteric nervous system
(ENS) is involved in most of the physiological and pathophysiological processes
in the gastrointestinal tract. Therefore, clinical and experimental studies on
the ENS provide the basis for a better understanding of the mechanisms involved
in gastrointestinal disorders and promote the development of therapeutic options.
This review outlines some of the current views on the role of the ENS and its
related hormones in gastrointestinal motility.
PMID- 21901079
TI - Effects of ascorbic Acid, alpha-tocopherol and allopurinol on ischemia
reperfusion injury in rabbit skeletal muscle: an experimental study.
AB - PURPOSE: Ischemia reperfusion injury to skeletal muscle, following an acute
arterial occlusion is important cause of morbidity and mortality. The aim of the
present study was to determine and evaluate the effects of ascorbic acide, alpha
tocopherol and allopurinol on ischemia reperfusion injury in rabbit skeletal
muscle. METHODS: Forty-eight New Zealand white rabbits, all male, weighing
between 2.5 to 3.0 (mean 2.8) kg, were used in the study. They were separated
into four groups. Group I was the control group without any drugs. The other
groups were treatment groups (groups II, III, and IV). Group II rabbits
administrated 50 mg/kg ascorbic acide and 100 mg/kg alpha-tocopherol 3 days prior
to ischemia, group III rabbits received 50 mg/kg allopurinol 2 days prior to
ischemia, and group IV rabbits were administrated both 50 mg/kg ascorbic acide,
100 mg/kg alpha-tocopherol 3 days prior to ischemia and 50 mg/kg allopurinol 2
days prior to ischemia. Two hours ischemia and 2 hours reperfusion were underwent
to the treatment groups. At the end of the reperfusion periods, muscle samples
were taken from rectus femoris muscle for determination of superoxide dismutase,
catalase and glutathione peroxidase activities as antioxidant enzymes, and
malondialdehyde as an indicator of lipid peroxidation and xanthine oxidase levels
as source hydroxyl radical. Besides, histopathological changes (edema,
inflammation, ring formation and splitting formation) were evaluated in the
muscle specimens. RESULTS: In the treatment groups; superoxide dismutase
(U/mgprotein), catalase (U/mgprotein), and glutathione peroxidase (U/mgprotein)
levels increased, malondialdehyde (nmol/mgprotein) and xanthine oksidase
(mU/mgprotein) levels decreased compared to control I ( p < 0.05). Increase of
superoxide dismutase, catalase, and glutathione peroxidase levels were the
highest and decrease of malondialdehyde and xanthine oxidase levels were the
highest in group IV compared to groups II and III, but no significant as
statistically. Also amount of cellular injury in group II, III, and IV were lower
than group I. CONCLUSIONS: Antioxidant medication may help lowering ischemia
reperfusion injury. In our study, all drug medications are shown to be able to
have an effective role for preventing ischemia reperfusion injury. Moreover,
ascorbic acide + alpha-tocopherol + allopurinol group (group IV) may have a
beneficial effect to decrease the local and systemic damage due to ischemia
reperfusion injury.
PMID- 21901081
TI - Towards a system level understanding of non-model organisms sampled from the
environment: a network biology approach.
AB - The acquisition and analysis of datasets including multi-level omics and
physiology from non-model species, sampled from field populations, is a
formidable challenge, which so far has prevented the application of systems
biology approaches. If successful, these could contribute enormously to improving
our understanding of how populations of living organisms adapt to environmental
stressors relating to, for example, pollution and climate. Here we describe the
first application of a network inference approach integrating transcriptional,
metabolic and phenotypic information representative of wild populations of the
European flounder fish, sampled at seven estuarine locations in northern Europe
with different degrees and profiles of chemical contaminants. We identified
network modules, whose activity was predictive of environmental exposure and
represented a link between molecular and morphometric indices. These sub-networks
represented both known and candidate novel adverse outcome pathways
representative of several aspects of human liver pathophysiology such as liver
hyperplasia, fibrosis, and hepatocellular carcinoma. At the molecular level these
pathways were linked to TNF alpha, TGF beta, PDGF, AGT and VEGF signalling. More
generally, this pioneering study has important implications as it can be applied
to model molecular mechanisms of compensatory adaptation to a wide range of
scenarios in wild populations.
PMID- 21901082
TI - Inference for nonlinear epidemiological models using genealogies and time series.
AB - Phylodynamics - the field aiming to quantitatively integrate the ecological and
evolutionary dynamics of rapidly evolving populations like those of RNA viruses -
increasingly relies upon coalescent approaches to infer past population dynamics
from reconstructed genealogies. As sequence data have become more abundant, these
approaches are beginning to be used on populations undergoing rapid and rather
complex dynamics. In such cases, the simple demographic models that current
phylodynamic methods employ can be limiting. First, these models are not ideal
for yielding biological insight into the processes that drive the dynamics of the
populations of interest. Second, these models differ in form from mechanistic and
often stochastic population dynamic models that are currently widely used when
fitting models to time series data. As such, their use does not allow for both
genealogical data and time series data to be considered in tandem when conducting
inference. Here, we present a flexible statistical framework for phylodynamic
inference that goes beyond these current limitations. The framework we present
employs a recently developed method known as particle MCMC to fit stochastic,
nonlinear mechanistic models for complex population dynamics to gene genealogies
and time series data in a Bayesian framework. We demonstrate our approach using a
nonlinear Susceptible-Infected-Recovered (SIR) model for the transmission
dynamics of an infectious disease and show through simulations that it provides
accurate estimates of past disease dynamics and key epidemiological parameters
from genealogies with or without accompanying time series data.
PMID- 21901083
TI - Bistability versus bimodal distributions in gene regulatory processes from
population balance.
AB - In recent times, stochastic treatments of gene regulatory processes have appeared
in the literature in which a cell exposed to a signaling molecule in its
environment triggers the synthesis of a specific protein through a network of
intracellular reactions. The stochastic nature of this process leads to a
distribution of protein levels in a population of cells as determined by a Fokker
Planck equation. Often instability occurs as a consequence of two (stable) steady
state protein levels, one at the low end representing the "off" state, and the
other at the high end representing the "on" state for a given concentration of
the signaling molecule within a suitable range. A consequence of such bistability
has been the appearance of bimodal distributions indicating two different
populations, one in the "off" state and the other in the "on" state. The bimodal
distribution can come about from stochastic analysis of a single cell. However,
the concerted action of the population altering the extracellular concentration
in the environment of individual cells and hence their behavior can only be
accomplished by an appropriate population balance model which accounts for the
reciprocal effects of interaction between the population and its environment. In
this study, we show how to formulate a population balance model in which
stochastic gene expression in individual cells is incorporated. Interestingly,
the simulation of the model shows that bistability is neither sufficient nor
necessary for bimodal distributions in a population. The original notion of
linking bistability with bimodal distribution from single cell stochastic model
is therefore only a special consequence of a population balance model.
PMID- 21901085
TI - Biomedical cloud computing with Amazon Web Services.
AB - In this overview to biomedical computing in the cloud, we discussed two primary
ways to use the cloud (a single instance or cluster), provided a detailed example
using NGS mapping, and highlighted the associated costs. While many users new to
the cloud may assume that entry is as straightforward as uploading an application
and selecting an instance type and storage options, we illustrated that there is
substantial up-front effort required before an application can make full use of
the cloud's vast resources. Our intention was to provide a set of best practices
and to illustrate how those apply to a typical application pipeline for
biomedical informatics, but also general enough for extrapolation to other types
of computational problems. Our mapping example was intended to illustrate how to
develop a scalable project and not to compare and contrast alignment algorithms
for read mapping and genome assembly. Indeed, with a newer aligner such as
Bowtie, it is possible to map the entire African genome using one m2.2xlarge
instance in 48 hours for a total cost of approximately $48 in computation time.
In our example, we were not concerned with data transfer rates, which are heavily
influenced by the amount of available bandwidth, connection latency, and network
availability. When transferring large amounts of data to the cloud, bandwidth
limitations can be a major bottleneck, and in some cases it is more efficient to
simply mail a storage device containing the data to AWS
(http://aws.amazon.com/importexport/). More information about cloud computing,
detailed cost analysis, and security can be found in references.
PMID- 21901084
TI - Using electronic patient records to discover disease correlations and stratify
patient cohorts.
AB - Electronic patient records remain a rather unexplored, but potentially rich data
source for discovering correlations between diseases. We describe a general
approach for gathering phenotypic descriptions of patients from medical records
in a systematic and non-cohort dependent manner. By extracting phenotype
information from the free-text in such records we demonstrate that we can extend
the information contained in the structured record data, and use it for producing
fine-grained patient stratification and disease co-occurrence statistics. The
approach uses a dictionary based on the International Classification of Disease
ontology and is therefore in principle language independent. As a use case we
show how records from a Danish psychiatric hospital lead to the identification of
disease correlations, which subsequently can be mapped to systems biology
frameworks.
PMID- 21901080
TI - The clinical implications of mouse models of enhanced anxiety.
AB - Mice are increasingly overtaking the rat model organism in important aspects of
anxiety research, including drug development. However, translating the results
obtained in mouse studies into information that can be applied in clinics remains
challenging. One reason may be that most of the studies so far have used animals
displaying 'normal' anxiety rather than 'psychopathological' animal models with
abnormal (elevated) anxiety, which more closely reflect core features and
sensitivities to therapeutic interventions of human anxiety disorders, and which
would, thus, narrow the translational gap. Here, we discuss manipulations aimed
at persistently enhancing anxiety-related behavior in the laboratory mouse using
phenotypic selection, genetic techniques and/or environmental manipulations. It
is hoped that such models with enhanced construct validity will provide improved
ways of studying the neurobiology and treatment of pathological anxiety. Examples
of findings from mouse models of enhanced anxiety-related behavior will be
discussed, as well as their relation to findings in anxiety disorder patients
regarding neuroanatomy, neurobiology, genetic involvement and epigenetic
modifications. Finally, we highlight novel targets for potential anxiolytic
pharmacotherapeutics that have been established with the help of research
involving mice. Since the use of psychopathological mouse models is only just
beginning to increase, it is still unclear as to the extent to which such
approaches will enhance the success rate of drug development in translating
identified therapeutic targets into clinical trials and, thus, helping to
introduce the next anxiolytic class of drugs.
PMID- 21901086
TI - Podbat: a novel genomic tool reveals Swr1-independent H2A.Z incorporation at gene
coding sequences through epigenetic meta-analysis.
AB - Epigenetic regulation consists of a multitude of different modifications that
determine active and inactive states of chromatin. Conditions such as cell
differentiation or exposure to environmental stress require concerted changes in
gene expression. To interpret epigenomics data, a spectrum of different
interconnected datasets is needed, ranging from the genome sequence and positions
of histones, together with their modifications and variants, to the
transcriptional output of genomic regions. Here we present a tool, Podbat
(Positioning database and analysis tool), that incorporates data from various
sources and allows detailed dissection of the entire range of chromatin
modifications simultaneously. Podbat can be used to analyze, visualize, store and
share epigenomics data. Among other functions, Podbat allows data-driven
determination of genome regions of differential protein occupancy or RNA
expression using Hidden Markov Models. Comparisons between datasets are
facilitated to enable the study of the comprehensive chromatin modification
system simultaneously, irrespective of data-generating technique. Any organism
with a sequenced genome can be accommodated. We exemplify the power of Podbat by
reanalyzing all to-date published genome-wide data for the histone variant H2A.Z
in fission yeast together with other histone marks and also phenotypic response
data from several sources. This meta-analysis led to the unexpected finding of
H2A.Z incorporation in the coding regions of genes encoding proteins involved in
the regulation of meiosis and genotoxic stress responses. This incorporation was
partly independent of the H2A.Z-incorporating remodeller Swr1. We verified an
Swr1-independent role for H2A.Z following genotoxic stress in vivo. Podbat is
open source software freely downloadable from www.podbat.org, distributed under
the GNU LGPL license. User manuals, test data and instructions are available at
the website, as well as a repository for third party-developed plug-in modules.
Podbat requires Java version 1.6 or higher.
PMID- 21901087
TI - Are there laws of genome evolution?
AB - Research in quantitative evolutionary genomics and systems biology led to the
discovery of several universal regularities connecting genomic and molecular
phenomic variables. These universals include the log-normal distribution of the
evolutionary rates of orthologous genes; the power law-like distributions of
paralogous family size and node degree in various biological networks; the
negative correlation between a gene's sequence evolution rate and expression
level; and differential scaling of functional classes of genes with genome size.
The universals of genome evolution can be accounted for by simple mathematical
models similar to those used in statistical physics, such as the birth-death
innovation model. These models do not explicitly incorporate selection;
therefore, the observed universal regularities do not appear to be shaped by
selection but rather are emergent properties of gene ensembles. Although a
complete physical theory of evolutionary biology is inconceivable, the universals
of genome evolution might qualify as "laws of evolutionary genomics" in the same
sense "law" is understood in modern physics.
PMID- 21901088
TI - The plant pathogen Pseudomonas syringae pv. tomato is genetically monomorphic and
under strong selection to evade tomato immunity.
AB - Recently, genome sequencing of many isolates of genetically monomorphic bacterial
human pathogens has given new insights into pathogen microevolution and
phylogeography. Here, we report a genome-based micro-evolutionary study of a
bacterial plant pathogen, Pseudomonas syringae pv. tomato. Only 267 mutations
were identified between five sequenced isolates in 3,543,009 nt of analyzed
genome sequence, which suggests a recent evolutionary origin of this pathogen.
Further analysis with genome-derived markers of 89 world-wide isolates showed
that several genotypes exist in North America and in Europe indicating frequent
pathogen movement between these world regions. Genome-derived markers and
molecular analyses of key pathogen loci important for virulence and motility both
suggest ongoing adaptation to the tomato host. A mutational hotspot was found in
the type III-secreted effector gene hopM1. These mutations abolish the cell death
triggering activity of the full-length protein indicating strong selection for
loss of function of this effector, which was previously considered a virulence
factor. Two non-synonymous mutations in the flagellin-encoding gene fliC allowed
identifying a new microbe associated molecular pattern (MAMP) in a region
distinct from the known MAMP flg22. Interestingly, the ancestral allele of this
MAMP induces a stronger tomato immune response than the derived alleles. The
ancestral allele has largely disappeared from today's Pto populations suggesting
that flagellin-triggered immunity limits pathogen fitness even in highly virulent
pathogens. An additional non-synonymous mutation was identified in flg22 in South
American isolates. Therefore, MAMPs are more variable than expected differing
even between otherwise almost identical isolates of the same pathogen strain.
PMID- 21901089
TI - Dendritic cell status modulates the outcome of HIV-related B cell disease
progression.
PMID- 21901090
TI - Disruption of PML nuclear bodies is mediated by ORF61 SUMO-interacting motifs and
required for varicella-zoster virus pathogenesis in skin.
AB - Promyelocytic leukemia protein (PML) has antiviral functions and many viruses
encode gene products that disrupt PML nuclear bodies (PML NBs). However, evidence
of the relevance of PML NB modification for viral pathogenesis is limited and
little is known about viral gene functions required for PML NB disruption in
infected cells in vivo. Varicella-zoster virus (VZV) is a human alphaherpesvirus
that causes cutaneous lesions during primary and recurrent infection. Here we
show that VZV disrupts PML NBs in infected cells in human skin xenografts in SCID
mice and that the disruption is achieved by open reading frame 61 (ORF61) protein
via its SUMO-interacting motifs (SIMs). Three conserved SIMs mediated ORF61
binding to SUMO1 and were required for ORF61 association with and disruption of
PML NBs. Mutation of the ORF61 SIMs in the VZV genome showed that these motifs
were necessary for PML NB dispersal in VZV-infected cells in vitro. In vivo, PML
NBs were highly abundant, especially in basal layer cells of uninfected skin,
whereas their frequency was significantly decreased in VZV-infected cells. In
contrast, mutation of the ORF61 SIMs reduced ORF61 association with PML NBs, most
PML NBs remained intact and importantly, viral replication in skin was severely
impaired. The ORF61 SIM mutant virus failed to cause the typical VZV lesions that
penetrate across the basement membrane into the dermis and viral spread in the
epidermis was limited. These experiments indicate that VZV pathogenesis in skin
depends upon the ORF61-mediated disruption of PML NBs and that the ORF61 SUMO
binding function is necessary for this effect. More broadly, our study elucidates
the importance of PML NBs for the innate control of a viral pathogen during
infection of differentiated cells within their tissue microenvironment in vivo
and the requirement for a viral protein with SUMO-binding capacity to counteract
this intrinsic barrier.
PMID- 21901091
TI - Viral infection induces expression of novel phased microRNAs from conserved
cellular microRNA precursors.
AB - RNA silencing, mediated by small RNAs including microRNAs (miRNAs) and small
interfering RNAs (siRNAs), is a potent antiviral or antibacterial mechanism,
besides regulating normal cellular gene expression critical for development and
physiology. To gain insights into host small RNA metabolism under infections by
different viruses, we used Solexa/Illumina deep sequencing to characterize the
small RNA profiles of rice plants infected by two distinct viruses, Rice dwarf
virus (RDV, dsRNA virus) and Rice stripe virus (RSV, a negative sense and
ambisense RNA virus), respectively, as compared with those from non-infected
plants. Our analyses showed that RSV infection enhanced the accumulation of some
rice miRNA*s, but not their corresponding miRNAs, as well as accumulation of
phased siRNAs from a particular precursor. Furthermore, RSV infection also
induced the expression of novel miRNAs in a phased pattern from several conserved
miRNA precursors. In comparison, no such changes in host small RNA expression was
observed in RDV-infected rice plants. Significantly RSV infection elevated the
expression levels of selective OsDCLs and OsAGOs, whereas RDV infection only
affected the expression of certain OsRDRs. Our results provide a comparative
analysis, via deep sequencing, of changes in the small RNA profiles and in the
genes of RNA silencing machinery induced by different viruses in a natural and
economically important crop host plant. They uncover new mechanisms and
complexity of virus-host interactions that may have important implications for
further studies on the evolution of cellular small RNA biogenesis that impact
pathogen infection, pathogenesis, as well as organismal development.
PMID- 21901092
TI - The steroid catabolic pathway of the intracellular pathogen Rhodococcus equi is
important for pathogenesis and a target for vaccine development.
AB - Rhodococcus equi causes fatal pyogranulomatous pneumonia in foals and
immunocompromised animals and humans. Despite its importance, there is currently
no effective vaccine against the disease. The actinobacteria R. equi and the
human pathogen Mycobacterium tuberculosis are related, and both cause pulmonary
diseases. Recently, we have shown that essential steps in the cholesterol
catabolic pathway are involved in the pathogenicity of M. tuberculosis.
Bioinformatic analysis revealed the presence of a similar cholesterol catabolic
gene cluster in R. equi. Orthologs of predicted M. tuberculosis virulence genes
located within this cluster, i.e. ipdA (rv3551), ipdB (rv3552), fadA6 and fadE30,
were identified in R. equi RE1 and inactivated. The ipdA and ipdB genes of R.
equi RE1 appear to constitute the alpha-subunit and beta-subunit, respectively,
of a heterodimeric coenzyme A transferase. Mutant strains RE1DeltaipdAB and
RE1DeltafadE30, but not RE1DeltafadA6, were impaired in growth on the steroid
catabolic pathway intermediates 4-androstene-3,17-dione (AD) and 3aalpha-H
4alpha(3'-propionic acid)-5alpha-hydroxy-7abeta-methylhexahydro-1-indanone
(5alpha-hydroxy-methylhexahydro-1-indanone propionate; 5OH-HIP). Interestingly,
RE1DeltaipdAB and RE1DeltafadE30, but not RE1DeltafadA6, also displayed an
attenuated phenotype in a macrophage infection assay. Gene products important for
growth on 5OH-HIP, as part of the steroid catabolic pathway, thus appear to act
as factors involved in the pathogenicity of R. equi. Challenge experiments showed
that RE1DeltaipdAB could be safely administered intratracheally to 2 to 5 week
old foals and oral immunization of foals even elicited a substantial protective
immunity against a virulent R. equi strain. Our data show that genes involved in
steroid catabolism are promising targets for the development of a live-attenuated
vaccine against R. equi infections.
PMID- 21901093
TI - Histo-blood group antigens act as attachment factors of rabbit hemorrhagic
disease virus infection in a virus strain-dependent manner.
AB - Rabbit Hemorrhagic disease virus (RHDV), a calicivirus of the Lagovirus genus,
and responsible for rabbit hemorrhagic disease (RHD), kills rabbits between 48 to
72 hours post infection with mortality rates as high as 50-90%. Caliciviruses,
including noroviruses and RHDV, have been shown to bind histo-blood group
antigens (HBGA) and human non-secretor individuals lacking ABH antigens in
epithelia have been found to be resistant to norovirus infection. RHDV virus-like
particles have previously been shown to bind the H type 2 and A antigens. In this
study we present a comprehensive assessment of the strain-specific binding
patterns of different RHDV isolates to HBGAs. We characterized the HBGA
expression in the duodenum of wild and domestic rabbits by mass spectrometry and
relative quantification of A, B and H type 2 expression. A detailed binding
analysis of a range of RHDV strains, to synthetic sugars and human red blood
cells, as well as to rabbit duodenum, a likely gastrointestinal site for viral
entrance was performed. Enzymatic cleavage of HBGA epitopes confirmed binding
specificity. Binding was observed to blood group B, A and H type 2 epitopes in a
strain-dependent manner with slight differences in specificity for A, B or H
epitopes allowing RHDV strains to preferentially recognize different subgroups of
animals. Strains related to the earliest described RHDV outbreak were not able to
bind A, whereas all other genotypes have acquired A binding. In an experimental
infection study, rabbits lacking the correct HBGA ligands were resistant to
lethal RHDV infection at low challenge doses. Similarly, survivors of outbreaks
in wild populations showed increased frequency of weak binding phenotypes,
indicating selection for host resistance depending on the strain circulating in
the population. HBGAs thus act as attachment factors facilitating infection,
while their polymorphism of expression could contribute to generate genetic
resistance to RHDV at the population level.
PMID- 21901094
TI - A novel persistence associated EBV miRNA expression profile is disrupted in
neoplasia.
AB - We have performed the first extensive profiling of Epstein-Barr virus (EBV)
miRNAs on in vivo derived normal and neoplastic infected tissues. We describe a
unique pattern of viral miRNA expression by normal infected cells in vivo
expressing restricted viral latency programs (germinal center: Latency II and
memory B: Latency I/0). This includes the complete absence of 15 of the 34 miRNAs
profiled. These consist of 12 BART miRNAs (including approximately half of
Cluster 2) and 3 of the 4 BHRF1 miRNAs. All but 2 of these absent miRNAs become
expressed during EBV driven growth (Latency III). Furthermore, EBV driven growth
is accompanied by a 5-10 fold down regulation in the level of the BART miRNAs
expressed in germinal center and memory B cells. Therefore, Latency III also
expresses a unique pattern of viral miRNAs. We refer to the miRNAs that are
specifically expressed in EBV driven growth as the Latency III associated miRNAs.
In EBV associated tumors that employ Latency I or II (Burkitt's lymphoma,
Hodgkin's disease, nasopharyngeal carcinoma and gastric carcinoma), the Latency
III associated BART but not BHRF1 miRNAs are up regulated. Thus BART miRNA
expression is deregulated in the EBV associated tumors. This is the first
demonstration that Latency III specific genes (the Latency III associated BARTs)
can be expressed in these tumors. The EBV associated tumors demonstrate very
similar patterns of miRNA expression yet were readily distinguished when the
expression data were analyzed either by heat-map/clustering or principal
component analysis. Systematic analysis revealed that the information
distinguishing the tumor types was redundant and distributed across all the
miRNAs. This resembles "secret sharing" algorithms where information can be
distributed among a large number of recipients in such a way that any combination
of a small number of recipients is able to understand the message. Biologically,
this may be a consequence of functional redundancy between the miRNAs.
PMID- 21901095
TI - Transportin 3 promotes a nuclear maturation step required for efficient HIV-1
integration.
AB - The HIV/AIDS pandemic is a major global health threat and understanding the
detailed molecular mechanisms of HIV replication is critical for the development
of novel therapeutics. To replicate, HIV-1 must access the nucleus of infected
cells and integrate into host chromosomes, however little is known about the
events occurring post-nuclear entry but before integration. Here we show that the
karyopherin Transportin 3 (Tnp3) promotes HIV-1 integration in different cell
types. Furthermore Tnp3 binds the viral capsid proteins and tRNAs incorporated
into viral particles. Interaction between Tnp3, capsid and tRNAs is stronger in
the presence of RanGTP, consistent with the possibility that Tnp3 is an export
factor for these substrates. In agreement with this interpretation, we found that
Tnp3 exports from the nuclei viral tRNAs in a RanGTP-dependent way. Tnp3 also
binds and exports from the nuclei some species of cellular tRNAs with a defective
3'CCA end. Depletion of Tnp3 results in a re-distribution of HIV-1 capsid
proteins between nucleus and cytoplasm however HIV-1 bearing the N74D mutation in
capsid, which is insensitive to Tnp3 depletion, does not show nucleocytoplasmic
redistribution of capsid proteins. We propose that Tnp3 promotes HIV-1 infection
by displacing any capsid and tRNA that remain bound to the pre-integration
complex after nuclear entry to facilitate integration. The results also provide
evidence for a novel tRNA nucleocytoplasmic trafficking pathway in human cells.
PMID- 21901097
TI - Transcriptomic analysis of host immune and cell death responses associated with
the influenza A virus PB1-F2 protein.
AB - Airway inflammation plays a major role in the pathogenesis of influenza viruses
and can lead to a fatal outcome. One of the challenging objectives in the field
of influenza research is the identification of the molecular bases associated to
the immunopathological disorders developed during infection. While its precise
function in the virus cycle is still unclear, the viral protein PB1-F2 is
proposed to exert a deleterious activity within the infected host. Using an
engineered recombinant virus unable to express PB1-F2 and its wild-type homolog,
we analyzed and compared the pathogenicity and host response developed by the two
viruses in a mouse model. We confirmed that the deletion of PB1-F2 renders the
virus less virulent. The global transcriptomic analyses of the infected lungs
revealed a potent impact of PB1-F2 on the response developed by the host. Thus,
after two days post-infection, PB1-F2 invalidation severely decreased the number
of genes activated by the host. PB1-F2 expression induced an increase in the
number and level of expression of activated genes linked to cell death,
inflammatory response and neutrophil chemotaxis. When generating interactive gene
networks specific to PB1-F2, we identified IFN-gamma as a central regulator of
PB1-F2-regulated genes. The enhanced cell death of airway-recruited leukocytes
was evidenced using an apoptosis assay, confirming the pro-apoptotic properties
of PB1-F2. Using a NF-kB luciferase adenoviral vector, we were able to quantify
in vivo the implication of NF-kB in the inflammation mediated by the influenza
virus infection; we found that PB1-F2 expression intensifies the NF-kB activity.
Finally, we quantified the neutrophil recruitment within the airways, and showed
that this type of leukocyte is more abundant during the infection of the wild
type virus. Collectively, these data demonstrate that PB1-F2 strongly influences
the early host response during IAV infection and provides new insights into the
mechanisms by which PB1-F2 mediates virulence.
PMID- 21901096
TI - Modulation of NKp30- and NKp46-mediated natural killer cell responses by poxviral
hemagglutinin.
AB - Natural killer (NK) cells are an important element in the immune defense against
the orthopox family members vaccinia virus (VV) and ectromelia virus (ECTV). NK
cells are regulated through inhibitory and activating signaling receptors, the
latter involving NKG2D and the natural cytotoxicity receptors (NCR), NKp46, NKp44
and NKp30. Here we report that VV infection results in an upregulation of ligand
structures for NKp30 and NKp46 on infected cells, whereas the binding of NKp44
and NKG2D was not significantly affected. Likewise, infection with ectromelia
virus (ECTV), the mousepox agent, enhanced binding of NKp30 and, to a lesser
extent, NKp46. The hemagglutinin (HA) molecules from VV and ECTV, which are known
virulence factors, were identified as novel ligands for NKp30 and NKp46. Using NK
cells with selectively silenced NCR expression and NCR-CD3zeta reporter cells, we
observed that HA present on the surface of VV-infected cells, or in the form of
recombinant soluble protein, was able to block NKp30-triggered activation,
whereas it stimulated the activation through NKp46. The net effect of this
complex influence on NK cell activity resulted in a decreased NK lysis
susceptibility of infected cells at late time points of VV infection when HA was
expression was pronounced. We conclude that poxviral HA represents a conserved
ligand of NCR, exerting a novel immune escape mechanism through its blocking
effect on NKp30-mediated activation at a late stage of infection.
PMID- 21901098
TI - MrkH, a novel c-di-GMP-dependent transcriptional activator, controls Klebsiella
pneumoniae biofilm formation by regulating type 3 fimbriae expression.
AB - Klebsiella pneumoniae causes significant morbidity and mortality worldwide,
particularly amongst hospitalized individuals. The principle mechanism for
pathogenesis in hospital environments involves the formation of biofilms,
primarily on implanted medical devices. In this study, we constructed a
transposon mutant library in a clinical isolate, K. pneumoniae AJ218, to identify
the genes and pathways implicated in biofilm formation. Three mutants severely
defective in biofilm formation contained insertions within the mrkABCDF genes
encoding the main structural subunit and assembly machinery for type 3 fimbriae.
Two other mutants carried insertions within the yfiN and mrkJ genes, which encode
GGDEF domain- and EAL domain-containing c-di-GMP turnover enzymes, respectively.
The remaining two isolates contained insertions that inactivated the mrkH and
mrkI genes, which encode for novel proteins with a c-di-GMP-binding PilZ domain
and a LuxR-type transcriptional regulator, respectively. Biochemical and
functional assays indicated that the effects of these factors on biofilm
formation accompany concomitant changes in type 3 fimbriae expression. We mapped
the transcriptional start site of mrkA, demonstrated that MrkH directly activates
transcription of the mrkA promoter and showed that MrkH binds strongly to the
mrkA regulatory region only in the presence of c-di-GMP. Furthermore, a point
mutation in the putative c-di-GMP-binding domain of MrkH completely abolished its
function as a transcriptional activator. In vivo analysis of the yfiN and mrkJ
genes strongly indicated their c-di-GMP-specific function as diguanylate cyclase
and phosphodiesterase, respectively. In addition, in vitro assays showed that
purified MrkJ protein has strong c-di-GMP phosphodiesterase activity. These
results demonstrate for the first time that c-di-GMP can function as an effector
to stimulate the activity of a transcriptional activator, and explain how type 3
fimbriae expression is coordinated with other gene expression programs in K.
pneumoniae to promote biofilm formation to implanted medical devices.
PMID- 21901099
TI - Pseudomonas evades immune recognition of flagellin in both mammals and plants.
AB - The building blocks of bacterial flagella, flagellin monomers, are potent
stimulators of host innate immune systems. Recognition of flagellin monomers
occurs by flagellin-specific pattern-recognition receptors, such as Toll-like
receptor 5 (TLR5) in mammals and flagellin-sensitive 2 (FLS2) in plants.
Activation of these immune systems via flagellin leads eventually to elimination
of the bacterium from the host. In order to prevent immune activation and thus
favor survival in the host, bacteria secrete many proteins that hamper such
recognition. In our search for Toll like receptor (TLR) antagonists, we screened
bacterial supernatants and identified alkaline protease (AprA) of Pseudomonas
aeruginosa as a TLR5 signaling inhibitor as evidenced by a marked reduction in IL
8 production and NF-kappaB activation. AprA effectively degrades the TLR5 ligand
monomeric flagellin, while polymeric flagellin (involved in bacterial motility)
and TLR5 itself resist degradation. The natural occurring alkaline protease
inhibitor AprI of P. aeruginosa blocked flagellin degradation by AprA. P.
aeruginosa aprA mutants induced an over 100-fold enhanced activation of TLR5
signaling, because they fail to degrade excess monomeric flagellin in their
environment. Interestingly, AprA also prevents flagellin-mediated immune
responses (such as growth inhibition and callose deposition) in Arabidopsis
thaliana plants. This was due to decreased activation of the receptor FLS2 and
clearly demonstrated by delayed stomatal closure with live bacteria in plants.
Thus, by degrading the ligand for TLR5 and FLS2, P. aeruginosa escapes
recognition by the innate immune systems of both mammals and plants.
PMID- 21901100
TI - A communal bacterial adhesin anchors biofilm and bystander cells to surfaces.
AB - While the exopolysaccharide component of the biofilm matrix has been intensively
studied, much less is known about matrix-associated proteins. To better
understand the role of these proteins, we undertook a proteomic analysis of the
V. cholerae biofilm matrix. Here we show that the two matrix-associated proteins,
Bap1 and RbmA, perform distinct roles in the biofilm matrix. RbmA strengthens
intercellular attachments. In contrast, Bap1 is concentrated on surfaces where it
serves to anchor the biofilm and recruit cells not yet committed to the sessile
lifestyle. This is the first example of a biofilm-derived, communally synthesized
conditioning film that stabilizes the association of multilayer biofilms with a
surface and facilitates recruitment of planktonic bystanders to the substratum.
These studies define a novel paradigm for spatial and functional differentiation
of proteins in the biofilm matrix and provide evidence for bacterial cooperation
in maintenance and expansion of the multilayer biofilm.
PMID- 21901101
TI - Beta-HPV 5 and 8 E6 promote p300 degradation by blocking AKT/p300 association.
AB - The E6 oncoprotein from high-risk genus alpha human papillomaviruses (alpha
HPVs), such as HPV 16, has been well characterized with respect to the host-cell
proteins it interacts with and corresponding signaling pathways that are
disrupted due to these interactions. Less is known regarding the interacting
partners of E6 from the genus beta papillomaviruses (beta-HPVs); however, it is
generally thought that beta-HPV E6 proteins do not interact with many of the
proteins known to bind to alpha-HPV E6. Here we identify p300 as a protein that
interacts directly with E6 from both alpha- and beta-HPV types. Importantly, this
association appears much stronger with beta-HPV types 5 and 8-E6 than with alpha
HPV type 16-E6 or beta-HPV type 38-E6. We demonstrate that the enhanced
association between 5/8-E6 and p300 leads to p300 degradation in a proteasomal
dependent but E6AP-independent manner. Rather, 5/8-E6 inhibit the association of
AKT with p300, an event necessary to ensure p300 stability within the cell.
Finally, we demonstrate that the decreased p300 protein levels concomitantly
affect downstream signaling events, such as the expression of differentiation
markers K1, K10 and Involucrin. Together, these results demonstrate a unique way
in which beta-HPV E6 proteins are able to affect host-cell signaling in a manner
distinct from that of the alpha-HPVs.
PMID- 21901103
TI - Tumor cell marker PVRL4 (nectin 4) is an epithelial cell receptor for measles
virus.
AB - Vaccine and laboratory adapted strains of measles virus can use CD46 as a
receptor to infect many human cell lines. However, wild type isolates of measles
virus cannot use CD46, and they infect activated lymphocytes, dendritic cells,
and macrophages via the receptor CD150/SLAM. Wild type virus can also infect
epithelial cells of the respiratory tract through an unidentified receptor. We
demonstrate that wild type measles virus infects primary airway epithelial cells
grown in fetal calf serum and many adenocarcinoma cell lines of the lung, breast,
and colon. Transfection of non-infectable adenocarcinoma cell lines with an
expression vector encoding CD150/SLAM rendered them susceptible to measles virus,
indicating that they were virus replication competent, but lacked a receptor for
virus attachment and entry. Microarray analysis of susceptible versus non
susceptible cell lines was performed, and comparison of membrane protein gene
transcripts produced a list of 11 candidate receptors. Of these, only the human
tumor cell marker PVRL4 (Nectin 4) rendered cells amenable to measles virus
infections. Flow cytometry confirmed that PVRL4 is highly expressed on the
surfaces of susceptible lung, breast, and colon adenocarcinoma cell lines.
Measles virus preferentially infected adenocarcinoma cell lines from the apical
surface, although basolateral infection was observed with reduced kinetics.
Confocal immune fluorescence microscopy and surface biotinylation experiments
revealed that PVRL4 was expressed on both the apical and basolateral surfaces of
these cell lines. Antibodies and siRNA directed against PVRL4 were able to block
measles virus infections in MCF7 and NCI-H358 cancer cells. A virus binding assay
indicated that PVRL4 was a bona fide receptor that supported virus attachment to
the host cell. Several strains of measles virus were also shown to use PVRL4 as a
receptor. Measles virus infection reduced PVRL4 surface expression in MCF7 cells,
a property that is characteristic of receptor-associated viral infections.
PMID- 21901102
TI - Absence of cross-presenting cells in the salivary gland and viral immune evasion
confine cytomegalovirus immune control to effector CD4 T cells.
AB - Horizontal transmission of cytomegaloviruses (CMV) occurs via prolonged excretion
from mucosal surfaces. We used murine CMV (MCMV) infection to investigate the
mechanisms of immune control in secretory organs. CD4 T cells were crucial to
cease MCMV replication in the salivary gland (SG) via direct secretion of
IFNgamma that initiated antiviral signaling on non-hematopoietic cells. In
contrast, CD4 T cell helper functions for CD8 T cells or B cells were
dispensable. Despite SG-resident MCMV-specific CD8 T cells being able to produce
IFNgamma, the absence of MHC class I molecules on infected acinar glandular
epithelial cells due to viral immune evasion, and the paucity of cross-presenting
antigen presenting cells (APCs) prevented their local activation. Thus, local
activation of MCMV-specific T cells is confined to the CD4 subset due to
exclusive presentation of MCMV-derived antigens by MHC class II molecules on
bystander APCs, resulting in IFNgamma secretion interfering with viral
replication in cells of non-hematopoietic origin.
PMID- 21901104
TI - Global chromosomal structural instability in a subpopulation of starving
Escherichia coli cells.
AB - Copy-number variations (CNVs) constitute very common differences between
individual humans and possibly all genomes and may therefore be important fuel
for evolution, yet how they form remains elusive. In starving Escherichia coli,
gene amplification is induced by stress, controlled by the general stress
response. Amplification has been detected only encompassing genes that confer a
growth advantage when amplified. We studied the structure of stress-induced gene
amplification in starving cells in the Lac assay in Escherichia coli by array
comparative genomic hybridization (aCGH), with polymerase chain reaction (pcr)
and DNA sequencing to establish the structures generated. About 10% of 300
amplified isolates carried other chromosomal structural change in addition to
amplification. Most of these were inversions and duplications associated with the
amplification event. This complexity supports a mechanism similar to that seen in
human non-recurrent copy number variants. We interpret these complex events in
terms of repeated template switching during DNA replication. Importantly, we
found a significant occurrence (6 out of 300) of chromosomal structural changes
that were apparently not involved in the amplification event. These secondary
changes were absent from 240 samples derived from starved cells not carrying
amplification, suggesting that amplification happens in a differentiated
subpopulation of stressed cells licensed for global chromosomal structural change
and genomic instability. These data imply that chromosomal structural changes
occur in bursts or showers of instability that may have the potential to drive
rapid evolution.
PMID- 21901105
TI - Temporal dynamics of host molecular responses differentiate symptomatic and
asymptomatic influenza a infection.
AB - Exposure to influenza viruses is necessary, but not sufficient, for healthy human
hosts to develop symptomatic illness. The host response is an important
determinant of disease progression. In order to delineate host molecular
responses that differentiate symptomatic and asymptomatic Influenza A infection,
we inoculated 17 healthy adults with live influenza (H3N2/Wisconsin) and examined
changes in host peripheral blood gene expression at 16 timepoints over 132 hours.
Here we present distinct transcriptional dynamics of host responses unique to
asymptomatic and symptomatic infections. We show that symptomatic hosts invoke,
simultaneously, multiple pattern recognition receptors-mediated antiviral and
inflammatory responses that may relate to virus-induced oxidative stress. In
contrast, asymptomatic subjects tightly regulate these responses and exhibit
elevated expression of genes that function in antioxidant responses and cell
mediated responses. We reveal an ab initio molecular signature that strongly
correlates to symptomatic clinical disease and biomarkers whose expression
patterns best discriminate early from late phases of infection. Our results
establish a temporal pattern of host molecular responses that differentiates
symptomatic from asymptomatic infections and reveals an asymptomatic host-unique
non-passive response signature, suggesting novel putative molecular targets for
both prognostic assessment and ameliorative therapeutic intervention in seasonal
and pandemic influenza.
PMID- 21901106
TI - Regulation of Caenorhabditis elegans p53/CEP-1-dependent germ cell apoptosis by
Ras/MAPK signaling.
AB - Maintaining genome stability in the germline is thought to be an evolutionarily
ancient role of the p53 family. The sole Caenorhabditis elegans p53 family member
CEP-1 is required for apoptosis induction in meiotic, late-stage pachytene germ
cells in response to DNA damage and meiotic recombination failure. In an unbiased
genetic screen for negative regulators of CEP-1, we found that increased
activation of the C. elegans ERK orthologue MPK-1, resulting from either loss of
the lip-1 phosphatase or activation of let-60 Ras, results in enhanced cep-1
dependent DNA damage induced apoptosis. We further show that MPK-1 is required
for DNA damage-induced germ cell apoptosis. We provide evidence that MPK-1
signaling regulates the apoptotic competency of germ cells by restricting CEP-1
protein expression to cells in late pachytene. Restricting CEP-1 expression to
cells in late pachytene is thought to ensure that apoptosis doesn't occur in
earlier-stage cells where meiotic recombination occurs. MPK-1 signaling regulates
CEP-1 expression in part by regulating the levels of GLD-1, a translational
repressor of CEP-1, but also via a GLD-1-independent mechanism. In addition, we
show that MPK-1 is phosphorylated and activated upon ionising radiation (IR) in
late pachytene germ cells and that MPK-1-dependent CEP-1 activation may be in
part direct, as these two proteins interact in a yeast two-hybrid assay. In
summary, we report our novel finding that MAP kinase signaling controls CEP-1
dependent apoptosis by several different pathways that converge on CEP-1. Since
apoptosis is also restricted to pachytene stage cells in mammalian germlines,
analogous mechanisms regulating p53 family members are likely to be conserved
throughout evolution.
PMID- 21901107
TI - Evidence for hitchhiking of deleterious mutations within the human genome.
AB - Deleterious mutations present a significant obstacle to adaptive evolution.
Deleterious mutations can inhibit the spread of linked adaptive mutations through
a population; conversely, adaptive substitutions can increase the frequency of
linked deleterious mutations and even result in their fixation. To assess the
impact of adaptive mutations on linked deleterious mutations, we examined the
distribution of deleterious and neutral amino acid polymorphism in the human
genome. Within genomic regions that show evidence of recent hitchhiking, we find
fewer neutral but a similar number of deleterious SNPs compared to other genomic
regions. The higher ratio of deleterious to neutral SNPs is consistent with
simulated hitchhiking events and implies that positive selection eliminates some
deleterious alleles and increases the frequency of others. The distribution of
disease-associated alleles is also altered in hitchhiking regions. Disease
alleles within hitchhiking regions have been associated with auto-immune
disorders, metabolic diseases, cancers, and mental disorders. Our results suggest
that positive selection has had a significant impact on deleterious polymorphism
and may be partly responsible for the high frequency of certain human disease
alleles.
PMID- 21901108
TI - Specification of Drosophila corpora cardiaca neuroendocrine cells from mesoderm
is regulated by Notch signaling.
AB - Drosophila neuroendocrine cells comprising the corpora cardiaca (CC) are
essential for systemic glucose regulation and represent functional orthologues of
vertebrate pancreatic alpha-cells. Although Drosophila CC cells have been
regarded as developmental orthologues of pituitary gland, the genetic regulation
of CC development is poorly understood. From a genetic screen, we identified
multiple novel regulators of CC development, including Notch signaling factors.
Our studies demonstrate that the disruption of Notch signaling can lead to the
expansion of CC cells. Live imaging demonstrates localized emergence of extra
precursor cells as the basis of CC expansion in Notch mutants. Contrary to a
recent report, we unexpectedly found that CC cells originate from head mesoderm.
We show that Tinman expression in head mesoderm is regulated by Notch signaling
and that the combination of Daughterless and Tinman is sufficient for ectopic CC
specification in mesoderm. Understanding the cellular, genetic, signaling, and
transcriptional basis of CC cell specification and expansion should accelerate
discovery of molecular mechanisms regulating ontogeny of organs that control
metabolism.
PMID- 21901109
TI - Predisposition to cancer caused by genetic and functional defects of mammalian
Atad5.
AB - ATAD5, the human ortholog of yeast Elg1, plays a role in PCNA deubiquitination.
Since PCNA modification is important to regulate DNA damage bypass, ATAD5 may be
important for suppression of genomic instability in mammals in vivo. To test this
hypothesis, we generated heterozygous (Atad5(+/m)) mice that were
haploinsuffficient for Atad5. Atad5(+/m) mice displayed high levels of genomic
instability in vivo, and Atad5(+/m) mouse embryonic fibroblasts (MEFs) exhibited
molecular defects in PCNA deubiquitination in response to DNA damage, as well as
DNA damage hypersensitivity and high levels of genomic instability, apoptosis,
and aneuploidy. Importantly, 90% of haploinsufficient Atad5(+/m) mice developed
tumors, including sarcomas, carcinomas, and adenocarcinomas, between 11 and 20
months of age. High levels of genomic alterations were evident in tumors that
arose in the Atad5(+/m) mice. Consistent with a role for Atad5 in suppressing
tumorigenesis, we also identified somatic mutations of ATAD5 in 4.6% of sporadic
human endometrial tumors, including two nonsense mutations that resulted in loss
of proper ATAD5 function. Taken together, our findings indicate that loss-of
function mutations in mammalian Atad5 are sufficient to cause genomic instability
and tumorigenesis.
PMID- 21901110
TI - Mutations in fam20b and xylt1 reveal that cartilage matrix controls timing of
endochondral ossification by inhibiting chondrocyte maturation.
AB - Differentiating cells interact with their extracellular environment over time.
Chondrocytes embed themselves in a proteoglycan (PG)-rich matrix, then undergo a
developmental transition, termed "maturation," when they express ihh to induce
bone in the overlying tissue, the perichondrium. Here, we ask whether PGs
regulate interactions between chondrocytes and perichondrium, using zebrafish
mutants to reveal that cartilage PGs inhibit chondrocyte maturation, which
ultimately dictates the timing of perichondral bone development. In a mutagenesis
screen, we isolated a class of mutants with decreased cartilage matrix and
increased perichondral bone. Positional cloning identified lesions in two genes,
fam20b and xylosyltransferase1 (xylt1), both of which encode PG synthesis
enzymes. Mutants failed to produce wild-type levels of chondroitin sulfate PGs,
which are normally abundant in cartilage matrix, and initiated perichondral bone
formation earlier than their wild-type siblings. Primary chondrocyte defects
might induce the bone phenotype secondarily, because mutant chondrocytes
precociously initiated maturation, showing increased and early expression of such
markers as runx2b, collagen type 10a1, and ihh co-orthologs, and ihha mutation
suppressed early perichondral bone in PG mutants. Ultrastructural analyses
demonstrated aberrant matrix organization and also early cellular features of
chondrocyte hypertrophy in mutants. Refining previous in vitro reports, which
demonstrated that fam20b and xylt1 were involved in PG synthesis, our in vivo
analyses reveal that these genes function in cartilage matrix production and
ultimately regulate the timing of skeletal development.
PMID- 21901111
TI - Increased RPA1 gene dosage affects genomic stability potentially contributing to
17p13.3 duplication syndrome.
AB - A novel microduplication syndrome involving various-sized contiguous duplications
in 17p13.3 has recently been described, suggesting that increased copy number of
genes in 17p13.3, particularly PAFAH1B1, is associated with clinical features
including facial dysmorphism, developmental delay, and autism spectrum disorder.
We have previously shown that patient-derived cell lines from individuals with
haploinsufficiency of RPA1, a gene within 17p13.3, exhibit an impaired ATR
dependent DNA damage response (DDR). Here, we show that cell lines from patients
with duplications specifically incorporating RPA1 exhibit a different although
characteristic spectrum of DDR defects including abnormal S phase distribution,
attenuated DNA double strand break (DSB)-induced RAD51 chromatin retention,
elevated genomic instability, and increased sensitivity to DNA damaging agents.
Using controlled conditional over-expression of RPA1 in a human model cell
system, we also see attenuated DSB-induced RAD51 chromatin retention.
Furthermore, we find that transient over-expression of RPA1 can impact on
homologous recombination (HR) pathways following DSB formation, favouring
engagement in aberrant forms of recombination and repair. Our data identifies
unanticipated defects in the DDR associated with duplications in 17p13.3 in
humans involving modest RPA1 over-expression.
PMID- 21901112
TI - A pre-mRNA-associating factor links endogenous siRNAs to chromatin regulation.
AB - In plants and fungi, small RNAs silence gene expression in the nucleus by
establishing repressive chromatin states. The role of endogenous small RNAs in
metazoan nuclei is largely unknown. Here we show that endogenous small
interfering RNAs (endo-siRNAs) direct Histone H3 Lysine 9 methylation (H3K9me) in
Caenorhabditis elegans. In addition, we report the identification and
characterization of nuclear RNAi defective (nrde)-1 and nrde-4. Endo-siRNA-driven
H3K9me requires the nuclear RNAi pathway including the Argonaute (Ago) NRDE-3,
the conserved nuclear RNAi factor NRDE-2, as well as NRDE-1 and NRDE-4. Small
RNAs direct NRDE-1 to associate with the pre-mRNA and chromatin of genes, which
have been targeted by RNAi. NRDE-3 and NRDE-2 are required for the association of
NRDE-1 with pre-mRNA and chromatin. NRDE-4 is required for NRDE-1/chromatin
association, but not NRDE-1/pre-mRNA association. These data establish that NRDE
1 is a novel pre-mRNA and chromatin-associating factor that links small RNAs to
H3K9 methylation. In addition, these results demonstrate that endo-siRNAs direct
chromatin modifications via the Nrde pathway in C. elegans.
PMID- 21901113
TI - Natural polymorphism in BUL2 links cellular amino acid availability with
chronological aging and telomere maintenance in yeast.
AB - Aging and longevity are considered to be highly complex genetic traits. In order
to gain insight into aging as a polygenic trait, we employed an outbred
Saccharomyces cerevisiae model, generated by crossing a vineyard strain RM11 and
a laboratory strain S288c, to identify quantitative trait loci that control
chronological lifespan. Among the major loci that regulate chronological lifespan
in this cross, one genetic linkage was found to be congruent with a previously
mapped locus that controls telomere length variation. We found that a single
nucleotide polymorphism in BUL2, encoding a component of an ubiquitin ligase
complex involved in trafficking of amino acid permeases, controls chronological
lifespan and telomere length as well as amino acid uptake. Cellular amino acid
availability changes conferred by the BUL2 polymorphism alter telomere length by
modulating activity of a transcription factor Gln3. Among the GLN3
transcriptional targets relevant to this phenotype, we identified Wtm1, whose
upregulation promotes nuclear retention of ribonucleotide reductase (RNR)
components and inhibits the assembly of the RNR enzyme complex during S-phase.
Inhibition of RNR is one of the mechanisms by which Gln3 modulates telomere
length. Identification of a polymorphism in BUL2 in this outbred yeast population
revealed a link among cellular amino acid availability, chronological lifespan,
and telomere length control.
PMID- 21901115
TI - Recurrent asymptomatic demyelinating disease following 13-cis-retinoic acid
exposure.
AB - We report a case of multifocal demyelination within the central nervous system in
a patient being treated for a left hemispheric gemnistocytic astrocytoma with
radiation therapy and chemotherapy, comprising temozolomide (360 mg/day-days 1-5
every 28 days) and 13-cis-retinoic acid (100 mg/m(2)/day-separated into two doses
administered every 12 h on days 1 through 21 every 28 days). Five months into her
first round of chemotherapy, brain magnetic resonance imaging (MRI) demonstrated
multifocal regions of T2 prolongation with associated gadolinium enhancement
within the right cerebral hemisphere. Spectroscopic data were consistent with
demyelination rather than neoplasia. Despite the incidentally identified
radiological progression, new neurological symptoms were not described. Interval
resolution of the demyelinating lesions was observed in the years following the
discontinuance of her chemotherapy regimen with reactivation of the previously
observed lesions and the development of new T2 foci 6 months into her second
round of re-treatment for tumour progression 5 years later.
PMID- 21901114
TI - Distinct Cdk1 requirements during single-strand annealing, noncrossover, and
crossover recombination.
AB - Repair of DNA double-strand breaks (DSBs) by homologous recombination (HR) in
haploid cells is generally restricted to S/G2 cell cycle phases, when DNA has
been replicated and a sister chromatid is available as a repair template. This
cell cycle specificity depends on cyclin-dependent protein kinases (Cdk1 in
Saccharomyces cerevisiae), which initiate HR by promoting 5'-3' nucleolytic
degradation of the DSB ends. Whether Cdk1 regulates other HR steps is unknown.
Here we show that yku70Delta cells, which accumulate single-stranded DNA (ssDNA)
at the DSB ends independently of Cdk1 activity, are able to repair a DSB by
single-strand annealing (SSA) in the G1 cell cycle phase, when Cdk1 activity is
low. This ability to perform SSA depends on DSB resection, because both resection
and SSA are enhanced by the lack of Rad9 in yku70Delta G1 cells. Furthermore, we
found that interchromosomal noncrossover recombinants are generated in yku70Delta
and yku70Delta rad9Delta G1 cells, indicating that DSB resection bypasses Cdk1
requirement also for carrying out these recombination events. By contrast,
yku70Delta and yku70Delta rad9Delta cells are specifically defective in
interchromosomal crossover recombination when Cdk1 activity is low. Thus, Cdk1
promotes DSB repair by single-strand annealing and noncrossover recombination by
acting mostly at the resection level, whereas additional events require Cdk1
dependent regulation in order to generate crossover outcomes.
PMID- 21901117
TI - ACE as a mechanosensor to shear stress influences the control of its own
regulation via phosphorylation of cytoplasmic Ser(1270).
AB - OBJECTIVES: We tested whether angiotensin converting enzyme (ACE) and
phosphorylation of Ser(1270) are involved in shear-stress (SS)-induced
downregulation of the enzyme. METHODS AND RESULTS: Western blotting analysis
showed that SS (18 h, 15 dyn/cm(2)) decreases ACE expression and phosphorylation
as well as p-JNK inhibition in human primary endothelial cells (EC). CHO cells
expressing wild-type ACE (wt-ACE) also displayed SS-induced decrease in ACE and p
JNK. Moreover, SS decreased ACE promoter activity in wt-ACE, but had no effect in
wild type CHO or CHO expressing ACE without either the extra- or the
intracellular domains, and decreased less in CHO expressing a mutated ACE at
Ser(1270) compared to wt-ACE (13 vs. 40%, respectively). The JNK inhibitor
(SP600125, 18 h), in absence of SS, also decreased ACE promoter activity in wt
ACE. Finally, SS-induced inhibition of ACE expression and phosphorylation in EC
was counteracted by simultaneous exposure to an ACE inhibitor. CONCLUSIONS: ACE
displays a key role on its own downregulation in response to SS. This response
requires both the extra- and the intracellular domains and ACE Ser(1270),
consistent with the idea that the extracellular domain behaves as a mechanosensor
while the cytoplasmic domain elicits the downstream intracellular signaling by
phosphorylation on Ser(1270).
PMID- 21901116
TI - Whole grain products, fish and bilberries alter glucose and lipid metabolism in a
randomized, controlled trial: the Sysdimet study.
AB - BACKGROUND: Due to the growing prevalence of type 2 diabetes, new dietary
solutions are needed to help improve glucose and lipid metabolism in persons at
high risk of developing the disease. Herein we investigated the effects of low
insulin-response grain products, fatty fish, and berries on glucose metabolism
and plasma lipidomic profiles in persons with impaired glucose metabolism.
METHODOLOGY/PRINCIPAL FINDINGS: Altogether 106 men and women with impaired
glucose metabolism and with at least two other features of the metabolic syndrome
were included in a 12-week parallel dietary intervention. The participants were
randomized into three diet intervention groups: (1) whole grain and low
postprandial insulin response grain products, fatty fish three times a week, and
bilberries three portions per day (HealthyDiet group), (2) Whole grain enriched
diet (WGED) group, which includes principally the same grain products as group
(1), but with no change in fish or berry consumption, and (3) refined wheat
breads (Control). Oral glucose tolerance, plasma fatty acids and lipidomic
profiles were measured before and after the intervention. Self-reported
compliance with the diets was good and the body weight remained constant. Within
the HealthyDiet group two hour glucose concentration and area-under-the-curve for
glucose decreased and plasma proportion of (n-3) long-chain PUFAs increased
(False Discovery Rate p-values <0.05). Increases in eicosapentaenoic acid and
docosahexaenoic acid associated curvilinearly with the improved insulin secretion
and glucose disposal. Among the 364 characterized lipids, 25 changed
significantly in the HealthyDiet group, including multiple triglycerides
incorporating the long chain (n-3) PUFA. CONCLUSIONS/SIGNIFICANCE: The results
suggest that the diet rich in whole grain and low insulin response grain
products, bilberries, and fatty fish improve glucose metabolism and alter the
lipidomic profile. Therefore, such a diet may have a beneficial effect in the
efforts to prevent type 2 diabetes in high risk persons. TRIAL REGISTRATION:
ClinicalTrials.gov NCT00573781.
PMID- 21901118
TI - Aquatic birnavirus-induced ER stress-mediated death signaling contribute to
downregulation of Bcl-2 family proteins in salmon embryo cells.
AB - Aquatic birnavirus induces mitochondria-mediated cell death, but whether connects
to endoplasmic reticulum (ER) stress is still unknown. In this present, we
characterized that IPNV infection triggers ER stress-mediated cell death via
PKR/eIF2alpha phosphorylation signaling for regulating the Bcl-2 family protein
expression in fish cells. The IPNV infection can induce ER stress as follows: (1)
ER stress sensor ATF6 cleavaged; (2) ER stress marker GRP78 upregulation, and (3)
PERK/eIF2alpha phosphorylation. Then, the IPNV-induced ER stress signals can
induce the CHOP expression at early (6 h p.i.) and middle replication (12 h p.i.)
stages. Moreover, IPNV-induced CHOP upregulation dramatically correlates to
apparently downregulate the Bcl-2 family proteins, Bcl-2, Mcl-1 and Bcl-xL at
middle replication stage (12 h p.i.) and produces mitochondria membrane potential
(MMP) loss and cell death. Furthermore, with GRP78 synthesis inhibitor momitoxin
(VT) and PKR inhibitor 2-aminopurine (2-AP) treatment for blocking GRP78
expression and eIF2alpha phosphorylation, PKR/PERK may involve in eIF2alpha
phosphorylation/CHOP upregulation pathway that enhances the downstream regulators
Bcl-2 family proteins expression and increased cell survival. Taken together, our
results suggest that IPNV infection activates PKR/PERK/eIF2alpha ER stress
signals for regulating downstream molecules CHOP upregulation and Bcl-2 family
downregulation that led to induce mitochondria-mediated cell death in fish cells,
which may provide new insight into RNA virus pathogenesis and disease.
PMID- 21901119
TI - High tumour cannabinoid CB1 receptor immunoreactivity negatively impacts disease
specific survival in stage II microsatellite stable colorectal cancer.
AB - BACKGROUND: There is good evidence in the literature that the cannabinoid system
is disturbed in colorectal cancer. In the present study, we have investigated
whether CB(1) receptor immunoreactive intensity (CB(1)IR intensity) is associated
with disease severity and outcome. METHODOLOGY/PRINCIPAL FINDINGS: CB(1)IR was
assessed in formalin-fixed, paraffin-embedded specimens collected with a
consecutive intent during primary tumour surgical resection from a series of
cases diagnosed with colorectal cancer. Tumour centre (n = 483) and invasive
front (n = 486) CB(1)IR was scored from 0 (absent) to 3 (intense staining) and
the data was analysed as a median split i.e. CB(1)IR <2 and >=2. In
microsatellite stable, but not microsatellite instable tumours (as adjudged on
the basis of immunohistochemical determination of four mismatch repair proteins),
there was a significant positive association of the tumour grade with the CB(1)IR
intensity. The difference between the microsatellite stable and instable tumours
for this association of CB(1)IR was related to the CpG island methylation status
of the cases. Cox proportional hazards regression analyses indicated a
significant contribution of CB(1)IR to disease-specific survival in the
microsatellite stable tumours when adjusting for tumour stage. For the cases with
stage II microsatellite stable tumours, there was a significant effect of both
tumour centre and front CB(1)IR upon disease specific survival. The 5 year
probabilities of event-free survival were: 85+/-5 and 66+/-8%; tumour interior,
86+/-4% and 63+/-8% for the CB(1)IR<2 and CB(1)IR>=2 groups, respectively.
CONCLUSIONS/SIGNIFICANCE: The level of CB(1) receptor expression in colorectal
cancer is associated with the tumour grade in a manner dependent upon the degree
of CpG hypermethylation. A high CB(1)IR is indicative of a poorer prognosis in
stage II microsatellite stable tumour patients.
PMID- 21901120
TI - The redox state of transglutaminase 2 controls arterial remodeling.
AB - While inward remodeling of small arteries in response to low blood flow,
hypertension, and chronic vasoconstriction depends on type 2 transglutaminase
(TG2), the mechanisms of action have remained unresolved. We studied the
regulation of TG2 activity, its (sub) cellular localization, substrates, and its
specific mode of action during small artery inward remodeling. We found that
inward remodeling of isolated mouse mesenteric arteries by exogenous TG2 required
the presence of a reducing agent. The effect of TG2 depended on its cross-linking
activity, as indicated by the lack of effect of mutant TG2. The cell-permeable
reducing agent DTT, but not the cell-impermeable reducing agent TCEP, induced
translocation of endogenous TG2 and high membrane-bound transglutaminase
activity. This coincided with inward remodeling, characterized by a stiffening of
the artery. The remodeling could be inhibited by a TG2 inhibitor and by the
nitric oxide donor, SNAP. Using a pull-down assay and mass spectrometry, 21
proteins were identified as TG2 cross-linking substrates, including fibronectin,
collagen and nidogen. Inward remodeling induced by low blood flow was associated
with the upregulation of several anti-oxidant proteins, notably glutathione-S
transferase, and selenoprotein P. In conclusion, these results show that a
reduced state induces smooth muscle membrane-bound TG2 activity. Inward
remodeling results from the cross-linking of vicinal matrix proteins, causing a
stiffening of the arterial wall.
PMID- 21901121
TI - Molecular evolution of ultraspiracle protein (USP/RXR) in insects.
AB - Ultraspiracle protein/retinoid X receptor (USP/RXR) is a nuclear receptor and
transcription factor which is an essential component of a heterodimeric receptor
complex with the ecdysone receptor (EcR). In insects this complex binds
ecdysteroids and plays an important role in the regulation of growth,
development, metamorphosis and reproduction. In some holometabolous insects,
including Lepidoptera and Diptera, USP/RXR is thought to have experienced several
important shifts in function. These include the acquisition of novel ligand
binding properties and an expanded dimerization interface with EcR. In light of
these recent hypotheses, we implemented codon-based likelihood methods to
investigate if the proposed shifts in function are reflected in changes in site
specific evolutionary rates across functional and structural motifs in insect
USP/RXR sequences, and if there is any evidence for positive selection at
functionally important sites. Our results reveal evidence of positive selection
acting on sites within the loop connecting helices H1 and H3, the ligand-binding
pocket, and the dimer interface in the holometabolous lineage leading to the
Lepidoptera/Diptera/Trichoptera. Similar analyses conducted using EcR sequences
did not indicate positive selection. However, analyses allowing for variation
across sites demonstrated elevated non-synonymous/synonymous rate ratios
(d(N)/d(S)), suggesting relaxed constraint, within the dimerization interface of
both USP/RXR and EcR as well as within the coactivator binding groove and helix
H12 of USP/RXR. Since the above methods are based on the assumption that d(S) is
constant among sites, we also used more recent models which relax this assumption
and obtained results consistent with traditional random-sites models. Overall our
findings support the evolution of novel function in USP/RXR of more derived
holometabolous insects, and are consistent with shifts in structure and function
which may have increased USP/RXR reliance on EcR for cofactor recruitment.
Moreover, these findings raise important questions regarding hypotheses which
suggest the independent activation of USP/RXR by its own ligand.
PMID- 21901122
TI - Multicoil2: predicting coiled coils and their oligomerization states from
sequence in the twilight zone.
AB - The alpha-helical coiled coil can adopt a variety of topologies, among the most
common of which are parallel and antiparallel dimers and trimers. We present
Multicoil2, an algorithm that predicts both the location and oligomerization
state (two versus three helices) of coiled coils in protein sequences. Multicoil2
combines the pairwise correlations of the previous Multicoil method with the
flexibility of Hidden Markov Models (HMMs) in a Markov Random Field (MRF). The
resulting algorithm integrates sequence features, including pairwise
interactions, through multinomial logistic regression to devise an optimized
scoring function for distinguishing dimer, trimer and non-coiled-coil
oligomerization states; this scoring function is used to produce Markov Random
Field potentials that incorporate pairwise correlations localized in sequence.
Multicoil2 significantly improves both coiled-coil detection and dimer versus
trimer state prediction over the original Multicoil algorithm retrained on a
newly-constructed database of coiled-coil sequences. The new database, comprised
of 2,105 sequences containing 124,088 residues, includes reliable structural
annotations based on experimental data in the literature. Notably, the enhanced
performance of Multicoil2 is evident when tested in stringent leave-family-out
cross-validation on the new database, reflecting expected performance on
challenging new prediction targets that have minimal sequence similarity to known
coiled-coil families. The Multicoil2 program and training database are available
for download from http://multicoil2.csail.mit.edu.
PMID- 21901123
TI - Lipopolysaccharide-binding protein for monitoring of postoperative sepsis:
complemental to C-reactive protein or redundant?
AB - INTRODUCTION: To prospectively evaluate the performance of Lipopolysaccharide
Binding Protein (LBP) in prediction of hospital mortality and its correlation to
C-reactive Protein (CRP), we studied sixty consecutive, postoperative patients
with sepsis admitted to the university hospital intensive care unit. MEASUREMENTS
AND METHODS: Plasma LBP and CRP were serially measured from day(d)1 (onset of
sepsis) to d14 in parallel with clinical data until d28. Predictive value and
correlation of LBP and CRP were analyzed by Receiver Operating Characteristic
(ROC) curve analysis and Pearson's test, respectively. MAIN RESULTS: LBP and CRP
showed the highest levels on d2 or d3 after the onset of sepsis with no
significant difference between survivors and nonsurvivors. Only at d7,
nonsurvivors had significantly (p = .03) higher levels of CRP than survivors.
Accordingly, in ROC analysis, concentration of CRP and LBP on d7 poorly
discriminated survivors from nonsurvivors (area under curve = .62 and .55,
respectively) without significant difference between LBP- and CRP-ROC curves for
paired comparison. LBP and CRP plasma levels allocated to quartiles correlated
well with each other (r(2) = .95; p = .02). Likewise, changes in plasma
concentrations of LBP and CRP from one observation to the next showed a marked
concordance as both parameters concomitantly increased or decreased in 76% of all
cases. CONCLUSIONS: During the first 14 days of postoperative sepsis, LBP plasma
concentrations showed a time course that was very similar to CRP with a high
concordance in the pattern of day-to-day changes. Furthermore, like CRP, LBP does
not provide a reliable clue for outcome in this setting.
PMID- 21901124
TI - A first generation microsatellite- and SNP-based linkage map of Jatropha.
AB - Jatropha curcas is a potential plant species for biodiesel production. However,
its seed yield is too low for profitable production of biodiesel. To improve the
productivity, genetic improvement through breeding is essential. A linkage map is
an important component in molecular breeding. We established a first-generation
linkage map using a mapping panel containing two backcross populations with 93
progeny. We mapped 506 markers (216 microsatellites and 290 SNPs from ESTs) onto
11 linkage groups. The total length of the map was 1440.9 cM with an average
marker space of 2.8 cM. Blasting of 222 Jatropha ESTs containing polymorphic SSR
or SNP markers against EST-databases revealed that 91.0%, 86.5% and 79.2% of
Jatropha ESTs were homologous to counterparts in castor bean, poplar and
Arabidopsis respectively. Mapping 192 orthologous markers to the assembled whole
genome sequence of Arabidopsis thaliana identified 38 syntenic blocks and
revealed that small linkage blocks were well conserved, but often shuffled. The
first generation linkage map and the data of comparative mapping could lay a
solid foundation for QTL mapping of agronomic traits, marker-assisted breeding
and cloning genes responsible for phenotypic variation.
PMID- 21901125
TI - Prevention and intervention studies with telmisartan, ramipril and their
combination in different rat stroke models.
AB - OBJECTIVES: The effects of AT1 receptor blocker, telmisartan, and the ACE
inhibitor, ramipril, were tested head-to head and in combination on stroke
prevention in hypertensive rats and on potential neuroprotection in acute
cerebral ischemia in normotensive rats. METHODS: Prevention study: Stroke-prone
spontaneously hypertensive rats (SHR-SP) were subjected to high salt and randomly
assigned to 4 groups: (1) untreated (NaCl, n = 24), (2) telmisartan (T; n = 27),
(3) ramipril (R; n = 27) and (4) telmisartan + ramipril (T+R; n = 26). Drug doses
were selected to keep blood pressure (BP) at 150 mmHg in all groups. Neurological
signs and stroke incidence at 50% mortality of untreated SHR-SP were
investigated. Intervention study: Normotensive Wistar rats were treated s.c. 5
days prior to middle cerebral artery occlusion (MCAO) for 90 min with
reperfusion. Groups (n = 10 each): (1) sham, (2) vehicle (V; 0.9% NaCl), (3) T
(0.5 mg/kg once daily), (4) R (0.01 mg/kg twice daily), (5) R (0.1 mg/kg twice
daily) or (6) T (0.5 mg/kg once daily) plus R (0.01 mg/kg twice daily). Twenty
four and 48 h after MCAO, neurological outcome (NO) was determined. Forty-eight h
after MCAO, infarct volume by MRI, neuronal survival, inflammation factors and
neurotrophin receptor (TrkB) were analysed. RESULTS: Stroke incidence was
reduced, survival was prolonged and neurological outcome was improved in all
treated SHR-SP with no differences between treated groups. In the acute
intervention study, T and T+R, but not R alone, improved NO, reduced infarct
volume, inflammation (TNFalpha), and induced TrkB receptor and neuronal survival
in comparison to V. CONCLUSIONS: T, R or T+R had similar beneficial effects on
stroke incidence and NO in hypertensive rats, confirming BP reduction as
determinant factor in stroke prevention. In contrast, T and T+R provided superior
neuroprotection in comparison to R alone in normotensive rats with induced
cerebral ischemia.
PMID- 21901126
TI - Entomopathogenic fungi on Hemiberlesia pitysophila.
AB - Hemiberlesia pitysophila Takagi is an extremely harmful exotic insect in forest
to Pinus species, including Pinus massoniana. Using both morphological taxonomy
and molecular phylogenetics, we identified 15 strains of entomogenous fungi,
which belong to 9 genera with high diversities. Surprisingly, we found that five
strains that were classified as species of Pestalotiopsis, which has been
considered plant pathogens and endophytes, were the dominant entomopathogenic
fungus of H. pitysophila. Molecular phylogenetic tree established by analyzing
sequences of ribosomal DNA internal transcribed spacer showed that
entomopathogenic Pestalotiopsis spp. were similar to plant Pestalotiopsis, but
not to other pathogens and endophytes of its host plant P. massoniana. We were
the first to isolate entomopathogenic Pestalotiopsis spp. from H. pitysophila.
Our findings suggest a potential and promising method of H. pitysophila bio
control.
PMID- 21901127
TI - A biobrick library for cloning custom eukaryotic plasmids.
AB - Researchers often require customised variations of plasmids that are not
commercially available. Here we demonstrate the applicability and versatility of
standard synthetic biological parts (biobricks) to build custom plasmids. For
this purpose we have built a collection of 52 parts that include multiple cloning
sites (MCS) and common protein tags, protein reporters and selection markers,
amongst others. Importantly, most of the parts are designed in a format to allow
fusions that maintain the reading frame. We illustrate the collection by building
several model contructs, including concatemers of protein binding-site motifs,
and a variety of plasmids for eukaryotic stable cloning and chromosomal
insertion. For example, in 3 biobrick iterations, we make a cerulean-reporter
plasmid for cloning fluorescent protein fusions. Furthermore, we use the
collection to implement a recombinase-mediated DNA insertion (RMDI), allowing
chromosomal site-directed exchange of genes. By making one recipient stable cell
line, many standardised cell lines can subsequently be generated, by fluorescent
fusion-gene exchange. We propose that this biobrick collection may be distributed
peer-to-peer as a stand-alone library, in addition to its distribution through
the Registry of Standard Biological Parts (http://partsregistry.org/).
PMID- 21901129
TI - Moving cages further offshore: effects on southern bluefin tuna, T. maccoyii,
parasites, health and performance.
AB - The effects of offshore aquaculture on SBT health (particularly parasitic
infections and haematology) and performance were the main aim of this study. Two
cohorts of ranched Southern Bluefin tuna (SBT) (Thunnus maccoyii) were monitored
throughout the commercial season, one maintained in the traditional near shore
tuna farming zone and one maintained further offshore. SBT maintained offshore
had reduced mortality, increased condition index at week 6 post transfer, reduced
blood fluke and sealice loads, and haematological variables such as haemoglobin
or lysozyme equal to or exceeding near shore maintained fish. The offshore cohort
had no Cardicola forsteri and a 5% prevalence of Caligus spp., compared to a
prevalence of 85% for Cardicola forsteri and 55% prevalence for Caligus spp. near
shore at 6 weeks post transfer. This study is the first of its kind to examine
the effects of commercial offshore sites on farmed fish parasites, health and
performance.
PMID- 21901128
TI - Ouabain stimulates a Na+/K+-ATPase-mediated SFK-activated signalling pathway that
regulates tight junction function in the mouse blastocyst.
AB - The Na(+)/K(+)-ATPase plays a pivotal role during preimplantation development; it
establishes a trans-epithelial ionic gradient that facilitates the formation of
the fluid-filled blastocyst cavity, crucial for implantation and successful
pregnancy. The Na(+)/K(+)-ATPase is also implicated in regulating tight junctions
and cardiotonic steroid (CTS)-induced signal transduction via SRC. We
investigated the expression of SRC family kinase (SFK) members, Src and Yes,
during preimplantation development and determined whether SFK activity is
required for blastocyst formation. Embryos were collected following super
ovulation of CD1 or MF1 female mice. RT-PCR was used to detect SFK mRNAs encoding
Src and Yes throughout preimplantation development. SRC and YES protein were
localized throughout preimplantation development. Treatment of mouse morulae with
the SFK inhibitors PP2 and SU6656 for 18 hours resulted in a reversible blockade
of progression to the blastocyst stage. Blastocysts treated with 10(-3) M ouabain
for 2 or 10 minutes and immediately immunostained for phosphorylation at SRC
tyr418 displayed reduced phosphorylation while in contrast blastocysts treated
with 10(-4) M displayed increased tyr418 fluorescence. SFK inhibition increased
and SFK activation reduced trophectoderm tight junction permeability in
blastocysts. The results demonstrate that SFKs are expressed during
preimplantation development and that SFK activity is required for blastocyst
formation and is an important mediator of trophectoderm tight junction
permeability.
PMID- 21901130
TI - Feeding cues and injected nutrients induce acute expression of multiple clock
genes in the mouse liver.
AB - The circadian clock is closely associated with energy metabolism. The liver clock
can rapidly adapt to a new feeding cycle within a few days, whereas the lung
clock is gradually entrained over one week. However, the mechanism underlying
tissue-specific clock resetting is not fully understood. To characterize the
rapid response to feeding cues in the liver clock, we examined the effects of a
single time-delayed feeding on circadian rhythms in the liver and lungs of
Per2::Luc reporter knockin mice. After adapting to a night-time restricted
feeding schedule, the mice were fed according to a 4, 8, or 13 h delayed schedule
on the last day. The phase of the liver clock was delayed in all groups with
delayed feeding, whereas the lung clock remained unaffected. We then examined the
acute response of clock and metabolism-related genes in the liver using focused
DNA-microarrays. Clock mutant mice were bred under constant light to attenuate
the endogenous circadian rhythm, and gene expression profiles were determined
during 24 h of fasting followed by 8 h of feeding. Per2 and Dec1 were
significantly increased within 1 h of feeding. Real-time RT-PCR analysis revealed
a similarly acute response in hepatic clock gene expression caused by feeding
wild type mice after an overnight fast. In addition to Per2 and Dec1, the
expression of Per1 increased, and that of Rev-erbalpha decreased in the liver
within 1 h of feeding after fasting, whereas none of these clock genes were
affected in the lung. Moreover, an intraperitoneal injection of glucose combined
with amino acids, but not either alone, reproduced a similar hepatic response.
Our findings show that multiple clock genes respond to nutritional cues within 1
h in the liver but not in the lung.
PMID- 21901131
TI - Herbivory, connectivity, and ecosystem resilience: response of a coral reef to a
large-scale perturbation.
AB - Coral reefs world-wide are threatened by escalating local and global impacts, and
some impacted reefs have shifted from coral dominance to a state dominated by
macroalgae. Therefore, there is a growing need to understand the processes that
affect the capacity of these ecosystems to return to coral dominance following
disturbances, including those that prevent the establishment of persistent stands
of macroalgae. Unlike many reefs in the Caribbean, over the last several decades,
reefs around the Indo-Pacific island of Moorea, French Polynesia have
consistently returned to coral dominance following major perturbations without
shifting to a macroalgae-dominated state. Here, we present evidence of a rapid
increase in populations of herbivorous fishes following the most recent
perturbation, and show that grazing by these herbivores has prevented the
establishment of macroalgae following near complete loss of coral on offshore
reefs. Importantly, we found the positive response of herbivorous fishes to
increased benthic primary productivity associated with coral loss was driven
largely by parrotfishes that initially recruit to stable nursery habitat within
the lagoons before moving to offshore reefs later in life. These results
underscore the importance of connectivity between the lagoon and offshore reefs
for preventing the establishment of macroalgae following disturbances, and
indicate that protecting nearshore nursery habitat of herbivorous fishes is
critical for maintaining reef resilience.
PMID- 21901132
TI - Treponema denticola major outer sheath protein induces actin assembly at free
barbed ends by a PIP2-dependent uncapping mechanism in fibroblasts.
AB - The major outer sheath protein (Msp) of Treponema denticola perturbs actin
dynamics in fibroblasts by inducing actin reorganization, including subcortical
actin filament assembly, leading to defective calcium flux, diminished integrin
engagement of collagen, and retarded cell migration. Yet, its mechanisms of
action are unknown. We challenged Rat-2 fibroblasts with enriched native Msp. Msp
activated the small GTPases Rac1, RhoA and Ras, but not Cdc42, yet only Rac1
localized to areas of actin rearrangement. We used Rac1 dominant negative
transfection and chemical inhibition of phosphatidylinositol-3 kinase (PI3K) to
show that even though Rac1 activation was PI3K-dependent, neither was required
for Msp-induced actin rearrangement. Actin free barbed end formation (FBE) by Msp
was also PI3K-independent. Immunoblotting experiments showed that gelsolin and
CapZ were released from actin filaments, whereas cofilin remained in an inactive
state. Msp induced phosphatidylinositol (4,5)-bisphosphate (PIP2) formation
through activation of a phosphoinositide 3-phosphatase and its recruitment to
areas of actin assembly at the plasma membrane. Using a PIP2 binding peptide or
lipid phosphatase inhibitor, PIP2 was shown to be required for Msp-mediated actin
uncapping and FBE formation. Evidently, Msp induces actin assembly in fibroblasts
by production and recruitment of PIP2 and release of the capping proteins CapZ
and gelsolin from actin barbed ends.
PMID- 21901133
TI - Association of transcription factor gene LMX1B with autism.
AB - Multiple lines of evidence suggest a serotoninergic dysfunction in autism. The
role of LMX1B in the development and maintenance of serotoninergic neurons is
well known. In order to examine the role, if any, of LMX1B with autism
pathophysiology, a trio-based SNP association study using 252 family samples from
the AGRE was performed. Using pair-wise tagging method, 24 SNPs were selected
from the HapMap data, based on their location and minor allele frequency. Two
SNPs (rs10732392 and rs12336217) showed moderate association with autism with p
values 0.018 and 0.022 respectively in transmission disequilibrium test. The
haplotype AGCGTG also showed significant association (p = 0.008). Further, LMX1B
mRNA expressions were studied in the postmortem brain tissues of autism subjects
and healthy controls samples. LMX1B transcripts was found to be significantly
lower in the anterior cingulate gyrus region of autism patients compared with
controls (p = 0.049). Our study suggests a possible role of LMX1B in the
pathophysiology of autism. Based on previous reports, it is likely to be mediated
through a seretoninergic mechanism. This is the first report on the association
of LMX1B with autism, though it should be viewed with some caution considering
the modest associations we report.
PMID- 21901134
TI - Retinal degeneration progression changes lentiviral vector cell targeting in the
retina.
AB - In normal mice, the lentiviral vector (LV) is very efficient to target the RPE
cells, but transduces retinal neurons well only during development. In the
present study, the tropism of LV has been investigated in the degenerating retina
of mice, knowing that the retina structure changes during degeneration. We
postulated that the viral transduction would be increased by the alteration of
the outer limiting membrane (OLM). Two different LV pseudotypes were tested using
the VSVG and the Mokola envelopes, as well as two animal models of retinal
degeneration: light-damaged Balb-C and Rhodopsin knockout (Rho-/-) mice. After
light damage, the OLM is altered and no significant increase of the number of
transduced photoreceptors can be obtained with a LV-VSVG-Rhop-GFP vector. In the
Rho-/- mice, an alteration of the OLM was also observed, but the possibility of
transducing photoreceptors was decreased, probably by ongoing gliosis. The use of
a ubiquitous promoter allows better photoreceptor transduction, suggesting that
photoreceptor-specific promoter activity changes during late stages of
photoreceptor degeneration. However, the number of targeted photoreceptors
remains low. In contrast, LV pseudotyped with the Mokola envelope allows a wide
dispersion of the vector into the retina (corresponding to the injection bleb)
with preferential targeting of Muller cells, a situation which does not occur in
the wild-type retina. Mokola-pseudotyped lentiviral vectors may serve to engineer
these glial cells to deliver secreted therapeutic factors to a diseased area of
the retina.
PMID- 21901136
TI - Graphical approach to model reduction for nonlinear biochemical networks.
AB - Model reduction is a central challenge to the development and analysis of
multiscale physiology models. Advances in model reduction are needed not only for
computational feasibility but also for obtaining conceptual insights from complex
systems. Here, we introduce an intuitive graphical approach to model reduction
based on phase plane analysis. Timescale separation is identified by the degree
of hysteresis observed in phase-loops, which guides a "concentration-clamp"
procedure for estimating explicit algebraic relationships between species
equilibrating on fast timescales. The primary advantages of this approach over
Jacobian-based timescale decomposition are that: 1) it incorporates nonlinear
system dynamics, and 2) it can be easily visualized, even directly from
experimental data. We tested this graphical model reduction approach using a 25
variable model of cardiac beta(1)-adrenergic signaling, obtaining 6- and 4
variable reduced models that retain good predictive capabilities even in response
to new perturbations. These 6 signaling species appear to be optimal "kinetic
biomarkers" of the overall beta(1)-adrenergic pathway. The 6-variable reduced
model is well suited for integration into multiscale models of heart function,
and more generally, this graphical model reduction approach is readily applicable
to a variety of other complex biological systems.
PMID- 21901135
TI - miR-143 overexpression impairs growth of human colon carcinoma xenografts in mice
with induction of apoptosis and inhibition of proliferation.
AB - BACKGROUND: MicroRNAs (miRNAs) are aberrantly expressed in human cancer and
involved in the (dys)regulation of cell survival, proliferation, differentiation
and death. Specifically, miRNA-143 (miR-143) is down-regulated in human colon
cancer. In the present study, we evaluated the role of miR-143 overexpression on
the growth of human colon carcinoma cells xenografted in nude mice
(immunodeficient mouse strain: N: NIH(s) II-nu/nu). METHODOLOGY/PRINCIPAL
FINDINGS: HCT116 cells with stable miR-143 overexpression (Over-143) and control
(Empty) cells were subcutaneously injected into the flanks of nude mice, and
tumor growth was evaluated over time. Tumors arose ~ 14 days after tumor cell
implantation, and the experiment was ended at 40 days after implantation. miR-143
was confirmed to be significantly overexpressed in Over-143 versus Empty
xenografts, by TaqMan(r) Real-time PCR (p<0.05). Importantly, Over-143 xenografts
displayed slower tumor growth compared to Empty xenografts from 23 until 40 days
in vivo (p<0.05), with final volumes of 928+/-338 and 2512+/-387 mm(3),
respectively. Evaluation of apoptotic proteins showed that Over-143 versus Empty
xenografts displayed reduced Bcl-2 levels, and increased caspase-3 activation and
PARP cleavage (p<0.05). In addition, the incidence of apoptotic tumor cells,
assessed by TUNEL, was increased in Over-143 versus Empty xenografts (p<0.01).
Finally, Over-143 versus Empty xenografts displayed significantly reduced NF
kappaB activation and ERK5 levels and activation (p<0.05), as well as reduced
proliferative index, evaluated by Ki-67 immunohistochemistry (p<0.01).
CONCLUSIONS: Our results suggest that reduced tumor volume in Over-143 versus
Empty xenografts may result from increased apoptosis and decreased proliferation
induced by miR-143. This reinforces the relevance of miR-143 in colon cancer,
indicating an important role in the control of in vivo tumor progression, and
suggesting that miR-143 may constitute a putative novel therapeutic tool for
colon cancer treatment that warrants further investigation.
PMID- 21901137
TI - Coordinated regulation of ATF2 by miR-26b in gamma-irradiated lung cancer cells.
AB - MicroRNA regulates cellular responses to ionizing radiation (IR) through
translational control of target genes. We analyzed time-series changes in
microRNA expression following gamma-irradiation in H1299 lung cancer cells using
microarray analysis. Significantly changed IR-responsive microRNAs were selected
based on analysis of variance analysis, and predicted target mRNAs were enriched
in mitogen-activated protein kinase (MAPK) signaling. Concurrent analysis of time
series mRNA and microRNA profiles uncovered that expression of miR-26b was down
regulated, and its target activating transcription factor 2 (ATF2) mRNA was up
regulated in gamma-irradiated H1299 cells. IR in miR-26b overexpressed H1299
cells could not induce expression of ATF2. When c-Jun N-terminal kinase activity
was inhibited using SP600125, expression of miR-26b was induced following gamma
irradiation in H1299 cells. From these results, we concluded that IR-induced up
regulation of ATF2 was coordinately enhanced by suppression of miR-26b in lung
cancer cells, which may enhance the effect of IR in the MAPK signaling pathway.
PMID- 21901138
TI - Computational study of the human dystrophin repeats: interaction properties and
molecular dynamics.
AB - Dystrophin is a large protein involved in the rare genetic disease Duchenne
muscular dystrophy (DMD). It functions as a mechanical linker between the
cytoskeleton and the sarcolemma, and is able to resist shear stresses during
muscle activity. In all, 75% of the dystrophin molecule consists of a large
central rod domain made up of 24 repeat units that share high structural homology
with spectrin-like repeats. However, in the absence of any high-resolution
structure of these repeats, the molecular basis of dystrophin central domain's
functions has not yet been deciphered. In this context, we have performed a
computational study of the whole dystrophin central rod domain based on the
rational homology modeling of successive and overlapping tandem repeats and the
analysis of their surface properties. Each tandem repeat has very specific
surface properties that make it unique. However, the repeats share enough
electrostatic-surface similarities to be grouped into four separate clusters.
Molecular dynamics simulations of four representative tandem repeats reveal
specific flexibility or bending properties depending on the repeat sequence. We
thus suggest that the dystrophin central rod domain is constituted of seven
biologically relevant sub-domains. Our results provide evidence for the role of
the dystrophin central rod domain as a scaffold platform with a wide range of
surface features and biophysical properties allowing it to interact with its
various known partners such as proteins and membrane lipids. This new integrative
view is strongly supported by the previous experimental works that investigated
the isolated domains and the observed heterogeneity of the severity of dystrophin
related pathologies, especially Becker muscular dystrophy.
PMID- 21901139
TI - Characterization of the major histocompatibility complex class II genes in miiuy
croaker.
AB - Major histocompatibility complex (MHC) has a central role in the adaptive immune
system by presenting foreign peptide to the T-cell receptor. In order to study
the molecular function and genomic characteristic of class II genes in teleost,
the full lengths of MHC class IIA and IIB cDNA and genomic sequence were cloned
from miiuy croaker (Miichthys miiuy). As in other teleost, four exons and three
introns were identified in miiuy croaker class IIA gene; but the difference is
that six exons and five introns were identified in the miiuy croaker class IIB
gene. The deduced amino acid sequence of class IIA and class IIB had 26.3-85.7%
and 11.0-88.8% identity with those of mammal and teleost, respectively. Real-time
quantitative RT-PCR demonstrated that the MHC class IIA and IIB were ubiquitously
expressed in ten normal tissues; expression levels of MHC genes were found first
upregulated and then downregulated, and finally by a recovery to normal level
throughout the pathogenic bacteria infection process. In addition, we report on
the underlying mechanism that maintains sequences diversity among many fish
species. A series of site-model tests implemented in the CODEML program revealed
that positive Darwinian selection is likely the cause of the molecular evolution
in the fish MHC class II genes.
PMID- 21901140
TI - Mediator subunit 12 is required for neutrophil development in zebrafish.
AB - Hematopoiesis requires the spatiotemporal organization of regulatory factors to
successfully orchestrate diverse lineage specificity from stem and progenitor
cells. Med12 is a regulatory component of the large Mediator complex that enables
contact between the general RNA polymerase II transcriptional machinery and
enhancer bound regulatory factors. We have identified a new zebrafish med12
allele, syr, with a single missense mutation causing a valine to aspartic acid
change at position 1046. Syr shows defects in hematopoiesis, which predominantly
affect the myeloid lineage. Syr has identified a hematopoietic cell-specific
requirement for Med12, suggesting a new role for this transcriptional regulator.
PMID- 21901141
TI - Impaired OXPHOS complex III in breast cancer.
AB - We measured the mitochondrial oxidative phosphorylation (mtOXPHOS) activities of
all five complexes and determined the activity and gene expression in detail of
the Complex III subunits in human breast cancer cell lines and primary tumors.
Our analysis revealed dramatic differences in activity of complex III between
normal and aggressive metastatic breast cancer cell lines. Determination of
Complex III subunit gene expression identified over expression and co-regulation
of UQCRFS1 (encoding RISP protein) and UQCRH (encoding Hinge protein) in 6 out of
9 human breast tumors. Analyses of UQCRFS1/RISP expression in additional matched
normal and breast tumors demonstrated an over expression in 14 out of 40 (35%)
breast tumors. UQCRFS1/RISP knockdown in breast tumor cell line led to decreased
mitochondrial membrane potential as well as a decrease in matrigel invasion.
Furthermore, reduced matrigel invasion was mediated by reduced ROS levels
coinciding with decreased expression of NADPH oxidase 2, 3, 4 and 5 involved in
ROS production. These studies provide direct evidence for contribution of
impaired mtOXPHOS Complex III to breast tumorigenesis.
PMID- 21901142
TI - Molecular sites for the positive allosteric modulation of glycine receptors by
endocannabinoids.
AB - Glycine receptors (GlyRs) are transmitter-gated anion channels of the Cys-loop
superfamily which mediate synaptic inhibition at spinal and selected supraspinal
sites. Although they serve pivotal functions in motor control and sensory
processing, they have yet to be exploited as drug targets partly because of
hitherto limited possibilities for allosteric control. Endocannabinoids (ECs)
have recently been characterized as direct allosteric GlyR modulators, but the
underlying molecular sites have remained unknown. Here, we show that chemically
neutral ECs (e.g. anandamide, AEA) are positive modulators of alpha(1), alpha(2)
and alpha(3) GlyRs, whereas acidic ECs (e.g. N-arachidonoyl-glycine; NA-Gly)
potentiate alpha(1) GlyRs but inhibit alpha(2) and alpha(3). This subunit
specificity allowed us to identify the underlying molecular sites through
analysis of chimeric and mutant receptors. We found that alanine 52 in
extracellular loop 2, glycine 254 in transmembrane (TM) region 2 and
intracellular lysine 385 determine the positive modulation of alpha(1) GlyRs by
NA-Gly. Successive substitution of non-conserved extracellular and TM residues in
alpha(2) converted NA-Gly-mediated inhibition into potentiation. Conversely,
mutation of the conserved lysine within the intracellular loop between TM3 and
TM4 attenuated NA-Gly-mediated potentiation of alpha(1) GlyRs, without affecting
inhibition of alpha(2) and alpha(3). Notably, this mutation reduced modulation by
AEA of all three GlyRs. These results define molecular sites for allosteric
control of GlyRs by ECs and reveal an unrecognized function for the TM3-4
intracellular loop in the allosteric modulation of Cys-loop ion channels. The
identification of these sites may help to understand the physiological role of
this modulation and facilitate the development of novel therapeutic approaches to
diseases such as spasticity, startle disease and possibly chronic pain.
PMID- 21901143
TI - Upregulation of cellular Bcl-2 by the KSHV encoded RTA promotes virion
production.
AB - Apoptosis of virus infected cells can restrict or dampen full blown virus
propagation and this can serve as a protective mechanism against virus infection.
Consequently, viruses can also delay programmed cell death by enhancing the
expression of anti-apoptotic proteins. Human Bcl-2 is expressed on the surface of
the mitochondrial membrane and functions as the regulator of the delicate balance
between cell survival and apoptosis. In this report, we showed that the
replication and transcription activator (RTA) encoded by KSHV ORF 50, a key
regulator for KSHV reactivation from latent to lytic infection, upregulates the
mRNA and protein levels of Bcl-2 in 293 cells, and TPA-induced KSHV-infected
cells. Further analysis revealed that upregulation of the cellular Bcl-2 promoter
by RTA is dose-dependent and acts through targeting of the CCN(9)GG motifs within
the Bcl-2 promoter. The Bcl-2 P2 but not the P1 promoter is primarily responsive
to RTA. The results of ChIP confirmed the direct interaction of RTA protein with
the CCN(9)GG motifs. Knockdown of cellular Bcl-2 by lentivirus-delivered small
hairpin RNA (shRNA) resulted in increased cell apoptosis and decreased virion
production in KSHV-infected cells. These findings provide an insight into another
mechanism by which KSHV utilizes the intrinsic apoptosis signaling pathways for
prolonging the survival of lytically infected host cells to allow for maximum
production of virus progeny.
PMID- 21901144
TI - Characteristics of the alternative phenotype of microglia/macrophages and its
modulation in experimental gliomas.
AB - Microglia (brain resident macrophages) accumulate in malignant gliomas and
instead of initiating the anti-tumor response, they switch to a pro-invasive
phenotype, support tumor growth, invasion, angiogenesis and immunosuppression by
release of cytokines/chemokines and extracellular matrix proteases. Using
immunofluorescence and flow cytometry, we demonstrate an early accumulation of
activated microglia followed by accumulation of macrophages in experimental
murine EGFP-GL261 gliomas. Those cells acquire the alternative phenotype, as
evidenced by evaluation of the production of ten pro/anti-inflammatory cytokines
and expression profiling of 28 genes in magnetically-sorted CD11b(+) cells from
tumor tissues. Furthermore, we show that infiltration of implanted gliomas by
amoeboid, Iba1-positive cells can be reduced by a systematically injected
cyclosporine A (CsA) two or eight days after cell inoculation. The up-regulated
levels of IL-10 and GM-CSF, increased expression of genes characteristic for the
alternative and pro-invasive phenotype (arg-1, mt1-mmp, cxcl14) in glioma-derived
CD11b(+) cells as well as enhanced angiogenesis and tumor growth were reduced in
CsA-treated mice. Our findings define for the first time kinetics and biochemical
characteristics of glioma-infiltrating microglia/macrophages. Inhibition of the
alternative activation of tumor-infiltrating macrophages significantly reduced
tumor growth. Thus, blockade of microglia/macrophage infiltration and their pro
invasive functions could be a novel therapeutic strategy in malignant gliomas.
PMID- 21901145
TI - Diphenyl difluoroketone: a potent chemotherapy candidate for human hepatocellular
carcinoma.
AB - Diphenyl difluoroketone (EF24), a molecule having structural similarity to
curcumin, was recently reported to inhibit proliferation of various cancer cells
significantly. Here we try to determine the effect and mechanism of EF24 on
hepatocellular carcinoma. 2 uM EF24 was found to inhibit the proliferation of
PLC/PRF/5, Hep3B, HepG2, SK-HEP-1 and Huh 7 cell lines. However, even 8 uM EF24
treatment did not affect the proliferation of normal liver LO2 cells.
Accordingly, 20 mg/kg/d EF24 inhibited the growth of the tumor xenografts
conspicuously while causing no apparent change in liver, spleen or body weight.
In addition, significant apoptosis and G(2)/M phase cell cycle arrest were found
using flow cytometry. Besides, caspases and PARP activation and features typical
of apoptosis including fragmented nuclei with condensed chromatin were also
observed. Furthermore, the mechanism was targeted at the reduction of nuclear
factor kappa b (NF-kappaB) pathway and the NF-kappaB-regulated gene products Bcl
2, COX-2, Cyclin B1. Our study has offered a strategy that EF24 being a
therapeutic agent for hepatocellular carcinoma.
PMID- 21901146
TI - Direct observation of single amyloid-beta(1-40) oligomers on live cells: binding
and growth at physiological concentrations.
AB - Understanding how amyloid-beta peptide interacts with living cells on a molecular
level is critical to development of targeted treatments for Alzheimer's disease.
Evidence that oligomeric Abeta interacts with neuronal cell membranes has been
provided, but the mechanism by which membrane binding occurs and the exact
stoichiometry of the neurotoxic aggregates remain elusive. Physiologically
relevant experimentation is hindered by the high Abeta concentrations required
for most biochemical analyses, the metastable nature of Abeta aggregates, and the
complex variety of Abeta species present under physiological conditions. Here we
use single molecule microscopy to overcome these challenges, presenting direct
optical evidence that small Abeta(1-40) oligomers bind to living neuroblastoma
cells at physiological Abeta concentrations. Single particle fluorescence
intensity measurements indicate that cell-bound Abeta species range in size from
monomers to hexamers and greater, with the majority of bound oligomers falling in
the dimer-to-tetramer range. Furthermore, while low-molecular weight oligomeric
species do form in solution, the membrane-bound oligomer size distribution is
shifted towards larger aggregates, indicating either that bound Abeta oligomers
can rapidly increase in size or that these oligomers cluster at specific sites on
the membrane. Calcium indicator studies demonstrate that small oligomer binding
at physiological concentrations induces only mild, sporadic calcium leakage.
These findings support the hypothesis that small oligomers are the primary Abeta
species that interact with neurons at physiological concentrations.
PMID- 21901147
TI - An experimental test of condition-dependent male and female mate choice in zebra
finches.
AB - In mating systems with social monogamy and obligatory bi-parental care, such as
found in many songbird species, male and female fitness depends on the combined
parental investment. Hence, both sexes should gain from choosing mates in high
rather than low condition. However, theory also predicts that an individual's
phenotypic quality can constrain choice, if low condition individuals cannot
afford prolonged search efforts and/or face higher risk of rejection. In systems
with mutual mate choice, the interaction between male and female condition should
thus be a better predictor of choice than either factor in isolation. To address
this prediction experimentally, we manipulated male and female condition and
subsequently tested male and female mating preferences in zebra finches
Taeniopygia guttata, a songbird species with mutual mate choice and obligatory bi
parental care. We experimentally altered phenotypic quality by manipulating the
brood size in which the birds were reared. Patterns of association for high- or
low-condition individuals of the opposite sex differed for male and female focal
birds when tested in an 8-way choice arena. Females showed repeatable condition
assortative preferences for males matching their own rearing background. Male
preferences were also repeatable, but not predicted by their own or females'
rearing background. In combination with a brief review of the literature on
condition-dependent mate choice in the zebra finch we discuss whether the
observed sex differences and between-studies differences arise because males and
females differ in context sensitivity (e.g. male-male competition suppressing
male mating preferences), sampling strategies or susceptibility to rearing
conditions (e.g. sex-specific effect on physiology). While a picture emerges that
juvenile and current state indeed affect preferences, the development and context
dependency of mutual state-dependent mate choice warrants further study.
PMID- 21901148
TI - Targeted disruption of TgPhIL1 in Toxoplasma gondii results in altered parasite
morphology and fitness.
AB - The inner membrane complex (IMC), a series of flattened vesicles at the periphery
of apicomplexan parasites, is thought to be important for parasite shape,
motility and replication, but few of the IMC proteins that function in these
processes have been identified. TgPhIL1, a Toxoplasma gondii protein that was
previously identified through photosensitized labeling with 5-[(125)I]
iodonapthaline-1-azide, associates with the IMC and/or underlying cytoskeleton
and is concentrated at the apical end of the parasite. Orthologs of TgPhIL1 are
found in other apicomplexans, but the function of this conserved protein family
is unknown. As a first step towards determining the function of TgPhIL1 and its
orthologs, we generated a T. gondii parasite line in which the single copy of
TgPhIL1 was disrupted by homologous recombination. The TgPhIL1 knockout parasites
have a distinctly different morphology than wild-type parasites, and normal shape
is restored in the knockout background after complementation with the wild-type
allele. The knockout parasites are outcompeted in culture by parasites expressing
functional TgPhIL1, and they generate a reduced parasite load in the spleen and
liver of infected mice. These findings demonstrate a role for TgPhIL1 in the
morphology, growth and fitness of T. gondii tachyzoites.
PMID- 21901149
TI - Molecular characterization of apricot germplasm from an old stone collection.
AB - Increasing germplasm erosion requires the recovery and conservation of
traditional cultivars before they disappear. Here we present a particular case in
Spain where a thorough prospection of local fruit tree species was performed in
the 1950s with detailed data of the origin of each genotype but, unfortunately,
the accessions are no longer conserved in ex situ germplasm collections. However,
for most of those cultivars, an old stone collection is still preserved. In order
to analyze the diversity present at the time when the prospection was made and to
which extent variability has been eroded, we developed a protocol in apricot
(Prunus armeniaca L.) to obtain DNA from maternal tissues of the stones of a
sufficient quality to be amplified by PCR. The results obtained have been
compared with the results from the profiles developed from apricot cultivars
currently conserved in ex situ germplasm collections. The results highlight the
fact that most of the old accessions are not conserved ex situ but provide a tool
to prioritize the recovery of particular cultivars. The approach used in this
work can also be applied to other plant species where seeds have been preserved.
PMID- 21901150
TI - Effect of heating and glycation on the allergenicity of 2S albumins (Ara h 2/6)
from peanut.
AB - BACKGROUND: Peanut allergy is one of the most common and severe food allergies,
and processing is known to influence the allergenicity of peanut proteins. We
aimed to establish the effect of heating and glycation on the IgE-binding
properties and biological activity of 2S albumins (Ara h 2/6) from peanut.
METHODOLOGY/PRINCIPAL FINDINGS: Native Ara h 2/6 was purified from raw peanuts
and heated in solution (15 min, 110 degrees C) in the presence or absence of
glucose. Ara h 2 and 6 were also purified from roasted peanut. Using PBMC and
sera from peanut-allergic patients, the cellular proliferative potency and IgE
reactivity (reverse EAST inhibition) and functionality (basophil degranulation
capacity) of allergens were assessed. Heating Ara h 2/6 at 110 degrees C resulted
in extensive denaturation, hydrolysis and aggregation of the protein, whilst Ara
h 2 and 6 isolated from roasted peanut retained its native conformation. Allergen
stimulation of PBMC induced proliferation and Th2 cytokine secretion which was
unaffected by thermal processing. Conversely, IgE reactivity and functionality of
Ara h 2/6 was decreased by heating. Whilst heating-glycation further reduced the
IgE binding capacity of the proteins, it moderated their loss of histamine
releasing capacity. Ara h 2 and 6 purified from roasted peanut demonstrated the
same IgE reactivity as unheated, native Ara h 2/6. CONCLUSIONS/SIGNIFICANCE:
Although no effect of processing on T-cell reactivity was observed, heat induced
denaturation reduced the IgE reactivity and subsequent functionality of Ara h
2/6. Conversely, Ara h 2 and 6 purified from roasted peanut retained the
structure and IgE reactivity/functionality of the native protein which may
explain the allergenic potency of this protein. Through detailed molecular study
and allergenicity assessment approaches, this work then gives new insights into
the effect of thermal processing on structure/allergenicity of peanut proteins.
PMID- 21901151
TI - 3D quantitative imaging of unprocessed live tissue reveals epithelial defense
against bacterial adhesion and subsequent traversal requires MyD88.
AB - While a plethora of in vivo models exist for studying infectious disease and its
resolution, few enable factors involved in the maintenance of health to be
studied in situ. This is due in part to a paucity of tools for studying
subtleties of bacterial-host interactions at a cellular level within live organs
or tissues, requiring investigators to rely on overt outcomes (e.g. pathology) in
their research. Here, a suite of imaging technologies were combined to enable 3D
and temporal subcellular localization and quantification of bacterial
distribution within the murine cornea without the need for tissue processing or
dissection. These methods were then used to demonstrate the importance of MyD88,
a central adaptor protein for Toll-Like Receptor (TLR) mediated signaling, in
protecting a multilayered epithelium against both adhesion and traversal by the
opportunistic bacterial pathogen Pseudomonas aeruginosa ex vivo and in vivo.
PMID- 21901152
TI - Protein C mutation (A267T) results in ER retention and unfolded protein response
activation.
AB - BACKGROUND: Protein C (PC) deficiency is associated with a high risk of venous
thrombosis. Recently, we identified the PC-A267T mutation in a patient with PC
deficiency and revealed by in vitro studies decreased intracellular and secreted
levels of the mutant. The aim of the present study was to characterize the
underlying mechanism(s). METHODOLOGY/PRINCIPAL FINDINGS: CHO-K1 cells stably
expressing the wild-type (PC-wt) or the PC mutant were generated. In order to
examine whether the PC mutant was subjected to increased intracellular
degradation, the cells were treated with several inhibitors of various
degradation pathways and pulse-chase experiments were performed. Protein
chaperone complexes were analyzed by treating the cells with a cross-linker
followed by Western blotting (WB). Expression levels of the immunoglobulin
binding protein (BiP) and the phosphorylated eukaryotic initiation factor 2alpha
(P-eIF2alpha), both common ER stress markers, were determined by WB to examine if
the mutation induced ER stress and unfolded protein response (UPR) activation. We
found no major differences in the intracellular degradation between the PC
variants. The PC mutant was retained in the endoplasmic reticulum (ER) and had
increased association with the Grp-94 and calreticulin chaperones. Retention of
the PC-A267T in ER resulted in UPR activation demonstrated by increased
expression levels of the ER stress markers BiP and P-eIF2alpha and caused also
increased apoptotic activity in CHO-K1 cells as evidenced by elevated levels of
DNA fragmentation. CONCLUSIONS/SIGNIFICANCE: The reduced intracellular level and
impaired secretion of the PC mutant were due to retention in ER. In contrast to
other PC mutations, retention of the PC-A267T in ER resulted in minor increased
proteasomal degradation, rather it induced ER stress, UPR activation and
apoptosis.
PMID- 21901153
TI - The lipid-sensor candidates CD36 and GPR120 are differentially regulated by
dietary lipids in mouse taste buds: impact on spontaneous fat preference.
AB - BACKGROUND: Recent studies in rodents and humans suggest that the chemoreception
of long-chain fatty acids (LCFA) in oral cavity is involved in the spontaneous
preference for fatty foods and might contribute to the obesity risk. CD36 and
GPR120 are LCFA receptors identified in rodent taste bud cells. The fact that
CD36 or GPR120 gene inactivation leads to a decrease in the preference for lipids
raises the question of the respective role(s) played by these gustatory lipid
sensor candidates. METHODOLOGY/PRINCIPAL FINDINGS: Using a combination of
biochemical, nutritional and behavioural studies in wild-type, CD36(+/-)and CD36(
/-) mice, it was found that: 1 degrees ) CD36 and GPR120 display different
diurnal rhythms in the gustatory circumvallate papillae, CD36 mRNA levels being
down-regulated during the dark period in contrast to GPR120, 2 degrees ) this
change is due to food intake and strictly dependent of the presence of lipids in
the diet, 3 degrees ) CD36 protein levels are also rapidly but transiently
decreased by the food intake, a two-fold drop in CD36 protein levels being found
1 h after refeeding, followed by a progressive return to the pre-prandial values,
4 degrees ) this down-regulation, which has a post-transcriptional origin, seems
sufficient to alter the spontaneous fat preference, independently to change in
the GPR120 gene expression. CONCLUSIONS/SIGNIFICANCE: In contrast to GPR120, CD36
appears to be a food-sensitive lipid sensor in the gustatory circumvallate
papillae. Lipid-mediated change in lingual CD36 expression might modulate the
motivation for fat during a meal, initially high and then gradually decreasing
secondary to the food intake. This short-term lipid-mediated effect is
reminiscent of sensory-specific satiety. These findings, which highlight the role
played by CD36 in the oro-sensory perception of dietary lipids, raise the
possibility of novel pharmacological strategies to modify attraction for fatty
foods and decrease obesity risks.
PMID- 21901154
TI - Odour maps in the brain of butterflies with divergent host-plant preferences.
AB - Butterflies are believed to use mainly visual cues when searching for food and
oviposition sites despite that their olfactory system is morphologically similar
to their nocturnal relatives, the moths. The olfactory ability in butterflies
has, however, not been thoroughly investigated. Therefore, we performed the first
study of odour representation in the primary olfactory centre, the antennal
lobes, of butterflies. Host plant range is highly variable within the butterfly
family Nymphalidae, with extreme specialists and wide generalists found even
among closely related species. Here we measured odour evoked Ca(2+) activity in
the antennal lobes of two nymphalid species with diverging host plant
preferences, the specialist Aglais urticae and the generalist Polygonia c-album.
The butterflies responded with stimulus-specific combinations of activated
glomeruli to single plant-related compounds and to extracts of host and non-host
plants. In general, responses were similar between the species. However, the
specialist A. urticae responded more specifically to its preferred host plant,
stinging nettle, than P. c-album. In addition, we found a species-specific
difference both in correlation between responses to two common green leaf
volatiles and the sensitivity to these compounds. Our results indicate that these
butterflies have the ability to detect and to discriminate between different
plant-related odorants.
PMID- 21901155
TI - MEF2C enhances dopaminergic neuron differentiation of human embryonic stem cells
in a parkinsonian rat model.
AB - Human embryonic stem cells (hESCs) can potentially differentiate into any cell
type, including dopaminergic neurons to treat Parkinson's disease (PD), but
hyperproliferation and tumor formation must be avoided. Accordingly, we use
myocyte enhancer factor 2C (MEF2C) as a neurogenic and anti-apoptotic
transcription factor to generate neurons from hESC-derived neural stem/progenitor
cells (NPCs), thus avoiding hyperproliferation. Here, we report that forced
expression of constitutively active MEF2C (MEF2CA) generates significantly
greater numbers of neurons with dopaminergic properties in vitro. Conversely,
RNAi knockdown of MEF2C in NPCs decreases neuronal differentiation and dendritic
length. When we inject MEF2CA-programmed NPCs into 6-hydroxydopamine-lesioned
parkinsonian rats in vivo, the transplanted cells survive well, differentiate
into tyrosine hydroxylase-positive neurons, and improve behavioral deficits to a
significantly greater degree than non-programmed cells. The enriched generation
of dopaminergic neuronal lineages from hESCs by forced expression of MEF2CA in
the proper context may prove valuable in cell-based therapy for CNS disorders
such as PD.
PMID- 21901156
TI - Lowe Syndrome protein OCRL1 supports maturation of polarized epithelial cells.
AB - Mutations in the inositol polyphosphate 5-phosphatase OCRL1 cause Lowe Syndrome,
leading to cataracts, mental retardation and renal failure. We noted that cell
types affected in Lowe Syndrome are highly polarized, and therefore we studied
OCRL1 in epithelial cells as they mature from isolated individual cells into
polarized sheets and cysts with extensive communication between neighbouring
cells. We show that a proportion of OCRL1 targets intercellular junctions at the
early stages of their formation, co-localizing both with adherens junctional
components and with tight junctional components. Correlating with this
distribution, OCRL1 forms complexes with junctional components alpha-catenin and
zonula occludens (ZO)-1/2/3. Depletion of OCRL1 in epithelial cells growing as a
sheet inhibits maturation; cells remain flat, fail to polarize apical markers and
also show reduced proliferation. The effect on shape is reverted by re-expressed
OCRL1 and requires the 5'-phosphatase domain, indicating that down-regulation of
5-phosphorylated inositides is necessary for epithelial development. The effect
of OCRL1 in epithelial maturation is seen more strongly in 3-dimensional
cultures, where epithelial cells lacking OCRL1 not only fail to form a central
lumen, but also do not have the correct intracellular distribution of ZO-1,
suggesting that OCRL1 functions early in the maturation of intercellular
junctions when cells grow as cysts. A role of OCRL1 in junctions of polarized
cells may explain the pattern of organs affected in Lowe Syndrome.
PMID- 21901157
TI - Recapitulation of fibromatosis nodule by multipotential stem cells in
immunodeficient mice.
AB - Musculoskeletal fibromatosis remains a disease of unknown etiology. Surgical
excision is the standard of care, but the recurrence rate remains high.
Superficial fibromatosis typically presents as subcutaneous nodules caused by
rapid myofibroblast proliferation followed by slow involution to dense acellular
fibrosis. In this study, we demonstrate that fibromatosis stem cells (FSCs) can
be isolated from palmar nodules but not from cord or normal palm tissues. We
found that FSCs express surface markers such as CD29, CD44, CD73, CD90, CD105,
and CD166 but do not express CD34, CD45, or CD133. We also found that FSCs are
capable of expanding up to 20 passages, that these cells include myofibroblasts,
osteoblasts, adipocytes, chondrocytes, hepatocytes, and neural cells, and that
these cells possess multipotentiality to develop into the three germ layer cells.
When implanted beneath the dorsal skin of nude mice, FSCs recapitulated human
fibromatosis nodules. Two weeks after implantation, the cells expressed
immunodiagnostic markers for myofibroblasts such as alpha-smooth muscle actin and
type III collagen. Two months after implantation, there were fewer myofibroblasts
and type I collagen became evident. Treatment with the antifibrogenic compound
Trichostatin A (TSA) inhibited the proliferation and differentiation of FSCs in
vitro. Treatment with TSA before or after implantation blocked formation of
fibromatosis nodules. These results suggest that FSCs are the cellular origin of
fibromatosis and that these cells may provide a promising model for developing
new therapeutic interventions.
PMID- 21901158
TI - Genome-wide detection of allele specific copy number variation associated with
insulin resistance in African Americans from the HyperGEN study.
AB - African Americans have been understudied in genome wide association studies of
diabetes and related traits. In the current study, we examined the joint
association of single nucleotide polymorphisms (SNPs) and copy number variants
(CNVs) with fasting insulin and an index of insulin resistance (HOMA-IR) in the
HyperGEN study, a family based study with proband ascertainment for hypertension.
This analysis is restricted to 1,040 African Americans without diabetes. We
generated allele specific CNV genotypes at 872,243 autosomal loci using
Birdsuite, a freely available multi-stage program. Joint tests of association for
SNPs and CNVs were performed using linear mixed models adjusting for covariates
and familial relationships. Our results highlight SNPs associated with fasting
insulin and HOMA-IR (rs6576507 and rs8026527, 3.7*10(-7)<=P<=1.1*10(-5)) near
ATPase, class V, type 10A (ATP10A), and the L Type voltage dependent calcium
channel (CACNA1D, rs1401492, P<=5.2*10(-6)). ATP10A belongs to a family of
aminophospholipid-transporting ATPases and has been associated with type 2
diabetes in mice. CACNA1D has been linked to pancreatic beta cell generation in
mice. The two most significant copy variable markers (rs10277702 and rs361367;
P<2.0*10(-4)) were in the beta variable region of the T-cell receptor gene
(TCRVB). Human and mouse TCR has been shown to mimic insulin and its receptor and
could contribute to insulin resistance. Our findings differ from genome wide
association studies of fasting insulin and other diabetes related traits in
European populations, highlighting the continued need to investigate unique
genetic influences for understudied populations such as African Americans.
PMID- 21901159
TI - Serratia marcescens is able to survive and proliferate in autophagic-like
vacuoles inside non-phagocytic cells.
AB - Serratia marcescens is an opportunistic human pathogen that represents a growing
problem for public health, particularly in hospitalized or immunocompromised
patients. However, little is known about factors and mechanisms that contribute
to S. marcescens pathogenesis within its host. In this work, we explore the
invasion process of this opportunistic pathogen to epithelial cells. We
demonstrate that once internalized, Serratia is able not only to persist but also
to multiply inside a large membrane-bound compartment. This structure displays
autophagic-like features, acquiring LC3 and Rab7, markers described to be
recruited throughout the progression of antibacterial autophagy. The majority of
the autophagic-like vacuoles in which Serratia resides and proliferates are non
acidic and have no degradative properties, indicating that the bacteria are
capable to either delay or prevent fusion with lysosomal compartments, altering
the expected progression of autophagosome maturation. In addition, our results
demonstrate that Serratia triggers a non-canonical autophagic process before
internalization. These findings reveal that S. marcescens is able to manipulate
the autophagic traffic, generating a suitable niche for survival and
proliferation inside the host cell.
PMID- 21901161
TI - Patterns of gene expression in Drosophila InsP3 receptor mutant larvae reveal a
role for InsP3 signaling in carbohydrate and energy metabolism.
AB - BACKGROUND: The Inositol 1,4,5-trisphosphate receptor (InsP(3)R) is an InsP(3)
gated intracellular Ca(2+)-release channel. Characterization of Drosophila
mutants for the InsP(3)R has demonstrated that InsP(3)-mediated Ca(2+) release is
required in Drosophila larvae for growth and viability. METHODOLOGY/PRINCIPAL
FINDINGS: To understand the molecular basis of these growth defects a genome wide
microarray analysis has been carried out with larval RNA obtained from a strong
InsP(3)R mutant combination in which 1504 independent genes were differentially
regulated with a log(2) of fold change of 1 or more and P<0.05. This was followed
by similar transcript analyses from InsP(3)R mutants where growth defects were
either suppressed by introduction of a dominant suppressor or rescued by ectopic
expression of an InsP(3)R transgene in the Drosophila insulin like peptide-2
(Dilp2) producing cells. CONCLUSIONS/SIGNIFICANCE: These studies show that
expression of transcripts related to carbohydrate and amine metabolism is altered
in InsP(3) receptor mutant larvae. Moreover, from a comparative analysis of genes
that are regulated in the suppressed and rescued conditions with the mutant
condition, it appears that the organism could use different combinations of
pathways to restore a 'normal' growth state.
PMID- 21901160
TI - Maternal obesity during gestation impairs fatty acid oxidation and mitochondrial
SIRT3 expression in rat offspring at weaning.
AB - In utero exposure to maternal obesity increases the offspring's risk of obesity
in later life. We have also previously reported that offspring of obese rat dams
develop hepatic steatosis, mild hyperinsulinemia, and a lipogenic gene signature
in the liver at postnatal day (PND)21. In the current study, we examined systemic
and hepatic adaptations in male Sprague-Dawley offspring from lean and obese dams
at PND21. Indirect calorimetry revealed decreases in energy expenditure (p<0.001)
and increases in RER values (p<0.001), which were further exacerbated by high fat
diet (45% kcals from fat) consumption indicating an impaired ability to utilize
fatty acids in offspring of obese dams as analyzed by PRCF. Mitochondrial
function is known to be associated with fatty acid oxidation (FAO) in the liver.
Several markers of hepatic mitochondrial function were reduced in offspring of
obese dams. These included SIRT3 mRNA (p = 0.012) and mitochondrial protein
content (p = 0.002), electron transport chain complexes (II, III, and ATPase),
and fasting PGC-1alpha mRNA expression (p<0.001). Moreover, hepatic LCAD, a SIRT3
target, was not only reduced 2-fold (p<0.001) but was also hyperacetylated in
offspring of obese dams (p<0.005) suggesting decreased hepatic FAO. In
conclusion, exposure to maternal obesity contributes to early perturbations in
whole body and liver energy metabolism. Mitochondrial dysfunction may be an
underlying event that reduces hepatic fatty acid oxidation and precedes the
development of detrimental obesity associated co-morbidities such as insulin
resistance and NAFLD.
PMID- 21901163
TI - Asymmetry in species regional dispersal ability and the neutral theory.
AB - The neutral assumption that individuals of either the same or different species
share exactly the same birth, death, migration, and speciation probabilities is
fundamental yet controversial to the neutral theory. Several theoretical studies
have demonstrated that a slight difference in species per capita birth or death
rates can have a profound consequence on species coexistence and community
structure. Whether asymmetry in migration, a vital demographic parameter in the
neutral model, plays an important role in community assembly still remains
unknown. In this paper, we relaxed the ecological equivalence assumption of the
neutral model by introducing differences into species regional dispersal ability.
We investigated the effect of asymmetric dispersal on the neutral local community
structure. We found that per capita asymmetric dispersal among species could
reduce species richness of the local community and result in deviations of
species abundance distributions from those predicted by the neutral model. But
the effect was moderate compared with that of asymmetries in birth or death
rates, unless very large asymmetries in dispersal were assumed. A large
difference in species dispersal ability, if there is, can overwhelm the role of
random drift and make local community dynamics deterministic. In this case,
species with higher regional dispersal abilities tended to dominate in the local
community. However, the species abundance distribution of the local community
under asymmetric dispersal could be well fitted by the neutral model, but the
neutral model generally underestimated the fundamental biodiversity number but
overestimated the migration rate in such communities.
PMID- 21901162
TI - Molecular genetic analysis of 103 sporadic colorectal tumours in Czech patients.
AB - The Czech Republic has one of the highest incidences of colorectal cancer (CRC)
in Europe. To evaluate whether sporadic CRCs in Czech patients have specific
mutational profiles we analysed somatic genetic changes in known CRC genes (APC,
KRAS, TP53, CTNNB1, MUTYH and BRAF, loss of heterozygosity (LOH) at the APC
locus, microsatellite instability (MSI), and methylation of the MLH1 promoter) in
103 tumours from 102 individuals. The most frequently mutated gene was APC (68.9%
of tumours), followed by KRAS (31.1%), TP53 (27.2%), BRAF (8.7%) and CTNNB1
(1.9%). Heterozygous germline MUTYH mutations in 2 patients were unlikely to
contribute to the development of their CRCs. LOH at the APC locus was found in
34.3% of tumours, MSI in 24.3% and MLH1 methylation in 12.7%. Seven tumours
(6.9%) were without any changes in the genes tested. The analysis yielded several
findings possibly specific for the Czech cohort. Somatic APC mutations did not
cluster in the mutation cluster region (MCR). Tumours with MSI but no MLH1
methylation showed earlier onset and more severe mutational profiles compared to
MSI tumours with MLH1 methylation. TP53 mutations were predominantly located
outside the hot spots, and transitions were underrepresented. Our analysis
supports the observation that germline MUTYH mutations are rare in Czech
individuals with sporadic CRCs. Our findings suggest the influence of specific
ethnic genetic factors and/or lifestyle and dietary habits typical for the Czech
population on the development of these cancers.
PMID- 21901164
TI - Inactivation of a single copy of Crebbp selectively alters pre-mRNA processing in
mouse hematopoietic stem cells.
AB - Global expression analysis of fetal liver hematopoietic stem cells (FL HSCs)
revealed the presence of unspliced pre-mRNA for a number of genes in normal FL
HSCs. In a subset of these genes, Crebbp+/- FL HSCs had less unprocessed pre-mRNA
without a corresponding reduction in total mRNA levels. Among the genes thus
identified were the key regulators of HSC function Itga4, Msi2 and Tcf4. A
similar but much weaker effect was apparent in Ep300+/- FL HSCs, indicating that,
in this context as in others, the two paralogs are not interchangeable. As a
group, the down-regulated intronic probe sets could discriminate adult HSCs from
more mature cell types, suggesting that the underlying mechanism is regulated
with differentiation stage and is active in both fetal and adult hematopoiesis.
Consistent with increased myelopoiesis in Crebbp hemizygous mice, targeted
reduction of CREBBP abundance by shRNA in the multipotent EML cell line triggered
spontaneous myeloid differentiation in the absence of the normally required
inductive signals. In addition, differences in protein levels between
phenotypically distinct EML subpopulations were better predicted by taking into
account not only the total mRNA signal but also the amount of unspliced message
present. CREBBP thus appears to selectively influence the timing and degree of
pre-mRNA processing of genes essential for HSC regulation and thereby has the
potential to alter subsequent cell fate decisions in HSCs.
PMID- 21901165
TI - No evidence for early modulation of evoked responses in primary visual cortex to
irrelevant probe stimuli presented during the attentional blink.
AB - BACKGROUND: During rapid serial visual presentation (RSVP), observers often miss
the second of two targets if it appears within 500 ms of the first. This
phenomenon, called the attentional blink (AB), is widely held to reflect a
bottleneck in the processing of rapidly sequential stimuli that arises after
initial sensory registration is complete (i.e., at a relatively late, post
perceptual stage of processing). Contrary to this view, recent fMRI studies have
found that activity in the primary visual area (V1), which represents the
earliest cortical stage of visual processing, is attenuated during the AB. Here
we asked whether such changes in V1 activity during the AB arise in the initial
feedforward sweep of stimulus input, or instead reflect the influence of feedback
signals from higher cortical areas. METHODOLOGY/PRINCIPAL FINDINGS: EEG signals
were recorded while participants monitored a sequential stream of distractor
letters for two target digits (T1 and T2). Neural responses associated with an
irrelevant probe stimulus presented simultaneously with T2 were measured using an
ERP marker--the C1 component--that reflects initial perceptual processing of
visual information in V1. As expected, T2 accuracy was compromised when the inter
target interval was brief, reflecting an AB deficit. Critically, however, the
magnitude of the early C1 component evoked by the probe was not reduced during
the AB. CONCLUSIONS/SIGNIFICANCE: Our finding that early sensory processing of
irrelevant probe stimuli is not suppressed during the AB is consistent with
theoretical models that assume that the bottleneck underlying the AB arises at a
post-perceptual stage of processing. This suggests that reduced neural activity
in V1 during the AB is driven by re-entrant signals from extrastriate areas that
regulate early cortical activity via feedback connections with V1.
PMID- 21901166
TI - The Role of BH3-Only Proteins in Tumor Cell Development, Signaling, and
Treatment.
AB - Tumor cells have devised several strategies to block the mitochondrial pathway of
apoptosis despite endogenous or pharmacological cues to die. This process of cell
death proceeds through the coordinated regulation of multiple anti-apoptotic and
pro-apoptotic BCL-2 family proteins that ultimately impinge on the integrity of
the outer mitochondrial membrane. Once compromised, mitochondria release pro
apoptotic factors to promote caspase activation and the apoptotic phenotype.
Within the BCL-2 family exists a subclass of pro-apoptotic members termed the BH3
only proteins, which directly and/or indirectly functionally regulate the
remaining anti- and pro-apoptotic BCL-2 proteins to compromise mitochondria and
engage apoptosis. The focus of this review is to discuss the cellular and
pharmacological regulation of the BH3-only proteins to gain a better
understanding of the signaling pathways and agents that regulate this class of
proteins. As the BH3-only proteins increase cellular sensitivity to pro-apoptotic
agents such as chemotherapeutics, numerous small-molecule BH3 mimetics have been
developed and are currently in various phases of clinical trials. Toward the end
of the review, the discovery and application of the small-molecule BH3 mimetics
will be discussed.
PMID- 21901167
TI - Cooperative Cross-Talk between Neuroblastoma Subtypes Confers Resistance to
Anaplastic Lymphoma Kinase Inhibition.
AB - Neuroblastoma is a pediatric solid tumor that can be stratified into stroma-rich
and stroma-poor histological subgroups. The stromal compartment of neuroblastoma
is composed mostly of Schwann cells, and they play critical roles in the
differentiation, survival, and angiogenic responses of tumor cells. In certain
neuroblastoma cell lines, the coexistence of neuroblastic N-type and substrate
adherent S-type is frequently observed. One such cell line, SK-N-SH, harbors a
F1174L oncogenic mutation in the anaplastic lymphoma kinase (ALK) gene. Treatment
of SK-N-SH with an ALK chemical inhibitor, TAE684, resulted in the outgrowth of S
type cells that expressed the Schwann cell marker, S100alpha6. Nucleotide
sequencing analysis of these TAE684-resistant (TR) sublines revealed the presence
of the ALK F1174L mutation, suggesting their tumor origin, although ALK protein
was not detected. Consistent with these findings, TR cells displayed
approximately 9-fold higher IC(50) values than N-type cells. Also, unlike N-type
cells, TR cells have readily detectable phosphorylated STAT3 but weaker
phosphorylated AKT. Under coculture conditions, TR cells conferred survival to N
type cells against the apoptotic effect of TAE684. Cocultivation also greatly
enhanced the overall phosphorylation of STAT3 and its transcriptional activity in
N-type cells. Finally, conditioned medium from TR clones enhanced cell viability
of N-type cells, and this effect was phosphatidylinositol 3-kinase dependent.
Taken together, these results demonstrate the ability of tumor-derived S-type
cells in protecting N-type cells against the apoptotic effect of an ALK kinase
inhibitor through upregulating prosurvival signaling.
PMID- 21901168
TI - Identification of an In Vivo MEK/WOX1 Complex as a Master Switch for Apoptosis in
T Cell Leukemia.
AB - Not all leukemia T cells are susceptible to high levels of phorbol myristate
acetate (PMA)-mediated apoptosis. At micromolar levels, PMA induces apoptosis of
Jurkat T cells by causing mitochondrial polarization/de-polarization, release of
cytosolic granules, and DNA fragmentation. Chemical inhibitors U0126 and PD98059
block mitogen-activated protein kinase kinase 1 (MEK1)-mediated phosphorylation
of extracellular signal-regulated kinase (ERK) and prevent apoptosis.
Mechanistically, proapoptotic tumor suppressor WOX1 (also named WWOX or FOR)
physically interacts with MEK1, in part, in the lysosomes in Jurkat cells. PMA
induces the dissociation, which leads to relocation of MEK1 to lipid rafts and
WOX1 to the mitochondria for causing apoptosis. U0126 inhibits PMA-induced
dissociation of WOX1/MEK1 complex and supports survival of Jurkat cells. In
contrast, less differentiated Molt-4 T cells are resistant to PMA-induced
dissociation of the WOX1/MEK1 complex and thereby are refractory to apoptosis.
U0126 overturns the resistance for enhancing apoptosis in Molt-4 cells. Together,
the in vivo MEK1/WOX1 complex is a master on/off switch for apoptosis in leukemia
T cells.
PMID- 21901169
TI - Lysophosphatidic Acid Stimulates the Proliferation of Ovarian Cancer Cells via
the gep Proto-Oncogene Galpha(12).
AB - Lysophosphatidic acid (LPA), an agonist that activates specific G protein-coupled
receptors, is present at an elevated concentration in the serum and ascitic fluid
of ovarian cancer patients. Although the increased levels of LPA have been linked
to the genesis and progression of different cancers including ovarian carcinomas,
the specific signaling conduit utilized by LPA in promoting different aspects of
oncogenic growth has not been identified. Here, we show that LPA stimulates both
migration and proliferation of ovarian cancer cells. Using multiple approaches,
we demonstrate that the stimulation of ovarian cancer cells with LPA results in a
robust and statistically significant proliferative response. Our results also
indicate that Galpha(12), the gep proto-oncogene, which can be stimulated by LPA
via specific LPA receptors, is overtly activated in a large array of ovarian
cancer cells. We further establish that LPA stimulates the rapid activation of
Galpha(12) in SKOV-3 cells and the expression of CT12, an inhibitory minigene of
Galpha(12) that disrupts LPAR-Galpha(12) interaction and potently inhibits such
activation. Using this inhibitory molecule as well as the shRNA approach, we show
that the inhibition of Galpha(12) or silencing of its expression drastically and
significantly attenuates LPA-mediated proliferation of ovarian cancer cell lines
such as SKOV3, Hey, and OVCAR-3. Together with our findings that the silencing of
Galpha(12) does not have any significant effect on LPA-mediated migratory
response of SKOV3 cells, our results point to a critical role for LPA-LPAR
Galpha(12) signaling in ovarian cancer cell proliferation and not in migration.
Thus, results presented here for the first time demonstrate that the gep proto
oncogene forms a specific node in LPA-LPAR-mediated mitogenic signaling in
ovarian cancer cells.
PMID- 21901170
TI - Ranpirnase Interferes with NF-kappaB Pathway and MMP9 Activity, Inhibiting
Malignant Mesothelioma Cell Invasiveness and Xenograft Growth.
AB - The ribonuclease ranpirnase (Onconase) has been used empirically to treat
malignant mesothelioma (MM) patients, and some of them had prolonged survivals.
The aim of this study was to investigate the mechanisms of the therapeutic
function of ranpirnase in MM cells. The effects of ranpirnase were studied in
vivo and in vitro on 2 MM cell lines (epithelioid REN and sarcomatoid PPM-Mill).
We found that ranpirnase was able to inhibit NF-kappaB nuclear translocation,
evaluated by cell fractionation and immunoblotting as well as by
immunofluorescence. Also, MMP9 secretion by MM cells was decreased by ranpirnase
treatment, as assessed by the reduction of metalloproteinase activity, evaluated
by zymography on culture-conditioned media. Ranpirnase induced apoptosis of MM
cells in vitro and in vivo, causing a powerful inhibition of MM tumor growth in
SCID xenografts, determined by In Vivo Imaging System (IVIS) of tumor cells
engineered by lentiviral transduction of the luciferase gene. Finally, mice
treated with ranpirnase showed a significantly prolonged survival. Our data
provide a mechanistic rationale to explain the beneficial antitumor activity
observed in some patients treated with ranpirnase and demonstrate that ranpirnase
interferes with the NF-kappaB pathway, thus influencing MM tumor cell
invasiveness and survival. It is hoped that this information will also facilitate
the identification of those patients who are more likely to benefit from this
drug and will also open a new frontier for the use of this drug in tumor types
other than MM.
PMID- 21901171
TI - Critical Role of c-Myc in Acute Myeloid Leukemia Involving Direct Regulation of
miR-26a and Histone Methyltransferase EZH2.
AB - Increased expression or aberrant activation of c-Myc plays an important role in
leukemogenesis. Here, we show that in acute myeloid leukemia (AML), c-Myc
directly controls the expression of EZH2, a component of the Polycomb repressive
complex 2, and miR-26a. miR-26a is downregulated in primary blasts from AML
patients and, during myeloid differentiation of AML cells, is induced together
with a decrease in c-Myc and Ezh2 levels. Previously, EZH2 was shown to be
regulated by miR-26a at the translational levels in lymphomas. However, we
demonstrate that in AML, the variation of EZH2 mainly depends on c-Myc
transcriptional control. We also show that enforced expression of miR-26a in AML
cells is able to inhibit cell cycle progression by downregulating cyclin E2
expression. In addition, increased levels of miR-26a potentiate the
antiproliferative effects of 1,25-dihydroxyvitamin D(3) (VitD) and stimulate
myeloid differentiation. Our results identify new molecular targets of c-Myc in
AML and highlight miR-26a attractiveness as a therapeutic target in leukemia.
PMID- 21901172
TI - LIF, a Novel STAT5-Regulated Gene, Is Aberrantly Expressed in Myeloproliferative
Neoplasms.
AB - A search for genes potentially regulated by STAT5 identified leukemia inhibitory
factor (LIF) as a good candidate. Using various experimental approaches, we have
validated LIF as a direct transcriptional target of STAT5 in myeloid cell lines:
STAT5 binds to LIF promoter, and LIF expression is increased after activation of
the JAK2/STAT5 pathway. We also found that LIF expression is significantly
increased in patients with chronic myeloproliferative neoplasms with and without
activating mutations of the pathway, indicating that LIF might play an important
role in STAT5-mediated oncogenesis.
PMID- 21901173
TI - Self Reports of Day-to-Day Function in a Small Cohort of People with Prodromal
and Early HD.
AB - Day-to-day functioning is a component of health-related quality of life and is an
important end point for therapies to treat Huntington Disease (HD). Specific
areas of day-to-day function changes have not been reported for prodromal or very
early stages of HD. An exploratory self-report telephone interview was conducted
with sixteen people with prodromal HD or early HD who met criteria designed to
capture research participants most near to motor diagnosis. All completed semi
structured interviews on function in nine aspects of day-to-day life. Out of 16,
14 reported changes in at least one area. All day-to-day function areas were
endorsed by at least one participant with driving being the most common area
endorsed by 11/16. Changes in ability to perform some day-to-day tasks are
experienced by people who are close to the time of clinical diagnosis for HD.
Functional ability is likely to be an important component of outcome assessments
of clinical trials and in ongoing clinical management.
PMID- 21901174
TI - Chronic hepatitis B infection: a workshop consensus statement and algorithm.
AB - Here, presented with an evidence-based algorithm, are workshop consensus
recommendations on whom to screen for hepatitis B and when to pursue further
evaluation and management.
PMID- 21901175
TI - The rebirth of the solo family doc.
PMID- 21901176
TI - A stroke -- or something else?
AB - The patient -- who'd had a CVA the year before -- was experiencing numbness and
weakness in her right leg and foot, and had an increasingly unsteady gait.
Initial lab work provided no clues.
PMID- 21901177
TI - Aspirin for CV prevention -- for which patients?
AB - Put your patient on aspirin? Take him off? Here's what you need to know to get it
right.
PMID- 21901178
TI - The mammography controversy: when should you screen?
AB - ACOG's new guidelines call for more frequent breast cancer screening. The USPSTF
recommends less. What's best for your patients?
PMID- 21901179
TI - PURLs: statins for patients with nonalcoholic fatty liver?
AB - Although physicians often avoid prescribing statins for patients with
nonalcoholic fatty liver, their use has been found to reduce cardiovascular
morbidity and mortality- and to lower liver enzymes.
PMID- 21901180
TI - Pruritic rash on trunk.
AB - The patient had been treated with topical antifungals and steroids without
relief, but a more detailed history suggested a serious infectious etiology.
PMID- 21901181
TI - Ready for flu season? The 2011-2012 ACIP recommendations.
AB - Strains in this year's vaccine are identical to last year's, but revaccination is
strongly recommended. Also, the dosing decision for children < 9 years has been
simplified.
PMID- 21901182
TI - Clinical inquiry: what risk factors contribute to C difficile diarrhea?
AB - Certain antibiotics and using 3 or more antibiotics at one time are associated
with Clostridium difficile-associated diarrhea. Hospital risk factors include
proximity to other patients with C difficile and longer length of stay. Patient
risk factors include advanced age and comorbid conditions. Acid suppression
medication is also a risk factor for CDAD.
PMID- 21901183
TI - Clinical inquiry: does brief physician counseling promote weight loss?
AB - In some cases, it may. While physician counseling alone isn't more effective for
weight loss than usual care, counseling (adults) as part of a multidisciplinary
intervention may promote modest (2-3 kg) weight loss over 1 year.
PMID- 21901184
TI - Clinical inquiry: what's the best way to relieve mastitis in breastfeeding
mothers?
AB - Frequent breast emptying helps both infectious and noninfectious mastitis.
Antibiotics may be useful for women with positive milk cultures, but their
utility for treating undifferentiated mastitis is unknown. Consider prescribing
antibiotics for women whose mastitis symptoms don' t improve after 12 to 24 hours
of frequent breast emptying.
PMID- 21901185
TI - On the chemical processing of hydrocarbon surfaces by fast oxygen ions.
AB - Solid methane (CH(4)), ethane (C(2)H(6)), and ethylene (C(2)H(4)) ices
(thickness: 120 +/- 40 nm; 10 K), as well as high-density polyethylene (HDPE:
[C(2)H(4)](n)) films (thickness: 130 +/- 20 nm; 10, 100, and 300 K), were
irradiated with mono-energetic oxygen ions (Phi ~ 6 * 10(15) cm(-2)) of a kinetic
energy of 5 keV to simulate the exposure of Solar System hydrocarbon ices and
aerospace polymers to oxygen ions sourced from the solar wind and planetary
magnetospheres. On-line Fourier-transform infrared spectroscopy (FTIR) was used
to identify the following O(+) induced reaction pathways in the solid-state: (i)
ethane formation from methane ice via recombination of methyl (CH(3)) radicals,
(ii) ethane conversion back to methane via methylene (CH(2)) retro-insertion,
(iii) ethane decomposing to acetylene via ethylene through successive hydrogen
elimination steps, and (iv) ethylene conversion to acetylene via hydrogen
elimination. No changes were observed in the irradiated PE samples via infrared
spectroscopy. In addition, mass spectrometry detected small abundances of
methanol (CH(3)OH) sublimed from the irradiated methane and ethane condensates
during controlled heating. The detection of methanol suggests an implantation and
neutralization of the oxygen ions within the surface where atomic oxygen (O) then
undergoes insertion into a C-H bond of methane. Atomic hydrogen (H) recombination
in forming molecular hydrogen and recombination of implanted oxygen atoms to
molecular oxygen (O(2)) are also inferred to proceed at high cross-sections. A
comparison of the reaction rates and product yields to those obtained from
experiments involving 5 keV electrons, suggests that the chemical alteration of
the hydrocarbon ice samples is driven primarily by electronic stopping
interactions and to a lesser extent by nuclear interactions.
PMID- 21901187
TI - Biomimetic fibronectin/mineral and osteogenic growth peptide/mineral composites
synthesized on calcium phosphate thin films.
AB - Composites of fibronectin/mineral and osteogenic growth peptide/mineral were
synthesized on calcium phosphate coated substrates immersed in Dulbecco's
phosphate-buffered saline solution containing biomolecules. The kinetics of
coprecipitation for two biomolecules was similar, and the biomolecules
participated in the formation of the crystal latticework and influenced the
mineral structure and composition.
PMID- 21901186
TI - Bacterial ATP-driven transporters of transition metals: physiological roles,
mechanisms of action, and roles in bacterial virulence.
AB - Maintaining adequate intracellular levels of transition metals is fundamental to
the survival of all organisms. While all transition metals are toxic at elevated
intracellular concentrations, metals such as iron, zinc, copper, and manganese
are essential to many cellular functions. In prokaryotes, the concerted action of
a battery of membrane-embedded transport proteins controls a delicate balance
between sufficient acquisition and overload. Representatives from all major
families of transporters participate in this task, including ion-gradient driven
systems and ATP-utilizing pumps. P-type ATPases and ABC transporters both utilize
the free energy of ATP hydrolysis to drive transport. Each of these very
different families of transport proteins has a distinct role in maintaining
transition metal homeostasis: P-type ATPases prevent intracellular overloading of
both essential and toxic metals through efflux while ABC transporters import
solely the essential ones. In the present review we discuss how each system is
adapted to perform its specific task from mechanistic and structural
perspectives. Despite the mechanistic and structural differences between P-type
ATPases and ABC transporters, there is one important commonality: in many
clinically relevant bacterial pathogens, transporters of transition metals are
essential for virulence. Here we present several such examples and discuss how
these may be exploited for future antibacterial drug development.
PMID- 21901188
TI - Site-selective DNA hydrolysis induced by a metal-free peptide nucleic acid-cyclen
conjugate.
AB - A metal-free artificial restriction DNA cutter which is composed of cyclen and
classical peptide nucleic acid (PNA) was synthesized. Analysis of DNA cleavage
products indicates the site-selective hydrolysis.
PMID- 21901189
TI - Developing transboundary river basin monitoring programmes using the DPSIR
indicator framework.
AB - Policymakers are often dissatisfied by the lack of what they consider useful
information to support water management. Analysis of this 'water information gap'
shows that this is caused by a lack of proper communication between information
users and information producers. To improve this communication the process of
specification of information needs has been structured. Earlier experiences
showed that this not only entailed developing a structure to manage the process,
but also developing a structure to guide the breakdown of policy objectives into
information needs. Such a structure to organise the problem supports policy
makers and monitoring specialists in their communication. This paper describes
three pilot projects where the DPSIR indicator framework was used to organise the
problem. It is concluded that the DPSIR framework is useful for improving the
communication between information users and information producers and is helpful
in breaking down policy objectives into information needs in a structured way.
The structured approach in this way assists in narrowing the water information
gap. Use of the DPSIR framework however leads to a bias towards water management
problems and does not provide for all the relevant information needs.
PMID- 21901190
TI - Photophysical and quantum chemical study on a J-aggregate forming perylene
bisimide monomer.
AB - Perylene bisimides (PBIs) are excellent dyes and versatile building blocks for
supramolecular structures. Only recently have PBIs been shown to depict
absorption characteristics of J-aggregates. We apply electronic structure
calculations and femtosecond pump-probe spectroscopy to the monomeric, bay
substituted building-block of a PBI aggregate in dichloromethane to investigate
its electronically excited states in order to provide the ingredients for the
description of excitons in the aggregates and their annihilation processes. The
PBI S(1)<-S(0) absorption spectrum and the S(1)->S(0) emission spectrum have been
assigned based on time-dependent Density Functional Theory calculations for the
geometry-optimized electronic ground state and excited state structures in the
gas phase. The monomeric absorption spectrum contains a strong transition at 580
nm and a broad shoulder between 575-500 nm, both features are attributed to a
vibrational progression with an effective vibrational mode of 1415 cm(-1) whose
major contributing vibrational normal modes are breathing modes of the perylene
body. The effective vibrational mode of the emission spectrum is characterized by
a frequency of 1369 cm(-1), whose major contributing vibrational normal modes are
characterized by perylene and phenol (bay-substituent) CH bendings. The S(n)<
S(1) excited state absorption spectrum is assigned based on Multi-Reference
Configuration Interaction methodology. Here, we identify three transitions which
give rise to two broad experimental features, one being located between 500 and
600 nm and the other one ranging from 650 to 750 nm.
PMID- 21901192
TI - Binding modes of oxalate in UO2(oxalate) in aqueous solution studied with first
principles molecular dynamics simulations. Implications for the chelate effect.
AB - Car-Parrinello molecular dynamics simulations are reported for aqueous
UO(2)(H(2)O)(n)(C(2)O(4)) (n = 3, 4), calling special attention to the binding
modes of oxalate and the thermodynamics of the so-called chelate effect. Based on
free energies from thermodynamic integration (BLYP functional), the
kappa(1),kappa(1')-binding mode of the oxalate (with one O atom from each
carboxylate coordinating) is more stable than kappa(2) (2 O atoms from the same
carboxylate) and kappa(1) forms by 23 and 39 kJ mol(-1), respectively. The free
energy of binding a fourth water ligand to UO(2)(H(2)O)(3)(kappa(1)-C(2)O(4)) is
computed to be low, 12 kJ mol(-1). Changes of the hydration shell about oxalate
during chelate opening are discussed. Composite enthalpies and free energies,
obtained from both experiment and quantum-chemical modeling, are proposed for the
formation of monodentate UO(2)(H(2)O)(4)(kappa(1)-C(2)O(4)). These data suggest
that the largest entropy change in the overall complex formation occurs at this
stage, and that the subsequent chelate closure under water release is essentially
enthalpy-driven.
PMID- 21901191
TI - Conformational changes of non-B DNA.
AB - In contrast to B-DNA that has a right-handed double helical structure with Watson
Crick base pairing under the ordinary physiological conditions, repetitive DNA
sequences under certain conditions have the potential to fold into non-B DNA
structures such as hairpin, triplex, cruciform, left-handed Z-form, tetraplex, A
motif, etc. Since the non-B DNA-forming sequences induce the genetic instability
and consequently can cause human diseases, the molecular mechanism for their
genetic instability has been extensively investigated. On the contrary, non-B DNA
can be widely used for application in biotechnology because many DNA breakage
hotspots are mapped in or near the sequences that have the potential to adopt non
B DNA structures. In addition, they are regarded as a fascinating material for
the nanotechnology using non-B DNAs because they do not produce any toxic
byproducts and are robust enough for the repetitive working cycle. This being the
case, an understanding on the mechanism and dynamics of their structural changes
is important. In this critical review, we describe the latest studies on the
conformational dynamics of non-B DNAs, with a focus on G-quadruplex, i-motif, Z
DNA, A-motif, hairpin and triplex (189 references).
PMID- 21901193
TI - Dynamic rewiring of the androgen receptor protein interaction network correlates
with prostate cancer clinical outcomes.
AB - The androgen receptor (AR) is a ligand-inducible transcription factor, a member
of the nuclear receptor superfamily, which plays an important role in the
development and progression of prostate cancer (CaP). The transformation to CaP
has been linked to several somatic AR gene mutations and changes in AR protein
complex formation, which in turn increase the potential activity of the receptor.
Thus, to address the mechanism of AR-mediated neoplastic transformation, we
developed in vitro methodology to isolate and characterize, via mass
spectrometry, AR complexes of three AR genetic variants: wild type-AR, and two
somatic gain-of-function AR prostatic mutants (T877A-AR and 0CAG-AR isoforms). To
fully characterize the significance of our large raw data set, we employed a
sophisticated systems biology approach to create an integrative protein
interaction network profile for each AR isoform. Our comparative analysis
identified subnetwork cluster profiles for AR isoforms (WT, T877A, and 0CAG) that
segregated AR isoforms on the basis of androgen stimulation conditions and mutant
aggressiveness. Furthermore, results from additional correlative gene microarray
analysis studies of all three AR isoform (WT, T877A, 0CAG) subnetwork clusters
were assessed and found to be significantly enriched in tumor versus normal
prostate tissues. We also identified two AR-interaction clusters, containing 21
and 30 proteins, respectively, that showed unfavourable prognosis outcome of
recurrent cancers, on the basis of PSA, Gleason score and combined PSA/Gleason
score. In conclusion, we have characterized a large panel of novel AR-interacting
proteins, through a combined proteomics/systems biology screen, that are of
clinical relevance and could potentially serve as novel markers for diagnosis and
prognosis of CaP.
PMID- 21901194
TI - Large-scale plasmonic microarrays for label-free high-throughput screening.
AB - Microarrays allowing simultaneous analysis of thousands of parameters can
significantly accelerate screening of large libraries of pharmaceutical compounds
and biomolecular interactions. For large-scale studies on diverse biomedical
samples, reliable, label-free, and high-content microarrays are needed. In this
work, using large-area plasmonic nanohole arrays, we demonstrate for the first
time a large-scale label-free microarray technology with over one million sensors
on a single microscope slide. A dual-color filter imaging method is introduced to
dramatically increase the accuracy, reliability, and signal-to-noise ratio of the
sensors in a highly multiplexed manner. We used our technology to quantitatively
measure protein-protein interactions. Our platform, which is highly compatible
with the current microarray scanning systems can enable a powerful screening
technology and facilitate diagnosis and treatment of diseases.
PMID- 21901195
TI - A DFT investigation of the potential of porous cages for the catalysis of ammonia
borane dehydrogenation.
AB - Full DFT based quantum mechanical studies reveal that zero dimensional porous
structures, especially the newly proposed phosphorus incorporated organic cages,
can be excellent catalysts for the dehydrogenation of ammonia borane.
PMID- 21901196
TI - The origin of regio- and enantioselectivity in the Rh/chiral 1,4-diene-catalyzed
addition of phenylboronic acid to enones: insights from DFT.
AB - A density functional theory study of the addition of phenylboronic acid to
cyclohexenone catalyzed by chiral 1,4-diene-Rh(I) catalyst reveals that 1,4
addition is thermodynamically preferred. The enthalpy-driven enantioselection
occurs during the carborhodation step and not the enone binding step, as
previously proposed. The chiral ligand selectively destabilizes the disfavored
transition state by making it "more early".
PMID- 21901197
TI - Turning a riboflavin-binding protein into a self-sufficient monooxygenase by
cofactor redesign.
AB - By cofactor redesign, self-sufficient monooxygenases could be prepared. Tight
binding of N-alkylated flavins to riboflavin-binding protein results in the
creation of artificial flavoenzymes capable of H(2)O(2)-driven enantioselective
sulfoxidations. By altering the flavin structure, opposite enantioselectivities
could be achieved, in accordance with the binding mode predicted by in silico
flavin-protein docking of the unnatural flavin cofactors. The study shows that
cofactor redesign is a viable approach to create artificial flavoenzymes with
unprecedented activities.
PMID- 21901198
TI - Blooming of confused porphyrinoids--fusion, expansion, contraction, and more
confusion.
AB - This article introduces an overview of progressively developing chemistry of N
confused porphyrin and related macrocycles. Study on confusion results in
discovery of fusion and combination with expansion or contraction has provided
uniquely important classes of porphyrinoids. Syntheses, properties and
coordination chemistry of such porphyrinoids are briefly described. Possibilities
in applications are also mentioned.
PMID- 21901199
TI - A gold(I)-catalyzed intramolecular oxidation-cyclopropanation sequence of 1,6
enynes: a convenient access to [n.1.0]bicycloalkanes.
AB - A gold(I)-catalyzed tandem oxidation/cyclopropanation reaction of 1,6-enynes with
an external oxidant has been developed. This quite simple and rapid strategy will
provide a safe, mild and versatile avenue to numerous carbo- and hetero
[n.1.0]bicyclic frameworks.
PMID- 21901200
TI - Lightly doping Ca2+ in perovskite PrCoO3 for tailored spin states and electrical
properties.
AB - A series of Pr(1-x)Ca(x)CoO(3) samples were prepared using a novel molten salt
reaction that is convenient to obtain single phases avoiding aggregates compared
to conventional solid state reactions. The formation reaction was monitored by X
ray diffraction combined with thermal analysis, and all samples were
characterized by X-ray diffraction, scanning electron microscopy, Fourier
transform infrared spectroscopy, and alternating current impedance. It is
demonstrated that all Pr(1-x)Ca(x)CoO(3) samples crystallized in a pure
orthorhombic perovskite structure. By increasing the doping level, the symmetry
of the orthorhombic structure was enhanced, and is followed by an increase in the
population of Co(3+) in the intermediate spin state. All samples exhibited
typical semiconducting behavior, showing conductivities highly dependent on the
Ca(2+) doping. The conduction for x = 0 shows a simple thermal activated process,
which changed into a Mott's variable range hopping mechanism for x > 0. By
increasing the Ca(2+) doping level, the relevant activation energy is decreased,
while the density of the localized electronic state is increased.
PMID- 21901201
TI - Long-range interactions between ultracold atoms and molecules including atomic
spin-orbit.
AB - We investigate theoretically the long-range electrostatic interactions between a
ground-state homonuclear alkali-metal dimer and an excited alkali-metal atom
taking into account its fine-structure. The interaction involves the combination
of first-order quadrupole-quadrupole and second-order dipole-dipole effects.
Depending on the considered species, the atomic spin-orbit may be comparable to
the atom-molecule electrostatic energy and to the dimer rotational structure.
Here we extend our general description in the framework of the second-order
degenerate perturbation theory [M. Lepers and O. Dulieu, Eur. Phys. J. D, 2011]
to various regimes induced by the magnitude of the atomic spin-orbit. A complex
dynamics of the atom-molecule may take place at large distances, which may have
consequences for the search for an universal model of ultracold inelastic
collisions as proposed for instance in the study of Z. Idziaszek and P. S.
Julienne [Phys. Rev. Lett.104, 2010, 113202].
PMID- 21901202
TI - Quantum-chemical predictions of redox potentials of carbamates in methanol.
AB - Redox potentials for two stepwise anodic oxidations of a series of carbamates in
methanolic solution have been calculated using ab initio and DFT quantum
mechanical methods. Hartree-Fock method and Density Functional Theory at the
B3LYP level, together with 6-31G(d), 6-31G(d,p) and 6-311++G(2df,2p) basis sets
have been used for the calculation. The Polarizable Continuum Model (PCM) is used
to describe the solute-solvent interactions of carbamates, and those of their
radical-cations and cations. Linear relationships were observed between the
theoretically predicted redox potential values and the corresponding anodic peak
potentials obtained by cyclic voltammetry or the corresponding calculated
energies of the Highest Occupied Molecular Orbital (HOMO) of these carbamates.
PMID- 21901203
TI - Role of aromaticity and charge of a system in its hydrogen trapping potential and
vice versa.
AB - Hydrogen storage capacity of some Li(+)/F(-) doped neutral and charged
aromatic/antiaromatic systems is studied at the B3LYP, M05-2X, MPW1K and MP2
levels of theory. Various conceptual density functional theory based global and
local reactivity descriptors, nucleus independent chemical shift (NICS), NICS
rate, interaction energy per H(2) molecule, reaction enthalpy and reaction
electrophilicity are used for this purpose. It is observed that there is a direct
bearing of the hydrogen adsorption capability on the aromaticity and/or the
charge of the system (or the charge on a specific center). The latter quantities
do also change on gradual hydrogen loading.
PMID- 21901204
TI - Evidence of zinc superoxide formation in the gas phase: comparisons in behaviour
between ligated Zn(I/II) and Cu(I/II) with regard to the attachment of O2 or H2O.
AB - Singly and doubly charged atomic ions of zinc and copper have been complexed with
pyridine and held in an ion trap. Complexes involving Zn(II) and Cu(I) (3d(10))
display a strong tendency to bind with H(2)O, whilst the Zn(I) (3d(10)4s(1))
complexes exhibit a strong preference for the attachment of O(2). DFT
calculations show that this latter result can be interpreted as internal
oxidation leading to the formation of superoxide complexes, [Zn(II)O(2)(
)](pyridine)(n), in the gas phase. The calculations also show that the oxidation
of Zn(I) to form Zn(II)O(2)(-) is promoted by a mixing of the occupied 4s and
vacant 4p orbitals on the metal cation, and that this process is facilitated by
the presence of the pyridine ligands.
PMID- 21901205
TI - Inhibiting copper(I) iodide aggregate assembly in the solid state via macrocyclic
encapsulation.
AB - Three CuI complexes of diimine-bearing macrocyclic ligands are described.
Reaction of CuI with macrocycles of different ring size gives rise to differing
degrees of aggregation of (CuI)(n) in the solid state. X-Ray diffraction studies
reveal that whereas macrocycles with smaller ring sizes give rise to simple
mononuclear CuI diimine complexes, a macrocycle of larger ring size affords a
dinuclear (CuI)(2) moiety, encompassed within the ligand ring. Thus, the
macrocycle can be seen to determine the extent of CuI aggregation in the solid
state.
PMID- 21901206
TI - Voltage-expandable liquid crystal surface.
AB - Based on dielectrophoretic effect, we report a novel approach which can
extensively spread a liquid crystal (LC) interface. With interdigitated striped
electrodes, the droplets can be stretched along the striped electrode direction;
while with zigzag interdigitated electrodes, the droplets can be further
stretched sidewise. In our demonstration, the occupied area of a 1.9-mm-aperture
LC droplet doped with 1.2 wt% black dye could be expanded over ~3.5* at 78
V(rms). The spreading and recovering times were measured to be ~0.39 s and ~0.75
s, respectively. The slower response time confirms the extreme expanding of the
LC surface. The contrast ratio is over ~120 : 1 in transmissive mode. Color light
switch was also demonstrated by spreading colored-dye doped LC droplets. The
mechanical stability of the device was also evaluated. Liquid devices based on
this cell structure have the advantages of good stability, simple operation and
low power consumption. This work opens a new gateway for voltage controllable,
polarization-insensitive, and broadband liquid photonic devices which may find
numerous applications in switchable windows, variable optical attenuators, and
displays.
PMID- 21901207
TI - A switchable digital microfluidic droplet dye-laser.
AB - Digital microfluidic devices allow the manipulation of droplets between two
parallel electrodes. These electrodes can act as mirrors generating a micro
cavity, which can be exploited for a droplet dye-laser. Three representative
laser-dyes with emission wavelengths spanning the whole visible spectrum are
chosen to show the applicability of this concept. Sub-microlitre droplets of
laser-dye solution are moved in and out of a lasing site on-chip to down-convert
the UV-excitation light into blue, green and red laser-pulses.
PMID- 21901208
TI - Linking biological activity with herbal constituents by systems biology-based
approaches: effects of Panax ginseng in type 2 diabetic Goto-Kakizaki rats.
AB - Although a number of animal experiments and clinical trials have investigated the
effects of ginseng roots on diabetes, the relationship between their therapeutic
effects on diabetes and the quality and the growth age of this herb have not yet
been reported. This study systematically investigated the effects of 3- to 6-year
old ginseng roots on glycemic and plasma lipid control in a rat model of type 2
diabetes. Six groups of male Goto-Kakizaki (GK) rats received either metformin, 3
to 6-year-old ginseng roots, or no treatment. The treatments were administered
twice daily for 9 weeks. A combined approach was used that involved applying
liquid chromatography-mass spectrometry-based lipidomics, measuring biochemical
parameters and profiling the components of ginseng roots of different ages.
Compared to the untreated controls, treatment with 4- and 6-year-old ginseng
roots significantly improved glucose disposal, and 5-year-old ginseng treatment
significantly increased high density lipoprotein cholesterol. Treatment with 6
year-old ginseng significantly decreased total plasma triacylglyceride (TG) and
very-low-density lipoprotein cholesterol and improved plasma glycated hemoglobin
(HbA1c). In addition, treatment with 4- to 6-year-old ginseng influenced plasma
lipidomics in diabetic GK rats by reducing TG lipid species. Metformin
significantly reduced fasting blood glucose by 41% and reduced HbA1c by 11%, but
showed no effects on the plasma lipid parameters. The present study demonstrates
that ginseng roots show growth age-dependent therapeutic effects on
hyperlipidemia and hyperglycemia in diabetic GK rats. These age-dependent effects
may be linked with the variation in both the ratios and concentrations of
specific bioactive ginsenosides in ginseng roots of different growth ages. This
study introduced novel systems biology-based approaches for linking biological
activities with potential active components in herbal mixtures.
PMID- 21901209
TI - Disorders associated with systemic or local iron overload: from pathophysiology
to clinical practice.
AB - In healthy subjects, the rate of dietary iron absorption, as well as the amount
and distribution of body iron are tightly controlled by hepcidin, the iron
regulatory hormone. Disruption of systemic iron homeostasis leads to pathological
conditions, ranging from anemias caused by iron deficiency or defective iron
traffic, to iron overload (hemochromatosis). Other iron-related disorders are
caused by misregulation of cellular iron metabolism, which results in local
accumulation of the metal in mitochondria. Brain iron overload is observed in
neurodegenerative disorders. Secondary hemochromatosis develops as a complication
of another disease. For example, repeated blood transfusions, a standard
treatment of various anemias characterized by ineffective erythropoiesis, promote
transfusional siderosis, while chronic liver diseases are often associated with
mild to moderate secondary iron overload. In this critical review, we discuss
pathophysiological and clinical aspects of all types of iron metabolism disorders
(265 references).
PMID- 21901210
TI - Light-emitting nanocomposite CdS-polymer electrospun fibres via in situ
nanoparticle generation.
AB - We report on the simple, in situ generation of CdS nanocrystals inside
electrospun polymer fibres by thermal decomposition of a cadmium thiolate
precursor, leading to nanocomposite light-emitting fibres. The modifications
induced in the precursor by the thermal decomposition are investigated by a
morphological, structural and spectroscopic analysis of the resulting
nanocomposite fibres. This approach allows us to overcome nanofabrication
difficulties related to disfavoured micro- or nanofluidic molecular flow as given
by the direct incorporation of particles in the electrospinning solution. This
method therefore enables the synthesis of luminescent, CdS-based composite fibres
with emission peaked in the visible range, suitable as building blocks for
nanophotonic devices based on light-emitting nanomaterials.
PMID- 21901211
TI - Macromolecular nanotheranostics for multimodal anticancer therapy.
AB - Macromolecular carrier materials based on N-(2-hydroxypropyl)methacrylamide
(HPMA) are prototypic and well-characterized drug delivery systems that have been
extensively evaluated in the past two decades, both at the preclinical and at the
clinical level. Using several different imaging agents and techniques, HPMA
copolymers have been shown to circulate for prolonged periods of time, and to
accumulate in tumors both effectively and selectively by means of the Enhanced
Permeability and Retention (EPR) effect. Because of this, HPMA-based
macromolecular nanotheranostics, i.e. formulations containing both drug and
imaging agents within a single formulation, have been shown to be highly
effective in inducing tumor growth inhibition in animal models. In patients,
however, as essentially all other tumor-targeted nanomedicines, they are
generally only able to improve the therapeutic index of the attached active agent
by lowering its toxicity, and they fail to improve the efficacy of the
intervention. Bearing this in mind, we have recently reasoned that because of
their biocompatibility and their beneficial biodistribution, nanomedicine
formulations might be highly suitable systems for combination therapies. In the
present manuscript, we briefly summarize several exemplary efforts undertaken in
this regard in our labs in the past couple of years, and we show that long
circulating and passively tumor-targeted macromolecular nanotheranostics can be
used to improve the efficacy of radiochemotherapy and of chemotherapy
combinations.
PMID- 21901212
TI - DNA damage spectra induced by photosensitization.
AB - DNA damage induced by photosensitization is not only responsible for the
genotoxic effects of various types of drugs in the presence of light, but is also
relevant for some of the adverse effects of sunlight, in particular in the UVA
and visible range of the spectrum. The types of DNA modifications induced are
very diverse and include pyrimidine dimers, covalent adducts, various base
modifications generated by oxidation, single-strand breaks and (regular and
oxidized) sites of base loss. The ratios in which the various modifications are
formed (damage spectra) can be regarded as a fingerprint of the damaging
mechanism. Here, we describe the damage spectra of various classes of
photosensitizers in relation to the underlying damaging mechanisms. In mammalian
cells irradiated with solar radiation, damage at wavelengths <400 nm is
characteristic for a (not yet identified) endogenous type-I or type-II
photosensitizer. In the UVA range, however, both direct DNA excitation and
photosensitized damage appear to be relevant, and there are indications that
other chromophore(s) are involved than in the visible range.
PMID- 21901213
TI - A non-enzymatic sensor for hydrogen peroxide based on polyaniline, multiwalled
carbon nanotubes and gold nanoparticles modified Au electrode.
AB - We describe the construction of a polyaniline (PANI), multiwalled carbon
nanotubes (MWCNTs) and gold nanoparticles (AuNPs) modified Au electrode for
determination of hydrogen peroxide without using peroxidase (HRP). The
AuNPs/MWCNT/PANI composite film deposited on Au electrode was characterized by
Scanning Electron Microscopy (SEM) and electrochemical methods. Cyclic
voltammetric (CV) studies of the electrode at different stages of construction
demonstrated that the modified electrode had enhanced electrochemical oxidation
of H(2)O(2), which offers a number of attractive features to develop amperometric
sensors based on split of H(2)O(2). The amperometric response to H(2)O(2) showed
a linear relationship in the range from 3.0 MUM to 600.0 MUM with a detection
limit of 0.3 MUM (S/N = 3) and with high sensitivity of 3.3 mA MUM(-1). The
sensor gave accurate and satisfactory results, when employed for determination of
H(2)O(2) in milk and urine.
PMID- 21901214
TI - Determination of concentration and enantiomeric excess of amines and amino
alcohols with a chiral nickel(II) complex.
AB - A chiral N,N'-dioxide-Ni(II) complex was found to exhibit highly enantioselective
fluorescent recognition of chiral amines and amino alcohols. It can be used to
determine their concentration and ee value. Using a 96-well plate, high
throughput screening for enantiomer discrimination was accomplished.
PMID- 21901215
TI - 1-Borabenzonitrile (B-cyanoboratabenzene).
AB - The reaction of 1-chloro-2-(trimethylsilyl)-1-boracyclohexa-2,5-diene with
[(n)Bu(4)N]C=N provides the 1-borabenzonitrile salt [(n)Bu(4)N][C(5)H(5)BC=N]
which in turn reacts with [Ru(4)(MU-Cl)(4)(eta-C(5)Me(5))(4)] to afford the
sandwich complex [Ru(eta(6)-C(5)H(5)BC=N)(eta-C(5)Me(5))]. The bonding of 1
borabenzonitrile is discussed with recourse to crystallographic data for
[(n)Bu(4)N][C(5)H(5)BC=N] and [Ru(eta(6)-C(5)H(5)BC=N)(eta-C(5)Me(5))].
PMID- 21901216
TI - Long-range linear elasticity and mechanical instability of self-scrolling
binormal nanohelices under a uniaxial load.
AB - Mechanical properties of self-scrolling binormal nanohelices with a rectangular
cross-section are investigated under uniaxial tensile and compressive loads using
nanorobotic manipulation and Cosserat curve theory. Stretching experiments
demonstrate that small-pitch nanohelices have an exceptionally large linear
elasticity region and excellent mechanical stability, which are attributed to
their structural flexibility based on an analytical model. In comparison between
helices with a circular, square and rectangular cross-section, modeling results
indicate that, while the binormal helical structure is stretched with a large
strain, the stress on the material remains low. This is of particular
significance for such applications as elastic components in micro
/nanoelectromechanical systems (MEMS/NEMS). The mechanical instability of a self
scrolling nanohelix under compressive load is also investigated, and the low
critical load for buckling suggests that the self-scrolling nanohelices are more
suitable for extension springs in MEMS/NEMS.
PMID- 21901217
TI - Unravelling UVA-induced mutagenesis.
AB - Ultraviolet A (UVA) radiation represents more than 90% of the solar UV radiation
reaching Earth's surface. Exposure to solar UV radiation is a major risk in the
occurrence of non-melanoma skin cancer. Whole genome sequencing data of melanoma
tumors recently obtained makes it possible also to definitively associate
malignant melanoma with sunlight exposure. Even though UVB has long been
established as the major cause of skin cancer, the relative contribution of UVA
is still unclear. In this review, we first report on the formation of DNA damage
induced by UVA radiation, and on recent advances on the associated mechanism. We
then discuss the controversial data on the UVA-induced mutational events obtained
for various types of eukaryotic cells, including human skin cells. This may help
unravel the role of UVA in the various steps of photocarcinogenesis. The
connection to photocarcinogenesis is more extensively discussed by other authors
in this issue.
PMID- 21901218
TI - Enzymatic methods for glyco(diversification/randomization) of drugs and small
molecules.
AB - Glyco (randomization/diversification) is a term that encompasses strategies to
diversify a core drug scaffold via enzymatic glycosylation to provide sets of
analogs wherein the sole diversity element is a carbohydrate. This review covers
the influence of glycosylation upon various drug properties, the classes of
glycosyl-conjugating enzymes amenable to glyco(randomization/diversification)
schemes, approaches to the synthesis of required substrates and specific examples
of glycorandomized libraries utilizing both wild-type and engineered enzymes.
PMID- 21901219
TI - A 'chemically-gated' photoresponsive compound as a visible detector for
organophosphorus nerve agents.
AB - We describe a versatile and convenient visible detection method for
organophosphorus compounds based on a colorless 'pro-photoresponsive' organic
molecule that undergoes photochemical ring-closing to produce a colored isomer
only after it reacts with vapors of the phosphorylating agent.
PMID- 21901220
TI - Oxidation of 10-undecenoic acid by cytochrome P450(BM-3) and its Compound I
transient.
AB - Oxidations of 10-undecenoic acid by cytochrome P450(BM-3) and its Compound I
transient were studied. The only product formed in Compound I oxidations was
10,11-epoxyundecanoic acid, whereas the enzyme under turnover conditions gave the
epoxide and 9-hydroxy-10-undecenoic acid in a 10 : 90 ratio. Kinetic studies at 0
degrees C of oxidations by Compounds I formed by MCPBA oxidation and by a photo
oxidation pathway gave the same results, displaying saturation kinetics that
yielded equilibrium binding constants and first-order oxidation rate constants
that were experimentally indistinguishable. Oxidation of 10-undecenoic acid by
Compound I from CYP119 generated by MCBPA oxidation also gave 10,11
epoxyundecanoic acid as the only product. CYP119 Compound I bound the substrate
less strongly but reacted with a faster oxidation rate constant than P450(BM-3)
Compound I. The kinetic parameters for oxidation of the substrate by P450(BM-3)
under turnover conditions were similar to those of the Compound I transient even
though the products differed.
PMID- 21901221
TI - Novel synthetic baicalein derivatives caused apoptosis and activated AMP
activated protein kinase in human tumor cells.
AB - Studies on the anti-proliferative activities of novel baicalein derivatives
demonstrated that compounds 8 and 9 were able to activate AMPK by enhancing the
levels of phosphorylated AMPKalpha, and showed more potent anti-proliferative
effects than baicalein and AICAR in A431, SK-OV-3, DU 145 and HeLa cells,
suggesting an alternative therapeutic approach for benzyl baicalein in cancer
therapy.
PMID- 21901222
TI - Cytosine-phosphodiester-guanine oligodeoxynucleotide (CpG ODN)-capped hollow
mesoporous silica particles for enzyme-triggered drug delivery.
AB - We designed, for the first time, an enzyme-triggered drug delivery system that is
based on cytosine-phosphodiester-guanine oligodeoxynucleotide (CpG ODN)-capped
hollow mesoporous silica (HMS) particles as carriers. Fluorescein dye was used as
a model drug, and the fluorescein loading, amino-grafting and CpG ODN capping
were evaluated by UV/Vis analysis, zeta potential and N(2) adsorption-desorption
measurements and gel electrophoresis. The fluorescein loading capacity and CpG
ODN capping amount were 37.7 and 39.6 MUg mg(-1), respectively at the weight
ratio of 10 Dye/HMS-NH(2)/CpG ODN. Importantly, fluorescein release can be
triggered by the addition of deoxyribonuclease I (DNase I) for CpG ODN
degradation, and the release rate can also be controlled by changing the DNase I
concentration. Therefore, it might be a promising controlled drug delivery system
for application in the field of biomedicine and cancer therapy.
PMID- 21901223
TI - Modeling the interactions between peptide functions and Sr2+: formamide-Sr2+
reactions in the gas phase.
AB - The interactions between formamide, which can be considered a prototype of a
peptide function, and Sr(2+) have been investigated by combining nanoelectrospray
ionization/mass spectrometry techniques and G96LYP DFT calculations. For Sr an
extended LANL2DZ basis set was employed, together with a 6-311+G(3df,2p) basis
set expansion for the remaining atoms of the system. The observed reactivity
seems to be dominated by the Coulomb explosion process yielding [SrOH](+) +
[HNCH](+), which are the most intense peaks in the MS/MS spectra. Nevertheless,
additional peaks corresponding to the loss of HNC and CO indicate that the
association of Sr(2+) to water or to ammonia leads to long-lived doubly charged
species detectable in the timescale of these experimental techniques. The
topology of the calculated potential energy surface permits us to establish the
mechanisms behind these processes. Although the interaction between the neutral
base and Sr(2+) is essentially electrostatic, the polarization triggered by the
doubly charged metal ion results in the activation of several bonds, and favors
different proton transfer mechanisms required for the formation of the [SrOH](+),
[SrOH(2)](2+) and [SrNH(3)](2+) products.
PMID- 21901224
TI - Unique Pt5 metallacycle: [Pt(II)Cl(pyrrolidinedithiocarbamate)]5.
AB - The neutral complex [PtCl(PyDT)](5) (PyDT = (CH(2))(4)NCS(2)(-)) represents the
first example of a Pt(5) metallacycle. This unique architecture based on chiral S
bridged Pt(II) monomers was prepared by thermal degradation of the reaction
product of PtCl(2) and a pyrrolidinedithioester.
PMID- 21901225
TI - Enhanced nanoflow behaviors of polymer melts using dispersed nanoparticles and
ultrasonic vibration.
AB - In the micro/nano fabrication of polymer nanostructures, a key factor is the
favorable nanoflow behavior of polymer melts. Compared with the fluidic
hydrodynamics of simple liquids through micro- or macrochannels, the nanoflow
behavior of polymer melts, however, is affected much more by nanoscale effects
and surface interactions. Therefore, achieving a favorable nanoflow of polymer
melts in nanochannels is the key to fabricate high quality polymer nanoproducts.
In this paper, the improved nanoflow behaviors of polystyrene melts in ordered
porous alumina templates with the addition of nanoparticles and ultrasonic
vibration were reported for the first time. Compared with bulk polystyrene (PS),
the nanoflow rate of PS melts was enhanced when nanoparticles, such as surface
modified nano-silica (nano-SiO(2)) or beta-cyclodextrin (beta-CD), were added in
a dispersed phase into a polystyrene matrix due to the decrease of the melts'
viscosity caused by interactions between nanoparticles and PS segments. The
enhancement action of beta-CD was observed to be more significant than that of
nano-SiO(2) based on the adsorption and the supramolecular self-assembly
interactions between PS segments and beta-CD. The enhanced nanoflow rate has
shown to be more pronounced under ultrasonic vibration than those of the static
condition and the low frequency vibration attributed to the synergetic effects of
mechanical vibration and ultrasonic oscillation. The nanoflow rate of polymer
melts increases with the gradual increase of vibration frequency. The optimal
nanoflow behavior can be obtained by simultaneously adding beta-CD as dispersed
phase into PS matrix and applying ultrasonic vibration in one nanoflow system.
These new findings will help the preparation of polymer-based functional
nanocomposites, ultrasonic vibration-assisted nanofluidics, and micro/nano
injection molding etc.
PMID- 21901227
TI - Prothrombin complex concentrate reduces blood loss and enhances thrombin
generation in a pig model with blunt liver injury under severe hypothermia.
AB - Although prothrombin complex concentrate (PCC) is increasingly used for the
treatment of trauma-induced coagulopathy, few studies have investigated the
impact and safety of PCC for this indication. The present study was performed to
assess PCC for treatment of coagulopathy after blunt liver injury under severe
hypothermia. Coagulopathy in 14 anaesthetised pigs was induced by haemodilution.
Subsequently, standardised blunt liver injury was induced under severe
hypothermia (32.8-33.2 degrees C). Animals were randomised to receive either PCC
(35 IU kg-1) or saline (control). Coagulation was assessed over the following 2
hours by thromboelastometry and thrombin generation. Internal organs were
examined to determine presence of emboli. The administration of PCC showed a
significant reduction in blood loss (p=0.002 vs. controls) and a significant
increase in the rate of survival (p=0.022 vs. controls). Plasma thrombin
generation in the PCC group increased considerably above baseline levels, with
significant increases in peak thrombin levels and endogenous thrombin potential
versus controls throughout the follow-up period. In addition, PT decreased
significantly in the PCC group versus the control group. However, only slight
improvements in thromboelastometry variables were observed. Histology showed an
equal degree of liver injury in both groups, and no thromboembolism. In severely
hypothermic pigs, the application of PCC corrected trauma-induced coagulopathy
and reduced blood loss. Thus, the infusion of PCC might be a reasonable approach
to reduce the need for blood cell transfusion in trauma. Furthermore, the impact
and safety of PCC application can be monitored through thrombin generation and
thromboelastometry under hypothermia.
PMID- 21901228
TI - Endothelial glycocalyx thickness and platelet-vessel wall interactions during
atherogenesis.
AB - The endothelial glycocalyx (EG), the luminal cover of endothelial cells, is
considered to be atheroprotective. During atherogenesis, platelets adhere to the
vessel wall, possibly triggered by simultaneous EG modulation. It was the
objective of this study to investigate both EG thickness and platelet-vessel wall
interactions during atherogenesis in the same experimental model. Intravital
fluorescence microscopy was used to study platelet-vessel wall interactions in
vivo in common carotid arteries and bifurcations of C57bl6/J (B6) and
apolipoprotein E knock-out (ApoE-/-) mice (age 7 - 31 weeks). At the same
locations, EG thickness was determined ex vivo using two-photon laser scanning
microscopy. In ApoE-/- bifurcations the overall median level of adhesion was 48
platelets/mm2 (interquartile range: 16 - 80), which was significantly higher than
in B6 bifurcations (0 (0 - 16), p = 0.001). This difference appeared to result
from a significant age-dependent increase in ApoE-/- mice, while no such change
was observed in B6 mice. At the same time, the EG in ApoE-/- bifurcations was
significantly thinner than in B6 bifurcations (2.2 vs. 2.5 MUm, respectively; p <
0.05). This resulted from the fact that in B6 bifurcations EG thickness increased
with age (from 2.4 MUm in young mice to 3.0 um in aged ones), while in
bifurcations of ApoE-/- mice this growth appeared to be absent (2.2 MUm at all
ages). During atherogenesis, platelet adhesion to the wall of the carotid artery
bifurcation increases significantly. At the same location, EG growth with age is
hampered. Therefore, glycocalyx-reinforcing strategies could possibly ameliorate
atherosclerosis.
PMID- 21901226
TI - A systematic overview of prospective cohort studies of cardiovascular disease in
sub-Saharan Africa.
AB - BACKGROUND: Cardiovascular diseases (CVDs) are becoming increasingly significant
in sub-Saharan Africa (SSA). Reliable measures of the contribution of major
determinants are essential for informing health services and policy solutions.
OBJECTIVE: To perform a systematic review of all longitudinal studies of CVDs and
related risk factors that have been conducted in SSA. DATA SOURCE: We searched
electronic databases from 1966 to October 2009. Published studies were retrieved
from PubMed and Africa EBSCO. Reference lists of identified articles were scanned
for additional publications. STUDY SELECTION: Any longitudinal study with data
collection at baseline on major cardiovascular risk factors or CVD, including 30
or more participants, and with at least six months of follow up were included.
DATA EXTRACTION: Data were extracted on the country of study, year of inception,
baseline evaluation, primary focus of the study, outcomes, and number of
participants at baseline and final evaluation. RESULTS: Eighty-one publications
relating to 41 studies from 11 SSA countries with a wide range of participants
were included. Twenty-two were historical/prospective hospitalbased studies.
These studies focused on risk factors, particularly diabetes mellitus and
hypertension, or CVD including stroke, heart failure and rheumatic heart disease.
The rate of participants followed through the whole duration of studies was 72%
(64-80%), with a significant heterogeneity between studies (for heterogeneity, p
< 0.001). Outcomes monitored during follow up included trajectories of risk
markers and mortality. CONCLUSIONS: Well-designed prospective cohort studies are
needed to inform and update our knowledge regarding the epidemiology CVDs and
their interactions with known risk factors in the context of common infectious
diseases in this region.
PMID- 21901230
TI - Involvement of IRAKs and TRAFs in anti-beta2GPI/beta2GPI-induced tissue factor
expression in THP-1 cells.
AB - Our previous study has shown that Toll-like receptor 4 (TLR4) and its signalling
pathway contribute to anti-beta2-glycoprotein I/beta2-glycoprotein I (anti
beta2GPI/beta2GPI)-induced tissue factor (TF) expression in human acute monocytic
leukaemia cell line THP-1 and annexin A2 (ANX2) is involved in this pathway.
However, its downstream molecules have not been well explored. In this study, we
have established that interleukin-1 receptor-associated kinases (IRAKs) and
tumour necrosis factor receptor-associated factors (TRAFs) are crucial downstream
molecules of anti-beta2GPI/beta2GPI-induced TLR4 signaling pathway in THP-1 cells
and explored the potential mechanisms of their self-regulation. Treatment of THP
1 cells with anti-beta2GPI/beta2GPI complex induced IRAKs and TRAFs expression
and activation. Anti-beta2GPI/beta2GPI complex firstly induced expression of
IRAK4 and IRAK1, then IRAK1 phosphorylation and last IRAK3 upregulation. In
addition, anti-beta2GPI/beta2GPI complex simultaneously and acutely enhanced mRNA
levels of TRAF6, TRAF4 and zinc finger protein A20 (A20), while chronically
increased A20 protein level. Moreover, anti-beta2GPI/beta2GPI complex-induced
IRAKs and TRAFs expression and activation were attenuated by knockdown of ANX2 by
infection with ANX2-specific RNA interference lentiviruses (LV-RNAi-ANX2) or by
treatment with paclitaxel, which inhibits TLR4 as an antagonist of myeloid
differentiation protein 2 (MD-2) ligand. Furthermore, both IRAK1/4 inhibitor and
a specific proteasome inhibitor MG-132 could attenuate TRAFs expression as well
as TF expression induced by anti-beta2GPI/beta2GPI complex. In conclusion, our
results indicate that IRAKs and TRAFs play important roles in anti
beta2GPI/beta2GPI-stimulated TLR4/TF signaling pathway in THP-1 cells and
contribute to the pathological processes of antiphospholipid syndrome (APS).
PMID- 21901231
TI - Common variants in the haemostatic gene pathway contribute to risk of early-onset
myocardial infarction in the Italian population.
AB - Occlusive coronary thrombus formation superimposed on an atherosclerotic plaque
is the ultimate event leading to myocardial infarction (MI). Therefore,
haemostatic proteins may represent important players in the pathogenesis of MI.
It was the objective of this study to evaluate, in a comprehensive way, the role
of haemostatic gene polymorphisms in predisposition to premature MI. A total of
810 single nucleotide polymorphisms (SNPs) in 37 genes were assessed for
association with MI in a large cohort (1,670 males, 210 females) of Italian
patients who suffered from an MI event before the age of 45, and an equal number
of controls. Thirty-eight SNPs selected from the literature were genotyped using
the SNPlex technology, whereas genotypes for the remaining 772 SNPs were
extracted from a previous genome-wide association study. Genotypes were analysed
by a standard case-control analysis corrected for classical cardiovascular risk
factors, and by haplotype analysis. A weighted Genetic Risk Score (GRS) was
calculated. Evidence for association with MI after covariate correction was found
for 35 SNPs in 12 loci: F5, PROS1, F11, ITGA2, F12, F13A1, SERPINE1, PLAT, VWF,
THBD, PROCR, and F9. The weighted GRS was constructed by including the top SNP
for each of the 12 associated loci. The GRS distribution was significantly
different between cases and controls, and subjects in the highest quintile had a
2.69-fold increased risk for MI compared with those in the lowest quintile. Our
results suggest that a GRS, based on the combined effect of several risk alleles
in different haemostatic genes, is associated with an increased risk of MI.
PMID- 21901232
TI - Factor VIII activation by factor VIIa analog (V158D/E296V/M298Q) in tissue factor
independent mechanisms.
AB - Factor (F)VIIa with tissue factor (TF) is a primary trigger of blood coagulation.
The recombinant (r)FVIIa analog, NN1731 (V158D/E296V/ M298Q) containing a
thrombin/FIXa-mimicking catalytic domain, is ~30-fold more effective on activated
platelets without TF, but ~1.2-fold with TF, than rFVIIa for FX activation. We
have recently demonstrated the FVIIa/TF-dependent FVIII activation in the early
coagulation phase. We assessed the action of NN1731 on FVIII activation.
NN1731/TF increased FVIII activity ~2.9-fold within 30 seconds, followed by rapid
inactivation, and was slightly more active than rFVIIa/TF. NN1731-catalysed
activation, however, was enhanced ~6-fold at 5 minutes (min), and its peak level
persisted for ~30 min. NN1731/TF proteolysed FVIII at Arg740, Arg372, and Arg336,
similar to rFVIIa/TF, but cleavage by NN1731 alone was much slower at Arg336 than
at Arg740 and Arg372. The Km and Vmax for NN1731/TF-catalysed activation were
~1.8-fold lower and ~2.3-fold greater than rFVIIa/TF. The Km for NN1731 alone was
~1.3-fold lower than rFVIIa, whilst the Vmax was ~7.9-fold greater, indicating
that the efficiency of FVIII activation by NN1731 and NN1731/TF was ~11- and ~4
fold greater, respectively, than equivalent reactions with rFVIIa. In SPR-based
assays, NN1731 bound to FVIII and the heavy chain (Kd; 0.62 and 1.9 nM) with ~1.4
and ~3.1-fold higher affinity than rFVIIa, and the A2 domain contributed to this
increase. Von Willebrand factor moderated NN1731-catalysed activation more
significantly than NN1731/TF. In conclusion, NN1731 was a greater potential than
rFVIIa in up-regulating FVIII activity, and the TF-independent FVIII activation
might represent a potential extra mode of its enhanced haemostatic effect.
PMID- 21901229
TI - Venous thromboembolism in patients with intracranial haemorrhage.
PMID- 21901233
TI - Interference of rivaroxaban in one-stage and chromogenic factor VIII:C assays.
PMID- 21901234
TI - The role of fibrinogen plasma levels, the -455G>A fibrinogen and the factor XIII
A subunit (FXIII-A) Val34Leu polymorphism in cancer-associated venous thrombosis.
AB - Venous thromboembolism (VTE) is a life-threatening complication in cancer
patients. Identification of risk factors has been in focus in the past years.
Functional single nucleotide polymorphisms (SNP) of coagulation factors known to
influence the concentration or function may be considered to influence the risk
of VTE in cancer patients. We evaluated the influence of fibrinogen plasma
levels, the -455G>A SNP in the fibrinogen beta gene and the Val34Leu (163G>T) SNP
in the factor XIII A-subunit (FXIII-A) gene on the risk of VTE. In 1,079 tumour
patients recruited for the prospective Vienna Cancer and Thrombosis Study (CATS)
fibrinogen levels were determined by the Clauss method. The FXIII-A Val34Leu and
the fibrinogen -455G>A SNPs were tested by allele-specific PCR. The median follow
up time was 604 days, 83 thrombotic events occurred. The median fibrinogen level
was 381 mg/dl (25th-75th percentile: 312 to 467). In a multivariable Cox model
adjusted to chemotherapy, surgery, radiotherapy, age and sex, neither the
fibrinogen concentration (hazard ratio [HR] =1.05, confidence interval [CI] 0.839
1.310 p=0.68), nor the -455G>A SNP (HR=0.77, 95%CI 0.491-1.197; p=0.24), nor the
Val34Leu SNP (HR=0.99, 95%CI 0.646-1.542 p=0.99) were associated with occurrence
of VTE. The fibrinogen concentration was not significantly different among the
fibrinogen -455G or A genotype carriers (p = 0.33). Disseminated intravascular
coagulation was observed in only five patients, none of these developed VTE. In
conclusion, fibrinogen plasma levels, the fibrinogen -455G>A and the FXIII-A
Val34Leu polymorphisms were not associated with VTE in our study.
PMID- 21901235
TI - Dendritic cells in atherosclerosis: functions in immune regulation and beyond.
AB - Chronic inflammation drives the development of atherosclerosis. Dendritic cells
(DCs) are known as central mediators of adaptive immune responses and the
development of immunological memory and tolerance. DCs are present in non
diseased arteries, and accumulate within atherosclerotic lesions where they can
be localised in close vicinity to T cells. Recent work has revealed important
functions of DCs in regulating immune mechanisms in atherogenesis, and
vaccination strategies using DCs have been explored for treatment of disease.
However, in line with a phenotypical and functional overlap with plaque
macrophages vascular DCs were also identified to engulf lipids, thus contributing
to lipid burden in the vessel wall and initiation of lesion growth. Furthermore,
a function of DCs in regulating cholesterol homeostasis has been revealed.
Finally, phenotypically distinct plasmacytoid dendritic cells (pDCs) have been
identified within atherosclerotic lesions. This review will dissect the
multifaceted contribution of DCs and pDCs to the initiation and progression of
atherosclerosis and the experimental approaches utilising DCs in therapeutic
vaccination strategies.
PMID- 21901236
TI - Successful treatment with intravenous immunoglobulin (IVIg) in a patient with an
acquired factor V inhibitor after liver transplantation.
PMID- 21901238
TI - Bleeding diathesis in patients with mast cell activation disease.
PMID- 21901237
TI - Novel recombinant glycosylphosphatidylinositol (GPI)-anchored ADAMTS13 and
variants for assessment of anti-ADAMTS13 autoantibodies in patients with
thrombotic thrombocytopenic purpura.
AB - Immunoglobulin Gs (IgGs) against ADAMTS13 are major causes of acquired
(idiopathic) thrombotic thrombocytopenic purpura (TTP). We report here a novel
cell-based assay using glycosylphosphatidylinositol (GPI)-anchored ADAMTS13 or
variants expressed on cell membrane for assessment of autoantibodies in patients
with TTP. We showed that IgGs from all 26 patients with acquired TTP bound to
cells expressing a GPI anchored full-length ADAMTS13 (gFL) and a variant
truncated after the spacer domain (gS). Also, IgGs from 25/26 (96.7%) of these
TTP patients bound to cells expressing a GPI-anchored C-terminal fragment, TSP1 2
8 plus CUB (gT2C). In contrast, none of the 20 healthy blood donors showed
detectable binding of their IgGs to the cells expressing gFL, gS, and gT2C. A
moderate, but statistically significant correlation was observed between plasma
concentrations of anti-ADAMTS13 IgG and positive cells expressing gFL (r=0.65),
gS (r=0.67), and gT2C (r=0.42). These results suggest that the microtiter-plate
assay and the cell-based assay may detect differential antigenic epitopes.
Moreover, antigens clustered on cell membranes may enhance antibody binding
affinity, thereby increasing analytical sensitivity. Finally, our assay was able
to determine kinetic changes of plasma levels of anti-ADAMTS13 IgGs in TTP
patients during plasma therapy. Together, our findings suggest that the novel
cell-based assay may be applicable for rapid identification and mapping of anti
ADAMTS13 autoantibodies in patients with acquired TTP.
PMID- 21901239
TI - Risks of stroke and mortality associated with suboptimal anticoagulation in
atrial fibrillation patients.
AB - Atrial fibrillation (AF) carries an increased risk of ischaemic stroke, and oral
anticoagulation with warfarin can reduce this risk. The objective of this study
was to evaluate the association between time in therapeutic International
Normalised Ratio (INR) range when receiving warfarin and the risk of stroke and
mortality. The study cohort included AF patients aged 40 years and older included
in the UK General Practice Research Database. For patients treated with warfarin
we computed the percentage of follow-up time spent within therapeutic range. Cox
regression was used to assess the association between INR and outcomes while
controlling for patient demographics, health status and concomitant medication.
The study population included 27,458 warfarin-treated (with at least 3 INR
measurements) and 10,449 patients not treated with antithrombotic therapy.
Overall the warfarin users spent 63% of their time within therapeutic range
(TTR). This percentage did not vary substantially by age, sex and CHA2DS2-VASc
score. Patients who spent at least 70% of time within therapeutic range had a 79%
reduced risk of stroke compared to patients with <=30% of time in range (adjusted
relative rate of 0.21; 95% confidence interval 0.18-0.25). Mortality rates were
also significantly lower with at least 70% of time spent within therapeutic
range. In conclusion, good anticoagulation control was associated with a
reduction in the risk of stroke.
PMID- 21901240
TI - Recombinant activated protein C attenuates coagulopathy and inflammation when
administered early in murine pneumococcal pneumonia.
AB - Recombinant human activated protein C (APC), which has both anticoagulant and
anti-inflammatory properties, improves survival of patients with severe sepsis.
This beneficial effect is especially apparent in patients with pneumococcal
pneumonia. Earlier treatment with APC in sepsis has been associated with a better
therapeutic response as compared to later treatment. In a mouse model it was
recently confirmed that recombinant murine (rm-)APC decreases coagulation
activation and improves survival in pneumococcal pneumonia; however, APC did not
impact on the inflammatory response. The aim of this study was to determine the
effect of APC treatment instigated early in infection on activation of
coagulation and inflammation after induction of pneumococcal pneumonia. Mice were
infected intranasally with viable S. pneumoniae . Mice were treated with rm-APC
(125 MUg) or vehicle intraperitoneally 12 hours after infection and were
sacrificed after 20 hours, after which blood and organs were harvested for
determination of bacterial outgrowth, coagulation activation and inflammatory
markers. In this early treatment model, rm-APC treatment inhibited pulmonary and
systemic activation of coagulation as reflected by lower levels of thrombin
antithrombin complexes and D-dimer. Moreover, rm-APC reduced the levels of a
large number of cytokines and chemokines in the lung. When administered early in
pneumococcal pneumonia, rm-APC inhibits systemic and pulmonary activation of
coagulation and moreover exerts various anti-inflammatory effects in the lung.
PMID- 21901241
TI - Differential role of monocyte subsets in atherosclerosis.
AB - Endothelial dysfunction and inflammation of the arterial wall continuously drive
the development of atherosclerosis. Details regarding the sequential involvement
of different monocyte subsets in the pathology of this disease have recently
emerged. This review concentrates on major monocyte subpopulations in mouse and
men and specifically addresses their phenotype, function and recruitment during
primary atherosclerosis as well as their contribution to angiogenesis and tissue
regeneration secondary to plaque rupture.
PMID- 21901242
TI - Platelet activation in the pathogenesis of obesity and vascular disease.
PMID- 21901243
TI - Risk of cervical cancer among female autoworkers exposed to metalworking fluids.
AB - OBJECTIVES: Cervical cancer is caused by human papilloma virus (HPV). However,
only a small proportion of women infected with HPV, progress to cervical cancer.
Other co-factors must therefore be necessary to cause cervical cancer. We
examined cervical cancer in relation to occupational exposure to metalworking
fluids (MWF), which are complex mixtures containing several known carcinogens.
METHODS: A cohort of 4374 female autoworkers was followed from 1985-2004 for
cancer diagnosis. Based on standardized incidence rates (SIR), we focused on
cervical cancer in an internal analysis. Pooled logistic regression was used to
model the relationship between exposure to three different types of MWF, selected
constituents, and incidence of cervical cancer. RESULTS: Based on 40 cases, SIR
were statistically significantly elevated for both race specific subgroups: 3.30
and 2.43, respectively for Caucasian and black women. The standard mortality
ratio (SMR) was also statistically significantly elevated for Caucasian women
(3.44) based on seven observed deaths. There was no association with oil-based
straight fluid. Relative risks for soluble and synthetic MWF and nitrosamines
were modestly elevated but not statistically significant. CONCLUSIONS: Water
based MWF may play a role in the etiology of cervical cancer. Further studies in
larger cohorts of women are needed to clearly establish this relationship.
PMID- 21901244
TI - Abatacept is a promising treatment for patients with disseminated morphea
profunda: presentation of two cases.
AB - Morphea profunda is a rare disease that mainly affects young women and often has
a progressive course with physical and psychological sequelae. The skin becomes
sclerotic after an initial inflammatory reaction and joint contractures can
develop. The aetiology is unknown. Until now, no successful therapy has been
proven for this morphea variant. On the basis of new insights into the key role
of effector T cells in scleroderma, in particular Th-17, T-cell directed
therapies are expected to have promising effects. We report here the first two
cases of morphea profunda treated with abatacept. Abatacept had a clinical effect
on the active disease, in addition to softening old sclerotic lesions.
PMID- 21901245
TI - Mitigation of 2,4-dinitrofluorobenzene-induced atopic dermatitis-related symptoms
by Terminalia chebula Retzius.
AB - To evaluate whether an aqueous seed extract of Terminalia chebula Retzius
inhibited development of atopy in vivo, we used a 2,4-dinitrofluorobenzene (DNFB)
induced animal model of atopic symptoms to investigate the effects of the
extract. We measured CD4+ cell numbers by hematoxylin and eosin (H&E) staining,
and determined the expression levels of matrix metalloproteinase (MMP)-9,
interleukin (IL)-31, and T-bet genes, in this animal model. The data showed that
a Terminalia chebula extract (100 ug/ml) exhibited strong anti-atopic activity,
mediating a 52% reduction in the immune response, as measured by thickness of ear
swelling, and resulting in decreased eosinophil levels in adjacent skin tissue.
Collectively, the results indicate that a Terminalia chebula seed extract has
potential for alleviation of atopy-like symptoms induced by DNFB in the mouse.
PMID- 21901246
TI - Identification of a potent epigenetic biomarker for resistance to camptothecin
and poor outcome to irinotecan-based chemotherapy in colon cancer.
AB - Drug resistance remains a major obstacle to successful cancer treatment. Genome
wide comprehensive analysis identified a novel gene, glucocorticoid-induced
protein-coding gene (DEXI), which was frequently methylated in colorectal (CRC;
36 of 73 patients; 49%) and gastric (28 of 89 patients; 31%) cancer patients.
Here, we show that DEXI methylation is implicated in mechanisms facilitating
resistance to camptothecin (CPT) via inhibition of apoptosis. Silencing of DEXI
by siRNA significantly reduced CPT-induced apoptosis in a fibroblast cell line
(1/6-fold; p<0.01) originally expressing endogenous DEXI. Restored expression of
DEXI by 5-aza-2'-deoxycytidine (DAC) significantly enhanced susceptibility to CPT
(3-fold; p<0.01) in a colon cancer cell line originally suppressing endogenous
DEXI due to almost complete methylation. Exogenous induction of DEXI confirmed
that DEXI per se contributed to enhanced susceptibility to CPT. 5-Fluorouracil (5
FU) did not exhibit these synergistic effects by DEXI restoration. Further, to
estimate the clinical usefulness of DEXI methylation status as biomarker for drug
resistance to irinotecan (CPT-11), 16 CRC patients who underwent FOLFIRI (5-FU +
CPT-11) therapy because they were refractory to FOLFOX (5-FU + oxaliplatin) were
analyzed. Significantly poor response and outcome were observed in 8 CRC patients
harboring DEXI methylation. In 8 CRC patients harboring DEXI methylation disease
control rate, progression-free survival and overall survival were 25.0%, 2 and
11.8 months, respectively, whereas in 8 CRC patients without DEXI methylation
they were 62.5%, 5.3 and 15 months, respectively (p<0.01). These significant
differences were not observed in patients undergoing treatment with FOLFOX. In
conclusion, silencing of DEXI leads to resistance, but restored expression
enhances susceptibility to CPT in vitro and DEXI methylation results in poor
response and outcome to CPT-11-based chemotherapy, suggesting that DEXI is a
potent therapeutic target and an epigenetic biomarker for the selection of
patients more likely to benefit from CPT-11-based chemotherapy.
PMID- 21901247
TI - Studies of genomic imbalances and the MYB-NFIB gene fusion in polymorphous low
grade adenocarcinoma of the head and neck.
AB - Polymorphous low-grade adenocarcinoma (PLGA) is a malignancy predominantly
originating from the minor salivary glands. The molecular events underlying the
pathogenesis of PLGA is poorly understood and no recurrent genetic aberrations
have so far been identified. We used genome-wide, high-resolution aCGH analysis
to explore genomic imbalances in 9 cases of PLGA. Because of the well-known
morphologic similarities between PLGA and adenoid cystic carcinoma (ACC) we also
analyzed all tumors for expression of the recently identified ACC-associated MYB
NFIB gene fusion. aCGH analysis revealed that the PLGA genome contains
comparatively few copy number alterations (CNAs). Gains/losses of whole
chromosomes or chromosome arms were more than twice as common as partial CNAs.
Two cases showed gain of chromosome 8 and one case each gain of chromosome 9,
loss of chromosome 22 and loss of the Y chromosome. One case showed loss of the
entire 6q arm and one case an interstitial deletion of a 33-Mb segment within
6q22.1-q24.3. This region contains the MYB oncogene and the candidate tumor
suppressor gene PLAGL1. RT-PCR analysis revealed that one of the 9 PLGAs
expressed the ACC-associated MYB-NFIB gene fusion, illustrating the diagnostic
difficulties associated with the diagnosis of these morphologically partly
overlapping entities. Taken together, our findings indicate that the PLGA genome
is genetically stable and contains comparatively few CNAs which is in line with
the clinical observation that PLGA is a slow-growing, low-grade carcinoma with
low metastatic potential.
PMID- 21901248
TI - Fibulin-3 promoter methylation alters the invasive behavior of non-small cell
lung cancer cell lines via MMP-7 and MMP-2 regulation.
AB - Fibulin-3, an extracellular glycoprotein, has been suggested as having functions
in tissue regeneration and organogenesis. However, its role in cancer remains
unclear. We show here that fibulin-3 was silenced by hypermethylation of the
promoter region in the relatively invasive A549 non-small cell lung cancer
(NSCLC) cells compared with less invasive H460 NSCLC cells. Enforced expression
of fibulin-3 in A549 cells down-regulated cellular MMP-7 and MMP-2, which was
followed by inhibition of cell invasiveness. Conversely, suppression of fibulin-3
expression with siRNA in H460 cells showed the opposite effect. These results
indicate that fibulin-3 is a negative regulator of invasiveness in NSCLC and
further studies are needed for its therapeutic applications in treatment of
NSCLC.
PMID- 21901249
TI - IKAROS isoform 6 enhances BCR-ABL1-mediated proliferation of human CD34+
hematopoietic cells on stromal cells.
AB - The BCR-ABL1 induces chronic myelogenous leukemia (CML) and Ph+ acute
lymphoblastic leukemia (ALL). Recent studies revealed high ratios of loss of the
IKZF1 gene which encodes IKAROS in BCR-ABL1+ ALL and lymphoblastic crisis (LBC)
of CML. However, little is known about the cooperativity between the aberrant
IKAROS and BCR-ABL1 in primary human hematopoietic cells. We investigated the
effects of expression of BCR-ABL1 and/or IK6, a natural dominant negative isoform
of IKAROS, on proliferation and differentiation of human CD34+ cord blood cells
with or without human bone marrow-derived stromal cells which support early B
cell differentiation. Cell proliferation was remarkably enhanced by co-expression
of BCR-ABL1 and IK6, with reduced expression of glycophorin A and increased
expression of CD41, especially on stromal cells, compared with expression of BCR
ABL1 alone that resulted in expansion of erythroid progenitors. Interestingly,
p190BCR-ABL1 showed higher dependency on stromal cells to stimulate cell growth
with IK6, than p210BCR-ABL1. Furthermore, the cooperation was found to depend on
direct cell adhesive interaction of hematopoietic progenitors with stromal cells.
These findings suggest that IK6 and BCR-ABL1 synergistically contribute to
leukemogenesis in human bone marrow stromal microenvironment, and may provide a
clue to elucidate the mechanisms of leukemogenesis of Ph+ ALL and CML-LBC.
PMID- 21901250
TI - Bufalin induces apoptosis through activation of both the intrinsic and extrinsic
pathways in human bladder cancer cells.
AB - Bufalin, a major digoxin-like immunoreactive component of the Chinese medicine
Chansu, is prepared from toad venom. This compound has been shown to exert a
potential for anticancer activity against various human cancer cell lines in
vitro. However, the detailed molecular mechanisms of its induction of apoptosis
are still unclear. In this study, we investigated the apoptosis-inducing effect
of bufalin in T24 human bladder cancer cells. Our data revealed that bufalin
treatment resulted in a concentration-response growth inhibition of T24 cells by
inducing cell cycle arrest at the G2/M phase and apoptosis, as evidenced by
formation of apoptotic bodies, chromatin condensation and accumulation of cells
in the sub-G1 phase. Apoptosis induction of T24 cells by bufalin showed
correlation with proteolytic activation of caspase-3, -8 and -9, and concomitant
degradation of poly (ADP-ribose) polymerases, and collapse of the mitochondria
membrane potential. In addition, bufalin treatment resulted in an increase of the
Bax/Bcl-2 (or Bcl-xL) ratio and caused down-regulation of inhibitor of apoptosis
protein (IAP) family members. The increase in apoptosis by bufalin treatment was
also associated with up-regulation of death receptor-related factors. Our data
indicate that the growth inhibitory effects of bufalin occur through blockade of
the G2/M phase, and that these cancer cells do not enter cell cycle progression
and die through apoptosis via both intrinsic and extrinsic pathways.
PMID- 21901251
TI - Frequent loss of p19INK4D expression in hepatocellular carcinoma: relationship to
tumor differentiation and patient survival.
AB - p19INK4D belongs to the family of cyclin-dependent kinase inhibitors (CdkIs) that
target the cyclin-dependent kinases and inhibit their catalytic activity. The
role of p19INK4D in cell cycle progression in hepatocellular carcinoma (HCC) is
poorly characterized. The aim of this study was to examine the expression of
p19INK4D in various liver diseases including HCC and to assess its clinical
significance in HCC. We examined the expression of p19INK4D by
immunohistochemistry in 81 cases of various liver diseases, including 51 HCCs. We
analyzed the relationship among p19INK4D expression in HCC in combination with
histopathological stage, differentiation, several histopathological factors of
possible prognostic value and patient survival. Immunohistochemical analysis
revealed the frequent loss of p19INK4D expression consistent with the
differentiation of HCC. The loss of p19INK4D expression was shown to be
associated with a poor prognosis by analyzing clinicopathological features. In
conclusion, we found that loss of p19INK4D protein was frequent in HCC,
especially in poorly differentiated HCC, suggesting that p19INK4D may play a role
in the differentiation of HCC. Furthermore, expression of p19INK4D may be an
effective predictor of clinical behavior in HCC, and therefore, a new prognostic
marker for HCC.
PMID- 21901252
TI - Soluble mediators released from PI-IBS patients' colon induced alteration of mast
cell: involvement of reactive oxygen species.
AB - BACKGROUND: Growing evidence suggests that patients with post-infectious
irritable bowel syndrome (PI-IBS) have increased mast cell activation, and that
mucosal soluble mediators are involved in the pathophysiology of visceral
hyperalgesia. In addition, previous findings show that reactive oxygen species
(ROS) and protease-activated receptors (PARs) are mediators of persistent
hyperalgesia. AIMS: This article aims to investigate: (1) the ability of soluble
factors from colonic biopsies to active peritoneal mast cells (PMCs) in vitro;
(2) whether the effects of PMCs degranulation induced by soluble mediators are
related to PARs activation; and (3) the ability of phenyl N-tert-butylnitrone
(PBN), a ROS scavenger, to modify these alterations. METHODS: Supernatant (SUP)
from colonic biopsies was collected and applied to PMCs for 12 h. Activation of
PMCs was evaluated. The expression of PAR(2) in PMCs was examined by RT-PCR and
double-immunofluorescence staining. PBN (10 mM) treatment was administered, then
previous alterations were observed again. RESULTS: Stimulation with SUP of PI-IBS
led to an increase in activation of PMCs. PAR(2)mRNA expression was significantly
increased in PMCs induced by SUP of PI-IBS compared to healthy subjects. After
being treated by PBN, the SUP-induced enhancement of PMCs activities could be
weakened, and PAR(2)mRNA expression was significantly decreased. A similar result
of immunoreactivity for PAR(2) was observed in PMCs. CONCLUSIONS: The study shows
that ROS scavenger reverses the SUP of PI-IBS-induced enhancement of PMCs
activities, and that these effects may be related to activation of PAR(2). These
findings might pave the way to new therapeutic targets in PI-IBS.
PMID- 21901253
TI - Small bowel capsule endoscopy impacts diagnosis and management of pediatric
inflammatory bowel disease: a prospective study.
AB - PURPOSE: Accurately classifying inflammatory bowel disease (IBD) type in
pediatric patients may impact medical decision-making, direct therapy, and
improve outcomes. METHODS: This was a prospective cohort study evaluating
classification of IBD and patient management with use of capsule endoscopy in
pediatric patients with suspected or known IBD. Treating physicians completed a
questionnaire before and after capsule endoscopy (CE) assessing clinical
suspicion of Crohn's disease (CD) diagnosis, patient management decisions, and
perceived impact of CE findings. RESULTS: Eighteen subjects [11F/7M, mean age
13.8 (+/- 2.5) years], 4 previously diagnosed with CD, 4 with ulcerative or
indeterminate colitis (UC/IC), and 10 "suspected" to have IBD were included.
Following CE, 2 of 4 (50%) UC/IC patients were reclassified as having small bowel
CD. In the 4 subjects with known CD, 2 (50%) had CE evidence of more proximal
small bowel mucosal disease than previously recognized. In the 10 subjects with
"suspected" IBD, 8 (80%) had SB ulcerations leading to a definitive diagnosis of
CD. Treating physicians reported CE helped diagnosing CD in 15 of 18 (83.3%)
subjects and impacted medical decision-making in 13 of 18 (72.2%), leading to a
change in medical management in 14 of 18 (77.8%). CONCLUSIONS: In "suspected"
pediatric IBD, CE often leads to a definitive diagnosis of CD. CE can lead to
reclassification of IBD from UC/IC to CD and previously diagnosed CD patients may
have a more significant burden of small bowel disease. These data may help
integrate CE in evaluating IBD patients, lead to more targeted medical management
changes and improve outcomes.
PMID- 21901254
TI - Knockdown of RON inhibits AP-1 activity and induces apoptosis and cell cycle
arrest through the modulation of Akt/FoxO signaling in human colorectal cancer
cells.
AB - BACKGROUND/AIMS: Altered Recepteur d'Origine nantais (RON) expression transduces
signals inducting invasive growth phenotype that includes cell proliferation,
migration, matrix invasion, and protection of apoptosis in human cancer cells.
The aims of the current study were to evaluate whether RON affects tumor cell
behavior and cellular signaling pathways including activator protein-1 (AP-1) and
Akt/forkhead box O (FoxO) in human colorectal cancer cells. METHODS: To study the
biological role of RON on tumor cell behavior and cellular signaling pathways in
human colorectal cancer, we used small interfering RNA (siRNA) to knockdown RON
gene expression in human colorectal cancer cell line, DKO-1. RESULTS: Knockdown
of RON diminished migration, invasion, and proliferation of human colorectal
cancer cells. Knockdown of RON decreased AP-1 transcriptional activity and
expression of AP-1 target genes. Knockdown of RON activated cleaved caspase-3,
7, -9, and PARP, and down-regulated the expression of Mcl-1, survivin and XIAP,
leading to induction of apoptosis. Knockdown of RON induced cell cycle arrest in
the G2/M phase of cancer cells by an increase of p27 and a decrease of cyclin D3.
Knockdown of RON inhibited the phosphorylation of Akt/FoxO signaling proteins
such as Ser473 and Thr308 of Akt and FoxO1/3a. CONCLUSIONS: These results
indicate that knockdown of RON inhibits AP-1 activity and induces apoptosis and
cell cycle arrest through the modulation of Akt/FoxO signaling in human
colorectal cancer cells.
PMID- 21901255
TI - Driving simulation can improve insight into impaired driving skills in cirrhosis.
AB - BACKGROUND: Minimal hepatic encephalopathy (MHE) is associated with poor driving
skills and insight. Increasing insight may improve receptiveness for therapy or
driving restrictions. AIM: To evaluate the change in the self-assessment of
driving skills (SADS) using a driving simulator. METHODS: Cirrhotic patients and
age/education-matched controls underwent MHE testing with inhibitory control
(ICT) and the psychometric hepatic encephalopathy score (PHES). SADS, a Likert
scale from 0 to 10, was administered just before and after a standardized driving
simulation comprising testing and navigation tasks. The percentage SADS change
from baseline was compared within/between groups. RESULTS: A total of 84 patients
(60% men, age 55 years) and 12 controls were included. Controls were
significantly better than cirrhotics on cognitive/simulator testing. The baseline
SADS was similar between the groups. The baseline patient SADS was only
correlated with ICT lures (r = -0.4, P = 0.001). Post-simulation, 60% of patients
improved their insight, i.e., reduced SADS (from 8 to 6.5, P = 0.0001) compared
to 25% of controls (P = 0.02). The mean percentage SADS reduction was also higher
in cirrhotics (18% vs. 8%, P = 0.03). MHE on ICT patients had a significantly
higher SADS improvement (P = 0.004) compared to the other patients; no difference
was seen in those with/without MHE due to the PHES. The percentage SADS reduction
in patients was correlated with getting lost (r = 0.468, P < 0.0001), crashes (P
= 0.002), and centerline/road-edge excursions (P = 0.01). There was a
significantly higher percentage SADS reduction in cirrhotics who got lost (25%)
compared to those who did not get lost (12%) and controls (8%, P = 0.014).
CONCLUSIONS: Insight into driving skills in cirrhosis improves after driving
simulation and is highest in those with navigation errors and MHE on ICT. Driving
simulator-associated insight improvement may be the first step towards the
cognitive rehabilitation of driving skills in cirrhosis.
PMID- 21901256
TI - Bifidobacterium longum with fructo-oligosaccharides in patients with non
alcoholic steatohepatitis.
AB - BACKGROUND: Increased exposure to intestinal bacterial products may contribute to
the pathogenesis of non alcoholic steatohepatitis (NASH). Bifidobacteria are
predominant bacterial species in the human gut microbiota and have been
considered to exert a beneficial effect on human health by maintaining the
equilibrium of the resident microbiota. AIMS: To evaluate the effects of
Bifidobacterium longum with fructo-oligosaccharides (Fos) in the treatment of
NASH. METHODS: A total of 66 patients were randomly and equally divided into two
groups receiving Bifidobacterium longum with Fos and lifestyle modification
(i.e., diet and exercise) versus lifestyle modification alone. The following
variables were assessed at -4 (beginning of the dietary lead-in period), 0
(randomization), 6, 12, 18, and 24 weeks: aspartate transaminase (AST), alanine
transaminase (ALT), bilirubin, albumin, total cholesterol, high-density
lipoprotein (HDL) cholesterol, low-density lipoprotein (LDL) cholesterol,
triglycerides, fasting plasma glucose, insulin, C-peptide, C-reactive protein
(CRP), tumor necrosis factor (TNF)-alpha, homeostasis model assessment of insulin
resistance (HOMA-IR), and serum endotoxins. Liver biopsies were performed at
entry and repeated after 24 weeks of treatment. RESULTS: At the end of study
period, we observed that the Bifidobacterium longum with Fos and lifestyle
modification group versus the lifestyle modification alone group showed
significant differences in the AST -69.6 versus -45.9 IU/mL (P < 0.05), LDL
cholesterol -0.84 versus -0.18 mmol/L (P < 0.001), CRP -2.9 versus -0.7 mg/L (P <
0.05), TNF-alpha -0.45 versus -0.12 ng/mL (P < 0.001), HOMA-IR -1.1 versus -0.6
(P < 0.001), serum endotoxin -45.2 versus -30.6 pg/mL (P < 0.001), steatosis (P <
0.05), and the NASH activity index (P < 0.05). CONCLUSIONS: Bifidobacterium
longum with Fos and lifestyle modification, when compared to lifestyle
modification alone, significantly reduces TNF-alpha, CRP, serum AST levels, HOMA
IR, serum endotoxin, steatosis, and the NASH activity index.
PMID- 21901257
TI - A multicenter survey of the management after gastric endoscopic submucosal
dissection related to postoperative bleeding.
AB - BACKGROUND AND AIMS: Bleeding is a major complication after gastric endoscopic
submucosal dissection (ESD). An evidence-based strategy for postoperative care
related to delayed bleeding is required. We conducted a multicenter survey to
assess the current status of management after gastric ESD. METHODS: A total of
1,814 gastric epithelial neoplasms in 2009 at ten tertiary referral centers were
enrolled. The current status of the management after gastric ESD (use of an
antisecretory drug, food intake, and second-look endoscopy) at participating
hospitals was assessed. Furthermore, the rate of post-ESD bleeding and the
differences in each parameter were retrospectively analyzed. RESULTS:
Postoperative bleeding occurred in 100 cases (5.5%), which included 62 cases of
bleeding within 24 h after ESD. In all of the hospitals, proton pump inhibitors
(PPIs) were used. The median administration period was 56 days (range 14-60
days). Food intake was resumed from postoperative day (POD) 1 in 4 hospitals and
from POD 2 in 6 hospitals. Second-look endoscopy was performed for almost all
cases, fewer cases, and rarely or none in 6, 2, and 2 hospitals, respectively.
The day of second-look endoscopy varied among hospitals. There was no statistical
relationship between the postoperative bleeding rate and the differences in these
three parameters. CONCLUSIONS: Post-ESD management (duration of PPI use,
resumption of food intake, and performance of second-look endoscopy) varied among
the medical centers; thus, randomized controlled trials are required for an
optimal strategy after gastric ESD.
PMID- 21901258
TI - Dietary kaempferol suppresses inflammation of dextran sulfate sodium-induced
colitis in mice.
AB - BACKGROUND: In ulcerative colitis (UC), reduction of inflammation may represent a
key mechanism in UC therapy, and anti-inflammatory agents would be good
candidates for preventing UC. Kaempferol, a natural flavonoid, is believed to
have anti-inflammatory activities and has been shown to be potentially immune
modulatory. AIMS: The aim of this study was to determine whether kaempferol
alleviates the inflammatory responses of dextran sulfate sodium (DSS)-induced
colitis in mice. METHODS: Female C57BL/6J mice were divided into six groups: a
negative control group, a DSS control group, and DSS + 0.1% or 0.3% kaempferol
pre- or post-fed groups. At the end of the experimental period, clinical and
biochemical markers were evaluated. RESULTS: Plasma levels of NO and PGE(2) were
significantly decreased in both the 0.3% kaempferol pre- and post-fed groups. The
plasma LTB(4) level was profoundly decreased in all animals fed kaempferol.
Colonic mucosa MPO activity was also suppressed in both the 0.3% kaempferol pre-
or post-fed groups. TFF3 mRNA, a marker for goblet cell function, was up
regulated in kaempferol pre-fed animals. CONCLUSIONS: These results indicate that
kaempferol is an effective anti-inflammatory agent that protects colonic mucosa
from DSS-induced UC. Dietary kaempferol fed prior to colitis induction was more
effective to suppress some of the colitis-associated markers.
PMID- 21901259
TI - Erythrocyte deformability and oxidative stress in inflammatory bowel disease.
AB - BACKGROUND: Oxidative stress and reduced microvascular flow are important factors
in the pathogenesis of inflammatory bowel disease (IBD). The increased oxidative
stress reduces the erythrocyte deformability. However, in IBD, there are no
studies in the literature which evaluate erythrocyte deformability. AIMS: In our
study, we investigated the effect of oxidative stress and erythrocyte
deformability in IBD. METHODS: Forty-three patients with active IBD, 48 patients
with inactive IBD and 45 healthy controls were included. The erytrocyte
deformability, malonyldialdehyde levels, glutation peroxidase and sulfhydryl
levels were measured in peripheral venous blood samples. RESULTS: Erytrocyte
malonyldialdehyde levels in both active and inactive IBD were significantly
increased compared with control groups. Plasma glutation peroxidase levels did
not show statistically significant difference between all groups. The decreased
plasma sulfhydryl levels in active IBD were statistically significant compared
with both the inactive IBD and the control group, but plasma sulfhydryl levels in
inactive IBD group did not show statistically significant differences when
compared with the control group. Elongation index values in both active and
inactive IBD increased significantly compared with the control group.
Statistically significant correlations were not found between the elongation
index and glutation peroxidase, malonyldialdehyde, sulfhydryl levels in all
groups. CONCLUSIONS: Our study is the first to evaluate the erythrocyte
deformability in IBD. In our study, increased erytrocyte malonyldialdehyde levels
and decreased plasma sulfhydryl levels manifested the role of oxidative stress in
the pathogenesis of the disease. It is thought that the increased erythrocyte
malonyldialdehyde values cause the reduction in erythrocyte deformability.
PMID- 21901260
TI - The severity of dextran sodium sulfate-induced colitis can differ between dextran
sodium sulfate preparations of the same molecular weight range.
AB - BACKGROUND: We hypothesized that the severity of dextran sodium sulfate (DSS)
induced colitis could differ between DSS preparations of the same molecular
weight, and that this difference may be affected by the sulfur content. To test
this, we used three DSS preparations of similar molecular weights but with
different sulfur contents. METHODS: Three DSS preparations with molecular weights
of 40,000 to 50,000 were tested: MP Biomedicals (MP Bio), USB (USB), and The Lab
Depot (The Lab). Epithelial cell lines were used to assess the levels of poly
(ADP-ribose) polymerase (PARP) in the presence of 2.0% DSS in vitro. Eight-week
old female C57/B6 mice were fed 2.0% DSS in water for 1 week, and then sacrificed
to investigate the effects of the DSS preparations in vivo. RESULTS: In vitro
experiments using CaCo-2 and CMT-93 cells revealed decreased PARP levels from all
DSS preparations. Notably, the PARP level was significantly decreased in CaCo-2
cells treated with DSS from USB as compared to The Lab Mice treated with The Lab
DSS had significantly decreased body weight losses on day 7 as compared to mice
receiving DSS from MP Bio and USB. This result was supported by their DAI score,
colon weight/length ratio, and histological scores. CONCLUSION: The severity of
colitis can differ between similar DSS preparations of the same molecular weight
range. This difference in colitogenic properties may be affected by the total
sulfur content of each DSS preparation.
PMID- 21901261
TI - Prevention of induced colitis in mice by the ras antagonist farnesylthiosalicylic
acid.
AB - BACKGROUND: Ras proteins are crucial for cell differentiation and proliferation.
Targeting Ras with farnesylthiosalicylic acid (FTS), a Ras antagonist, has been
suggested as a therapeutic strategy in proliferative and inflammatory diseases.
AIMS: To examine the role of Ras and the therapeutic potential of FTS in
experimental colitis. METHODS: Colitis was induced in 26 mice by adding 2.5%
dextran sodium sulfate to their drinking water for 7 days during which 12 study
mice were treated with FTS and 14 control mice were given normal saline. Two
additional controls included 10 naive mice treated with FTS and 7 naive non
treated mice. The animals were followed clinically and sacrificed after 7 days.
Their colons were isolated for histological assessment and for measurement of
myeloperoxidase activity (MPO), tumor necrosis factor-alpha(TNF-alpha), and
interleukin-1beta(Il-1beta) levels. Ras and activated Ras expression was
determined by immunoblotting assays. T cell populations in the colon and spleen
were analyzed by flow-cytometry. RESULTS: FTS induced a 2.1-fold reduction in
activated Ras levels (P < 0.004). FTS-treated mice had lower disease activity
scores (3.9 +/- 1.7 vs. 7.5 +/- 2.3, P < 0.001), and lower levels of MPO activity
(1.65 +/- 0.6 vs. 2.6 +/- 0.8 units/g, P < 0.007), Il-1beta (2.4 +/- 3.6 vs. 24.3
+/- 17.5 pg/mg, P < 0.01) and TNF-alpha (0.63 +/- 0.5 vs. 1.9 +/- 1 pg/mg, P <
0.04). FTS increased regulatory T cell population in the spleen (1.9 +/- 0.4
fold, P < 0.04), and decreased effector T cell populations in the colon and
spleen by 24 +/- 3% (P < 0.03) and 27 +/- 1% (P < 0.02), respectively. FTS had no
remarkable side effects. CONCLUSIONS: Ras is involved in the inflammatory
processes of induced colitis in mice and its inhibition by FTS ameliorates the
severity of the inflammation.
PMID- 21901262
TI - Probe-based confocal laser microscopy identifies criteria predictive of active
celiac sprue.
AB - BACKGROUND: Celiac sprue is an underdiagnosed chronic intestinal inflammatory
disease. Probe-based confocal laser microscopy (CLM) is a novel endoscopic
technique for in vivo inspection of the intestinal mucosa that has not been
evaluated in celiac sprue yet. AIMS: To develop CLM criteria most predictive of
celiac pathology in a prospective pilot study. METHODS: Twenty-one patients (male
n = 5, f = 16, mean age 52 years) with established or suspected celiac sprue,
seven of whom had confirmed active disease (Marsh III) and 14 duodenal normal
mucosa. CLM images from 91 duodenal sites were assessed. CLM recordings were
obtained next to Argon beamer labeled areas. Biopsies were taken from the same
spots for precise histological matching. After establishing histology-correlated
criteria on one sample per patient, the remaining CLM recordings from the same
patients were randomized and blindly evaluated. RESULTS: Villous atrophy and
irregular appearing villi were most predictive of celiac pathology. Although the
presence of crypts was diagnostic for celiac pathology, it was only recognized in
26.7% of celiac pathology sites. Using these criteria in the blinded assessment,
the overall endoscopist's prediction of celiac sprue was accurate in 89.8% of all
biopsy sites in 85.7% of all patients. Preliminary interobserver agreement
testing villous atrophy, irregular villi, and crypts was poor (kappa 0.05 to
0.26). CONCLUSIONS: Probe-based CLM criteria developed in this pilot trial appear
promising for the detection of active celiac sprue. Preliminary interobserver
variability was high, indicating a learning curve effect. Our criteria need
validation in an independent patient population.
PMID- 21901265
TI - Pressure and coverage effects of sporting compression garments on cardiovascular
function, thermoregulatory function, and exercise performance.
AB - Sporting compression garments (CG) are used widely during exercise despite little
evidence of benefits. The purpose of this study was to investigate coverage and
pressure effects of full-body CG on cardiovascular and thermoregulatory function
at rest and during prolonged exercise, and on exercise performance. Twelve
recreationally trained male cyclists [mean (SD) age, 26 (7) years; VO(2 max), 53
(8) mL kg(-1) min(-1)] completed three sessions (counterbalanced order), wearing
either correctly-sized CG (CSG; 11-15 mmHg), over-sized CG (OSG; 8-13 mmHg), or
gym shorts (CONT). Test sessions were conducted in temperate conditions [24 (1)
degrees C, 60 (4)% relative humidity; ~2 m s(-1) air velocity during exercise],
consisting of resting on a chair then on a cycle ergometer, before 60-min fixed
load cycling at ~65% VO(2 max) and a 6-km time trial. Wearing CG (CSG or OSG) did
not mitigate cardiovascular strain during mild orthostatic stress at rest (p =
0.20-0.93 for garment effects). During exercise, cardiac output was ~5% higher in
the CG conditions (p < 0.05), which appears to be accounted for via non
significant higher end-exercise heart rate (~4-7%, p = 0.30; p = 0.06 for greater
heart rate drift in CSG); other cardiovascular variables, including stroke
volume, were similar among conditions (p = 0.23-0.91). Covered-skin temperature
was higher in CG conditions (p < 0.001) but core (oesophageal) temperature was
not (p = 0.79). Time-trial performance (mean power, time taken) was similar with
or without CG (p = 0.24-0.44). In conclusion, any demonstrable physiological or
psychophysical effects of full-body CG were mild and seemingly reflective more of
surface coverage than pressure. No benefit was evident for exercise performance.
PMID- 21901266
TI - Strength training elevates HSP27, HSP70 and alphaB-crystallin levels in musculi
vastus lateralis and trapezius.
AB - A single bout of high-force exercise has been shown to increase the muscle levels
of heat shock proteins (HSPs). Here, changes in the levels of HSPs after 2 and 11
weeks of strength training with either one or three sets per exercise were
examined. Fifteen young men (27 +/- 6 years, 182 +/- 8 cm and 82 +/- 13 kg) were
randomized to train either one set in lower-body exercises and three sets in
upper-body exercises (1L-3UB), or three sets in lower-body exercises and one set
in upper-body exercises (3L-1UB). Biopsies from vastus lateralis and trapezius
were obtained before, during (2 weeks) and after 11 weeks of strength training (3
bouts per week). The biopsies were analysed for HSP27 (cytosolic and cytoskeletal
fractions) and HSP70 and alphaB-crystallin (cytosolic fraction). No evidence for
an effect of training volume (1 vs. 3 sets) on the HSP response was found. For
all subjects combined, HSP27 [186 +/- 69% (mean +/- SD)], HSP70 (146 +/- 51%) and
alphaB-crystallin (184 +/- 82%) increased in the cytosolic fraction of vastus
lateralis after 11 weeks of training. In the trapezius, the only observed
increase was for HSP27 in the cytosolic fraction after 2 weeks of training (149
+/- 59%). However, the trapezius contained somewhat higher levels of HSP70 and
alphaB-crystallin than vastus lateralis at baseline. The HSP27 levels in the
cytoskeletal compartment did not increase significantly in either muscle. In
conclusion, strength training resulted-independent of training volume-in elevated
levels of HSP27, HSP70 and alphaB-crystallin in the cytosolic compartment of the
vastus lateralis. In the trapezius, only the cytosolic HSP27 levels were
increased with training.
PMID- 21901267
TI - Electroconvulsive therapy can benefit from controlled hyperventilation using a
laryngeal mask.
AB - Hypocapnia through hyperventilation is a well-known procedure in
electroconvulsive therapy (ECT) to enhance seizure activity. However, it has
mostly been applied in an uncontrolled manner. Originally intended for a better
management of the supraglottic airway, laryngeal masks are more suited to monitor
levels of CO(2) during hyperventilation than face masks and thereby provide for
the possibility of controlled hyperventilation (CHV). The impact of CHV was
retrospectively studied in 114 consecutive patients; 65 of them had received ECT
with CHV and 49 had received ECT with uncontrolled hyperventilation (UHV)
directly prior to the time period when the laryneal mask was introduced to the
ECT treatment procedure. The CO(2) level in the CHV group was aimed at 30 mmHg or
below. CHV considerably enhanced the seizure activity leading to changes in
clinically determined parameters of the treatment course: the necessity for
increasing the electric charge, for re-stimulations (trend) and for bilateral
stimulations was lower in the CHV group as compared to the UHV group. The
improvement in the Global Assessment of Functioning Scores was not different in
both groups. CHV was associated with a higher amount of prolonged seizures, with
a reduced number of delirious symptoms after treatments and an attenuating effect
on heart rate. Concluding, CHV can help to maintain the applied electric charge
low without worsening the clinical outcome. Therefore, it is a helpful technical
improvement. However, it should be used carefully with regard to prolonged
seizures.
PMID- 21901268
TI - Sport psychiatry and psychotherapy. Mental strains and disorders in professional
sports. Challenge and answer to societal changes.
AB - Professional athletes are subject to massive somatic, social, and mental stress.
Despite great public interest for athletic achievements, the emotional strains
thereof are very poorly investigated and discussed. The main reason for this is
the widespread assumption that only emotionally very strong athletes are able to
compete at the highly professional level and therefore mental disorders do not
exist in professional sports. But available research data about the prevalence of
mental disorders in this area suggest that this hypothesis must be revised. With
respect to depression and the overtraining syndrome, attempts have been made to
demonstrate the difficulties with etiology, diagnostics, and treatment for sports
psychiatry and psychotherapy. Scientifically, sport psychiatry and psychotherapy
can be defined as a discipline, whose focus is the investigation, treatment, and
prevention of the extreme and sports-specific emotional strains and disorders. In
addition to sport psychology, which focuses mainly on performance enhancement,
mental stress, and disorders can hereby be recognized, disorders be treated and
the athletic performance sustained. With the foundation of the Task Force for
Sports Psychiatry and Psychotherapy at the German Association for Psychiatry and
Psychotherapy, scientific research, further education, prevention, and treatment
for mental disorders in professional sports will be improved.
PMID- 21901269
TI - A promoter variant of SHANK1 affects auditory working memory in schizophrenia
patients and in subjects clinically at risk for psychosis.
AB - Mutations in postsynaptic scaffolding genes contribute to autism, thus suggesting
a role in pathological processes in neurodevelopment. Recently, two de novo
mutations in SHANK3 were described in schizophrenia patients. In most cases,
abnormal SHANK3 genotype was also accompanied by cognitive disruptions. The
present study queries whether common SHANK variants may also contribute to
neuropsychological dysfunctions in schizophrenia. We genotyped five common coding
or promoter variants located in SHANK1, SHANK2 and SHANK3. A comprehensive test
battery was used to assess neuropsychological functions in 199 schizophrenia
patients and 206 healthy control subjects. In addition, an independent sample of
77 subjects at risk for psychosis was analyzed for replication of significant
findings. We found the T allele of the SHANK1 promoter variant rs3810280 to lead
to significantly impaired auditory working memory as assessed with digit span
(12.5 +/- 3.6 vs. 14.8 +/- 4.1, P < .001) in schizophrenia cases, applying strict
Bonferroni correction for multiple testing. This finding was replicated for
forward digit span in the at-risk sample (7.1 +/- 2.0 vs. 8.3 +/- 2.0, P = .044).
Previously, altered memory functions and reduced dendritic spines and
postsynaptic density of excitatory synapses were reported in SHANK1 knock-out
mice. Moreover, the atypical neuroleptic clozapine was found to increase SHANK1
density in rats. Our findings suggest a role of SHANK1 in working memory deficits
in schizophrenia, which may arise from neurodevelopmental changes to prefrontal
cortical areas.
PMID- 21901270
TI - Progression of coronary artery calcification in black and white women: do the
stresses and rewards of multiple roles matter?
AB - BACKGROUND: Black women experience higher rates of cardiovascular disease (CVD)
than white women, though evidence for racial differences in subclinical CVD is
mixed. Few studies have examined multiple roles (number, perceived stress, and/or
reward) in relation to subclinical CVD, or whether those effects differ by race.
PURPOSE: The aim of this study was to investigate the effects of multiple roles
on 2-year progression of coronary artery calcium. METHODS: Subjects were 104
black and 232 white women (mean age 50.8 years). Stress and reward from four
roles (spouse, parent, employee, caregiver) were assessed on five-point scales.
Coronary artery calcium progression was defined as an increase of >=10 Agatston
units. RESULTS: White women reported higher rewards from their multiple roles
than black women, yet black women showed cardiovascular benefits from role
rewards. Among black women only, higher role rewards were related significantly
to lower progression of coronary artery calcium, adjusting for body mass index,
blood pressure, and other known CVD risk factors. Blacks reported fewer roles but
similar role stress as whites; role number and stress were unrelated to coronary
artery calcium progression. CONCLUSION: Rewarding roles may be a novel protective
psychosocial factor for progression of coronary calcium among black women.
PMID- 21901271
TI - Elevated gamma-glutamyltransferase in implantable cardioverter defibrillator
patients.
AB - BACKGROUND: Elevated gamma-glutamyltransferase (GGT) is a new risk factor for
cardiovascular diseases, but its impact on ventricular tachyarrhythmia occurrence
and survival in patients with an implantable cardioverter defibrillator (ICD) is
unknown. METHODS AND RESULTS: Considering that GGT levels are gender-dependent,
female ICD recipients were excluded from our database because of the low
incidence of events. In a retrospective analysis, appropriate ICD therapy (both
shocks and antitachycardia pacing due to ventricular tachyarrhythmias) occurred
in 31.9% of 320 male patients who had received an ICD for primary prevention
(median follow-up of 2.3 years), and in 55.1% of 423 male patients who had
received an ICD for secondary prevention (median follow-up of 3.9 years).
Compared to normal low GGT plasma levels (below 28 U/L), total mortality but not
risk for appropriate ICD therapy was elevated for higher GGT categories (p for
trend = 0.004 in primary prevention and p for trend = 0.002 in secondary
prevention, respectively). In Cox regression analysis, elevated GGT (>56 U/L)
remained an independent predictor of death both in primary (p = 0.011) and in
secondary prevention (p = 0.006). Patients with elevated GGT and renal
insufficiency defined by an estimated glomerular filtration rate <60 ml/min/1.73
m(2) suffered from excess total mortality jeopardizing the benefit of ICD
therapy. CONCLUSION: Elevation of GGT is an important adverse prognostic
parameter in ICD patients. A possible role of GGT for improved patient selection
for ICD therapy deserves further investigation.
PMID- 21901272
TI - [Clinical practise guideline of the special interest group in allergy of the OGDV
- Drug provocation testing in the diagnosis of cutaneous drug reactions].
AB - Nowadays, clinical and evidence based guidelines are considered one of the major
efforts to improve patient care in medical practices as well as hospital
settings. In the literature, clinical guidelines have been defined as
"systematically developed statements to assist practitioner and patient decisions
about appropriate healthcare for specific clinical circumstances", which promote
both clinically effective standards and cost-effective care. Despite
controversial discussion about the clinical impact of guidelines, they may
provide workable recommendations that may thus be important for improving the
individual patient's care. Adverse drug reactions (drug allergies, drug
hypersensitivities) often represent a major hazard for the affected patient, and
a definite diagnosis is important for further drug therapies in most cases. In
this context, any diagnostic procedure must be preceded by an individual risk
benefit assessment. Drug provocation testing is regarded as the gold standard,
but this kind of testing should be performed in accordance with established
criteria and, in the vast majority of cases, in a hospital setting. In this paper
we present a clinical guideline for drug provocation testing in Austria.
PMID- 21901273
TI - Serum amyloid a protein in clinical cancer diagnosis.
AB - The serum amyloid A (SAA) protein is an acute phase protein that is synthesized
under the regulation of inflammatory cytokines during both acute and chronic
inflammation. It is suggested that the SAA increases correlate with many types of
carcinogenesis and neoplastic diseases. Th changes in SAA in serum could
therefore indicate the progress and malignancy of the disease, as well as the
host responses. The present paper reviewed the rationale of using SAA as
potential cancer biomarker in clinical diagnosis, including the contribution and
involvement of SAA in cancer growth and development. Then we discussed the
current applications of SAA in diagnosis and tracing of different types of
cancers. Finally the proteomics techniques, especially the SELDI-TOF MS to
identify SAA in serum from patients were appreciated as an important manner in
clinical diagnosis.
PMID- 21901274
TI - Biochemical and morphological differences between CA125 isolated from healthy
women and patients with epithelial ovarian cancer from Tunisian population.
AB - Analysis of the structure of CA125 is essential for determining the physiological
role of this significant tumor antigen. The objectives of this study were: (1) to
identify the characteristics of the CA125 isolated from healthy and patient women
with epithelial ovarian cancer; and (2) to determine the ferning structure of
this antigen. The cancer-derived CA125 antigen (cCA125) purified by gel
filtration and affinity chromatography (Concanavalin A) was run on SDS-PAGE and
examined using light microscopy and compared with healthy-derived CA125 antigen
(hCA125). Both purified antigen cCA125 and hCA125 showed a high molecular mass (>
2,000 kDa) with high mannose glycans. The ferning patterns related to cCA125 and
hCA125 revealed distinct differences in the patterns of arborescence. The ferning
morphology of cCA125 antigen was denser than that of hCA125 antigen making an
obvious difference between cCA125 and hCA125, with respect to length, branching
and distribution of crystals. The current study provides the first evidence for a
potential functional link between CA125 and its structure which, in the light of
a comparison between cCA125 and hCA125, might proof to be of significant
biomedical importance in the future.
PMID- 21901275
TI - Allelic loss of 10q23.3, the PTEN gene locus in cervical carcinoma from Northern
Indian population.
AB - Cervical cancer is one of the most common malignant diseases affecting women
worldwide. Studies on loss of heterozygosity have been made for PTEN gene
specific microsatellite markers in malignancies like breast, ovary and lungs and
the results have shown a significant association. However the role of this gene
is not clearly understood in cervical cancer from Indian population. A total of
135 cervical carcinoma tissues samples were analyzed for loss of heterozygosity.
DNA was isolated from the samples and their matched control specimens. Polymerase
chain reaction was performed using primer specific for two intragenic markers
(D10S198 & D10S192) and one marker (D10S541) in flanking region and further
electrophoresed on 8% denaturing polyacrylamide gel. Overall, 31 out of 133(23%)
informative cases showed loss of heterozygosity in at least one locus in the
region examined. The percentage of loss of heterozygosity for these markers
ranged from 8% (D10S192) to 13% (D10S198). Loss of heterozygosity was more
frequently detected in intragenic region (D10S198 & D10S192) than in flanking
region, D10S541 (21% versus 9%). These data argue that PTEN is a tumor suppressor
gene whose inactivation may play an important role in the carcinoma of uterine
cervix.
PMID- 21901276
TI - Role of organ transplantation in the treatment of malignancies: hepatocellular
carcinoma as the most common tumour treated with transplantation.
AB - There are only few malignant tumours where organ transplantation is the treatment
of choice. Transplantation can be considered individually in certain lung
carcinomas, unresectable heart tumours, cholangiocellular carcinoma and Klatskin
tumour. It is acceptable in unresectable chemosensitive hepatoblastoma,
epitheloid haemangioendothelioma, liver metastasis of neuroendocrine tumours and
as the most common indication, the early hepatocellular carcinoma (HCC) in
cirrhotic liver. Results of liver transplantation (LT) for HCC according to Milan
criteria as a "gold standard" are excellent. Time of LT has a great influence on
the results. While patients are on waiting list, locoregional therapies may help
prevent tumour progress. Living donor LT is an acceptable treatment of HCC. The
greatest experience with this procedure is in Asia. Despite the favourable
results, LT as the treatment of HCC is debated and raises several questions:
regarding indication and expectable outcome. Milan criteria seem to answer this
questions although they are too strict. The number and size of HCC foci per se is
not sufficient predictor of eligibility to transplantation and for prognosis.
Majority of the prognostic factors can be evaluated only after transplantation
with pathological examination of HCC. Aim of the present research is to find
prognostic factors that are characteristic of biological behaviour of HCC, which
can be detected before LT in order to select patients who have the greatest
benefit from LT. Re-definition of eligibility criteria is an actual question; an
international consensus based on additional prospective studies is required for
the "new" recommendation.
PMID- 21901277
TI - Heterologous expression of the chrysanthemum R2R3-MYB transcription factor CmMYB2
enhances drought and salinity tolerance, increases hypersensitivity to ABA and
delays flowering in Arabidopsis thaliana.
AB - Knowledge on genes related to plant responses to adverse growth conditions and
development is essential for germplasm improvement. In this study, a
chrysanthemum R2R3-MYB transcription factor gene, designated CmMYB2 (GenBank
accession No. JF795918), was cloned and functionally characterized. Expression of
CmMYB2 in chrysanthemum leaves was up-regulated in response to drought, salinity
and cold stress, as well as by treatment with exogenous abscisic acid (ABA). When
the gene was constitutively expressed in Arabidopsis thaliana, it increased plant
sensitivity to ABA and reduced stomatal aperture. Plant survival under drought
was improved than in the wild type, as was the plants' salinity tolerance. The
level of expression of a number of genes associated with the stress response,
including RD22, RD29A, RAB18, COR47, ABA1 and ABA2, was raised in the CmMYB2
transgenic Arabidopsis plants. CmMYB2 transgenic Arabidopsis plants were also
delayed in flowering. The expression of CONSTANS (CO), FLOWERING LOCUS T (FT),
SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 (SOC1), LEAFY (LFY) and APETALA1 (AP1)
genes involved in flowering was down-regulated in the CmMYB2 transgenics.
Together, these results suggest that CmMYB2 may be a promising gene for the
drought and salt tolerance improvement and flowering-time modulation.
PMID- 21901278
TI - Development of vaccine delivery vehicles based on lactic acid bacteria.
AB - Live recombinant bacteria represent attractive antigen delivery systems able to
induce both mucosal and systemic immune responses against heterologous antigens.
The first live recombinant bacterial vectors developed were derived from
attenuated pathogenic microorganisms. In addition to the difficulties often
encountered in the construction of stable attenuated mutants of pathogenic
organisms, attenuated pathogens may retain a residual virulence level that
renders them unsuitable for the vaccination of partially immunocompetent
individuals such as infants, the elderly or immunocompromised patients. As an
alternative to this strategy, non-pathogenic food-grade lactic acid bacteria
(LAB) maybe used as live antigen carriers. This article reviews LAB vaccines
constructed using antigens other than tetanus toxin fragment C, against
bacterial, viral, and parasitic infective agents, for which protection studies
have been performed. The antigens utilized for the development of LAB vaccines
are briefly described, along with the efficiency of these systems in protection
studies. Moreover, the key factors affecting the performance of these systems are
highlighted.
PMID- 21901279
TI - European Working Time Directive and the use of simulators and models in Irish
orthopaedics.
AB - OBJECTIVE: To report on the perceptions of a group of orthopaedic trainees and
trainers on perceived effects of the proposed introduction of European Working
Time Directive (EWTD) restrictions into Ireland and on the use of simulators in
training orthopaedic skills. METHODS: A structured questionnaire was developed to
evaluate the opinions of a group of orthopaedic surgeons and trainees at the
annual national orthopaedic conference. RESULTS: There were 44 participants [12
consultants, 32 trainees (15 specialist registrars, 8 registrars, 9 senior house
officers)]. Seventy-five percent of participants felt that both the quality of
patient care and training would be negatively affected. A higher proportion of
consultants than trainees felt that quality of life would be affected. A high
proportion of participants (81.8%) had used a simulator or model to learn a
surgical skill and 100% would consider using them again. CONCLUSIONS: While we
wait for the full introduction of the EWTD hours the perception is that both
quality of patient care and training will be affected. Models and simulators are
well perceived as a method of training.
PMID- 21901280
TI - Chromatin occupancy of transcription factor 7-like 2 (TCF7L2) and its role in
hepatic glucose metabolism.
AB - AIMS/HYPOTHESIS: The mechanisms by which transcription factor 7-like 2 (TCF7L2)
regulates the pathways that are important in the pathogenesis of type 2 diabetes
are unknown. We therefore examined the role of TCF7L2 in hepatic glucose
production (HGP) in vitro and characterised the whole-genome chromatin occupancy
of TCF7L2 in hepatocytes. METHODS: We investigated the effect of TCF7L2 silencing
and overexpression on HGP from gluconeogenic precursors and used chromatin
immunoprecipitation (ChIP) combined with massively parallel DNA sequencing (ChIP
Seq) to investigate the DNA binding patterns of TCF7L2 across the whole genome.
RESULTS: Silencing of TCF7L2 induced a marked increase in basal HGP, which was
accompanied by significant increases in the expression of the gluconeogenic genes
Fbp1, Pck1 and G6pc. Overexpression of Tcf7l2 reversed this phenotype and
significantly reduced HGP. TCF7L2 silencing did not affect the half-maximal
inhibitory concentration of insulin or metformin, but HGP remained elevated in
TCF7L2-silenced cells due to the increased baseline HGP. Using ChIP-Seq, we
detected 2,119 binding events across the genome. Pathway analysis demonstrated
that diabetes genes were significantly over-represented in the dataset. Our
results indicate that TCF7L2 binds directly to multiple genes that are important
in regulation of glucose metabolism in the liver, including Pck1, Fbp1, Irs1,
Irs2, Akt2, Adipor1, Pdk4 and Cpt1a. CONCLUSIONS/INTERPRETATION: TCF7L2 is an
important regulator of HGP in vitro and binds directly to genes that are
important in pathways of glucose metabolism in the liver. These data highlight
the possibility that TCF7L2 may affect fasting and postprandial hyperglycaemia in
carriers of at-risk TCF7L2 genetic polymorphisms.
PMID- 21901281
TI - Nicotinamide mononucleotide protects against pro-inflammatory cytokine-mediated
impairment of mouse islet function.
AB - AIMS/HYPOTHESIS: Nicotinamide phosphoribosyltransferase (NAMPT), the rate
limiting enzyme for NAD(+) biosynthesis, exists as intracellular NAMPT (iNAMPT)
and extracellular NAMPT (eNAMPT). eNAMPT, secreted from adipose tissue, promotes
insulin secretion. Administration of nicotinamide mononucleotide (NMN), a product
of the eNAMPT reaction, corrects impaired islet function in Nampt ( +/- ) mice.
One of its potential targets is the NAD(+)-dependent deacetylase sirtuin 1. We
hypothesised that altered NAMPT activity might contribute to the suppression of
islet function associated with inflammation, and aimed to determine whether NMN
could improve cytokine-mediated islet dysfunction. METHODS: Acute effects of NMN
on cytokine-mediated islet dysfunction were examined in islets incubated with
TNFalpha and IL1beta, and in mice fed a fructose-rich diet (FRD) for 16 weeks.
Changes in iNAMPT, eNAMPT and inflammation levels were determined in FRD-fed
mice. RESULTS: FRD-fed mice displayed markedly lower levels of circulating
eNAMPT, with impaired insulin secretion and raised islet expression of Il1b. NMN
administration lowered Il1b expression and restored suppressed insulin secretion
in FRD-fed mice. NMN also restored insulin secretion in islets cultured with pro
inflammatory cytokines. The changes in islet function corresponded with changes
in key markers of islet function and differentiation. The anti-inflammatory
effects of NMN were partially blocked by inhibition of sirtuin 1.
CONCLUSIONS/INTERPRETATION: Chronic fructose feeding causes severe islet
dysfunction in mice. Onset of beta cell failure in FRD-fed mice may occur via
lowered secretion of eNAMPT, leading to increased islet inflammation and impaired
beta cell function. Administration of exogenous NMN to FRD-fed mice corrects
inflammation-induced islet dysfunction. Modulation of this pathway may be an
attractive target for amelioration of islet dysfunction associated with
inflammation.
PMID- 21901282
TI - Vitamin D deficiency as a risk factor for cystic fibrosis-related diabetes in the
Scandinavian Cystic Fibrosis Nutritional Study.
AB - AIMS/HYPOTHESIS: Many cystic fibrosis patients are vitamin D-insufficient. Cystic
fibrosis-related diabetes is a major complication of cystic fibrosis. The
literature suggests that vitamin D might possess certain glucose-lowering
properties. We aimed to assess the relationship between vitamin D and cystic
fibrosis-related glucose intolerance. METHODS: We enrolled 898 cystic fibrosis
patients from Sweden, Norway and Denmark. Vitamin D intake was assessed using a
seven-day food record. Serum 25-hydroxyvitamin D (s25OHD) and HbA(1c) were
measured, and an OGTT was carried out. Multiple linear and logistic regressions
were used for HbA(1c) and cystic fibrosis-related diabetes/OGTT result as outcome
variables, respectively. Each model was controlled for country, and for known
cystic fibrosis-related diabetes risk factors: age, sex, genotype, liver
dysfunction, long-term corticosteroid treatment, and lung and pancreatic
function. RESULTS: Degree of vitamin D insufficiency (OR 1.36; p = 0.032) and
s25OHD < 30 nmol/l (OR 1.79; p = 0.042) were significant risk factors for cystic
fibrosis-related diabetes. Accordingly, HbA(1c) value was positively associated
with s25OHD < 30 nmol/l and < 50 nmol/l, as well as with degree of vitamin D
insufficiency (adjusted R (2) = 20.5% and p < 0.05 in all). In subgroup analyses,
s25OHD < 30 nmol/l determined the HbA(1c) value in paediatric patients (adjusted
R (2) = 20.2%; p = 0.017), but not in adults. CONCLUSIONS/INTERPRETATION: Vitamin
D status is associated with HbA(1c) and diabetes in cystic fibrosis, particularly
in children. The study justifies prospective studies on the proposed role of
vitamin D deficiency in the pathophysiology of diabetes mellitus.
PMID- 21901284
TI - Skin sensory function in post-bariatric patients-implications for selection of
post-bariatric abdominal body-contouring techniques.
PMID- 21901283
TI - Investigation of antidepressant medication usage after bariatric surgery.
AB - BACKGROUND: Many patients seeking bariatric surgery have a history of mood
disorders and are actively prescribed antidepressants. While extensive
documentation exists on the impact of weight loss surgery on reductions in
cardiac, diabetic, and hypertensive medications, little is known about the impact
of bariatric surgery on the use of antidepressant medications. METHODS: A
retrospective study of 439 patients who had undergone Roux-en-Y gastric bypass
(RYGB) from January 2001 to November 2004 was examined for postoperative changes
in the use of antidepressant medications. RESULTS: After RYGB, 23% of the
patients had an increase in their antidepressant use, 40% continued to require
the same antidepressant, 18% had a change in antidepressant medication, and only
16% had a decrease or discontinued their antidepressant. CONCLUSION: Unlike most
medications, antidepressant usage did not decrease in the majority of patients
after RYGB. These results highlight the prevalence of antidepressant prescription
use in patients before and after RYGB and support the need for the careful
monitoring of depressive symptoms.
PMID- 21901285
TI - Intragastric balloon in association with lifestyle and/or pharmacotherapy in the
long-term management of obesity.
AB - BACKGROUND: Intragastric balloon (BioEnterics Intragastric Balloon, BIB(r)) or
pharmacotherapy are possible options for the treatment of obese patients when
traditional approaches have failed. The aim of our study was to compare in obese
patients the effect on weight loss and metabolic changes of lifestyle
modifications associated with either BIB or pharmacotherapy or the two treatments
in sequence as a maintenance strategy for weight loss. METHODS: Fifty obese
patients were recruited and randomly assigned to lifestyle modifications combined
with either BIB for 6 months (n = 30) or sibutramine (pharmacotherapy group) for
1 year (n = 20). After BIB removal, patients were randomly assigned to either
correct lifestyle (BIB/lifestyle) or lifestyle plus pharmacotherapy
(BIB/pharmacotherapy). RESULTS: At 6 months, patients treated with BIB lost
significantly (P < 0.05) more weight (percent of initial weight lost, %IWL = 14.5
+/- 1.2; percent of excess BMI lost, %EBL = 37.7 +/- 3.2) than patients who
received pharmacological treatment (%IWL = 9.1 +/- 1.5, %EBL = 25.3 +/- 4.1). At
1 year, the weight lost was significantly (P < 0.05) greater in patients treated
with either BIB/pharmacotherapy (%IWL = 15.8 +/- 2.3%, %EBL = 41.3 +/- 6.7%) or
BIB/lifestyle (%IWL = 14.3 +/- 2.7, %EBL = 34.9 +/- 6.5%) in respect to
pharmacotherapy group (%IWL = 8.0 +/- 1.4%, %EBL = 22.1 +/- 3.9%). Moreover,
patients treated sequentially with BIB/lifestyle or BIB/pharmacotherapy showed a
significant (P < 0.05) improvement in insulin sensitivity and triglycerides
levels. CONCLUSIONS: BIB represents an efficacious long-term obesity treatment
when supplemental strategies, as lifestyle modifications or pharmacotherapy, are
established for weight maintenance after its removal.
PMID- 21901286
TI - Prevalence of liver steatosis and fibrosis and the diagnostic accuracy of
ultrasound in bariatric surgery patients.
AB - BACKGROUND: Liver steatosis can progress to fibrosis, cirrhosis, and eventually
to end-stage liver disease and hepatocellular carcinoma. We thus determined the
prevalence of liver steatosis and fibrosis in patients undergoing bariatric
surgery using liver biopsy. We also determined the suitability of ultrasound for
diagnosis of liver steatosis with and without simultaneously considering patient
characteristics. METHODS: We reviewed preoperative liver ultrasound and
intraoperative liver biopsy results in 451 bariatric surgery patients along with
their clinical characteristics between 2005 and 2009. RESULTS: Among 435 patients
with conclusive biopsy results, estimated prevalence of liver steatosis was 71.5%
(95% confidence interval 67%, 76%) and that of fibrosis was 27% (23%, 31%).
Sensitivity of ultrasound for liver steatosis was 86% (82%, 90%); its specificity
was 68% (59%, 76%). Positive predictive value of ultrasound for liver steatosis
was 87% (82%, 91%), and its negative predictive value was 67% (58%, 75%). Overall
diagnostic accuracy was 81% (77% 85%). Sensitivity was improved in patients with
higher nonalcoholic fatty liver disease activity scores (NAS) [odds ratio (OR)
1.4 (1.1, 1.9) for a one unit increase in NAS] and prolonged duration of obesity
[OR 1.3 (1.1, 1.6) for a 5-year increase in duration] but was worsen by higher
body mass index. CONCLUSIONS: About three quarters of bariatric surgery patients
have liver steatosis, and about a quarter have fibrosis. One third of patients
with liver steatosis develop fibrosis without significant clinical
manifestations. Ultrasound was only moderately diagnostic for liver steatosis but
was sufficient for clinical use in patients with a NAS score >=2 and when the
duration of obesity was >30 years.
PMID- 21901287
TI - Trabeculectomy or modified deep sclerectomy in juvenile uveitic glaucoma.
AB - PURPOSE: The purpose of this study is to report the effectiveness of
trabeculectomies (TE) and modified deep sclerectomies (mdS) in a group of
patients with juvenile uveitic secondary glaucoma. METHODS: This is a
retrospective analysis of 16 TE and eight mdS. RESULTS: Postoperatively, an IOP
reduction to 11.6 +/- 4.7 mmHg was achieved in the TE group and to 18.5 +/- 11.4
mmHg in the mdS group (p = 0.045). In the TE group, 14 patients showed
postoperative success, one limited success and another was a failure compared to
four successes and four failures in the mdS group (p = 0.041). The mean number of
complications was 1.25 +/- 1.49 in the TE group and 0.38 +/- 0.74 after mdS (p =
0.11). In the mdS group, four patients (50%) needed additional glaucoma surgery
compared to one TE patient (p = 0.023). CONCLUSION: Both surgical techniques
showed a marked reduction of IOP. Trabeculectomy has a higher probability of
achieving success and lowering IOP.
PMID- 21901288
TI - Chronic Akt activation accentuates aging-induced cardiac hypertrophy and
myocardial contractile dysfunction: role of autophagy.
AB - Aging is often accompanied with geometric and functional changes in the heart,
although the underlying mechanisms remain unclear. Recent evidence has described
a potential role of Akt and autophagy in aging-associated organ deterioration.
This study was to examine the impact of cardiac-specific Akt activation on aging
induced cardiac geometric and functional changes and underlying mechanisms
involved. Cardiac geometry, contractile and intracellular Ca(2+) properties were
evaluated using echocardiography, edge-detection and fura-2 techniques. Level of
insulin signaling and autophagy was evaluated by western blot. Our results
revealed cardiac hypertrophy (enlarged chamber size, wall thickness, myocyte
cross-sectional area), fibrosis, decreased cardiac contractility, prolonged
relengthening along with compromised intracellular Ca(2+) release and clearance
in aged (24-26 month-old) mice compared with young (3-4 month-old) mice, the
effects of which were accentuated by chronic Akt activation. Aging enhanced Akt
and mTOR phosphorylation while reducing that of PTEN, AMPK and ACC with a more
pronounced response in Akt transgenic mice. GSK3beta phosphorylation and eNOS
levels were unaffected by aging or Akt overexpression. Levels of beclin-1, Atg5
and LC3-II-to-LC3-I ratio were decreased in aged hearts, the effect of which with
the exception of Atg 5 was exacerbated by Akt overactivation. Levels of p62 were
significantly enhanced in aged mice with a more pronounced increase in Akt mice.
Neither aging nor Akt altered beta-glucuronidase activity and cathepsin B
although aging reduced LAMP1 level. In addition, rapamycin reduced aging-induced
cardiomyocyte contractile and intracellular Ca(2+) dysfunction while Akt
activation suppressed autophagy in young but not aged cardiomyocytes. In
conclusion, our data suggest that Akt may accentuate aging-induced cardiac
geometric and contractile defects through a loss of autophagic regulation.
PMID- 21901289
TI - Mesenchymal stromal cells mediate a switch to alternatively activated
monocytes/macrophages after acute myocardial infarction.
AB - Given the established anti-inflammatory properties of mesenchymal stromal cells
(MSCs), we investigated their effect on inflammatory cell infiltration of
ischemic cardiac tissue and cardiac function. We employed two types of MSCs,
human bone marrow-derived (BM) MSCs and human umbilical cord perivascular cells
in an experimental acute myocardial infarction (MI) model with the immune
deficient NOD/SCID gamma null mouse. Cells were infused 48 h after induction of
MI and mice assessed 24 h later (72 h after MI) for bone marrow (BM), circulating
and cardiac tissue-infiltrating monocytes/macrophages. We showed that in the
presence of either MSC type, overall macrophage/monocyte levels were reduced,
including pro-inflammatory M1-type macrophages, while the proportion of
alternatively activated M2-type macrophages was significantly increased in the
circulation and heart but not the BM. Moreover, we found decreased expression of
IL-1beta and IL-6, increased IL-10 expression and fewer apoptotic cardiomyocytes
without changes in angiogenesis in the infarct area. Fractional shortening was
enhanced 2 weeks after cell infusion but was similar to medium controls 16 weeks
after MI. In vitro studies showed that BM MSCs increased the frequency of
alternatively activated monocytes/macrophages, in part by MSC-mediated secretion
of IL-10. Our data suggest a new mechanism for MSC-mediated enhancement of
cardiac function, possibly via an IL-10 mediated switch from infiltration of pro
inflammatory to anti-inflammatory macrophages at the infarct site. Additional
studies are warranted confirming the role of IL-10 and augmenting the anti
inflammatory effects of MSCs in cardiac regeneration.
PMID- 21901290
TI - K201 (JTV-519) alters the spatiotemporal properties of diastolic Ca(2+) release
and the associated diastolic contraction during beta-adrenergic stimulation in
rat ventricular cardiomyocytes.
AB - K201 has previously been shown to reduce diastolic contractions in vivo during
beta-adrenergic stimulation and elevated extracellular calcium concentration
([Ca(2+)](o)). The present study characterised the effect of K201 on electrically
stimulated and spontaneous diastolic sarcoplasmic reticulum (SR)-mediated Ca(2+)
release and contractile events in isolated rat cardiomyocytes during beta
adrenergic stimulation and elevated [Ca(2+)](o). Parallel experiments using
confocal microscopy examined spontaneous diastolic Ca(2+) release events at an
enhanced spatiotemporal resolution. 1.0 MUmol/L K201 in the presence of 150
nmol/L isoproterenol (ISO) and 4.75 mmol/L [Ca(2+)](o) significantly decreased
the amplitude of diastolic contractions to ~16% of control levels. The stimulated
free Ca(2+) transient amplitude was significantly reduced, but stimulated cell
shortening was not significantly altered. When intracellular buffering was taken
into account, K201 led to an increase in action potential-induced SR Ca(2+)
release. Myofilament sensitivity to Ca(2+) was not changed by K201. Confocal
microscopy revealed diastolic events composed of multiple Ca(2+) waves (2-3)
originating at various points along the cardiomyocyte length during each
diastolic period. 1.0 MUmol/L K201 significantly reduced the (a) frequency of
diastolic events and (b) initiation points/diastolic interval in the remaining
diastolic events to 61% and 71% of control levels respectively. 1.0 MUmol/L K201
can reduce the probability of spontaneous diastolic Ca(2+) release and their
associated contractions which may limit the propensity for the contractile
dysfunction observed in vivo.
PMID- 21901291
TI - Estradiol inhibits hyaluronic acid synthase 1 expression in human vascular smooth
muscle cells.
AB - Epidemiological and clinical data suggest that estrogen retards the progression
of atherosclerosis. This study aims to elucidate whether the phenotypic
regulation of human vascular smooth muscle cells (VSMC) by estrogen may involve
effects on the hyaluronan matrix. VSMC were synchronized by serum withdrawal and
subsequently stimulated with 0.001, 0.01, 0.1 and 1 MUM estradiol (E(2)) in the
presence or absence of platelet-derived growth factor BB (PDGF-BB) for 24 h. E(2)
reduced mRNA-expression of hyaluronic acid synthase (HAS) 1 in the presence and
absence of PDGF-BB. In contrast, HAS2- and HAS3-mRNA-expression were not
affected. This E(2)-mediated effect on HAS1 mRNA-expression was accompanied by
reduced hyaluronan secretion and a shift of HA toward lower molecular weight as
evidenced by molecular sieve chromatography. The downregulation of HAS1 was
abrogated by the estrogen receptor (ER) alpha and beta antagonist ICI182780 and
could be mimicked by the ERalpha-agonist propyl-pyrazole triol (PPT). On the
contrary, the ERbeta-agonist diarylpropionitrile (DPN) had no effect on HAS1 mRNA
expression. To investigate whether the downregulation of HAS1 was causally
involved in the phenotypic regulation of human VSMC by E(2), lentiviral
overexpression of HAS1 was conducted. Overexpression of HAS1 abrogated the
inhibition of sustained ERK1/2 phosphorylation and in turn inhibition of DNA
synthesis by E(2). For the first time this study provides strong evidence that
HAS1-driven HA-synthesis is a target of E(2) in human VSMC and that E(2) mediates
part of its anti-proliferative effects through an ERalpha-dependent inhibition of
HA-synthesis.
PMID- 21901292
TI - Comparative study of fungal cell disruption--scope and limitations of the
methods.
AB - Simple and effective protocols of cell wall disruption were elaborated for tested
fungal strains: Penicillium citrinum, Aspergillus fumigatus, Rhodotorula
gracilis. Several techniques of cell wall disintegration were studied, including
ultrasound disintegration, homogenization in bead mill, application of chemicals
of various types, and osmotic shock. The release of proteins from fungal cells
and the activity of a cytosolic enzyme, glucose-6-phosphate dehydrogenase, in the
crude extracts were assayed to determine and compare the efficacy of each method.
The presented studies allowed adjusting the particular method to a particular
strain. The mechanical methods of disintegration appeared to be the most
effective for the disintegration of yeast, R. gracilis, and filamentous fungi, A.
fumigatus and P. citrinum. Ultrasonication and bead milling led to obtaining
fungal cell-free extracts containing high concentrations of soluble proteins and
active glucose-6-phosphate dehydrogenase systems.
PMID- 21901293
TI - Isolation and characterization of Clostridium difficile from shellfish and marine
environments.
AB - This pilot study was carried out to evaluate the occurrence of Clostridium
difficile in marine environments and in edible shellfish. Samples of seawater,
sediment, and zooplankton were collected at five sampling stations in the Gulf of
Naples. Six samples of edible shellfish, furthermore, were obtained: two from
mussel farms and four from wholesalers. The isolation and the characterization of
C. difficile strains were carried out using selective media and molecular
techniques, respectively. C. difficile was isolated from nine of the 21 samples
investigated. Shellfish and zooplankton showed the highest prevalence of positive
samples. No C. difficile was detected in marine sediment. Majority of the C.
difficile isolates were toxin A/B positive. Six known different PCR ribotypes
(003, 005, 009, 010, 056, and 066) were identified, whereas one strain may
represent a new PCR ribotype. C. difficile may be present in the marine
environment in Southern Italy, including shellfish and zooplankton. This study is
reporting the isolation of C. difficile from zooplankton, clams, and mussels and
pointing out a new possible route to exposure to C. difficile of healthy
individuals in the community.
PMID- 21901294
TI - Phylogenetic diversity of bacterial communities in bovine rumen as affected by
diets and microenvironments.
AB - Phylogenetic analysis was conducted to examine ruminal bacteria in two ruminal
fractions (adherent fraction vs. liquid fraction) collected from cattle fed with
two different diets: forage alone vs. forage plus concentrate. One hundred forty
four 16S rRNA gene (rrs) sequences were obtained from clone libraries constructed
from the four samples. These rrs sequences were assigned to 116 different
operational taxonomic units (OTUs) defined at 0.03 phylogenetic distance. Most of
these OTUs could not be assigned to any known genus. The phylum Firmicutes was
represented by approximately 70% of all the sequences. By comparing to the OTUs
already documented in the rumen, 52 new OTUs were identified. UniFrac, SONS, and
denaturing gradient gel electrophoresis analyses revealed difference in diversity
between the two fractions and between the two diets. This study showed that rrs
sequences recovered from small clone libraries can still help identify novel
species-level OTUs.
PMID- 21901295
TI - Structure of a protein-detergent complex: the balance between detergent cohesion
and binding.
AB - Despite the major interest in membrane proteins at functional, genomic, and
therapeutic levels, their biochemical and structural study remains challenging,
as they require, among other things, solubilization in detergent micelles. The
complexity of this task derives from the dependence of membrane protein structure
on their anisotropic environment, influenced by a delicate balance between many
different physicochemical properties. To study such properties in a small protein
detergent complex, we used fluorescence measurements and molecular dynamics (MD)
simulations on the transmembrane part of glycophorin A (GpAtm) solubilized in
micelles of dihexanoylphosphatidylcholine (DHPC) detergent. Fluorescence
measurements show that DHPC has limited ability to solubilize the peptide, while
MD provides a possible molecular explanation for this. We observe that the
detergent molecules are balanced between two different types of interactions:
cohesive interactions between detergent molecules that hold the micelle together,
and adhesive interactions with the peptide. While the cohesive interactions are
detergent mediated, the adhesion to the peptide depends on the specific
interactions between the hydrophobic parts of the detergent and the topography of
the peptide dictated by the amino acids. The balance between these two parameters
results in a certain frustration of the system and rather slow equilibration.
These observations suggest how molecular properties of detergents could influence
membrane protein stabilization and solubilization.
PMID- 21901296
TI - Subjective perception versus objective outcome after intravitreal ranibizumab for
exudative AMD.
AB - BACKGROUND: The efficacy of ranibizumab in preserving visual acuity in exudative
age-related macular degeneration (AMD) has been widely demonstrated. However,
statistically significant improvements in outcome measures such as best-corrected
visual acuity (BCVA) may not necessarily be clinically relevant. Clinical
relevance can be assumed when the treatment success is perceivable for the
patient. We therefore investigated the relation between subjective perception of
the treatment success and the objective outcome after intravitreal ranibizumab
treatment. METHODS: In this prospective interventional case series, patients
received three monthly ranibizumab injections for exudative AMD. To assess the
subjective study outcome (SSO) 4 weeks after the third injection, patients had to
grade the overall trend of visual quality in the treated eye since baseline.
Objective changes of functional (BCVA measured with ETDRS reading charts; reading
visual acuity (RVA) and reading speed measured with Radner reading charts) and
morphological parameters (central retinal thickness measured with OCT) were
evaluated. Agreement between SSO and objective parameters was assessed with
nonparametric statistical tests. RESULTS: Seventy-four eyes of 74 patients were
analyzed. Mean BCVA increased from 55 (SD +/-13) ETDRS letters by +3.16 letters
(SD +/-11.99, p = 0.03). Mean RVA (measured as logRAD score) increased by -0.067
(SD +/-0.294, p = 0.052). Fifty patients (68%) perceived a subjective
improvement, 16 (21%) no change, and eight (11%) a worsening in the study eye
(SSO). SSO was independent of whether treating the better- or worse-seeing eye (p
= 0.83). SSO was significantly correlated with BCVA, RVA, and reading speed (as
assessed using the critical print size (CPS)) changes (p = 0.002, p < 0.001, and
p = 0.002), but showed no correlation to central retinal thickness changes (p =
0.783). Patients gaining >= +5 ETDRS letters had a significantly better SSO (p =
0.001). The rate of subjective improvement increased distinctly to >80% among
patients gaining >= +7 letters. CONCLUSIONS: In this study, 2/3 of patients
reported a subjective improvement from ranibizumab injections. Patients'
perception was significantly correlated with objective changes in BCVA and
reading visual acuity. Our data indicate that the mean threshold for perceived
improvement is a +5 to +7 letter gain, which might accordingly be considered
clinically meaningful and relevant. Patients' perception was independent of
whether the better- or worse-seeing eye was treated.
PMID- 21901297
TI - Switching from oxycodone to methadone in advanced cancer patients.
AB - PURPOSE: The aim of this study was to prospectively evaluate the outcomes and the
conversion ratio of switching from oxycodone to methadone in advanced cancer
patients admitted to an acute palliative care unit. PATIENTS AND METHODS: A
prospective study was carried out on a cohort of consecutive sample of patients
receiving oxycodone, who were switched for different reasons mainly because of an
inconvenient balance between analgesia and adverse effects. An initial conversion
ratio between oxycodone and methadone was 3.3:1. Intensity of pain and symptoms
associated with opioid therapy were recorded, and a distress score (DS) was also
calculated as a sum of symptom intensity. A successful switching was considered
when the intensity of pain and/or DS or the principal symptom requiring switching
decreased at least of 33% of the value recorded before switching. RESULTS:
Nineteen out of 542 patients admitted to the unit in 1 year underwent a switching
from oxycodone to methadone. Almost all substitutions were successful. The
prevalent indication for opioid switching was uncontrolled pain and adverse
effects (12 patients). No significant changes between the initial conversion
ratio and final conversion ratio between the two opioids were found. CONCLUSION:
Switching from oxycodone to methadone is a reliable method to improve the opioid
response in advanced cancer patients. A ratio of 3.3 appears to be reliable, even
at high doses. Further studies should be performed to confirm these results in
other settings and with very high doses of oxycodone.
PMID- 21901298
TI - Prophylaxis of radiotherapy-induced nausea and vomiting in the palliative
treatment of bone metastases.
AB - PURPOSE: To document the incidence and timing of radiotherapy-induced nausea and
vomiting (RINV) in the treatment of bone metastases among patients receiving
prophylaxis with a 5-HT(3) receptor antagonist. METHODS: Patients receiving
single (SF) or multiple fraction (MF) palliative radiotherapy (RT) of moderate or
low emetogenic risk for bone metastases were prescribed prophylactic Ondansetron.
The frequency and duration of prophylaxis and the use of rescue antiemetics were
left to the discretion of the treating physicians. Patients documented episodes
of nausea (N) and vomiting (V) in daily diaries before and during RT, and until
10 days following RT completion. Rates of complete prophylaxis (CP) for N&V,
respectively (CP = no event and no rescue medication), were calculated for the
acute phase (the period from the start of RT to the first day following RT
completion inclusive) and the delayed phase (the second to tenth days following
RT completion inclusive). RESULTS: Fifty-nine patients were enrolled, and 32 were
evaluable. CP rates were as follows: moderate-risk SF group (n = 16), acute phase
(CP for N = 56%, CP for V = 69%) and delayed phase (CP for N = 31%, CP for V =
44%); moderate-risk MF group (n = 7), acute phase (CP for N = 71%, CP for V =
57%) and delayed phase (CP for N = 43%, CP for V = 57%); low-risk SF group (n =
8), acute phase (CP for N = 50%, CP for V = 100%) and delayed phase (CP for N =
43%, CP for V = 57%); and low-risk MF group (n = 1), acute phase (CP for N =
100%, CP for V = 100%) and delayed phase (CP for N = 100%, CP for V = 100%).
CONCLUSIONS: Despite prophylaxis, RINV was common among patients receiving
palliative radiotherapy for bone metastases, especially during the delayed phase.
PMID- 21901299
TI - The reliability and validity of the Korean version of the Pittsburgh Sleep
Quality Index.
AB - PURPOSE: The Pittsburgh Sleep Quality Index (PSQI) is a self-reported
questionnaire that measures sleep quality during the previous month. The aims of
this study were to analyze the reliability and validity of the Korean version of
the PSQI (PSQI-K) and to evaluate its usefulness. METHODS: We developed the PSQI
K, which involved translating the original PSQI into Korean and then translating
back into English to check its accuracy. We tested the validity of the PSQI-K on
a total of 394 individuals: 261 with poor sleep (primary insomnia, n = 211;
narcolepsy, n = 50) and 133 with good sleep. All subjects completed the PSQI-K,
285 had overnight nocturnal polysomnography, and 53 were randomly selected for a
retest with the questionnaire after 2-4 weeks without any intervening treatment.
The mean PSQI-K global scores in each group were analyzed after adjusting for age
and sex. RESULTS: Cronbach's alpha coefficient for internal consistency of the
total score of the PSQI-K was 0.84 which shows high reliability. Sensitivity and
specificity for distinguishing poor and good sleepers were 0.943 and 0.844 using
the best cutoff point of 8.5. The total and component scores of the PSQI-K for
insomnia and narcolepsy were significantly higher than those for controls (p <
0.05). The test-retest correlation coefficient was 0.65 for the total score (p <
0.001). There was no significant difference between the two values using the
paired t tests. CONCLUSIONS: The PSQI-K is a reliable and valid questionnaire for
evaluating sleep quality in patients with sleep disorders.
PMID- 21901300
TI - Significant mortality of large ruminants due to hypothermia in northern and
central Lao PDR.
AB - An extreme cold exposure event occurred between March 14th and 19th 2011 in
northern and central Lao PDR resulting in a major mortality of cattle and
buffalo. At least six northern and one central province reported losses,
involving 46 districts and 1,384 smallholder farmers, with a total of 7,162
cattle and 3,744 buffalo reported to have died in association with cold weather.
Affected animals were observed to shiver, display slow and shallow respiration,
lose consciousness and eventually die. Many deaths occurred at night and were
recorded in both sexes and all ages of large ruminants. However, mortalities
occurred mostly in animals that were free-grazing in the forest and natural
grassland, and exposed to the cold weather. Some housed animals that were
provided with warmth from shelter and fires and supplementary feed did not die.
Samples from dead animals collected for laboratory analysis confirmed that
bacterial or viral pathogens were not present. The cause of the mortality was
attributed to hypothermia, and the economic losses were estimated at USD
2,463,912.00. Xieng Khouang Province reported the most severe losses with deaths
of 4,600 cattle and 1,665 buffalo. At Thong Haihin meteorological station in this
province on March 16th and 17th 2011, minimum temperatures recorded were 6.7
degrees C and 7.5 degrees C and rainfall recorded was 36.6 mm and 61.7 mm,
respectively. This was the first reported extreme cold event in living memory
occurring between the end of dry season and beginning of the wet season in
northern Laos. This event is reported in detail as it caused a major loss of
wealth for poor smallholder farmers and indicates that strategies to minimise the
impact of extreme cold weather events need to be included in livestock
development extension programmes.
PMID- 21901301
TI - Estimating non-genetic and genetic parameters of pre-weaning growth traits in
Raini Cashmere goat.
AB - Data and pedigree information used in the present study were 3,022 records of
kids obtained from the breeding station of Raini goat. The studied traits were
birth weight (BW), weaning weight (WW), average daily gain from birth to weaning
(ADG) and Kleiber ratio at weaning (KR). The model included the fixed effects of
sex of kid, type of birth, age of dam, year of birth, month of birth, and age of
kid (days) as covariate that had significant effects, and random effects direct
additive genetic, maternal additive genetic, maternal permanent environmental
effects and residual. (Co) variance components were estimated using univariate
and multivariate analysis by WOMBAT software applying four animal models
including and ignoring maternal effects. Likelihood ratio test used to determine
the most appropriate models. Heritability (h(a)(2)) estimates for BW, WW, ADG,
and KR according to suitable model were 0.12 +/- 0.05, 0.08 +/- 0.06, 0.10 +/-
0.06, and 0.06 +/- 0.05, respectively. Estimates of the proportion of maternal
permanent environmental effect to phenotypic variance (c(2)) were 0.17 +/- 0.03,
0.07 +/- 0.03, and 0.07 +/- 0.03 for BW, WW, and ADG, respectively. Genetic
correlations among traits were positive and ranged from 0.53 (BW-ADG) to 1.00 (WW
ADG, WW-KR, and ADG-KR). The maternal permanent environmental correlations
between BW-WW, BW-ADG, and WW-ADG were 0.54, 0.48, and 0.99, respectively.
Results indicated that maternal effects, especially maternal permanent
environmental effects are an important source of variation in pre-weaning growth
trait and ignoring those in the model redound incorrect genetic evaluation of
kids.
PMID- 21901302
TI - Effect of supplementing crossbred Xhosa lop-eared goat castrates with Moringa
oleifera leaves on growth performance, carcass and non-carcass characteristics.
AB - The objective of the study was to determine the effect of supplementing Moringa
oleifera leaves (MOL) on growth performance, carcass and non-carcass
characteristics of crossbred Xhosa lop-eared goats. A total of 24 castrated goats
aged 8 months, with a mean initial weight of 15.1 +/- 2.3 kg, were randomly
divided into three diet groups with eight goats in each. The duration of the
trial was 60 days. All goats received a basal diet of grass hay (GH) ad libitum
and wheat bran (200 g/day each). The MOL and sunflower cake (SC) groups were fed
additional 200 g of dried M. oleifera leaves and 170 g of SC, respectively. The
third group (GH) did not receive any additional ration. The crude protein of MOL
(23.75%) and SC (23.27%) were higher (P < 0.05) than that of the GH diet
(14.08%). The attained average daily weight gain for goats fed MOL, SC and GH
were 103.3, 101.3 and 43.3 g, respectively (P < 0.05). Higher (P < 0.05) feed
intakes observed were in SC (491.5 g) and MOL (490.75 g) compared with GH (404.5
g). The hot carcass weight was higher (P < 0.05) for SC (10.48 kg) and MOL (10.34
kg) than for the GH group (8.59 kg). The dressing percentage in SC (55.8%) and
MOL (55.1%) were higher (P < 0.05) than that of the GH (52.9%). The growth
performance and carcass characteristics of SC and MOL goats were not different.
Feeding MOL or SC improved the growth performance and carcass characteristics of
goats in an almost similar way, which indicates that M. oleifera could be used as
an alternative protein supplement in goats.
PMID- 21901303
TI - Spacers and valved holding chambers in asthma drug delivery: how many breaths are
needed to achieve adequate lung deposition?
PMID- 21901304
TI - Innate immunity and the role of defensins in otitis media.
AB - Otitis media is the most common pediatric disease in developed countries and a
significant cause of morbidity and hearing loss in developing countries. The
innate immune system is essential to protecting the middle ear from infection.
Defensins, broad-spectrum cationic antimicrobial peptides, have been implicated
in prevention of and the early response to acute otitis media; however, the
mechanisms by which defensins and other antimicrobial molecules mediate this
protection have not been completely elucidated. In both animal otitis media
models and human middle ear epithelial cell culture models, beta-defensins are
highly induced and effectively kill the common pathogens associated with otitis
media. We review the importance of innate immunity in protecting the middle ear
and recent advances in understanding the roles of defensins and other
antimicrobial molecules in the prevention and treatment of otitis media. The
extremely high prevalence of otitis media, in spite of sophisticated innate and
adaptive immune systems, is a vexing problem for clinicians and scientists. We
therefore also review mechanisms by which bacteria evade innate immune defenses.
PMID- 21901305
TI - Should newborns be screened for immunodeficiency?: lessons learned from infants
with recurrent otitis media.
AB - Recurrent otitis media in children is considered one of the warning signs of
primary immunodeficiencies (PIDs), particularly antibody deficiencies. Infants
who have the most serious and potentially lethal form of PID, severe combined
immunodeficiency (SCID), sometimes present with recurrent otitis media. Most of
the time, because of the severity of the immune defect, they develop more serious
and systemic infections. SCID is distinct among the PIDs and considered a
pediatric emergency. Diagnosing SCID during the newborn period is crucial because
survival completely depends on early diagnosis and treatment. Mortality declines
significantly if immune reconstitution is established before 3.5 months of age,
particularly before severe infections have occurred. However, most patients are
diagnosed after they have suffered chronic or recurrent infections and developed
permanent sequelae. Without institution of population-based newborn screening,
most infants will miss the opportunity to live a healthy life.
PMID- 21901306
TI - Oral food challenges in children: review and future perspectives.
AB - Food allergy is a lifelong condition with no known treatment or cure. Allergy
tests such as skin tests and blood tests are not always accurate when positive
and are not necessarily diagnostic of a food allergy. A food allergy takes into
consideration both the history of exposure and the testing. The food challenge is
considered the diagnostic gold standard for food allergy. However, recent
evidence suggests that not enough challenges are being performed. Several
techniques exist with which clinicians can challenge patients. Providers who
perform challenges should be familiar with assessing signs and symptoms of a
potential reaction and must be prepared to treat anaphylaxis. The magnitude of
the serum and skin tests may be of assistance in stratifying a patient's risk of
passing a challenge, and newer diagnostic tests may help better stratify such
risk of based on particular epitope recognition.
PMID- 21901308
TI - Determination of radioactive elements and heavy metals in sediments and soil from
domestic water sources in northern peninsular Malaysia.
AB - Soil serves as a major reservoir for contaminants as it posseses an ability to
bind various chemicals together. To safeguard the members of the public from an
unwanted exposure, studies were conducted on the sediments and soil from water
bodies that form the major sources of domestic water supply in northern
peninsular Malaysia for their trace element concentration levels. Neutron
Activation Analysis, using Nigeria Research Reactor-1 (NIRR-1) located at the
Centre for Energy Research and Training, Zaria, Nigeria was employed as the
analytical tool. The elements identified in major quantities include Na, K, and
Fe while As, Br, Cr, U, Th, Eu, Cs, Co, La, Sm, Yb, Sc, Zn, Rb, Ba, Lu, Hf, Ta,
and Sb were also identified in trace quantities. Gamma spectroscopy was also
employed to analyze some soil samples from the same area. The results indicated
safe levels in terms of the radium equivalent activity, external hazard index as
well as the mean external exposure dose rates from the soil. The overall
screening of the domestic water sources with relatively high heavy metals
concentration values in sediments and high activity concentration values in soil
is strongly recommended as their accumulation overtime as a consequence of
leaching into the water may be of health concern to the members of the public.
PMID- 21901307
TI - Calcium supplementation modulates arsenic-induced alterations and augments
arsenic accumulation in callus cultures of Indian mustard (Brassica juncea (L.)
Czern.).
AB - In the present study, the effect of arsenate (AsV) exposure either alone or in
combination with calcium (Ca) was investigated in callus cultures of Brassica
juncea (L.) Czern. cv. Pusa Bold grown for a period up to 24 h. The AsV (250 MUM)
+ Ca (10 mM) treatment resulted in a significantly higher level of As (464 MUg g(
1) dry weight (DW)) than AsV without Ca (167 MUg g(-1) DW) treatment at 24 h.
Furthermore, AsV + Ca-treated calli had a higher percent of AsIII (24-47%) than
calli subjected to AsV treatment (12-14%). Despite this, AsV + Ca-treated calli
did not show any signs of hydrogen peroxide (H(2)O(2)) accumulation or cell death
upon in vivo staining, while AsV-exposed calli had increased H(2)O(2), shrinkage
of cytoplasmic contents, and cell death. Thus, AsV treatment induced oxidative
stress, which in turn elicited a response of antioxidant enzymes and metabolites
as compared with control and AsV + Ca treatment. The positive effects of Ca
supplementation were also correlated to an increase in thiolic constituents',
viz., cysteine, reduced glutathione, and glutathione reductase in AsV + Ca than
in AsV treatment. An analysis of selected signaling related genes, e.g., mitogen
activated protein kinases (MAPK3 and MAPK6) and jasmonate ZIM-domain (JAZ3)
suggested that AsV and AsV + Ca followed variable pathways to sense and signal
the As stress. In AsV-alone treatment, jasmonate signaling was seemingly
activated, while MAPK3 was not involved. In contrast, AsV + Ca treatment appeared
to specifically inhibit jasmonate signaling and activate MAPK3. In conclusion, Ca
supplementation may hold promise for achieving increased As accumulation in
plants without compromising their tolerance.
PMID- 21901309
TI - Natural resources assessment and their utilization: analyses from a Himalayan
state.
AB - The present paper quantifies and reviews the natural resource use in the
Himalayan state of Himachal Pradesh (HP). Twenty-five percent of the geographical
area of HP is under forests and harbour ca. 3,400 plant species. The available
bioresources not only support the livelihood of nearly 6 million people but also
fulfill the forage requirement of 5.2 million livestock. Thus, dependence on
bioresources is manifold. Based on field surveys to different localities of HP
and analyses of published information, two types of resource use patterns have
been identified. One, the direct use of forest resources which is represented by
extraction of timber, fuelwood and fodder; and the second represents indirect
resource use from the forest that is represented by activities related to
agriculture, tourism and industry. Amongst the direct resource use, annual timber
requirement of the local people works out to be 310,063 m(3). On the other hand,
annual fuelwood and fodder requirement of local people is to the tune of
3,646,348.8 and 10,294,116.5 tons, respectively. Extraction of fodder therefore
appears to be one of the main reasons for forest degradation in HP as opposed to
timber and fuelwood extraction. However, compared to direct resource use,
indirect resource use and pressures have far more pronounced effect on the
forests. Of the indirect pressures, shifts in agriculture patterns and increased
tourism seem to be the most prominent.
PMID- 21901310
TI - Integrating geospatial and ground geophysical information as guidelines for
groundwater potential zones in hard rock terrains of south India.
AB - The increasing demand of water has brought tremendous pressure on groundwater
resources in the regions were groundwater is prime source of water. The objective
of this study was to explore groundwater potential zones in Maheshwaram watershed
of Andhra Pradesh, India with semi-arid climatic condition and hard rock granitic
terrain. GIS-based modelling was used to integrate remote sensing and geophysical
data to delineate groundwater potential zones. In the present study, Indian
Remote Sensing RESOURCESAT-1, Linear Imaging Self-Scanner (LISS-4) digital data,
ASTER digital elevation model and vertical electrical sounding data along with
other data sets were analysed to generate various thematic maps, viz.,
geomorphology, land use/land cover, geology, lineament density, soil, drainage
density, slope, aquifer resistivity and aquifer thickness. Based on this
integrated approach, the groundwater availability in the watershed was classified
into four categories, viz. very good, good, moderate and poor. The results reveal
that the modelling assessment method proposed in this study is an effective tool
for deciphering groundwater potential zones for proper planning and management of
groundwater resources in diverse hydrogeological terrains.
PMID- 21901311
TI - Assessing the potential impact of fly ash amendments on Indian paddy field with
special emphasis on growth, yield, and grain quality of three rice cultivars.
AB - Proper disposal and/or recycling of different industrial waste materials have
long been recognized as a prime environmental concern throughout the world, and
fly ash is major amongst them. In the present study, we tried to assess the
feasibilities of possible effective and safe utilization of fly ash as soil
amendment in Indian paddy field and its impact on rice plants, especially at
growth and yield level. Our results showed that certain doses of fly ash
amendments have significantly improved the physico-chemical and mineralogical
properties of paddy field soil, and at lower level of amendments, fly ash induced
the growth performances of three rice cultivars too. Grain yield and grain
quality also responded similarly as per the growth responses. However,
differential cultivar response was observed accordingly, and cultivar Sugandha-3
showed higher yield as compared with cultivars Sambha and Saryu-52. Based on the
observed results, it was concluded that up to a certain level, fly ash amendments
could be beneficial for Indian paddy field and can be utilized as feasible
management strategy for the disposal of this major industrial waste.
PMID- 21901312
TI - Prioritizing organic chemicals for long-term air monitoring by using empirical
monitoring data--application to data from the Swedish screening program.
AB - This paper illustrates a step-by-step approach for evaluating chemical monitoring
data in air and deposition and for prioritizing chemicals to be included in long
term air monitoring programs. The usability of the method is shown by application
to data generated within the Swedish screening program. The suggested
prioritization approach uses a novel methodology by combining empirical data on
occurrence in air and deposition with publicly available quantitative structure
activity relationship estimation tools that predict atmospheric persistence and
bioaccumulation. A selection tree is presented, which may be used by regulatory
bodies to prioritize chemicals for long-term air monitoring. A final ranking list
is presented proposing a prioritization order for inclusion in monitoring
programs. Based on the suggested strategy, the chemicals identified as most
relevant to include in Swedish long-term monitoring programs were short-chain
chlorinated paraffins(C10-C13), perfluorooctane sulfonate, octachlorostyrene,
hexabromocyclododecane, hexachlorobenzene, pentachloroanisole,
decamethylcyclopentasiloxane, octamethylcyclotetrasiloxane, pentachlorobenzene,
1,2,3,4-tetrachlorobenzene, hexachlorobutadiene, dodecamethylcyclohexasiloxane,
perfluorodecane sulfonate, 1,2,4,5-tetrachlorobenzene, and pentabromophenol.
PMID- 21901313
TI - The inability of tegaserod to affect platelet aggregation and coronary artery
tone at supratherapeutic concentrations.
AB - In 2007, the results from a meta analysis of 29 clinical studies indicated that
tegaserod (Zelnorm(r)), a 5-hydroxytryptamine(4) (5-HT(4)) receptor agonist with
gastrointestinal prokinetic activity, was associated with an increased incidence
of cardiovascular ischemic events, resulting in its withdrawal from many markets
around the world. Stimulation of platelet aggregation has been proposed to
explain the phenomenon. However, data from recent epidemiological studies have
suggested that there is no correlation between tegaserod use and the incidence of
cardiovascular ischemia. In this study, the influence of tegaserod, at
concentrations up to tenfold higher than the total plasma C (max) for the 6 mg
clinical dose, has been investigated on platelet aggregation under standard
conditions with platelet-rich plasma (PRP) obtained from healthy human subjects.
Additionally, the influence of tegaserod on coronary artery tone was evaluated as
an alternative pro-ischemic mechanism. The positive control, thrombopoietin, but
not tegaserod, demonstrated a statistically significant increase in platelet
aggregation using the same PRP samples with either adenosine diphosphate (ADP) or
ADP plus 5-HT as an aggregation agonist. Tegaserod had no contractile activity in
either porcine or human isolated coronary artery preparations, and only a small
and variable response in canine coronary arteries at concentrations higher than
those achieved clinically. Taken together, these studies do not identify a
mechanism for the ischemic events that have been attributed to tegaserod in
humans.
PMID- 21901314
TI - Role of beta3-adrenoceptors in regulation of retinal vascular tone in rats.
AB - The aim of this study was to determine the role of beta(3)-adrenoceptors in the
action of endogenous catecholamines (adrenaline and noradrenaline) on rat retinal
arterioles in vivo. Using an original high-resolution digital fundus camera, the
rat ocular fundus images were captured. The diameter of retinal arterioles
contained in the images was measured. Both systemic blood pressure and heart rate
were recorded continuously. Adrenaline (0.3-5.0 MUg/kg/min, i.v.) increased the
diameter of retinal arterioles, mean blood pressure and heart rate in a dose
dependent manner. Under blockade of beta(1)/beta(2)-adrenoceptors with
propranolol (2 mg/kg, i.v. bolus followed by 100 MUg/kg/min infusion), adrenaline
decreased the diameter of retinal arterioles. Similar observation was made under
treatment with the beta(3)-adrenoceptor antagonist L-748337 (50 MUg/kg, i.v.).
The pressor response to adrenaline was enhanced by propranolol, but not by L
748337. The positive chronotropic action of adrenaline was markedly prevented by
propranolol, whereas it was unaffected by L-748337. Noradrenaline (0.03-1.0
MUg/kg/min, i.v.) decreased the diameter of retinal arterioles but increased the
mean blood pressure and heart rate. The effects of noradrenaline on retinal
arteriolar diameter and blood pressure were unaffected by propranolol or L
748337. The positive chronotropic action of noradrenaline was almost completely
abolished by propranolol. These results suggest that beta(3)-adrenoceptors play
crucial roles in vasodilator responses to adrenaline of retinal arterioles but
have minor or no effect on noradrenaline-induced responses. The results also
indicate that the functional role of beta(3)-adrenoceptors may be more important
than that in peripheral resistance vessels.
PMID- 21901315
TI - Agents increasing cyclic GMP amplify 5-HT4-elicited positive inotropic response
in failing rat cardiac ventricle.
AB - Activation of 5-HT(4) receptors in failing ventricles elicits a cAMP-dependent
positive inotropic response which is mainly limited by the cGMP-inhibitable
phosphodiesterase (PDE) 3. However, PDE4 plays an additional role which is
demasked by PDE3 inhibition. The objective of this study was to evaluate the
effect of cGMP generated by particulate and soluble guanylyl cyclase (GC) on the
5-HT(4)-mediated inotropic response. Extensive myocardial infarctions were
induced by coronary artery ligation in Wistar rats, exhibiting heart failure 6
weeks after surgery. Contractility was measured in left ventricular preparations.
Cyclic GMP was measured by EIA. In ventricular preparations, ANP or BNP displayed
no impact on 5-HT(4)-mediated inotropic response. However, CNP increased the 5
HT(4)-mediated inotropic response as well as the beta(1)-adrenoceptor (beta(1)
AR)-mediated response to a similar extent as PDE3 inhibition by cilostamide.
Pretreatment with cilostamide eliminated the effect of CNP. Inhibition of nitric
oxide (NO) synthase and soluble GC by L-NAME and ODQ, respectively, attenuated
the 5-HT(4)-mediated inotropic response, whereas the NO donor Sin-1 increased
this response. The effects were absent during PDE3 inhibition, suggesting cGMP
dependent inhibition of PDE3. However, in contrast to the effects on the 5-HT(4)
response, Sin-1 inhibited whereas L-NAME and ODQ enhanced the beta(1)-AR-mediated
inotropic response. cGMP generated both by particulate (NPR-B) and soluble GC
increases the 5-HT(4)-mediated inotropic response in failing hearts, probably
through inhibition of PDE3. beta(1)-AR and 5-HT(4) receptor signalling are
subject to opposite regulatory control by cGMP generated by soluble GC in failing
hearts. Thus, cGMP from different sources is functionally compartmented, giving
differential regulation of different G(s)-coupled receptors.
PMID- 21901316
TI - Acute and post-acute behavioral and psychological effects of salvinorin A in
humans.
AB - RATIONALE: Salvia divinorum has been used for centuries, and nontraditional use
in modern societies is increasing. Inebriation and aftereffects of use are poorly
documented in the scientific literature. OBJECTIVES: This double-blind, placebo
controlled, randomized study analyzed subjective experiences of salvinorin A (SA)
inebriation and consequences of use after 8 weeks. METHODS: Thirty middle-aged,
well-educated, hallucinogen-experienced participants smoked either 1,017 or 100
MUg SA 2 weeks apart in counterbalanced order. Vital signs were recorded before
and after inhalation. A researcher rated participants' behavior during sessions.
Participants completed the Hallucinogen Rating Scale (HRS) assessing inebriation
immediately after each session. Differences were analyzed between groups as
functions of dose and time. After 8 weeks, participants were interviewed to
determine reported consequences and aftereffects. RESULTS: Participants talked,
laughed, and moved more often on an active dose. All six HRS clusters were
significantly elevated on an active dose indicating hallucinogenic experiences.
No significant adverse events were observed or reported by participants.
CONCLUSIONS: The present results indicate similarities as well as differences
between the subjective effects of S. divinorum and other hallucinogens. As a
selective kappa opioid receptor agonist, SA may be useful for expanding
understanding of the psychopharmacology and psychology of hallucinogenic states
beyond serotonergic mechanisms.
PMID- 21901317
TI - Escitalopram, an antidepressant with an allosteric effect at the serotonin
transporter--a review of current understanding of its mechanism of action.
AB - RATIONALE: Escitalopram is a widely used antidepressant for the treatment of
patients with major depression. It is the pure S-enantiomer of racemic
citalopram. Several clinical trials and meta-analyses indicate that escitalopram
is quantitatively more efficacious than many other antidepressants with a faster
onset of action. OBJECTIVE: This paper reviews current knowledge about the
mechanism of action of escitalopram. RESULTS: The primary target for escitalopram
is the serotonin transporter (SERT), which is responsible for serotonin (or 5
hydroxytryptamine [5-HT]) reuptake at the terminals and cell bodies of
serotonergic neurons. Escitalopram and selective serotonin reuptake inhibitors
bind with high affinity to the 5-HT binding site (orthosteric site) on the
transporter. This leads to antidepressant effects by increasing extracellular 5
HT levels which enhance 5-HT neurotransmission. SERT also has one or more
allosteric sites, binding to which modulates activity at the orthosteric binding
site but does not directly affect 5-HT reuptake by the transporter. In vitro
studies have shown that through allosteric binding, escitalopram decreases its
own dissociation rate from the orthosteric site on the SERT. R-citalopram, the
nontherapeutic enantiomer in citalopram, is also an allosteric modulator of SERT
but can inhibit the actions of escitalopram by interfering negatively with its
binding. Both nonclinical studies and some clinical investigations have
demonstrated the cellular, neurochemical, neuroadaptive, and neuroplastic changes
induced by escitalopram with acute and chronic administration. CONCLUSIONS: The
findings from binding, neurochemical, and neurophysiological studies may provide
a mechanistic rationale for the clinical difference observed with escitalopram
compared to other antidepressant therapies.
PMID- 21901318
TI - Role of calcineurin in the VTA in rats behaviorally sensitized to
methamphetamine.
AB - RATIONALE: Chronic psychostimulant administration increases locomotor activity,
which is referred to as locomotor sensitization. Calcineurin has been suggested
to participate in psychostimulant-induced sensitization, but the underlying
neurobiological mechanism is poorly understood. OBJECTIVES: This study was
designed to examine whether calcineurin activity and its substrates participate
in methamphetamine (METH)-induced locomotor sensitization in rats. MATERIALS AND
METHODS: Two weeks daily METH (1 mg/kg, i.p.) was administrated to rats to induce
locomotor sensitization, activity of calcineurin and its substrates Synapsin and
glycogen synthase kinase-3beta (GSK-3beta) were detected. The initiation and
expression of locomotor sensitization were tested by inhibition of calcineurin
activity systematically or locally in the ventral tegmental area (VTA). RESULTS:
Expression of the calcineurin A subunit (catalytic subunit) increased in the VTA
but not prefrontal cortex, nucleus accumbens, or hippocampus in rats sensitized
to METH. The calcineurin inhibitor cyclosporine A, systemically administered or
microinfused into the VTA, suppressed the initiation but not expression of METH
induced locomotor sensitization. Chronic METH exposure upregulated the expression
of the calcineurin A subunit in the VTA, which was negatively associated with
downregulation of the phosphorylation of Synapsin and GSK-3beta. Moreover, the
related molecular changes were blocked by systemically administered cyclosporine
A or microinjections into the VTA. CONCLUSIONS: These data elucidate the critical
role of calcineurin in the neurobiological mechanism underlying METH-induced
locomotor sensitization, suggesting that calcineurin might participate in the
initiation of METH-induced locomotor sensitization by negatively regulating the
activity of Synapsin and GSK-3beta in the VTA.
PMID- 21901319
TI - D1 receptor activation improves vigilance in rats as measured by the 5-choice
continuous performance test.
AB - RATIONALE: Impaired attention/vigilance is putatively core to schizophrenia. The
dopaminergic D(1) receptor system has been reported as one of the most promising
targets for improving cognition in patients with schizophrenia, with some
evidence suggesting D(1) activation may improve sustained attention. OBJECTIVES:
The purpose of this study was twofold: firstly assessing the applicability of
using rats in the 5-Choice Continuous Performance Test (5 C-CPT), recently
validated in mice. Secondly, the effect of systemic administration of a D(1)
partial agonist, SKF 38393, on task performance during baseline, and a challenge
session consisting of a reduced event-rate was investigated. METHODS: Animals
were trained to perform the 5 C-CPT with performance assessed following systemic
SKF 38393 (2, 4 and 6 mg/kg) vs. vehicle administration. RESULTS: Rats could
discriminate between target (requiring a response) and non-target (requiring the
inhibition of response) trials within the 5 C-CPT. Moreover, SKF 38393 treatment
impaired performance during the baseline session reducing target detection, yet
improved performance during the reduced event-rate challenge session, increasing
target detection and improving signal discrimination indicating an SKF 38393
induced enhancement of vigilance. Thus, these data suggest that activation of the
D(1) system affected 5 C-CPT performance in a baseline dependent manner.
CONCLUSION: Rats can be trained to perform the 5 C-CPT, appropriately withholding
from responding to non-target trials. Systemic administration of SKF 38393
impaired performance during baseline conditions. Following a task-related
challenge, which reduced the event rate, activation of the dopamine (DA) D(1)
system improved performance by heightening the animals' vigilance levels,
quantified using signal detection theory.
PMID- 21901320
TI - The scopolamine model as a pharmacodynamic marker in early drug development.
AB - RATIONALE: Drug development is a high-risk and high failure enterprise, and
studies that provide an early read on the pharmacodynamic activity of novel
compounds could save time and money, increasing the efficiency of the drug
development process. OBJECTIVE: Preclinical and clinical experiments were
designed to examine the utility of the scopolamine-induced cognitive impairment
model in predicting pharmacodynamic signals of putatively procognitive compounds,
utilizing the acetylcholinesterase inhibitor donepezil for illustration.
METHODS/RESULTS: In normal healthy rats, scopolamine (0.3 mg/kg) significantly
impaired performance on the two-platform water maze and on the T-maze. The
deficits in water maze performance were reversed by donepezil at 0.5 and 1.0
mg/kg. There was a trend towards reversal of scopolamine-induced deficits in
performance on the T-maze with 1.0 mg/kg donepezil. In normal healthy humans,
scopolamine (0.3 and 0.5 mg) reliably impaired performance on the Cognitive Drug
Research test battery composite scores (power of attention, continuity of
attention, quality of working memory, quality of episodic secondary memory, and
speed of memory) in a dose- and time-dependent manner. Donepezil (10 mg)
significantly attenuated the scopolamine-induced impairment in cognition on power
of attention, continuity of attention, quality of working memory, and speed of
memory. CONCLUSIONS: These findings suggest that reversal of scopolamine-induced
cognitive impairment is a viable model for predicting pharmacodynamic signals of
procognitive compounds in both animals and humans. The utility of the scopolamine
induced cognitive impairment model is discussed and illustrated at various
decision points in drug development, with a focus on Go/No Go decisions.
PMID- 21901321
TI - Alpha7-nicotinic receptors modulate nicotine-induced reinforcement and
extracellular dopamine outflow in the mesolimbic system in mice.
AB - RATIONALE: Nicotine is the main addictive component of tobacco and modifies brain
function via its action on neuronal acetylcholine nicotinic receptors (nAChRs).
The mesolimbic dopamine (DA) system, where neurons of the ventral tegmental area
(VTA) project to the nucleus accumbens (ACb), is considered a core site for the
processing of nicotine's reinforcing properties. However, the precise subtypes of
nAChRs that mediate the rewarding properties of nicotine and that contribute to
the development of addiction remain to be identified. OBJECTIVES: We investigated
the role of the nAChRs containing the alpha7 nicotinic subunit (alpha7 nAChRs) in
the reinforcing properties of nicotine within the VTA and in the nicotine-induced
changes in ACb DA outflow in vivo. METHODS: We performed intra-VTA self
administration and microdialysis experiments in genetically modified mice lacking
the alpha7 nicotinic subunit or after pharmacological blockade of alpha7 nAChRs
in wild-type mice. RESULTS: We show that the reinforcing properties of nicotine
within the VTA are lower in the absence or after pharmacological blockade of
alpha7 nAChRs. We also report that nicotine-induced increases in ACb DA
extracellular levels last longer in the absence of these receptors, suggesting
that alpha7 nAChRs regulate the action of nicotine on DA levels over time.
CONCLUSIONS: The present results reveal new insights for the role of alpha7
nAChRs in modulating the action of nicotine within the mesolimbic circuit. These
receptors appear to potentiate the reinforcing action of nicotine administered
into the VTA while regulating its action over time on DA outflow in the ACb.
PMID- 21901322
TI - Quality assessment for processed and sterilized bone using Raman spectroscopy.
AB - To eliminate the potential for infection, many tissue banks routinely process and
terminally sterilize allografts prior to transplantation. A number of techniques,
including the use of scanning electron microscopy, bone graft models, and
mechanical property tests, are used to evaluate the properties of allograft bone.
However, as these methods are time consuming and often destroy the bone sample,
the quality assessment of allograft bones are not routinely performed after
processing and sterilization procedures. Raman spectroscopy is a non-destructive,
rapid analysis technique that requires only small sample volumes and has recently
been used to evaluate the mineral content, mineral crystallinity, acid phosphate
and carbonate contents, and collagen maturity in human and animal bones. Here, to
establish a quality assessment method of allograft bones using Raman
spectroscopy, the effect of several common sterilization and preservation
procedures on rat femoral bones were investigated. We found that freeze-thawing
had no detectable effects on the composition of bone minerals or matrix, although
heat treatment and gamma irradiation resulted in altered Raman spectra. Our
findings suggest Raman spectroscopy may facilitate the quality control of
allograft bone after processing and sterilization procedures.
PMID- 21901325
TI - Editorial policy on co-authorship of articles from low- and middle-income
countries.
PMID- 21901323
TI - Increased aortic stiffness can predict perioperative cardiovascular outcomes in
patients undergoing noncardiac, nonvascular surgery.
AB - BACKGROUND: Aortic stiffness is an early marker of arteriosclerosis and
associated with cardiovascular mortality. However, the impact of aortic stiffness
on perioperative cardiovascular outcomes in patients undergoing noncardiac
surgery is unknown. METHODS: The study population was composed of 660 consecutive
adults aged 18 years and over (mean age = 65.3 +/- 14 years) who underwent
intermediate-risk (nonvascular), noncardiac surgery between January 2010 and
February 2011. Nonemergency, non-day-case, open surgical procedures were
enrolled. Aortic stiffness indices were calculated from the aortic diameters
measured by echocardiography. Electrocardiography and cardiac biomarkers were
evaluated 1 day before surgery, and on days 1, 3, and 7 after surgery. RESULTS:
Eighty patients (12.1%) experienced perioperative cardiovascular events (PCE).
Preoperative aortic distensibility (AD) (2 +/- 1.3 vs. 2.9 +/- 1.1 cm2/dyn/10(3),
P < 0.001) and aortic strain (AS) (4.4 +/- 2.4 vs. 6.4 +/- 1.9, P < 0.001) of the
patients with PCE were significantly lower than in patients without PCE.
Univariate analysis showed a significant association between age, diabetes
mellitus (DM), coronary artery disease, preoperative atrial fibrillation,
American Society of Anesthesiologists (ASA) status, Revised Cardiac Risk Index,
left ventricle ejection fraction (LVEF), AD, aortic strain, and in-hospital PCE.
However, on multivariate logistic regression analysis, only AD (OR: 1.94, 95% CI:
1.1-3.4; P = 0.02), AS (OR: 0.45, 95% CI: 0.3-0.6; P < 0.001), DM (OR: 2.28, 95%
CI: 1.08-4.82; P = 0.03), and LVEF (OR: 0.96, 95% CI: 0.93-0.99; P = 0.03)
remained as significant variables associated with PCE. CONCLUSION: Impaired
elastic properties of the aorta are associated with increased PCE rates in
patients undergoing noncardiac, nonvascular surgery.
PMID- 21901326
TI - Distal pancreatectomy with celiac axis resection for carcinoma of the body and
tail of the pancreas.
AB - BACKGROUND: We retrospectively investigated our experiences with distal
pancreatectomy with celiac axis resection (DP-CAR) for locally advanced
pancreatic cancer and compared the operative outcome and long-term survival
between DP-CAR and standard distal pancreatectomy (DP). Although several authors
reported that DP-CAR increases resectability rates, the long-term results of this
operation are not clear, and there are few reports presenting a comparison of the
short- and long-term results between DP-CAR and DP. METHODS: From 1993 to 2010,
43 patients with invasive ductal carcinoma of the body or tail of the pancreas
underwent a macroscopically curative resection (R0/1). Sixteen patients underwent
DP-CAR and 27 patients underwent DP. No DP-CAR patients underwent any
preoperative coil embolization of the common hepatic artery (CHA) to stimulate
the development of collateral pathways from the superior mesenteric artery. The
perioperative and histopathologic parameters and survival data were analyzed to
compare the two operations. RESULTS: There was no difference in mean operative
time, mean blood loss, postoperative mortality, and morbidity between DP-CAR and
DP. The rates of morbidity and in-hospital mortality of DP-CAR were 56 and 6%,
respectively. In DP-CAR, 15 patients did not require reconstruction of the
hepatic artery and no hepatic infarctions were clinically encountered after
surgery. The estimated overall 1- and 3-year survival rates in patients who
underwent DP-CAR were 42.6 and 25.6%, respectively, and their survival time was
significantly less than that of patients who underwent DP (median survival time:
9.7 vs. 30.9 months, P = 0.033). The R1 resection rates of these groups were 44%
in DP-CAR and 22% in DP, respectively. CONCLUSION: DP-CAR is a safe and rational
procedure for locally advanced pancreatic cancer without preoperative
embolization of the CHA. Although the short-term results were equivalent to that
for DP, DP-CAR did not improve the long-term survival because of the high rate of
R1 resection at present.
PMID- 21901327
TI - Conservative and surgical treatment of acute posttraumatic tracheobronchial
injuries.
AB - BACKGROUND: Acute posttraumatic tracheobronchial lesions are rare events
associated with significant morbidity and mortality. They are caused by blunt and
penetrating trauma, or they are iatrogenic, appearing after intubation or
tracheotomy. Although surgery has traditionally been considered the treatment of
choice for these injuries, recent reports show that conservative treatment can be
effective in selected patients. The aim of this study was to evaluate the role of
surgical and conservative management of these lesions, differentiated on the
basis of clinical and endoscopic criteria. METHODS: From January 1993 to October
2010, a total of 50 patients with acute posttraumatic tracheobronchial lesions
were referred for treatment to our department. In all, 36 patients had iatrogenic
injuries of the airway, and 14 had lesions resulting from blunt or penetrating
trauma. RESULTS: Of the 30 patients who underwent surgery, the lesion was
repaired with interrupted absorbable sutures in 29; the remaining patient, with
an associated tracheoesophageal fistula, underwent single-stage tracheal
resection and reconstruction and closure of the fistula. In all, 20 patients were
treated conservatively: clinical observation in 5 patients, airway decompression
with a mini-tracheotomy cannula in 4 spontaneously breathing patients, and
tracheotomy with the cuff positioned distal to the lesion in 11 mechanically
ventilated patients. One surgical and one conservatively-managed patient died
after treatment (4% overall mortality). Complete recovery and healing were
achieved in all the remaining patients. CONCLUSIONS: Surgery remains the
treatment of choice for posttraumatic lesions of the airway. However,
conservative treatment based on strict clinical and endoscopic criteria-stable
vital signs; effective ventilation; no esophageal injuries, signs of sepsis, or
evidence of major communication with the mediastinal space-enables favorable
results to be achieved in selected patients.
PMID- 21901328
TI - Accuracy of pedicle screw placement: a systematic review of prospective in vivo
studies comparing free hand, fluoroscopy guidance and navigation techniques.
AB - INTRODUCTION: With the advances and improvement of computer-assisted surgery
devices, computer-guided pedicle screws insertion has been applied to the lumbar,
thoracic and cervical spine. The purpose of the present study was to perform a
systematic review of all available prospective evidence regarding pedicle screw
insertion techniques in the thoracic and lumbar human spine. MATERIALS AND
METHODS: We considered all prospective in vivo clinical studies in the English
literature that assessed the results of different pedicle screw placement
techniques (free-hand technique, fluoroscopy guided, computed tomography (CT)
based navigation, fluoro-based navigation). MEDLINE, OVID, and Springer databases
were used for the literature search covering the period from January 1950 until
May 2010. RESULTS: 26 prospective clinical studies were eventually included in
the analysis. These studies included in total 1,105 patients in which 6,617
screws were inserted. In the studies using free-hand technique, the percentage of
the screws fully contained in the pedicle ranged from 69 to 94%, with the aid of
fluoroscopy from 28 to 85%, using CT navigation from 89 to 100% and using
fluoroscopy-based navigation from 81 to 92%. The screws positioned with free-hand
technique tended to perforate the cortex medially, whereas the screws placed with
CT navigation guidance seemed to perforate more often laterally. CONCLUSIONS: In
conclusion, navigation does indeed exhibit higher accuracy and increased safety
in pedicle screw placement than free-hand technique and use of fluoroscopy.
PMID- 21901329
TI - Comparison of Pentax-AWS Airwayscope video laryngoscope, Airtraq optic
laryngoscope, and Macintosh laryngoscope during cardiopulmonary resuscitation
under cervical stabilization: a manikin study.
AB - PURPOSE: The 2010 American Heart Association or European Resuscitation Council
guidelines for cardiopulmonary resuscitation emphasize that rescuers should
minimize interruption of chest compressions, even for endotracheal intubation.
Cervical stabilization should also be maintained during traumatic cardiac arrest.
The utility of the Pentax-AWS Airwayscope (AWS) video laryngoscope and Airtraq
(ATQ) optic laryngoscope for airway management has been reported under cervical
stabilization. We first evaluated ATQ utility during chest compression with or
without cervical stabilization and then compared the AWS, ATQ, and Macintosh
laryngoscope (McL) during chest compressions under cervical stabilization in a
manikin. METHODS: In the first trial, 19 novice doctors performed tracheal
intubation with ATQ during chest compression with or without cervical
stabilization. In the second trial, 21 novice doctors performed tracheal
intubation on a manikin with cervical stabilization using AWS, ATQ, and McL with
or without chest compression in a manikin. The rate of successful intubation,
time to intubation, and subjective difficulty of use (visual analog scale) were
recorded. RESULTS: In the first trial, intubation time during chest compression
was significantly shortened under cervical stabilization compared to without
cervical stabilization (P < 0.05). In the second trial, using McL, 3 participants
failed to perform tracheal intubation without chest compression and 11 failed
during chest compression (P < 0.05). Using ATQ, all intubations were successful
without chest compression, but 5 failed during chest compression (P < 0.05).
Intubation time was significantly prolonged by chest compression using McL or ATQ
(P < 0.05). All participants successfully secured the airway with AWS regardless
of chest compression, and chest compression did not prolong intubation time.
Chest compression worsened the score on the visual analog scale of laryngoscopy
in the McL trial (P < 0.05), but not in ATQ or AWS trials. Difficulty of tube
passage through the glottis increased with chest compression with the McL and ATQ
(P < 0.05) but not with AWS. CONCLUSION: The AWS was superior to McL and ATQ for
endotracheal intubation during simulated cervical stabilization and chest
compression.
PMID- 21901330
TI - Dexmedetomidine can stabilize hemodynamics and spare anesthetics before
cardiopulmonary bypass.
AB - PURPOSE: We previously confirmed the effectiveness of dexmedetomidine (DEX) for
stabilizing hemodynamics as well as sparing anesthetics during anesthetic
induction in patients undergoing cardiac surgery (Kunisawa et al. in J Clin
Anesth 21:194-199, 1). In this study, we investigated whether these effects of
DEX continue until the start of cardiopulmonary bypass (CPB). METHODS: Twenty-two
patients with mild to moderate cardiovascular disease were randomized into two
groups [DF2 group: DEX dose of 0.7 MUg/kg/h after initial dose and effect-site
concentration (ESC) of fentanyl of 2 ng/ml; PF4 group: saline and ESC of fentanyl
of 4 ng/ml]. Propofol was administered for anesthetic induction and maintenance.
Hemodynamics, cardiovascular drugs, ESC of propofol, and cardiovascular responses
to skin incision (SI) and sternotomy (St) were measured or calculated. RESULTS:
Blood pressure (BP) at the pre-/post-SI periods was higher in the DEX group (137
+/- 17/140 +/- 16 mmHg) than in the placebo group (85 +/- 9/109 +/- 24 mmHg).
Percent increases in cardiovascular response to SI or St were lower in the DEX
group than in the placebo group (for example, 1.9 +/- 2.2 vs. 27.4 +/- 19.9% in
systolic BP due to SI). ESCs of propofol at SI and St in the DEX group were lower
than those in the placebo group. CONCLUSIONS: DEX combined with 2 ng/ml fentanyl
before CPB can suppress the decrease in blood pressure at the pre- and post-SI
periods, can blunt the cardiovascular responses to SI and St, and can spare the
required ESC of propofol despite fentanyl concentration, which was half of that
in the placebo group.
PMID- 21901331
TI - L-type Cav1.2 calcium channel is involved in 6-hydroxydopamine-induced
neurotoxicity in rats.
AB - Evidence suggested that L-type calcium channels may play a key role in the
pathogenesis of dopaminergic neuron degeneration. In the present study, effects
of L-type Cav1.2 calcium channel on 6-hydroxydopamine (6-OHDA)-induced
neurotoxicity were investigated. By the semi-quantitative reverse transcription
polymerase chain reaction (RT-PCR) studies, we showed that the expression of L
type Cav1.2 calcium channel alpha1 subunit mRNA increased in the substantia nigra
(SN) of 6-OHDA-lesioned rats. Treatment with nifedipine could improve the
apomorphine-induced rotation behavior in 6-OHDA-lesioned rats. Using high
performance liquid chromatography electrochemical detection, we also observed
that nifedipine partly restored 6-OHDA-induced dopamine depletion in the striatum
of rats. These results suggest that the L-type Cav1.2 calcium channel is
associated with the development and progression of dopaminergic neuron
degeneration.
PMID- 21901333
TI - Exploring the quality of life (QOL) in the Indian software industry: a public
health viewpoint.
AB - OBJECTIVES: Our objectives were to describe the QOL and its determinants among
software professionals of Kolkata, and to compare the same according to
information technology (IT) and IT-enabled services (ITeS) sub-sectors. METHODS:
An institution-based cross-sectional study was conducted among software
professionals of Kolkata applying a two-stage stratified random sampling
technique. The WHO QOL BREF questionnaire was administered along with a list of
pertinent variables. RESULTS: Overall, the analysis for 338 software
professionals (177 IT and 161 ITeS) clearly demonstrated significant differences
between mean scores of these two sectors for each of the six outcome domains of
WHO QOL BREF. Multilevel multivariate analysis outlined 13 significant predictors
of QOL-four positive (age, regular fitness regimes, foreign placements and
changing companies frequently) and the rest of the nine, negative (multiple sex
partners, multiple addictions, extended working hours, night-shift duties,
income, expenditure, carrying office work home, current illness and ITeS company
type). CONCLUSIONS: Our study helps in obtaining a clear understanding of the
multifaceted risk factors prevailing in this sector, the majority of which can be
effectively addressed by specific health promotional interventions. A dedicated
health policy is mandated at both government and company levels.
PMID- 21901332
TI - The prevalence and management of cardiovascular risk factors in immigrant groups
in Switzerland.
AB - OBJECTIVES: To compare the prevalence and management of cardiovascular risk
factors (CVRFs) between immigrant groups and Swiss nationals. METHODS: The Swiss
Health Surveys (SHS, N = 49,245) and CoLaus study (N = 6,710) were used.
Immigrant groups from France, Germany, Italy, Portugal, Spain, former Yugoslavia,
other European and other countries were defined. RESULTS: Immigrants from Italy,
France, Portugal, Spain and former Yugoslavia presented a higher prevalence of
smoking than Swiss nationals. Immigrants reported less hypertension than Swiss
nationals, but the differences were reduced when blood pressure measurements were
used. The prevalence of dyslipidaemia was similar between immigrants and Swiss
nationals in the SHS. When eligibility for statin treatment was assessed,
immigrants from Italy were more frequently eligible than Swiss nationals.
Immigrants from former Yugoslavia presented a lower prevalence of diabetes in the
SHS, but a higher prevalence in the CoLaus study. Most differences between
immigrant groups and Swiss nationals disappeared after adjusting for age, leisure
time physical activity, being overweight/obesity and education. CONCLUSIONS: Most
CVRFs are unevenly distributed among immigrant groups in Switzerland, but these
differences are due to disparities in age, leisure-time physical activity, being
overweight/obesity and education.
PMID- 21901334
TI - Lack of research-based standards for accessible housing: problematization and
exemplification of consequences.
AB - OBJECTIVE: To increase the understanding of how definitions of standards for
housing design influence the proportion of dwellings not meeting the standards
and the proportion of individuals defined as having accessibility problems.
METHODS: The sample included old people and their dwellings in three European
countries (N = 1,150). Frequencies and percentages were reported and empirical
distribution functions were used. RESULTS: Depending on the functional profile
and standards in question, the magnitude of influence of the standards differs in
extent, e.g., the existing standard for door openings at the entrance (defined
>=75 cm) implied that the proportion of dwellings not meeting it was 11.3%
compared to 64.4%, if the standard was set to >=83 cm. The proportion of
individuals defined as having accessibility problems for profiles not using
mobility devices was 4-5, 57% for profiles using them and 1-3% for the total
sample if the standard was set to 90 cm. CONCLUSION: Research-based standard
definitions for housing design are necessary to ensure that they actually lead to
enhanced accessibility, which is a prerequisite for the independence and health
of persons with functional limitations.
PMID- 21901335
TI - Dose dependence specific and non-specific immune responses of Indian major carp
(L. rohita Ham) to intraperitoneal injection of formalin killed Aeromonas
hydrophila whole cell vaccine.
AB - Specific and non-specific immune response to different doses of formalin killed
whole cell vaccine of Aeromonas hydrophila to Indian major carp (Labeo rohita)
was evaluated in laboratory condition. Three different doses (10(5) CFU/ml, 10(7)
CFU/ml, 10(10) CFU/ml) were administered (0.2 ml/fish) intraperitoneally for 1
month. Among the three doses, 10(10) CFU/ml elicited the highest antibody and
protective response followed by the doses 10(7) CFU/ml and 10(5) CFU/ml. Upon
challenge with the virulent strain of A. hydrophila, the relative percentage of
survival was recorded up to 80% at highest dose of 10(10) CFU/ml. The non
specific responses, similar to the specific immune responses were also maximum at
highest dose of 10(10) CFU/ml. Similar to the specific immune responses, the non
specific responses were maximum at highest dose of 10(10) CFU/ml. Therefore, dose
containing 10(10) CFU/ml of formalin killed cells was found to be the most
effective dose for vaccination which increased the immunity in Indian major carp
(Labeo rohita) to a larger extent.
PMID- 21901336
TI - Use of 2-Octyl-Cyanoacrylate in surgical closing of Postparotidectomy salivary
fistulas.
AB - A salivary fistula is one of possible postoperative complications in the surgery
of parotid gland tumors. We present three cases of postparotidectomy salivary
fistulas, successfully treated by surgical access using 2-octyl-cyanoacrylate
while closing the skin layer. The previous treatment of these cases by other
therapeutic options did not give satisfactory results. In a 5-year follow-up
period there were no signs of fistulas relapses. The surgical access with use of
2-octyl cyanoacrylate can be one of therapeutic options for the closing of
postparotidectomy salivary fistulas, especially in cases where other therapeutic
accesses are not successful.
PMID- 21901337
TI - Medical and surgical treatment in divers with chronic rhinosinusitis and
paranasal sinus barotrauma.
AB - Aim of the study is to evaluate the effects of medical and surgical treatment in
divers with paranasal sinus barotrauma (PSB) secondary to chronic rhinosinusitis
(CRS). In this retrospective, cross-sectional, descriptive study 40 adult divers
with CRS were included. Treatment of divers implied a 5-day course of a systemic
steroid and a 6-week course of saline nasal irrigations and topical nasal steroid
with mometasone in maximal dosage. If symptoms persisted, functional endoscopic
sinus surgery (FESS) was performed. Questionnaires included the Sinonasal Outcome
Test-20 German Adapted Version (SNOT-20 GAV), dive-related questions (DRQ) and
general questions. Questionnaires were completed retrospectively by recalling the
symptoms before and after therapy. Forty of 82 divers completed the
questionnaires. Mean follow-up was 42 months (range 13-95 months). There was a
statistically significant improvement of the Total score (TS) and of every
subscore, except the General Quality of Life score, in the SNOT-20 GAV as well as
of the TS in the DRQ. Before treatment, divers who required surgery reported
significantly more symptoms than divers who were treated conservatively. Post
treatment there were no significant differences between the medical and surgical
group. Overall, 35 divers could resume diving after therapy even though PSB still
occurred but without complications. Divers with sinus problems can successfully
be managed medically and if conservative treatment fails, FESS shows a
statistically significant improvement of symptoms and no serious long-term
hazards for diving.
PMID- 21901339
TI - New insights on pathophysiology, clinical manifestations, diagnosis, and
treatment of sickle cell nephropathy.
AB - Sickle cell nephropathy is one of the main chronic complications of sickle cell
disease (SCD), the most common of the hematological hereditary disorders. Several
studies have been performed since the first description of SCD 100 years ago to
investigate the mechanisms of kidney involvement in this disease. It has been
demonstrated that both glomerular and tubular compartments can be damaged as a
direct consequence of SCD, including renal function loss, concentration and
acidification deficits, and glomerulopathies. This article highlights the aspects
of sickle cell nephropathy pathophysiology and clinical manifestations and
describes the most recent advances in the diagnosis and treatment of this
disorder.
PMID- 21901340
TI - Gene mutations in the Ras pathway and the prognostic implication in Korean
patients with juvenile myelomonocytic leukemia.
AB - Juvenile myelomonocytic leukemia (JMML) is a rare hematologic malignancy in
children. Hyperactivation of the Ras pathway from gene mutations is known to be
the key culprit in the development of JMML. In this study, we investigated Ras
pathway mutations and prognostic implication in Korean patients with JMML. A
total of 22 Korean patients with JMML were recruited from two institutions (19
boys and three girls; median age, 17 months; range, 1-74 months). Hematologic and
cytogenetic findings were reviewed. Mutation analyses involved PTPN11, KRAS,
NRAS, and CBL genes by direct sequencing analyses (selected exons except in CBL).
Survival analysis was performed by the Kaplan-Meier method. Cytogenetic and/or
gene mutations were detected in 18 patients out of 22 (82%). Four patients (18%)
had chromosomal abnormalities, with monosomy 7 being the most common. Seventeen
(77%) had gene mutations. PTPN11 mutations were detected in 13 patients (59%).
The patient heterozygous for c.854T>C had Noonan syndrome. NRAS and KRAS
mutations were detected in two patients (9%) and one patient (5%), respectively.
A homozygous CBL mutation was detected in one patient (5%; c.1228-2A>G). All
mutations detected were previously reported mutations. Survival analyses
suggested an unfavorable prognostic implication of PTPN11 mutation, albeit
without a statistical significance. Collectively, the results from molecular
genetics study and survival analyses suggested a relatively higher frequency and
unfavorable prognostic implication of PTPN11 mutations in Korean patients with
JMML.
PMID- 21901341
TI - Successful treatment of IgM-monoclonal gammopathy of undetermined significance
associated with cryoglobulinemia and cold agglutinin disease with
immunochemotherapy with rituximab, fludarabine, and cyclophosphamide.
PMID- 21901342
TI - Long-term results of thalidomide and dexamethasone (thal-dex) as therapy of first
relapse in multiple myeloma.
AB - Thal-dex (TD) is an effective therapy for advanced MM. We evaluated TD as salvage
treatment of MM patients at first relapse. Thal was given at a daily dose of 100
or 200 mg until progression. Dex was administered 160 mg/month. One hundred
patients were enrolled. First line therapy included ASCT (72%) and conventional
CHT (28%). Fifty-nine percent received a fixed thal dose of 100 mg/day. The most
frequent adverse events were constipation (42%), peripheral neuropathy (58%, 5%
grade 3), bradycardia (20%), skin rash (11%), and VTE (7%). Discontinuation of
thal due to adverse events was recorded in eight patients. On ITT, 46% of
patients achieved at least a PR. Median DOR was 28 months, median time to next
therapy was 15.5 months. Median OS, TTP, and PFS were 43, 22, and 21 months,
respectively. TTP and PFS were significantly longer for patients with at least PR
to TD. TD was an effective salvage treatment for MM patients at first relapse, as
demonstrated by durable disease control and prolonged OS. TD was well tolerated,
as reflected by the long stay on treatment without disease progression (median 25
months) and a low discontinuation rate due to toxicity (8%).
PMID- 21901343
TI - Extracellular secretion of anticoagulant peptide hirudin in Lactococcus lactis
using SP310mut2 signal peptide.
AB - Hirudin can be used as an oral anticoagulant and antithrombotic agent. The
hirudin variant III gene, derived from the medicinal leech, Hirudo medicinalis,
was fused to SP310mut2 signal sequence and expressed by a nisin-controlled gene
expression system in Lactococcus lactis which was then grown in a 7 l fermenter.
After induction with 8 ng nisin ml(-1), the product was secreted into the culture
medium and accumulated up to ~2.7 mg l(-1). MALDI-TOF/MS and anticoagulant
activity analyses on the purified product confirmed its authenticity. This is the
first demonstration that hirudin can be extracellularly secreted and correctly
processed in L. lactis.
PMID- 21901344
TI - CYP2B6 genotype is a strong predictor of systemic exposure to efavirenz in HIV
infected Zimbabweans.
AB - OBJECTIVE: Efavirenz, an antiretroviral medicine, is extensively metabolized by
cytochrome P450 2B6 (CYP2B6), UDP-glucuronosyltransferase 2B7 (UGT2B7), and
CYP2A6. In this study, we investigated the association of single nucleotide
polymorphisms (SNPs) in these genes with plasma efavirenz levels in Zimbabwean
human immunodeficiency virus (HIV)-positive patients treated with efavirenz.
METHODS: The exon regions of the CYP2B6, CYP2A6, and UGT2B7 genes were re
sequenced in 49 HIV-infected Zimbabwean patients treated with a combination
therapy including efavirenz. Associations of SNPs in these three genes with
efavirenz plasma concentrations 11-16 h after the administration of treatment
were evaluated. RESULTS: Eight patients carrying CYP2B6*6/*18 showed the highest
plasma efavirenz levels, with a fourfold higher concentration than patients who
carried CYP2B6*1/*1. Patients with CYP2B6*6/*6 also showed higher efavirenz
plasma concentrations than those with CYP2B6*1/*1. Among the 17 and 12 SNPs
identified in CYP2A6 and UGT2B7, respectively, no SNP showed a significant
association with the plasma efavirenz concentration. CONCLUSION: Although based
on only a limited number of subjects, our results suggest that the CYP2B6*6 and
CYP2B6*18 alleles should affect hepatic metabolic activity and elevate the
systemic circulation level of efavirenz, which may lead to toxicity in Zimbabwean
HIV patients.
PMID- 21901345
TI - Natriuretic peptides in therapy for decompensated heart failure.
AB - Congestive heart failure (CHF) is the most frequent cause of hospitalization for
patients >65 years of age and continues to be a major public health burden among
the ageing population. Unlike therapy for chronic CHF, there has been only modest
progress in medical treatment for acutely decompensated CHF over the past several
decades. Moreover, current treatment-consisting generally of diuretic, inotropic,
and vasodilatory agents-is associated with many limitations in clinical practice.
Natriuretic peptides provide a promising mechanism of action in the
pathophysiologic background for CHF treatment based on their vasodilatory and
diuretic properties and effective inhibition of the renin-angiotensin-aldosterone
system, which is activated early in the course of CHF. Nesiritide (Natrecor(r) or
Noratak(r)) is a recombinant natriuretic peptide that has the same 32 amino-acid
sequence as human B-type natriuretic peptide. Nesiritide has been shown to
improve dyspnea and hemodynamic parameters in patients with decompensated heart
failure. Ularitide is a synthetic form of urodilatin, a natriuretic peptide
hormone secreted by the kidney. Recent clinical studies suggest that ularitide
may play a role in managing decompensated heart failure. This review provides an
update on natriuretic peptides and their therapeutic potential in advanced CHF.
PMID- 21901348
TI - How to diagnose double crush syndrome?
PMID- 21901347
TI - Bone marrow lesions: a universal bone response to injury?
AB - The ever increasing use of magnetic resonance imaging in clinical practice has
led to the recognition of a new entity, bone marrow lesions (BMLs). These lesions
are characterized by excessive water signals in the marrow space and have emerged
as a central component of many different diseases affecting the musculoskeletal
system. BMLs have in particular been associated with a wide variety of
inflammatory and non-inflammatory rheumatologic conditions and are not only
considered significant sources of pain, but also linked to the worsening of
patient prognosis in many disease states. In this review, we summarize the
current knowledge on BMLs with an emphasis on the clinical and histological
features of this entity in inflammatory and non-inflammatory disease and provide
a unifying hypothesis based on the appearance with various imaging technologies.
We also try to pair this hypothesis with the apparent beneficial effects of
various treatment regimens, mainly within the group of bone antiresorptive drugs
(calcitonin, bisphosphonates) on symptoms associated with BMLs.
PMID- 21901349
TI - Churg-Strauss syndrome presented as paraneoplastic syndrome with thymic
neuroendocrine carcinoma: a case report.
AB - Churg-Strauss syndrome (CSS) is rarely presented as paraneoplastic syndrome. We
reported here a 47-year-old man with refractory asthma for 3 years, and his CT
scan showed an anterior mediastinal mass, pulmonary reticular opacities and
bilateral maxillary sinusitis. He also presented with elevated peripheral blood
eosinophils (EOS). Thoracoscopic resection of the mediastinal mass and a lung
biopsy were performed, and thymic neuroendocrine carcinoma (TNC) and pulmonary
eosinophilic vasculitis were pathologically diagnosed. The laboratory and
clinical findings fulfilled the criteria of the diagnosis of CSS (Masi et al. in
Arthritis Rheum 33(8):1094-1100, 1990). Asthma was improved, and elevated EOS was
recovered to normal range after surgery and four courses of chemotherapy. This
was the first report of CSS manifested as a paraneoplastic syndrome of TNC.
PMID- 21901351
TI - Magnetic resonance imaging of rheumatoid meningitis: a case report and literature
review.
AB - Rheumatoid meningitis is a rare and serious complication of rheumatoid arthritis
(RA) with high mortality rate. Clinical importance of the disease is high because
diagnosis is difficult, and the disease is treatable if diagnosed successfully.
We present the clinical and cranial magnetic resonance imaging findings of 62
year-old female patient with RA who has been followed up for 4 years.
PMID- 21901350
TI - Scleroderma pattern of nailfold capillary changes as predictive value for the
development of a connective tissue disease: a follow-up study of 3,029 patients
with primary Raynaud's phenomenon.
AB - To assess the prognostic value of scleroderma pattern of nailfold capillary
changes for the development of connective tissue diseases (CTD) in subjects with
primary Raynaud's phenomenon (RP). The study included 3,029 consecutive patients
with primary RP who had been followed at 6-month intervals during the mean of 4.8
years. The pathological features of nailfold capillaroscopy were recorded in all
patients who had neither clinical nor serological signs of a CTD. In patients who
developed CTD, capillary changes obtained 6 months prior to diagnosis were
analyzed. A possible relationship between capillary changes and the presence of
associated CTD was assessed. At the end of follow-up, 1,660 (54,8%) patients have
still the primary RP, 246 (8,1%) had suspected secondary RP, and 1,123 (37,1%)
patients developed CTD (363 undifferentiated CTD, 263 systemic sclerosis, 143
systemic lupus erythematosus, 106 rheumatoid arthritis, 102 Sjogren's syndrome,
61 overlap syndrome, 30 vasculitides, 24 mixed CTD, 19 polymyositis, 7
dermatomyositis, and 5 primary antiphospholipid syndrome). Scleroderma pattern
were significantly associated with the development of systemic sclerosis [P =
.00001, sensitivity 94%, specificity 92%, positive predictive value 52%, negative
predictive value 99%, and odds ratio 163 (95% CI, 97,9-271,5)], as well as
dermatomyositis (P = .0004), overlap syndrome with signs of systemic sclerosis (P
= .0001), and mixed connective tissue disease (P = .007). Capillary microscopy is
effective method for differentiation between primary and secondary RP and useful
tool for the prediction of scleroderma spectrum disorders in RP patients.
PMID- 21901352
TI - Association of fibromyalgia characteristics in patients with non-metastatic
breast cancer and the protective role of resilience.
AB - Cancer patients often complain about weakness, fatigue, and pain. The aim of this
study was to assess the features of the fibromyalgia syndrome (FMS)
characteristics in patients with non-metastatic breast cancer. The study group
included 40 women whose age ranged from 40 to 70 years with Stages 0-3 breast
cancer. The control group included 40 healthy women matched by age. A diagnosis
of FMS was established based on medical history, physical examination, and the
Fibromyalgia Impact Questionnaire (FIQ). Pain measures and functional factors
were evaluated by the Brief Pain Inventory and the Sheehan Questionnaire.
Resilience was assessed by Antanovsky's Sense of Coherence Questionnaire.
Psychiatric disturbances were tested by the MINI Questionnaire and Hamilton
questionnaires for depression and anxiety. The prevalence of chronic pain was
higher in the study group. Statistically significant differences were also found
between the group regarding pain, fatigue, and functional measures. The
prevalence of depressive or anxious mood, measured by the Hamilton
questionnaires, was strongly related to FMS characteristics reflected by FIQ
scores (r = 0.79 between FIQ and the Hamilton Depression Index and r = 0.75
between FIQ and the Hamilton Anxiety Scale). The sense of coherence measure for
these patients demonstrated an inverse correlation with pain, fatigue, and
functional capability. Women with breast cancer tend to develop chronic
widespread pain syndromes more often than do healthy women.
PMID- 21901353
TI - SUMO4 C438T polymorphism is associated with papulopustular skin lesion in Korean
patients with Behcet's disease.
AB - Small ubiquitin-like modifier 4 (SUMO4) is involved in a range of autoimmune
diseases and is known to downregulate the transcription activity of nuclear
factor kappa B (NF-kappaB). Our objective was to investigate the association of a
certain polymorphism (C438T) of the SUMO4 gene with Behcet's disease (BD) in
terms of its incidence and clinical features in Korean patients. We consecutively
enrolled 83 patients with BD and 120 healthy controls. Genomic DNA was extracted
from whole-blood samples. We identified a single nucleotide change (C438T) in the
SUMO4 gene using an amplification refractory mutation system (ARMS) technique. To
validate the ARMS technique, we compared its results to the results of direct
sequencing in 20 subjects. HLA-B51 status was determined by polymerase chain
reaction sequence-specific primers. The presence of papulopustular lesions (P =
0.006) and vascular involvement (P = 0.045) was significantly different between
C438T genotypes in HLA-B51-positive patients with BD. There were no differences
in allelic or genotypic frequencies of the SUMO4 C438T polymorphism between
patients with BD and controls (P = 0.567 and P = 0.818, respectively). The
difference in papulopustular skin lesions between CC and CT + TT genotypes in HLA
B51-positive patients with BD was also statistically significant (P = 0.002, OR =
23.40, 95% CI: 2.33-235.54). The C438T polymorphism in the SUMO4 gene is
associated with significantly increased risk of papulopustular skin lesions in
HLA-B51-positive patients.
PMID- 21901354
TI - Progress in pediatric rheumatology: apprehend the opportunities of the future
without forgetting the lessons from the past.
PMID- 21901356
TI - Reactivation of hepatitis B virus in a hepatitis B surface antigen-negative
patient with rheumatoid arthritis treated with methotrexate.
AB - Immunosuppressive therapy can induce viral reactivation in patients with chronic
hepatitis B virus (HBV) infection and, more rarely, in patients with resolved HBV
infection. We report the case of a 57-year-old Japanese woman with rheumatoid
arthritis (RA) who developed de-novo hepatitis B virus-related hepatitis after
methotrexate (MTX) therapy. Entecavir and oral prednisolone following steroid
pulse therapy were administered and her liver function recovered. MTX is widely
used for RA for its efficiency and safety. But some cases of HBV reactivation
caused by MTX, including de-novo hepatitis, have been reported. Considering these
conditions, more attention should be paid when using MTX in patients with RA. And
more studies are needed to determine who needs screening of HBV, monitoring of
HBV-DNA, and prophylaxis with chemotherapy or immunosuppressive therapy.
PMID- 21901355
TI - The frequency of MEFV gene mutations in Behcet's disease and their relation with
clinical findings.
AB - Investigation of the relation between MEFV gene mutations and clinical findings
of Behcet's disease. Genetic features of 100 patients with Behcet's disease (BD)
and 100 healthy controls were analyzed. None of the individuals had a family
history of FMF in the patient and control group, and none of the individuals in
the control group had a family history of BD. MEFV gene analysis was performed in
all the patients with BD and healthy controls; twelve different regions were
scanned. In the BD group, mutations were detected in more than one region in 27
patients (27%). Twenty-five patients had heterozygous and two patients had
compound heterozygous mutations (M680I-V726A and M694 V-A744S). The most frequent
mutation was M694 V with an allelic frequency of 5%. The allelic frequencies of
E148Q, M680I (G/C), and V726A were 3, 2, and 2%, respectively. The allelic
frequencies of P369S, A744S, and K695R were 1, 1, and 0.5%. MEFV gene analysis
revealed mutations in 27 (27%) of the individuals in the control group; the
allelic frequency was 14%. The most frequent mutation was E148Q that was detected
in 16 individuals. One individual was compound heterozygote (E148Q-M694 V). The
allelic frequencies of E148Q, M694 V, V726A, and M680I were 8, 3, 1.5, and 0.5%,
respectively. The allelic frequencies of K695R and P369S were 0.5 and 0.5%,
respectively. The allelic frequency was similar in the two groups. There was not
a significant relation between the mutations in the BD group and clinical
findings.
PMID- 21901357
TI - Structural damages disturb functional improvement in patients with rheumatoid
arthritis treated with etanercept.
AB - Tumor necrosis factor (TNF) inhibitors have produced improvements in clinical,
radiographic, and functional outcomes in rheumatoid arthritis (RA) patients.
However, it remains unclear whether factors affecting physical functions remain
following TNF therapy. The objective of our study was to assess factors affecting
improvement of physical functions and to shed light on relations to disease
activity and structural changes in patients with RA treated with etanercept. The
study enrolled 208 patients, all of whose composite measures regarding clinical,
radiographic, and functional estimation both at 0 and 52 weeks after etanercept
therapy were completed. Mean disease duration of 208 patients was 9.6 years, mean
Disease Activity Score for 28 joints (DAS28) was 5.4, and mean van der Heijde
modified total Sharp score (mTSS) was 94.6. Mean Health Assessment Questionnaire
Disability Index (HAQ-DI) improved from 1.4 at 0 weeks to 1.0 at 52 weeks after
etanercept therapy, a 31% reduction, which was much less than changes in DAS28
and mTSS. By multivariate analysis, HAQ-DI and mTSS at baseline were
significantly correlated HAQ remission. Median HAQ-DI improved in 100 versus 20%
of the HAQ-DI <= 0.6 versus >= 2.0 groups, respectively. The mTSS cutoff point at
baseline to obtain HAQ remission was 55.5. During etanercept treatment in the
mTSS <55.5 versus >55.5 groups, median HAQ-DI improved in 70 versus 39%;
remission was achieved in 59 versus 33%; and there was no improvement in 14
versus 30%, respectively. HAQ-DI improvement was significantly correlated with
that of DAS28 but not of mTSS. In conclusion, higher HAQ and mTSS at baseline
inhibits HAQ-DI improvement within 1 year of etanercept treatment, and the cutoff
point necessary for mTSS to improve physical functions in patients with RA was
55.5.
PMID- 21901358
TI - Method to predict the chance of developing a male profile out of mixtures of male
and female DNA.
AB - In forensic examination it is a standard to take vaginal swabs from victims of
sexual assault for further molecular genetic analysis. Laboratories then are
usually confronted with mixtures of lots of female and only a small amount of
male DNA. Nowadays it is possible to work with specific Y chromosomal markers
after DNA extraction by differential lysis. The determined ratio of autosomal DNA
and Y chromosomal DNA can be used to identify the possibility of generating a
male profile in these samples.
PMID- 21901359
TI - A web-based e-learning programme for training external post-mortem examination in
curricular medical education.
AB - In Germany, the external post-mortem examination is considered a medical duty and
may be performed by any licensed physician. Concerning legal medicine as a
curricular subject in teaching medical students, the external post-mortem
examination is regarded a core area. At the University of Muenster, 15 virtual
cases of death have been developed by using the web-based Inmedea Simulator. The
programme allows performing all relevant steps in executing a complete external
post-mortem examination. A particular importance was attached to the aspect of
training users in approaching the subject in a systematic way to interpret
significant forensic findings correctly and to comprehend their medico-legal
implications. The programme was used for the first time in the academic term of
2010/2011. The overall reception of the programme by the medical students
resulted to be positive in a first evaluation.
PMID- 21901360
TI - Species traits and environmental conditions govern the relationship between
biodiversity effects across trophic levels.
AB - Changing environments can have divergent effects on biodiversity-ecosystem
function relationships at alternating trophic levels. Freshwater mussels
fertilize stream foodwebs through nutrient excretion, and mussel species-specific
excretion rates depend on environmental conditions. We asked how differences in
mussel diversity in varying environments influence the dynamics between primary
producers and consumers. We conducted field experiments manipulating mussel
richness under summer (low flow, high temperature) and fall (moderate flow and
temperature) conditions, measured nutrient limitation, algal biomass and grazing
chironomid abundance, and analyzed the data with non-transgressive overyielding
and tripartite biodiversity partitioning analyses. Algal biomass and chironomid
abundance were best explained by trait-independent complementarity among mussel
species, but the relationship between biodiversity effects across trophic levels
(algae and grazers) depended on seasonal differences in mussel species' trait
expression (nutrient excretion and activity level). Both species identity and
overall diversity effects were related to the magnitude of nutrient limitation.
Our results demonstrate that biodiversity of a resource-provisioning (nutrients
and habitat) group of species influences foodweb dynamics and that understanding
species traits and environmental context are important for interpreting
biodiversity experiments.
PMID- 21901361
TI - The model-data fusion pitfall: assuming certainty in an uncertain world.
AB - Model-data fusion is a powerful framework by which to combine models with various
data streams (including observations at different spatial or temporal scales),
and account for associated uncertainties. The approach can be used to constrain
estimates of model states, rate constants, and driver sensitivities. The number
of applications of model-data fusion in environmental biology and ecology has
been rising steadily, offering insights into both model and data strengths and
limitations. For reliable model-data fusion-based results, however, the approach
taken must fully account for both model and data uncertainties in a statistically
rigorous and transparent manner. Here we review and outline the cornerstones of a
rigorous model-data fusion approach, highlighting the importance of properly
accounting for uncertainty. We conclude by suggesting a code of best practices,
which should serve to guide future efforts.
PMID- 21901362
TI - Resilience in infertile couples acts as a protective factor against infertility
specific distress and impaired quality of life.
AB - OBJECTIVE: Our hypothesis was that resilience (=psychosocial stress-resistance)
reduces infertility-specific distress and maintains quality of life of infertile
couples. METHODS: Questionnaire data of WHO Quality of Life assessment (WHOQOL;
domains: 'physical', 'psychological', 'social relationships' and 'environment'),
Fertility Problem Inventory (FPI; scales: 'social concern', 'sexual concern',
'relationship concern', 'rejection of childfree lifestyle' and 'need for
parenthood'), Resilience Scale (RS), as well as sociographic and medical data
were available for 199 infertile couples. RESULTS: Age, medical diagnosis and
'intensity of desire for a child' had no influence on quality of life. High
scores on 'suffering from childlessness' went along with less satisfaction on
'physical' and 'psychological' domains for the women only. For both partners,
high scores on 'suffering from childlessness' went along with higher scores on
all FPI scales. High resilience was associated with high scores on all WHOQOL
domains for both partners, also with low scores on all FPI scales except for
'need for parenthood' for the women and with a low score only on 'relationship
concern' for the men. CONCLUSIONS: For infertile couples, resilience can be
considered as an unspecific protective factor against infertility-specific
distress and impaired quality of life. When offering counselling to involuntarily
childless couples, awareness should be raised for resilience as a couple's
resource and a "generic" factor of coping.
PMID- 21901363
TI - Brain-derived neurotrophic factor from follicular fluid is positively associated
with rate of mature ooocytes collected and cleavage rate in intracytoplasmic
sperm injection patients.
AB - PURPOSE: The aim of the study was to evaluate the correlation between BDNF and
oocyte maturation and to verify whether BDNF could predict in vitro fertilization
(IVF) outcome. METHODS: The follicle fluid (FF) for BDNF, E(2) and P assay were
obtained from 59 patients undergoing intracytoplasmic sperm injection (ICSI). The
women were divided into two groups by pregnancy outcome and their clinical and
lab data were compared. And the correlation of BDNF with E(2), P, age, and IVF
data were analyzed. RESULTS: Positive correlation was observed between BDNF and
E(2) concentration in FF. BDNF was positively correlated with the rate of mature
oocytes collected and cleavage rate. CONCLUSIONS: The BDNF in FF could not
predict IVF outcome, but BDNF in FF might play an important role in the
maturation of oocyte and development of oocyte into preimplantation embryo.
PMID- 21901364
TI - Amelioration of neurodegenerative changes in cellular and rat models of diabetes
related Alzheimer's disease by exendin-4.
AB - Growing evidence suggests that type 2 diabetes mellitus (DM) is associated with
age-dependent Alzheimer's disease (AD), the latter of which has even been
considered as type 3 diabetes. Several physiopathological features including
hyperglycemia, oxidative stress, and dysfunctional insulin signaling relate DM to
AD. In this study, high glucose-, oxidative stress-induced neuronal injury and
intracerebroventricular-streptozotocin (ICV-STZ) animals as the possible models
for diabetes-related AD were employed to investigate the effects of exendin-4 (Ex
4), a long-acting glucagon-like peptide-1 (GLP-1) receptor agonist, on diabetes
associated Alzheimer-like changes as well as the molecular mechanisms involved.
Our study demonstrated that GLP-1/Ex-4 could exert a protective effect against
reduced viability of PC12 cells caused by high glucose and that this protective
effect was mediated via the PI3-kinase pathway. In addition, GLP-1/Ex-4
ameliorated oxidative stress-induced injury in PC12 cells. In rat models,
bilateral ICV-STZ administration was used to produce impaired insulin signaling
in the brain. Fourteen days following ICV-STZ injection, rats treated with twice
daily Ex-4 had better learning and memory performance in the Morris water maze
test compared with rats treated with saline. Additionally, histopathological
evaluation confirmed the protective effects of Ex-4 treatment on hippocampal
neurons against degeneration. Furthermore, we demonstrated that Ex-4 reversed ICV
STZ-induced tau hyperphosphorylation through downregulation of GSK-3beta
activity, a key kinase in both DM and AD. Our findings suggests that Ex-4 can
protect neurons from diabetes-associated glucose metabolic dysregulation insults
in vitro and from ICV-STZ insult in vivo, and that Ex-4 may prove of therapeutic
value in the treatment of AD especially DM-related AD.
PMID- 21901365
TI - Additional value of FDG-PET to contrast enhanced-computed tomography (CT) for the
diagnosis of mediastinal lymph node metastasis in non-small cell lung cancer: a
Japanese multicenter clinical study.
AB - OBJECTIVE: This study was a controlled multicenter clinical study to verify the
diagnostic effects of additional FDG-PET to contrast-enhanced CT for mediastinal
lymph node metastasis in patients with operable non-small cell lung cancer
(NSCLC). METHODS: NSCLC patients with enlarged mediastinal lymph nodes (short
diameter, 7-20 mm), confirmed using contrast-enhanced CT, were examined using FDG
PET to detect metastases prior to surgery. The primary endpoint was the accuracy
for concomitantly used CT and FDG-PET showing the additional effects of FDG,
compared with CT alone. The secondary endpoints were the clinical impact of FDG
PET on therapeutic decisions and adverse reaction from FDG administration. The
images were interpreted by investigators at each institution. Moreover, blinded
readings were performed by an image interpretation committee independent of the
institutions. The gold standard was the pathological diagnosis determined by
surgery or biopsy after PET, and patients in whom a pathological diagnosis was
not obtained were excluded from the analysis. RESULTS: Among 99 subjects, the
results for 81 subjects eligible for analysis showed that the accuracy improved
from 69.1% (56/81) for CT alone to 75.3% (61/81) for CT + PET (p = 0.404). These
findings contributed to treatment decisions in 63.0% (51/81) of the cases, mainly
with regard to the selection of the operative procedure. The results of the image
interpretation committee showed that the accuracy improved from 64.2% (52/81)
(95% CI 52.8-74.6) for CT to 75.3% (61/81) (95% CI 64.5-84.2) for CT + PET. The
accuracy for 106 mediastinal lymph nodes improved significantly from 62.3%
(66/106) (95% CI 52.3-71.5) for CT to 79.2% (84/106) (95% CI 70.3-86.5) for CT +
PET (p < 0.05). We found that no serious adverse drug reactions appeared in any
of the 99 patients who received FDG, except for transient mild outliers in the
laboratory data for two patients. CONCLUSIONS: The addition of FDG-PET to
contrast-enhanced CT imaging for the staging of NSCLC improved the diagnostic
accuracy for mediastinal lymph node metastasis. FDG-PET improved the precision of
the staging of NSCLC and contributed to the surgical decisions.
PMID- 21901366
TI - Additional effects of FDG-PET to thin-section CT for the differential diagnosis
of lung nodules: a Japanese multicenter clinical study.
AB - OBJECTIVE: This study was a controlled multicenter clinical study on patients
with peripheral lung nodules to verify the improvement in the diagnostic ability
of FDG-PET when used in combination with thin-section CT (TS-CT). METHODS:
Patients with peripheral lung nodules (long maximal diameter: 10-30 mm) detected
using CT were examined using TS-CT and FDG-PET for the differential diagnosis of
benign or malignant lesions. The primary endpoint was the specificity of the
results using a combination of TS-CT and FDG-PET, compared with the results for
TS-CT alone. Images were interpreted by investigators at each institution. Blind
readings were also performed by an independent image interpretation committee.
The gold standard was a pathological diagnosis determined using a surgical or
biopsy specimen obtained after PET; and the patients in whom a pathological
diagnosis could not be obtained were diagnosed based on a follow-up TS-CT
performed more than 6 months later. Adverse reactions to FDG were also evaluated.
RESULTS: The blind reading results for 82 lesions in 81 subjects eligible for
analysis among the 90 subjects included in the study showed a specificity of
91.2% (31/34) (95% CI: 76.3-98.1) for TS-CT + PET, compared with a specificity of
67.6% (23/34) (95% CI: 49.5-82.6) for TS-CT alone. The specificity was
significantly improved by the addition of the PET findings (p < 0.05). The
sensitivity improved from 89.6% (43/48) for TS-CT to 91.7% (44/48) for TS-CT +
PET; the addition of PET increased the level of confidence in the diagnosis, but
the difference was not significant. The results reported by the institutional
investigators were not significantly different. No serious adverse reactions
occurred, although two of the 90 subjects exhibited mild adverse reactions.
CONCLUSIONS: The addition of FDG-PET to TS-CT for the differential diagnosis of
benign or malignant peripheral lung nodules resulted in a significant improvement
in specificity. Although a definitive diagnosis of lung nodules requires a
histopathological or cytological examination, when combined with TS-CT, FDG-PET
can provide additional diagnostic information and improve the specificity.
PMID- 21901367
TI - Reducing disparities: race, class and the social determinants of health.
PMID- 21901368
TI - Increased d-dimer levels predict cardiovascular mortality in patients with
systolic heart failure.
AB - D-dimer is a fibrin degradation product, and is implicated in pathologies of
cardiovascular system. Thrombosis within the vascular system in relation with
inflammation and stasis might be associated with poor prognosis in patients with
systolic heart failure (HF). In this study we aimed to investigate for
relationship between d-dimer levels and cardiovascular mortality in patients with
systolic HF. A total of 174 consecutive patients with hospitalized systolic HF
were evaluated. All hospitalized patients were obtained d-dimer levels within the
first 24 h following admission after obtaining informed consent. Patients were
followed up for cardiovascular mortality and 40 (23%) patients died. d-dimer
levels were higher among those who died compared to those who survived (2727 +/-
2569 (710-4438) versus. 1029 +/- 1319 (303-1061) ng/ml, P < 0.001). Optimal cut
off level of d-dimer to predict cardiovascular mortality was found to be >1435
ng/ml. D-dimer levels were negatively correlated with ejection fraction,
positively correlated with left atrium size and left ventricular diastolic
diameter. D-dimer >1435 ng/ml, age, diabetes mellitus, presence of atrial
fibrillation, and creatinine level were found to have prognostic significance in
univariate analyses. In multivariate Cox proportional-hazards model, d-dimer >
1435 ng/ml (HR = 3.250, 95% CI 1.647-6.414, P = 0.001), creatinine level (HR =
1.269, 95% CI 1.008-1.599, P = 0.043), and presence of atrial fibrillation (HR =
2.159, 95% CI 1.047-4.452, P = 0.037) remained associated with an increased risk
of death after adjustment for variables found to be statistically significant in
univariate analysis and correlated with d-dimer level. In conclusion, d-dimer
measurement could help risk stratification in patients with systolic HF.
PMID- 21901369
TI - Fermentative hydrogen production from soybean protein processing wastewater in an
anaerobic baffled reactor (ABR) using anaerobic mixed consortia.
AB - Fermentative H(2) production from soybean protein processing wastewater (SPPW)
was investigated in a four-compartment anaerobic baffled reactor (ABR) using
anaerobic mixed cultures under continuous flow condition in the present study.
After being inoculated with aerobic activated sludge and operated at the
inoculants of 5.98 gVSS L(-1), COD of 5000 mg L(-1), HRT of 16 h and temperature
of (35 +/- 1) degrees C for 22 days, the ABR achieved stable ethanol-type
fermentation. The specific hydrogen production rate of anaerobic activated sludge
was 165 LH(2)kg MLVSS(-1) day(-1), the substrate conversion rate was 600.83
LH(2)kg COD(-1)and the COD removal efficiency was 44.73% at the stable operation
status. The ABR system exhibited a better stability and higher hydrogen yields
than continuous stirring tank reactor under the same operational condition. The
experimental data documented the feasibility of substrate degradation along with
molecular H(2) generation utilizing SPPW as primary carbon source in the ABR
system.
PMID- 21901370
TI - Characterization and identification of a chymotryptic hydrolysate of alpha
lactalbumin stimulating cholecystokinin release in STC-1 cells.
AB - Alpha-lactalbumin hydrolysate is of significant interest, due to its potential
application as a source of bioactive peptides in nutraceutical and pharmaceutical
domains. This study was focused on the cholecystokinin (CCK) family compounds
which are small peptides involved in the satiety control. The action of
chymotryptic hydrolysate of alpha-lactalbumin on cholecystokinin release from
intestinal endocrine STC-1 cells was investigated. We demonstrated for the first
time that a chymotryptic hydrolysate of alpha-lactalbumin was able to highly
stimulate CCK-releasing activity from STC-1 cells. The peptidic hydrolysate was
characterized by LC/MS and MS/MS, thus highlighting the presence of 11 fractions
containing 21 peptides, each potentially having the desired activity.
PMID- 21901371
TI - Evaluation of trabecular bone formation in a canine model surrounding a dental
implant fixture immobilized with an antimicrobial peptide derived from histatin.
AB - JH8194 induces osteoblast differentiation, although it was originally designed to
improve antifungal activity. This suggests that JH8194 is useful for implant
treatment. Therefore, the aim of this study was to evaluate the osseointegration
capacity of JH8194-modified titanium dental implant fixtures (JH8194-Fi). The
implants were randomly implanted into the edentulous ridge of dog mandibles.
Healing abutments were inserted immediately after implant placement. Three weeks
later, peri-implant bone levels, the first bone-to-implant contact points, and
trabecular bone formation surrounding the implants were assessed by histological
and digital image analyses based on microcomputed tomography (microCT). The
histological analysis revealed an enhancement of mature trabecular bone around
the JH8194-Fi compared with untreated fixtures (control-Fi). Similarly, microCT
combined with analysis by Zed ViewTM also showed increased trabecular bone
formation surrounding the JH8194-Fi compared with the control-Fi (Student's t
test, P < 0.05). JH8194 may offer an alternative biological modification of
titanium surfaces to enhance trabecular bone formation around dental implants,
which may contribute to the transient acquirement of osseointegration and the
long-term success of implant therapy.
PMID- 21901372
TI - Superporous polyacrylate/chitosan IPN hydrogels for protein delivery.
AB - In this study, poly(acrylamide), poly(AAm), and poly(acrylamide-co-acrylic acid),
poly(AAm-co-AA) superporous hydrogels (SPHs) were synthesized by radical
polymerization in the presence of gas blowing agent, sodium bicarbonate. In
addition, ionically crosslinked chitosan (CH) superporous hydrogels were
synthesized to form interpenetrating superporous hydrogels, i.e. poly(AAm)-CH and
poly(AAm-co-AA)-CH SPH-IPNs. The hydrogels have a structure of interconnected
pores with pore sizes of approximately 100-150 MUm. Although the extent of
swelling increased when AA were incorporated to the poly(AAm) structure, the time
to reach the equilibrium swelling (~30 s) was not affected so much. In the
presence of chitosan network mechanical properties significantly improved when
compared with SPHs, however, equilibrium swelling time (~30 min) was prolonged
significantly as due to the lower porosities and pore sizes of SPH-IPNs than that
of SPHs. Model protein bovine serum albumin (BSA) was loaded into SPHs and SPH
IPNs by solvent sorption in very short time (<1 h) and very high capacities (~30
300 mg BSA/g dry gel) when compared to conventional hydrogels. BSA release
profiles from SPHs and SPH-IPNs were characterized by an initial burst of protein
during the first 20 min followed by a completed release within 1 h. However,
total releasable amount of BSA from SPH-IPNs was lower than that of SPHs as due
to the electrostatic interactions between chitosan and BSA.
PMID- 21901373
TI - Salinarchaeum laminariae gen. nov., sp. nov.: a new member of the family
Halobacteriaceae isolated from salted brown alga Laminaria.
AB - Halophilic archaeal strains R26(T) and R22 were isolated from the brown alga
Laminaria produced at Dalian, Liaoning Province, China. Cells from the two
strains were pleomorphic rods and Gram negative, and colonies were red pigmented.
Strains R26(T) and R22 were able to grow at 20-50 degrees C (optimum 37 degrees
C) in 1.4-5.1 M NaCl (optimum 3.1-4.3 M) at pH 5.5-9.5 (optimum pH 8.0-8.5) and
neither strain required Mg(2+) for growth. Cells lyse in distilled water and the
minimum NaCl concentration required to prevent cell lysis was 8% (w/v) for strain
R26(T) and 12% (w/v) for strain R22. The major polar lipids of the two strains
were phosphatidylglycerol, phosphatidylglycerol phosphate methyl ester and minor
phosphatidylglycerol sulfate; glycolipids were not detected. Phylogenetic
analyses based on 16S rRNA genes and rpoB' genes revealed that strains R26(T) and
R22 formed a distinct clade with the closest relative, Natronoarchaeum
mannanilyticum. The DNA G+C content of strains R26(T) and R22 was 65.8 and 66.4
mol%, respectively. The DNA-DNA hybridization value between strains R26(T) and
R22 was 89%. The phenotypic, chemotaxonomic and phylogenetic properties suggest
that the strains R26(T) and R22 represent a novel species in a new genus within
the family Halobacteriaceae, for which the name Salinarchaeum laminariae gen.
nov., sp. nov. is proposed. The type strain is R26(T) (type strain R26(T) = CGMCC
1.10590(T) = JCM 17267(T), reference strain R22 = CGMCC 1.10589).
PMID- 21901374
TI - Fetus in fetu from newborn's mediastinum: case report and a review of literature.
AB - Fetus in fetu is a rare congenital anomaly, when the malformed monozygotic twin
is found within the body of a living child or sometimes in an adult. We report a
case of fetus in fetu diagnosed during the early third trimester of pregnancy, in
the medial and inferior portions of anterior mediastinum of the dominant twin.
The diagnosis was suspected by prenatal ultrasound and fetal magnetic resonance
imaging. It was postnatally confirmed by CT and RTG, surgically removed after
birth and histologically examined. Histologically we found well-developed organs
and tissues derived from all germ layers. To our knowledge, we describe the
fourth case of fetus in fetu located in the thorax, and the second case located
in the anterior mediastinum. This case highlights the usefulness of prenatal
ultrasound and MRI in the diagnosis of mediastinal masses, and the usefulness of
complete histological examination for confirmation of diagnosis of fetus in fetu.
We also reviewed the possible embryologic backgrounds of this congenital anomaly.
PMID- 21901375
TI - Vertebral arteria lusoria: a life-threatening condition for oesophageal surgery.
AB - A rare anatomic variant of the right vertebral artery is reported as an
incidental finding in a 78-year-old female patient. The vessel originated from
the aortic arch distal to the origin of the left subclavian artery and presented
an unusual retroesophageal course, thus was named "vertebral arteria lusoria". As
multi-detector row computed tomography examination is now widely used, this
anatomical variant should be considered in any patient undergoing esophageal
surgery. Misdiagnosis of such an aberrant vertebral artery may cause life
threatening issues.
PMID- 21901376
TI - Persistent primitive olfactory artery.
PMID- 21901377
TI - The effectiveness of psychosocial interventions designed to improve health
related quality of life (HRQOL) amongst asthmatic children and their families: a
systematic review.
AB - PURPOSE: Asthmatic children are at risk of compromised health-related quality of
life (HRQOL) compared with their healthy peers. This systematic review reports
the range and effectiveness of psychosocial interventions designed to improve
HRQOL amongst asthmatic children, adolescents, and their families. METHOD: Data
sources included The Cochrane Airways Group Trials Register of trials, PubMed
database, and reference lists from review articles. RESULTS: Eighteen studies of
psychosocial interventions were identified. Interventions were designed to
improve HRQOL amongst a range of psychosocial, health care, school-related and
clinical outcomes, and were delivered in numerous settings and formats. Four
studies reported that interventions were effective for significant improvements
in child overall HRQOL scores. These include asthma education (n = 2), asthma
education plus problem solving (n = 1), and art therapy (n = 1). CONCLUSIONS:
Most interventions focussed on the delivery of asthma education to children, with
the purpose of improving knowledge about asthma and disease management. There is
limited evidence to suggest that interventions currently available are effective
for significantly improving HRQOL amongst asthmatic children, adolescents, and
their families. Most interventions lacked a theoretical basis and did not focus
on family functioning variables. Multi-component interventions that incorporate
asthma education along with strategies to assist families with implementing
behaviour change towards improved asthma management are required. Future
interventions should also attempt to address the wider context of family
functioning likely to contribute to the family's ability to engage in successful
asthma management in order to improve HRQOL.
PMID- 21901378
TI - Using mixed methods research to explore the effect of an adaptation exercise on
general population valuations of health states.
AB - PURPOSE: To understand the effect of an adaptation exercise (AE) on general
population values for rheumatoid arthritis (RA) states. METHODS: A sequential
mixed methods design was employed: an analysis of a dataset to develop RA states
for valuing in later phases of the study; a qualitative interview study with
members of the general population to identify how an AE affected valuing of the
RA states and to help design a questionnaire for the final phase; and a
quantitative quasi-experimental study to identify factors that influence change
in values after being informed about adaptation. RESULTS: Three RA states were
developed using Rasch and cluster analyses. Participants in the qualitative phase
identified a range of ways in which information about adaptation affected their
values. For example, they realized they could adapt to RA because their family
and friends who had RA, or similar conditions, could cope. A 25-item
questionnaire was developed and used during the final phase to identify that
younger and healthier individuals were more likely to increase their values after
being informed about disease adaptation. The qualitative findings were revisited
and found to support the quantitative results. CONCLUSIONS: This approach
facilitated understanding of whether and how an AE affected valuing of health
states. Each phase affected the next phase of the study, leading to the
conclusion that general population respondents who have little experience of
disease will likely increase their health state values after being informed about
adaptation because they understand that they could cope with the disease.
PMID- 21901379
TI - Septic pulmonary embolisms and metastatic infections from methicillin-resistant
Staphylococcus aureus endocarditis on FDG PET/CT.
PMID- 21901380
TI - Do we have to withdraw antiandrogenic therapy in prostate cancer patients before
PET/CT with [11C]choline?
PMID- 21901382
TI - Are we ready for an early evaluation of the response of axillary lymph node
metastases to neoadjuvant therapy?
PMID- 21901383
TI - Activity quantification combining conjugate-view planar scintigraphies and
SPECT/CT data for patient-specific 3-D dosimetry in radionuclide therapy.
AB - PURPOSE: Three-dimensional dosimetry based on quantitative SPECT/CT has potential
advantages over planar approaches, but may be impractical due to acquisition
durations. We combine one SPECT/CT with improved quantification of multiple
planar scintigraphies to shorten acquisitions. METHODS: A hybrid 2-D/3-D
quantification technique is proposed, using SPECT/CT information for robust
planar image quantification and creating virtual SPECTs out of conjugate-view
planar scintigraphies; these are included in a 3-D absorbed dose calculation. A
projection model simulates photon attenuation and scatter as well as camera and
collimator effects. Planar and SPECT calibration techniques are described,
offering multiple pathways of deriving calibration factors for hybrid
quantification. Model, phantom and patient data are used to validate the approach
on a per-organ basis, and the similarity of real and virtual SPECTs, and of
planar images and virtual SPECT projections, is assessed using linear regression
analysis. RESULTS: Organ overlap, background activity and organ geometry are
accounted for in the algorithm. Hybrid time-activity curves yield the same
information as those derived from a conventional SPECT evaluation. Where correct
values are known, hybrid quantification errors are less than 16% for all but two
compartments (SPECT/CT 23%). Under partial volume effects, hybrid quantification
can provide more robust results than SPECT/CT. The mean correlation coefficient
of 3-D data is 0.962 (2-D 0.934). As a consequence of good activity
quantification performance, good agreement of absorbed dose estimates and dose
volume histograms with reference results is achieved. CONCLUSION: The proposed
activity quantification method for 2-D scintigraphies can speed up SPECT/CT-based
3-D dosimetry without losing accuracy.
PMID- 21901381
TI - Emerging roles for integrated imaging modalities in cardiovascular cell-based
therapeutics: a clinical perspective.
AB - Despite preclinical promise, the progress of cell-based therapy to clinical
cardiovascular practice has been slowed by several challenges and uncertainties
that have been highlighted by the conflicting results of human trials. Most
telling has been the revelation that current strategies fall short of achieving
sufficient retention and engraftment of cells to meet the ambitious objective of
myocardial regeneration. This has sparked novel research into the refinement of
cell biology and delivery to overcome these shortcomings. Within this context,
molecular imaging has emerged as a valuable tool for providing noninvasive
surveillance of cell fate in vivo. Direct and indirect labelling of cells can be
coupled with clinically relevant imaging modalities, such as radionuclide single
photon emission computed tomography and positron emission tomography, and
magnetic resonance imaging, to assess their short- and long-term distributions,
along with their viability, proliferation and functional interaction with the
host myocardium. This review details the strengths and limitations of the
different cell labelling and imaging techniques and their potential application
to the clinical realm. We also consider the broader, multifaceted utility of
imaging throughout the cell therapy process, providing a discussion of its
considerable value during cell delivery and its importance during the evaluation
of cardiac outcomes in clinical studies.
PMID- 21901384
TI - Changes in perceived attentional function in women following breast cancer
surgery.
AB - After treatment for breast cancer, many women experience cognitive problems, as
determined by objective neuropsychological tests. However, the ecological
validity of these tests has been questioned. This study explored the trajectory
of perceived attentional function from before to 24 months after surgery in women
with breast cancer and examined the effect of adjuvant treatment on this
perceived attentional function. Women with breast cancer (N = 200) were assessed
for perceived cognitive function by measuring attentional function using the
attentional function index (AFI). Covariates included anxiety, depression,
fatigue, and sleep disturbance. Perceived attentional function declined in 54% of
women at 1 month after surgery. At 1 and 2 years after surgery, 41 and 30% of
women, respectively, still perceived this decline. The mean AFI decreased to the
lowest point 1 month after surgery, but improved gradually afterward, taking
about 1 year to return to the pre-surgery level. Decreases in perceived
attentional function were associated with increases in anxiety, depression,
fatigue, and sleep disturbance. After controlling for baseline differences and
covariates, mean AFI scores were not associated with adjuvant treatment.
Perceived cognitive function deteriorated after surgery but improved over time.
It was not associated with adjuvant cancer treatment.
PMID- 21901386
TI - Smac-mimetic compound SM-164 induces radiosensitization in breast cancer cells
through activation of caspases and induction of apoptosis.
AB - Radiotherapy is a treatment choice for local control of breast cancer,
particularly after the removal of tumor tissues by surgery. However, intrinsic
radioresistance of cancer cells limits therapeutic efficacy. Here, we determined
in breast cancer cells the potential radiosensitizing activity of SM-164, a small
molecule compound, that mimics the activity of SMAC, a mitochondrial protein
released during apoptosis to activate caspases by inhibiting cellular inhibitor
of apoptosis proteins, cIAP-1, and XIAP. We found that SM-164 at nanomolar
concentrations promoted degradation of cIAP-1, disrupted the inhibitory binding
of XIAP to active caspase-9, and sensitized breast cancer cells to radiation with
a sensitization enhancement ratio (SER) of 1.7-1.8. In one line of breast cancer
cells resistant to SM-164 as a single agent, SM-164 radiosensitization was
mediated by intrinsic apoptosis pathway through activation of caspases-9 and -3.
In a line of breast cancer cells sensitive to SM-164 as a single agent, SM-164
radiosensitization was mediated by both extrinsic and intrinsic apoptosis
pathways through activation of caspases-9, -8, and -3. Consistently, blockage of
caspase activation, through siRNA knockdown or treatment with a pan-caspase
inhibitor z-VAD-fmk, inhibited apoptosis and abrogated SM-164 radiosensitization.
Our study demonstrates that IAPs are valid radiosensitizing targets in breast
cancer cells and SM-164 could be further developed as a novel class of
radiosensitizers for the treatment of radioresistant breast cancer.
PMID- 21901385
TI - Effect of anti-DR5 and chemotherapy on basal-like breast cancer.
AB - The purpose is to evaluate sensitivity of basal-like breast cancer to treatment
with anti-DR5 alone and in combination with chemotherapy. Cytotoxicity of TRA-8
anti-DR5 alone and in combination with doxorubicin or paclitaxel was examined.
The role of a DR5-associated molecule (DDX3) in the regulation of apoptosis by
recruitment of cIAP1 to the DR5/DDX3 complex was studied. SUM159 and 2LMP
orthotopic xenografts were treated with TRA-8 alone and in combination with
Abraxane or doxorubicin, and tumor growth inhibition determined. Diffusion
weighted magnetic resonance imaging was used to monitor early tumor response. The
majority (12/15) of basal-like cell lines were very sensitive to TRA-8-induced
cytotoxicity (IC(50) values of 1.0-49 ng/ml). In contrast, 8/11 luminal or HER2
positive cell lines were resistant (IC(50) > 1,000 ng/ml). Enhanced killing of
basal-like cell lines was produced by combination treatment with TRA-8 and
doxorubicin. Majority of basal cell lines expressed lower levels of DR5
associated DDX3 and cIAP1 than luminal and HER2-positive cell lines. TRA-8
inhibited growth of basal xenografts and produced 20% complete 2LMP tumor
regressions. TRA-8 and chemotherapy produced greater 2LMP growth inhibition than
either alone. An increase in apparent diffusion coefficient in 2LMP tumors was
measured in a week of therapy with TRA-8 and Abraxane. Basal-like cell lines were
more sensitive to TRA-8-mediated cytotoxicity than HER2-over-expressing and
luminal cell lines, and chemotherapy enhanced cytotoxicity. High sensitivity of
basal cells to TRA-8 correlated with low expression of DR5/DDX3/cIAP1 complex.
Treatment with TRA-8 and chemotherapy may be an effective therapy for basal-like
breast cancer.
PMID- 21901387
TI - The role of caveolin-1 in human breast cancer.
AB - Caveolin-1 is the essential constituent protein of specialised plasma membrane
invaginations called caveolae. The unique topology of caveolin-1 facilitates the
role of caveolae as molecular hubs, integrating the activity of a multitude of
signalling molecules. Despite improvements in our understanding of caveolin-1
interactions and the function of caveolae, the relationship between dysfunctional
caveolin-1 and tumourigenesis remains contentious. Perhaps most intriguing has
been the demonstration of both oncogenic and tumour suppressor function within
particular tumour types, including breast cancer. In this review, the biological
and clinical relevance of caveolin-1 in human breast cancer are considered.
Evidence is systematically presented for the potential tumour suppressor and
oncogenic functions of caveolin-1. Specific reference is made to interactions
between caveolin-1 and signalling pathways in the clinical and biological
subtypes of breast cancer. Areas of controversy are discussed and technical
considerations are highlighted. Translational implications and potential for
specific therapeutic manipulation of caveolin-1 are evaluated in the context of
evidence from in vitro and in vivo studies.
PMID- 21901388
TI - Genetic heterogeneity in HER2 testing may influence therapy eligibility.
AB - Prospective studies have demonstrated that approximately 20% of HER2 testing may
be inaccurate. When carefully validated testing is conducted, available data do
not clearly demonstrate the superiority of either IHC or fluorescence in situ
hybridization (FISH) as a predictor of benefit from anti-HER2 therapy. In
addition, the interpretation of the findings of HER2 tests according to
international guidelines is not uniform. The American Society of Clinical
Oncology (ASCO) and the College of American Pathologists (CAP) recently published
practice guidelines for a definition of HER2 amplification heterogeneity that can
give rise to discrepant results between IHC and FISH assays for HER2. In this
article, we compare the HER2 status of 291 non consecutive breast cancers. The
status is determined by both IHC and FISH approaches, using a specific FISH
strategy to investigate genetic heterogeneity. Our data demonstrate that HER2
amplified cells may be found as diffuse, clustered in a specific area or section,
intermingled with non-amplified cells or confined to metastatic nodules. The
correct evaluation of ratio value in the presence of genetic heterogeneity and of
polysomy contributes to the accurate assessment of HER2 status and potentially
affects the selection of appropriate anti-HER2 therapy. By taking into account
the presence of different genetic cell populations, the immunotherapy eligibility
criteria for HER2 FISH scoring proposed in the CAP (2009) and SIGU guidelines
identify an additional subset of cases for trastuzumab or lapatinib therapy
compared to the ASCO/CAP (2007) guidelines.
PMID- 21901389
TI - The effects of mindfulness-based stress reduction on psychosocial outcomes and
quality of life in early-stage breast cancer patients: a randomized trial.
AB - The aim of this study was determine the effectiveness of a mindfulness-based
stress-reduction (MBSR) program on quality of life (QOL) and psychosocial
outcomes in women with early-stage breast cancer, using a three-arm randomized
controlled clinical trial (RCT). This RCT consisting of 172 women, aged 20-65
with stage I or II breast cancer consisted of the 8-week MBSR, which was compared
to a nutrition education program (NEP) and usual supportive care (UC). Follow-up
was performed at three post-intervention points: 4 months, 1, and 2 years.
Standardized, validated self-administered questionnaires were adopted to assess
psychosocial variables. Statistical analysis included descriptive and regression
analyses incorporating both intention-to-treat and post hoc multivariable
approaches of the 163 women with complete data at baseline, those who were
randomized to MBSR experienced a significant improvement in the primary measures
of QOL and coping outcomes compared to the NEP, UC, or both, including the
spirituality subscale of the FACT-B as well as dealing with illness scale
increases in active behavioral coping and active cognitive coping. Secondary
outcome improvements resulting in significant between-group contrasts favoring
the MBSR group at 4 months included meaningfulness, depression, paranoid
ideation, hostility, anxiety, unhappiness, and emotional control. Results tended
to decline at 12 months and even more at 24 months, though at all times, they
were as robust in women with lower expectation of effect as in those with higher
expectation. The MBSR intervention appears to benefit psychosocial adjustment in
cancer patients, over and above the effects of usual care or a credible control
condition. The universality of effects across levels of expectation indicates a
potential to utilize this stress reduction approach as complementary therapy in
oncologic practice.
PMID- 21901390
TI - Carotenoids and breast cancer risk: a meta-analysis and meta-regression.
AB - The purpose of this article is to comprehensively summarize the associations
between carotenoids and breast cancer and quantitatively estimate their dose
response relationships. We searched PubMed, Embase, and Cochrane databases (from
January 1982 to 1 May 2011) and the references of the relevant articles in
English with sufficient information to estimate relative risk or odds ratio and
the 95% confidence intervals, and comparable categories of carotenoids. Two
reviewers independently extracted data using a standardized form; with any
discrepancy adjudicated by the third reviewer. 33 studies met the inclusion
criteria. Comparing the highest with the lowest intake: dietary alpha-carotene
intake significantly reduced the breast cancer risk by 9.0% (pooled RR = 0.91;
95% CI: 0.85-0.98; P = 0.01), dietary beta-carotene intake reduced the risk by
6.0% (pooled RR = 0.94; 95% CI: 0.88-1.00; P = 0.05); total beta-carotene intake
reduced the risk by 5.0% (pooled RR = 0.95; 95% CI: 0.90-1.01; P = 0.08) when
data from cohort studies were pooled. Significant dose-response relationships
were observed in both the higher intake of dietary and total beta-carotene with
reduced breast cancer risk when data from cohort studies (P (trend) < 0.01, P
(trend) = 0.03) and case-control studies (P (trend) < 0.01, P (trend) < 0.01)
were pooled, respectively. Dietary alpha-carotene intake could reduce the breast
cancer risk. The relationships between dietary and total beta-carotene intake and
breast cancer need to be confirmed. No significant association between dietary
intake of beta-cryptoxanthin, lutein/+zeaxanthin, and lycopene and breast cancer
was observed.
PMID- 21901391
TI - Role of CD44 in lymphokine-activated killer cell-mediated killing of melanoma.
AB - In the current study, we examined the potential significance of CD44 expression
on lymphokine-activated killer (LAK) cells in their interaction and killing of
melanoma cells. Stimulation of splenocytes with IL-2 led to a significant
increase in the expression of CD44 on T cells, NK cells, and NKT cells. Treatment
of melanoma-bearing CD44 WT mice with IL-2 led to a significant reduction in the
local tumor growth while treatment of melanoma-bearing CD44 KO mice with IL-2 was
ineffective at controlling tumor growth. Furthermore, the ability of splenocytes
from IL-2-treated CD44 KO mice to kill melanoma tumor targets was significantly
reduced when compared to the anti-tumor activity of splenocytes from IL-2-treated
CD44 WT mice. The importance of CD44 expression on the LAK cells was further
confirmed by the observation that adoptively transferred CD44 WT LAK cells were
significantly more effective than CD44 KO LAK cells at controlling tumor growth
in vivo. Next, the significance of the increased expression of CD44 in tumor
killing was examined and showed that following stimulation with IL-2, distinct
populations of cells with low (CD44(lo)) or elevated (CD44(hi)) expression of
CD44 are generated and that the CD44(hi) cells are responsible for killing of the
melanoma cells. The reduced killing activity of the CD44 KO LAK cells did not
result from reduced activation or expression of effector molecules but was due,
at least in part, to a reduced ability to adhere to B16F10 tumor cells.
PMID- 21901392
TI - Detection and evaluation of normal and malignant cells using laser-induced
fluorescence spectroscopy.
AB - The aim of this research is to study the normalized fluorescence spectra
(intensity variations and area under the fluorescence signal), relative quantum
yield, extinction coefficient and intracellular properties of normal and
malignant human bone cells. Using Laser-Induced Fluorescence Spectroscopy (LIFS)
upon excitation of 405 nm, the comparison of emission spectra of bone cells
revealed that fluorescence intensity and the area under the spectra of malignant
bone cells was less than that of normal. In addition, the area ratio and shape
factor were changed. We obtained two emission bands in spectra of normal cells
centered at about 486 and 575 nm and for malignant cells about 482 and 586 nm
respectively, which are most likely attributed to NADH and riboflavins. Using
fluorescein sodium emission spectrum, the relative quantum yield of bone cells is
numerically determined.
PMID- 21901393
TI - T-cell compartment in synovial fluid of pediatric patients with JIA correlates
with disease phenotype.
AB - INTRODUCTION: Juvenile idiopathic arthritis (JIA) is an autoimmune disease where
T cells are key players. It can be classified into two main clinical diseases:
polyarticular and pauciarticular, based on the number of joints involved.
Oligoarthritis, which is considered a pauciarticular subtype since it involves up
to four joints upon presentation, is further divided into persistent or extended
forms based on disease progression. METHODOLOGY/PRINCIPAL FINDINGS: Here we
assessed the T-cell compartment in synovial fluid obtained from 33 JIA patients
with active disease and correlated the analyzed parameters with the patients'
clinical characteristics. The T-cell compartment was determined by the
representation of T-cell receptor (TCR) repertoires and the amount of TCR
excision circles (TRECs). RESULTS: Patients with polyarticular disease have more
a clonal pattern of their TCR repertoire. These findings were consistent in all
tested TCR-Vgamma consensus primers. Similarly, patients with polyarticular
disease had lower TREC levels than patients with pauciarticular disease. A
predictive value of TRECs may be suggested, as lower TREC levels were observed in
patients in whom disease modifying anti rheumatic drugs were initiated
subsequently during the follow-up. CONCLUSION: In pediatric JIA patients, we
showed an alteration in the T cells from synovial fluid, which correlated with
disease phenotype, assumedly secondary to enhanced proliferation, clonal TCR
restriction, and reduced T-cell production, possibly reflecting a different
disease or a different course of disease progression.
PMID- 21901394
TI - Impaired peripheral Th1 CD4+ T cell response to Escherichia coli proteins in
patients with Crohn's disease and ankylosing spondylitis.
AB - BACKGROUND: To clarify the impact of T cell responses towards enteric antigens
for chronic intestinal inflammation, we determined T helper 1 reactivity towards
conserved Escherichia coli proteins in patients with Crohn's disease (CD) and
healthy individuals and patients with ankylosing spondylitis (AS), who also often
show microscopic inflammatory lesions within the gut or even develop overt
inflammatory bowel disease. METHODS: We determined the frequency of
IFNgamma+CD40L+ cells/CD4+ T cells after stimulation of whole blood with pools of
E. coli proteins. RESULTS: The E. coli-specific Th1 response was significantly
reduced in CD patients and to a lower extent also in AS patients. CONCLUSIONS: E.
coli is a target for polyclonal Th1 responses in healthy individuals. The
impairment of these responses in CD and AS patients might be due to recruitment
of enterobacteria-specific Th1 cells to the gut or might reflect inadequate
priming of adaptive immune response.
PMID- 21901395
TI - Triple-negative phenotype is of adverse prognostic value in patients treated with
dose-dense sequential adjuvant chemotherapy: a translational research analysis in
the context of a Hellenic Cooperative Oncology Group (HeCOG) randomized phase III
trial.
AB - PURPOSE: It is well recognized that breast cancer is a heterogeneous disease. The
purpose of the current study was to classify patients according to the
immunohistochemical phenotype of their tumors in an effort to evaluate the
outcome of the respective groups of patients and specifically of those with
triple-negative breast cancer (TNBC) following dose-dense sequential adjuvant
chemotherapy. METHODS: A total of 595 patients with high-risk breast cancer were
treated with adjuvant anthracycline-based dose-dense sequential chemotherapy with
or without paclitaxel in the context of a randomized study. ER, PgR, HER2, Ki67,
EGFR, and CK5 protein expression were evaluated in 298 formalin-fixed paraffin
embedded tumor samples by immunohistochemistry (IHC). HER2 was also evaluated by
chromogen in situ hybridization (CISH). HER2 status and Ki67 protein expression
differentiated luminal IHC subtypes (luminal B tumors being HER2 and/or Ki67
positive). RESULTS: Among the 298 tumors, the immunohistochemical panel
classified 37 (12%) as luminal A, 198 (66%) as luminal B, 27 (9%) as HER2
enriched, and 36 (12%) as TNBC. The median follow-up time was 97 months. Patients
with luminal A tumors had the best prognosis, with improved disease-free survival
(log-rank, P = 0.033) and overall survival (P = 0.006) compared with the other
three tumor subtypes. The three subtypes had an increased risk for relapse and
death compared with luminal A in multivariate analysis, as well. No benefit from
paclitaxel treatment was detected in any of the four subtypes or the total
cohort. Hierarchical clustering based on mRNA expression of ER, PgR, and HER2 by
quantitative RT-PCR identified patient groups that were comparable to the
subtypes identified by IHC. CONCLUSIONS: The results of this study confirm that
triple negative, luminal B and HER2-enriched phenotypes identified by IHC are of
adverse prognostic value in high-risk breast cancer patients treated with dose
dense sequential adjuvant chemotherapy.
PMID- 21901396
TI - A phase I study of 5-azacytidine and erlotinib in advanced solid tumor
malignancies.
AB - INTRODUCTION: The epidermal growth factor receptor (EGFR) is a validated target
in malignancy; however, patients with wild type EGFR obtain little sustained
benefit from anti-EGFR monotherapy. Epigenetic therapy to reactivate tumor
suppressor genes may enhance the anti-proliferative effect of erlotinib. This
phase I study evaluated the combination of erlotinib and 5-azacytidine for safety
and maximal tolerated dose (MTD). METHODS: Thirty patients with advanced solid
tumors were treated in a standard 3 + 3 cohort design. Erlotinib was dosed at 150
mg daily, and 5-azacytidine was escalated by increasing the number of daily doses
of 75 mg/m(2) per cycle. Patients were followed for dose-limiting toxicity (DLT).
Efficacy was assessed by RECIST criteria. RESULTS: Common non-hematologic
toxicities included rash, diarrhea, nausea, and fatigue; the majority was <=
Grade 2. DLTs included conjunctivitis in cohort 1 and infusion reaction in cohort
2. No DLTs occurred in cohorts 3, 4, or 5; however, 2 serious neutropenic
infections arose in cohort 5 after cycle 1. Cohort 4 was expanded to 6 patients
and was the MTD. Partial response (lung, ovarian) and stable disease occurred in
2 and 11 patients, respectively. Median progression-free survival was 2 months.
Two patients with lung and larynx cancer had prolonged stable disease.
CONCLUSION: The combination of erlotinib and 5-azacytidine was well tolerated
with interesting clinical activity in lung, head and neck, and ovarian cancer.
The recommended dose for phase II study is erlotinib 150 mg daily and 5
azacytidine 75 mg/m(2) daily on days 1-4 and 15-18 of a 28-day cycle.
PMID- 21901397
TI - The predictive value of human organic cation transporter 1 and ABCB1 expression
levels in different cell populations of patients with de novo chronic myelogenous
leukemia.
PMID- 21901399
TI - Long-term pattern of pleural effusion from chronic myeloid leukemia patients in
second-line dasatinib therapy.
AB - Dasatinib is a potent second-generation tyrosine kinase inhibitor approved for
the treatment of chronic myeloid leukemia after imatinib failure. However, some
patients treated with dasatinib experience pleural effusions (PEs). The
determinants of pleural effusion in long-term dasatinib treatment (median 35
months, range 1-55) were investigated in single-center data of 65 patients
enrolled in global phase 2 and phase 3 trials. Of the 65 patients, 35 (54%)
developed dasatinib-induced pleural effusion (a median onset time, 20 months;
range 0.2-54). The first pleural effusion developed in 15 (43%) patients within
12 months of dasatinib therapy. Disease phase (P = 0.02), dose schedule (P =
0.002) and actual daily mean dose (P = 0.0002) were significantly associated with
an increased risk of pleural effusion. Twice-daily administration of dasatinib
resulted in significantly more patients developing pleural effusions compared
with the once-daily dosing schedule, particularly in advanced disease. In
addition, a strong correlation was found between actual daily mean dose and time
to onset of pleural effusions in patients treated with a daily mean dose >100
mg/day of dasatinib (P = 0.01). These data emphasize the need for dasatinib dose
and schedule optimization and long-term monitoring of dasatinib-treated patients
to prevent the negative clinical implications of pleural effusion.
PMID- 21901398
TI - MicroRNA-146a and hemopoietic disorders.
AB - MicroRNAs (MiRNAs) are a class of small non-coding regulatory RNAs that repress
protein expression at the posttranscriptional level and play important roles in
hematopoiesis processes. MiR-146a is a miRNA that is thought to regulate
physiological and pathophysiological pathways in hematopoietic cells. In this
review, we focus on recent progress in analyzing the functional roles of miR-146a
in normal hematopoiesis and hematopoietic disease. We suggest that manipulation
of miR-146a expression may represent a potential new therapy for several
hematopoietic diseases, and may further serve as a biomarker for diagnosis,
prevention, and treatment of such disease.
PMID- 21901400
TI - The age at onset of psychosis and tobacco use: a systematic meta-analysis.
AB - BACKGROUND: There is a well-established but poorly understood association between
tobacco use and psychotic illness. The aim of this study was to determine whether
tobacco use is associated with an earlier age at onset of psychotic illness.
METHODS: Peer-reviewed publications in English reporting the age at onset of
psychosis in tobacco-using and non-tobacco-using groups were located using
searches of CINAHL, EMBASE, MEDLINE, PsycINFO and ISI Web of Science, and were
supplemented by papers located by manual searches and unpublished data obtained
by correspondence with primary researchers. A total of 29 samples reported age at
onset data in a consecutive series of patients with a diagnosis of schizophrenia
related psychosis or first episode psychosis. Information on study design, study
population and effect size was extracted independently by three authors. A random
effects meta-analysis was performed. RESULTS: There was no significant difference
between smokers and non-smokers in age at the onset of psychosis (standardized
mean difference = -0.03). CONCLUSION: Unlike cannabis use, tobacco use is not
associated with an earlier onset of psychosis.
PMID- 21901401
TI - Depression literacy among Chinese in Shanghai, China: a comparison with Chinese
speaking Australians in Melbourne and Chinese in Hong Kong.
AB - OBJECTIVE: The study reported in this paper was aimed at developing understanding
of depression-related knowledge and preferences surrounding professional help,
medication, and treatment methods among Chinese living in Shanghai, China.
METHODS: A multi-stage cluster sampling method in which participants were taken
from 6 of the 20 districts in Shanghai was adopted for this study. The 522
Shanghai Chinese participants were presented with a vignette describing an
individual with depression before being asked questions designed to assess both
their understanding of depression and their preferences surrounding professional
help, medication, and treatment methods. A comparative approach was adopted to
identify similarities and differences between our findings and those of two
previous studies on the mental health literacy of Chinese living in Melbourne,
Australia, and Hong Kong, respectively. RESULTS: A similarly low percentage of
Chinese people in each of Shanghai, Hong Kong, and Australia recognized
depression. Fewer Shanghai Chinese than Chinese living in Hong Kong and Australia
ascribed the 'anxiety/stress' label to the depression vignette and endorsed
professionals and informal network members as helpful. Although a far lower
percentage of Shanghai Chinese endorsed the use of counseling professionals, a
much higher percentage of the same group endorsed Chinese medical doctors and
herbal medication. A lower percentage of Shanghai Chinese endorsed 'lifestyle
changes' as a strategy for combating depression than did Chinese subjects living
in Australia and Hong Kong. On the other hand, a higher percentage of Shanghai
residents endorsed psychiatric treatment and the traditional Chinese practices of
'eating nutritious food/taking supplements' and 'qigong' than among the other two
groups of Chinese. CONCLUSIONS: This study underlines the need for campaigns
aimed at improving the mental health literacy of Chinese in Shanghai. Such
campaigns must take into consideration the socially and culturally driven beliefs
to facilitate the development of specific education programs.
PMID- 21901402
TI - Chemical composition and bile acid binding activity of products obtained from
amaranth (Amaranthus cruentus) seeds.
AB - Cardiovascular diseases are currently the greatest cause of mortality in the
world, and dislipidemia is appearing as one of the most important risk factors.
The binding of bile acids (BAs) has been hypothesized as a possible mechanism by
which dietary fibers lower blood cholesterol levels. Besides the fibers, other
components in the amaranth seeds may be related to this hypocholesterolemic
effect. The objective of the present study was to evaluate the BA binding
capacity of some products obtained from defatted amaranth flour (DAF) and from
the amaranth protein concentrate (APC). The alkaline residue, rich in fibers
(8.6%), presented the lowest binding activity for the BAs tested, with the
exception of glycocholic acid. The DAF showed intermediary binding activity for
all the BAs tested, although similar to that of the APC for deoxycholic acid, and
to that of the amaranth protein hydrolysate (APH) for taurocholic acid. The DAF
and APC showed binding activity for secondary bile acids toxic to the intestinal
mucus. From the results, amaranth products were shown to have the ability to bind
BAs, but it was not possible to affirm whether the main component responsible for
this activity was the proteins, fibers or eventually some other non-evaluated
component.
PMID- 21901403
TI - A phase I dose escalation study of Nimotuzumab in combination with concurrent
chemoradiation for patients with locally advanced squamous cell carcinoma of
esophagus.
AB - Nimotuzumab (h-R3) is a humanized anti-epidermal growth factor receptor
monoclonal antibody. We conducted a phase I study to assess the safety,
tolerance, maximal tolerance dose (MTD) and efficacy of h-R3 in combination with
concurrent chemoradiation in patient with locally advanced esophageal carcinoma.
Patients with locally advanced squamous cell carcinoma of esophagus were
eligible. A total dose of 61.2 Gy was delivered by conventional fractionation.
Chemotherapy was concurrently administered with irradiation every 4 weeks with PF
regimen (cis-platinum of 25 mg/m(2)/d, d1-3; 5-Fu of 1,800 mg/m(2), intravenously
infusion in 72 h) for 4 cycles. h-R3 was administrated weekly during irradiation
for 6 weeks. h-R3 dose escalation started with 100 mg/week, and followed by 200
mg/week and 400 mg/week. Three patients were enrolled in of each dose cohort. 11
patients were enrolled in the trial with 3, 4 and 4 in 100 mg/week, 200 mg/week
and 400 mg/week cohort, respectively. 2 patients in 200 mg/week and 400 mg/week
cohort were withdrawn due to patients' own decisions. No dose limiting toxicity
was observed. Grade 3-4 of esophagitis, Grade 3 of leucocytopenia and
neutrocytopenia occurred in 18% (2/11), 18% (2/11) and 9% (1/11) of patients,
respectively. For nimotuzumab-related toxicity only one patient experienced Grade
1 skin rash, and no Grade >= 3 of toxicity was noticed. In 9 patients, who
completed planned treatments, 6-month and 1-year overall survival were 78% and
67%, respectively, and 1 year local progression-free survival, 100%. h-R3 of 400
mg/week administered concurrently with chemoradiation was well-tolerant. MTD has
not been reached yet.
PMID- 21901405
TI - Physisporinus vitreus: a versatile white rot fungus for engineering value-added
wood products.
AB - The credo of every scientist working in the field of applied science is to
transfer knowledge "from science to market," a process that combines (1) science
(fundamental discoveries and basic research) with (2) technology development
(performance assessment and optimization) and (3) technology transfer (industrial
application). Over the past 7 years, we have intensively investigated the
potential of the white rot fungus, Physisporinus vitreus, for engineering value
added wood products. Because of its exceptional wood degradation pattern, i.e.,
selective lignification without significant wood strength losses and a
preferential degradation of bordered pit membranes, it is possible to use this
fungus under controlled conditions to improve the acoustic properties of tonewood
(i.e., "mycowood") as well as to enhance the uptake of preservatives and wood
modification substances in refractory wood species (e.g., Norway spruce), a
process known as "bioincising." This minireview summarizes the research that we
have performed with P. vitreus and critically discusses the challenges
encountered during the development of two distinct processes for engineering
value-added wood products. Finally, we peep into the future potential of the
bioincising and mycowood processes for additional applications in the forest and
wood industry.
PMID- 21901406
TI - [Acetylsalicylic acid for primary cardiovascular prevention dependent on the role
of the ankle brachial index].
PMID- 21901407
TI - [Gestational diabetes].
AB - Gestational diabetes is one of the most common complications during pregnancy.
Its incidence has increased in recent decades. This is partly due to improved
screening strategies and more stringent diagnostic criteria. Using the updated
diagnostic thresholds, it is expected that 5-10% at least of all pregnant women
will be diagnosed with diabetes mellitus. The rationale for the novel blood
glucose criteria are data from prospective studies reporting an increase of fetal
and maternal complications even when the blood glucose is only slightly
increased. For the first time, solid evidence now exists for the diagnosis and
treatment of gestational diabetes.
PMID- 21901404
TI - Increasing recombinant protein production in Escherichia coli through metabolic
and genetic engineering.
AB - Different hosts have been used for recombinant protein production, ranging from
simple bacteria, such as Escherichia coli and Bacillus subtilis, to more advanced
eukaryotes as Saccharomyces cerevisiae and Pichia pastoris, to very complex
insect and animal cells. All have their advantages and drawbacks and not one
seems to be the perfect host for all purposes. In this review we compare the
characteristics of all hosts used in commercial applications of recombinant
protein production, both in the area of biopharmaceuticals and industrial
enzymes. Although the bacterium E. coli remains a very often used organism,
several drawbacks limit its possibility to be the first-choice host. Furthermore,
we show what E. coli strains are typically used in high cell density cultivations
and compare their genetic and physiological differences. In addition, we
summarize the research efforts that have been done to improve yields of
heterologous protein in E. coli, to reduce acetate formation, to secrete the
recombinant protein into the periplasm or extracellular milieu, and to perform
post-translational modifications. We conclude that great progress has been made
in the incorporation of eukaryotic features into E. coli, which might allow the
bacterium to regain its first-choice status, on the condition that these research
efforts continue to gain momentum.
PMID- 21901408
TI - 1H, 13C, and 15N NMR resonance assignments of reduced full length and shortened
forms of the Grx domain of Mus musculus TGR.
AB - Two forms of the glutaredoxin (Grx) domain (full length Grx domain and short Grx
lacking the N-terminal region) of Mus musculus thioredoxin glutathione reductase
(TGR) were isotopically labelled with (15)N and (13)C isotopes, expressed and
purified to homogeneity. We report here the (1)H, (13)C and (15)N NMR assignment
for both Grx forms of this mouse TGR. This investigation represents the first NMR
analysis of a mammalian TGR.
PMID- 21901409
TI - Combination of docking, molecular dynamics and quantum mechanical calculations
for metabolism prediction of 3,4-methylenedioxybenzoyl-2-thienylhydrazone.
AB - In modern drug discovery process, ADME/Tox properties should be determined as
early as possible in the test cascade to allow a timely assessment of their
property profiles. To help medicinal chemists in designing new compounds with
improved pharmacokinetics, the knowledge of the soft spot position or the site of
metabolism (SOM) is needed. In silico methods based on docking, molecular
dynamics and quantum chemical calculations can bring us closer to understand drug
metabolism and predict drug-drug interactions. We report herein on a combined
methodology to explore the site of metabolism prediction of a new cardioactive
drug prototype, LASSBio-294 (1), using MetaPrint2D to predict the most likely
metabolites, combined with structure-based tools using docking, molecular
dynamics and quantum mechanical calculations to predict the binding of the
substrate to CYP2C9 enzyme, to estimate the binding free energy and to study the
energy profiles for the oxidation of (1). Additionally, the computational study
was correlated with a metabolic fingerprint profiling using LC-MS analysis. The
results obtained using the computational methods gave valuable information about
the probable metabolites of (1) (qualitatively) and also about the important
interactions of this lead compound with the amino acid residues of the active
site of CYP2C9. Moreover, using a combination of different levels of theory sheds
light on the understanding of (1) metabolism by CYP2C9 and its mechanisms. The
metabolic fingerprint profiling of (1) has shown that the metabolites founded in
highest concentration in different species were metabolites M1, M2 and M3,
whereas M8 was found to be a minor metabolite. Therefore, our computational study
allowed a qualitative prediction for the metabolism of (1). The approach
presented here has afforded new opportunities to improve metabolite
identification strategies, mediated by not only CYP2C9 but also other CYP450
family enzymes.
PMID- 21901410
TI - Has the role of exchange nailing in femoral nonunion diminished?
PMID- 21901412
TI - What contributes to patient and parent satisfaction with medication in the
treatment of children with ADHD? A report on the development of a new rating
scale.
AB - Satisfaction with medication is important in the evaluation of overall treatment
outcome. There is a lack of consistent and validated rating scales for
satisfaction with medication in ADHD, therefore comparison across studies is
difficult. Here, we analyse the psychometric properties of the satisfaction with
medication scale (SAMS), a new item-based questionnaire that assesses
satisfaction with ADHD medication. Furthermore, we evaluate the predictive effect
of ADHD symptoms and quality of life (QoL) on satisfaction. Data on satisfaction
with Equasym XL((r)) (methylphenidate) were collected in the OBSEER study using
the parent (SAMS-P, n = 589) and patient (SAMS-S, n = 552) versions of the SAMS
questionnaire. Internal consistency, item-total and cross-informant correlations,
and the stability of satisfaction ratings over time were assessed. Satisfaction
with medication scores were then correlated with ratings of ADHD symptoms and
QoL. Rates of overall satisfaction with Equasym XL((r)) among parents and
children were high (>70%), as was internal consistency for both SAMS-P and SAMS-S
(Cronbach's alpha > 0.9). Similarly, item-total correlations were high (r = 0.71
0.90) for SAMS-P and medium-high (r = 0.57-0.77) for SAMS-S. Cross-informant
correlations and the stability of satisfaction ratings were moderate (r = 0.54
0.59 and 0.48-0.60, respectively). ADHD symptom and QoL ratings were
significantly negative and positive predictors of satisfaction, explaining 36-52%
of satisfaction variance at the final visit. The results show that parent and
patient satisfaction was high and could be assessed reliably with the new SAMS
questionnaire. Parent and patient ratings were moderately correlated, and symptom
severity, functional impairment and QoL were the most significant predictors of
satisfaction.
PMID- 21901411
TI - A posterior versus anterior surgical approach in combination with debridement,
interbody autografting and instrumentation for thoracic and lumbar tuberculosis.
AB - PURPOSE: The purpose of this study was to compare posterior and anterior surgical
approach in combination with debridement, interbody autografting and
instrumentation for thoracic and lumbar tuberculosis. These approaches were
compared in terms of the operation duration, intraoperative blood loss, bony
fusion, intraoperative and postoperative complications, neurological status and
the angle of kyphosis. METHODS: Forty-seven patients with thoracic and lumbar
tuberculosis who underwent either the posterior or the anterior approach in
combination with debridement, interbody autografting and instrumentation from
January 2004 to March 2010 were reviewed retrospectively. In group A (n = 25),
the posterior approach was combined with debridement, interbody autografting and
instrumentation. In group B (n = 22), the anterior approach was performed in
addition to debridement, interbody autografting and instrumentation. RESULTS: All
cases were followed up for 12-62 months. There was no statistically significant
difference between groups in terms of the operation duration, intraoperative
blood loss, bony fusion, intraoperative and postoperative complications,
neurological status and the angle of kyphosis (p > 0.05). Good clinical outcomes
were achieved in both groups. CONCLUSIONS: The posterior approach combined with
debridement, interbody autografting and instrumentation is an alternative
procedure to treat thoracic and lumbar tuberculosis. The posterior approach is
sufficient for lesion debridement. In addition, the posterior approach can
maintain spinal stabilisation and prevent loss of corrected vertebral alignment
as effectively as the anterior approach.
PMID- 21901413
TI - Assessment of daily profiles of ADHD and ODD symptoms, and symptomatology related
to ADHD medication, by parent and teacher ratings.
AB - DAYAS is a new two-part rating scale that assesses: (1) ADHD and ODD symptoms
(externalising symptom ratings) and (2) symptomatology potentially related to
ADHD medication (potentially medication-related symptoms) in real-world settings
at different time periods throughout a normal school day. Data from a proof-of
concept study and two observational trials (Medikinet((r)) retard
[methylphenidate] and the Equasym XL((r)) [methylphenidate] OBSEER study)
evaluated: (1) validity of weekly externalising symptom ratings using DAYAS, in
place of daily ratings; (2) reliability and internal consistency of DAYAS ratings
for externalising symptoms and potentially medication-related symptoms; and (3)
convergent and divergent validity of the externalising symptom ratings with
existing validated scales. From the proof-of-concept study, daily scores by
period of day and during the whole day correlated strongly with equivalent weekly
scores (r = 0.83-0.92). Internal consistency of externalising symptom rating
scales calculated from pooled data were acceptable or good by period of day
(Cronbach's alpha = 0.68-0.90) and very high for whole day scores (Cronbach's
alpha = 0.88-0.95). Internal consistency of the rating scale for potentially
medication-related symptoms was also good for both teacher and parent ratings.
From OBSEER data, correlations between FBB-ADHD total symptom scores and ratings
on both parent and teacher versions of DAYAS were high (r = 0.73 and r = 0.84,
respectively). Correlations between DAYAS and SDQ were highest for the SDQ
subscales hyperactivity and conduct problems and substantially lower for pro
social behaviour, peers and emotional problems. The DAYAS rating scale had good
internal consistency, and DAYAS scores correlated well with existing validated
scales and the SDQ subscales hyperactivity and conduct problems. Weekly DAYAS
scores (whole day and by period of day) could be considered a suitable
replacement for daily assessment scores.
PMID- 21901414
TI - An observational study of once-daily modified-release methylphenidate in ADHD:
the effect of previous treatment on ADHD symptoms, other externalising symptoms
and quality-of-life outcomes.
AB - Methylphenidate (MPH) is the most commonly prescribed stimulant for children with
ADHD. Data on the effects of different MPH formulations in real-life settings are
scarce, and the role of previous therapy on treatment outcome when switching
medications has not been well studied. OBSEER was an observational study designed
to evaluate the effectiveness and safety of Equasym XL((r)) in routine care. This
study assessed whether the improvements reported with Equasym XL((r)) are
influenced by the degree of symptom control achieved with the previous
medication. Patients enrolled in OBSEER were stratified by prior treatment (none,
MPH-immediate release [IR] once daily [o.d.] [MPH-IR o.d.], MPH-IR repeated [MPH
IR >o.d.] and MPH-MR [modified release] excluding Equasym XL((r))), and changes
in ADHD and other externalising symptoms (CGI-S, FBB-ADHD and DAYAS) and quality
of life (QoL, KINDL) were evaluated during treatment with Equasym XL((r)). A
total of 782 patients were analysed. Significant group-by-time interactions were
found for all symptom variables analysed, indicating that effects varied by
previous medication. For CGI-S and FBB-ADHD total scores, the greatest reductions
in ADHD symptoms were observed in the treatment-naive subgroup, followed (in
order) by MPH-IR o.d., MPH-IR >o.d. and MPH-MR. A similar profile was seen for
DAYAS ratings for all periods of the day except the evening, when there were no
significant differences between subgroups. Similarly, the treatment-naive and MPH
IR o.d. subgroups showed the greatest improvements in KINDL ratings. Although
effects were greatest for treatment-naive patients, improvements were also
observed in the prior treatment subgroups for symptoms and QoL. This suggests
that a change to Equasym XL((r)) may be beneficial in patients with suboptimal
effects on prior medication.
PMID- 21901415
TI - Relationship between quality of life and psychopathological profile: data from an
observational study in children with ADHD.
AB - Although ADHD significantly affects the quality of life (QoL) of patients and
their families, QoL in children with ADHD has rarely been investigated in
association with psychopathological profile, and the relationship remains
unclear. The open-label OBSEER study evaluated the effectiveness and tolerability
of Equasym XL((r)), a modified-release methylphenidate, in routine care of
children and adolescents (aged 6-17 years) with ADHD. At baseline, questionnaires
assessing psychopathological profile (Strengths and Difficulties Questionnaire,
SDQ; parental ratings) and QoL (KINDL; parent, child or adolescent versions) were
completed; QoL was reassessed at final visit. We analysed the relationship
between psychopathology and parent/patient-rated QoL in ADHD at baseline. Data
from 721 consecutively referred children and adolescents were analysed. QoL was
similarly low from parent and self-ratings and independent of severity on the SDQ
subscale hyperactivity/inattention. Self-ratings indicated that additional
conduct disorder was associated with further reduction in QoL. Similarly,
children with high scores from parent and adolescent ratings on the SDQ subscale
conduct problems had reduced QoL on some KINDL subscales. Adolescents with ADHD
not receiving medication at baseline reported lower QoL than those already on
medication. Results show that children and adolescents with ADHD have low QoL,
independent of core symptom severity. Additional conduct problems may further
impact QoL negatively, while ADHD medication use may show a trend towards
improved QoL. Not all psychopathological problems associated with ADHD affect QoL
similarly. As parents appear to have a less critical view of QoL compared with
children's self-ratings, both parent and child ratings should be included in
clinical assessments.
PMID- 21901416
TI - An observational study of once-daily modified-release methylphenidate in ADHD:
quality of life, satisfaction with treatment and adherence.
AB - Attention deficit hyperactivity disorder (ADHD) impacts significantly on the
quality of life (QoL) of patients and their families. Choice of therapy is
increasingly influenced by treatment satisfaction and patient preference, with
once-daily modified-release methylphenidate (MPH-MR) formulations offering clear
benefits compared with immediate-release (IR) dosage forms. The effects of MPH-MR
on QoL in ADHD have not been widely investigated and need more clarity in
practice. The open-label OBSEER study evaluated the effectiveness and
tolerability of Equasym XL((r)), a MPH-MR formulation, in routine practice.
Children and adolescents (aged 6-17 years) with ADHD and attending school were
included if Equasym XL((r)) treatment was planned by the treating physician.
Physicians, parents and patients completed questionnaires assessing QoL (KINDL;
parent, child or adolescent versions), satisfaction with medication, adherence
and treatment tolerability at baseline (Visit 1), 1-3 weeks (Visit 2) and 6-12
weeks (Visit 3) over a maximum 3-month observation period. Data from 822
consecutively referred patients were analysed. QoL and medication satisfaction
increased from Visit 1 to Visit 3, with both patients and parents rating therapy
with Equasym XL((r)) as better than previous drug therapy. KINDL total score
effect sizes were 0.67 (parents' ratings), 0.52 (children's ratings) and 0.51
(adolescents' ratings; all p < 0.001). All KINDL subscores also increased: both
parents and patients had the greatest improvement for school. Adherence to
Equasym XL((r)) was frequently rated as superior to prior treatment, particularly
compared with MPH-IR repeated dosing. Treatment was generally well tolerated;
approximately 3% of the patients discontinued treatment due to adverse events.
Equasym XL((r)) improved QoL compared with prior therapy, and resulted in good
medication satisfaction and adherence in drug-naive and previously treated
patients.
PMID- 21901417
TI - An observational study of once-daily modified-release methylphenidate in ADHD:
effectiveness on symptoms and impairment, and safety.
AB - ADHD affects over 5% of children worldwide. It is typically treated with
stimulant medications, and methylphenidate (MPH) is the most commonly prescribed.
This study investigated the effectiveness, on symptoms and impairment, and safety
of Equasym XL((r)), a combination of 30% immediate-release and 70% modified
release MPH, in the treatment of ADHD in daily clinical practice. This open
label, observational, post-marketing surveillance study was conducted in 169
centres in Germany. Eligible patients, aged 6-17 years, were diagnosed with ADHD
and about to begin treatment with Equasym XL((r)). Effectiveness was assessed by
physicians using the clinical global impression (CGI) severity and improvement
scales; teachers and parents completed questionnaires evaluating ADHD symptoms
and behavioural problems (DAYAS, FBB-ADHD and SDQ-P). Assessments were carried
out at baseline, after 1-3 and 6-12 weeks of treatment. Of 852 enrolled patients,
822 were evaluable; 25.30% were treatment naive, 69.84% had previously received
different MPH formulations, and 4.87% had received other medications. ADHD
symptoms improved from baseline to last visit for the majority of patients for
all outcome measures. According to physician ratings of core ADHD symptoms,
75.73% of patients showed improvements on the CGI-Improvement scale, 17.77% had
no change, and 6.50% worsened. In teacher and parent ratings, the effectiveness
of Equasym XL((r)) was rated better than prior therapy at all measured time
points across the day, particularly late morning (teachers) and early afternoon
(parents). Equasym XL((r)) was generally well tolerated; only 3.16% of patients
permanently discontinued treatment due to adverse events. Equasym XL((r)) is
effective and well tolerated in daily clinical practice.
PMID- 21901418
TI - Editorial: Observational studies in ADHD: the effects of switching to modified
release methylphenidate preparations on clinical outcomes and adherence.
AB - Patients with ADHD may have better adherence to treatment with modified-release
methylphenidate (MPH-MR) formulations, which are taken once daily, compared with
immediate-release (IR) formulations, which need to be taken several times a day.
Data on long-term outcomes such as adherence may be lacking from randomised
controlled trials as these are usually only short-term. Observational studies, if
performed and reported appropriately, can provide valuable long-term data on such
outcomes, as well as additional information on effectiveness and efficiency, from
a real-life setting. By reviewing previous observational studies that have
investigated switching treatment from MPH-IR to MPH-MR, results from a new,
naturalistic observational study, the OBSEER study, are put into context. We
conclude that, based on observational trial data, switching from MPH-IR to MPH-MR
is a valid clinical approach, with the potential for improved clinical outcome
and treatment adherence.
PMID- 21901419
TI - Glucagon like-peptide-1 receptor is covalently modified by endogenous mono-ADP
ribosyltransferase.
AB - Our previous study revealed a mono-ADP-ribosyltransferase mediated in vitro mono
ADP-ribosylation of IC(3) peptide, a peptide with sequence corresponded to third
intracellular loop of glucagon like-peptide-1 (GLP-1) receptor. Furthermore,
Arg(348) was shown to be modified amino acid residue although its mutation did
not eliminate mono-ADP-ribosylation completely. In order to further study the
signaling mechanisms of GLP-1 receptor, we took on lease a possibility that an
alternative site of enzymatic modification exist so mono-ADP-ribosylation of
Cys(341) was hypothesized. The results confirmed both Arg(348) and Cys(341) as a
site of mono-ADP-ribosylation where Arg(348) is modified predominantly. Sum of
mono-ADP-ribosylation rate of both single IC(3) mutants coincided with IC(3)
rate. What is in vivo role of Cys(341) mono-ADP-ribosylation is entirely
speculative but our study represents an important step toward a complete
understanding of signaling via GLP-1 receptor.
PMID- 21901420
TI - HSG1, a grape Bcl-2-associated athanogene, promotes floral transition by
activating CONSTANS expression in transgenic Arabidopsis plant.
AB - HSG1 (Heat shock-induced gene 1) is one of the high-temperature-induced proteins
in grapevine. Sequence analysis has demonstrated that HSG1 is a grape Bcl-2
associated athanogene (BAG) that contains IQ and BAG domains in its sequence.
HSG1 expression was not detected in leaves without heat treatment, while
tendrils, stems, flowers, and berries expressed HSG1 even without heat treatment.
By heat treatment at 45 degrees C for 60 min, HSG1 expression was upregulated in
both leaves and berries. HSG1-overexpressing Arabidopsis plant remained viable
even after exposure to extremely high temperatures. Although no morphological
changes were observed, the HSG1-overexpressing Arabidopsis plant exhibited faster
floral transition than the control plant. Analysis of the transcription profile
of HSG1-overexpressing seedling by cDNA microarray and quantitative RT-PCR
demonstrated that the gene expression of the flowering promoter, CONSTANS (CO),
in the photoperiod pathway was up-regulated in the seedling. Importantly, the
overexpression of HSG1 increased CO expression by ~400% compared with the control
seedling. Meanwhile, heat treatment upregulated grape CO expression in grape
leaves. Our study provides the possibility that plant BAG protein may be related
to the regulation of floral transition by activating CO expression in the
photoperiod pathway.
PMID- 21901421
TI - The LOX-1 3'UTR188CT polymorphism and coronary artery disease in Turkish
patients.
AB - In coronary artery disease (CAD), a potentially reversible factor leading to
cardiac death is left ventricular hypertrophy (LVH). The 3'untranslated region
(3'UTR) 188CT polymorphism of lectin-like oxidized low-density lipoproteins
receptor-1 (LOX-1) gene has been associated with an increased risk for CAD. We
aim to investigate, in a Turkish population, whether 3'UTR188CT variation could
affect the development of LVH in CAD patients. In a population-based case-control
study, we compared 83 cases with CAD and 99 healthy controls for this
polymorphism. The LOX-1 3'UTR188CT genotypes were determined by PCR-RFLP
technique. LOX-1 3'UTR188 TT genotype was associated with significantly increased
systolic blood pressure (P = 0.047) and risk of LVH (P = 0.014, OR: 3.541) when
compared with the C allele carriers. In addition, the TT genotype was positively
associated with decreased levels of HDL-cholesterol in the control subjects (P =
0.031) and increased levels of VLDL-C in the patient group (P = 0.009). The LOX-1
3'UTR188CT gene polymorphism may predispose to the development of LVH in CAD
patients, dependent on blood pressure.
PMID- 21901422
TI - Muscle transcriptomic analyses in Angus cattle with divergent tenderness.
AB - Beef tenderness contributes significantly to variation of beef palatability, and
is largely influenced by various genetic and environmental factors. To identify
candidate genes and pathways related to beef tenderness, we analyzed the
longissimus dorsi (LD) muscle of Angus cattle that had different degrees of
tenderness, measured by Warner-Bratzler shear force (WBSF). Microarray and RT-PCR
analyses identified 53 genes that were differentially expressed in LD samples
categorized as either tough or tender, including myosin, heavy chain 3 skeletal
muscle embryonic (MYH3), myosin heavy chain 8 skeletal muscle perinatal (MYH8),
guanylate binding protein 5 (GBP5), fatty acid binding protein 4 (FABP4),
Stearoyl-coenzyme A desaturase (SCD), Fatty acid synthase (FASN), ubiquitin-like
with PHD and ring finger domains 1 (UHRF1). Most of these genes are involved in
lipid metabolism and skeletal muscle contraction. Employing Gene ontology (GO)
and Ingenuity Pathway Analysis (IPA), several GO terms and pathways were found to
be related to hydrolase, peptidase and GTPase activity, lipid metabolism, small
molecule biochemistry, molecular transport, and tissue development. Overall, this
analysis provides insight into the metabolic relationships between muscle biology
and beef quality.
PMID- 21901423
TI - Organised assistance to suicide in England?
AB - Guidelines provided by the Director of Public Prosecutions suggest that anyone
assisting another to commit suicide in England and Wales, or elsewhere, will not
be prosecuted provided there are no self-seeking motives and no active
encouragement. This reflects the position in Switzerland. There, however, no
difference is made between assistance and inducement. In addition, the Swiss
approach makes it possible to establish organisations to assist the suicides of
both their citizens and foreign visitors. It should not be assumed that this
approach is without controversy in Switzerland. Proposals for reform continue to
be debated there, not least because of the concern about some of the actual
practices of certain end-of-life organisations. It is likely that a few English
citizens will continue to avail themselves of these services in Switzerland if
they cannot find the help they require here. This paper explores the legitimacy
of the current restrictive position adopted towards assisted suicide in England.
It argues that the provisions within the guidelines prohibiting organisations
that assist suicides, leaves some without the help they need. While legislative
decriminalisation of assisted suicide and the establishment of state-sponsored
suicide centres would represent the most permissive regime, this paper proposes
that this would be a step too far. The preference here is for decriminalisation
but adopting a 'middle way' between the two extremes: the more permissive
approach provided by the 'Swiss model' is one that could be employed here, albeit
within a more robust regulatory regime.
PMID- 21901424
TI - Amyloid pathway-based candidate gene analysis of [(11)C]PiB-PET in the
Alzheimer's Disease Neuroimaging Initiative (ADNI) cohort.
AB - Amyloid imaging with [(11)C]Pittsburgh Compound-B (PiB) provides in vivo data on
plaque deposition in those with, or at risk for, Alzheimer's disease (AD). We
performed a gene-based association analysis of 15 quality-controlled amyloid
pathway associated candidate genes in 103 Alzheimer's Disease Neuroimaging
Initiative participants. The mean normalized PiB uptake value across four brain
regions known to have amyloid deposition in AD was used as a quantitative
phenotype. The minor allele of an intronic SNP within DHCR24 was identified and
associated with a lower average PiB uptake. Further investigation at whole-brain
voxel-wise level indicated that non-carriers of the minor allele had higher PiB
uptake in frontal regions compared to carriers. DHCR24 has been previously shown
to confer resistance against beta-amyloid and oxidative stress-induced apoptosis,
thus our findings support a neuroprotective role. Pathway-based genetic analysis
of targeted molecular imaging phenotypes appears promising to help elucidate
disease pathophysiology and identify potential therapeutic targets.
PMID- 21901425
TI - Toward dysfunctional connectivity: a review of neuroimaging findings in pediatric
major depressive disorder.
AB - Child and adolescent psychiatric neuroimaging research typically lags behind
similar advances in adult disorders. While the pediatric depression imaging
literature is less developed, a recent surge in interest has created the need for
a synthetic review of this work. Major findings from pediatric volumetric and
functional magnetic resonance imaging (fMRI), magnetic resonance spectroscopy
(MRS), diffusion tensor imaging (DTI) and resting state functional connectivity
studies converge to implicate a corticolimbic network of key areas that work
together to mediate the task of emotion regulation. Imaging the brain of children
and adolescents with unipolar depression began with volumetric studies of
isolated brain regions that served to identify key prefrontal, cingulate and
limbic nodes of depression-related circuitry elucidated from more recent advances
in DTI and functional connectivity imaging. Systematic review of these studies
preliminarily suggests developmental differences between findings in youth and
adults, including prodromal neurobiological features, along with some continuity
across development.
PMID- 21901426
TI - Microarchitectural changes in the aging skeleton.
AB - The age-related reduction in bone mass is disproportionally related to skeletal
weakening, suggesting that microarchitectural changes are also important
determinants of bone quality. The study of cortical and trabecular
microstructure, which for many years was mainly based on two-dimensional
histologic and scanning electron microscopy imaging, gained a tremendous momentum
in the last decade and a half, due to the introduction of microcomputed
tomography (MUCT). This technology provides highly accurate qualitative and
quantitative analyses based on three-dimensional images at micrometer resolution,
which combined with finite elemental analysis predicts the biomechanical
implications of microstructural changes. Global MUCT analyses of trabecular bone
have repeatedly suggested that the main age-related change in this compartment is
a decrease in trabecular number with unaltered, or even increased, trabecular
thickness. However, we show here that this may result from a bias whereby thick
trabeculae near the cortex and the early clearance of thin struts mask authentic
trabecular thinning. The main cortical age-related change is increased porosity
due to negatively balanced osteonal remodeling and expansion of Haversian canals,
which occasionally merge with endosteal and periosteal resorption bays, thus
leading to rapid cortical thinning and cortical weakening. The recent emergence
of CT systems with submicrometer resolution provides novel information on the age
related decrease in osteocyte lacunar density and related micropetrosis, the
result of lacunar hypermineralization. Last but not least, the use of the
submicrometer CT systems confirmed the occurrence of microcracks in the skeletal
mineralized matrix and vastly advanced their morphologic characterization and
mode of initiation and propagation.
PMID- 21901427
TI - Vitamin D in the Persian Gulf: integrative physiology and socioeconomic factors.
AB - Countries of the Persian Gulf region--Bahrain, Iran, Iraq, Kuwait, Oman, Qatar,
Saudi Arabia, and United Arab Emirates--have become increasingly modernized,
resulting in a transformation of lifestyle based on technology, sedentary
activity, lack of sunlight, and unhealthy dietary patterns. These factors have
led to a higher prevalence not only of vitamin D undernutrition, but also chronic
obesity, insulin resistance, prediabetes, and type 2 diabetes. This review
explores the integrative physiologic effects of vitamin D with socioeconomic
factors and propose a hypothesis-driven model for their contributions to obesity
and diabetes in the Persian Gulf. Further research into these interactions may
ultimately lead to novel preventive strategies and therapies for metabolic
disorders in this geographic region.
PMID- 21901429
TI - Moderation of the association between media exposure and youth smoking onset:
race/ethnicity, and parent smoking.
AB - This study of youth smoking onset aims to replicate previously published media
moderation effects for race/ethnicity in a national longitudinal multiethnic
sample of U.S. adolescents. Previous research has demonstrated that associations
between media and smoking during adolescence are greater for Whites than
Hispanics or Blacks, and for youth living in non-smoking families. In this study,
changes in smoking status over 24 months were assessed among 4,511 baseline never
smokers. The incidence of smoking onset was 14.3% by 24 months with no
differences by race/ethnicity. Blacks had higher exposure to movie smoking and
overall television viewing compared with Whites and Hispanics. Whites responded
to movie smoking regardless of parent smoking but more strongly if their parents
were non-smokers. In contrast, Black adolescents showed little behavioral
response to any media, regardless of parent smoking. Hispanic adolescents
responded only to TV viewing and only when their parents did not smoke. In an
analysis assessing the influence of the race of smoking characters on smoking
behavior of White and Black adolescents, Whites responded to both White and Black
movie character smoking, whereas Blacks responded only to smoking by Black movie
characters. Taken as a whole, the findings replicate and extend previous
findings, suggesting media factors are more influential among adolescents at low
to moderate overall risk for smoking. We draw analogies between these low
moderate risk adolescents and "swing voters" in national elections, suggesting
that media effects are more apt to influence an adolescent in the middle of the
risk spectrum, compared with his peers at either end of it.
PMID- 21901430
TI - A critical analysis of three quantitative methods of assessment of hepatic
steatosis in liver biopsies.
AB - The issue of adequately quantitatively evaluating hepatic steatosis is still
unresolved. Therefore, we compared three methods of quantitative assessment. Two
groups of mice (n = 10 each) were fed standard chow (10% fat, SC group) or a high
fat diet (60% fat, HF group) for 16 weeks, and hepatic triglyceride (HT) and
liver tissue were then studied. Paraplast-embedded tissues stained by hematoxylin
and eosin (H-E) were compared to frozen sections stained by Oil Red-O (ORO). In
addition, the volume density of steatosis (Vv[steatosis, liver]) was measured by
point counting (P-C, sections H-E or ORO) or by image analysis (I-A, sections
ORO). HT was significantly higher in the HF group (104% greater, P = 0.0004) than
in the SC group. With P-C and H-E, Vv[steatosis, liver] was 4.80 +/- 0.90% in the
SC group and 33.50 +/- 3.17% in the HF group (600% greater, P < 0.0001). With P-C
and ORO, Vv[steatosis, liver] was 4.86 +/- 0.89% in the SC group and 25.21 +/-
1.27% in the HF group (420% greater, P < 0.0001). With I-A and ORO, Vv[steatosis,
liver] was 4.17 +/- 0.85% in the SC group and 23.35 +/- 1.58% in the HF group
(460% greater, P < 0.0001). Correlations between Vv[steatosis, liver] and HT were
strong and significant in all methods. In conclusion, all methods were
appropriate and reproducible. In P-C and H-E, there is a slight overestimation of
steatosis in the HF animals in comparison to frozen sections and ORO; in frozen
sections, differences between P-C and I-A are insignificant.
PMID- 21901432
TI - Protective effects of curcumin against sodium fluoride-induced toxicity in rat
kidneys.
AB - In the present study, the protective effect of curcumin against sodium fluoride
induced nephrotoxicity was evaluated in rats. Renal injury was induced by daily
administration of 600 ppm sodium fluoride in drinking water for 1 week. One week
before the administration of fluoride, the animals selected as study group were
given curcumin (10 and 20 mg/kg body weight, intraperitoneally). After 1 week,
lipid peroxidation level, activities of superoxide dismutase, catalase, and level
of glutathione in kidney homogenate were measured. Blood serum samples were
examined for creatinine, serum urea, and blood urea nitrogen levels. Another
group of rats received vitamin C (10 mg/kg) as standard antioxidant. The results
show that curcumin and vitamin C treatment prior to fluoride administration
normalized the levels of serum creatinine, serum urea, and blood urea nitrogen.
Moreover, curcumin and vitamin C administrations prevented the antioxidant enzyme
decreasing and lipid peroxidation levels imbalance. In conclusion, curcumin
treatment at the doses of 10 and 20 mg/kg (intraperitoneally) showed significant
nephroprotective effects.
PMID- 21901431
TI - Effects of diet on high-density lipoprotein cholesterol.
AB - Multiple dietary factors have been shown to increase high-density lipoprotein
cholesterol (HDL-C) concentrations, and HDL-C has been inversely associated with
coronary heart disease (CHD) risk. Replacement of dietary carbohydrate with
polyunsaturated, monounsaturated and saturated fat has been associated with
progressively greater increases in HDL-C (7-12%) in addition to other lipid
changes. Added sugars, but not high glycemic carbohydrates, have been associated
with decreased HDL-C. Alcohol consumption has been associated with increased HDL
C (9.2%) independent of changes in other measured lipids. Modest effects on HDL-C
(~4-5%) among other lipid and non-lipid CHD risk factors have also been observed
with weight loss by dieting, omega-3 fatty acids, and a Mediterranean diet
pattern. The CHD benefit of increasing HDL-C is unclear given the inconsistent
evidence from HDL-raising pharmacologic trials. Furthermore, pleiotropic effects
of diet preclude attribution of CHD benefit specifically to HDL-C. Investigation
into functional or other properties of HDL may lend further insight.
PMID- 21901433
TI - Organ histopathological changes and its function damage in mice following long
term exposure to lanthanides chloride.
AB - Due to increasing applications of lanthanides (Ln) in industry and daily life,
numerous studies confirmed that Ln exposure may result in organ damages in mice
and rats, while very few studies focused on several organs damages
simultaneously. In order to compare the toxicity of Ln on organs, mice were
exposed to LaCl(3), CeCl(3), and NdCl(3) of a dose of 20 mg/kg body weight for
consecutive 60 days, respectively, then histopathological changes of liver,
kidney, and heart, and their function were investigated. The results showed that
long-term exposure to Ln caused cell necrosis and basophilia of liver, ambiguity
of renal tubule architecture, congestion of blood vessel and capillary of kidney,
and heart hemorrhage. The histopathological changes of liver, kidney, and heart
in mice caused by Ce(3+) was most severe; the effect by Nd(3+) was slighter than
Ce(3+) but more severe than La(3+). The assay of serum biochemical parameters
suggested that Ln exposure severely impaired the functions of liver, kidney, and
myocardium in mice. These findings suggested that long-term exposure to Ln
resulted in histopathological changes of liver, kidney, and heart, and their
function damages. Therefore, we thought that long-term application of the
products containing Ln on human should be cautious.
PMID- 21901428
TI - Oxidative stress and beta-amyloid protein in Alzheimer's disease.
AB - Oxidative stress has been proposed to be an important factor in the pathogenesis
of Alzheimer's disease (AD) and contributed to beta-amyloid (Abeta) generation.
Interaction between oxidative stress and neuro-inflammation leads to Abeta
generation. AD is associated with an increase in blood-brain barrier (BBB)
permeability due to tight junction involvement. Oxidative stress decreases the
expression of low-density lipoprotein receptor-related protein 1 and up-regulates
receptor for advanced glycation end products in BBB and increases the BBB
permeability, which could potentially lead to increased deposition of Abeta
within AD brain. Apoptosis takes place in the pathogenesis of AD, and oxidative
stress contributes to apoptosis through both extrinsic pathway and intrinsic
pathway. Oxidative stress-induced apoptosis may be a potential factor to Abeta
generation. Abeta generation requires two sequential cleavages of APP, with the
two proteolytic enzymes: beta-secretase and gamma-secretase. Oxidative damage up
regulates Abeta via inducing activity of beta- and gamma-secretases. In this
review, we will focus on the mechanism and pathway that oxidative stress
contributes to Abeta generation.
PMID- 21901434
TI - The effects of physical exercise on the serum iron profile in spontaneously
hypertensive rats.
AB - The purpose of this study was to evaluate the profile of serum iron in
spontaneously hypertensive rats after an aerobic physical exercise. To accomplish
this, 12 normotensive Wistar rats and 12 spontaneously hypertensive rats were
distributed into "physical exercise" and "no physical exercise" groups. The
animals in the physical exercise group underwent to an aerobic exercise for a
total of 4 weeks. Blood was collected for the analysis of iron. Our results
indicate that rats of the physical exercise group had significantly lower serum
iron levels after the aerobic exercise protocol compared to the spontaneously
hypertensive rats no physical exercise group (F ((3,16)) = 4.4915, p < 0.01). No
significant difference was found between no physical exercise groups. The results
indicated that the difference in iron may be due to an increased demand for iron,
prompted by chronic physical exercise. In addition, erythrocytosis has been
associated with increased blood pressure in spontaneously hypertensive rats,
suggesting that iron reduction may be related to decreased blood pressure in
these animals.
PMID- 21901436
TI - The peer review process III: when the decision is made.
PMID- 21901435
TI - Advanced maternal age as a risk factor for stress urinary incontinence: a review
of the literature.
AB - The pathophysiology of stress urinary incontinence (SUI) is multifactorial and
evidence supports a critical role of pregnancy and vaginal delivery. This review
dissects epidemiologic literature to determine the weight of evidence on the role
of advanced maternal age (AMA) as a risk factor for the development of subsequent
or persistent SUI. We conducted a Medline search using the keywords postpartum,
SUI, maternal age, pregnancy, and incontinence. The published literature was
critically analyzed. Evidence supports that childbirth trauma contributes to the
development and severity of SUI. Yet, there is contradicting evidence as to
whether AMA increases the risk. AMA clearly represents an independent risk factor
for postpartum SUI. However, long-term studies did not confirm this observation.
Whether this finding is suggestive of a true biologic signal that is lost with
competing risk factors over time warrants further research.
PMID- 21901437
TI - The peer review process II: to review and be reviewed.
PMID- 21901438
TI - The peer review process I: submitting a manuscript.
PMID- 21901439
TI - Skene's gland revisited: function, dysfunction and the G spot.
PMID- 21901440
TI - A state agency-university partnership for translational research and the
dissemination of evidence-based prevention and intervention.
AB - This article describes a decade-long partnership between the Prevention Research
Center at Penn State and the Pennsylvania Commission on Crime and Delinquency.
This partnership has evolved into a multi-agency initiative supporting the
implementation of nearly 200 replications of evidence-based prevention and
intervention programs, and a series of studies indicating a significant and
sustained impact on youth outcomes and more efficient utilization of system
resources. We describe how the collaboration has developed into a sophisticated
prevention support infrastructure, discuss the partnership and policy lessons
learned throughout this journey, and identify remaining issues in promoting this
type of research-policy partnership.
PMID- 21901441
TI - The IL-10 promoter polymorphism at position -592 is correlated with
susceptibility to occult HBV infection.
AB - Occult hepatitis B infection (OBI) is characterized as a form of hepatitis in
which detectable amounts of HBV-DNA can be monitored in the peripheral blood of
patients whereas the hepatitis B surface antigen is undetectable. The main aim of
this study was to investigate whether there is a relationship between OBI and
single nucleotide polymorphisms in the -592 region of the IL-10 gene. In this
study, the polymorphism at position -592 of the IL-10 promoter of 57 OBI cases
was compared and correlated to that of 100 healthy controls by PCR-RFLP
techniques. Our results showed that patient and control groups had significant
differences regarding genotypes and alleles of the -592 polymorphism in the IL-10
gene. Based on our results, it can be concluded that the -592 polymorphism within
the promoter of the IL-10 gene is associated with OBI.
PMID- 21901442
TI - The role of cysteine conjugation in the detoxification of microcystin-LR in liver
of bighead carp (Aristichthys nobilis): a field and laboratory study.
AB - The role of glutathione (GSH) and cysteine (Cys) conjugates in the detoxification
of microcystin-LR (MC-LR) in bighead carp (Aristichthys nobilis) was examined
under laboratory and field conditions. Wild individuals of bighead carp were
collected from 5 eutrophic lakes along the Yangtze River, while in laboratory
experiment, bighead carp were injected intraperitoneally with 500 MUg purified MC
LR/kg body weight (bw). Contents of MC-LR and its glutathione (MC-LR-GSH) and
cysteine conjugates (MC-LR-Cys) in the liver of bighead carp were determined by
liquid chromatography electrospray ionization mass spectrum (LC-ESI-MS). In
laboratory experiment, low concentrations of MC-LR-GSH (mean: 0.042 MUg/g dry
weight (DW)) were always detectable, and the mean ratio of MC-LR-Cys to MC-LR-GSH
was 6.55. While, in field study, relatively high MC-LR-Cys concentration (mean:
0.22 MUg/g DW) was detected, whereas MC-LR-GSH was occasionally detectable, and
the average ratio of MC-LR-Cys to MC-LR-GSH was as high as 71.49. A positive
correlation was found between MC-LR-Cys concentration in the liver of bighead
carp and MC-LR content in seston from the five lakes (r = 0.85). These results
suggest that MC-LR-Cys might be much more important than MC-LR-GSH in the
detoxification of MC-LR in fish liver, and that cysteine conjugation of MC-LR
might be a physiological mechanism for the phytoplanktivorous bighead carp to
counteract toxic cyanobacteria.
PMID- 21901443
TI - MercNet: a national monitoring network to assess responses to changing mercury
emissions in the United States.
AB - A partnership of federal and state agencies, tribes, industry, and scientists
from academic research and environmental organizations is establishing a
national, policy-relevant mercury monitoring network, called MercNet, to address
key questions concerning changes in anthropogenic mercury emissions and
deposition, associated linkages to ecosystem effects, and recovery from mercury
contamination. This network would quantify mercury in the atmosphere, land,
water, and biota in terrestrial, freshwater, and coastal ecosystems to provide a
national scientific capability for evaluating the benefits and effectiveness of
emission controls. Program development began with two workshops, convened to
establish network goals, to select key indicators for monitoring, to propose a
geographic network of monitoring sites, and to design a monitoring plan. MercNet
relies strongly on multi-institutional partnerships to secure the capabilities
and comprehensive data that are needed to develop, calibrate, and refine
predictive mercury models and to guide effective management. Ongoing
collaborative efforts include the: (1) development of regional multi-media
databases on mercury in the Laurentian Great Lakes, northeastern United States,
and eastern Canada; (2) syntheses and reporting of these data for the scientific
and policy communities; and (3) evaluation of potential monitoring sites. The
MercNet approach could be applied to the development of other monitoring
programs, such as emerging efforts to monitor and assess global mercury emission
controls.
PMID- 21901444
TI - Ability of the oriental fruit moth Grapholita molesta (Lepidoptera: Tortricidae)
to detoxify juglone, the main secondary metabolite of the non-host plant walnut.
AB - Many plant species produce toxic secondary metabolites that limit attacks by
herbivorous insects, and may thereby constrain insect expansion to new hosts.
Walnut is a host for the codling moth Cydia pomonella, which efficiently
detoxifies the main walnut defensive compound juglone (5-hydroxy-1,4
naphthoquinone). The oriental fruit moth Grapholita molesta, which also belongs
to the tribe Grapholitini, does not feed on walnut. We tested the performance of
G. molesta, a highly invasive species, on artificial diets containing juglone at
levels mimicking those found in walnut over the growing season. Juglone-fed G.
molesta survived relatively well to adulthood, but larval and adult body weights
were reduced, and larval developmental time was prolonged in a dose-dependent
fashion. Chemical analysis of frass from larvae that had been fed a juglone
containing diet suggests that G. molesta reduces juglone to non-toxic 1,4,5
trihydroxynaphthalene in its gut. This unexpected tolerance of G. molesta to high
levels of juglone may facilitate expansion of the host range beyond the current
rosacean fruit trees used by this invasive pest.
PMID- 21901445
TI - Hepatitis B and liver cancer among three Asian American sub-groups: a focus group
inquiry.
AB - Prevalence of hepatitis B among Asian Americans is higher than for any other
ethnic group in the United States. Since more than 50% of liver cancer is
hepatitis B related, the burden of morbidity and mortality is extremely high
among Asian Americans, highlighting the need for culturally appropriate
interventions. We conducted focus groups (n = 8) with a total of 58 Korean,
Vietnamese, and Chinese immigrants in Maryland to explore knowledge, awareness
and perceived barriers toward hepatitis B screening and vaccinations. Thematic
analysis uncovered generally low levels of knowledge and awareness of hepatitis B
risks, screening, and vaccination; inter-generational differences; and barriers
to prevention. Some differences arose across ethnic groups, particularly toward
perceived orientation to preventive activities and the role of religious groups.
High rates of hepatitis B infection among Asian Americans highlight the need for
tailored interventions. These findings may assist policy strategists in
implementing interventions that will facilitate the integration and scale-up of
hepatitis B education, screening, and vaccination campaigns.
PMID- 21901446
TI - Perspectives on preventive health care and barriers to breast cancer screening
among Iraqi women refugees.
AB - Since the Iraq war began in 2003, over 4 million Iraqis have been displaced.
Little is known about preventive cancer care in this population, but stark
disparities have been documented. The purpose of this study was to assess the
perspectives of Iraqi women refugees on preventive care and perceived barriers to
breast cancer screening. Interviews were conducted in Arabic with twenty Iraqi
refugee women by a bilingual (English/Arabic) medical student, transcribed,
translated and coded according to established qualitative content and thematic
analysis procedures. Psychosocial barriers, culturally mediated beliefs, and
health consequences of war were identified as major themes, ultimately showing
what factors, alone and collectively, have impeded Iraqi refugee women's ability
and motivation to obtain breast cancer screening. To improve cancer prevention
and decrease disparities in care in this most vulnerable population, culturally
appropriate health education and outreach programs, as well as further community
level targeted studies, are needed.
PMID- 21901447
TI - Supporting Latino communities' natural helpers: a case study of promotoras in a
research capacity building course.
AB - Promotores have unique access to underserved and hard-to-reach Latino communities
facing health disparities. Although promotores are involved in community change,
they rarely receive training that gives them the skills to be partners in
research. We present a case study of promotoras who participated in a research
capacity building course focused on assessing community health needs. Data comes
from course application surveys, follow-up notes, and narratives from qualitative
phone interviews of eight promotoras. Content analysis drawing from grounded
theory was conducted to identify and describe emerging themes. Four themes
emerged as promotoras discussed their experience learning basic research skills
and teaching others: (1) challenges, (2) support, (3) building capacity, and (4)
using research. Promotores play an important role in the health of Latino
communities and are increasingly asked to participate in research processes;
however they have few opportunities for training and professional development in
this area. Capacity building opportunities for promotores need to be tailored to
their needs and provide them with support. Fostering collaboration between
promotores and partnering with local community-based organizations can help
facilitate needed research skill-building among promotores.
PMID- 21901448
TI - Quelling the storm: utilization of sphingosine-1-phosphate receptor signaling to
ameliorate influenza virus-induced cytokine storm.
AB - Initial and early tissue injury associated with severe influenza virus infection
is the result of both virus-mediated lysis of infected pulmonary cells coupled
with an exuberant immune response generated against the virus. The excessive host
immune response associated with influenza virus infection has been termed
"cytokine storm." Therapies that target virus replication are available; however,
the selective pressure by such antiviral drugs on the virus often results in
mutation and the escape of virus progeny now resistant to the antiviral regimen,
thereby rendering such treatments ineffective. This event highlights the
necessity for developing novel methods to combat morbidity and mortality caused
by influenza virus infection. One potential method is restricting the host's
immune response. However, prior treatment regimens employing drugs like
corticosteroids that globally suppress the host's immune response were found
unsatisfactory in large part because they disrupted the host's ability to control
virus replication. Here, we discuss a novel therapy that utilizes sphingosine-1
phosphate (S1P) receptor signaling that has the ability to significantly limit
immunopathologic injury caused by the host's innate and adaptive immune response,
thereby significantly aborting morbidity and mortality associated with influenza
virus infection. Moreover, S1P analog therapy allows for sufficient anti
influenza T cell and antibody formation to control infection. We review the anti
inflammatory effects of S1P signaling pathways and how modulation of these
pathways during influenza virus infection restricts immunopathology. Finally, we
discuss that combinatorial administration of S1P simultaneously with a current
antiviral enhances the treatment efficacy for virulent influenza virus infections
above that of either drug treatment alone. Interestingly, the scope of S1P
receptor therapy reported here is likely to extend beyond influenza virus
infection and could prove useful for the treatment of multiple maladies like
other viral infections and autoimmune diseases where the host's inflammatory
response is a major component in the disease process.
PMID- 21901449
TI - Cervical collaterals may protect against stroke after blunt vertebral artery
injury.
AB - The incidence of ischemic stroke reported after blunt vertebral artery injury is
lower than that reported after blunt carotid artery injury. Unlike the carotid
arteries, the vertebral arteries receive collateral blood flow through ascending
cervical branches in addition to a convergent arterial supply with the
contralateral vertebral artery. We hypothesize that the incidence of stroke after
vertebral artery injury is less than after carotid artery injury in part because
of reconstitution of vertebral arteries by cervical collaterals. A retrospective
blinded interpretation of angiographic studies in 46 patients with blunt
vertebral injury was performed to assess for presence and grade of vertebral
artery injury and for the presence of reconstitution of the vessel via cervical
collaterals. Follow-up CT scans from the same patients were evaluated for the
presence of posterior circulation strokes. There were 55 injured vertebral
arteries in the 46 patients, of whom 8 experienced posterior fossa strokes. Two
tailed Fisher exact probability test evaluating the hypothesis that patients with
vertebral artery collaterals were less likely to experience posterior fossa
strokes reached significance, p < 0.05. Of patients with occlusive (grades IV and
V) injuries, those with collateral vessels were significantly less likely to
experience posterior fossa strokes (p < 0.01). This result may be considered when
weighing the potential risks and benefits of antiplatelet or anticoagulation
therapy in patients with occlusive blunt vertebral artery injury.
PMID- 21901450
TI - Microsatellite instability in metastatic colorectal cancer: a review of
pathology, response to chemotherapy and clinical outcome.
AB - Approximately 10% of colorectal carcinomas demonstrate microsatellite instability
(MSI). Distinct from the majority of colorectal cancers with chromosomal
instability (CIN) which harbour allelic imbalance from chromosomal polyploidy and
aneuploidy, MSI tumours retain intact chromosomal numbers but contain
microsatellite repeats due to deficiency in mismatch repair which are thought to
contribute to the early steps of tumourigenesis in colorectal cancer. While
emerging clinical data has highlighted improved prognosis of tumours with MSI in
early colorectal cancer and potentially circumventing the need for adjuvant
chemotherapy, the implications of MSI deficiency in metastatic colorectal cancer
(mCRC) remain uncertain. In order to assess the significance of MSI in mCRC, a
broad literature review was carried out through online PubMed search on published
articles encompassing pathological and clinical papers. This included
pathological studies identifying the correlation with MSI status between primary
sites and metastases, and chemotherapeutic studies assessing the impact of
fluoropyrimidine-, irinotecan- and oxaliplatin-based regimens on mCRC with MSI.
PMID- 21901451
TI - Rhythmic arm cycling differentially modulates stretch and H-reflex amplitudes in
soleus muscle.
AB - During rhythmic arm cycling, soleus H-reflex amplitudes are reduced by modulation
of group Ia presynaptic inhibition. This suppression of reflex amplitude is
graded to the frequency of arm cycling with a threshold of 0.8 Hz. Despite the
data on modulation of the soleus H-reflex amplitude induced by rhythmic arm
cycling, comparatively little is known about the modulation of stretch reflexes
due to remote limb movement. Therefore, the present study was intended to explore
the effect of arm cycling on stretch and H-reflex amplitudes in the soleus
muscle. In so doing, additional information on the mechanism of action during
rhythmic arm cycling would be revealed. Although both reflexes share the same
afferent pathway, we hypothesized that stretch reflex amplitudes would be less
suppressed by arm cycling because they are less inhibited by presynaptic
inhibition. Failure to reject this hypothesis would add additional strength to
the argument that Ia presynaptic inhibition is the mechanism modulating soleus H
reflex amplitude during rhythmic arm cycling. Participants were seated in a
customized chair with feet strapped to footplates. Three motor tasks were
performed: static control trials and arm cycling at 1 and 2 Hz. Soleus H-reflexes
were evoked using single 1 ms pulses of electrical stimulation delivered to the
tibial nerve at the popliteal fossa. A constant M-wave and ~6% MVC activation of
soleus were maintained across conditions. Stretch reflexes were evoked using a
single sinusoidal pulse at 100 Hz given by a vibratory shaker placed over the
triceps surae tendon and controlled by a custom-written LabView program. Results
demonstrated that rhythmic arm cycling that was effective for conditioning soleus
H-reflexes did not show a suppressive effect on the amplitude of the soleus
stretch reflex. We suggest this indicates that stretch reflexes are less
sensitive to conditioning by rhythmic arm movement, as compared to H-reflexes,
due to the relative insensitivity to Ia presynaptic inhibition.
PMID- 21901452
TI - Comment on: Exp Brain Res. 2011 May 5th. Transcranial magnetic stimulation of
macaque frontal eye fields decreases saccadic reaction time. Gerits A, Ruff CC,
Guipponi O, Wenderoth N, Driver J, Vanduffel W.
PMID- 21901453
TI - Evidence of sound symbolism in simple vocalizations.
AB - The question of the arbitrariness of language is among the oldest in cognitive
sciences, and it relates to the nature of the associations between vocal sounds
and their meaning. Growing evidence seems to support sound symbolism, claiming
for a naturally constrained mapping of meaning into sounds. Most of such
evidence, however, comes from studies based on the interpretation of pseudowords,
and to date, there is little empirical evidence that sound symbolism can affect
phonatory behavior. In the present study, we asked participants to utter the
letter /a/ in response to visual stimuli varying in shape, luminance, and size,
and we observed consistent sound symbolic effects on vocalizations. Utterances'
loudness was modulated by stimulus shape and luminance. Moreover, stimulus shape
consistently modulated the frequency of the third formant (F3). This finding
reveals an automatic mapping of specific visual attributes into phonological
features of vocalizations. Furthermore, it suggests that sound-meaning
associations are reciprocal, affecting active (production) as well as passive
(comprehension) linguistic behavior.
PMID- 21901454
TI - Anticipation of future events improves the ability to estimate elapsed time.
AB - An accurate estimate of elapsed time is essential for anticipating the timing of
future events. Here, we show that the ability to estimate elapsed time on a
reaction time (RT) task improved with training during which human participants
learned to anticipate the onset of a "Go" signal. In each trial, a warning signal
preceded the Go signal by a temporal interval (i.e., foreperiod). The duration of
the foreperiod was randomly drawn from a rectangular distribution (1-2 s).
Participants were required to initiate a response immediately after the Go signal
and performed the task for 480 trials/day for 12 days. Anticipation should have
been governed by the probability that the Go signal would occur (hazard rate),
which increased for longer foreperiods. Indeed, RTs decreased for longer
foreperiods and were inversely related to the hazard rate. The pattern of RT
decrease was well explained by the subjective hazard rate, which was formalized
based on the assumption that the uncertainty of estimates of elapsed time scales
with time (Weber's law). Notably, RTs demonstrated a more linear decrease as a
function of foreperiod in LATE compared with EARLY training sessions. This
involved a decrease in the Weber fraction used in the subjective hazard rate. The
results indicate that the uncertainty associated with estimating elapsed time was
reduced as participants learned and used the hazard rate to anticipate the onset
of the Go signal. This finding suggests that the ability to estimate elapsed time
improves with training on behavioral tasks that implicitly engage timing
mechanisms.
PMID- 21901457
TI - A focus group study of predictors of relapse in electronic gaming machine problem
gambling, part 1: factors that 'push' towards relapse.
AB - This study aimed to develop an empirically based description of relapse in
Electronic Gaming Machine problem gambling. In this paper the authors describe
part one of a two part, linked relapse process: the 'push' towards relapse. In
this two-part process, factors interact sequentially and simultaneously within
the problem gambler to produce a series of mental and behavioural events that
ends with relapse when the 'push' overcomes 'pull' (part one); or as described in
part two, continued abstinence when 'pull' overcomes 'push'. In the second paper,
the authors describe how interacting factors 'pull' the problem gambler away from
relapse. This study used four focus groups comprising thirty participants who
were gamblers, gamblers' significant others, therapists and counsellors. The
groups were recorded, recordings were then transcribed and analysed using
thematic, textual analysis. With the large number of variables considered to be
related to relapse in problem gamblers, five key factors emerged that 'push' the
gambler towards relapse. These were urge, erroneous cognitions about the outcomes
of gambling, negative affect, dysfunctional relationships and environmental
gambling triggers. Two theories emerged: (1) each relapse episode comprised a
sequence of mental and behavioural events, which evolves over time and was
modified by factors that 'push' this sequence towards relapse and (2) a number of
gamblers develop an altered state of consciousness during relapse described as
the 'zone' which prolongs the relapse.
PMID- 21901458
TI - A rapid fluorescence polarization immunoassay for the determination of T-2 and HT
2 toxins in wheat.
AB - A rapid fluorescence polarization (FP) immunoassay has been developed for the
simultaneous determination of T-2 and HT-2 toxins in naturally contaminated wheat
samples. Syntheses of four fluorescein-labelled T-2 or HT-2 toxin tracers were
carried out and their binding response with seven monoclonal antibodies was
evaluated. The most sensitive antibody-tracer combination was obtained by using
an HT-2-specific antibody and a fluorescein-HT-2 tracer. The developed
competitive FP immunoassay in solution showed high cross-reactivity for T-2 toxin
(CR% = 100%) while a very low CR% for neosolaniol (0.12%) and no cross-reactivity
with other mycotoxins frequently occurring in wheat. A rapid extraction procedure
using 90% methanol was applied to wheat samples prior to FP immunoassay. The
average recovery from spiked wheat samples (50 to 200 MUg kg(-1)) was 96% with
relative standard deviation generally lower than 8%. A limit of detection of 8
MUg kg(-1) for the combined toxins was determined. Comparative analyses of 45
naturally contaminated and spiked wheat samples by both the FP immunoassay and
high-performance liquid chromatography/immunoaffinity clean-up showed a good
correlation (r = 0.964). These results, combined with the rapidity (10 min) and
simplicity of the assay, show that this method is suitable for high throughput
screening as well as for quantitative determination of T-2 and HT-2 toxins in
wheat.
PMID- 21901459
TI - Characterization of currently marketed heparin products: analysis of molecular
weight and heparinase-I digest patterns.
AB - We evaluated polyacrylamide gel electrophoresis (PAGE) and size exclusion
chromatography coupled with multi-angle laser light scattering (SEC-MALLS)
approaches to determine weight-average molecular weight (M(w)) and polydispersity
(PD) of heparins. A set of unfractionated heparin sodium (UFH) and low-molecular
weight heparin (LMWH) samples obtained from nine manufacturers which supply the
US market were assessed. For SEC-MALLS, we measured values for water content,
refractive index increment (dn/dc), and the second virial coefficient (A(2)) for
each sample prior to molecular weight assessment. For UFH, a mean +/- standard
deviation value for M(w) of 16,773 +/- 797 was observed with a range of 15,620 to
18,363 (n = 20, run in triplicate). For LMWHs by SEC-MALLS, we measured mean M(w)
values for dalteparin, tinzaparin, and enoxaparin of 6,717 +/- 71 (n = 4), 6,670
+/- 417 (n = 3), and 3,959 +/- 145 (n = 3), respectively. PAGE analysis of the
same UFH, dalteparin, tinzaparin, and enoxaparin samples showed values of 16,135
+/- 643 (n = 20), 5,845 +/- 45 (n = 4), 6,049 +/- 95 (n = 3), and 4,772 +/- 69 (n
= 3), respectively. These orthogonal measurements are the first M(w) results
obtained with a large heparin sample set on product being marketed after the
heparin crisis of 2008 changed the level of scrutiny of this drug class. In this
study, we compare our new data set to samples analyzed over 10 years earlier. In
addition, we found that the PAGE analysis of heparinase digested UFH and neat
LMWH samples yield characteristic patterns that provide a facile approach for
identification and assessment of drug quality and uniformity.
PMID- 21901460
TI - Determination of the binding epitope of lidocaine with AGP: minimizing the
effects of nonspecific binding in saturation transfer difference experiments.
AB - The ligand epitope map and the effect of nonspecific binding is assessed for
lidocaine binding to alpha(1)-acid glycoprotein using the saturation transfer
difference nuclear magnetic resonance experiment performed as a function of the
ligand/protein ratio. The experimental design tested two different approaches for
preparing solutions with various ligand/protein ratios; holding the protein
concentration constant and increasing the ligand concentration; and holding the
ligand concentration constant while decreasing the protein concentration.
Nonspecific binding effects were more prevalent in experiments in which the
ligand concentration was increased, although spectra with higher signal-to-noise
ratios were obtained under these conditions. The epitope map determined for
achiral lidocaine is compared with previously determined results for the (R)- and
(S)-enantiomers of propranolol. The weaker binding affinity of lidocaine may be
partially attributed to steric hindrance by the lidocaine N-ethyl groups which
may prevent close contact of the lidocaine amine with the negatively charged
amino acids at the apex of the protein binding pocket.
PMID- 21901461
TI - Separation of alpha-tocotrienol oxidation products and eight tocochromanols by
HPLC with DAD and fluorescence detection and identification of unknown peaks by
DAD, PBI-EIMS, FTIR, and NMR.
AB - Tocotrienols, like tocopherols, are members of the vitamin E family. While
tocopherols (T) have been studied intensively, only recently have tocotrienols
(T3) received increased attention due to their special health benefits. However,
these positive attributes of T3 are probably lost as a result of degradation
during food storage and processing, and there is little information about their
oxidation products. Of particular interest are the oxidation products of alpha
tocotrienol (alpha-T3) as this is the least thermostable T3 isomer with the
highest rate of degradation. The objective of this study was therefore to develop
a reliable method for the determination of the most important oxidation products
of alpha-T3 along with other tocochromanol isomers. We developed a high
performance liquid chromatography method with diode array detection, fluorescence
detection, and a particle beam interface electron impact mass spectroscopy in
order to separate the most important oxidation products of alpha-T3 (alpha-T3
spirodimers/spirotrimers, alpha-tocotrienoldihydroxy dimer, 7-formyl-beta
tocotrienol (7-FbetaT3), 5-formyl-gamma-tocotrienol (5-FgammaT3), alpha
tocotrienolquinone (alpha-T3Q), and alpha-T3Q dimers and alpha-tocotrienolquinone
epoxides (alpha-T3QE)) from eight tocochromanol isomers. Furthermore, we sought
to identify the as yet unknown oxidation products 5-FgammaT3, 7-FbetaT3, alpha
T3Q-dimer, and alpha-T3QE. Of these, 5-FgammaT3 was fully characterized by
Fourier transform infrared spectroscopy and (1)H and (13)C nuclear magnetic
resonance spectroscopy.
PMID- 21901462
TI - On the utility of predictive chromatography to complement mass spectrometry based
intact protein identification.
AB - The amino acid sequence determines the individual protein three-dimensional
structure and its functioning in an organism. Therefore, "reading" a protein
sequence and determining its changes due to mutations or post-translational
modifications is one of the objectives of proteomic experiments. The commonly
utilized approach is gradient high-performance liquid chromatography (HPLC) in
combination with tandem mass spectrometry. While serving as a way to simplify the
protein mixture, the liquid chromatography may be an additional analytical tool
providing complementary information about the protein structure. Previous
attempts to develop "predictive" HPLC for large biomacromolecules were limited by
empirically derived equations based purely on the adsorption mechanisms of the
retention and applicable to relatively small polypeptide molecules. A mechanism
of the large biomacromolecule retention in reversed-phase gradient HPLC was
described recently in thermodynamics terms by the analytical model of liquid
chromatography at critical conditions (BioLCCC). In this work, we applied the
BioLCCC model to predict retention of the intact proteins as well as their large
proteolytic peptides separated under different HPLC conditions. The specific aim
of these proof-of-principle studies was to demonstrate the feasibility of using
"predictive" HPLC as a complementary tool to support the analysis of identified
intact proteins in top-down, middle-down, and/or targeted selected reaction
monitoring (SRM)-based proteomic experiments.
PMID- 21901463
TI - Hydrodynamic chromatography: packed columns, multiple detectors, and
microcapillaries.
AB - Hydrodynamic chromatography (HDC) is a liquid chromatographic technique that
separates analytes on the basis of their size in solution. Separation can be
conducted either in an open tube or in a column packed with inert, nonporous
beads. In HDC, larger analytes elute first and smaller ones later, due to
preferential sampling of the streamlines of flow in the open tube or in the
interstitial medium of the packed column. Because of the low shear rates
experienced in HDC, coupled with the wealth of information obtained when
employing a multiplicity of detection methods, the technique has experienced a
resurgence in recent years in both the particle sizing and macromolecular arenas,
where it can provide information on the mutual interdependence of molar mass,
size, shape, and compactness. Additionally, microcapillary HDC is also gaining
popularity amongst the bioanalytical community, who have employed the technique,
inter alia, to separate DNA fragments over a base pair range spanning four orders
in magnitude. Here, examples from the literature are used to show how HDC has
been applied in each of the aforementioned areas, explaining the information that
can be obtained from various detector combinations, and opining on the future of
the technique.
PMID- 21901464
TI - Chemometric evaluation of nine alcohol biomarkers in a large population of
clinically-classified subjects: pre-eminence of ethyl glucuronide concentration
in hair for confirmatory classification.
AB - An important goal of forensic and clinical toxicology is to identify biological
markers of ethanol consumption that allow an objective diagnosis of chronic
alcohol misuse. Blood and head hair samples were collected from 175 subjects
objectively classified as non-drinkers (N=65), social drinkers (N=51) and active
heavy drinkers (N=59)-and analyzed to determine eight traditional indirect
biomarkers of ethanol consumption [aspartate aminotransferase (AST), alanine
aminotransferase (ALT), gamma-glutamyltransferase (gamma-GT), alkaline
phosphatase (ALP), mean corpuscular volume (MCV), carbohydrate-deficient
transferrin (CDT), and cholesterol and triglycerides in blood] and one direct
biomarker [ethyl glucuronide (EtG) in head hair]. The experimental values
obtained from these determinations were submitted to statistical evaluations. In
particular, Kruskal-Wallis, Mann-Whitney and ROC curve analyses, together with
principal component analysis (PCA), allowed the diagnostic performances of the
various biomarkers to be evaluated and compared consistently. From these
evaluations, it was possible to deduce that EtG measured in head hair is the only
biomarker that can conclusively discriminate active heavy drinkers from social
and non-drinkers, using a cut-off value of 30 pg/mg. In contrast, a few indirect
biomarkers such as ALP, cholesterol, and triglycerides showed extremely low
diagnostic abilities and may convey misleading information. AST and ALT proved to
be highly correlated and exhibited quite low sensitivity and specificity.
Consequently, either of these parameters can be discarded without compromising
the classification efficiency. Among the indirect biomarkers, gamma-GT provided
the highest diagnostic accuracy, while CDT and MCV yielded high specificity but
low sensitivity. It was therefore concluded that EtG in head hair is the only
biomarker capable of supporting a confirmatory diagnosis of chronic alcohol abuse
in both forensic and clinical practice, while it was found that gamma-GT, CDT,
MCV, and AST--whether used alone or in combination--do not allow the conclusive
classification of subjects according to ethanol consumption. However, a
diagnostic strategy combining these four parameters could be formulated in order
to create a multivariate model capable of screening suspected active heavy
drinkers.
PMID- 21901465
TI - [Indications for laparoscopic pancreas operations: results of a consensus
conference and the previous laparoscopic pancreas register].
AB - Laparoscopic pancreatic surgery is not common practice in Germany and is only
carried out in approximately 20 clinics but with an increasing trend. The reasons
for this are manifold, such as the current selection of patients and both skills
in laparoscopic and pancreatic surgery are necessary to perform this operation
safely. In 2008 a registry called "Laparoscopic pancreatic surgery" was
implemented to collect enough data in Germany to find out whether the resection
is safe, feasible and beneficial for the patient.For further development of new
laparoscopic techniques new data is needed. A group of experts performing
laparoscopic pancreatic surgery in Germany supplied their data for the German
registry for laparoscopic pancreatic resection and a consensus conference about
the indications became necessary. This consensus conference discussed in
particular the indications for laparoscopic pancreatic resection. A consensus was
found by all members of the conference utilizing currently available evidence
based data.It was suggested that all data of laparoscopic pancreatic surgery
should be evaluated in the German Registry. A consensus was made which diseases
were either suitable for laparoscopic resection or not suitable or suitable in
selected cases.
PMID- 21901466
TI - [Blood-borne infections and the pregnant health care worker. Risks and preventive
measures].
AB - Due to the increasing proportion of women in health care, as well as changes in
working conditions (implementation of safety devices, minimally
invasive/endoscopic procedures) the question arises whether the applicable laws
and regulations for the protection of working mothers are outdated and should be
updated.Individual risk analysis, as well as the inclusion of the pregnant health
care worker in the decision-making process with regard to continuation or
modification of the work practice serves as a protection of the expectant mother
and unborn child and allows a continuation of the occupational activities.
PMID- 21901467
TI - [Femur reconstruction using combined autologous fibula transfer and humeral
allograft].
AB - Wide resection far into the femoral metaphysis may be required to treat malignant
bone tumors in the pediatric and adolescent patient population. Biological
reconstruction using a free, vascularized fibular graft is a well-established
surgical technique. A short remaining femoral medullary canal and a relatively
small fibula diameter can make fixation of the vascularized bone transfer
difficult. Stable fixation and short fusion times, however, can be achieved with
the use of an additional humeral allograft and plate osteosynthesis.
PMID- 21901468
TI - [Adenocarcinoma of small bowel. An underdiagnosed disease].
AB - BACKGROUND: Small bowel adenocarcinoma is a rare disease. The diagnosis is often
disguised by nonspecific and varied presenting symptoms. Adenocarcinoma of the
small bowel is typically detected at a late stage and with a poor prognosis.
PATIENTS AND METHODS: The records of 42 patients with small bowel adenocarcinomas
diagnosed in 2 surgical clinics between 1995 and 2009 were reviewed for patient
and tumor characteristics, treatment effects and survival. RESULTS: The tumor
locations were the duodenum (50%), jejunum (38%) and ileum (12%). In comparison
to patients seen before 2004 the observed frequency of emergency operations or
palliative procedures as well as stage distribution did not change. The median
overall survival was 19 months with a 5-year overall survival of 20%. For
patients with resections, reduced performance status, higher stage and residual
disease after resection predicted decreased overall survival in univariate
analysis. Residual disease and pT category were predictive of survival in
multivariate analysis. CONCLUSION: Complete resection provides the only means of
a cure. In cases where curative resection cannot be performed the prognosis
remains poor. Further study on the methods for early detection and effective
adjuvant chemotherapy should be investigated, however, the available data are
limited.
PMID- 21901469
TI - [Endovascular therapy of chronic mesenteric ischemia].
AB - Arteriosclerosis is the most common cause of chronic mesenteric ischemia, which
is characterized by postprandial pain, unintentional weight loss and food
avoidance. The use of endovascular techniques for revascularization of chronic
stenoses and occlusions of the mesenteric arteries has rapidly increased over the
last 10 years. The results of endovascular therapy have shown less morbidity and
mortality compared to open surgical procedures, such as bypass and
thrombendarterectomy. Early publications have reported higher rates of
restenosis, symptomatic recurrence and reinterventions but recent case series
show comparable patency rates. This article reviews visceral arterial anatomy and
anomalies and endovascular techniques for the revascularization of mesenteric
arteries.
PMID- 21901470
TI - Polyamines modulate epithelial-to-mesenchymal transition.
AB - Epithelial-to-mesenchymal transition and mesenchymal-to-epithelial transition are
biologic processes responsible for conversion of epithelial cells into a
mesenchymal phenotype or viceversa, respectively. They occur during embryo- and
foetal-development and, in adult organisms, are involved in wound healing, in the
genesis and progression of organ fibrosis as well as in the invasiveness of
epithelial cancer cells. The key event of epithelial-to-mesenchymal transition is
the loss of E-cadherin expression due to repressor activity of the
transcriptional factor Snai1. Intracellular Snai1 levels are controlled through
translational and post-translational events such as phosphorylation and de
phosphorylation, potentially modulated by polyamine content. Epithelial MDCK
cells exposed to TGF-beta(1) acquired a fibroblastoid phenotype and expressed
mesenchymal markers. These changes were emphasized in cells that were also
exposed to DFMO in order to decrease the intracellular levels of polyamines.
Addition of exogenous polyamines almost completely abolished the combined action
of DFMO and TGF-beta(1) and rapidly reverted to epithelial phenotype MDCK cells
previously undergone to mesenchymal phenotype. Nuclear extracts of cells treated
with DFMO + TGF-beta(1) revealed the presence of Snai1 immunopositive bands in a
range of molecular weight between 55 and 72 kDa, with additional positive bands
detected at MW greater than 170 kDa. Same bands resulted positive to anti-Sumo
2/3 antibody, suggesting that an intracellular low level of polyamines favours
Snai1 nuclear accumulation under the form of polysumoylated proteins.
PMID- 21901471
TI - Chronic exposure to agmatine results in the selection of agmatine-resistant
hepatoma cells.
AB - During our study of the cytostatic effect of agmatine, we were able to isolate an
agmatine resistant clone from a parental hepatoma cell line, HTC. These cells,
called Agres, had slower growth rate than the parental cells when cultured in
normal medium. The modification in polyamine content induced by agmatine was much
lower in these cells and ornithine decarboxylase, S-adenosylmethionine
decarboxylase and spermidine/spermine acetyltransferase activities were much less
affected. By investigating the mechanism responsible for these modifications, it
was shown that agmatine and polyamines were not taken up by Agres cells. Their
resistance to the antiproliferative effects of agmatine may thus arise from a
lack of the polyamine transport system. Moreover, Agres cells were able to take
up both glutamic acid and arginine at a rate significantly higher than that
detected for HTC cells, most likely to provide components for compensatory
increase of PA synthesis. These results emphasize the importance of polyamine
transport for cell growth.
PMID- 21901472
TI - Site-directed mutagenesis and feedback-resistant N-acetyl-L-glutamate kinase
(NAGK) increase Corynebacterium crenatum L-arginine production.
AB - N-acetyl-L-glutamate kinase (EC 2.7.2.8) is first committed in the specific L
arginine pathway of Corynebacterium sp. A limited increase of L-arginine
production for the argB overexpression in the engineering C. creantum SYPA-CCB
strain indicated that L-arginine feedback inhibition plays an influence on the L
arginine production. In this study, we have performed site-directed mutagenesis
of the key enzyme (NAGK) and the three mutations (E19R, H26E and H268D) exhibited
the increase of I0.5R efficiently. Thereby, the multi-mutated NAGKM3 (including
E19R/H26E/H268D) was generated and its I0.5R of L-arginine of the mutant was
increased remarkably, whereas the NAGK enzyme activities did not declined. To get
a feedback-resistant and robust L-arginine producer, the engineered strains SYPA
CCBM3 were constructed. Introducing the argBM3 gene enabled the NAGK enzyme
activity insensitive to the intracellular arginine concentrations resulted in an
enhanced arginine biosynthesis flux and decreased formation of by-products. The L
arginine synthesis was largely enhanced due to the overexpression of the argBM3,
which is resistant to feedback resistant by L-arginine. Thus L-arginine
production could reach 45.6 g/l, about 41.7% higher compared with the initial
strain. This is an example of up-modulation of the flux through the L-arginine
metabolic pathway by deregulating the key enzyme of the pathway.
PMID- 21901473
TI - Federal state differentials in the efficiency of health production in Germany: an
artifact of spatial dependence?
AB - Due to regional competition and patient migration, the efficiency of healthcare
provision at the regional level is subject to spatial dependence. We address this
issue by applying a spatial autoregressive model to longitudinal data from
Germany at the district ('Kreis') level. The empirical model is specified to
explain efficiency scores, which we derive through non-parametric order-m
efficiency analysis of regional health production. The focus is on the role of
health policy of federal states ('Bundeslander') for district efficiency.
Regression results reveal significant spatial spillover effects. Notably,
accounting for spatial dependence does not decrease but increases the estimated
effect of federal states on district efficiency. It appears that genuinely more
efficient states are less affected by positive efficiency spillovers, so that
taking into account spatial dependence clarifies the importance of health policy
at the state level.
PMID- 21901474
TI - Re: The calcium scare: what would Austin Bradford Hill have thought?
PMID- 21901475
TI - Fracture risk assessment in patients with chronic kidney disease.
AB - Fractures are common in patients with chronic kidney disease (CKD) and associated
with substantially high morbidity and mortality. Bone mass measurements are
commonly used to assess fracture risk in the general population, but the utility
of these measurements in patients with CKD, and specifically among those on
hemodialysis, is unclear. This review will outline the epidemiology and etiology
of fractures in patients with CKD with a particular emphasis on men and women on
hemodialysis. As well, we will summarize the published data, which describes the
association between risk factors for fracture (including bone mass measurements,
biochemical markers of mineral metabolism, and muscle strength) and fractures in
patients with CKD. Patients with CKD suffer from fractures due to impairments in
bone quantity, bone quality, and abnormalities of neuromuscular function. There
is a paucity of evidence on the associations between bone quality, bone turnover
markers, neuromuscular function, and fractures in patients with CKD. Furthermore,
the complex etiology of fractures combined with the technical limitations of bone
mineral density testing, both by dual energy X-ray absorptiometry (DXA) and by
peripheral quantitative tomography (pQCT), limits the clinical utility of bone
mass measurements for fracture prediction in CKD; this is particularly true among
patients with stages 4 and 5 CKD. Further prospective studies to identify
noninvasive measures of bone strength that can be used for fracture risk
assessment are needed.
PMID- 21901476
TI - Osteoporosis medication prescribing in British Columbia and Ontario: impact of
public drug coverage.
AB - We compared the patterns of osteoporosis medication prescribing between two
provinces in Canada with different public drug coverage policies. Oral
bisphosphonates were the primary drugs used, yet access to the second-generation
oral bisphosphonates (alendronate, risedronate) was limited in one region.
Implications of differential access to oral bisphosphonates warrants further
study. INTRODUCTION: Approved therapies for treating osteoporosis in Canada
include bisphosphonates, calcitonin, denosumab, raloxifene, and teriparatide.
However, significant variation in access to these medications through public drug
coverage exists across Canada. We sought to compare patterns of osteoporosis
medication prescribing between British Columbia (BC) and Ontario. METHODS: Using
dispensing data from BC (PharmaNet) and Ontario (Ontario Drug Benefits), we
identified all new users of osteoporosis medications aged 66 or more years from
1995/1996 to 2008/2009. We summarized the number of new users by fiscal year,
sex, and index drug for each province. BC data were also stratified by whether
drugs were dispensed within or outside public PharmaCare. RESULTS: We identified
578,254 (n = 122,653 BC) eligible new users. Overall patterns were similar
between provinces: (1) most patients received an oral bisphosphonate (93% in BC
and 99% in Ontario); (2) etidronate prescribing declined after 2001/2002,
reaching a low of 41% in BC and 10% in Ontario in 2008/2009; and (3) the
proportion of males treated increased over time, from 7% in 1996/1997 to 25% in
2008/2009. However, we note major differences within versus outside the BC
PharmaCare system. In particular, <2% of drugs dispensed within PharmaCare
compared to 79% of drugs dispensed outside PharmaCare were for a second
generation bisphosphonate (alendronate or risedronate). CONCLUSIONS: Oral
bisphosphonates are the primary drugs used to treat osteoporosis in Canada.
Prescribing practices changed over time as newer medications came to market, yet
access to second-generation bisphosphonates through BC PharmaCare was limited.
Implications of differential access to oral bisphosphonates warrants further
study.
PMID- 21901477
TI - Hip bone geometry in HIV/HCV-co-infected men and healthy controls.
AB - People with both HIV and hepatitis C are more likely than those with HIV alone to
have wrist, hip, and spine fractures. We compared hip strength between HIV/HCV-co
infected men and healthy men and found that HIV/HCV-co-infected men had decreased
hip strength due to lower lean body mass. INTRODUCTION: Hepatitis C co-infection
is a risk factor for fragility fracture among HIV-infected populations. Whether
bone strength is compromised in HIV/HCV-co-infected patients is unknown. METHODS:
We compared dual-energy x-ray absorptiometry (DXA)-derived hip geometry, a
measure of bone strength, in 88 HIV/HCV-co-infected men from the Johns Hopkins
HIV Clinic to 289 men of similar age and race and without HIV or HCV from the
Boston Area Community Health Survey/Bone Survey. Hip geometry was assessed at the
narrow neck, intertrochanter, and shaft using hip structural analysis. Lean body
mass (LBM), total fat mass (FM), and fat mass ratio (FMR) were measured by whole
body DXA. Linear regression was used to identify body composition parameters that
accounted for differences in bone strength between cohorts. RESULTS: HIV/HCV-co
infected men had lower BMI, LBM, and FM and higher FMR compared to controls (all
p < 0.05). At the narrow neck, significant differences were observed between
HIV/HCV-co-infected men and controls in bone mineral density, cross-sectional
area, section modulus, buckling ratio, and centroid position. After adjustment
for race, age, smoking status, height, and weight, only buckling ratio and
centroid position remained significantly different between cohorts (all p <
0.05). Substituting LBM, FM, and FMR for weight in the multivariate model
revealed that differences in LBM, but not FM or FMR, accounted for differences in
all narrow neck parameters between cohorts, except buckling ratio and centroid
position. CONCLUSION: HIV/HCV-co-infected men have compromised hip strength at
the narrow neck compared to uninfected controls, which is attributable in large
part to lower lean body mass.
PMID- 21901478
TI - Is vascular calcification associated with bone mineral density and osteoporotic
fractures in ambulatory, elderly women?
AB - We analyzed the relationship between aortic calcification and two osteoporotic
parameters (bone mineral density (BMD) and incident osteoporotic fractures) in
667 ambulatory, elderly women from the Epidemiology of Osteoporosis (EPIDOS)
cohort (mean age, 80 years; range, 72-94 years). We did not find any correlation
between the aortic calcification score and BMD or osteoporotic fractures.
INTRODUCTION: The aging process is associated with osteoporosis and aortic
calcification; conditions which may have similar disease mechanisms. However, the
relationship between these two settings remains to be elucidated. We analyzed the
relationship between aortic calcification and osteoporotic parameters (BMD and
incident osteoporotic fractures) in a cohort of ambulatory, elderly women.
METHODS: The study included 667 ambulatory women from the EPIDOS cohort (mean
age, 80 years; age range, 72-94 years). The baseline examination included bone
investigations, a clinical and functional examination, and a comprehensive
questionnaire on health status and lifestyle. Semiquantitative methods were used
to determine the abdominal aortic calcification score on baseline radiographs.
Incident fractures were recorded via postal questionnaires issued every 4 months
for about 4 years. RESULTS: Five hundred three women (75%) had aortic
calcification. The mean aortic calcification score was 5.5 (median, 4). During
the follow-up period, 186 (28%) women reported one or more incident osteoporotic
fractures. We did not find any correlation between the aortic calcification score
on one hand and the BMD or the occurrence of incident osteoporotic fractures on
the other. Only age and systolic blood pressure were found to be independently
associated with the aortic calcification score. Osteoporotic fractures were
independently associated with age and BMD. CONCLUSIONS: Osteoporosis and aortic
calcification appear to be independent processes in a cohort of ambulatory,
elderly women. However, potential confounding factors may be present and
prospective studies are needed to investigate this situation further.
PMID- 21901479
TI - Skin advanced glycation end-product accumulation is negatively associated with
calcaneal osteo-sono assessment index among non-diabetic adult Japanese men.
AB - This study aims to determine the relationship between advanced glycation end
product (AGE) accumulation in skin tissue and bone strength, assessed by
quantitative ultrasound, among healthy adult Japanese men. The results of the
study suggest that men with higher AGE accumulation in skin tissue have a lower
osteo-sono assessment index. INTRODUCTION: AGE accumulate in bone collagen with
age and diabetes and decrease the mechanical properties of bone. Although
increased AGE levels are associated with fractures among diabetic patients and
elderly women, it is unclear whether a relationship between increased AGE levels
and bone strength is present in apparently healthy adult males. The aim of this
study was to determine the relationship between AGE accumulation in tissue and
the mechanical properties of bone among adult Japanese men, using quantitative
ultrasound as a surrogate measure of the latter. METHODS: Skin autofluorescence
(AF), which is a noninvasive method for measuring tissue AGEs, and osteo-sono
assessment index (OSI), which is determined by quantitative ultrasound, were
measured in 193 adult Japanese men (median age 43 years; interquartile range 37.0
55.0 years). RESULTS: Adjusted for age, BMI, calcium intake, physical activity,
smoking status, and education level, log-transformed skin AF had a negative
association with log-transformed OSI (beta = -0.218, P < 0.01). Adjusted
geometric means (95% CI) for OSI across the tertiles of skin AF were 2.81 (2.75
2.87) for the lowest tertile, 2.81 (2.74-2.87) for the middle tertile, and 2.66
(2.61-2.73) for the highest tertile; thus, OSI for the highest skin AF appeared
to be 5.0% lower than that for the lowest and middle skin AF tertiles (P < 0.01).
CONCLUSION: Among apparently healthy adult Japanese men, those with higher skin
AF had a lower OSI, indicating a relationship between AGE accumulation and bone
strength. A long-term prospective study is required to clarify the causality.
PMID- 21901480
TI - The effect of soy isoflavone on bone mineral density in postmenopausal Taiwanese
women with bone loss: a 2-year randomized double-blind placebo-controlled study.
AB - The treatment of 300-mg/day isoflavones (aglycone equivalents) (172.5 mg
genistein + 127.5 mg daidzein) for 2 years failed to prevent lumbar spine and
total proximal femur bone mineral density (BMD) from declining as compared with
the placebo group in a randomized, double-blind, two-arm designed study enrolling
431 postmenopausal women 45-65 years old. INTRODUCTION: This study evaluated the
effects of soy isoflavones on bone metabolism in postmenopausal women. METHODS:
Four hundred and thirty-one women, aged 45-65 years, orally consumed 300-mg/day
isoflavones (aglycone equivalents) or a placebo for 2 years in a parallel group,
randomized, double-blind, two-arm study. Each participant also ingested 600 mg of
calcium and 125 IU of vitamin D(3) per day. The BMD of the lumbar spine and total
proximal femur were measured using dual-energy X-ray absorptiometry at baseline
and every half-year thereafter. Serum bone-specific alkaline phosphatase, urinary
N-telopeptide of type 1 collagen/creatinine, and other safety assessments were
examined regularly. RESULTS: Two hundred out of 217 subjects in the isoflavone
group and 199 out of 214 cases in placebo group completed the treatment. Serum
concentrations of isoflavone metabolites, genistein and daidzein, of the
intervention group were remarkably elevated following intake of isoflavones (p <
0.001). However, differences in the mean percentage changes of BMD throughout the
treatment period were not statistically significant (lumbar spine, p = 0.42;
total femur, p = 0.39) between the isoflavone and placebo groups, according to
the generalized estimating equation (GEE) method. A significant time trend of
bone loss was observed at both sites as assessed by the GEE method following
repeated measurement of BMD (p < 0.001). Differences in bone marker levels were
not significant between the two treatment groups. CONCLUSION: Treatment with 300
mg/day isoflavones (aglycone equivalents) failed to prevent a decline in BMD in
the lumbar spine or total femur compared with the placebo group.
PMID- 21901481
TI - Comparable outcomes in fracture reduction and bone properties with RANKL
inhibition and alendronate treatment in a mouse model of osteogenesis imperfecta.
AB - We report a direct comparison of receptor activator of nuclear factor kappa B
ligand (RANKL) inhibition (RANK-Fc) with bisphosphonate treatment (alendronate,
ALN) from infancy through early adulthood in a mouse model of osteogenesis
imperfecta. Both ALN and RANK-Fc decreased fracture incidence to the same degree
with increases in metaphyseal bone volume via increased number of thinner
trabeculae. INTRODUCTION: The potential therapeutic benefit of RANKL inhibitors
in osteogenesis imperfecta (OI) is under investigation. We report a direct
comparison of RANKL inhibition (RANK-Fc) with bisphosphonate treatment (ALN) from
infancy through early adulthood in a model of OI, the oim/oim mouse. METHODS: Two
week-old oim/oim, oim/+, and wildtype (+/+) mice were treated with RANK-Fc 1.5
mg/kg twice per week, ALN 0.21 mg/kg/week or saline (n = 12-20 per group) for 12
weeks. RESULTS: ALN and RANK-Fc both decreased fracture incidence (9.0 +/- 3.0
saline 4.4 +/- 2.7 ALN, 4.3 +/- 3.0 RANK-Fc fractures per mouse). Serum TRACP-5b
activity decreased to 65% after 1 month in all treated mice, but increased
sacrifice with RANK-Fc to 130-200% at sacrifice. Metaphyseal density was
significantly increased with ALN in +/+ and oim/oim mice (p < 0.05) and tended to
increase with RANK-Fc in +/+ mice. No changes in oim/oim femur biomechanical
parameters occurred with treatment. Both ALN and RANK-Fc significantly increased
trabecular number (3.73 +/- 0.77 1/mm for oim/oim saline vs 7.93 +/- 0.67 ALN and
7.34 +/- 1.38 RANK-Fc) and decreased trabecular thickness (0.045 mm +/- 0.003 for
oim/oim saline vs 0.034 +/- 0.003 ALN and 0.032 +/- 0.002 RANK-Fc) and separation
in all genotypes (0.28 +/- 0.08 mm for oim/oim saline vs 0.12 +/- 0.010 ALN and
13 +/- 0.03 RANK-Fc)., with significant increase in bone volume fraction (BVF)
with ALN, and a trend towards increased BVF in RANK-Fc. CONCLUSION: Treatment of
oim/oim mice with either a bisphosphonate or a RANK-Fc causes similar decreases
in fracture incidence with increases in metaphyseal bone volume via increased
number of thinner trabeculae.
PMID- 21901482
TI - Clinicopathological considerations in acute disseminated encephalomyelitis
(ADEM): a fulminant case with favorable outcome.
PMID- 21901483
TI - Chance of aneurysm in patients suspected of SAH who have a 'negative' CT scan but
a 'positive' lumbar puncture.
AB - In patients with sudden severe headache and a negative computed tomography (CT)
scan, a lumbar puncture (LP) is performed to rule in or out a subarachnoid
haemorrhage (SAH), but this procedure is under debate. In a hospital-based series
of 30 patients with sudden headache, a negative CT scan but a positive LP
(defined as detection of bilirubin >0.05 at wavelength 458 nm), we studied the
chance of harbouring an aneurysm and the clinical outcome. Aneurysms were found
in none of both patients who presented within 3 days, in 8 of the 18 (44%) who
presented within 4-7 days and in 5 of the 10 (50%) who presented within 8-14
days. Of the 13 patients with an aneurysm, 3 (23%) had poor outcome. In patients
who present late after sudden headache, the yield in terms of aneurysms is high
in those who have a positive lumbar puncture. In patients with an aneurysm as
cause of the positive lumbar puncture, outcome is in the same range as in SAH
patients admitted in good clinical condition.
PMID- 21901484
TI - MoDUO1, a Duo1-like gene, is required for full virulence of the rice blast fungus
Magnaporthe oryzae.
AB - Duo1, a major component of the Dam1 complex which has been found in two species
of yeast (the budding yeast Saccharomyces cerevisae and the fission yeast
Schizosaccharomyces pombe), is involved in mitosis-related chromosome
segregation, while its relevance to pathogenicity in filamentous fungi remains
unclear. This report elucidated this very fact in the case of the rice blast
fungus Magnaporthe oryzae. A gene designated MoDUO1 that encodes a Duo1-like
homolog (MoDuo1) was discovered in the M. oryzae genome. Two types of MoDUO1
mutants were obtained using genetic approaches of Agrobacterium-mediated gene
disruption and homologous recombination. Both disruption and deletion of MoDUO1
can exert profound effects on the formation pattern of conidiophores and conidial
morphology, such as abnormal nucleic numbers in conidia and delayed extension of
infectious hyphae. Intriguingly, plant infection assays demonstrated that
inactivation of MoDUO1 significantly attenuates the virulence in its natural host
rice leaves, and functional complementation can restore it. Subcellular
localization assays showed that MoDuo1 is mainly distributed in the cytosol of
fungal cells. Proteomics-based investigation revealed that the expression of four
mitosis-related proteins is shut down in the MoDUO1 mutant, suggesting that
MoDuo1 may have a function in mitosis. In light of the fact that Duo1 orthologs
are widespread in plant and human fungal pathogens, our finding may represent a
common mechanism underlying fungal virulence. To the best of our knowledge, this
is the first example of linking a Duo1-like homolog to the pathogenesis of a
pathogenic fungus, which might provide clues to additional studies on the role of
Dam1 complex in M. oryzae and its interaction with rice.
PMID- 21901486
TI - Infant feeding practices were not associated with breast milk HIV-1 RNA levels in
a randomized clinical trial in Botswana.
AB - Exclusive breastfeeding has been associated with a reduced risk of late vertical
HIV transmission as compared to an infant diet composed of breast milk mixed with
supplemental foods or liquids. Hypothesized mechanisms include increased
infectivity of breast milk from mothers who practice mixed breastfeeding (MBF),
or mechanisms such as increased gastrointestinal permeability in the infant
caused by mixed feeding. It has been proposed that MBF may result in subclinical
mastitis and higher breast milk HIV titers. However, little is known about the
relationship between feeding strategy and breast milk viral load. We measured the
HIV-1 concentration in breast milk in a sub-cohort of women enrolled in a mother
to-child HIV transmission prevention trial (the "Mashi" study). We report no
observed relationship between MBF and measured breast milk viral RNA load. Our
findings suggest that the increased transmission risk associated with higher
breast milk HIV-1 RNA during MBF is unlikely.
PMID- 21901487
TI - Early adolescent romantic relationships and maternal approval among inner city
Latino families.
AB - Latino youth are at high risk for acquiring HIV during adolescence. The present
study documented the nature of adolescent romantic relationships among 702 Latino
eighth grade students and their mothers in the Bronx, NY. The study examined
adolescent romantic relationships, the association between participation in such
relationships and intentions to engage in sexual risk behavior, and maternal
influences on adolescent's involvement in intimate behaviors in romantic
relationships. Almost 50% of youth had been in a romantic relationship, which
typically lasted 3-3.5 months. Mothers tended to approve of intimate behaviors
and sexual activity in romantic relationships more so for males than females.
Latino youth tended to underestimate maternal disapproval of a range of intimate
behaviors, and the correlations between perceived and actual maternal approval
were generally low in magnitude. Finally, maternal orientations towards their
adolescent engaging in romantic relationships were associated with their child's
intentions to have sexual intercourse in the future.
PMID- 21901488
TI - FOXP1, an estrogen-inducible transcription factor, modulates cell proliferation
in breast cancer cells and 5-year recurrence-free survival of patients with
tamoxifen-treated breast cancer.
AB - Breast cancer is primarily a hormone-dependent tumor that can be regulated by the
status of steroid hormones, including estrogen and progesterone. Forkhead box P1
(FOXP1) is a member of the forkhead box transcription factor family and has been
reported to be associated with various types of tumors. In the present study, we
investigated the expression of FOXP1 in 133 human invasive breast cancers,
obtained by core biopsy, by immunohistochemical analysis. Nuclear
immunoreactivity of FOXP1 was detected in 89 cases (67%) and correlated
positively with tumor grade and hormone receptor status, including estrogen
receptor alpha (ERalpha) and progesterone receptor, and negatively with
pathological tumor size. In ERalpha-positive MCF-7 breast cancer cells, we
demonstrated that FOXP1 mRNA was upregulated by estrogen and increased ERalpha
recruitment to ER binding sites identified by ChIP-on-chip analysis within the
FOXP1 gene region. We also demonstrated that proliferation of MCF-7 cells was
increased by exogenously transfected FOXP1 and decreased by FOXP1-specific siRNA.
Furthermore, FOXP1 enhanced estrogen response element-driven transcription in MCF
7 cells. Finally, FOXP1 immunoreactivity was significantly elevated in relapse
free breast cancer patients treated with tamoxifen. These results suggest that
FOXP1 plays an important role in proliferation of breast cancer cells by
modulating estrogen signaling and that FOXP1 immunoreactivity could be associated
with the estrogen dependency of clinical breast cancers, which may predict
favorable prognosis in the patients treated with tamoxifen.
PMID- 21901491
TI - Resilience training and physician well-being.
PMID- 21901489
TI - Provider characteristics, clinical-work processes and their relationship to
discharge summary quality for sub-acute care patients.
AB - BACKGROUND: Discharge summaries play a pivotal role in the transitional care of
patients discharged to sub-acute care facilities, but the best ways to facilitate
document completeness/quality remain unknown. OBJECTIVE: To examine the
relationship among clinical-work processes, provider characteristics, and
discharge summary content to identify approaches that promote high-quality
discharge documentation. DESIGN: Retrospective cohort study. SUBJECTS: All hip
fracture and stroke patients discharged to sub-acute care facilities during 2003
2005 from a large Midwestern academic medical center (N = 489). Patients on
hospice/comfort care were excluded. MAIN MEASURES: We abstracted 32 expert
recommended components in four categories ('patient's medical course,'
'functional/cognitive ability at discharge,' 'future plan of care,' and
'name/contact information') from the discharge summaries of sample patients. We
examined predictors for the number of included components within each category
using Poisson regression models. Predictors included work processes (document
completion in relation to discharge day; completion time of day) and provider
characteristics (training year; specialty). KEY RESULTS: Historical components
(i.e., 'patient's medical course' category) were included more often than
components that directly inform the admission orders in the sub-acute care
facility (i.e., 'future plan of care'). In this latter category, most summaries
included a discharge medication list (99%), disposition (90%), and instructions
for follow-up (91%), but less frequently included diet (68%), activity
instructions (58%), therapy orders (56%), prognosis/diagnosis communication to
patient/family (15%), code status (7%), and pending studies (6%). 'Future plan of
care' components were more likely to be omitted if a discharge summary was
created >24 h after discharge (incident rate ratio = 0.91, 95% confidence
interval = 0.84-0.98) or if an intern created the summary (0.90, 0.83-0.97).
CONCLUSION: Critical component omissions in discharge summaries were common, and
were associated with delayed document creation and less experienced providers.
More research is needed to understand the impact of discharge documentation
quality on patient/system outcomes.
PMID- 21901493
TI - Outcomes, outcomes, every where, nor any stop to think?
PMID- 21901494
TI - From the editors' desk: religion, health and cucumbers.
PMID- 21901495
TI - Fostering educational innovation through measuring outcomes.
PMID- 21901497
TI - A 7-year-old girl with subcutaneous emphysema, pneumomediastinum, pneumothorax,
and pneumoretroperitoneum caused by Mycoplasma pneumoniae pneumonia.
AB - A 7-year-old girl presented with subcutaneous emphysema, pneumomediastinum (PM),
pneumoretroperitoneum, and pneumothorax caused by Mycoplasma pneumoniae (MP). The
patient had been treated with clarithromycin for pneumonia at another hospital;
however, her condition deteriorated and complications developed. Soon after
admission to our hospital, we started the patient on minocycline and
prednisolone, and the complications improved promptly. Laboratory data showed
serum ferritin and urinary beta-2-microglobulin levels were greatly elevated. We
therefore speculated that the patient might have underlying hypercytokinemia.
Prednisolone is an effective treatment for hypercytokinemia. We therefore
recommend prednisolone treatment for cases of severe M. pneumoniae pneumonia that
do not respond to antimicrobial agents.
PMID- 21901498
TI - Mechanism of drug interaction between a Kampo medicine, byakkokaninjinto, and
tetracycline in rats.
AB - We have previously reported that concomitant oral administration of the Kampo
medicine, byakkokaninjinto (TJ-34), in extract granules, reduced the plasma
concentrations of tetracycline (TC) and ciprofloxacin in humans, which might be
the result of forming a chelate with Ca(2+). In the present study, we
investigated the effect of a chelating agent, ethylenediaminetetraacetic acid
(EDTA), on the plasma concentration-time profiles of TC after coadministration of
TJ-34 dried extract and TC in rats to clarify whether metal ions contained in the
TJ-34 dried extract contribute to this interaction. TJ-34 dried extract
significantly reduced the plasma concentration of TC. The values of maximum
concentration (C (max)), area under the plasma concentration-time curve and
percentage of urinary recovery (f (e)) of TC were reduced to 42%, 40%, and 45%,
respectively. On the other hand, treatment with EDTA significantly counteracted
the effect of TJ-34 dried extract to reduce absorption of TC, indicating that
metal ions mainly account for the interaction. Next, we investigated the effect
of staggered administration of TJ-34 dried extract and TC to avoid the drug
interaction between them. Administration of TJ-34 dried extract 2 h before TC had
no effect on plasma concentrations and pharmacokinetic parameters of TC. These
results provide a precise mechanism of the interaction TJ-34 and TC, suggesting a
safe and effective dosage regimen to coadminister TJ-34 and TC in clinical use.
PMID- 21901496
TI - Making connections: pathology and genetics link amyotrophic lateral sclerosis
with frontotemporal lobe dementia.
AB - Over the last couple of decades, there has been a growing body of clinical,
genetic, and histopathological evidence that similar pathological processes
underlie amyotrophic lateral sclerosis (ALS) and some types of frontotemporal
lobe dementia (FTD). Even though there is great diversity in the genetic causes
of these disorders, there is a high degree of overlap in their histopathology.
Genes linked to rare cases of familial ALS and/or FTD, like FUS, TARDBP, OPTN,
and UBQLN2 may converge onto a unifying pathogenic pathway and thereby provide
novel therapeutic targets common to a spectrum of etiologically diverse forms of
ALS and ALS-FTD. Additionally, there are major loci for ALS-FTD on chromosomes 9p
and 15q. Identification of causative genetic alterations at those loci will be an
important step in understanding the pathogenesis of juvenile- and adult-onset ALS
and ALS-FTD. Interactions between TDP-43, FUS, optineurin, and ubiquilin 2 need
to be studied to understand their common molecular pathways. Future efforts
should also be directed towards generation and characterization of in vivo models
to dissect the pathogenic mechanisms of these diseases. Such efforts will rapidly
accelerate the discovery of new drugs that regulate accumulation of pathogenic
proteins and their downstream consequences.
PMID- 21901499
TI - A pre-visit tailored website enhances counselees' realistic expectations and
knowledge and fulfils information needs for breast cancer genetic counselling.
AB - Counselees who are the first in their family to request breast cancer genetic
counselling often don't know what to expect or have unrealistic expectations of
genetic counselling. Receiving tailored information might help them to prepare
for their first visit. We conducted a study of the effects of a pre-visit website
providing computer-tailored information (E-info gene(ca)), on counselees'
expectations, knowledge about breast cancer and heredity and information needs.
Counselees were randomized to receive usual care (UC) or UC plus website. All
counselees completed a baseline questionnaire and those randomized to the
intervention group also completed a questionnaire after having viewed the
website. After having accessed E-info gene(ca) counselees (n = 101) better knew
what to expect of their first visit (chi(2) = 4.43; P = .04) and less often
showed unrealistic expectations about possibilities for DNA-testing (chi(2) =
4.84; P = .03) than counselees in the UC group (n = 89). In addition, the website
increased counselees' knowledge of breast cancer and heredity (B = .23; P = .003)
and lowered their information needs (B = -.16; P = .000) compared to the UC
group. Especially, information concerning procedural aspects and emotional
consequences of genetic counselling was considered less important. This study
showed that counselees know more and need less when they are provided with
extended pre-visit information through a tailored website and counselees enter
the visit with more realistic expectations of genetic counselling. This might
facilitate and focus communication within the subsequent consultation.
PMID- 21901500
TI - Two novel mutations in hMLH1 gene in Iranian hereditary non-polyposis colorectal
cancer patients.
AB - Hereditary non-polyposis colorectal cancer (HNPCC) is one of the most common
forms of hereditary colorectal cancer. It is an autosomal dominant disorder
resulting from germline mutations in DNA mismatch repair genes. In this study, we
screened hMLH1 gene in a group of Iranian HNPCC patients using polymerase chain
reaction-single strand conformational polymorphism and direct sequencing methods.
Here we report two novel frameshift mutations in this gene in our studied
population. One of them results from a deletion of "T" at codon 36, exon 1 which
causes premature stop codon and a truncated protein. The other results from a
deletion of "T" at codon 753, exon 19 causing a delayed stop codon. There are a
variety of the reported novel mutations in hMLH1 gene studies. Identification of
these mutations is necessary in different populations and can help the management
of colorectal cancer in these populations by screening, by prevention strategies,
and by following up the suspected HNPCC families.
PMID- 21901502
TI - The pedunculopontine nucleus: from basic neuroscience to translational
applications for Parkinson's disease.
PMID- 21901501
TI - The effect of intrathecal administration of glial activation inhibitors on dorsal
horn BDNF overexpression and hind paw mechanical allodynia in spinal nerve
ligated rats.
AB - Recent studies have suggested that activated glia in the spinal cord may play a
vital role at different times during spinal nerve ligation (SNL)-induced
neuropathic pain; therefore, glial activation inhibitors have been used as
effective painkillers. Brain-derived neurotrophic factor (BDNF) is also known to
be a powerful pain modulator, but it remains unclear how it contributes to the
glial activation inhibitor-based treatment. This study revealed the following
results: (1) intrathecal administration of minocycline (a microglial activation
inhibitor) could prevent mechanical allodynia during the initiation of SNL
induced neuropathic pain, and its action was associated with the elimination of
BDNF overexpression in the dorsal horn; (2) the spinal injection of fluorocitrate
(an astrocytic activation inhibitor) but not minocycline could reverse mechanical
allodynia during the maintenance phase of SNL-induced pain, and its action was
also related to a decrease in BDNF overexpression in the dorsal horn; and (3)
treatment with TrkB/Fc (a BDNF-sequestering protein) had a similar effect during
both the early development and maintenance periods. These results led to the
following conclusions: (1) elevated BDNF expression in the dorsal horn was
required to develop and maintain neuropathic pain; (2) minocycline could only
prevent mechanical allodynia in the early stages, possibly by inhibiting BDNF
release from microglia; and (3) fluorocitrate could reverse existing mechanical
allodynia, and its action was associated with the inhibition of BDNF upregulation
induced by astrocytic activation.
PMID- 21901503
TI - Red cell exchange transfusion as a rescue therapy for tacrolimus toxicity in a
paediatric renal transplant.
AB - Tacrolimus is a widely used macrolide immunosuppressant that has a narrow
therapeutic index and potential side effects including neurotoxicity. A 20-month
old boy with kidney disease secondary to prune belly syndrome variant, managed on
peritoneal dialysis, received a deceased donor transplant. Standard
immunosuppression was used. There was good early graft function. Post-transplant
he developed fungal peritonitis associated with a significant reduction in graft
function and was treated with caspofungin and fluconazole. Despite tacrolimus
dose reduction he developed a rapid rise in tacrolimus concentration to a maximum
of 72 ng/ml with an otherwise unexplained reduction in consciousness. He
underwent a single volume exchange transfusion with packed red cells and 4.5%
albumin (ratio 2:1). This resulted in immediate reduction of his tacrolimus
concentration from 61.8 ng/ml to 35.2 ng/ml. The neurological deficit rapidly
resolved. The fungal peritonitis was eradicated. Renal function recovered from a
nadir of eGFR <10 ml/min/1.73 m2 to a baseline of 30 ml/min/1.73 m2. At 30 months
post-transplant the child has creatinine of 1.4 mg/dl (eGFR of 31 ml/min/1.73
m2), and is developmentally appropriate with no neurological deficit. Red cell
exchange transfusion is a potentially safe and effective way of managing severe
and symptomatic tacrolimus toxicity.
PMID- 21901504
TI - Recovery of strongylid third-stage larvae from herbage samples: standardisation
of a laboratory method and its application in the field.
AB - The estimation of parasitic nematode larvae present on pasture is an important
tool applied in many epidemiological studies. In the face of climatic changes,
there is increased interest in identifying parameters influencing the survival of
free-living stages of parasites under different meteorological conditions. In
order to predict possible risk factors for grazing livestock, reliable and
reproducible methods to assess the density of larvae on pasture are required. A
laboratory method for the recovery of strongylid third-stage larvae from herbage
samples was developed, standardised and its efficacy assessed in controlled
experiments as well as under field conditions. Grass samples free of any nematode
larvae were used and inoculated with known numbers of third-stage larvae of
Cooperia oncophora in different concentrations. The grass samples were inoculated
with larvae over 24 h, followed by soaking for 4 h. The recovery process included
washing over sieves and centrifugation of the obtained liquid. The total time
required for the recovery process was about 5-7 h (excluding inoculation).
Recovery rates range from 68% to 98% and a strong correlation between numbers of
larvae added to the grass samples and numbers of larvae that could be recovered
was observed (p < 0.001). The new method proved to be reproducible and provides
high recovery rates combined with the potential to handle many samples
simultaneously in a relatively short time, thus offering high throughput
opportunities applicable to field experiments.
PMID- 21901505
TI - Effect of gender difference on platelet reactivity.
AB - BACKGROUND: Previous studies have suggested that women do not accrue equal
therapeutic benefit from antiplatelet medication as compared with men. The
physiological mechanism and clinical implications behind this gender disparity
have yet to be established. METHODS: On-treatment platelet reactivity was
determined in 717 men and 234 women on dual antiplatelet therapy, undergoing
elective coronary stent implantation. Platelet function testing was performed
using arachidonic acid and adenosine diphosphate-induced light transmittance
aggregometry (LTA) and the VerifyNow P2Y12 and Aspirin assays. Also the incidence
of all-cause death, non-fatal acute myocardial infarction, stent thrombosis and
ischaemic stroke was evaluated. RESULTS: Women had higher baseline platelet
counts than men. Women exhibited a higher magnitude of on-aspirin platelet
reactivity using LTA, but not using the VerifyNow Aspirin assay. The magnitude of
on-clopidogrel platelet reactivity was significantly higher in women as compared
with men with both tests used. The cut-off value to identify patients at risk as
well as the incidence of clinical endpoints was similar between women and men
(16/234[6.8%] vs. 62/717[8.6%], p = 0.38). CONCLUSION: Although the magnitude of
platelet reactivity was higher in women, the absolute difference between genders
was small and both the cut-off value to identify patients at risk and the
incidence of the composite endpoint were similar between genders. Thus, it is
unlikely that the difference in platelet reactivity accounts for a worse
prognosis in women.
PMID- 21901506
TI - Pre-pregnancy risk assessment and counselling of the cardiac patient.
AB - Pregnant women with heart disease often have an increased risk of maternal
cardiovascular and offspring complications. The magnitude of these risks varies
depending on the type and severity of the underlying disease. Therefore risk
assessment should be performed before pregnancy. This can be accomplished by
taking into account predictors and risk scores that have been developed in large
populations of pregnant women with heart disease, as well as by consulting
disease-specific pregnancy literature. A system that integrates all available
knowledge about the risk of pregnancy is the adapted World Health Organisation
risk classification. The safety of pregnancy for women with heart disease can be
enhanced by adequate risk assessment and counselling.
PMID- 21901507
TI - Rights, knowledge, and governance for improved health equity in urban settings.
AB - All three of the interacting aspects of daily urban life (physical environment,
social conditions, and the added pressure of climate change) that affect health
inequities are nested within the concept of urban governance, which has the task
of understanding and managing the interactions among these different factors so
that all three can be improved together and coherently. Governance is defined as:
"the process of collective decision making and processes by which decisions are
implemented or not implemented": it is concerned with the distribution, exercise,
and consequences of power. Although there appears to be general agreement that
the quality of governance is important for development, much less agreement
appears to exist on what the concept really implies and how it should be used.
Our review of the literature confirmed significant variation in meaning as well
as in the practice of urban governance arrangements. The review found that the
linkage between governance practices and health equity is under-researched and/or
has been neglected. Reconnecting the fields of urban planning, social sciences,
and public health are essential "not only for improving local governance, but
also for understanding and addressing global political change" for enhanced urban
health equity. Social mobilization, empowering governance, and improved knowledge
for sustainable and equitable development in urban settings is urgently needed. A
set of strategic research questions are suggested.
PMID- 21901508
TI - [Annual Report 2009 of the German Cardiac Pacemaker Registry: Federal Section
pacemaker and AQUA - Institute for Applied Quality Improvement and Research in
Health Ltd].
PMID- 21901509
TI - Neuroprotective effect of crocin on acrylamide-induced cytotoxicity in PC12
cells.
AB - Acrylamide (ACR) is a potent neurotoxic in human and animal models. In this
study, the effect of crocin, main constituent of Crocus sativus L. (Saffron) on
ACR-induced cytotoxicity was evaluated using PC12 cells as a suitable in vitro
model. The exposure of PC12 cells to ACR reduced cell viability, increased DNA
fragmented cells and phosphatidylserine exposure, and elevated Bax/Bcl-2 ratio.
Results showed that ACR increased intracellular reactive oxygen species (ROS) in
cells and ROS played an important role in ACR cytotoxicity. The pretreatment of
cells with 10-50 MUM crocin before ACR treatment significantly attenuated ACR
cytotoxicity in a dose-dependent manner. Crocin inhibited the downregulation of
Bcl-2 and the upregulation of Bax and decreased apoptosis in treated cells. Also,
crocin inhibited ROS generation in cells exposed to ACR. In conclusion, our
results indicated that pretreatment with crocin protected cells from ACR-induced
apoptosis partly by inhibition of intracellular ROS production.
PMID- 21901510
TI - Aging-associated modulation in the expression of Pax6 in mouse brain.
AB - Symptoms like mental retardation, depression, and anxiety have been observed
during aging. Almost similar phenotypes have been evident in patients having
haploinsufficiency or mutations in Pax6, a transcriptional regulator. Since Pax6
regulates axon guidance, differentiation of neurons from glia, and neuronal
migration, it has been considered as a marker of newly generated neurons. The
immunohistochemical analysis of Pax6 positive cells and expression pattern of
Pax6 in olfactory lobe, hippocampus, and cerebellum of aging mouse brain have
been investigated. The number of Pax6 positive cells and level of Pax6 were
reduced progressively in olfactory lobe, cerebellum, and hippocampus from
postnatal day-zero (P0) to old age mice. Pax6 positive cells were significantly
lower in dentate gyrus, CA1, CA2, and CA3 regions of hippocampus, in mitral cell
(MiCe), and internal plexiform (InPl) layers of olfactory lobe, and in granular
cell (GrLa), and Purkinje's cell (PuCe) layers of cerebellum from P0 to old age.
Thus, modulation in the expression of Pax6 and reduction in Pax6 positive cells
show direct association of Pax6 with aging-related neuronal dystrophy.
PMID- 21901511
TI - Supplementation of DHA-rich microalgal oil or fish oil during the suckling period
in mildly n-3 fatty acid-deficient rat pups.
AB - Long-chain polyunsaturated fatty acids (LC-PUFA), particularly arachidonic acid
(ARA) and docosahexaenoic acid (DHA), are considered critical for the development
of infants and are commonly supplemented in infant formulae. In this study, two
common sources of n-3 LC-PUFA, fish oil (FO) and DHA-rich microalgal oil (DMO),
were fed to rat pups of mildly n-3 PUFA-deficient dams to compare changes in LC
PUFA of tissue phospholipids. The milk from dams fed a n-3 PUFA-deficient diet
contained less n-3 LC-PUFA than that of dams fed a control diet (AIN-93G). The
pups' were given orally 1 mg/g weight of either FO or DMO for 17 days between the
ages of 5 and 21 days, the pups were weaned, and sacrificed 1 week later for
analysis of fatty acid compositions of brain, heart, kidney, spleen, and thymus
phospholipids. Although both FO and DMO brought about a recovery in the tissue
DHA levels compared to those of the control group (pups from AIN-93G-fed dams),
DMO was more effective at restoring tissue LC-PUFA status because it was richer
in DHA than FO. FO had a slightly lower PUFA level than that required to bring
the LC-PUFA status completely to normal levels in this experiment, and EPA did
not accumulate in tissues under the conditions tested here. These results
demonstrate the effectiveness of ingesting either FO or DMO in the pre-weaning
period for improving mild n-3 PUFA deficiency.
PMID- 21901512
TI - Emotional cues enhance the attentional effects on spatial and temporal
resolution.
AB - In the present study, we demonstrated that the emotional significance of a
spatial cue enhances the effect of covert attention on spatial and temporal
resolution (i.e., our ability to discriminate small spatial details and fast
temporal flicker). Our results indicated that fearful face cues, as compared with
neutral face cues, enhanced the attentional benefits in spatial resolution but
also enhanced the attentional deficits in temporal resolution. Furthermore, we
observed that the overall magnitudes of individuals' attentional effects
correlated strongly with the magnitude of the emotion * attention interaction
effect. Combined, these findings provide strong support for the idea that emotion
enhances the strength of a cue's attentional response.
PMID- 21901513
TI - Motion onset does not capture attention when subsequent motion is "smooth".
AB - Previous research on the attentional effects of moving objects has shown that
motion per se does not capture attention. However, in later studies it was argued
that the onset of motion does capture attention. Here, we show that this motion
onset effect critically depends on motion jerkiness--that is, the rate at which
the moving stimulus is refreshed. Experiment 1 used search displays with a
static, a motion-onset, and an abrupt-onset stimulus, while systematically
varying the refresh rate of the moving stimulus. The results showed that motion
onset only captures attention when subsequent motion is jerky (8 and 17 Hz), not
when it is smooth (33 and 100 Hz). Experiment 2 replaced motion onset with
continuous motion, showing that motion jerkiness does not affect how continuous
motion is processed. These findings do not support accounts that assume a special
role for motion onset, but they are in line with the more general unique-event
account.
PMID- 21901516
TI - Ultrastructural and mineral phase characterization of the bone-like matrix
assembled in F-OST osteoblast cultures.
AB - Cell cultures are often used to study bone mineralization; however, not all
systems achieve a bone-like matrix formation. In this study, the mineralized
matrix assembled in F-OST osteoblast cultures was analyzed, with the aim of
establishing a novel model for bone mineralization. The ultrastructure of the
cultures was investigated using scanning electron microscopy, atomic force
microscopy, and transmission electron microscopy (TEM). The mineral phase was
characterized using conventional and high-resolution TEM, energy-dispersive X-ray
spectroscopy, X-ray diffraction, Fourier transform infrared spectroscopy, and
solid-state (31)P and (1)H nuclear magnetic resonance. F-OST osteoblast cultures
presented a clear nodular mineralization pattern. The chief features of the
mineralizing nodules were globular accretions ranging from about 100 nm to 1.5
MUm in diameter, loaded with needle-shaped crystallites. Accretions seemed to bud
from the cell membrane, increase in size, and coalesce into larger ones. Arrays
of loosely packed, randomly oriented collagen fibrils were seen along with the
accretions. Mineralized fibrils were often observed, sometimes in close
association with accretions. The mineral phase was characterized as a poorly
crystalline hydroxyapatite. The Ca/P atomic ratio was 1.49 +/- 0.06. The presence
of OH was evident. The lattice parameters were a = 9.435 A and c = 6.860 A. The
average crystallite size was 20 nm long and 10 nm wide. Carbonate substitutions
were seen in phosphate and OH sites. Water was also found within the apatitic
core. In conclusion, F-OST osteoblast cultures produce a bone-like matrix and may
provide a good model for bone mineralization studies.
PMID- 21901517
TI - Laparoscopic low anterior resection for early rectal cancer.
AB - INTRODUCTION: Early rectal cancer (ERC) is adenocarcinoma that has invaded into,
but not extended beyond, the submucosa. Endoscopic or minimal access surgical
procedures, such as laparoscopic resection, have emerged as a useful tool in the
surgical treatment of such diseases. The aim of this study is to present and
analyze the feasibility, the short- and long-term results of laparoscopic
colorectal surgery (LCS) in patients with ERC. PATIENTS AND METHODS: Between 2002
and 4/2011, a total of 164 patients with colorectal cancer underwent laparoscopic
surgery (LS). Of these, 7 patients (4.2%) had ERC and underwent laparoscopic
anterior resection (LAR). The median follow-up was 41 months. RESULTS: The mean
operative time was 2.5 h. None of the laparoscopic procedures was converted to
open surgery. Liquids and solid food were started on median postoperative days 1
and 3, respectively. The median length of postoperative stay was 5 days.
Postoperative complications occurred in 2 patients (28.5%), including wound
infection in one patient (14.2%) and atelectasis in one patient (14.2%). None of
the patients required an urgent re-operation. There was no mortality related to
LS. CONCLUSIONS: LS for ERC can be used as a strategy sited between endoscopic
mucosal resection and open anterior resection with beneficial long- and short
term results. It appears as a technically and oncologically safe procedure when
performed by surgeons with sufficient experience in laparoscopic techniques.
PMID- 21901518
TI - Mechanical bowel preparation before elective colorectal surgery: is it necessary?
AB - AIM: To present the worldwide accepted guidelines concerning the use of
mechanical bowel preparation (MBP) before elective colorectal surgery (ECS).
PATIENTS AND METHODS: We conducted a retrospective review of the Pubmed Databases
for randomized controlled trials (RCTs) and meta-analyses, which included adult
patients who underwent elective colorectal surgery. We compared the patients who
had a preoperative MBP with those who did not. Significant factors that were
taken into account were postoperative septic complications and anastomotic
dehiscence. RESULTS: Our search revealed 5 RCTs and 2 meta-analyses that met our
criteria. Patients who underwent emergency colorectal surgery were excluded from
the study. We identified the recommendations for 6 different types of elective
colorectal surgery. CONCLUSION: MBP has been for many years a standard clinical
procedure for patients undergoing elective colorectal surgery. However, many
recent researches suggest the omission of MBP, since there are no significant
differences regarding postoperative infectious complications, such as anastomotic
dehiscence and superficial surgical site infections. Furthermore, MBP is a time
consuming, expensive procedure and causes severe discomfort to the patient. More
importantly, the application of MBP has been associated with serious
complications in both healthy patients and patients with existing cardiac or
renal disease, such as electrolyte and volume disturbances.
PMID- 21901519
TI - Alterations in colonic transit time after laparoscopic versus open
cholecystectomy: a clinical study.
AB - PURPOSE: Postoperative enteral paresis constitutes a common problem for surgeons
around the world. Evidence by many authors suggests that colonic inertia
constitutes a major component of postoperative enteral paresis. This study aims
at comparing the effect of laparoscopic versus open cholecystectomy on colonic
transit time in humans. MATERIALS AND METHODS: In this study, were included a
total of 29 patients suffering from cholelithiasis, divided into two groups, a
laparoscopic cholecystectomy and an open cholecystectomy group. All patients
ingested one capsule containing 24 radiopaque markers on the day of the
operation, and plain abdominal films were obtained on the 3rd postoperative day.
The number of remaining markers was counted, and the percentage of rejected
markers was calculated. For the statistical analysis, SPSS for windows version 12
was used. RESULTS AND DISCUSSION: The study's results show a significant
difference in postoperative colonic motility, in favor of the laparoscopic
cholecystectomy group (P = 0,001). Causative interpretation of these results is
difficult, mainly due to the multifactorial nature of postoperative colonic
hypomotility. CONCLUSION: The present study suggests an advantage of laparoscopic
cholecystectomy, as far as the duration of postoperative colonic paresis is
concerned.
PMID- 21901520
TI - Emergency and elective surgery for small bowel Crohn's disease.
AB - Advancements in the medical treatment of Crohn's disease have reduced the need
for surgery. The indications for surgery include the failure of medical
management, especially the persistence or worsening of symptoms in spite of
proper treatment and complications of the disease process. These complications
include intestinal obstruction, intestinal perforation with fistula formation or
abscess, free perforation, gastrointestinal bleeding, urologic complications,
cancer, and perianal disease. In these cases, operative therapy should be
directed to the specific indication for surgery, resecting only the segment of
bowel involved in the complicating process while preserving as much bowel length
as possible in order to prevent short-bowel syndrome. Laparoscopic surgery has
been gaining acceptance as an alternative surgical approach for properly selected
patients with Crohn's disease. In conclusion, identifying the need for surgery
and determining the timing of operation are the cornerstones to successful
surgical management of patients with Crohn's disease.
PMID- 21901521
TI - Insight from the draft genome of Dietzia cinnamea P4 reveals mechanisms of
survival in complex tropical soil habitats and biotechnology potential.
AB - The draft genome of Dietzia cinnamea strain P4 was determined using
pyrosequencing. In total, 428 supercontigs were obtained and analyzed. We here
describe and interpret the main features of the draft genome. The genome
contained a total of 3,555,295 bp, arranged in a single replicon with an average
G+C percentage of 70.9%. It revealed the presence of complete pathways for
basically all central metabolic routes. Also present were complete sets of genes
for the glyoxalate and reductive carboxylate cycles. Autotrophic growth was
suggested to occur by the presence of genes for aerobic CO oxidation,
formate/formaldehyde oxidation, the reverse tricarboxylic acid cycle and the 3
hydropropionate cycle for CO(2) fixation. Secondary metabolism was evidenced by
the presence of genes for the biosynthesis of terpene compounds, frenolicin,
nanaomycin and avilamycin A antibiotics. Furthermore, a probable role in
azinomycin B synthesis, an important product with antitumor activity, was
indicated. The complete alk operon for the degradation of n-alkanes was found to
be present, as were clusters of genes for biphenyl ring dihydroxylation. This
study brings new insights in the genetics and physiology of D. cinnamea P4, which
is useful in biotechnology and bioremediation.
PMID- 21901522
TI - Diffuse periventricular leukomalacia in preterm children: assessment of grey
matter changes by MRI.
AB - BACKGROUND: Preterm children may have cognitive deficits and behavioural
disorders suggestive of grey matter (GM) injury. The prevalence is higher in
preterm children with diffuse periventricular leukomalacia (dPVL). OBJECTIVE:
Evaluate changes in the volume of 116 GM areas in preterm children with dPVL.
METHODS AND MATERIALS: Eleven preterm children with dPVL, gestational age 32.8 +/
2.6 weeks, examined at corrected age 22.0 +/- 18.2 months and 33 matched preterm
controls with normal brain MRI were studied. Volumes of 116 individual GM areas,
and white matter/cerebrospinal fluid (WM/CSF) ratio were calculated on T1
weighted high-resolution images after segmentation. RESULTS: Relative to
controls, children with dPVL had decreased GM volume of the hippocampus,
amygdala, and frontal lobes and temporal middle gyrus (P < 0.05); increased GM
volume of the putamen, thalamus, globus pallidum, superior temporal gyrus and of
the parietal and occipital lobes (P < 0.05) and lower WM volume/higher CSF volume
(P < 0.05). WM/CSF ratios also differed (P < 0.05). CONCLUSIONS: Preterm children
with dPVL have increased regional GM volume in some areas probably related with a
process of brain plasticity-regeneration and reduced GM volume in areas
associated with cognition and memory.
PMID- 21901523
TI - Regional cerebellar volumes predict functional outcome in children with
cerebellar malformations.
AB - The cerebellum has recently been recognized for its role in high-order functions,
including cognition, language, and behavior. Recent studies have also begun to
describe a functional topography of the mature cerebellum that includes
organization on a mediolateral axis. However, no study to date has examined the
relationship between regional cerebellar volume and developmental disabilities in
children with cerebellar malformations. The objective of this study was to
estimate the extent to which total and regional cerebellar volumes are associated
with developmental disabilities in a cohort of children with cerebellar
malformations. Children aged 1 to 6 years with a diagnosis of cerebellar
malformation underwent standardized outcome measures and quantitative magnetic
resonance scanning. The cerebellum was parcellated into seven mediolateral zones
(three for each hemisphere plus the vermis) for regional volume analysis. In
children with cerebellar malformations, decreased total cerebellar volume was
associated with delays in global development, expressive language, cognition, as
well as gross and fine motor function. Decreased volume in the right lateral
cerebellar hemisphere was related to impaired cognition, expressive language, and
gross motor function. Additionally, reduced vermis volume was associated with
impaired global development, cognition, expressive language, and gross and fine
motor skills, as well as behavior problems and a higher rate of positive autism
spectrum screening test. These results begin to define the structural topography
of functional outcome in children with cerebellar malformations and should lead
to greater accuracy of prognostication as well as timely early developmental
interventions.
PMID- 21901524
TI - Knockdown of Mad2 induces osteosarcoma cell apoptosis-involved Rad21 cleavage.
AB - BACKGROUND: Besides Mad2's role in carcinogenesis, recent study has shown that it
is essential in cell survival. Here we found that knockdown of Mad2 causes
osteosarcoma cell death through apoptosis, with the apoptotic signal resulting
from Rad21 cleavage. METHODS: U2OS and MG63 cells were divided into three groups:
the Mad2 siRNA group, mock group and normal control group; the Mad2 siRNA group
and mock group are transfected with Mad2 shRNA plasmid and mock plasmid,
respectively. G418 was used to increase the transfection efficacy, which was
evaluated by GFP fluorescence. Quantitative PCR and Western blotting analyses
were used to detect the transcription and expression of Mad2, Rad21 and caspase
3, respectively. Flow cytometry assay using PE-labeled Annexin-V and PI, TUNEL
assay and Hoechst 33258 staining were used to evaluate cell apoptosis. RESULTS:
We successfully achieved knockdown of Mad2 expression in cancer cells using RNA
interference. We observed obvious apoptosis in the Mad2 siRNA group compared with
the Mock and control group. We found that the apoptosis induced by Mad2 knockdown
correlated with Rad21 cleavage. CONCLUSION: These results confirmed that
knockdown of Mad2 causes osteosarcoma cell death through apoptosis and provides
evidence that the apoptotic signal resulted from Rad21 cleavage. This study
suggested that Mad2 has potential to be a novel target for cancer therapy.
PMID- 21901525
TI - Changes in pelvic tilt following total hip arthroplasty.
AB - BACKGROUND: It is important to understand chronological changes in pelvic tilt
after total hip arthroplasty (THA). In this study, we first investigated changes
in pelvic tilt after THA. Second, we determined the correlation between
preoperative pelvic tilt and the extent of postoperative changes in the pelvic
tilt. Third, we evaluated the effects of changes in pelvic tilt on postoperative
function and disability. METHODS: We examined 149 patients who received primary
THA over a follow-up period of 1 year. We compared postoperative changes with the
preoperative pelvic tilt on the basis of the anterior pelvic plane (APP) defined
by DiGioia and patient demographics. RESULTS: A significant correlation was
observed between preoperative APP and the amount of postoperative change in APP,
suggesting that patients with severe preoperative pelvic tilt generally
experience greater postoperative changes in pelvic tilt. Our data showed that
patients with preoperative anterior pelvic tilt generally exhibit posterior
changes in pelvic tilt after operation, while patients with preoperative
posterior pelvic tilts did not experience significant postoperative changes in
pelvic tilt. The 1-year postoperative function scores in patients with
preoperative anterior pelvic tilt were lower than those in patients with
preoperative posterior pelvic tilt. CONCLUSIONS: Pelvic tilt changed by varying
degrees following THA. Special attention must be paid when positioning the
acetabular component, particularly in patients with severe pelvic tilt prior to
surgery. A greater understanding of the postoperative changes in pelvic tilt may
improve the outcome of THA.
PMID- 21901526
TI - Translational research on the mitral valve: from developmental mechanisms to new
therapies.
PMID- 21901527
TI - Phenotype switching and mutations in random environments.
AB - Cell populations can benefit from changing phenotype when the environment
changes. One mechanism for generating these changes is stochastic phenotype
switching, whereby cells switch stochastically from one phenotype to another
according to genetically determined rates, irrespective of the current
environment, with the matching of phenotype to environment then determined by
selective pressure. This mechanism has been observed in numerous contexts, but
identifying the precise connection between switching rates and environmental
changes remains an open problem. Here, we introduce a simple model to study the
evolution of phenotype switching in a finite population subject to random
environmental shocks. We compare the successes of competing genotypes with
different switching rates, and analyze how the optimal switching rates depend on
the frequency of environmental changes. If environmental changes are as rare as
mutations, then the optimal switching rates mimic the rates of environmental
changes. If the environment changes more frequently, then the optimal genotype
either maximally favors fitness in the more common environment or has the maximal
switching rate to each phenotype. Our results also explain why the optimum is
relatively insensitive to fitness in each environment.
PMID- 21901528
TI - Phosphorylation and nitration levels of photosynthetic proteins are conversely
regulated by light stress.
AB - Using a label-free mass spectrometric approach, we investigated light-induced
changes in the distribution of phosphorylated and nitrated proteins within
subpopulations of native photosynthetic complexes in the thylakoid membrane of
Arabidopsis thaliana leaves adapted to growth light (GL) and subsequently exposed
to high light (HL). Eight protein phosphorylation sites were identified in
photosystem II (PSII) and the phosphorylation level of seven was regulated by HL
as determined based on peak areas from ion chromatograms of phosphorylated and
non-phosphorylated peptides. Although the phosphorylation of PSII proteins was
reported in the past, we demonstrated for the first time that two minor antenna
LHCB4 isoforms are alternately phosphorylated under GL and HL conditions in PSII
monomers, dimers and supercomplexes. A role of LHCB4 phosphorylation in state
transition and monomerization of PSII under HL conditions is proposed. We
determined changes in the nitration level of 23 tyrosine residues in five
photosystem I (PSI) and nine PSII proteins and demonstrated for the majority of
them a lower nitration level in PSI and PSII complexes and supercomplexes under
HL conditions, as compared to GL. In contrast, the nitration level significantly
increased in assembled/disassembled PSI and PSII subcomplexes under HL
conditions. A possible role of nitration in (1) monomerization of LHCB1-3 trimers
under HL conditions (2) binding properties of ferredoxin-NADP+ oxidoreductase to
photosystem I, and (3) PSII photodamage and repair cycle, is discussed. Based on
these data, we propose that the conversely regulated phosphorylation and
nitration levels regulate the stability and turnover of photosynthetic complexes
under HL conditions.
PMID- 21901529
TI - [Dimensions and interpretative patterns of aging: attitudes about aging, being
old and ways of living in old age].
AB - Attitudes about older people and being old develop in the early and middle phases
of life and influence the subsequent aging process. Because aging processes vary
across different domains of life and functioning, one cannot assume unanimously
negative or positive attitudes towards old age and aging. Thus, in the
psychological part of the project Zones of Transition ("Zonen des Ubergangs"),
age stereotypes and views of the self in old age were assessed in different life
domains and for different age groups, and their relation to well-being and the
self-concept was investigated. The project also focused on the analysis of
attitudes towards one's personal way of living in old age. A questionnaire was
developed that assesses those attitudes on the basis of the dimensions "active
commitment" and "pleasure and leisure". Our results support a multidimensional
conception of attitudes towards aging and way of living in old age as well as of
their influence on development across the lifespan.
PMID- 21901531
TI - Glutamate dehydrogenase requirement for apoptosis induced by aristolochic acid in
renal tubular epithelial cells.
AB - Ingestion of aristolochic acids (AA) contained in herbal remedies results in a
renal disease and, frequently, urothelial malignancy. The genotoxicity of AA in
renal cells, including mutagenic DNA adduct formation, is well-documented.
However, the mechanisms of AA-induced tubular atrophy and renal fibrosis are
largely unknown. Epithelial cell death is a critical characteristic of these
pathological conditions. To elucidate the mechanisms of AA-induced cytotoxicity,
we explored AA-interacting proteins in tubular epithelial cells (TEC). We found
that AA interacts with a mitochondrial enzyme glutamate dehydrogenase (GDH) and
moderately inhibits its activity. We report that AA induces cell death in GDH
knockdown TEC preferentially via non-apoptotic means, whereas in GDH-positive
cells, death was executed by both the non-apoptotic and apoptotic mechanisms.
Apoptosis is an energy-reliant process and demands higher adenosine 5'
triphosphate (ATP) consumption than does the non-apoptotic cell death. We found
that, after AAI treatment, the ATP depletion is more pronounced in GDH-knockdown
cells. When we reduced ATP in TEC cells by inhibition of glycolysis and
mitochondrial respiration, cell death mode switched from apoptosis and necrosis
to necrosis only. In addition, in cells incubated at low glucose and no glutamine
conditions, oxaloacetate and pyruvate reduced AAI-induced apoptosis our data
suggest that AAI-GDH interactions in TEC are critical for the induction of
apoptosis by direct inhibition of GDH activity. AA binding may also induce
changes in GDH conformation and promote interactions with other molecules or
impair signaling by GDH metabolic products, leading to apoptosis.
PMID- 21901530
TI - Carcinoembryonic antigen (CEA) and its receptor hnRNP M are mediators of
metastasis and the inflammatory response in the liver.
AB - This article discusses the role of carcinoembryonic antigen (CEA) as a
facilitator of the inflammatory response and its effect on colorectal cancer
hepatic metastasis. Colorectal cancer accounts for 11% of all cancers in the
United States and the majority of deaths are associated with liver metastasis. If
left untreated, median survival is only six to 12 months. Resection of liver
metastases offers the only chance for cure. Of the small number of patients who
have operable cancer most will have further tumor recurrence. The molecular
mechanisms associated with colorectal cancer metastasis to the liver are largely
unknown. However CEA production has been shown both clinically and experimentally
to be a factor in an increased metastatic potential of colorectal cancers to the
liver. CEA also has a role in protecting tumor cells from the effects of anoikis
and this affords a selective advantage for tumor cell survival in the
circulation. CEA acts in the liver through its interaction with its receptor
(CEAR), a protein that is related to the hnRNP M family of RNA binding proteins.
In the liver CEA binds with hnRNP M on Kupffer cells and causes activation and
production of pro- and anti-inflammatory cytokines including IL-1, IL-10, IL-6
and TNF-alpha. These cytokines affect the up-regulation of adhesion molecules on
the hepatic sinusoidal endothelium and protect the tumor cells against
cytotoxicity by nitric oxide (NO) and other reactive oxygen radicals. HnRNP M
signaling in Kupffer cells appears to be controlled by beta-adrenergic receptor
activation. The cells will respond to the beta-adrenergic receptor agonist
terbutaline resulting in reduced TNF-alpha and increased IL-10 and IL-6
production following CEA activation. This has implications for the control of
tumor cell implantation and survival in the liver.
PMID- 21901532
TI - Review: milk fat globule-EGF factor 8 expression, function and plausible signal
transduction in resolving inflammation.
AB - Although the cloning and molecular characterization of MFG-E8 was first reported
in the early 90s, breakthrough on MFG-E8 research came into light when it was
explored as an outstanding factor for phagocytosis of apoptotic cells by
professional macrophages in 2002. Since then numerous studies have been performed
on MFG-E8 not only to demonstrate the role of phagocytic clearance of apoptotic
cells, but also to focus on a wide range of aspects, even emphasizing on a direct
link to innate-immune systems. In terms of its role as therapeutic potentials,
our group, as well as others, has shown MFG-E8 to be an essential factor in
attenuating inflammation and improving prognosis in several animal models of life
threatening diseases. Considering these versatile functions of MFG-E8, several in
vitro and in vivo studies were embarked on to explore the mechanistic pathways
exerted by MFG-E8 during inflammation. With the relevant cumulative findings,
herein we reviewed the potential roles of MFG-E8 in pathophysiological conditions
by highlighting its plausible signal-transduction mechanisms.
PMID- 21901533
TI - Improving patient flow in an obstetric unit.
AB - Hospitals have become increasingly interested in maximizing patient throughput
and bed utilization in all units to improve efficiency. To study tradeoffs in
blocking and system efficiency, a simulation model using a path-based approach is
developed for an obstetric unit. The model focuses on patient flow, considering
patient classification, blocking effects, time dependent arrival and departure
patterns, and statistically supported distributions for length of stay (LOS). The
model is applied to DeKalb Medical's Women's Center, a large obstetrics hospital
in Atlanta, GA, to analyze the hospital's readiness for potential changes to
patient mix and patient volume. A comparison of results predicted by the
simulation model and actual performance after implementation of "swing" rooms is
presented, suggesting the value of implementing "swing" rooms to balance bed
allocation.
PMID- 21901535
TI - Detection of neovascularization in diabetic retinopathy.
AB - Diabetic retinopathy has become an increasingly important cause of blindness.
Nevertheless, vision loss can be prevented from early detection of diabetic
retinopathy and monitor with regular examination. Common automatic detection of
retinal abnormalities is for microaneurysms, hemorrhages, hard exudates, and
cotton wool spot. However, there is a worse case of retinal abnormality, but not
much research was done to detect it. It is neovascularization where new blood
vessels grow due to extensive lack of oxygen in the retinal capillaries. This
paper shows that various combination of techniques such as image normalization,
compactness classifier, morphology-based operator, Gaussian filtering, and
thresholding techniques were used in developing of neovascularization detection.
A function matrix box was added in order to classify the neovascularization from
natural blood vessel. A region-based neovascularization classification was
attempted as a diagnostic accuracy. The developed method was tested on images
from different database sources with varying quality and image resolution. It
shows that specificity and sensitivity results were 89.4% and 63.9%,
respectively. The proposed approach yield encouraging results for future
development.
PMID- 21901534
TI - Monitoring antivascular therapy in head and neck cancer xenografts using contrast
enhanced MR and US imaging.
AB - BACKGROUND: The overall goal of this study was to non-invasively monitor changes
in blood flow of squamous cell carcinoma of the head and neck (SCCHN) xenografts
using contrast-enhanced magnetic resonance (MR) and ultrasound (US) imaging.
METHODS: Experimental studies were performed on mice bearing FaDu tumors and
SCCHN xenografts derived from human surgical tissue. MR examinations were
performed using gadofosveset trisodium at 4.7T. Change in T1-relaxation rate of
tumors (DeltaR1) and tumor enhancement parameters (amplitude, area under the
curve-AUC) were measured at baseline and 24 h after treatment with a tumor
vascular disrupting agent (tumor-VDA), 5,6-dimethylxanthenone-4-acetic acid
(DMXAA; ASA404) and correlated with tumor necrosis and treatment outcome. CE-US
was performed using microbubbles (Vevo MicroMarker(r)) to assess the change in
relative tumor blood volume following VDA treatment. RESULTS: A marked decrease
(up to 68% of baseline) in T1-enhancement of FaDu tumors was observed 1 day after
VDA therapy indicative of a reduction in blood flow. Early (24 h) vascular
response of individual tumors to VDA therapy detected by MRI correlated with
tumor necrosis and volume estimates at 10 days post treatment. VDA treatment also
resulted in a significant reduction in AUC and amplitude of patient tumor-derived
SCCHN xenografts. Consistent with MRI observations, CE-US revealed a significant
reduction in tumor blood volume of patient tumor-derived SCCHN xenografts after
VDA therapy. Treatment with VDA resulted in a significant tumor growth inhibition
of patient tumor derived SCCHN xenografts. CONCLUSIONS: These findings
demonstrate that both CE-MRI and CE-US allow monitoring of early changes in
vascular function following VDA therapy. The results also demonstrate, for the
first time, potent vascular disruptive and antitumor activity of DMXAA against
patient tumor-derived head and neck carcinoma xenografts.
PMID- 21901536
TI - Evaluation of texture for classification of abdominal aortic aneurysm after
endovascular repair.
AB - The use of the endovascular prostheses in abdominal aortic aneurysm has proven to
be an effective technique to reduce the pressure and rupture risk of aneurysm.
Nevertheless, in a long-term perspective, complications such as leaks inside the
aneurysm sac (endoleaks) could appear causing a pressure elevation and increasing
the danger of rupture consequently. At present, computed tomographic angiography
(CTA) is the most common examination for medical surveillance. However, endoleak
complications cannot always be detected by visual inspection on CTA scans. The
investigation on new techniques to detect endoleaks and analyse their effects on
treatment evolution is of great importance for endovascular aneurysm repair
(EVAR) technique. The purpose of this work was to evaluate the capability of
texture features obtained from the aneurysmatic thrombus CT images to
discriminate different types of evolutions caused by endoleaks. The regions of
interest (ROIs) from patients with different post-EVAR evolution were extracted
by experienced radiologists. Three techniques were applied to each ROI to obtain
texture parameters, namely the grey level co-occurrence matrix (GLCM), the grey
level run length matrix (GLRLM) and the grey level difference method (GLDM). The
results showed that GLCM, GLRLM and GLDM features presented a good discrimination
ability to differentiate between favourable or unfavourable evolutions. GLCM was
the most efficient in terms of classification accuracy (93.41% +/- 0.024)
followed by GLRLM (90.17% +/- 0.077) and finally by GLDM (81.98% +/- 0.045).
According to the results, we can consider texture analysis as complementary
information to classified abdominal aneurysm evolution after EVAR.
PMID- 21901537
TI - From the editor's desk.
PMID- 21901538
TI - Effect of RNAi-induced down regulation of nuclear factor kappa-B p65 on acute
monocytic leukemia THP-1 cells in vitro and vivo.
AB - NF-kappaB p65 is found constitutively active in acute monocytic leukemia, and has
been considered an important factor for poor prognosis. Therefore, develop
specifically target p65 inhibitors will be substantial interest. Until now,
although several p65 inhibitors are currently in preclinical and clinical
development, none of them are targeting. In this study, siRNA targeting p65 was
introduced into the acute monocytic leukemia cell line THP-1 and THP-1 xenograft
tumors in nude mice, and then, we measured p65 mRNA and protein levels by real
time RT-PCR and Western blotting, and levels of related protein cyclin D1, Bc1-2,
and SMRT by Western blotting. We also investigated the cell cycle and apoptosis
via FCM, and cell proliferation by Cell Counting Kit-8 assay. We found that p65
siRNA could effectively reduce the p65 mRNA and protein expression, arrest cells
in G0/G1 phase, inhibit the proliferation and increase the apoptosis of THP-1
cells, and intratumoral injection of p65 siRNA could suppress tumor growth in
nude mice. We also found that when down regulation of p65, the expression of
cyclin D1 and Bc1-2 decreased, and the expression of SMRT increased in vitro and
vivo. All these findings suggest that NF-kappaB p65 maybe an attractive candidate
for the therapeutic targeting of acute monocytic leukemia.
PMID- 21901539
TI - [Acute ischemic stroke. New approaches to antithrombotic treatment].
AB - The only recommended therapy in the acute phase of ischemic stroke is
thrombolysis within 4.5-(6) h after symptom onset. For secondary stroke
prevention platelet inhibitors or, in cases of cardiac embolism, anticoagulants
are used. However, these substances bear significant limitations: either they
show only moderate efficacy (platelet inhibitors), or they are associated with a
considerable bleeding risk (rt-PA, anticoagulants). Although the majority of
strokes are caused by embolic or thrombotic vessel occlusion, strikingly little
is known about the pathophysiological role of platelets and their local function
in the brain vasculature. The recent development of novel transgenic mouse lines
paved the way for the in-depth analysis of the different molecular steps of
thrombus formation involving platelets and the plasma coagulation cascade in
models of acute ischemic stroke. It was demonstrated that prevention of early
platelet adhesion to the damaged vessel wall by blocking the platelet surface
receptors GPIbalpha or GPVI dramatically protects against experimental stroke
without increasing the frequency of intracranial hemorrhage. Moreover, the
critical involvement of the blood coagulation factor XII (FXII)-driven intrinsic
coagulation cascade in thrombus formation during the course of ischemic brain
damage could be unraveled thereby disproving established concepts of hemostasis.
Based on these findings novel pharmacological blockers of GPIbalpha and FXIIa
were designed that likewise proved to be safe and effective in animal stroke
studies. Those compounds now lay the groundwork for a novel and intriguing
concept in ischemic stroke and other thromboembolic diseases: antithrombosis
devoid of any bleeding complications. Further preclinical testing is currently
ongoing.
PMID- 21901540
TI - Addressing the Impact of Atmospheric Nitrogen Deposition on Western European
Grasslands.
AB - There is a growing evidence base demonstrating that atmospheric nitrogen
deposition presents a threat to biodiversity and ecosystem function in acid
grasslands in Western Europe. Here, we report the findings of a workshop held for
European policy makers to assess the perceived importance of reactive nitrogen
deposition for grassland conservation, identify areas for policy development in
Europe and assess the potential for managing and mitigating the impacts of
nitrogen deposition. The importance of nitrogen as a pollutant is already
recognized in European legislation, but there is little emphasis in policy on the
evaluation of changes in biodiversity due to nitrogen. We assess the potential
value of using typical species, as defined in the European Union Habitats
Directive, for determining the impact of nitrogen deposition on acid grasslands.
Although some species could potentially be used as indicators of nitrogen
deposition, many of the typical species do not respond strongly to nitrogen
deposition and are unlikely to be useful for identifying impact on an individual
site. We also discuss potential mitigation measures and novel ways in which
emissions from agriculture could be reduced.
PMID- 21901541
TI - Examining the criterion validity of CBCL and TRF problem scales and items in a
large Singapore sample.
AB - This study examined the criterion validity of the Child Behavior Checklist (CBCL)
and Teacher's Report Form (TRF) problem scales and items in demographically
matched Singapore samples of referred and non-referred children (840 in each
sample for the CBCL and 447 in each sample for the TRF). Internal consistency
estimates for both the CBCL and TRF scales were good. Almost all CBCL and TRF
problem scales and items significantly discriminated between referred and non
referred children, with referred children scoring higher, as expected. The
largest referral status effects were on attention problems scales and their
associated items, with the TRF having larger effects than the CBCL. Effect sizes
for demographic variables such as age, gender, ethnicity and SES were much
smaller than effect sizes for referral status, across both the CBCL and TRF forms
and at both the scale and item levels. These findings suggest that teachers can
be effective partners in identifying children who need mental health services and
those who do not.
PMID- 21901542
TI - Emotion regulation and aggressive behavior in preschoolers: the mediating role of
social information processing.
AB - This study examined whether the relation between maladaptive emotion regulation
and aggression was mediated by deviant social information processing (SIP).
Participants were 193 preschool children. Emotion regulation and aggression were
rated by teachers. Deviant SIP (i.e., attribution of hostile intent, aggressive
response generation, aggressive response evaluation and decision) was measured
from children's responses to hypothetical social conflicts. Findings revealed
that the relation between maladaptive emotion regulation and aggression was
direct and not mediated by SIP biases (i.e., aggressive response generation,
aggressive response evaluation and decision). Results are discussed from a
theoretical and methodological perspective.
PMID- 21901543
TI - Longitudinal predictors of psychiatric disorders in very low birth weight adults.
AB - The purpose of this study was to determine risk and protective factors for adult
psychiatric disorders in very low birth weight (VLBW, birth weight <1,501 g)
survivors. 79 of 154 (51%) VLBW subjects recruited at birth were assessed in
early adulthood (24-27 years). Participants were screened for a psychiatric
disorder; those elevated were invited to attend a structured clinical interview
to determine a clinical diagnosis. Longitudinal variables measured from birth and
at ages 2, 5, 14 and 18 years were included in analyses. Perinatal, developmental
and social environmental risk factors failed to predict psychiatric disorder in
adulthood in this cohort of VLBW survivors. Instead, low self-esteem at age 18
(odds ratio [OR] = 1.05, 95% confidence interval [CI] = 1, 1.11, p = 0.05) and
the adult social environment (high rates of negative life event stress at the
time of assessment: OR = 1.39, CI = 1.10, 1.76, p = 0.02), contributed
significantly to adult psychiatric outcomes.
PMID- 21901544
TI - Proceedings of the diffuse intrinsic pontine glioma (DIPG) Toronto Think Tank:
advancing basic and translational research and cooperation in DIPG.
AB - Diffuse intrinsic pontine glioma (DIPG) nearly exclusively affects children. The
prognosis of DIPGs has remained grim despite more than three decades of clinical
research and numerous clinical trials. More than 90% of the children with DIPG
will succumb within 2 years of diagnosis. The tumor's incidence is still
undefined, but data suggest 100-150 affected children annually in the US. The
single proven effective treatment modality in DIPG remains radiation therapy. For
the majority of patients however this treatment is only of transient
effectiveness. Recent breakthroughs in the understanding of the molecular biology
of DIPG have raised new hope and opened new avenues for therapeutic options. The
advancement of basic and translational research and cooperation was the objective
of the Toronto Think Tank, as new approaches are urgently needed.
PMID- 21901545
TI - 2,5-Hexanedione induces human ovarian granulosa cell apoptosis through BCL-2,
BAX, and CASPASE-3 signaling pathways.
AB - Studies have shown that 2,5-hexanedione (2,5-HD) is the main active metabolite of
n-hexane in the human body. The toxicity of n-hexane and 2,5-hexanedione has been
extensively researched, but toxicity to the reproductive system, especially the
impact on female reproductive function, has been less frequently reported. In
this study, we exposed human ovarian granulosa cells to 0, 16, 64, and 256 MUM
2,5-HD in vitro for 24 h. Through hematoxylin-eosin (HE) staining, Hoechst 33342
staining, transmission electron microscopy, and flow cytometry using FITC-Annexin
V/PI double staining, 2,5-HD was demonstrated to cause significant apoptosis of
human ovarian granulosa cells in a dose-dependent manner. As part of our
continuing studies, we investigated the underlying apoptosis mechanism of human
ovarian granulosa cells exposed to 0, 16, 64, and 256 MUM 2,5-HD in vitro for 24
h. Real-time quantitative PCR and Western blot analysis were used to detect
changes in the expression of the apoptosis-related BCL-2 family (BCL-2, BAX) and
CASPASE family (CASPASE-3) with increasing 2,5-HD concentration. The results
showed that with increasing 2,5-HD doses, the expression of BCL-2 decreased.
However, a marked dose-dependent increase in the expression of BAX and active
CASPASE-3 (p17) was observed in human ovarian granulosa cells. These results
suggest that the mechanisms of 2,5-HD causing increased apoptosis in human
ovarian granulosa cells might be through BCL-2, BAX, and CASPASE-3 signaling
pathways.
PMID- 21901546
TI - Estimation of aneurysm wall stresses created by treatment with a shape memory
polymer foam device.
AB - In this study, compliant latex thin-walled aneurysm models are fabricated to
investigate the effects of expansion of shape memory polymer foam. A simplified
cylindrical model is selected for the in-vitro aneurysm, which is a
simplification of a real, saccular aneurysm. The studies are performed by
crimping shape memory polymer foams, originally 6 and 8 mm in diameter, and
monitoring the resulting deformation when deployed into 4-mm-diameter thin-walled
latex tubes. The deformations of the latex tubes are used as inputs to physical,
analytical, and computational models to estimate the circumferential stresses.
Using the results of the stress analysis in the latex aneurysm model, a
computational model of the human aneurysm is developed by changing the geometry
and material properties. The model is then used to predict the stresses that
would develop in a human aneurysm. The experimental, simulation, and analytical
results suggest that shape memory polymer foams have potential of being a safe
treatment for intracranial saccular aneurysms. In particular, this work suggests
oversized shape memory foams may be used to better fill the entire aneurysm
cavity while generating stresses below the aneurysm wall breaking stresses.
PMID- 21901547
TI - Identification of candidate genes in rice for resistance to sheath blight disease
by whole genome sequencing.
AB - Recent advances in whole genome sequencing (WGS) have allowed identification of
genes for disease susceptibility in humans. The objective of our research was to
exploit whole genome sequences of 13 rice (Oryza sativa L.) inbred lines to
identify non-synonymous SNPs (nsSNPs) and candidate genes for resistance to
sheath blight, a disease of worldwide significance. WGS by the Illumina GA IIx
platform produced an average 5* coverage with ~700 K variants detected per line
when compared to the Nipponbare reference genome. Two filtering strategies were
developed to identify nsSNPs between two groups of known resistant and
susceptible lines. A total of 333 nsSNPs detected in the resistant lines were
absent in the susceptible group. Selected variants associated with resistance
were found in 11 of 12 chromosomes. More than 200 genes with selected nsSNPs were
assigned to 42 categories based on gene family/gene ontology. Several candidate
genes belonged to families reported in previous studies, and three new regions
with novel candidates were also identified. A subset of 24 nsSNPs detected in 23
genes was selected for further study. Individual alleles of the 24 nsSNPs were
evaluated by PCR whose presence or absence corresponded to known resistant or
susceptible phenotypes of nine additional lines. Sanger sequencing confirmed
presence of 12 selected nsSNPs in two lines. "Resistant" nsSNP alleles were
detected in two accessions of O. nivara that suggests sources for resistance
occur in additional Oryza sp. Results from this study provide a foundation for
future basic research and marker-assisted breeding of rice for sheath blight
resistance.
PMID- 21901548
TI - Fine mapping and comparative genomics integration of two quantitative trait loci
controlling resistance to powdery mildew in a Spanish barley landrace.
AB - The intervals containing two major quantitative trait loci (QTL) from a Spanish
barley landrace conferring broad spectrum resistance to Blumeria graminis were
subjected to marker saturation. First, all the available information on recently
developed marker resources for barley was exploited. Then, a comparative genomic
analysis of the QTL regions with other sequenced grass model species was
performed. As a result of the first step, 32 new markers were added to the
previous map and new flanking markers closer to both QTL were identified. Next,
syntenic integration revealed that the barley target regions showed homology with
regions on chromosome 6 of rice (Oryza sativa), chromosome 10 of Sorghum bicolor
and chromosome 1 of Brachypodium distachyon. A nested insertion of ancestral
syntenic blocks on Brachypodium chromosome 1 was confirmed. Based on sequence
information of the most likely candidate orthologous genes, 23 new barley unigene
derived markers were developed and mapped within the barley target regions. The
assessment of colinearity revealed an inversion on chromosome 7HL of barley
compared to the other three grass species, and nearly perfect colinearity on
chromosome 7HS. This two-step marker enrichment allowed for the refinement of the
two QTL into much smaller intervals. Inspection of all predicted proteins for the
barley unigenes identified within the QTL intervals did not reveal the presence
of resistance gene candidates. This study demonstrates the usefulness of
sequenced genomes for fine mapping and paves the way for the use of these two
loci in barley breeding programs.
PMID- 21901549
TI - Neural stem cells over-expressing brain-derived neurotrophic factor (BDNF)
stimulate synaptic protein expression and promote functional recovery following
transplantation in rat model of traumatic brain injury.
AB - Brain-derived neurotrophic factor (BDNF) plays an essential regulatory role in
the survival and differentiation of various neural cell types during brain
development and after injury. In this study, we used neural stem cells (NSCs)
genetically modified to encode BDNF gene (BDNF/NSCs) and naive NSCs
transplantation and found that BDNF/NSCs significantly improved neurological
motor function following traumatic brain injury (TBI) on selected behavioral
tests. Our data clearly demonstrate that the transplantation of BDNF/NSCs causes
overexpression of BDNF in the brains of TBI rats. The number of surviving
engrafted cells and the proportion of engrafted cells with a neuronal phenotype
were significantly greater in BDNF/NSCs than in naive NSCs-transplanted rats. The
expression of pre- and post-synaptic proteins and a regeneration-associated gene
in the BDNF/NSCs-transplanted rats was significantly increased compared to that
in NSCs-transplanted rats, especially at the early stage of post-transplantation.
These data suggest that neurite growth and overexpression of synaptic proteins in
BDNF/NSCs-transplanted rats are associated with the overexpression of BDNF, which
is hypothesized to be one of the mechanisms underlying the improved functional
recovery in motor behavior at the early stage of cell transplantation following
TBI. Therefore, the protective effect of the BDNF-modified NSCs transplantation
is greater than that of the naive NSCs transplantation.
PMID- 21901550
TI - Suicidal ligature strangulation utilizing cable ties: a report of three cases.
AB - In 2006 the US National Vital Statistics Report recorded 33,300 suicides in the
United States, of which hanging, strangulation and suffocation combined to
account for 7,491 (22.5%) of the cases. Self strangulation by ligature is
uncommon and in the majority of cases, scarves, belts, neckties and rope are
used. We report three instances where cable ties were secured around the neck in
order to commit suicide. All had a history of depression. One was a 37-year-old
man who used a belt to complete the act after an unsuccessful attempt to use
cable ties. The second was a 63-year old woman who used multiple cable ties to
accomplish her goal. In the third case a tensioning tool was used by a 54-year
old man to tighten a cable tie around his neck during self strangulation.
Utilization of a tool to tighten the cable ties has not previously been reported.
PMID- 21901551
TI - Enhanced iron availability by protein glycation may explain higher infection
rates in diabetics.
AB - Serum proteins exist in a state of higher glycation among individuals with poor
glycemic control, notably diabetics. These non-enzymatic modifications via the
Maillard reaction have far reaching effects on metabolism and regulation, and may
be responsible for increased infection rates within this population. Here we
explore the effects of glycation on iron metabolism and innate immunity by
investigating the interaction between siderophores and bovine serum albumin
(BSA). Using a quartz crystal microbalance with dissipation monitoring to
quantify association rates, glycated BSA exhibited a significantly reduced
affinity for apo and holo enterobactin compared to a non-glycated BSA standard.
Bacterial growth assays in the presence of BSA and under iron-limited conditions
indicated the growth rate of enterobactin-producing E. coli increased
significantly when the BSA was in a glycated form. The results, in addition to
data in the literature, support the hypothesis that glycation of serum proteins
may effectively increase the available free iron pool for bacteria in blood serum
and weaken our innate immunity. This phenomenon may be partially responsible for
higher infection rates in some diabetics, especially those with poor glycemic
control.
PMID- 21901553
TI - [Certification in the healthcare system. What happens when the certificate is not
awarded?].
AB - A quality certification is nowadays an important competitive factor for clinics
and other institutions in Germany. The best known procedures are certification
according to the norm DIN EN ISO, the German certification procedure in the
healthcare system KTQ (Cooperation for Quality and Transparency in Healthcare)
and the procedures of the German Cancer Society or medical professional
societies. The majority of German clinics, centers, practices and nursing
institutions are certified according to one of these procedures. In some areas
certification is mandatory and a prerequisite for patient care, e.g. for
rehabilitation institutions. But even in the field of acute medicine
certification is an important proof that quality requirements have been fulfilled
and has an effect on the economic situation. The aim of a visitation or audit is
always to check whether the requirements of the appropriate certification
procedure have been fulfilled. The actual procedure and the course can however,
be organized differently. The failure to obtain certification is a very
unpleasant situation for all concerned and can have negative effects on the image
of the institution. Such a failure can most probably be avoided by good
preparation and if necessary external consultation or internal qualification by
colleagues. In most cases the certificate can be successfully obtained at least
by the second attempt. Appropriate regulations are made by all procedures.
Basically a thorough cost-benefit analysis should be carried out before deciding
to apply for certification.
PMID- 21901552
TI - Treatment of elderly acute myeloid leukemia patients.
AB - OPINION STATEMENT: Older patients with acute myelogenous leukemia (AML) fare much
less well than younger patients with the same disease due to a combination of
comorbidities and intrinsic disease resistance. Likely due to aging of the US
population, the median age of AML patients at diagnosis has increased from 68 to
72 years. AML is a heterogeneous disease, particularly in older patients, making
therapeutic decisions challenging. Older patients who are 'fit' for intensive
chemotherapy and would have a reasonable chance to benefit based on host and
disease characteristics should receive standard induction chemotherapy with 7
days of continuous infusion of cytarabine and at least 60 mg/m(2) daunorubicin
daily for 3 days. Therapeutic options for patients who are not candidates for or
are not likely to respond to intensive therapy include clofarabine, low intensity
chemotherapy such as low dose cytarabine, hypomethylating agents, or
investigational agents. For older AML patients in complete remission, post
remission or consolidation chemotherapy with repeat induction or modified high
dose cytarabine may offer a small chance for long term disease-free survival.
Selected older patients who achieve remission by any means should be considered
for reduced-intensity stem cell transplantation which may offer improved chances
of cure and survival compared with standard post-remission chemotherapy.
PMID- 21901554
TI - [Quality management systems are compulsory: clinics have the choice. Comparison
of the systems DIN EN ISO 9000 ff, KTQ and EFQM].
AB - According to SS135-137 SGB V (German Civil Code), German hospitals are required
to introduce and develop an institutional quality management (QM) system. They
are, however, currently not obliged to undergo a certification. The prime
responsibility to introduce a QM system lies with the top management. The aim is
to continuously monitor and improve the quality of the processes and practices in
the hospital. QM systems are one of the major constituents which influence the
prosperity of an enterprise. Hospitals are able to improve the quality of their
processes without significantly increasing the costs. The Excellence Barometer(r)
Health Care (ExBa) of the Fraunhofer Institute has shown that deficits are
usually not identified in the professional competence of the medical personnel
but rather in respect to leadership abilities, communication and motivation. The
introduction of QM in a hospital requires an in-depth familiarity with the
various QM systems to select an appropriate model for the own institution. The
systems most commonly in use in German hospitals are DIN EN ISO ff, EFQM and
KTQ(r). The article illustrates and compares the layout, requirements and
assessment criteria of the various systems.
PMID- 21901555
TI - Insertional variability of four transposable elements and population structure of
the midge Chironomus riparius (Diptera).
AB - The dipteran Chironomus riparius is found across the entire Palearctic region;
its larvae are among the most abundant macroinvertebrates inhabiting inland
waterbodies. Chironomid larvae have been extensively used in ecotoxicological and
cytogenetic research, but relatively little is known on the population structure
of this species. Transposable elements (TEs) are DNA sequences that are capable
of autonomous replication; the number and genomic location of TE insertions
varies across individuals; this variability is increasingly being used in
population studies. Several TEs had been characterized in Chironomids; this
enabled the analysis of insertional variability of four different TEs in six
natural populations of C. riparius from Italy, Bulgaria and Russia using a PCR
based method, transposon insertion display (TID). The method allows to obtain
dominant markers, similar to AFLP. In all populations, TE insertions showed high
individual polymorphism, while median copy numbers of the same TEs did not vary
between populations. Analysis of molecular variance (AMOVA) detected significant
differentiation between populations for three of the TEs; although no correlation
between genetic and geographic distances was found, the corresponding population
structures were found to be significantly correlated and indicate a degree of
isolation by distance. TEs belonging to different classes have different
mechanisms of replication, resulting in different transposition rates of
mobilization; the finding of mostly concordant population structuring for three
of the TEs indicates that population dynamics contributed significantly in
shaping the detected insertional polymorphism.
PMID- 21901557
TI - Is shock wave lithotripsy efficient for the elderly stone formers? Results of a
matched-pair analysis.
AB - The aim of the study was to evaluate the impact of age on the efficacy of
extracorporeal shock wave lithotripsy (SWL), in a comparative study based on the
principles of matched-pair analysis. Over a period of 4 years, 2,311 patients
were treated with SWL in a tertiary referral center. Patient and stone data were
recorded in a prospective electronic database. Among these patients, 115 (4.97%)
were older than 70 years of age and fulfilled the criteria for inclusion in the
study (Group A). For the purposes of the comparative analysis, Group A patients
were matched for gender and stone parameters (side, location of stone, and
diameter +/-2 mm) with a control group of patients under the age of 70 (Group B).
Following matching, the patients' electronic medical records were reviewed, to
identify SWL success rates at 3 months and McNemar's test was used to compare the
efficacy of SWL between the two groups. Matching was possible in all cases. The
results indicate that there were no statistically significant differences in the
mean number of SWL sessions or in the mean number of impulses per session between
the two groups. The overall stone clearance rate achieved by SWL alone was 71.3%
for Group A and 73.9% for group B. Discordant pairs were found in 37 cases (in 17
pairs only patients in Group A became stone-free, while in 20 pairs only patients
in Group B became stone-free). By using McNemar's test, the difference in stone
clearance rates between the two groups was not found to be statistically
significant (p = 0.742). A total of 22 patients (19.1%) in Group A and 17
patients (14.7%) in Group B underwent an adjuvant procedure to achieve stone
clearance. McNemar's test also revealed the absence of any statistically
significant difference in SWL success rates between older and younger patients in
the subgroups of patients presenting with either ureteric or renal stones (p =
0.727 and p = 0.571, respectively). In conclusion, SWL is still considered one of
the first-line tools for geriatric patients suffering from urolithiasis, as
increased age alone does not seem to adversely affect the efficacy of SWL.
PMID- 21901556
TI - Probiotic therapy: immunomodulating approach toward urinary tract infection.
AB - Urinary tract infection (UTI) is an extremely common health problem, with an
unpredictable history. Members of enterobacteriaceae family such as Escherichia
coli, which are normal inhabitants of human intestines, account for the majority
of these uncomplicated infections. Rarely, UTI can result from virus or fungus.
There is a close correlation between loss of the normal genital microbiota,
particularly Lactobacillus species, and an increased incidence of genital and
bladder infections. Although antimicrobial agents are generally effective in
eradicating these infections, there is a high incidence of recurrence. Use of
Lactobacillus species to combat UTI is now giving modern concept of modern
genitourinary vaccine with the facts that it not only maintains low pH of the
genital area, produces hydrogen peroxide and hinders the growth of E. coli but
also activates Toll-like receptor-2 (TLR2), which produces interleukin-10 (IL-10)
and myeloid differentiation factor 88 (MyD88). E. coli activates TLR4, which is
responsible for the activation of IL-12, extracellular signal-regulated kinase
(ERK) and c-Jun N-terminal kinase (JNK). This process downregulates inflammatory
reactions caused due to pathogens. Current review covers the probiotics-based TLR
therapy and shed some knowledge for the use of Lactobacillus species as
probiotics.
PMID- 21901558
TI - How to document and report orthopedic complications in clinical studies? A
proposal for standardization.
AB - INTRODUCTION: The documentation of complications is critical for the evaluation
of therapeutic interventions in orthopedics. However, there is a lack of accepted
methodological standardization and definitions. We propose a concept to support
the consensus development of a standardized management and classification of
complications in clinical research. METHODS: Complication events are examined
regarding their clinical presentation, their timing of occurrence as well as
their potential causal interrelationship for any given patient. Their clinical
presentation is distinguished by their likely triggers, their therapeutic
management, and their outcome. Complications are events (including relevant
deviations from their expected healing process) that are harmful to patients and
can be described as local to the treated injury/disease or systemic (when they
affect the rest of the body). The treatment of a complication, e.g. by way of an
unplanned surgical intervention, and its outcome should be carefully documented.
Complication review boards with independent clinicians should be established to
validate complication records. APPLICATION AND RELEVANCE: In this proposal, a
number of complication examples are presented to illustrate the concept and
demonstrate its practical use. This management and classification system has
already proven valuable in the documentation and analysis of complication data
from a number of published clinical studies. Because of this new standardized
assessment process, it facilitates the communication of complications between
clinicians and researchers, and helps to develop clear definitions for specific
orthopedic complications.
PMID- 21901559
TI - Peripheral blood guanylyl cyclase c (GCC) expressions are associated with
prognostic parameters and response to therapy in colorectal cancer patients.
AB - Guanylyl cyclase C (GCC) is expressed exclusively in normal intestinal mucosal
cells, primary and metastatic colorectal cancers (CRC). The aim of this study was
to determine the possible association between the GCC expressions in peripheral
blood, prognostic parameters and response to chemotherapy in CRC patients. Fourty
nine metastatic CRC patients and 41 healthy controls with similar age and sex
were included to this study. Peripheral blood GCC expressions are measured by the
reverse transcriptase-polymerase chain reaction (RT-PCR) method. Interstingly, no
GCC expression was measured in healthy controls but GCC expressions of the
patients were detectable. Although there was a significant reduction in GCC
expressions in 30 patients with regression (from 5.46 +/- 4.12 to 0.06 +/- 0.03,
p < 0.0001), marked increase in GCC expressions was observed in 19 patients with
progression following chemotherapy (from 0.43 +/- 0.19 to 1.38 +/- 0.52, p =
0.0174). Significant correlation was found between the GCC expressions and
carbohydrate antigen 19-9 (CA19-9) levels (p = 0.0041) in 30 patients with
regression before chemotherapy. Marked correlation was also detected between the
GCC expressions and carcinoembryonic antigen (CEA) levels (p = 0.0072) in 19
patients with progression before chemotherapy. The results of the present study
suggest that peripheral blood GCC expressions along with CEA and CA19-9 can be
used to determine the early respose to chemotherapy in patients with metastatic
CRC. These findings imply that higher expression of GCC in peripheral blood seems
to be an indicator of good therapeutic response to chemotherapy and remission.
Monitoring the peripheral blood GCC expressions may allow employing different
treatment options to metastatic CRC patients.
PMID- 21901560
TI - Intraocular pressure reduction in normal-tension glaucoma patients in South
Korea.
AB - To evaluate the potential benefit of intraocular pressure (IOP) reduction in
normal-tension glaucoma (NTG) patients in South Korea. A retrospective, multi
center analysis of Korean NTG patients with 5-years follow-up, typical
glaucomatous optic disc and/or visual field changes and no recorded IOP >21 mmHg.
Progression was identified by Advanced Glaucoma Intervention Study visual field
scoring. There were 90 (42%) progressed patients and 127 (58%) stable patients
included in the study. Mean IOP measured higher in the progressed (14.3 +/- 2.2
mmHg) than stable patients (14.0 +/- 1.9 mmHg), but was not statistically
different between the groups (P = 0.29). The mean IOP that best discriminated
stable patients was <=15 mmHg, but no statistical difference existed in the
numbers of progressed versus stable patients at <=15 mmHg compared to >15 mmHg (P
= 0.07). Multivariate regression analysis showed that the baseline number of
glaucoma medicines and visual field as well as mean, peak and fluctuation of IOP
were significant risk factors for glaucomatous progression (P < 0.01). This study
suggests that in Korean NTG patients, despite relatively similar IOPs between
progressed and stable patients, and based on multivariate regression analysis,
IOP may be a risk factor for glaucomatous progression.
PMID- 21901562
TI - [Endemic air-borne contact dermatitis? Frequent occurrence of a rare contact
allergy in a waste collection facility].
AB - Numerous cases of work-related air-borne contact dermatitis of the face were
encountered in a waste collection facility. Potential allergens contained in the
dust at the facility could be identified. In 5 of 7 symptomatic workers a type-IV
sensitization to p-aminodiphenylamine could be documented. Since there is no
commercial patch test preparation for p-aminodiphenylamine available, it had to
be prepared for each patient individually. After identifying the allergen, a
change in the work routine led to a reduction of dust emissions and afterwards no
cases of air-borne contact dermatitis of the face recurred.
PMID- 21901561
TI - [Cutaneous malignancies in occupationally-induced scars].
AB - BACKGROUND: The occurrence of neoplasms in injury scars--as consequence of
occupational accidents--may lead to compensation according to the statutory
accident insurance regulations. According to newer regulatory attempts in
occupational dermatology, certain criteria have to be met before the diagnosis of
a neoplasm induced by a scar is accepted and compensation is due. MATERIAL AND
METHODS: Based on a retrospective analysis of 217 dermatological claim files
between 2007 and 2009 of the IPA (including 22 follow-ups), medical opinions on
neoplasms developing in possible occupational scars were re-evaluated using
criteria of the German social accident insurance and the Bamberg medical
bulletin, part II (Bamberger Merkblatt, BM II) to see how well they qualified for
recognition as an occupational or accident-related disorder. RESULTS: Three cases
were identified where a neoplasm was suspected of having developed in an
occupationally-related scar. One of the insured events entitled for compensation.
Following the guidelines of the BM II, this case was approved as an occupational
disease secondary to injuries with resultant reduction in earning capacity,
whereas the others did not meet the requirements. CONCLUSIONS: Two problems in
evaluating malignant tumors in occupational scars are the long latency period and
the documentation of a scar. The tumor excision specimen should be histologically
re-examined to document the presence of an associated scar.
PMID- 21901563
TI - [Current contact allergens].
AB - Ever-changing exposure to contact allergens, partly due to statutory directives
(e.g. nickel, chromate, methyldibromo glutaronitrile) or recommendations from
industrial associations (e.g. hydroxyisohexyl 3-cyclohexene carboxaldehyde),
requires on-going epidemiologic surveillance of contact allergy. In this paper,
the current state with special focus in fragrances and preservatives is described
on the basis of data of the Information Network of Departments of Dermatology
(IVDK) of the year 2010. In 2010, 12,574 patients were patch tested in the
dermatology departments belonging to the IVDK. Nickel is still the most frequent
contact allergen. However the continuously improved EU nickel directive already
has some beneficial effect; sensitization frequency in young women is dropping.
In Germany, chromate-reduced cement has been in use now for several years,
leading to a decline in chromate sensitization in brick-layers. Two fragrance
mixes are part of the German baseline series; they are still relevant. The most
important fragrances in these mixes still are oak moss absolute and
hydroxyisohexyl 3-cyclohexene carboxaldehyde. However, in relation to these
leading allergens, sensitization frequency to other fragrances contained in the
mixes seems to be increasing. Among the preservatives, MCI/MI has not lost its
importance as contact allergen, in contrast to MDBGN. Sources of MCI/MI
sensitization obviously are increasingly found in occupational context.
Methylisothiazolinone is a significant allergen in occupational settings, and
less frequently in body care products.
PMID- 21901564
TI - Impact of intermediate mammography assessment on the likelihood of false-positive
results in breast cancer screening programmes.
AB - OBJECTIVES: Breast cancer screening is offered to 100% of the target population
in Spain and intermediate mammograms (IMs) are sometimes indicated. This study
was aimed at analysing the frequency of IMs, the factors determining their
recommendation, and their impact on the risk of false-positive results and the
detection rate. METHODS: Data from 3,471,307 mammograms from Spanish breast
cancer screening programmes were included. RESULTS: 3.36% of the mammograms were
IMs. The factors associated with the use of IMs were age, initial screening,
previous invasive tests, a familial history of breast cancer and use of hormone
replacement therapy. In screening episodes with an IM, the probability of a false
positive result was 13.74% (95% CI: 13.43-14.05), almost double that in episodes
without IMs (6.02%, 95% CI 5.99-6.05). In young women with previous invasive
procedures, a familial history of breast cancer or hormone replacement therapy
use who were undergoing their initial screen, this probability was lower when IMs
were performed. IMs always increased the detection rate. CONCLUSIONS: The factors
prompting IMs should be characterised so that radiologists can systematise their
recommendations according to the presence of the factors maximising the benefits
and minimising the adverse effects of this procedure. KEY POINTS: Intermediate
mammograms in breast screening offer potential benefits but also disadvantages.
Intermediate mammograms increase the false-positive rate except in specific
groups. Intermediate mammograms reduce the false-positive rate in younger women
and initial screens. Intermediate mammograms also reduce false-positive results
in women with personal risk factors. Intermediate mammograms increase cancer
detection mainly in women without risk factors.
PMID- 21901565
TI - Volumetric feedback ablation of uterine fibroids using magnetic resonance-guided
high intensity focused ultrasound therapy.
AB - OBJECTIVE: The purpose of this prospective multicenter study was to assess the
safety and technical feasibility of volumetric Magnetic Resonance-guided High
Intensity Focused Ultrasound (MR-HIFU) ablation for treatment of patients with
symptomatic uterine fibroids. METHODS: Thirty-three patients with 36 fibroids
were treated with volumetric MR-HIFU ablation. Treatment capability and technical
feasibility were assessed by comparison of the Non-Perfused Volumes (NPVs) with
MR thermal dose predicted treatment volumes. Safety was determined by evaluation
of complications or adverse events and unintended lesions. Secondary endpoints
were pain and discomfort scores, recovery time and length of hospital stay.
RESULTS: The mean NPV calculated as a percentage of the total fibroid volume was
21.7%. Correlation between the predicted treatment volumes and NPVs was found to
be very strong, with a correlation coefficient r of 0.87. All patients tolerated
the treatment well and were treated on an outpatient basis. No serious adverse
events were reported and recovery time to normal activities was 2.3 +/- 1.8 days.
CONCLUSION: This prospective multicenter study proved that volumetric MR-HIFU is
safe and technically feasible for the treatment of symptomatic uterine fibroids.
KEY POINTS: * Magnetic-resonance-guided high intensity focused ultrasound allows
non-invasive treatment of uterine fibroids. * Volumetric feedback ablation is a
novel technology that allows larger treatment volumes * MR-guided ultrasound
ablation of uterine fibroids appears safe using volumetric feedback.
PMID- 21901567
TI - [Anesthesiology and palliative medicine. Structured results of a prospective
questionnaire-based survey in German hospitals].
AB - BACKGROUND: Anesthesiology departments were often integrated into the primary
formation of palliative activities in Germany. The aim of this study was to
present the current integration of anesthesiology departments into palliative
care activities in Germany. METHODS: The objective was to determine current
activities of anesthesiology departments in in-hospital palliative care. A
quantitative study was carried out based on a self-administered structured
questionnaire used during telephone interviews. RESULTS: A total of 168 out of
244 hospitals consented to participate in the study and the response rate was
69%. In-hospital palliative care activities were reported for most of the
surveyed hospitals. Only two hospitals in the maximum level of care reported no
activities. Participation in these activities by anesthesiology departments was
described in up to 92%. Historically, most activities are due to the commitment
of individuals, whereas the development of palliative care of cancer pain
services and hospital support teams took place in the university hospitals by
2005. CONCLUSIONS: Until 2005 many university palliative care activities had
their origins in cancer pain services. These were often integrated into
anesthesiology departments. Currently, anesthesiology departments work as an
integrative part of palliative medicine. However, it appears from the present
results that there is a domination of internal medicine (especially hematology
and oncology) in palliative activities in German hospitals. This allows the focus
of palliative activities to be formed by subjective specialist interests. Such a
state seems to be reduced by the integration of anesthesiology departments
because of their neutrality with respect to faculty-specific medical interests.
Advantages or disadvantages of these circumstances are not considered by the
present investigation.
PMID- 21901566
TI - [Intrinsic brain activity with pain].
AB - Besides the responses to nociceptive stimuli other neural function modes of the
brain are necessary to obtain a comprehensive understanding of pain processing in
humans. During a resting state without extrinsic stimulation the human brain
generates spontaneous low frequency fluctuations of neural activity. This
intrinsic activity does not reflect random background noise but is highly
organized in several networks. Based on the findings of recent functional imaging
studies, the role of these resting state networks in acute and chronic pain is
discussed.
PMID- 21901568
TI - Abstracts of the Neurocritical Care Society 9th Annual Meeting. September 21-24,
2011. Montreal, Canada.
PMID- 21901569
TI - The measurement of the beta/alpha anomer composition within amorphous lactose
prepared by spray and freeze drying using a simple (1)H-NMR method.
AB - PURPOSE: Reports of the anomeric composition of amorphous lactose are rare and
state a highly variable range of composition (between 0% and 60% w/w beta
content). We aimed to develop a quantitative measurement by (1)H-NMR of alpha and
beta anomer content in amorphous lactose produced by different production
methods. METHODS: Amorphous lactose was prepared by spray and freeze drying 10%
w/v aqueous solutions of lactose. NMR analysis was performed in DMSO; peak areas
of partially resolved doublets at 6.3 and 6.6 ppm were used to calculate % of
alpha and beta lactose present. Polarimetery was used to determine optical
rotation of lactose solutions. RESULTS: Observed specific rotation for supplied
crystalline alpha lactose monohydrate of 88 degrees recorded in DMSO was
constant for the length of a typical NMR experiment (max. 10 min). beta/alpha
anomer contents of amorphous lactose measured by (1)H-NMR had standard deviations
as low as 0.1% w/w (n = 6). Drying a lactose solution 4 h after its preparation
led to almost 35% w/w difference in anomer composition within solid amorphous
material compared to samples dried after only 30 min, e.g. for freeze dried
samples, beta content was 60 +/- 0.1% w/w (4 h) and 25 +/- 1.0% w/w (30 min).
Mutarotation leads to this increase in beta anomer concentration in aqueous
solution and within the solid amorphous lactose stored at 25 degrees C. e.g.
after 56 d storage the beta content of freeze dried lactose (30 min solution)
increased from 25+/-1.0% to 50+/-0.5% w/w. CONCLUSION: A simple solution-based
(1)H-NMR method for measurement of anomeric composition of lactose has been
established. The solution beta/alpha ratio at the time of drying is mirrored in
the composition of the resulting solid amorphous material. In order to produce a
consistent anomer composition within spray and freeze dried amorphous lactose,
the standing time for the feed solution should be greater than 4 h, such that the
most dynamic region of the mutarotation profile has been exceeded. If the
amorphous material has been formed from a solution that has not been allowed to
equilibrate for 4 h, the resulting solid will continue to undergo mutarotation if
trace amounts of moisture are present, until the anomeric beta/alpha ratio slowly
approaches 1.7.
PMID- 21901571
TI - Negative attentional set in the attentional blink: control is not lost.
AB - The attentional blink (AB) refers to the finding that performance on the second
of two targets (T1 and T2) in a rapidly presented stimulus stream is impaired
when the targets are presented within 200-500 ms. This study investigates whether
a negative attentional set, a form of top-down control, has an additional
detrimental effect, and whether its influence is modulated by task demands. A
negative attentional set was elicited through presentation of a pre-T1 distractor
(D1), which belonged to the same category as T2. The presence of D1 impaired T2
performance, and this negative effect was generally larger inside than outside
the AB. Moreover, this D1 effect remained constant or was augmented when the
demand on T1 processing was enhanced. These findings demonstrate that a negative
attentional set is maintained even though the central system is engaged in the in
depth processing of T1 during the AB.
PMID- 21901570
TI - [Primary and secondary prevention of benign prostatic hyperplasia: current
knowledge and implications for clinical management].
AB - Histological benign prostatic hyperplasia (BPH) and the BPH disease are frequent,
lead to a reduction of quality of life, are both progressive and potentially
associated with complications in the lower and upper urinary tract. A
PubMed/MEDLINE search was conducted for the years 1990 to 2011. This article
summarizes known selective measures of primary and secondary disease
prevention.Measures of primary disease prevention aim to inhibit histological BPH
and the development of clinically relevant BPH. Weight loss, regular physical
activity, vegetable consumption, alcohol intake, 5alpha-reductase inhibitors,
avoidance of overweight and reduction of fatty food can reduce the probability of
histological and clinical BPH. Selective measures of secondary prevention aim to
inhibit disease progression and BPH-associated complications. The regular and
long-term use of alpha1-blockers reduces lower urinary tract symptoms (LUTS) and
inhibits symptomatic disease progression but cannot prevent BPH-associated
complications (e.g. urinary retention or need for prostate surgery). 5alpha
Reductase inhibitors can reduce the probability of symptomatic disease
progression, urinary retention or need for surgery but the combination of alpha1
blocker and 5alpha-reductase inhibitor is more efficacious than either
monotherapy. Especially older men with enlarged prostates (>40 cm(3)) and
elevated serum PSA concentration (>1.6 ug/l) profit from measures of secondary
disease prevention.For primary disease prevention, data quality is low and early
treatment with 5alpha-reductase inhibitors is not been approved. For secondary
disease prevention, men with risk factors of disease progression should use a
treatment containing 5alpha-reductase inhibitors. Despite several epidemiological
and clinical investigations on BPH disease progression no official programme
exists in Germany for disease prevention.
PMID- 21901572
TI - Masked transposition effects for simple versus complex nonalphanumeric objects.
AB - When two letters/digits/symbols are switched in a string (e.g., jugde-judge; 1492
1942; *?$&-*$?&), the resulting strings are perceptually similar to each other
and produce a sizable masked transposition priming effect with the masked priming
same-different matching task. However, a parallel effect does not occur for
strings of pseudoletters (e.g., [letters in the text]); Garcia-Orza, Perea, &
Munoz, Quarterly Journal of Experimental Psychology, 63, 1603-1618, 2010). In the
present study, we examined whether masked transposition priming is specific to
alphanumeric stimuli or whether it also occurs with strings composed of other
"objects"-namely, line drawings of common objects (Experiment 1) and geometrical
shapes (Experiment 2). Results showed a significant masked transposition priming
effect for geometrical shapes (e.g., [geometrical shapes in the text]), but not
for line drawings of common objects (e.g., [symbols in the text]). These findings
suggest that the mechanism involved in the coding of position in masked priming
works only with perceptually simple, familiar "objects" (i.e., letters, numbers,
symbols, or geometrical shapes), once their identities have been well
ascertained.
PMID- 21901575
TI - Changes in search rate but not in the dynamics of exogenous attention in action
videogame players.
AB - Many previous studies have shown that the speed of processing in attentionally
demanding tasks seems enhanced following habitual action videogame play. However,
using one of the diagnostic tasks for efficiency of attentional processing, a
visual search task, Castel and collaborators (Castel, Pratt, & Drummond, Acta
Psychologica 119:217-230, 2005) reported no difference in visual search rates,
instead proposing that action gaming may change response execution time rather
than the efficiency of visual selective attention per se. Here we used two hard
visual search tasks, one measuring reaction time and the other accuracy, to test
whether visual search rate may be changed by action videogame play. We found
greater search rates in the gamer group than in the nongamer controls, consistent
with increased efficiency in visual selective attention. We then asked how
general the change in attentional throughput noted so far in gamers might be by
testing whether exogenous attentional cues would lead to a disproportional
enhancement in throughput in gamers as compared to nongamers. Interestingly,
exogenous cues were found to enhance throughput equivalently between gamers and
nongamers, suggesting that not all mechanisms known to enhance throughput are
similarly enhanced in action videogamers.
PMID- 21901574
TI - Signal detection evidence for limited capacity in visual search.
AB - The nature of capacity limits (if any) in visual search has been a topic of
controversy for decades. In 30 years of work, researchers have attempted to
distinguish between two broad classes of visual search models. Attention-limited
models have proposed two stages of perceptual processing: an unlimited-capacity
preattentive stage, and a limited-capacity selective attention stage. Conversely,
noise-limited models have proposed a single, unlimited-capacity perceptual
processing stage, with decision processes influenced only by stochastic noise.
Here, we use signal detection methods to test a strong prediction of attention
limited models. In standard attention-limited models, performance of some
searches (feature searches) should only be limited by a preattentive stage. Other
search tasks (e.g., spatial configuration search for a "2" among "5"s) should be
additionally limited by an attentional bottleneck. We equated average accuracies
for a feature and a spatial configuration search over set sizes of 1-8 for
briefly presented stimuli. The strong prediction of attention-limited models is
that, given overall equivalence in performance, accuracy should be better on the
spatial configuration search than on the feature search for set size 1, and worse
for set size 8. We confirm this crossover interaction and show that it is
problematic for at least one class of one-stage decision models.
PMID- 21901573
TI - Incremental grouping of image elements in vision.
AB - One important task for the visual system is to group image elements that belong
to an object and to segregate them from other objects and the background. We here
present an incremental grouping theory (IGT) that addresses the role of object
based attention in perceptual grouping at a psychological level and, at the same
time, outlines the mechanisms for grouping at the neurophysiological level. The
IGT proposes that there are two processes for perceptual grouping. The first
process is base grouping and relies on neurons that are tuned to feature
conjunctions. Base grouping is fast and occurs in parallel across the visual
scene, but not all possible feature conjunctions can be coded as base groupings.
If there are no neurons tuned to the relevant feature conjunctions, a second
process called incremental grouping comes into play. Incremental grouping is a
time-consuming and capacity-limited process that requires the gradual spread of
enhanced neuronal activity across the representation of an object in the visual
cortex. The spread of enhanced neuronal activity corresponds to the labeling of
image elements with object-based attention.
PMID- 21901576
TI - Zero coronary calcium in the presence of severe isolated left main stenosis
detected by CT coronary angiography in a patient with typical angina and
equivocal myocardial perfusion SPECT.
PMID- 21901577
TI - A modulatory effect of male voice pitch on long-term memory in women: evidence of
adaptation for mate choice?
AB - From a functionalist perspective, human memory should be attuned to information
of adaptive value for one's survival and reproductive fitness. While evidence of
sensitivity to survival-related information is growing, specific links between
memory and information that could impact upon reproductive fitness have remained
elusive. Here, in two experiments, we showed that memory in women is sensitive to
male voice pitch, a sexually dimorphic cue important for mate choice because it
not only serves as an indicator of genetic quality, but may also signal
behavioural traits undesirable in a long-term partner. In Experiment 1, we report
that women's visual object memory is significantly enhanced when an object's name
is spoken during encoding in a masculinised (i.e., lower-pitch) versus feminised
(i.e., higher-pitch) male voice, but that no analogous effect occurs when women
listen to other women's voices. Experiment 2 replicated this pattern of results,
additionally showing that lowering and raising male voice pitch enhanced and
impaired women's memory, respectively, relative to a baseline (i.e.,
unmanipulated) voice condition. The modulatory effect of sexual dimorphism cues
in the male voice may reveal a mate-choice adaptation within women's memory,
sculpted by evolution in response to the dilemma posed by the double-edged
qualities of male masculinity.
PMID- 21901578
TI - Expression of periostin during Xenopus laevis embryogenesis.
AB - Periostin (postn) is a secreted, extracellular matrix protein containing an EMI
domain as well as four fasciclin I-like (Fas1) domains. Postn protein functions
in cell adhesion, cell mobility, cell proliferation and gene regulation. Earlier
studies have shown that postn is involved in different developmental processes
such as somitogenesis, cardiogenesis and bone formation. Intriguingly, postn
seems to be a very good candidate to establish novel therapies against cancer and
chronic heart defects. Here we describe for the first time the spatio-temporal
expression profile of postn during early development of Xenopus laevis. By semi
quantitative RT-PCR approaches, we demonstrate that postn is maternally
expressed. Zygotic expression starts during early gastrulation and increases
until stage 40. Whole mount in situ hybridization experiments revealed that postn
is detectable in somites, the sensory layer of the epidermis, the roof plate, the
notochord, the heart, migrating neural crest cells, cranial ganglia and forming
cranial cartilage structures. Our results implicate a role of postn during
Xenopus embryogenesis and represent a good starting point for future functional
analyses.
PMID- 21901579
TI - Transarterial ethylene vinyl alcohol copolymer visualization and penetration
after embolization of life-threatening hemoptysis: technical and clinical
outcomes.
AB - OBJECTIVES: This study was designed to evaluate the feasibility of using ethylene
vinyl alcohol copolymer (EVAC) for bronchial artery embolization (BAE) in
patients with life-threatening hemoptysis and to compare the visualization and
transarterial penetration of EVAC under fluoroscopy and computed tomography (CT).
METHODS: Fifteen patients (mean age, 62.9 (range, 24-82) years) who were referred
for life-threatening hemoptysis (27 month period) underwent BAE using EVAC. All
patients had thoracic CT examination before and after BAE. Technical and clinical
results were evaluated. Visibility and extent of cast penetration (graded 1-4) on
fluoroscopy and postprocedure CT were assessed and compared. RESULTS: BAE was
feasible in all but one artery (due to spasm; n=27; 96.4%). No procedure-related
complications or deaths were detected. Two patients had recurrent bleeding in the
following day (13.3%). Immediate clinical success was achieved in 14 cases
(93.3%) after reembolization of pulmonary artery pseudoaneurysm in one patient
(mean follow-up, 43.5 (range, 14-148) days). Visibility of the cast was possible
in 73.3% of patients (n=11) under fluoroscopy (mean cast penetration 1.66) and in
all patients under CT (mean cast penetration 2.06). The postinjection
fluoroscopic visibility in 6 of 15 (40%) patients was inferior to CT (P<0.02).
CONCLUSIONS: BAE with EVAC seems to be feasible and safe with immediate control
of hemoptysis in most patients. The postinjection fluoroscopic visibility of EVAC
under fluoroscopy was inferior to CT.
PMID- 21901580
TI - JAG tearing technique with radiofrequency guide wire for aortic fenestration in
thoracic endovascular aneurysm repair.
AB - An innovative approach, the JAG tearing technique, was performed during thoracic
endovascular aneurysm repair in a patient with previous surgical replacement of
the ascending aorta with a residual uncomplicated type B aortic dissection who
developed an aneurysm of the descending thoracic aorta with its lumen divided in
two parts by an intimal flap. The proximal landing zone was suitable to place a
thoracic stent graft. The distal landing zone was created by cutting the intimal
flap in the distal third of the descending thoracic aorta with a radiofrequency
guide wire and intravascular ultrasound catheter.
PMID- 21901581
TI - Retrograde transvenous ethanol embolization of high-flow peripheral arteriovenous
malformations.
AB - PURPOSE: To report the clinical efficiency and complications in patients treated
with retrograde transvenous ethanol embolization of high-flow peripheral
arteriovenous malformations (AVMs). Retrograde transvenous ethanol embolization
of high-flow AVMs is a technique that can be used to treat AVMs with a dominant
outflow vein whenever conventional interventional procedures have proved
insufficient. METHODS: This is a retrospective study of the clinical
effectiveness and complications of retrograde embolization in five patients who
had previously undergone multiple arterial embolization procedures without
clinical success. RESULTS: Clinical outcomes were good in all patients but were
achieved at the cost of serious, although transient, complications in three
patients. CONCLUSION: Retrograde transvenous ethanol embolization is a highly
effective therapy for high-flow AVMs. However, because of the high complication
rate, it should be reserved as a last resort, to be used after conventional
treatment options have failed.
PMID- 21901582
TI - Patient experience, pain, and quality of life after lower limb angioplasty: a
multisite prospective cohort study.
AB - PURPOSE: To explore the experience of patients undergoing endovascular lower limb
angioplasty and evaluate the improvements in quality of life and disease-related
symptoms after the procedure. METHODS: Patients completed a questionnaire before
treatment and three questionnaires after the procedure (immediately after the
procedure, and again 4 weeks and 3 months later). Anxiety, patient understanding,
procedure-related pain, and disease-related pain were assessed by a visual analog
score (VAS). Complications, analgesic requirements, and satisfaction were
recorded. Changes to quality of life were assessed by the validated SF36
questionnaire. RESULTS: A total of 88 patients (41%) responded. Overall, disease
related pain decreased over 3 months after the procedure. Smokers had more pain
both before and after the procedure (P < 0.05). Explanation was considered better
if provided by radiologist (P < 0.05). Sixty-nine percent of patients found the
procedures less painful (mean VAS 2.5) than they had anticipated (VAS 5.5). Fifty
percent of patients experienced adverse effects related to their puncture site,
but this was highest among patients who had undergone the procedure before and
smokers. The greatest quality-of-life improvements were in emotional and general
health. Higher levels of disease-related pain were associated with worse general,
emotional, and physical health (P < 0.05). CONCLUSION: Lower limb angioplasty
provides symptomatic and quality-of-life improvements. Implementation of simple
measures could improve patient satisfaction-for example, treatment should be
explained by the radiologist in advance. Routine prescription of analgesics with
particular attention to smokers and those undergoing repeat interventions is
suggested.
PMID- 21901583
TI - Biographical sketch: Georg Hermann von Meyer (1815-1892).
AB - This biographical sketch on Georg Hermann von Meyer highlights the interactions
in the 1860s that von Meyer, a famous anatomist, had with Karl Culmann, a famous
structural engineer and mathematician. The published papers from this interaction
caught the attention of Julius Wolff and stimulated his development of the
trajectorial hypothesis of bone adaptation--now called "Wolff's Law." The
corresponding translations are provided: (1) von Meyer's 1867 paper that
highlights the regularity of arched trabecular patterns in various human bones,
and his discussions with Culmann about their possible mechanical relevance; and
(2) Wolff's 1869 paper that first mentions the correspondence of stress
trajectories in a solid, crane-like structure to the arched trabecular patterns
in the proximal human femur. This biographical sketch on Georg Hermann von Meyer
corresponds to the historic texts, The Classic: The Architecture of the
Trabecular bone (by von Meyer), and The Classic: On the Significance of the
Architecture of the Spongy Substance for the Question of Bone Growth. A
preliminary publication (by Wolff) available at DOIs 10.1007/s11999-011-2041-5 ,
10.1007/s11999-011-2042-4 .
PMID- 21901584
TI - Cell therapeutics in Parkinson's disease.
AB - The main pathology underlying motor symptoms in Parkinson's disease (PD) is a
rather selective degeneration of nigrostriatal dopamine (DA) neurons.
Intrastriatal transplantation of immature DA neurons, which replace those neurons
that have died, leads to functional restoration in animal models of PD. Here we
describe how far the clinical translation of the DA neuron replacement strategy
has advanced. We briefly summarize the lessons learned from the early clinical
trials with grafts of human fetal mesencephalic tissue, and discuss recent
findings suggesting susceptibility of these grafts to the disease process long
term after implantation. Mechanisms underlying graft-induced dyskinesias, which
constitute the only significant adverse event observed after neural
transplantation, and how they should be prevented and treated are described. We
summarize the attempts to generate DA neurons from stem cells of various sources
and patient-specific DA neurons from fully differentiated somatic cells, with
particular emphasis on the requirements of these cells to be useful in the
clinical setting. The rationale for the new clinical trial with transplantation
of fetal mesencephalic tissue is described. Finally, we discuss the scientific
and clinical advancements that will be necessary to develop a competitive cell
therapy for PD patients.
PMID- 21901585
TI - Cell-based therapy for neural disorders--anticipating challenges.
AB - Neurological syndromes, such as Alzheimer's disease, Parkinson's disease,
multiple sclerosis, Huntington's disease, amyotrophic lateral sclerosis, and
lysosomal storage disorders, such as Battens disease, are devastating because
they result in increasing loss of cognitive and physical function. Sadly, no
drugs are currently available to halt their progression. The relative paucity of
curative approaches for these and other conditions of the nervous system have led
to a widespread evaluation of alternative treatment modalities including cell
based interventions. Several cell types have been tested successfully in animal
models where safety and efficacy have been demonstrated. Early clinical trials
have also been initiated in humans, and some have shown a degree of success
albeit on a more limited scale than in animal experiments. Recent demonstrations
that pluripotent stem cells, such as embryonic stem cells and induced pluripotent
stem cells, can differentiate into a variety of specific neural phenotypes has
stimulated worldwide enthusiasm for developing cell-based intervention of
neurological disease. Indeed, several groups are preparing investigational new
drug applications to treat disorders as diverse as macular degeneration,
lysosomal storage diseases, and Parkinson's disease. It is noteworthy that cell
replacement therapies for neurological conditions face key challenges, some of
which are unique, because of the development and organization of the nervous
system, its metabolism, and connectivity. Choice of the cell (or cells), the
process of manufacturing them, defining the delivery pathway, developing and
testing in an appropriate preclinical model, selecting a patient population, and
visualizing and following or monitoring patients all pose specific issues as
related to the central and peripheral nervous systems. In this review, we address
a myriad of challenges that are solvable, but require careful planning and
attention to the special demands of the human nervous system.
PMID- 21901589
TI - Reverse phase protein microarrays for clinical applications.
AB - Phosphorylated proteins represent one of the most important constituents of the
proteome and are under intense analysis by the biotechnology and pharmaceutical
industry because of their central role for cellular signal transduction. Indeed,
alterations in cellular signaling and control mechanisms that modulate signal
transduction, functionally underpin most human cancers today. Beyond their
central role as the causative components of tumorigenesis, these proteins have
become an important research focus for discovery of predictive and prognostic
biomarkers. Consequently, these pathway constituents comprise a powerful
biomarker subclass whereby the same analyte that provides prediction and/or
prognosis is also the drug target itself: a theranostic marker. Reverse phase
protein microarrays have been developed to generate a functional patient-specific
circuit "map" of the cell signaling networks based directly on cellular analysis
of a biopsy specimen. This patient-specific circuit diagram provides key
information that identifies critical nodes within aberrantly activated signaling
that may serve as drug targets for individualized or combinatorial therapy. The
protein arrays provide a portrait of the activated signaling network by the
quantitative analysis of the phosphorylated, or activated, state of cell
signaling proteins. Based on the growing realization that each patient's tumor is
different at the molecular level, the ability to measure and profile the ongoing
phosphoprotein biomarker repertoire provides a new opportunity to personalize
therapy based on the patient-specific alterations.
PMID- 21901590
TI - Impact of blocking and detection chemistries on antibody performance for reverse
phase protein arrays.
AB - Careful selection of well-qualified antibodies is critical for accurate data
collection from reverse phase protein arrays (RPPA). The most common way to
qualify antibodies for RPPA analysis is by Western blotting because the detection
mechanism is based on the same immunodetection principles. Western blots of
tissue or cell lysates that result in single bands and low cross-reactivity
indicate appropriate antibodies for RPPA detection. Western blot conditions used
to validate antibodies for RPPA experiments, including blocking and detection
reagents, have significant effects on aspects of antibody performance such as
cross-reactivity against other proteins in the sample. We have found that there
can be a dramatic impact on antibody behavior with changes in blocking reagent
and detection method, and offer an alternative method that allows detection
reagents and conditions to be held constant in both antibody validation and RPPA
experiments.
PMID- 21901591
TI - Phosphoprotein stability in clinical tissue and its relevance for reverse phase
protein microarray technology.
AB - Phosphorylated proteins reflect the activity of specific cell signaling nodes in
biological kinase protein networks. Cell signaling pathways can be either
activated or deactivated depending on the phosphorylation state of the
constituent proteins. The state of these kinase pathways reflects the in vivo
activity of the cells and tissue at any given point in time. As such, cell
signaling pathway information can be extrapolated to infer which phosphorylated
proteins/pathways are driving an individual tumor's growth. Reverse phase protein
microarrays (RPMAs) are a sensitive and precise platform that can be applied to
the quantitative measurement of hundreds of phosphorylated signal proteins from a
small sample of tissue. Pre-analytical variability originating from tissue
procurement and preservation may cause significant variability and bias in
downstream molecular analysis. Depending on the ex vivo delay time in tissue
processing, and the manner of tissue handling, protein biomarkers such as signal
pathway phosphoproteins will be elevated or suppressed in a manner that does not
represent the biomarker levels at the time of excision. Consequently, assessment
of the state of these kinase networks requires stabilization, or preservation, of
the phosphoproteins immediately post-tissue procurement. We have employed RPMA
analysis of phosphoproteins to study the factors influencing stability of
phosphoproteins in tissue following procurement. Based on this analysis we have
established tissue procurement guidelines for clinical research with an emphasis
on quantifying phosphoproteins by RPMA.
PMID- 21901592
TI - Utilization of RNAi to validate antibodies for reverse phase protein arrays.
AB - Reverse phase protein arrays (RPPAs) emerged as a very useful tool for high
throughput screening of protein expression in large numbers of small specimen.
Similar to other protein chemistry methods, antibody specificity is also a major
concern for RPPA. Currently, testing antibodies on Western blot for specificity
and applying serial dilution curves to determine signal/concentration linearity
of RPPA signals are most commonly employed to validate antibodies for RPPA
applications. However, even the detection antibodies fulfilling both requirements
do not always give the expected result. Chemically synthesized small interfering
RNAs (siRNAs) are one of the most promising and time-efficient tools for loss-of
function studies by specifically targeting the gene of interest resulting in a
reduction at the protein expression level, and are therefore used to dissect
biological processes. Here, we report the utilization of siRNA-treated sample
lysates for the quantification of a protein of interest as a useful and reliable
tool to validate antibody specificity for RPPAs. As our results indicate, we
recommend the use of antibodies which give the highest dynamic range between the
control siRNA-treated samples and the target protein (here: EGFR) siRNA-treated
ones on RPPAs, to be able to quantify even small differences of protein abundance
with high confidence.
PMID- 21901586
TI - [Fibromyalgia].
AB - Although chronic musculoskeletal pain represents the main symptom of
fibromyalgia, those affected usually experience many and various accompanying
symptoms of differing frequency and extent. While symptoms such as non-restful
sleep, daytime fatigue, impaired memory and concentration, morning stiffness, as
well as digestive and urination disorders help to establish the diagnosis, they
represent a particular disease burden on patients, those around them and on the
social system. Pathogenetic research is focussed increasingly on a central
dysregulation in pain perception and pain processing, leading to the concept of
"central sensitisation" as a final common pathway for fibromyalgia and similar
syndromes. This supports the recommendations for prompt multimodal therapy based
on pharmaco-, functional and behavioural therapy.
PMID- 21901593
TI - Antibody-mediated signal amplification for reverse phase protein array-based
protein quantification.
AB - Reverse phase protein array (RPPA) techniques allow the quantitative analysis of
signal transduction events in a high-throughput format. Sensitivity is important
for RPPA-based detection approaches, since numerous signaling proteins or
posttranslational modifications are present at low levels. Especially, the
proteomic analysis of clinical samples exposes its own challenges with respect to
sensitivity. Antibody-mediated signal amplification (AMSA) is a novel strategy
relying on sequential incubation steps with fluorescently labeled secondary
antibodies reactive against each other. AMSA is a simple extension of the
standard quantification in the near-infrared range and is highly specific and
robust. In this chapter, we present the amplification protocol and application
examples for the time-resolved analysis of signaling pathways as well as protein
profiling of clinical samples.
PMID- 21901594
TI - Reverse-phase protein lysate microarray (RPA) for the experimental validation of
quantitative protein network models.
AB - Theoretical models describing complex biological phenomena have been
accumulating. However, most of these models have been created with hypothetical
parameter determination without seeing actual cell reactions. The parameter
determination requires high-dimensional data monitoring, particularly at the
protein level. It has been a difficult task to develop the standard model system
because of the lack of an appropriate validation technique. Reverse-phase protein
lysate microarray (RPA) is one of the most potent technologies for high
dimensional proteomic monitoring. Therefore, proteomic monitoring by RPA may
contribute substantially to develop theoretical protein network models based on
experimental validation.
PMID- 21901595
TI - Characterization of kinase inhibitors using reverse phase protein arrays.
AB - Using the reverse protein array platform in combination with planar waveguide
technology, which allows detection of proteins in spotted cell lysates with high
sensitivity in a 96-well microtiter-plate format for growing, treating, and
lysing cells was shown to be suitable for this approach and indicates the
usefulness of the technology as a screening tool for characterization of large
numbers of kinase inhibitors. In this study, we have used reverse protein arrays
to profile kinase inhibitors in various cellular pathways in order to unravel
their MoA. Multiplexing and simultaneous analysis of several phospho-proteins
within the same lysate allows (1) the estimation of inhibitor concentrations
needed to shut down an entire pathway, (2) the estimation of inhibitor
selectivity, and (3) the comparison of inhibitors of different kinases within one
assay. For example, parallel analysis of p-InsR, p-PKB, p-GSK-3, p-MEK, p-ERK,
and p-S6rp in insulin treated A14 cells allows profiling for inhibitors of the
InsR, PI3K, PKB, mTor, RAF, and MEK. Selective kinase inhibitors revealed
different specific inhibitory pattern of the analyzed phospho-read outs.
Altogether, multiplexed analysis of reverse (phase) protein arrays is a powerful
tool to characterize kinase inhibitors in a semi-automated low to medium
throughput assay format.
PMID- 21901596
TI - Use of formalin-fixed and paraffin-embedded tissues for diagnosis and therapy in
routine clinical settings.
AB - Formalin-fixed and paraffin-embedded (FFPE) tissues are used routinely everyday
in hospitals world-wide for histopathological diagnosis of diseases like cancer.
Due to formalin-induced cross-linking of proteins, FFPE tissues present a
particular challenge for proteomic analysis. Nevertheless, there has been recent
progress for extraction-based protein analysis in these tissues. Novel tools
developed in the last few years are urgently needed because precise protein
biomarker quantification in clinical FFPE tissues will be crucial for treatment
decisions and to assess success or failure of current and future personalized
molecular therapies. Furthermore, they will help to conceive why only a subset of
patients responds to individualized treatments. Reverse phase protein array
(RPPA) is a very promising new technology for quick and simultaneous analysis of
many patient samples allowing relative and absolute protein quantifications. In
this chapter, we show how protein extraction from FFPE tissues might facilitate
the implementation of RPPA for therapy decisions and discuss challenges for
application of RPPA in clinical trials and routine settings.
PMID- 21901597
TI - Producing reverse phase protein microarrays from formalin-fixed tissues.
AB - In most hospitals around the world FFPE (formalin fixed, paraffin embedded)
tissues have been used for diagnosis and have subsequently been archived since
decades. This has lead to a sizeable pool of this kind of tissues. Till quite
recently it was not possible to use this congeries of samples for protein
analysis, but now several groups described successful protein extraction from
FFPE tissues. In this chapter, we describe a protein extraction protocol
established in our laboratory combined with the use of reverse phase protein
microarray.
PMID- 21901598
TI - Use of reverse phase protein microarrays to study protein expression in leukemia:
technical and methodological lessons learned.
AB - Leukemias are well suited to proteomic profiling by RPPA due to the ready
accessibility of blasts from the blood or marrow. In this review, we review
methodological and procedural issues that affect the quality of RPPA data. We
recommend contact printers that minimize sample quantities and evaporation and
maximize sample per slide. The impact of sample selection and handling is
reviewed as well. Protein is best prepared fresh on the date of acquisition as
cryopreservation changes protein expression levels in some diseases. Rapid
processing is also required to avoid changes in phosphorylation over time. Sample
source, blood vs. marrow does not seem to affect results as long as leukemic
blast enrichment procedures are utilized. The choice of the correct "normal"
control is important for comparing diseased to "normal" expression. Various means
of normalizing the data are discussed.
PMID- 21901599
TI - Antibody microarrays as tools for biomarker discovery.
AB - The cancer biomarkers field is being enriched by molecular profiling obtained by
high-throughput approaches. Targeted antibody arrays are strongly contributing to
the identification of protein cancer -biomarker candidates and functional
proteomic analyses. Due to their versatility, novel technological and
experimental design implementations are broadening the applications of antibody
arrays. However, the cancer biomarker candidates delivered to date using this
technology are still in their early developmental phase, requiring validation
with high number of specimens focusing on specific clinical endpoints. Innovative
strategies multiplexing protein measurements of protein extracts of cultured
cells, tissue and body fluids using antibody arrays combined with appropriate
validation approaches are enabling the -discovery of cancer-associated
biomarkers. This review describes these strategies and cancer biomarker
candidates reported to date that may assist in the diagnosis, surveillance,
prognosis, and potentially for predictive and therapeutic purposes for patients
affected with solid and hematological neoplasias.
PMID- 21901600
TI - Assessment of antibody specificity using suspension bead arrays.
AB - With the increasing collection of affinity reagents, their validation in terms of
functionality and binding specificity becomes a challenge. To match this growing
need, miniaturized and parallelized platforms have become available to
corroborate the applicability for a broad range of binder scaffolds. Among the
commonly used systems, planar microarrays have been a platform of choice for a
long time but alternative systems are emerging, of which one is based on color
coded beads for the creation of arrays in suspension. The latter systems offer to
perform a two-dimensional multiplexing by now analyzing up to 384 samples against
up to 500 analytes in a single experiment. While the analyte parameter is
flexible in terms of its composition, an extended screening can be facilitated
without the need to set up a microarray production facility.
PMID- 21901601
TI - Quantitative analysis of phosphoproteins using microspot immunoassays.
AB - Protein microarrays are an ideal technology platform which allow for a robust and
standardized profiling of the cellular proteome. Many cellular functions are not
simply controlled by the presence of certain proteins, especially the propagation
of external stimuli, which depend on transient post-translational modifications
that determine whether a protein is in its active or inactive state. Thus,
complex biological processes require the availability of a sound set of
quantitative and time-resolved measurements to be understood. For this reason,
new assay platforms which allow for the investigation of several proteins in
parallel are necessary. The current best understood mode of cellular regulation
occurs via phosphorylation and dephosphorylation processes, which are mediated
via a large panel of kinases and phosphatases. The microspot immunoassay
technique described here allows for an exact determination of several different
phosphorylated proteins in parallel, as well as from small sample amounts, and is
therefore an appropriate system to deepen the understanding of the complex
regulatory networks implicated in health and disease.
PMID- 21901602
TI - Robust protein profiling with complex antibody microarrays in a dual-colour mode.
AB - Antibody microarrays are a multiplexing technique for the analyses of hundreds of
different analytes in parallel from small sample volumes of few microlitres only.
With sensitivities in the picomolar to femtomolar range, they are gaining
importance in proteomic analyses. These sensitivities can be obtained for complex
protein samples without any pre-fractionation or signal amplification. Also, no
expensive or elaborate protein depletion steps are needed. As with custom DNA
microarrays, the implementation of a dual-colour assay adds to assay robustness
and reproducibility and was therefore a focus of our technical implementation. In
order to perform antibody microarray experiments for large sets of samples and
analytes in a robust manner, it was essential to optimise the experimental
layout, the protein extraction, labelling and incubation as well as data
processing steps. Here, we present our current protocol, which is used for the
simultaneous analysis of the abundance of more than 800 proteins in plasma,
urine, and tissue samples.
PMID- 21901603
TI - High-throughput studies of protein glycoforms using antibody-lectin sandwich
arrays.
AB - The antibody-lectin sandwich arrays (ALSA) is a powerful new tool for
glycoproteomics research. ALSA enables precise measurements of the glycosylation
states of multiple proteins captured directly from biological samples. The
platform can be used in a high-throughput mode with low sample consumption,
making it well suited to biomarker research exploring glycan alterations on
specific proteins. This article provides detailed descriptions of the use of
ALSA, with a particular focus on biomarker research. The preparation and
selection of antibodies and lectins, the preparation and use of the arrays and
samples, and special considerations for using the platform for biomarker research
are covered.
PMID- 21901604
TI - Microspot immunoassay-based analysis of plasma protein profiles for biomarker
discovery strategies.
AB - To expedite the development of personalized medicine, new and reliable biomarkers
are required to facilitate early diagnosis, to determine prognosis, predict
response or resistance to different therapies, and to monitor disease progression
or recurrence. Human body fluids, such as blood, present a promising resource for
biomarker discovery, in every sense. Microspot immunoassays allow the
simultaneous quantification of multiple analytes from a minute amount of samples
in a single measurement. The experimental design of microspot immunoassays is
based on antibody pairs recognizing different epitopes of the analyte. The first
antibody is used to capture the analyte from the complex sample, and the second
antibody is used for detection. As with traditional enzyme-linked immunosorbent
assays, highly reliable and reproducible results are obtained.
PMID- 21901605
TI - Recombinant antibodies for the generation of antibody arrays.
AB - Affinity proteomics, mainly represented by antibody microarrays, has in recent
years been established as a powerful tool for high-throughput (disease)
proteomics. The technology can be used to generate detailed protein expression
profiles, or protein maps, of focused set of proteins in crude proteomes and
potentially even high-resolution portraits of entire proteomes. The technology
provides unique opportunities, for example biomarker discovery, disease
diagnostics, patient stratification and monitoring of disease, and taking the
next steps toward personalized medicine. However, the process of designing high
performing, high-density antibody micro- and nanoarrays has proven to be
challenging, requiring truly cross-disciplinary efforts to be adopted. In this
mini-review, we address one of these key technological issues, namely, the choice
of probe format, and focus on the use of recombinant antibodies vs. polyclonal
and monoclonal antibodies for the generation of antibody arrays.
PMID- 21901606
TI - Producing protein microarrays from DNA microarrays.
AB - The development of protein microarrays makes possible interaction-based protein
assays in miniaturised, multiplexed formats. A major requirement determining
their uptake and use is the availability and stability of purified, functional
proteins for immobilisation. With conventional methods, involving individual
expression and purification of recombinant proteins, the cost of commercial high
content protein arrays is often found to be prohibitively high. Moreover, due to
the need for specialised microarray production equipment, custom-made protein
arrays containing more focussed sets of proteins of interest are also in little
use. In the DNA array to protein array technology described herein, repeated
economical printing of protein microarrays from a reusable template DNA
microarray is performed on demand by cell-free -protein synthesis. Once the
template DNA microarray has been obtained, protein microarrays are made using
purely macro-handling procedures, making protein arraying accessible without
sophisticated microarraying apparatus.
PMID- 21901607
TI - Cell arrays and high-content screening.
AB - Endocytosis is one of the most essential cellular processes, which enables cells
to internalise diverse -material. It is crucial for regulation of receptor
activity and signalling, cell polarisation, attachment and motility, and a great
number of other cellular functions. A number of diverse endocytosis pathways are
described by now; however, their specificity for different cellular cargoes is
poorly resolved. Only few of endocytosis regulators are well-characterised and
even less are attributed to the specific cargo. That is very true for the
integrin endocytosis pathway, which is a key process in cell migration, adhesion,
and signalling. The recent advent of quantitative fluorescent microscopy and cell
arrays opened an exciting possibility to systematically characterise molecules
playing a role in this crucially important process. Here, we describe a
fluorescent screening microscopy-based assay to identify regulators of integrin
alpha2 internalisation. The experimental procedure is the best suited for a
highly parallel screening format, such as cell arrays, albeit can be used in
single experiments. We provide protocols for sample preparation, fabrication of
cell arrays and quantification of integrin alpha2 internalisation. The approach
can be modified to quantify endocytosis of other cargo, and can be used under the
conditions of knock-down and knock-in as well as for chemical screening.
PMID- 21901608
TI - Probing calmodulin protein-protein interactions using high-content protein
arrays.
AB - The calcium ion (Ca(2+)) is a ubiquitous second messenger that is crucial for the
regulation of a wide variety of cellular processes. The diverse transient signals
transduced by Ca(2+) are mediated by intracellular -Ca(2+)-binding proteins.
Calcium ions shuttle into and out of the cytosol, transported across membranes by
channels, exchangers, and pumps that regulate flux across the ER, mitochondrial
and plasma membranes. Calcium regulates both rapid events, such as cytoskeleton
remodelling or release of vesicle contents, and slower ones, such as
transcriptional changes. Moreover, sustained cytosolic calcium elevations can
lead to unwanted cellular activation or apoptosis. Calmodulin represents the most
significant of the Ca(2+)-binding proteins and is an essential regulator of
intracellular processes in response to extracellular stimuli mediated by a rise
in Ca(2+) ion concentration. To profile novel protein-protein interactions that
calmodulin participates in, we probed a high-content recombinant human protein
array with fluorophore-labelled calmodulin in the presence of Ca(2+). This
protein array contains 37,200 redundant proteins, incorporating over 10,000
unique human proteins expressed from a human brain cDNA library. We describe the
identification of a high affinity interaction between calmodulin and the single
pass transmembrane proteins STIM1 and STIM2 that localise to the ER.
Translocation of STIM1 and STIM2 from the endoplasmic reticulum to the plasma
membrane is a key step in store operated calcium entry in the cell.
PMID- 21901609
TI - Protein function microarrays for customised systems-oriented proteome analysis.
AB - Protein microarrays have many potential applications in the systematic,
quantitative analysis of protein function. However, simple, reproducible, and
robust methods for array fabrication that are compatible with the study of large,
custom collections of potentially unrelated proteins are required. Here, we
discuss different routes to array fabrication and describe in detail one approach
in which the purification and immobilisation procedures are combined into a
single step, significantly simplifying the array fabrication process. We
illustrate this approach by reference to the creation of an array of human
protein kinases and discuss methods for assay and data analysis on such arrays.
PMID- 21901610
TI - Optimized autoantibody profiling on protein arrays.
AB - Profiling the autoantibody (AAb) repertoire in serum has been routinely used for
many years for the diagnosis of autoimmune diseases, including rheumatoid
arthritis, scleroderma, and lupus. In recent years, AAb profiling of cancers has
become a prominent field in oncology research. Protein arrays enable high
throughput screening of clinical samples, characterising the serum profile using
low volumes of samples. This chapter describes the use of a protein array
comprising 37,200 redundant proteins (containing over 10,000 non-redundant human
recombinant proteins) for identification of the proteins bound by the antibodies
in human sera using a test set of serum samples. The proteins identified have the
potential to be candidate biomarkers. These recombinant proteins are expressed,
purified, and robotically spotted on microarrays or chips to facilitate the
screening of additional serum samples with the aim of identifying a candidate
biomarker or panel of potential biomarkers for applications in disease diagnosis,
stage, progression, or response to therapy.
PMID- 21901611
TI - Inkjet printing for the production of protein microarrays.
AB - A significant proportion of protein microarray researchers would like the arrays
they develop to become widely used research, screening, validation or diagnostic
devices. For this to be achievable the arrays must be compatible with high
throughput techniques that allow manufacturing scale production. In order to
simplify the transition from laboratory bench to market, Arrayjet have developed
a range of inkjet microarray printers, which, at one end of the scale, are
suitable for R&D and, at the other end, are capable of true high-throughput array
output. To maintain scalability, all Arrayjet microarray printers utilise
identical core technology comprising a JetSpyderTM liquid handling adaptor, which
enables automated loading of an industry standard inkjet printhead compatible
with non-contact on-the-fly printing. This chapter contains a detailed
explanation of Arrayjet technology followed by a historical look at the
development of inkjet technologies for protein microarray production. The method
described subsequently is a simple example of an antibody array printed onto
nitrocellulose-coated slides with specific detection with fluorescently labelled
IgG. The method is linked to a notes section with advice on best practice and
sources of useful information for protein microarray production using inkjet
technology.
PMID- 21901612
TI - Impact of substrates for probe immobilization.
AB - Protein chips are becoming a key technology in proteomic research and medical
diagnostics. Surface chemistry for immobilization of proteins forms the basis for
assay design and determines the properties of protein microarrays. Optimal
substrates provide a homogeneous environment for probes, preventing loss of
biological activity and unspecific adsorption. Numerous immobilization
approaches, based on covalent binding, affinity, or adsorption, have been
proposed thus far, and these represent the toolbox for choosing optimized
strategies for each individual application.
PMID- 21901613
TI - Contact printing of protein microarrays.
AB - A review is provided of contact-printing technologies for the fabrication of
planar protein microarrays. The key printing performance parameters for creating
protein arrays are reviewed. Solid pin and quill pin technologies are described
and their strengths and weaknesses compared.
PMID- 21901614
TI - Nuclear barrier hypothesis of aging as mechanism for trade-off growth to
survival.
AB - When the aging-dependent cellular behaviors toward growth factors and toxic
stress have been analyzed, the perinuclear accumulation of the activated signals,
either mitogenic or apoptotic, has been observed, suggesting the aging-dependent
inefficiency of the nucleocytoplasmic trafficking of the signals. Thereby, it
would be natural to assume the operation of the functional nuclear barrier in
aging-dependent manner, which would be designated as "Park and Lim's Barrier."
And for the ultimate transcriptional factor for these aging-dependent changes of
the functional nuclear barrier, Sp1 transcriptional factor has been suggested to
be the most probable candidate. This novel mechanism of aging-dependent operation
of the functional nuclear barrier is proposed as the ultimate checking mechanism
for cellular protection against toxic environment and the general mechanism for
the trade-off growth to survival in aging.
PMID- 21901615
TI - Establishment of cell lines from the human middle and inner ear epithelial cells.
AB - The middle ear infection is the most common childhood infection. In order to
elucidate the cell and molecular mechanisms involved in bacterial recognition and
innate immune response, we have established a stable human middle ear cell line,
which has contributed to the current knowledge concerning the molecular
pathogenesis of the middle ear infection. The inner ear, a sensory organ
responsible for hearing and balance, is filled with inner ear fluid, and
disturbance of the fluid homeostasis results in dizziness and hearing impairment.
It has been suggested that the endolymphatic sac (ES) may play a critical role in
the fluid homeostasis of the inner ear. We have established a stable human ES
cell line and are undertaking cell and molecular characterization of this cell
line.
PMID- 21901616
TI - Cellular systems for studying human oral squamous cell carcinomas.
AB - The human oral squamous epithelium plays an important role in maintaining a
barrier function against mechanical, physical, and pathological injury. However,
the self-renewing cells residing on the basement membrane of the epithelium can
give rise to oral squamous cell carcinomas (OSCC), now the sixth most common
cancer in the developed world, which is still associated with poor prognosis.
This is due, in part, to the limited availability of well-defined culture systems
for studying oral epithelial cell biology, which could advance our understanding
of the molecular basis of OSCC. Here, we describe methods to successfully isolate
large cultures of human oral epithelial cells and fibroblasts from small pieces
of donor tissues for use in techniques such as three-dimensional cultures and
animal grafts to validate genes suspected of playing a role in OSCC development
and progression. Finally, the use of isolated oral epithelial cells in generating
iPS cells is discussed which holds promise in the field of oral regenerative
medicine.
PMID- 21901617
TI - Heterotopic ossification following musculoskeletal trauma: modeling stem and
progenitor cells in their microenvironment.
AB - Heterotopic ossification (HO), characterized by the formation of mature bone in
the soft tissues, is a complication that can accompany musculoskeletal injury,
and it is a frequent occurrence within the military population that has
experienced orthopaedic combat trauma. The etiology of this disease is largely
unknown. Our laboratory has developed strategies to investigate the cellular and
molecular events leading to HO using clinical specimens that were obtained during
irrigation and debridement of musculoskeletal injuries. Our approach enables to
study (1) the cell types that are responsible for pathological transformation and
ossification, (2) the cell- and tissue-level signaling that induces the
pathologic transformation, and (3) the effect of extracellular matrix topography
and force transduction on HO progression. In this review, we will report on our
findings in each of these aspects of HO etiology and describe our efforts to
recapitulate our findings in an animal model for traumatic HO.
PMID- 21901618
TI - Comparative proteomic analysis of mesenchymal stem cells derived from human bone
marrow, umbilical cord and placenta: implication in the migration.
AB - Umbilical cord (UC) and placenta (P) have been suggested as alternatives to bone
marrow (BM) as sources of mesenchymal stem cells (MSC) for cell therapy, with
both UC- and P-MSC possess immunophenotypic and functional characteristics
similar to BM-MSC. However, under defined conditions, the migration capacity of
BM- and P-MSC was found to be 5.9- and 3.2-folds higher than that of UC-MSC,
respectively. By the use of 2-DE and combined MS and MS/MS analysis, six
differentially expressed proteins were identified among these MSC samples, with
five of them known to be involved in cell migration as migration enhancing or
inhibiting proteins. Interestingly, the expression levels of those proteins
reflect perfectly the migration capacity of corresponding MSC, which is also
proved by in vitro overexpression and silencing techniques. Our study indicates
that a bunch of migration-related proteins are pivotal in governing the migration
capacity of MSC.
PMID- 21901619
TI - Novel human prostate epithelial cell culture models for the study of
carcinogenesis and of normal stem cells and cancer stem cells.
AB - Research into the mechanisms of prostate cancer progression has been limited by
the lack of suitable in vitro systems. A hurdle in understanding the molecular
genetic changes in prostate cancer has been the difficulty in establishing
premalignant lesions and primary prostate tumors as in vitro cell cultures.
Primary prostate epithelial cells grow for a finite life span and then senesce.
Immortalization is defined by continuous growth of otherwise senescing cells and
is believed to represent an early stage in tumor progression. To examine these
early stages, we and others have developed in vitro models of prostate epithelial
cell immortalization. Generation of primary human prostate epithelial (HPE) cells
has been achieved using the serum-free condition. Retrovirus containing human
telomerase reverse transcriptase (hTERT) was successfully used for the
immortalization of primary HPE cells. Putative stem cell markers CD133 and CXCR4
were further identified in hTERT-immortalized primary nonmalignant and malignant
tumor-derived HPE lines. In addition, an hTERT-immortalized nonmalignant HPE cell
were found to retain the properties of multipotent stem cells. These in vitro
prostate cell culture models should be useful for the study of carcinogenesis and
of normal and cancer stem cells. Prostate cancer is the most common male cancer
in the Western World and second leading cause of male cancer death in the United
States [1]. The therapy most widely used against advanced disease is androgen
ablation and, initially, it almost always produces objective clinical responses.
However, most patients eventually relapse with ablation-resistant prostate cancer
and develop metastatic disease; currently, there is no treatment that will cure
progressive hormone-refractory metastatic prostate cancer. The mechanisms of
progression of prostate cancer have been extensively studied, yet are poorly
understood. One of the concepts that has been evolved is that cancer arises from
the neoplastic transformation of normal prostate epithelial stem cells or transit
amplifying cells. Understanding normal stem cells and cancer stem cells (CSCs)
may provide insight into the origin of and new therapeutics for prostate cancer.
However, research in this field is limited by the lack of suitable in vitro
systems.
PMID- 21901621
TI - Role of epigenetics in cancer initiation and progression.
AB - The epigenome which comprises DNA methylation, histone modifications, chromatin
structures and non-coding RNAs controls gene expression patterns. In cancer
cells, there are aberrant changes in the epigenome. The question in cancer
epigenetics is that whether these changes are the cause of cell transformation,
or rather the consequence of it. We will discuss the epigenetic phenomenon in
cancer, as well as the recent interests in the epigenetic reprogramming events,
and their implications in the cancer stem cell theory. We will also look at the
progression of cancers as they become more aggressive, with focus on the role of
epigenetics in tumor metastases exemplified with the urokinase plasminogen
activator (uPA) system. Last but not least, with therapeutics intervention in
mind, we will highlight the importance of balance in the design of epigenetic
based anti-cancer therapeutic strategies.
PMID- 21901620
TI - Prostate tumor cell plasticity: a consequence of the microenvironment.
AB - During each step of prostate cancer metastasis, cancer displays phenotypic
plasticity that is associated with the expression of both epithelial and
mesenchymal properties or an epithelial to mesenchymal transition. This
phenotypic transition is typically in response to microenvironment signals and is
the basis for basic cancer cell survival (e.g. motility and invasion versus
proliferation). In this review we discuss the loss and gain of E-cadherin
expression as a marker of tumor plasticity throughout the steps of metastasis,
and particularly focus on dynamic tumor-stromal interaction that induce a cancer
cell-associated mesenchymal to epithelial reverting transition in the bone and
liver microenvironments.
PMID- 21901622
TI - Cancer stem cells, models of study and implications of therapy resistance
mechanisms.
AB - There is now compelling evidence for tumour initiating or cancer stem cells
(CSCs) in human cancers. The current evidence of this CSC hypothesis, the CSC
phenotype and methods of identification, culture and in vitro modelling will be
presented, with an emphasis on prostate cancer. Inherent in the CSC hypothesis is
their dual role, as a tumour-initiating cell, and as a source of treatment
resistant cells; the mechanisms behind therapeutic resistance will be discussed.
Such resistance is a consequence of the unique CSC phenotype, which differs from
the differentiated progeny, which make up the bulk of a tumour. It seems that to
target the whole tumour, employing traditional therapies to target bulk
populations alongside targeted CSC-specific drugs, provides the best hope of
lasting treatment or even cure.
PMID- 21901623
TI - The role of the basal stem cell of the human breast in normal development and
cancer.
AB - MCF-10F, an ERalpha negative human breast epithelial cell line derived from
normal breast tissue, is able to form ductal structures in a tridimensional
collagen matrix system. MCF-10F cells that are estrogen transformed (trMCF cells)
progressively express phenotypes of in vitro cell transformation, including
colony formation in agar methocel and loss of the ductulogenic capacity.
Selection of these trMCF cells for invasiveness identified cells (bcMCF) that
formed tumors in severe combined immunodeficient mice. The cell lines derived
from those tumors (caMCF) were poorly differentiated ER, PR, and ERBB2 negative
adenocarcinomas. These characteristics are similar to the human basal cell-like
carcinomas. This in vitro-in vivo model demonstrates the importance of the basal
cell type as a stem cell that reconstitutes the branching pattern of the breast
and that is also target of a carcinogenic insult leading to transformation and
cancer.
PMID- 21901625
TI - Parathyroid hormone related protein (PTHrP) in tumor progression.
AB - Parathyroid hormone-related protein (PTHrP) is widely expressed in fetal and
adult tissues and is a key regulator for cellular calcium transport and smooth
muscle cell contractility, as well as a crucial control factor in cell
proliferation, development and differentiation. PTHrP stimulates or inhibits
apoptosis in an autocrine/paracrine and intracrine fashion, and is particularly
important for hair follicle and bone development, mammary epithelial development
and tooth eruption. PTHrP's dysregulated expression has traditionally been
associated with oncogenic pathologies as the major causative agent of malignancy
associated hypercalcemia, but recent evidence revealed a driving role in skeletal
metastasis progression. Here, we demonstrate that PTHrP is also closely involved
in breast cancer initiation, growth and metastasis through mechanisms separate
from its bone turnover action, and we suggest that PTHrP as a facilitator of
oncogenes would be a novel target for therapeutic purposes.
PMID- 21901624
TI - Breast cancer subtypes: two decades of journey from cell culture to patients.
AB - Recent molecular profiling has identified six major subtypes of breast cancers
that exhibit different survival outcomes for patients. To address the origin of
different subtypes of breast cancers, we have now identified, isolated, and
immortalized (using hTERT) mammary stem/progenitor cells which maintain their
stem/progenitor properties even after immortalization. Our decade long research
has shown that these stem/progenitor cells are highly susceptible to oncogenesis.
Given the emerging evidence that stem/progenitor cells are precursors of cancers
and that distinct subtypes of breast cancer have different survival outcome,
these cellular models provide novel tools to understand the oncogenic process
leading to various subtypes of breast cancers and for future development of novel
therapeutic strategies to treat different subtypes of breast cancers.
PMID- 21901626
TI - Mechanism of radiation carcinogenesis: role of the TGFBI gene and the
inflammatory signaling cascade.
AB - Using an immortalized human bronchial epithelial cell line, we showed previously
that the transforming growth factor beta-induced (TGFBI) gene was consistently
downregulated by six- to sevenfold among radiation-induced tumorigenic human
cells when compared with controls. Transfection of TGFBI gene into tumor cells
resulted in a significant reduction in tumor growth as well as in vitro anchorage
independent growth. The observations that TGFBI knock-out animals showed
increased spontaneous tumor incidence and chemically induced tumors highlight the
suppressive nature of the gene. There is evidence that extranuclear/extracellular
targets are important in low-dose radiation response and that the cyclo-oxygenase
2 signaling pathway mediates the process. The involvement of NFkappaB-dependent
cytokines and the resultant inflammatory response works in concert with in
modulating radiation-induced bronchial carcinogenesis.
PMID- 21901627
TI - Histone deacetylase inhibitor: antineoplastic agent and radiation modulator.
AB - Inhibitors of histone deacetylases (HDACs) have emerged as a new class of
anticancer agents based on their actions in cancer cell growth and cell cycle
arrest, terminal differentiation, and apoptosis. Previously, we rationally
designed and developed a new class of hydroxamide- and mercaptoacetamide-bearing
HDAC inhibitors. A subset of these inhibitors exhibited chemo-radiation
sensitizing properties in various human cancer cells. Furthermore, some HDAC
inhibitors protected normal cells from radiation-induced damage and extended the
survival of mice following total body exposure to lethal dose radiation.
Pathological analyses revealed that intestinal and bone marrow cellularities
recovered significantly from radiation-induced damage by structural compartments
restoration, suggesting the mechanism of action of these HDAC inhibitors. These
findings support the hypothesis that epigenetic regulation may play a crucial
role in the functional recovery of normal tissues from radiation injuries.
PMID- 21901628
TI - Human fibroblasts for large-scale "omics" investigations of ATM gene function.
AB - ATM (gene mutated in ataxia-telangiectasia) is a critical central component of
the pleiotropic responses of cells to ionizing radiation-induced stress. To gain
insight into molecular mechanisms and to enhance our understanding of ATM
functions, we have advanced a human model cell system, derived from genetically
defined immortal fibroblasts, and we have applied high-throughput genomic,
proteomic and metabolomic technologies for a systems level analysis. The cellular
characterizations reported here provide the background for application of a
systems analysis to integrate transcription, post-translational modifications and
metabolic activity induced by exposure of cells to ionizing radiation. We present
here a summary of the derivation and characterization of cells comprising this
model cell system and review applications of this model to systems analysis of
ATM functions.
PMID- 21901629
TI - Malignant transformation of human skin fibroblasts by two alternative pathways.
AB - We developed a telomerase-positive, infinite life span human fibroblast cell
strain (MSU-1.0) by transfection of a v-MYC oncogene and spontaneous over
expression of transcription factors SP1/SP3. Loss of expression of p14(ALT) and
enhanced expression of SPRY2 gave rise to the MSU-1.1 cell strain. Unlike MSU-1.0
cells, the MSU-1.1 cells can be malignantly transformed by expression of N
RAS(LYS61) or H-Ras(v12) oncoproteins (driven by their original promoters) and
expression of a SRC-family protein, v-FES. MSU-1.1 cells can also be malignantly
transformed by high expression of these RAS oncogenes or the v-K-RAS oncogene.
PDGF-B transformed MSU-1.1 cells give rise to benign tumors (fibromas) in athymic
mice. A second route to malignant transformation of the MSU-1.1 cells involves
loss of functional TP53 protein by carcinogen treatment and loss of expression of
wild type p16(INK). These studies indicate 6-8 "hits" are required to activate
the oncogenes and inactivate the suppressor genes we identified.
PMID- 21901630
TI - A novel tumor suppressor, REIC/Dkk-3 gene identified by our in vitro
transformation model of normal human fibroblasts works as a potent therapeutic
anti-tumor agent.
AB - Reduced Expression in Immortalized Cell (REIC) was cloned by subtractive
hybridization method as a gene whose expression is reduced in many human
immortalized and neoplastic tumor cells. The REIC, when over-expressed by an
adenovirus (Ad-REIC), exhibited a dramatic therapeutic effect on a wide variety
of human cancers through a mechanism triggered by ER-stress-mediated JNK
activation. In addition to this direct effect on cancer cells, Ad-REIC exerted
another cytotoxicity on human cancers, an indirect host-mediated effect due to
overproduction of IL-7 by mis-targeted normal cells. This "one-bullet two-arms"
finding may lead to a powerful new therapeutic approach to the treatment of human
cancers.
PMID- 21901631
TI - Mycobacterial infections in adult patients with hematological malignancy.
AB - We retrospectively analyzed the clinical and microbiological characteristics of
adult patients with hematological malignancy and nontuberculous mycobacteria
(NTM) infections from 2001 to 2010. During the study period, 50 patients with
hematological malignancy and tuberculosis (TB) were also evaluated. Among 2,846
patients with hematological malignancy, 34 (1.2%) patients had NTM infections.
Mycobacterium avium-intracellulare complex (13 patients, 38%) was the most
commonly isolated species, followed by M. abscessus (21%), M. fortuitum (18%),
and M. kansasii (18%). Twenty-six patients had pulmonary NTM infection and eight
patients had disseminated disease. Neutropenia was more frequently encountered
among patients with disseminated NTM disease (p = 0.007) at diagnosis than among
patients with pulmonary disease only. Twenty-five (74%) patients received
adequate initial antibiotic treatment. Five of the 34 patients died within 30
days after diagnosis. Cox regression multivariate analysis showed that chronic
kidney disease (p = 0.017) and neutropenia at diagnosis (p = 0.032) were
independent prognostic factors of NTM infection in patients with hematological
malignancy. Patients with NTM infection had higher absolute neutrophil counts at
diagnosis (p = 0.003) and a higher 30-day mortality rate (15% vs. 2%, p = 0.025)
than TB patients. Hematological patients with chronic kidney disease and febrile
neutropenia who developed NTM infection had significant worse prognosis than
patients with TB infection.
PMID- 21901633
TI - Antistaphylococcal activity of Inula helenium L. root essential oil: eudesmane
sesquiterpene lactones induce cell membrane damage.
AB - The purpose of this study was to investigate the inhibitory/bactericidal activity
and cell membrane effects of the hydrodistilled essential oil of Inula helenium
L. roots against Staphylococcus aureus. Additionally, detailed chemical
investigation was done in order to pinpoint the most active oil constituents and
also the parts of these molecules responsible for their antimicrobial effect. The
minimum inhibitory concentration (MIC) and minimum bactericidal concentration
(MBC) were determined using the broth microdilution method. The membrane-active
nature of this oil was investigated by measuring the culture turbidity, leakage
of phosphates, and 260-nm-absorbing material, together with lysis of the exposed
cells. Finally, the effect of the oil on the cells was visualized using scanning
electron microscopy (SEM). The chemical composition of the essential oil was
analyzed using gas chromatography-mass spectrometry (GC-MS) and preparative
medium-pressure liquid chromatography (MPLC). Chemical modification of the oil
was performed using catalytic hydrogenation (H(2), Pd/C) and reduction with
NaBH(4). The MIC and MBC values were 0.01 MUl mL(-1) and 0.02 MUl mL(-1),
respectively. Membrane damage was demonstrated through increased permeability
(phosphates and nucleic acid leakage), followed by lysis of the exposed cells,
captured on SEM images. The most active constituents were alantolactone,
isoalantolactone, and diplophyllin. The essential oil showed very potent
antistaphylococcal activity, with obvious membrane-damaging effects.
Sesquiterpene lactones were found to be the most active principles of the oil,
whose eudesmane core olefinic bonds, along with the alpha,beta-methylene-lactone
ring, are essential structural parts responsible for the exhibited antimicrobial
activity.
PMID- 21901632
TI - Human African trypanosomiasis in endemic populations and travellers.
AB - Human African trypanosomiasis (HAT) or sleeping sickness is caused by the
protozoan parasites Trypanosoma brucei (T.b.) gambiense (West African form) and
T.b. rhodesiense (East African form) that are transmitted by the bite of the
tsetse fly, Glossina spp.. Whereas most patients in endemic populations are
infected with T.b. gambiense, most tourists are infected with T.b. rhodesiense.
In endemic populations, T.b. gambiense HAT is characterized by chronic and
intermittent fever, headache, pruritus, and lymphadenopathy in the first stage
and by sleep disturbances and neuro-psychiatric disorders in the second stage.
Recent descriptions of the clinical presentation of T.b. rhodesiense in endemic
populations show a high variability in different foci. The symptomatology of
travellers is markedly different from the usual textbook descriptions of African
HAT patients. The onset of both infections is almost invariably an acute and
febrile disease. Diagnosis and treatment are difficult and rely mostly on old
methods and drugs. However, new molecular diagnostic technologies are under
development. A promising new drug combination is currently evaluated in a phase 3
b study and further new drugs are under evaluation.
PMID- 21901634
TI - Molecular characterization of Streptococcus pneumoniae invasive serotype 19A
isolates from adults in two Spanish regions (1994-2009).
AB - From 1994 to 2009, the incidence of invasive serotype 19A pneumococci isolated
from adults in Barcelona and San Sebastian almost doubled every 4 years.
Genotyping of the 167 invasive isolates studied showed serotype 19A to be highly
heterogeneous, with 35 different sequence types (STs) and a different clonal
structure in each region and time period. Multiresistance, defined as non
susceptibility to three or more antimicrobials, was found in 86 (51.5%) isolates.
The most frequent ST was the multidrug-resistant ST276 (n = 28), which is a
single-locus variant of the Denmark(14)-ST230 global clone. The ST276 clone, only
present in San Sebastian before 2001, was successfully disseminated from 2002 in
both cities and was the main contributor to the overall increase of serotype 19A
infections.
PMID- 21901635
TI - Viral aetiology of influenza-like illness in Belgium during the influenza
A(H1N1)2009 pandemic.
AB - The purpose of this investigation was to determine the proportion of influenza
like illness (ILI) attributable to specific viruses during the influenza
A(H1N1)2009 pandemic and to describe the demographic and clinical characteristics
of ILI due to respiratory viruses in Belgium. Nasopharyngeal swabs were collected
from ILI patients by general practitioners (GPs) and paediatricians (PediSurv)
and analysed for viruses. Of 139 samples collected from children <5 years of age
by PediSurv, 86 were positive, including 28 influenza (20%), 27 respiratory
syncytial virus (RSV) (19%), 21 rhinovirus (17%), 12 human metapneumovirus (hMPV)
(9%) and ten parainfluenza virus (PIV) (7%). Of 810 samples received from GPs,
426 were influenza (53%). Of 312 influenza-negative samples, 41 were rhinovirus
(13%), 13 RSV (4%), 11 PIV (4%) and three hMPV (1%). Influenza mostly affected
the 6-15 years old age group. Other respiratory viruses were commonly detected in
the youngest patients. Similar clinical symptoms were associated with different
respiratory viruses. Influenza A(H1N1)2009 was the most detected virus in ILI
patients during the 2009-2010 winter, suggesting a good correlation between ILI
case definition and influenza diagnosis. However, in children under 5 years of
age, other respiratory viruses such as RSV were frequently diagnosed.
Furthermore, our findings do not suggest that the early occurrence of the
influenza A(H1N1)2009 epidemic impacted the RSV epidemic in Belgium.
PMID- 21901636
TI - Differentiation between Shigella, enteroinvasive Escherichia coli (EIEC) and
noninvasive Escherichia coli.
AB - Shigella causes bacillary dysentery and is classified into four species based on
their antigen characteristics. This classification does not reflect genetic
relatedness; in fact, Shigella species are so related to Escherichia coli , they
should be classified as one distinctive species in the genus Escherichia. The
differentiation of Shigella and E. coli is even more complicated with the
description of enteroinvasive E. coli (EIEC). EIEC are strains that possess some
of the biochemical characteristics of E. coli and have the ability to cause
dysentery using the same method of invasion as Shigella does. Sequencing of
multiple housekeeping genes indicates that EIEC is more related to Shigella than
to non-invasive E. coli. Shigella and EIEC evolved from the same ancestor and
form a single pathovar within E. coli. Shigella and EIEC could be separated from
other E. coli by a PCR targeting the ipaH-gene; this is a multicopy gene
exclusively found in all Shigella and EIEC. It is possible to differentiate
Shigella and all E. coli, including EIEC, by using multiple tests, including ipaH
gene PCR, physiological and biochemical typing and serological typing. Based on
literature study, a key is designed for daily use in diagnostic laboratories to
identify Shigella and all E. coli.
PMID- 21901637
TI - Dealing with initial inconclusive serological results for chronic Chagas disease
in clinical practice.
AB - Most guidelines for Chagas disease recommend the performance of two serological
tests in order to detect it. However, inconclusive results may arise from this
strategy. The aim was to describe whether serological follow-up together with the
patient's clinical characteristics could clarify the outcome of patients with
initial inconclusive test results. In this retrospective case series, all results
of Chagas disease serological tests and outpatient visits recorded from 2004 to
2008 were screened for inclusion. The inclusion criterion was clinical suspicion
of chronic Chagas disease and the exclusion criteria were previous diagnosis of
Chagas disease, suspicion of acute Chagas disease, and serological tests with no
corresponding medical evaluation. A total of 1,732 patients were analyzed.
Chronic Chagas disease prevalence was 21.1%. After the initial set of serological
tests, 2.9% of patients had inconclusive test results. Most of these patients had
definite diagnosis after clinical follow-up and the repetition of serological
tests in a new blood sample. Loss to follow-up while partaking in the diagnostic
investigation reached 17.7%. The prevalence of initial inconclusive serological
tests for chronic Chagas disease is low. Clinical evaluations and follow-up
clarify the definite diagnosis. Noncompliance to follow-up is a frequent problem.
Strategies to reduce inconclusive results and noncompliance are discussed.
PMID- 21901638
TI - High pentraxin-3 plasma levels associate with thrombocytopenia in acute Puumala
hantavirus-induced nephropathia epidemica.
AB - Our aim was to investigate whether plasma levels of the long pentraxin-3 (PTX3)
associate with the severity of Puumala hantavirus-induced nephropathia epidemica
(NE). Sixty-one prospectively identified consecutively hospitalized NE patients
were examined. Plasma PTX3, interleukin (IL)-6, terminal complement complex SC5b
9, complement component C3, C-reactive protein (CRP), creatinine, sodium,
kynurenine, and tryptophan levels, as well as the blood cell count, were
determined for up to five consecutive days after hospitalization. Receiver
operating characteristic (ROC) analysis revealed that the maximum PTX3 level
>101.6 ng/ml (high PTX3) showed a sensitivity of 71% and a specificity of 89% for
detecting platelet level <50 * 10(9)/l, with an area under the curve (AUC) value
of 0.78 (95% confidence interval [CI] 0.63-0.94). High PTX3 level was also
associated with several other variables reflecting the severity of the disease:
patients with high PTX3 level had higher maximum blood leukocyte (16.1 vs. 9.7 *
10(9)/l, p < 0.001), plasma IL-6 (16.9 vs. 9.0 pg/ml, p = 0.007), and creatinine
(282 vs. 124 MUmol/l, p = 0.007) levels than patients with low maximum PTX3
level. They also had longer hospital stays (8 vs. 5 days, p = 0.015) compared to
patients with low PTX3 level. High plasma PTX3 levels are associated with
thrombocytopenia and the overall severity of NE.
PMID- 21901639
TI - Differential gene expression of muscle-specific ubiquitin ligase MAFbx/Atrogin-1
and MuRF1 in response to immobilization-induced atrophy of slow-twitch and fast
twitch muscles.
AB - We examined muscle-specific ubiquitin ligases MAFbx/Atrogin-1 and MuRF1 gene
expression resulting from immobilization-induced skeletal muscle atrophy of slow
twitch soleus and fast-twitch plantaris muscles. Male C57BL/6 mice were subjected
to hindlimb immobilization, which induced similar percentage decreases in muscle
mass in the soleus and plantaris muscles. Expression of MAFbx/Atrogin-1 and MuRF1
was significantly greater in the plantaris muscle than in the soleus muscle
during the early stage of atrophy. After a 3-day period of atrophy, total FOXO3a
protein level had increased in both muscles, while phosphorylated FOXO3a protein
had decreased in the plantaris muscle, but not in the soleus muscle. PGC-1alpha
protein expression did not change following immobilization in both muscles, but
basal PGC-1alpha protein in the soleus was markedly higher than that in plantaris
muscles. These data suggest that although soleus and plantaris muscles atrophied
to a similar extent and that muscle-specific ubiquitin protein ligases (E3) may
contribute more to the atrophy of fast-twitch muscle than to that of slow-twitch
muscle during immobilization.
PMID- 21901640
TI - Sarcomere length-dependent Ca2+ activation in skinned rabbit psoas muscle fibers:
coordinated regulation of thin filament cooperative activation and passive force.
AB - In skeletal muscle, active force production varies as a function of sarcomere
length (SL). It has been considered that this SL dependence results simply from a
change in the overlap length between the thick and thin filaments. The purpose of
this study was to provide a systematic understanding of the SL-dependent increase
in Ca(2+) sensitivity in skeletal muscle, by investigating how thin filament "on
off" switching and passive force are involved in the regulation. Rabbit psoas
muscles were skinned, and active force measurements were taken at various Ca(2+)
concentrations with single fibers, in the short (2.0 and 2.4 MUm) and long (2.4
and 2.8 MUm) SL ranges. Despite the same magnitude of SL elongation, the SL
dependent increase in Ca(2+) sensitivity was more pronounced in the long SL
range. MgADP (3 mM) increased the rate of rise of active force and attenuated SL
dependent Ca(2+) activation in both SL ranges. Conversely, inorganic phosphate
(Pi, 20 mM) decreased the rate of rise of active force and enhanced SL-dependent
Ca(2+) activation in both SL ranges. Our analyses revealed that, in the absence
and presence of MgADP or Pi, the magnitude of SL-dependent Ca(2+) activation was
(1) inversely correlated with the rate of rise of active force, and (2) in
proportion to passive force. These findings suggest that the SL dependence of
active force in skeletal muscle is regulated via thin filament "on-off" switching
and titin (connectin)-based interfilament lattice spacing modulation in a
coordinated fashion, in addition to the regulation via the filament overlap.
PMID- 21901641
TI - Intermittent arm ischemia induces vasodilatation of the contralateral upper limb.
AB - Intermittent arm ischemia before percutaneous coronary intervention induces
remote ischemic preconditioning (RIPC) and attenuates myocardial injury in
patients with myocardial infarction. Several studies have shown that intermittent
arm ischemia increases coronary flow and is related to autonomic nerve system.
The aim of this study was to determine whether intermittent arm ischemia induces
vasodilatation of other arteries and to assess changes in the autonomic nerve
system during intermittent arm ischemia in humans. We measured change in the
right brachial artery diameter during intermittent left arm ischemia through
three cycles of 5-min inflation (200 mmHg) and 5-min deflation of a blood
pressure cuff using a 10-MHz linear array transducer probe in 20 healthy
volunteers. We simultaneously performed power spectral analysis of heart rate.
Ischemia-reperfusion of the left arm significantly dilated the right brachial
artery time-dependently, resulting in a 3.2 +/- 0.4% increase after the 3rd
cycle. In the power spectral analysis of heart rate, the high-frequency domain
(HF), which is a marker of parasympathetic activity, was significantly higher
after the 3rd cycle of ischemia-reperfusion than baseline HF (P = 0.02).
Intermittent arm ischemia was accompanied by vasodilatation of another artery and
enhancement of parasympathetic activity. Those effects may play an important role
in the mechanism of RIPC.
PMID- 21901643
TI - Independent risk factors for cardiac operations in adults with congenital heart
disease: a retrospective study of 543 operations for 500 patients.
AB - Adults with congenital heart disease (CHD) are an increasing population requiring
cardiac operations. To date, the perioperative risk factors for this group have
not been identified. This study aimed to identify clinical, morphologic, and
hemodynamic risk factors for an adverse outcome. This study retrospectively
analyzed a cohort of 500 patients (ages >16 years) who underwent 543 operations
between January 2004 and December 2008 at a single center. The composite end
point of an adverse outcome was in-hospital death, a prolonged intensive care
exceeding 4 days, or both. The composite end point was reached by 253 of the
patients (50.6%). Of the 500 patients, 13 (2.6%) died within 30 days after the
operation. After logistic regression analysis, the following eight items remained
significant: male gender (P = 0.003; odds ratio [OR] 1.8; 95% confidence interval
[CI] 1.2-2.6), cyanosis (P > 0.006; OR 3.7; 95% CI 1.5-9.4), functional class
exceeding 2 (P = 0.004; OR 2.2; 95% CI 1.3-3.7), chromosomal abnormalities (P =
0.004; OR 3.3; 95% CI 1.4-7.7), impaired renal function (P = 0.019; OR 3.8; 95%
CI 1.2-11.5), systemic right ventricle (RV) in a biventricular circulation (P =
0.027; OR 3.3; 95% CI 1.1-9.5), enlargement of the systemic ventricle (P = 0.011;
OR 1.7; 95% CI 1.1-2.6), and operation with extracorporeal circulation (P =
0.002; OR 4.3; 95% CI 1.7-11.4). Early mortality in the current adult CHD
population is low. Morbidity, however, is significant and influenced by the
patients' conditions (male gender, chromosomal abnormalities), history (cyanosis,
New York Hospital Association [NYHA] class), and underlying morphology (systemic
RV). This information for a large cohort of patients could help progress toward
more adequate counseling for adults with a congenital heart defect.
PMID- 21901644
TI - Assessment of coronary flow reserve in the coronary sinus by cine 3T-magnetic
resonance imaging in young adults after surgery for tetralogy of Fallot.
AB - This study aimed to evaluate CFR by assessing blood flow in the coronary sinus
and systemic endothelial function measured by FMD of the brachial artery in an
open prospective study of 10 control subjects and 10 patients (ages, 15-25 years)
who have undergone surgical TOF repair. Reduced ventricular function, impaired
exercise capacity, and ventricular arrhythmia have been proposed as risk factors
for sudden cardiac death after surgical repair of TOF. Some of this may be
related to impaired myocardial perfusion. A 3.0T GE Signa Excite scanner was used
to achieve phase-contrast, velocity-encoding cine magnetic resonance imaging in
the coronary sinus before and during infusion with adenosine (0.14 mg/kg/min).
FMD was measured in the brachial artery before arterial occlusion and 5 min
afterward. The TOF group demonstrated significantly higher volumetric blood flow
in the coronary sinus (282 +/- 63 ml/min) than the normal control subjects at
rest (184 +/- 57 ml/min) (P = 0.006). During adenosine infusion, this difference
disappeared. The CFR was 2.00 +/- 0.43 in the control group and 1.19 +/- 0.34 in
the TOF group (P = 0.002). No correlation between FMD and CFR was observed in the
study group (r (s) = 0.61, n = 8, P = 0.15). This study showed a reduced CFR due
to a higher blood flow of the subject at rest in the TOF group. This reduced CFR
may disable a normal adaptation to increased oxygen demand during exercise and
increase myocardial vulnerability to reduced blood supply postoperatively for TOF
patients with coronary heart disease.
PMID- 21901645
TI - Sexual dimorphism and personality attributions of male faces.
AB - Individuals tend to judge personality traits on the basis of physical
characteristics, particularly facial traits, although this phenomenon has been
mostly studied in relation to the halo effect of attractiveness. However, there
are other facial traits which may also have an impact on personality
attributions; here, we focused on masculinity. We carried out principal component
analysis (PCA) of 15 anthropometric measurements from 71 male faces, resulting in
three components: Face Height (C1), Inner Face Breadth (C2), and Cheekbones-Jaw
Prominence (C3). The targets' photographs were rated by 210 women and 177 men on
scales for masculinity, attractiveness, and nine psychological characteristics
(selected Cattell's factors): Warmth, Reasoning, Emotional Stability, Dominance,
Liveliness, Rule-Consciousness, Social Boldness, Abstractedness, and Privateness.
We found that masculinity correlated positively with ratings of Dominance and
Social Boldness and that masculinity rated by men correlated positively with
ratings of Emotional Stability and Privateness. We found no relationship between
masculinity rated by women and the PCA components, while masculinity rated by men
correlated negatively with C2 (possibly related to babyface features) and
positively with C3 (which included features developed under the control of
testosterone, such as jaw prominence). Our results imply sex differences in
masculinity ratings. In particular, men used Cheekbones-Jaw Prominence and Inner
Face Breadth as cues for masculinity judgments; on the other hand, women
apparently perceive masculinity in a more holistic way.
PMID- 21901646
TI - Effects of partner beauty on opposite-sex attractiveness judgments.
AB - Many studies show mate choice copying effects on mate preferences in non-human
species in which individuals follow or copy the mate choices of same-sex
conspecifics. Recent studies suggest that social learning also influences mate
preferences in humans. Studies on heterosexual humans have focused on rating the
attractiveness of potential mates (targets) presented alongside individuals of
the opposite sex to the target (models). Here, we examined several different
types of pairing to examine how specific social learning is to mate preferences.
In Study 1, we replicated a previous effect whereby target faces of the opposite
sex to the subject were rated as more attractive when paired with attractive than
unattractive partner models of the same sex as the subject. Using the same paired
stimuli, Study 2 demonstrated no effect of a paired model if subjects were asked
to rate targets who were the same sex as themselves. In Study 3, we used pairs of
the same sex, stating the pair were friends, and subjects rated targets of the
opposite sex to themselves. Attractive models decreased targets' attractiveness,
opposite to the effect in Study 1. Finally, Study 4 examined if attractive versus
unattractive non-face stimuli might influence attraction. Unlike in Study 1,
pairing with attractive stimuli either had no effect or decreased the
attractiveness of paired target face images. These data suggest that social
transmission of preferences via pairing with attractive/unattractive images is
relatively specific to learning about mate preferences but does not influence
attractiveness judgments more generally.
PMID- 21901648
TI - [Traumatic tricuspid valve insufficiency with right-to-left shunt: bridging using
extracorporeal venovenous membrane oxygenation].
AB - The case of a young male motor vehicle driver is reported who suffered multiple
trauma in a car accident with pulmonary and cardiac contusions. In the course of
severe pneumonia and traumatic tricuspid valve insufficiency a right-to-left
shunt with refractory hypoxemia developed across a pre-existing atrial septal
defect (ASD). The patient could be successfully treated by the combination of
extracorporeal membrane oxygenation for bridging, interventional ASD occlusion
and in the long-term by operative reconstruction of the tricuspid valve.
PMID- 21901649
TI - Challenges and opportunities in implementing the FDA default parametric tolerance
interval two one-sided test for delivered dose uniformity of orally inhaled
products.
AB - The goal of this article is to discuss considerations regarding implementation of
the parametric tolerance interval two one-sided test (PTI-TOST) for delivered
dose uniformity (DDU) of orally inhaled products (OIPs). That test was proposed
by FDA in 2005 as an alternative to the counting test described in the 1998 draft
FDA guidance for metered dose inhalers and dry powder inhalers. The 2005 PTI
TOST, however, still has not found much use in practice despite the general
desirability of parametric approaches in modern pharmaceutical quality control. A
key reason for its slow uptake is that it rejects, with high probability, batches
whose quality is considered acceptable by all other published regulatory and
pharmacopeial standards as well as by the DDU specifications for many approved
OIPs. Manufacturers therefore continue using nonparametric counting tests for
control of DDU. A simulated case study presented here compares the consequences
of the PTI-TOST compared to the counting test. The article discusses three
possibilities that would help increase the uptake of the PTI-TOST approach,
namely: product-specific quality standards, a different default standard suitable
for the majority of OIPs, and integration of the PTI-TOST with a continuous
verification control strategy rather than using it as an isolated-batch
(transactional) end-product testing. In any of these efforts, if a parametric
test is used, it is critical not to set the target quality close to, or at the
boundary of the process/product capabilities, because PTI tests are designed to
reject with high probability the identified target quality.
PMID- 21901651
TI - Ongoing problems with authorship.
PMID- 21901650
TI - Prevention--a cost-effective way to fight the non-communicable disease epidemic:
an academic perspective of the United Nations High-level NCD Meeting.
AB - The United Nations General Assembly has convened a Summit on non-communicable
diseases (NCDs), an historic moment in the global combat of these disorders.
Lifestyles in increasingly urban and globalised environments have led to a steep
surge in NCD incidence in low and middle income countries, where two thirds of
all NCD deaths occur (most importantly from cancer, cardiovascular and
respiratory disease as well as diabetes). Treatment of NCDs is usually long term
and expensive, thus threatening patients' and nations' budgets and putting them
at high risk for poverty. The NCD Summit offers an opportunity for strengthening
and shaping primary prevention, the most cost-effective instrument to fight major
risk factors such as tobacco smoking, alcohol abuse, physical inactivity and
unhealthy diet. From a Swiss perspective, we also emphasised the efforts for new
laws on prevention and diagnosis registration, in accordance with the
recommendations of the NCD summit in order to strengthen primary prevention and
disease monitoring. In addition, the need for structural prevention across all
policy sectors with leadership in environmental policy making to prevent NCDs as
well as the need to adapt and strengthen primary health care are equally relevant
for Switzerland. To compliment efforts in primary prevention, the field of NCDs
requires special R&D platforms for affordable NCD drugs and diagnostics for
neglected population segments in both Switzerland and low and middle income
countries. Switzerland has a track record in research and development against
diseases of poverty on a global scale that now needs to be applied to NCDs.
PMID- 21901652
TI - Metal storage disorders. Forward.
PMID- 21901653
TI - Clinical molecular diagnosis of Wilson disease.
AB - Wilson disease is an autosomal recessive disorder of copper transport
characterized by toxic accumulation of copper in the liver, brain, and other
organs. It is lethal if untreated, but effective treatment is available. The
broad spectrum of clinical manifestations, including hepatic and neuropsychiatric
symptoms, can present over a large age range, contributing to difficulty in
recognition of this disease. The diagnosis has traditionally rested on
measurements of ceruloplasmin and copper in urine and liver, but it remains a
challenge due to ambiguous biochemical results that can overlap with healthy
carriers. Although hepatic copper concentration has been the gold standard for
diagnosis, direct sequencing of the ATP7B gene is sensitive, specific, and can
obviate the need for invasive liver biopsy. In this article, the authors review
the sensitivity, limitations, and pitfalls of ATP7B sequencing in the diagnosis
of Wilson disease. ATP7B sequencing should be standard practice in the diagnosis
of Wilson disease.
PMID- 21901654
TI - Pathology of the liver in copper overload.
AB - Copper accumulation in the liver is associated with cellular and apoptotic
injury. Wilson disease is the most well-characterized disorder of disordered
copper metabolism. Other less-common disorders include Indian childhood
cirrhosis, endemic Tyrolean infantile cirrhosis, and idiopathic copper toxicosis.
The histopathologic spectrum of the liver in Wilson disease is extremely variable
and overlaps among the different entities, though this review will focus on the
pathology of Wilson disease. The findings lack specificity, although
characteristic findings are observed. Unlike other disorders of copper overload,
the pathologic changes are typically sequential, ranging from little or no
significant findings to cirrhosis with or without widespread hepatocellular
damage. Steatosis and glycogenated nuclei are frequent. Staining of copper is an
unreliable method of diagnosis of Wilson disease, whether there are minimal
histologic abnormalities or chronic liver disease. Copper and copper-associated
protein accumulation may also be seen in chronic biliary obstructive processes.
PMID- 21901655
TI - Wilson disease: pathogenesis and clinical considerations in diagnosis and
treatment.
AB - Nearly a century after Dr. Samuel Alexander Kinnier Wilson composed his doctoral
thesis on the pathologic findings of "lenticular degeneration" in the brain
associated with cirrhosis of the liver we know that the underlying molecular
basis for this autosomal recessive inherited disorder that now bears his name is
mutation of a copper transporting ATPase, ATP7B, an intracellular copper
transporter mainly expressed in hepatocytes. Loss of ATP7B function is the basis
for reduced hepatic biliary copper excretion and reduced incorporation of copper
into ceruloplasmin. During the intervening years, there was recognition of the
clinical signs, histologic, biochemical features, and mutation analysis of ATP7B
that characterize and enable diagnosis of this disorder. These include the
presence of signs of liver or neurologic disease and detection of Kayser
Fleischer rings, low ceruloplasmin, elevated urine and hepatic copper, and
associated histologic changes in the liver. Medical therapies and liver
transplantation can effectively treat patients with this once uniformly fatal
disorder. The earlier detection of the disease led to the initiation of treatment
to prevent disease progression and reverse pathologic findings if present, and
family screening to detect the disorder in first-degree relatives is warranted.
Gene therapy and hepatocyte cell transplantation for Wilson disease has only been
tested in animal models but represent future areas for study. Despite all the
advances we still have to consider the diagnosis of Wilson disease to test
patients for this disorder and properly establish the diagnosis before committing
to life-long treatment.
PMID- 21901656
TI - Pathology of hepatic iron overload.
AB - The growing availability of genetic tests for most inherited iron-overload
conditions and our current ability to assess hepatic iron stores, and at a lesser
extent, liver fibrosis by noninvasive methods have reduced the need for liver
biopsy in patients with hepatic iron excess. Histologic evaluation of the liver
remains useful (1) in well-defined genetic iron overload disorders to evaluate
associated hepatic damage, (2) in unclassified genetic or acquired iron excess to
guide etiologic diagnosis and to establish prognosis, and (3) in research studies
for a whole and reliable assessment of the liver. The identification of iron
overload, the description of its cellular and lobular distribution,
semiquantitative assessment of its amount, and inventory of associated lesions,
especially fibrosis, are the pathologist's main objectives.
PMID- 21901658
TI - The molecular pathogenesis of hereditary hemochromatosis.
AB - Hereditary hemochromatosis is a genetic disorder of iron overload. Over the past
15 years, significant advances have been made in understanding the molecular
pathogenesis of this disorder. First, genetic studies linked this disorder to
mutations in several genes, including HFE, transferrin receptor 2 ( TFR2),
hepcidin ( HAMP), ferroportin ( SLC40A1), and hemojuvelin ( HFE2). Recent
progress has generated significant insight into the function of these molecules
in systemic iron homeostasis, and has revealed that despite the genetic and
phenotypic diversity of hereditary hemochromatosis, there are common pathogenic
mechanisms underlying this disease. The common downstream mechanism of iron
overload in hereditary hemochromatosis is abnormal regulation of the hepcidin
ferroportin axis, leading to a failure to prevent excess iron from entering the
circulation. Recent data are starting to unravel the molecular mechanisms by
which iron regulates hepcidin production, and has demonstrated a key role for the
bone morphogenetic protein-hemojuvelin-SMAD signaling pathway in this process.
Future studies will be needed to more fully understand the molecular mechanisms
of iron sensing and the roles of HFE and TFR2 in this process. Here, the authors
review the current state of knowledge on the molecular pathogenesis of hereditary
hemochromatosis.
PMID- 21901659
TI - Natural history and management of HFE-hemochromatosis.
AB - Advances in our knowledge of hereditary hemochromatosis (HH) over the past 150
years have revealed new insights into this common genetic disorder. Meticulous
family and HLA association studies followed ultimately by cloning of the HFE gene
have dramatically changed our understanding of the natural history and
manifestations of HH. Cross-sectional studies demonstrated that HH had a highly
variable clinical and biochemical penetrance in susceptible individuals of
northern European descent. "State-of-the-art" large longitudinal population
studies have accurately defined the natural history. We now recognize that HH is
not as discreet an entity as previously thought because genetic and environmental
modifiers of disease penetrance are increasingly identified as influencing the
clinical course of HH. While phlebotomy remains the cornerstone of therapy, our
diagnostic approach has been refined to incorporate new biochemical, genetic, and
noninvasive methods that complement more traditional approaches. This review aims
to encapsulate this new knowledge in a framework that addresses commonly raised
issues relating to the current natural history, diagnosis, and management of HH
patients.
PMID- 21901657
TI - Hepcidin and ferroportin: the new players in iron metabolism.
AB - Systemic iron homeostasis is regulated by the interaction of the peptide hormone,
hepcidin and the iron exporter, ferroportin. Mutations in FPN1, the gene that
encodes ferroportin, result in iron-overload disease that shows dominant
inheritance and variation in phenotype. The inheritance of ferroportin-linked
disorders can be explained by the finding that ferroportin is a multimer and the
product of the mutant allele participates in multimer formation. The nature of
the ferroportin mutant can explain the variation in phenotype, which is due to
either decreased iron export activity or decreased ability to be downregulated by
hepcidin. Iron export through ferroportin is determined by the concentration of
ferroportin in plasma membrane, which is the result of both synthetic and
degradation events. Ferroportin degradation can occur by hepcidin-dependent and
hepcidin-independent internalization. Ferroportin expression is regulated
transcriptionally and posttranslationally.
PMID- 21901660
TI - Non-HFE hepatic iron overload.
AB - Numerous clinical entities have now been identified to cause pathologic iron
accumulation in the liver. Some are well described and have a verified hereditary
basis; in others the genetic basis is still speculative, while in several cases
nongenetic iron-loading factors are apparent. The non- HFE hemochromatosis
syndromes identifies a subgroup of hereditary iron loading disorders that share
with classic HFE-hemochromatosis, the autosomal recessive trait, the pathogenic
basis (i.e., lack of hepcidin synthesis or activity), and key clinical features.
Yet, they are caused by pathogenic mutations in other genes, such as transferrin
receptor 2 ( TFR2), hepcidin ( HAMP), hemojuvelin ( HJV) , and ferroportin (
FPN), and, unlike HFE-hemochromatosis, are not restricted to Caucasians.
Ferroportin disease, the most common non- HFE hereditary iron-loading disorder,
is caused by a loss of iron export function of FPN resulting in early and
preferential iron accumulation in Kupffer cells and macrophages with high
ferritin levels and low-to-normal transferrin saturation. This autosomal dominant
disorder has milder expressivity than hemochromatosis. Other much rarer genetic
disorders are associated with hepatic iron load, but the clinical picture is
usually dominated by symptoms and signs due to failure of other organs (e.g.,
anemia in atransferrinemia or neurologic defects in aceruloplasminemia). Finally,
in the context of various necro-inflammatory or disease processes (i.e., chronic
viral or metabolic liver diseases), regional or local iron accumulation may occur
that aggravates the clinical course of the underlying disease or limits efficacy
of therapy.
PMID- 21901661
TI - Atypical presentation of Wilson disease.
AB - A 15-year-old Caucasian female on human chorionic gonadotropin (HCG) diet
presented with fever, cholestasis, coagulopathy, hemolytic anemia, and acute
renal dysfunction. Imaging of the biliary system and liver were normal. She
responded to intravenous antibiotics, vitamin K and blood transfusions but
experienced relapse upon discontinuation of antibiotics. She had remission with
reinstitution of antibiotics. Liver biopsy revealed pronounced bile ductular
reaction, bridging fibrosis, and hepatocytic anisocytosis and anisonucleosis with
degenerative enlarged eosinophilic hepatocytes, suggestive of Wilson disease.
Diagnosis of Wilson disease was further established based on the low serum
ceruloplasmin, increased urinary and hepatic copper and presence of Kayser
Fleischer rings. The multisystem involvement of the liver, kidney, blood, and
brain are consistent with Wilson disease; however, the clinical presentation of
cholangitis and reversible coagulopathy is uncommon, and may result from
concurrent acute cholangitis and/or the HCG diet regimen the patient was on.
PMID- 21901662
TI - [Papilledema and echographically detectable retro-orbital dilatation of the sub
arachnoidal space with open fontanelle - six case reports].
AB - This paper analyses the case reports for three children in which a papilledema
occurred before the age of one year. Furthermore, an analysis is also given of
three further case reports for children aged less than one year in which, in
spite of open fontanelle, no papilledema was found, however, a dilatation of the
sub-arachnoidal space was demonstrated echographically. Even in children less
than one year of age in which an open fontanelle still exists and in whom a neuro
paediatric clarification of internal hydrocepalus is made, in spite of
opththalmoscopically inconspicuous findings for the papilla an echography is
indispensable for the evaluation of the sub-arachnoidal space. Here, the early
recognition of a dilatation of the retro-bulbar sub-arachnoidal space can
possibly prevent the occurrence of a consecutive optic atrophy. At the present
time, the data available do not allow the recommendation of an upper age limit
for an echographic examination.
PMID- 21901663
TI - [Quantitative analysis of corneal subbasal nerve plexus with in vivo confocal
laser scanning microscopy].
AB - BACKGROUND: An analysis of the corneal subbasal nerve plexus (SNP) allows an
evaluation of the peripheral neuropathy in cases of degenerative diseases. In
order to study the SNP structures quantitatively the automatically calculated
morphological and topological parameters are required. METHODS: In vivo confocal
laser scanning microscopy (Heidelberg Retina Tomograph II/Rostock Cornea Module)
was performed in healthy volunteers as well as patients with severe diabetic
neuropathy. An adapted image processing algorithm was used to preprocess, segment
and evaluate quantitatively the nerve fibers of the SNP. Data sets were analysed
statistically. RESULTS: The developed algorithm allows an automated detection of
SNP structures. Furthermore, it allows the collection of data based on
morphological and topological parameters. The main parameters that show
significant differences between healthy cornea and cases of diabetic neuropathy
are nerve fibre density and length, number of branching, tortuosity and number of
terminal and crossing points. All parameters of the measurements can be used
isolated, combined or weighted for quantification of the SNP networks.
CONCLUSION: The presented fully automated preprocessing eliminates a large number
of motion-induced artefacts. The quality of the resulting pictures allows an
automated quantification using characteristic measurements. This represents an in
vivo, non-invasive technology analysing degenerative changes of SNP especially in
the course of diabetes mellitus.
PMID- 21901664
TI - [The history of oxygen--from its discovery to its implementation as medical
therapy].
PMID- 21901665
TI - Gastric duplication cysts of the pancreas: clinical presentation and surgical
management.
PMID- 21901666
TI - [Objective assessment of total noise exposure over 24 hours: a cross-sectional
study in Bavaria].
AB - INTRODUCTION: Noise can affect well-being and performance of individuals and
might be associated with an increased risk of cardiovascular events. To date most
epidemiological studies considered exposure from a single source of noise. The EU
Environmental Noise Directive (2002/49/EC) requires a summative measurement of
ambient noise. This study aimed to capture the participants' exposure to
environmental noise by means of personal noise dosimetry. METHODS: Children
(n=628, participation=61%, age 8-12 years), adolescents (n=632,
participation=58%, age 13-17 years) and adults (n=482, participation=40%, age 18
65 years) were selected randomly from the population registry of 4 Bavarian towns
and were invited to participate in a 24-h measurement using noise dosimetry.
Noise exposures during day and night were analyzed separately. In addition,
predictors of noise exposure were assessed. RESULTS: For daytime noise exposure
mean+/-standard deviation were in children 80.0+/-5.8 dB(A), in adolescents
76.0+/-6.2 dB(A), in adults 72.1+/-6.1 dB(A) (p(ANOVA)<0.001). During the day
personal noise exposure was statistically significantly higher for participants
from smaller towns than for those living in Munich, while nighttime noise
exposure was highest for participants from Munich [44.1+/-7.2 dB(A)]. CONCLUSION:
The summative noise exposure in urban Bavaria is high, in particular among
children at daytime. Increased exposure levels in children might be caused by
themselves while, e.g., playing. Whether the higher daytime exposure in towns is
due to high noise levels commuting between home and work has to be assessed in
future studies.
PMID- 21901667
TI - [Type of school, social capital and subjective health in adolescence].
AB - OBJECTIVES: Social capital is increasingly acknowledged as a central determinant
of health. While several studies among adults have shown the importance of social
capital for the explanation of social inequalities in health, few comparable
studies exist which focus on adolescents. The study examines the role of social
capital in different social contexts for the explanation of health inequalities
in adolescence. METHODS: Data were obtained from the 'Health Behaviour in School
aged Children (HBSC)' study in North Rhine-Westphalia from 2006. The sample
includes data of 4323 11-15-year-old students. To analyse the role of social
capital in the contexts family, school, friends and neighbourhood for
inequalities in self-rated health and psychosomatic complaints, logistic
regression models were calculated. The socioeconomic position of the adolescents
was measured by type of school. RESULTS: Adolescents from general schools
reported higher prevalences of fair/poor self-rated health and repeated
psychosomatic complaints than pupils from grammar schools. Social capital in all
4 contexts (family, school, friends, and neighbourhood) was associated with both
health indicators, independent of gender. In the separate analysis the variables
for social capital showed a comparable explanatory contribution and reduced the
odds ratios of self-rated health by 6-9%. The contribution for psychosomatic
complaints was slightly higher with 10-15%. The only exception was social capital
among friends which showed no effect for both health indicators. In the joint
analysis the variables for social capital explained about 15% to 30% of health
inequalities by school type. CONCLUSIONS: The results show that, already in
adolescence, inequalities in subjective health can be partly explained through
socioeconomic differences in the availability of social capital. The settings
family, neighbourhood and school provide ideal contexts for preventive actions
and give the opportunity to directly address the high-risk group of students from
general schools.
PMID- 21901668
TI - [(Inter)national and regional health goals in academic social-medical education
conception for teaching medical students at the Eberhard Karls University
Tuebingen].
AB - BACKGROUND: Social medicine deals with the specific interactions between medicine
and society within a constantly changing social environment. The Institute of
Occupational and Social Medicine, University Hospital Tuebingen, focuses on this
relationship within the academic teaching of the Medical Faculty. Many of the
issues thus directly affect the national health objectives and especially the
health targets of the state of Baden-Wurttemberg, summarised in the Health
Strategy Baden-Wuerttemberg. MATERIAL AND METHODS: In addition to the
recommendations of the German Society for Social Medicine and Prevention (DGSMP)
for the social medicine curriculum and the specific definition of the content by
the Tuebingen medical faculty, national and regional health-care goals are also
taken into account in the teaching conception. RESULTS: Classes are increasingly
offered as training courses in small groups (seminars, group work with practical
training), instead of classic lectures. These teaching methods allow the students
to take part more actively in social medicine issues and to think and act within
a comprehensive understanding of health management based on societal goals and
the needs of a good health system. The concept is supported by the curriculum
design element "log-book skills" of the Medical Faculty of Tuebingen. Feedback
elements for teachers and students shape the further development of the concept.
In dealing with real system data, practical experience on site and case
vignettes, the students experience the links between societal influences,
political objectives and medical action as well as the importance of
accessibility of medical services for equity in health chances. CONCLUSIONS: The
fact that advice and expertise play a crucial role in accessibility is a
component to which too little attention is paid and calls for emphasis in the
teaching concept. This teaching approach will deepen the understanding of the
influence of psychosocial context factors and the conditions of the structural
framework on the medical outcome. Furthermore there is a need for providing
knowledge and special skills, which enable medical doctors to guide their
patients optimally within the healthcare system and to make their contribution to
a good system.
PMID- 21901669
TI - Common buffers and stock solutions.
PMID- 21901670
TI - A status update of modified oligonucleotides for chemotherapeutics applications.
AB - This unit presents an update of recent developments and clinical progress in
chemically modified oliogonucleotides useful for therapeutic applications. During
the last decade, the number of therapeutic oligonucleotides in clinical trials
has nearly tripled. This is primarily due to advances in the synthesis protocols,
better understanding of the biology, improved delivery, and better formulation
technologies. Currently, over 100 clinical trials with oligonucleotide-based
drugs are ongoing in the United States for potential treatment of a variety of
life-threatening diseases. Among various oligonucleotides, antisense technology
has been at the forefront, with one product on the market. Antisense technologies
represent about half of the active clinical trials. Similarly, siRNA, aptamers,
spiegelmers microRNA, shRNA, IMO, and CpG have been other active classes of
oligonucleotides that are also undergoing clinical trials. This review attempts
to summarize the current status of synthesis, chemical modifications,
purification, and analysis in light of the rapid progress with multitude of
oligonucleotides pursued as therapeutic modality.
PMID- 21901671
TI - Preparation of photoresponsive DNA tethering ortho-methylated azobenzene as a
supra-photoswitch.
AB - This unit describes synthetic procedures of photoresponsive DNA via a
phosphoramidite monomer composed of D-threoninol as a scaffold and 4-carboxy
2',6'-dimethylazobenzene or 4-carboxy-2'-methylazobenzene that works as a
photoswitch more efficiently than previous nonmodified azobenzene (4
phenylazobenzoic acid). With these newly modified-azobenzenes, photoregulatory
efficiency of DNA hybridization can be greatly improved. Furthermore, thermal
stability of cis-azobenzene of 4-carboxy-2',6'-dimethylazobenzene remarkably
increases compared with the previous non-modified azobenzene.
PMID- 21901672
TI - RNA aptamers and spiegelmers: synthesis, purification, and post-synthetic PEG
conjugation.
AB - This unit describes the solid-phase synthesis and downstream processing for RNA
oligonucleotides with a length of up to 40 to 50 nucleotides on a 1- to 4-mmol
scale with subsequent conjugation to PEG using the L-RNA spiegelmer NOX-E36 as an
example. Following synthesis and two-step deprotection, the crude oligonucleotide
is purified by preparative reversed-phase HPLC and desalted by tangential flow
ultrafiltration. The resulting intermediate amino-modified oligonucleotide is
reacted with NHS-ester-activated PEG, and the oligonucleotide-PEG conjugate is
obtained after preparative AX-HPLC purification, followed by ultrafiltration and
lyophilization. Critical process parameters are described, as well as time
considerations and examples for analytical methods used as in-process and quality
controls.
PMID- 21901673
TI - Use of chromophoric ligands to visually screen co-crystals of putative protein
nucleic acid complexes.
AB - Distinguishing between crystals of protein-nucleic acid complexes and those
containing protein alone is a common problem in structural studies of protein
nucleic acid interactions. Currently, there are several methods available for
detecting nucleic acid in crystals, including gel electrophoresis, SYBR Gold
fluorescence dye staining, and methyl violet staining. However, they require
either that the crystals be sacrificed or access to a fluorescence microscope. In
this protocol, we describe an approach that allows direct visualization of either
the presence or absence of oligonucleotides in crystals grown from solutions
containing both protein and nucleic acid--labeling with the Cy5 dye. In addition
to offering the advantage of being able to distinguish between crystals of
complex and protein alone with the naked eye or a light microscope, crystals of
covalently Cy5-labeled DNA can be directly used for X-ray diffraction data
collection.
PMID- 21901674
TI - Corticosteroids for acute ischaemic stroke.
AB - BACKGROUND: The majority of strokes are due to cerebral infarction. Ischaemic
cerebral tissue tends to develop cytotoxic oedema which, if the blood-brain
barrier is disrupted, may be followed by vasogenic oedema. Large infarcts can
develop life-threatening massive oedema. Early treatment with corticosteroids
could theoretically help reduce both cytotoxic and vasogenic oedema and so
improve the clinical outcome after a stroke. OBJECTIVES: To assess the effect of
corticosteroids in acute presumed ischaemic stroke. SEARCH STRATEGY: We searched
the Cochrane Stroke Group Trials Register (last searched: 17 February 2011).
SELECTION CRITERIA: Published randomised trials comparing corticosteroids with
placebo or a control group in people with acute (presumed or definite) ischaemic
stroke. Trials were included if treatment began within 48 hours of stroke onset
and if clinical outcomes were assessed. DATA COLLECTION AND ANALYSIS: Two review
authors independently applied the inclusion criteria, assessed trial quality and
extracted the data. MAIN RESULTS: Eight trials involving 466 people were
included. Details of trial quality that may relate to bias were not available for
most trials. No difference was shown in the odds of death within one year (odds
ratio (OR) 0.87, 95% confidence interval (CI) 0.57 to 1.34). Treatment did not
appear to improve functional outcome in survivors. Seven trials reported
neurological impairment but pooling the data was impossible because no common
scale or time interval was used. The results were inconsistent between individual
trials. The only adverse effects reported were small numbers of gastrointestinal
bleeds, infections and deterioration of hyperglycaemia across both groups. The
results are unchanged since the previous update. AUTHORS' CONCLUSIONS: There is
not enough evidence to evaluate corticosteroid treatment for people with acute
presumed ischaemic stroke. The conclusions are unchanged since the previous
update.
PMID- 21901675
TI - Counselling for mental health and psychosocial problems in primary care.
AB - BACKGROUND: The prevalence of mental health and psychosocial problems in primary
care is high. Counselling is a potential treatment for these patients, but there
is a lack of consensus over the effectiveness of this treatment in primary care.
OBJECTIVES: To assess the effectiveness and cost effectiveness of counselling for
patients with mental health and psychosocial problems in primary care. SEARCH
STRATEGY: To update the review, the following electronic databases were searched:
the Cochrane Collaboration Depression, Anxiety and Neurosis (CCDAN) trials
registers (to December 2010), MEDLINE, EMBASE, PsycINFO and the Cochrane Central
Register of Controlled Trials (to May 2011). SELECTION CRITERIA: Randomised
controlled trials of counselling for mental health and psychosocial problems in
primary care. DATA COLLECTION AND ANALYSIS: Data were extracted using a
standardised data extraction sheet by two reviewers. Trials were rated for
quality by two reviewers using Cochrane risk of bias criteria, to assess the
extent to which their design and conduct were likely to have prevented systematic
error. Continuous measures of outcome were combined using standardised mean
differences. An overall effect size was calculated for each outcome with 95%
confidence intervals (CI). Continuous data from different measuring instruments
were transformed into a standard effect size by dividing mean values by standard
deviations. Sensitivity analyses were undertaken to test the robustness of the
results. Economic analyses were summarised in narrative form. There was no
assessment of adverse events. MAIN RESULTS: Nine trials were included in the
review, involving 1384 randomised participants. Studies varied in risk of bias,
although two studies were identified as being at high risk of selection bias
because of problems with concealment of allocation. All studies were from primary
care in the United Kingdom and thus comparability was high. The analysis found
significantly greater clinical effectiveness in the counselling group compared
with usual care in terms of mental health outcomes in the short-term
(standardised mean difference -0.28, 95% CI -0.43 to -0.13, n = 772, 6 trials)
but not in the long-term (standardised mean difference -0.09, 95% CI -0.27 to
0.10, n = 475, 4 trials), nor on measures of social function (standardised mean
difference -0.09, 95% CI -0.29 to 0.11, n = 386, 3 trials). Levels of
satisfaction with counselling were high. There was some evidence that the overall
costs of counselling and usual care were similar. There were limited comparisons
between counselling and other psychological therapies, medication, or other
psychosocial interventions. AUTHORS' CONCLUSIONS: Counselling is associated with
significantly greater clinical effectiveness in short-term mental health outcomes
compared to usual care, but provides no additional advantages in the long-term.
Participants were satisfied with counselling. Although some types of health care
utilisation may be reduced, counselling does not seem to reduce overall
healthcare costs. The generalisability of these findings to settings outside the
United Kingdom is unclear.
PMID- 21901676
TI - Intravenous or enteral loop diuretics for preterm infants with (or developing)
chronic lung disease.
AB - BACKGROUND: Lung disease in preterm infants is often complicated with lung edema.
OBJECTIVES: To assess the risks and benefits of administration of a diuretic
acting on the loop of Henle (loop diuretic) in preterm infants with or developing
chronic lung disease (CLD). SEARCH STRATEGY: Standard search method of the
Cochrane Neonatal Review Group was used. Initial search included the Cochrane
Central Register of Controlled Trials (CENTRAL, The Cochrane Library, Issue 1,
2003), MEDLINE (1966 to April 2003), EMBASE (1974 to 1998). In addition, several
abstract books of national and international American and European Societies were
hand searched. The MEDLINE and the Cochrane Central searches were updated in
March 2007 and December 2010. The EMBASE search was completed in April 2007 and
December 2010. Additional searches in CINAHL, clinicaltrials.gov and controlled
trials.com was completed in December 2010. SELECTION CRITERIA: Trials in which
preterm infants with or developing chronic lung disease and at least five days of
age were all randomly allocated to receive a loop diuretic either enterally or
intravenously were included in this analysis. DATA COLLECTION AND ANALYSIS: The
standard method for the Cochrane Collaboration described in the Cochrane
Collaboration Handbook were used. Two investigators extracted, assessed and coded
separately all data for each study. Parallel and cross-over trials were combined
and, whenever possible, transformed baseline and final outcome data measured on a
continuous scale into change scores using Follmann's formula. MAIN RESULTS: The
only loop diuretic used in the six studies that met the selection criteria was
furosemide. Most studies focused on pathophysiological parameters and did not
assess effects on important clinical outcomes defined in this review, or the
potential complications of diuretic therapy. In preterm infants < 3 weeks of age
developing CLD, furosemide administration has either inconsistent effects or no
detectable effect. In infants > 3 weeks of age with CLD, a single intravenous
dose of 1 mg/kg of furosemide improves lung compliance and airway resistance for
one hour. Chronic administration of furosemide improves both oxygenation and lung
compliance. AUTHORS' CONCLUSIONS: In view of the lack of data from randomized
trials concerning effects on important clinical outcomes, routine or sustained
use of systemic loop diuretics in infants with (or developing) CLD cannot be
recommended based on current evidence. Randomized trials are needed to assess the
effects of furosemide administration on survival, duration of ventilatory support
and oxygen administration, length of hospital stay, potential complications and
long-term outcome.
PMID- 21901677
TI - Mechanical bowel preparation for elective colorectal surgery.
AB - BACKGROUND: The presence of bowel contents during colorectal surgery has been
related to anastomotic leakage, but the belief that mechanical bowel preparation
(MBP) is an efficient agent against leakage and infectious complications is based
on observational data and expert opinions only.An enema before the rectal surgery
to clean the rectum and facilitate the manipulation for the mechanical
anastomosis is used for many surgeons. This is analysed separately OBJECTIVES: To
determine the security and effectiveness of MBP on morbidity and mortality in
colorectal surgery. SEARCH STRATEGY: Publications describing trials of MBP before
elective colorectal surgery were sought through searches of MEDLINE, EMBASE,
LILACS, IBECS and The Cochrane Library; by handsearching relevant medical
journals and conference proceedings, and through personal communication with
colleagues.Searches were performed December 1, 2010. SELECTION CRITERIA:
Randomised controlled trials (RCTs) including participants submitted for elective
colorectal surgery. Eligible interventions included any type of MBP compared with
no MBP. Primary outcomes included anastomosis leakage - both rectal and colonic -
and combined figures. Secondary outcomes included mortality, peritonitis,
reoperation, wound infection, extra-abdominal complications, and overall surgical
site infections. DATA COLLECTION AND ANALYSIS: Data were independently extracted
and checked. The methodological quality of each trial was assessed. Details of
randomisation, blinding, type of analysis, and number lost to follow up were
recorded. For analysis, the Peto-Odds Ratio (OR) was used as the default (no
statistical heterogeneity was observed). MAIN RESULTS: At this update six trials
and a new comparison (Mechanical bowel preparation versus enema) were added.
Altogether eighteen trials were analysed, with 5805 participants; 2906 allocated
to MBP (Group A), and 2899 to no preparation (Group B), before elective
colorectal surgery.For the comparison Mechanical Bowel Preparation Versus No
Mechanical Bowel Preparation results were:1. Anastomotic leakage for low anterior
resection: 8.8% (38/431) of Group A, compared with 10.3% (43/415) of Group B;
Peto OR 0.88 [0.55, 1.40].2. Anastomotic leakage for colonic surgery: 3.0%
(47/1559) of Group A, compared with 3.5% (56/1588) of Group B; Peto OR 0.85
[0.58, 1.26].3. Overall anastomotic leakage: 4.4% (101/2275) of Group A, compared
with 4.5% (103/2258) of Group B; Peto OR 0.99 [0.74, 1.31].4. Wound infection:
9.6% (223/2305) of Group A, compared with 8.5% (196/2290) of Group B; Peto OR
1.16 [0.95, 1.42].Sensitivity analyses did not produce any differences in overall
results.For the comparison Mechanical Bowel Preparation (A) Versus Rectal Enema
(B) results were:1. Anastomotic leakage after rectal surgery: 7.4% (8/107) of
Group A, compared with 7.9% (7/88) of Group B; Peto OR 0.93 [0.34, 2.52].2.
Anastomotic leakage after colonic surgery: 4.0% (11/269) of Group A, compared
with 2.0% (6/299) of Group B; Peto OR 2.15 [0.79, 5.84].3. Overall anastomotic
leakage: 4.4% (27/601) of Group A, compared with 3.4% (21/609) of Group B; Peto
OR 1.32 [0.74, 2.36].4. Wound infection: 9.9% (60/601) of Group A, compared with
8.0% (49/609) of Group B; Peto OR 1.26 [0.85, 1.88]. AUTHORS' CONCLUSIONS:
Despite the inclusion of more studies with a total of 5805 participants, there is
no statistically significant evidence that patients benefit from mechanical bowel
preparation, nor the use of rectal enemas. In colonic surgery the bowel cleansing
can be safely omitted and induces no lower complication rate. The few studies
focused in rectal surgery suggested that mechanical bowel preparation could be
used selectively, even though no significant effect was found. Further research
on patients submitted for elective rectal surgery, below the peritoneal verge, in
whom bowel continuity is restored, and studies with patients submitted to
laparoscopic surgeries are still warranted.
PMID- 21901678
TI - Bromperidol decanoate (depot) for schizophrenia.
AB - BACKGROUND: Antipsychotic drugs are the mainstay treatment for schizophrenia.
Long-acting depot injections of drugs such as bromperidol decanoate are
extensively used as a means of long-term maintenance treatment. OBJECTIVES: To
assess the effects of depot bromperidol versus placebo, oral antipsychotics and
other depot antipsychotic preparations for people with schizophrenia in terms of
clinical, social and economic outcomes. SEARCH STRATEGY: For this 2011 update we
searched the Cochrane Schizophrenia Group's Register (February 2011). SELECTION
CRITERIA: We sought all randomised trials focusing on people with schizophrenia
where depot bromperidol, oral antipsychotics or other depot preparations. Primary
outcomes were clinically significant change in global function, service
utilisation outcomes (hospital admission, days in hospital), relapse. DATA
COLLECTION AND ANALYSIS: For this 2011 update MP independently extracted data,
CEA carried out the reliability check. We calculated fixed-effect risk ratios
(RR) and 95% confidence intervals (CI) for dichotomous data, and calculated
weighted or standardised means for continuous data. Where possible, we calculated
the number needed to treat statistic (NNT). Analysis was by intention-to-treat.
MAIN RESULTS: We have included no new trials in this 2011 update (4 RCTs, total n
= 117). A single, small study of six months' duration compared bromperidol
decanoate with placebo injection. Similar numbers left the study before
completion (n = 20, 1 RCT, RR 0.4 CI 0.1 to 1.6) and there were no clear
differences between bromperidol decanoate and placebo for a list of adverse
effects (n = 20, 1 RCT, RR akathisia 2.0 CI 0.21 to 18.69, RR increased weight
3.0 CI 0.14 to 65.9, RR tremor 0.33 CI 0.04 to 2.69). When bromperidol decanoate
was compared with fluphenazine depot, we found no important change on global
outcome (n = 30, RR no clinical important improvement 1.50 CI 0.29 to 7.73).
People allocated to fluphenazine decanoate and haloperidol decanoate had fewer
relapses than those given bromperidol decanoate (n = 77, RR 3.92 Cl 1.05 to
14.60, NNH 6 CI 2 to 341). People allocated bromperidol decanoate required
additional antipsychotic medication somewhat more frequently than those taking
fluphenazine decanoate and haloperidol decanoate, but the results did not reach
conventional levels of statistical significance (n = 77, 2 RCTs, RR 1.72 CI 0.7
to 4.2). The use of benzodiazepine drugs was very similar in both groups (n = 77,
2 RCTs, RR 1.08 CI 0.68 to 1.70). People left the bromperidol decanoate group
more frequent than those taking other depot preparation due to any cause (n = 97,
3 RCTs, RR 2.17 CI 1.00 to 4.73). Anticholinergic adverse effects were equally
common between bromperidol and other depots (n = 47, RR 3.13 CI 0.7 to 14.0) and
additional anticholinergic medication was needed with equal frequency in both
depot groups, although results did tend to favour the bromperidol decanoate group
(n = 97, 3 RCTs, RR 0.80 CI 0.64 to 1.01). The incidence of movement disorders
was similar in both depot groups (n = 77, 2 RCTs, RR 0.74 CI 0.47 to 1.17).
AUTHORS' CONCLUSIONS: Minimal poorly reported trial data suggests that
bromperidol decanoate may be better than placebo injection but less valuable than
fluphenazine or haloperidol decanoate. If bromperidol decanoate is available it
may be a viable choice, especially when there are reasons not to use fluphenazine
or haloperidol decanoate. Well-conducted and reported randomised trials are
needed to inform practice.
PMID- 21901679
TI - Diuretics acting on the distal renal tubule for preterm infants with (or
developing) chronic lung disease.
AB - BACKGROUND: Lung disease in preterm infants is often complicated with lung edema.
OBJECTIVES: To assess the risks and benefits of diuretics acting on distal
segments of the renal tubule (distal diuretics) in preterm infants with or
developing chronic lung disease (CLD). SEARCH STRATEGY: The standard method of
the Cochrane Neonatal Review Group were used. Initially, MEDLINE (1966 to
November 2001), EMBASE (1974 to November 2001) and the Cochrane Controlled Trials
Register (CENTRAL,The Cochrane Library, Issue 4, 2001) were searched. In
addition, several abstract books of national and international American and
European Societies were hand searched. Updated searches in April 2003, April
2007, and December 2010 did not yield any additional trials. SELECTION CRITERIA:
Included in this analysis are trials in which preterm infants with or developing
CLD and at least five days of age were randomly allocated to receive a diuretic
acting on the distal renal tubule. Eligible studies needed to assess at least one
of the outcome variables defined a priori for this systematic review. DATA
COLLECTION AND ANALYSIS: The standard method for the Cochrane Collaboration
described in the Cochrane Collaboration Handbook were used. Two investigators
extracted, assessed and coded separately all data for each study. Any
disagreement was resolved by discussion. Parallel and cross-over trials were
combined. Whenever possible, baseline and final outcome data measured on a
continuous scale was transformed into change scores using Follmann's formula.
MAIN RESULTS: Of the six studies fulfilling entry criteria, most focused on
pathophysiological parameters and did not assess effects on important clinical
outcomes defined in this review, or the potential complications of diuretic
therapy.In preterm infants > 3 weeks of age with CLD, a four week treatment with
thiazide and spironolactone improved lung compliance and reduced the need for
furosemide. A single study showed thiazide and spironolactone decreased the risk
of death and tended to decrease the risk for remaining intubated after eight
weeks in infants who did not have access to corticosteroids, bronchodilators or
aminophylline. AUTHORS' CONCLUSIONS: In preterm infants > 3 weeks of age with
CLD, acute and chronic administration of distal diuretics improve pulmonary
mechanics. However, positive effects should be interpreted with caution as the
numbers of patients studied are small in surprisingly few randomized controlled
trials.
PMID- 21901680
TI - Dietary advice with or without oral nutritional supplements for disease-related
malnutrition in adults.
AB - BACKGROUND: Disease-related malnutrition has been reported in 10% to 55% of
people in hospital and the community. Dietary advice encouraging the use of
energy- and nutrient-rich foods rather than oral nutritional supplements has been
suggested as the initial approach for managing disease-related malnutrition.
OBJECTIVES: To examine evidence that dietary advice in adults with disease
related malnutrition improves survival, weight and anthropometry; to estimate the
size of any additional effect of nutritional supplements combined with dietary
advice and to compare the effects of dietary advice with oral nutritional
supplements. SEARCH STRATEGY: Relevant publications were identified from
comprehensive electronic database searches and handsearching.Last search: 14
February 2010. SELECTION CRITERIA: Randomised controlled trials of dietary advice
with or without oral nutritional supplements in people with disease-related
malnutrition in any health-care setting compared with no advice, oral nutritional
supplements or dietary advice given alone. DATA COLLECTION AND ANALYSIS: Two
authors independently assessed trial eligibility, risk of bias and extracted
data. MAIN RESULTS: Forty-five studies (3186 participants) met the inclusion
criteria; (dietary advice compared with: no advice (1053 participants); with oral
nutritional supplements (332 participants); with dietary advice and oral
nutritional supplements (731 participants); and dietary advice plus oral
nutritional supplements compared with no additional intervention (1070
participants). Follow-up ranged from 18 days to 24 months. No comparison showed a
significant difference between groups for mortality or morbidity. There was a
significant change in weight found between groups when comparing dietary advice
to no advice for interventions lasting greater than 12 months, mean difference
3.75 kg (95% confidence interval 0.97 to 6.53), and when all studies were
combined, mean difference 1.47 kg (95% confidence interval 0.32 to 2.61) although
there was significant heterogeneity in the combined analysis (I(2) = 90%).
Similar improvements in weight were found for the comparison of dietary advice
with nutritional supplements if required versus no advice, mean difference 2.20
kg (95% confidence interval 1.16 to 3.25). Dietary advice compared with no advice
was also associated with significantly improved mid-arm muscle circumference when
all studies were combined, but with moderate heterogeneity, mean difference 0.81
mm (95% confidence interval 0.31 to 1.31). Dietary advice given with nutritional
supplements compared with dietary advice alone resulted in improvements in: mid
arm muscle circumference, mean difference -0.89 mm (95% confidence interval -1.35
to -0.43); triceps skinfold thickness, mean difference -1.22 mm (95% confidence
interval -2.34 to -0.09); and grip strength, mean difference -1.67 kg (95%
confidence interval -2.96 to -0.37), although the effects on triceps skinfold
thickness and grip strength were heterogeneous. Dietary advice with supplements
if required resulted in a significant increase in triceps skinfold thickness
compared with no advice, mean difference 0.40 mm (95% confidence interval 0.10 to
0.70), although these results are from a single trial with only 29 participants.
AUTHORS' CONCLUSIONS: Evidence of variable quality suggests that dietary advice
with or without oral nutritional supplements may improve weight, body composition
and grip strength. We found no evidence of benefit of dietary advice or oral
nutritional supplements given alone or in combination on survival. Studies
addressing the impact of nutritional interventions on nutritional, functional and
patient-centred outcomes are needed.
PMID- 21901681
TI - WITHDRAWN: Tamsulosin for benign prostatic hyperplasia.
AB - BACKGROUND: Benign prostatic hyperplasia (BPH) is a nonmalignant enlargement of
the prostate which can result in bothersome lower urinary tract symptoms. The
treatment goal for men with BPH is to relieve these bothersome symptoms.
OBJECTIVES: This systematic review assessed the effects of tamsulosin in the
treatment of lower urinary tract symptoms (LUTS) compatible with BPH. SEARCH
STRATEGY: Trials were searched in computerized general and specialized databases
(MEDLINE, EMBASE, Cochrane Library), by checking bibliographies, and by
contacting manufacturers and researchers. SELECTION CRITERIA: Trials were
eligible if they (1) randomized men with BPH to receive tamsulosin in comparison
with placebo, other BPH medications or surgical interventions and (2) included
clinical outcomes such as urologic symptom scales, symptoms, or urodynamic
measurements, and (3) had a treatment duration of 30 days or longer. Eligibility
was assessed by at least two independent observers. DATA COLLECTION AND ANALYSIS:
Information on patients, interventions, and outcomes were extracted by at least
two independent reviewers using a standard form. The main outcome measure for
comparing the effectiveness of tamsulosin with placebo, medical or surgical
interventions was the change in urologic symptom scale scores. Secondary outcomes
included changes in urinary flow measures (peak urine flow rate). The main
outcome measure for adverse effects was the number of men reporting adverse
effects. MAIN RESULTS: Fourteen studies involving 4122 subjects met inclusion
criteria. Study duration ranged from 4 to 26 weeks, and no placebo-controlled
study lasted longer than 13 weeks. The mean age of subjects was 64 years.
Baseline symptom scores and urine flow rates demonstrated that men had moderate
LUTS. Tamsulosin improved symptoms and peak urine flow relative to placebo. The
weighted mean differences (WMD) for mean change from baseline for the Boyarsky
symptom score for 0.4 mg and 0.8 mg doses of tamsulosin relative to placebo were
1.1 points (95% CI = -1.49 to -0.72; 12% improvement) and -1.6 points (95% CI =
2.3 to -1.0; 16% improvement), respectively. The WMD for mean change from
baseline in peak urine flow were 1.1 mL/sec (95% CI = 0.59 to 1.51) and 1.1
mL/sec (95% CI= 0.65 to 1.48) for 0.4 mg and 0.8 mg, respectively. Tamsulosin
(0.2 mg to 0.4 mg) was as effective as other alpha antagonists and the
phytotherapeutic agent Permixon(r) in improving symptoms and flow rates though
the doses of all alpha-antagonists studied may not have been optimal.
Discontinuations from treatment for any reason and discontinuations "due to
adverse events" were similar in the low dose tamsulosin (0.2 mg) and placebo
groups but increased to 16% in trials utilizing a 0.8 mg dose of tamsulosin. Low
dose tamsulosin was generally well tolerated although not all the trials reported
specific adverse events. The most frequently reported adverse events that were
significantly greater than placebo included dizziness, rhinitis and abnormal
ejaculation. Adverse effects increased markedly as tamsulosin dosing increased,
and were reported in 75% of men receiving the 0.8 mg dose. Men receiving a 0.2 mg
dose tamsulosin were less likely to discontinue treatment compared to men
receiving terazosin. AUTHORS' CONCLUSIONS: Tamsulosin provided a small to
moderate improvement in urinary symptoms and flow compared to men receiving
placebo in men with BPH. Effectiveness was similar to other alpha antagonists and
increased only slightly with higher doses. Long term effectiveness and ability to
reduce complications due to BPH progression could not be determined. Adverse
effects were generally mild but their frequency, including withdrawals, increased
substantially with the higher doses that are generally available for treatment.
PMID- 21901682
TI - WITHDRAWN: Primary prevention for alcohol misuse in young people.
AB - BACKGROUND: Alcohol misuse is a cause of concern for health services, policy
makers, prevention workers, the criminal justice system, youth workers, teachers
and parents. OBJECTIVES: 1. To identify and summarize rigorous evaluations of
psychosocial and educational interventions aimed at the primary prevention of
alcohol misuse by young people. 2. To assess the effectiveness of primary
prevention interventions over the longer-term (> 3 years). SEARCH STRATEGY:
Databases searched (no time limits): Project CORK, BIDS, PSYCLIT, ERIC, ASSIA,
MEDLINE, FAMILY-RESOURCES-DATABASE, HEALTH-PERIODICALS-DATABASE, EMBASE, BIDS,
Dissertation-Abstracts, SIGLE, DRUG-INFO, SOMED, Social-Work-Abstracts, National
Clearinghouse-on-Alcohol-and-Drug-Information, Mental-Health-Abstracts, DRUG
database, ETOH (all searched Feb-June 2002). SELECTION CRITERIA: 1. randomised
controlled and non-randomised controlled and interrupted time series designs. 2.
educational and psychosocial primary prevention interventions for young people up
to 25 years old. 3. alcohol-specific or generic (drugs; lifestyle) interventions
providing alcohol outcomes reported. 4. alcohol outcomes: alcohol use, age of
alcohol initiation, drinking 5+ drinks on any one occasion, drunkeness, alcohol
related violence, alcohol related crime, alcohol related risky behaviour. DATA
COLLECTION AND ANALYSIS: Stage 1: All papers screened by one reviewer against
inclusion criteria. Stage 2: For those papers that passed Stage 1, key
information was extracted from each paper by 2-3 reviewers. MAIN RESULTS: 20 of
the 56 studies included showed evidence of ineffectiveness. No firm conclusions
about the effectiveness of prevention interventions in the short- and medium-term
were possible. Over the longer-term, the Strengthening Families Program (SFP)
showed promise as an effective prevention intervention. The Number Needed to
Treat (NNT) for the SFP over 4 years for three alcohol initiation behaviours
(alcohol use, alcohol use without permission and first drunkeness) was 9 (for all
three behaviours). One study also highlighted the potential value of culturally
focused skills training over the longer-term (NNT=17 over three-and-a-half years
for 4+ drinks in the last week). AUTHORS' CONCLUSIONS: 1. Research into important
outcome variables needs to be undertaken. 2. Methodology of evaluations needs to
be improved. 3. The Strengthening Families Programme needs to be evaluated on a
larger scale and in different settings. 4. Culturally-focused interventions
require further development and rigorous evaluation. 5. An international register
of alcohol and drug misuse prevention interventions should be established and
criteria agreed for rating prevention intervention in terms of safety, efficacy
and effectiveness.
PMID- 21901683
TI - Antihistamines and/or decongestants for otitis media with effusion (OME) in
children.
AB - BACKGROUND: This is an update of a Cochrane Review first published in The
Cochrane Library in Issue 4, 2006.Otitis media with effusion (OME) is common and
may cause hearing loss with associated developmental delay. Treatment remains
controversial. The effectiveness of antihistamines, decongestants and
antihistamine/decongestant combinations in promoting the resolution of effusions
has been assessed by randomized controlled trials. OBJECTIVES: The objective of
this review was to determine whether antihistamine, decongestant or combination
therapy is effective in treating children who present with OME. SEARCH STRATEGY:
We searched the Cochrane Ear, Nose and Throat Disorders Group Trials Register;
the Cochrane Central Register of Controlled Trials (CENTRAL); PubMed; EMBASE;
CINAHL; Web of Science; BIOSIS Previews; Cambridge Scientific Abstracts; ISRCTN
and additional sources for published and unpublished trials. The date of the most
recent search was 1 February 2011, following a previous search in 2006. SELECTION
CRITERIA: Randomized controlled trials (RCTs) using antihistamines, decongestants
or antihistamine/decongestant combinations as treatment for OME in children. We
excluded trials that randomized on the basis of acute otitis media (AOM) even
though OME was also studied in follow up. DATA COLLECTION AND ANALYSIS: Two
authors independently extracted data from the published reports using
standardized data extraction forms and methods. The two authors assessed the
methodological quality of the included studies independently. We expressed
dichotomous results as a risk ratio with 95% confidence intervals using a fixed
effect model when homogeneous and a random-effects model when heterogeneous.
Nearly all outcomes analyzed were homogeneous. We discussed continuous results
qualitatively. We conducted statistical analysis using RevMan 5.1 software. MAIN
RESULTS: Sixteen studies (1880 participants) were included in the review. No
statistical or clinical benefit was found for any of the interventions or
outcomes studied. However, treated study subjects experienced 11% more side
effects than untreated subjects (number needed to treat to harm = 9). AUTHORS'
CONCLUSIONS: The pooled data demonstrate no benefit and some harm from the use of
antihistamines or decongestants alone or in combination in the management of OME,
therefore we recommend against their use.
PMID- 21901684
TI - Clotting factor concentrates given to prevent bleeding and bleeding-related
complications in people with hemophilia A or B.
AB - BACKGROUND: The hallmark of severe hemophilia is recurrent bleeding into joints
and soft tissues with progressive joint damage, notwithstanding on-demand
treatment. Prophylaxis has long been used but not universally adopted because of
medical, psychosocial, and cost controversies. OBJECTIVES: To determine the
effectiveness of clotting factor concentrate prophylaxis in the management of
people with hemophilia A or B. SEARCH STRATEGY: We searched the Cochrane Cystic
Fibrosis and Genetic Disorders Group's Coagulopathies Trials Register. In
addition, we searched major electronic databases (MEDLINE, EMBASE, CENTRAL),
handsearched relevant journals and abstract books and reference lists of relevant
articles.Last search of Group's Coagulopathies Trials Register: 07 April 2011.
SELECTION CRITERIA: Randomised controlled trials and quasi-randomised controlled
trials evaluating people with severe hemophilia A or hemophilia B receiving
prophylactic clotting factor concentrates. DATA COLLECTION AND ANALYSIS: Two
authors independently reviewed studies for eligibility, assessed risk of bias and
extracted data. MAIN RESULTS: Six studies (including 142 participants) were
eligible for inclusion. Two compared three-times-a-week prophylactic
administration with on-demand treatment in children with hemophilia. Pooled
results from these two studies showed a rate ratio of 0.30 (95% confidence
interval; 0.12 to 0.76) for all bleedings and 0.22 (95% confidence interval 0.08
to 0.63) for joint bleedings favouring prophylaxis. Results on the number of
patients with preserved joints after three to seven years of follow-up were not
pooled due to significant heterogeneity. Three of the remaining four studies
evaluated hemophilia A; one showed a statistically significant decrease in
frequency of joint bleeds with prophylaxis compared to placebo, with a rate
difference of -10.73 (95% confidence interval -16.55 to -4.91) bleeds per year.
Two studies compared two prophylaxis regimens, failing to demonstrate an
advantage of one regimen over the other in terms of bleeding frequency. The
fourth study evaluated hemophilia B and showed fewer joint bleeds with weekly (15
IU/kg) versus bi-weekly (7.5 IU/kg) prophylaxis, rate difference -3.30 (95%
confidence interval -5.50 to -1.10) bleeds per year. Non-significant increases in
both inhibitor and infectious complications were observed in patients on
prophylaxis, which occurred more often when using long-term venous access.
AUTHORS' CONCLUSIONS: There is strong evidence from randomised controlled trials
and observational trials that prophylaxis preserves joint function in children
with hemophilia as compared to on-demand treatment. There is insufficient
evidence from randomised controlled trials to confirm the observational evidence
that prophylaxis decreases bleeding and related complications in patients with
existing joint damage. Well-designed randomised controlled trials and prospective
observational controlled studies are needed to establish the best prophylactic
regimen and to assess the effectiveness of prophylactic clotting factor
concentrates in adult patients.
PMID- 21901685
TI - Antibiotics for ureaplasma in the vagina in pregnancy.
AB - BACKGROUND: Preterm birth is a significant perinatal problem contributing to
perinatal morbidity and mortality. Heavy vaginal ureaplasma colonisation is
suspected of playing a role in preterm birth and preterm rupture of the
membranes. Antibiotics are used to treat infections and have been used to treat
pregnant women with preterm prelabour rupture of the membranes, resulting in some
short-term improvements. However, the benefit of using antibiotics in early
pregnancy to treat heavy vaginal colonisation is unclear. OBJECTIVES: To assess
whether antibiotic treatment of pregnant women with heavy vaginal ureaplasma
colonisation reduces the incidence of preterm birth and other adverse pregnancy
outcomes. SEARCH STRATEGY: We searched the Cochrane Pregnancy and Childbirth
Group's Trials Register (31 May 2011). SELECTION CRITERIA: Randomised controlled
trials comparing any antibiotic regimen with placebo or no treatment in pregnant
women with ureaplasma detected in the vagina. DATA COLLECTION AND ANALYSIS: Three
review authors independently assessed eligibility and trial quality and extracted
data. MAIN RESULTS: We included one trial, involving 1071 women. Of these, 644
women between 22 weeks and 32 weeks' gestation were randomly assigned to one of
three groups of antibiotic treatment (n = 174 erythromycin estolate, n = 224
erythromycin stearate, and n = 246 clindamycin hydrochloride) or a placebo (n =
427). Preterm birth data was not reported in this trial. Incidence of low
birthweight less than 2500 grams was only evaluated for erythromycin (combined, n
= 398) compared to placebo (n = 427) and there was no statistically significant
difference between the two groups (risk ratio (RR) 0.70, 95% confidence interval
(CI) 0.46 to 1.07). There were no statistically significant differences in side
effects sufficient to stop treatment between either group (RR 1.25, 95% CI 0.85
to 1.85). AUTHORS' CONCLUSIONS: There is insufficient evidence to assess whether
pregnant women who have vaginal colonisation with ureaplasma should be treated
with antibiotics to prevent preterm birth.Preterm birth is a significant
perinatal problem. Upper genital tract infections, including ureaplasmas, are
suspected of playing a role in preterm birth and preterm rupture of the
membranes. Antibiotics are used to treat women with preterm prelabour rupture of
the membranes; this may result in prolongation of pregnancy and lowers the risks
of maternal and neonatal infection. However, antibiotics may be beneficial
earlier in pregnancy to eradicate potentially causative agents.
PMID- 21901686
TI - WITHDRAWN: Terazosin for benign prostatic hyperplasia.
AB - BACKGROUND: Lower urinary tract symptoms associated with benign prostatic
obstruction (BPO) occur in up to 70% of men over the age of 60 years. To relieve
these bothersome symptoms, treatment options include alpha-antagonists, also know
as alpha-blockers. OBJECTIVES: We conducted a systematic review to evaluate the
effectiveness and adverse effects of the alpha-blocker, terazosin, for treatment
of urinary symptoms associated with BPO. SEARCH STRATEGY: Trials were searched in
computerized general and specialized databases (MEDLINE, Cochrane Library), by
checking bibliographies, and by contacting manufacturers and researchers.
SELECTION CRITERIA: Studies were included if they (1) were randomized trials of
at least 1 month duration, and (2) included men with symptomatic BPO and compared
terazosin with placebo or active controls. DATA COLLECTION AND ANALYSIS: Study,
patient characteristics and outcomes data were extracted in duplicate onto
standardized forms utilizing a prospectively developed protocol. The main outcome
measure for comparing the effectiveness of terazosin with placebo or other BPO
medications was change in urological symptoms as measured by validated symptom
scores. Secondary outcomes included urodynamic measures. The main outcome measure
for adverse effects was the number of men reporting side effects. We also
evaluated the number of men withdrawing from treatment and the number withdrawing
due to adverse effects. MAIN RESULTS: Seventeen studies involving 5151 subjects
met inclusion criteria (placebo-controlled (n = 10); alpha-blockers (n = 7);
finasteride alone or in combination with terazosin as well as placebo (1);
microwave therapy (TUMT) (1). Study duration ranged from 4 to 52 weeks. Mean age
was 65 years and 82% of men were white. Baseline urologic symptom scale scores
and flow rates demonstrated that men had moderate BPO. Efficacy outcomes were
rarely reported in a fashion that allowed for data pooling but indicated that
terazosin improved symptom scores and flow rates more than placebo or finasteride
and similarly to other alpha antagonists. The pooled mean percentage improvements
for the Boyarsky symptom score was 37% for terazosin versus 15% for placebo (n =
4 studies). The mean percentage improvement for the American Urological
Association symptom score (AUA) was 38% compared to 17% and 20% for placebo and
finasteride, respectively (n = 2 studies). The pooled mean improvement in the
International Prostate Symptom Score (IPSS) (40%) was similar to tamsulosin
(43%). Peak urine flow rates improved greater with terazosin (22%), than placebo
(11%) and finasteride (15%) but did not differ significantly from the other alpha
blockers. The percentage of men discontinuing terazosin was comparable to men
receiving placebo and finasteride but was greater then with other alpha
antagonists. Adverse effects were greater than placebo and included dizziness,
asthenia, headache, and postural hypotension. AUTHORS' CONCLUSIONS: The available
evidence suggests that terazosin improves urinary symptoms and flow measures
associated with BPO. Effectiveness is superior to placebo or finasteride, similar
to other alpha-blockers but less than TUMT. Adverse effects were generally mild
but more frequent than other alpha-blockers and associated with between a two-to
four fold increase in treatment discontinuation.
PMID- 21901687
TI - Combination contraceptives: effects on weight.
AB - BACKGROUND: Weight gain is often considered a side effect of combination hormonal
contraceptives, and many women and clinicians believe that an association exists.
Concern about weight gain can limit the use of this highly effective method of
contraception by deterring the initiation of its use and causing early
discontinuation among users. However, a causal relationship between combination
contraceptives and weight gain has not been established. OBJECTIVES: The aim of
the review was to evaluate the potential association between combination
contraceptive use and changes in weight. SEARCH STRATEGY: We searched the
computerized databases CENTRAL (The Cochrane Library), MEDLINE, POPLINE, EMBASE,
and LILACS for studies of combination contraceptives, as well as
ClinicalTrials.gov and International Clinical Trials Registry Platform (ICTRP).
Searches were conducted from January to May 2011. We also wrote to known
investigators and manufacturers to request information about other published or
unpublished trials not discovered in our search. SELECTION CRITERIA: All English
language, randomized controlled trials were eligible if they had at least three
treatment cycles and compared a combination contraceptive to a placebo or to a
combination contraceptive that differed in drug, dosage, regimen, or study
length. DATA COLLECTION AND ANALYSIS: All titles and abstracts located in the
literature searches were assessed. Data were entered and analyzed with RevMan. A
second author verified the data entered. For continuous data, we calculated the
mean difference and 95% confidence interval (CI) for the mean change in weight
between baseline and post-treatment measurements using a fixed-effect model. For
categorical data, such as the proportion of women who gained or lost more than a
specified amount of weight, the Peto odds ratio with 95% CI was calculated. MAIN
RESULTS: We found 49 trials that met our inclusion criteria. The trials included
85 weight change comparisons for 52 distinct contraceptive pairs (or placebos).
The four trials with a placebo or no intervention group did not find evidence
supporting a causal association between combination oral contraceptives or a
combination skin patch and weight change. Most comparisons of different
combination contraceptives showed no substantial difference in weight. In
addition, discontinuation of combination contraceptives because of weight change
did not differ between groups where this was studied. AUTHORS' CONCLUSIONS:
Available evidence was insufficient to determine the effect of combination
contraceptives on weight, but no large effect was evident. Trials to evaluate the
link between combination contraceptives and weight change require a placebo or
non-hormonal group to control for other factors, including changes in weight over
time.
PMID- 21901688
TI - Transfer of preterm infants from incubator to open cot at lower versus higher
body weight.
AB - BACKGROUND: A key criterion for discharging preterm infants home from nurseries
is their ability to maintain temperature once transferred from incubators to open
cots. The timing of transfer is important given the preterm infant's immature
thermoregulatory mechanisms. OBJECTIVES: To determine the effects of body weight
in transferring preterm infants from incubators to unheated open cots. SEARCH
STRATEGY: Electronic databases, the Cochrane Central Register of Controlled
Trials, clinical trials registers and the abstracts of the Society for Pediatric
Research were searched. SELECTION CRITERIA: Randomised and quasi-randomised
controlled trials comparing transfer of preterm infants from incubators to
unheated open cots at lower and higher body weights. DATA COLLECTION AND
ANALYSIS: Data collection and analysis was performed in accordance with the
methods of the Cochrane Neonatal Review Group. MAIN RESULTS: Four eligible
studies were identified. Two of the identified trials were assessed as having
good methodological quality. Two studies reported daily weight gain (calculated
as growth velocity); the lower body weight group had a significantly greater
daily weight gain [pooled mean difference (MD) 2.66 (95% confidence interval
(CI)1.37 to 3.95). One study reported a larger proportion of infants transferred
at the higher body weight had an episode of low temperature in the first 72
hours; while no difference between the two groups was found in the proportion of
infants experiencing cold stress post-transfer to discharge. Two studies report
no difference between the two groups in requiring an overhead heater for
temperature maintenance [pooled RR 1.43 (95% CI 0.35 to 1.18). No statistically
significant difference was shown for proportion of infants returning to an
incubator [three studies (N = 336) [pooled RR 1.78 (95% CI 0.77 to 4.08].Two
studies report there was no statistically significant difference in time spent in
an open cot post transfer to discharge; while one study found infants transferred
at lower weights had a significantly reduced length of stay [MD -9.00 (95% CI
13.29 to -4.71), a second study found no differences between the two groups [MD
0.30 (95% CI -5.11 to 5.71). In these two studies not breastfeeding at discharge
was not significantly different between the lower and higher body weight groups
[pooled RR 1.02 (95% CI 0.69 to 1.51). AUTHORS' CONCLUSIONS: Medically stable
preterm infants can be transferred to unheated open cots at a lower body weight
of 1600 grams without adverse effects on temperature stability or weight gain.
Earlier transfer does not necessarily result in earlier discharge.
PMID- 21901689
TI - Non-invasive interventions for improving well-being and quality of life in
patients with lung cancer.
AB - BACKGROUND: This is an updated version of the original review published in Issue
4, 2004 of The Cochrane Library. Lung cancer is one of the leading causes of
death globally. Despite advances in treatment, the outlook for the majority of
patients remains grim and most face a pessimistic future accompanied by sometimes
devastating effects on emotional and psychological health. Although chemotherapy
is accepted as an effective treatment for advanced lung cancer, the high
prevalence of treatment-related side effects as well the symptoms of disease
progression highlight the need for high-quality palliative and supportive care to
minimise symptom distress and to promote quality of life. OBJECTIVES: To assess
the effectiveness of non-invasive interventions delivered by healthcare
professionals in improving symptoms, psychological functioning and quality of
life in patients with lung cancer. SEARCH STRATEGY: We ran a search in February
2011 to update the original completed review. We searched the Cochrane Central
Register of Controlled Trials (The Cochrane Library 2011, Issue 2), MEDLINE
(accessed through PubMed), EMBASE, PsycINFO, AMED, British Nursing Index and
Archive (accessed through Ovid) and reference lists of relevant articles; we also
contacted authors. SELECTION CRITERIA: Randomised or quasi-randomised clinical
trials assessing the effects of non-invasive interventions in improving well
being and quality of life in patients diagnosed with lung cancer. DATA COLLECTION
AND ANALYSIS: Two authors independently assessed relevant studies for inclusion.
Data extraction and risk of bias assessment of relevant studies was performed by
one author and checked by a second author. MAIN RESULTS: Fifteen trials were
included, six of which were added in this update. Three trials of a nursing
intervention to manage breathlessness showed benefit in terms of symptom
experience, performance status and emotional functioning. Four trials assessed
structured nursing programmes and found positive effects on delay in clinical
deterioration, dependency and symptom distress, and improvements in emotional
functioning and satisfaction with care.Three trials assessed the effect of
different psychotherapeutic, psychosocial and educational interventions in
patients with lung cancer. One trial assessing counselling showed benefit for
some emotional components of the illness but findings were not conclusive. One
trial examined the effects of coaching sensory self monitoring and reporting on
pain-related variables and found that although coaching increases the amount of
pain data communicated to providers by patients with lung cancer, the magnitude
of the effect is small and does not lead to improved efficacy of analgesics
prescribed for each patient's pain level. One trial compared telephone-based
sessions of either caregiver-assisted coping skills training (CST) or
education/support involving the caregiver and found that patients in both
treatment conditions showed improvements in pain, depression, quality of life and
self efficacy.Two trials assessed exercise programmes; one found a beneficial
effect on self empowerment and the other study showed an increase in quadriceps
strength but no significant changes for any measure of quality of life. One trial
of nutritional interventions found positive effects for increasing energy intake,
but no improvement in quality of life. Two small trials of reflexology showed
some positive but short-lasting effects on anxiety and pain intensity.The main
limitations of the studies included were the variability of the interventions
assessed and the approaches to measuring the considered outcomes, and the lack of
data reported in the trials regarding allocation of patients to treatment groups
and blinding. AUTHORS' CONCLUSIONS: Nurse follow-up programmes and interventions
to manage breathlessness may produce beneficial effects. Counselling may help
patients cope more effectively with emotional symptoms, but the evidence is not
conclusive. Other psychotherapeutic, psychosocial and educational interventions
can play some role in improving patients' quality of life. Exercise programmes
and nutritional interventions have not shown relevant and lasting improvements of
quality of life. Reflexology may have some beneficial effects in the short term.
PMID- 21901690
TI - Stapled versus handsewn methods for ileocolic anastomoses.
AB - BACKGROUND: Ileocolic anastomoses are commonly performed for right-sided colon
cancer and Crohn's disease. The anastomosis may be constructed using a linear
cutter stapler or by suturing. Individual trials comparing stapled versus
handsewn ileocolic anastomoses have found little difference in the complication
rate but they have lacked adequate power to detect potential small difference.
This is an update of a Cochrane review first published in 2007. OBJECTIVES: To
compare outcomes of ileocolic anastomoses performed using stapling and handsewn
techniques. The hypothesis tested was that the stapling technique is associated
with fewer complications. SEARCH STRATEGY: MEDLINE, EMBASE, Cochrane Colorectal
Cancer Group specialised register SR-COLOCA, Cochrane Library were searched for
randomised controlled trials comparing use of a linear cuter stapler with any
type of suturing technique for ileocolic anastomoses in adults from 1970 to 2005
and were updated in December 2010. Abstracts presented to the following society
meetings between 1970 and 2010 were handsearched: American Society of Colon and
Rectal Surgeons, the Association of Coloproctology of Great Britain and Ireland,
European Association of Coloproctology. SELECTION CRITERIA: Randomised controlled
trials comparing use of linear cutter stapler (isoperistaltic side to side or
functional end to end) with any type of suturing technique in adults. DATA
COLLECTION AND ANALYSIS: Eligible studies were selected and their methodological
quality assessed. Relevant results were extracted and missing data sought from
the authors. RevMan 5 was used to perform meta-analysis when there were
sufficient data. Sub-group analyses for cancer inflammatory bowel disease as
indication for ileocolic anastomoses were performed. MAIN RESULTS: After
obtaining individual data from authors for studies that include other
anastomoses, seven trials (including one unpublished) with 1125 ileocolic
participants (441 stapled, 684 handsewn) were included. The five largest trials
had adequate allocation concealment.Stapled anastomosis was associated with
significantly fewer anastomotic leaks compared with handsewn (S=11/441,
HS=42/684, OR 0.48 [0.24, 0.95] p=0.03). One study performed routine radiology to
detect asymptomatic leaks. For the sub-group of 825 cancer patients in four
studies, stapled anastomosis led to significantly fewer anastomotic leaks
(S=4/300, HS=35/525, OR 0.28 [0.10, 0.75] p=0.01). In subgroup analysis of non
cancer patients (3 studies, 264 patients) there were no differences for any
reported outcomes. All other outcomes: stricture, anastomotic haemorrhage,
anastomotic time, re-operation, mortality, intra-abdominal abscess, wound
infection, length of stay, showed no significant difference. AUTHORS'
CONCLUSIONS: Stapled functional end to end ileocolic anastomosis is associated
with fewer leaks than handsewn anastomosis.
PMID- 21901691
TI - Screening programmes for developmental dysplasia of the hip in newborn infants.
AB - BACKGROUND: Uncorrected developmental dysplasia of the hip (DDH) is associated
with long term morbidity such as gait abnormalities, chronic pain and
degenerative arthritis. OBJECTIVES: To determine the effect of different
screening programmes for DDH on the incidence of late presentation of congenital
hip dislocation. SEARCH STRATEGY: Searches were performed in CENTRAL (The
Cochrane Library), MEDLINE and EMBASE (January 2011) supplemented by searches of
clinical trial registries, conference proceedings, cross references and
contacting expert informants. SELECTION CRITERIA: Randomised, quasi-randomised or
cluster trials comparing the effectiveness of screening programmes for DDH. DATA
COLLECTION AND ANALYSIS: Three independent review authors assessed study
eligibility and quality, and extracted data. MAIN RESULTS: No study examined the
effect of screening (clinical and/or ultrasound) and early treatment versus not
screening and later treatment.One study reported universal ultrasound compared to
clinical examination alone did not result in a significant reduction in late
diagnosed DDH or surgery but was associated with a significant increase in
treatment.One study reported targeted ultrasound compared to clinical examination
alone did not result in a significant reduction in late diagnosed DDH or surgery,
with no significant difference in rate of treatment.Meta-analysis of two studies
found universal ultrasound compared to targeted ultrasound did not result in a
significant reduction in late diagnosed DDH or surgery. There was heterogeneity
between studies reporting the effect on treatment rate.Meta-analysis of two
studies found delayed ultrasound and targeted splinting compared to immediate
splinting of infants with unstable (but not dislocated) hips resulted in no
significant difference in the rate of late diagnosed DDH. Both studies reported a
significant reduction in treatment with use of delayed ultrasound and targeted
splinting.One study reported delayed ultrasound and targeted splinting compared
to immediate splinting of infants with mild hip dysplasia on ultrasound resulted
in no significant difference in late diagnosed DDH but a significant reduction in
treatment. No infants in either group received surgery. AUTHORS' CONCLUSIONS:
There is insufficient evidence to give clear recommendations for practice. There
is inconsistent evidence that universal ultrasound results in a significant
increase in treatment compared to the use of targeted ultrasound or clinical
examination alone. Neither of the ultrasound strategies have been demonstrated to
improve clinical outcomes including late diagnosed DDH and surgery. The studies
are substantially underpowered to detect significant differences in the uncommon
event of late detected DDH or surgery. For infants with unstable hips or mildly
dysplastic hips, use of delayed ultrasound and targeted splinting reduces
treatment without significantly increasing the rate of late diagnosed DDH or
surgery.
PMID- 21901692
TI - Antibiotic treatment for Clostridium difficile-associated diarrhea in adults.
AB - BACKGROUND: Clostridium difficile is recognized as a frequent cause of antibiotic
associated diarrhea and colitis. OBJECTIVES: The aim of this review is to
investigate the efficacy of antibiotic therapy for C. difficile-associated
diarrhea (CDAD). SEARCH STRATEGY: MEDLINE (1966 to March 24, 2010), EMBASE (1980
to March 24, 2010), Cochrane Central Register of Controlled Trials and the
Cochrane IBD/FBD Review Group Specialized Trials Register were searched using the
following search terms: "pseudomembranous colitis and randomized trial";
"Clostridium difficile and randomized trial"; "antibiotic associated diarrhea and
randomized trial". SELECTION CRITERIA: Only randomized, controlled trials
assessing antibiotic treatment for CDAD were included in the review. The
following outcomes were sought: initial resolution of diarrhea; initial
conversion of stool to cytotoxin and/or culture negative; recurrence of diarrhea;
recurrence of fecal evidence of CDAD; patient response to cessation of prior
antibiotic therapy; emergent surgery; and death. DATA COLLECTION AND ANALYSIS:
Three authors independently assessed abstracts and full text articles for
inclusion. The risk of bias was independently rated by two authors. For
dichotomous outcomes, relative risks (RR) and 95% confidence intervals (CI) were
derived from each study and summary statistics obtained when appropriate, using a
fixed effects model, except where significant heterogeneity was detected, at
which time a random effects model was used. MAIN RESULTS: Fifteen studies (total
of 1152 participants) with CDAD were included. Nine different antibiotics were
investigated: vancomycin, metronidazole, fusidic acid, nitazoxanide, teicoplanin,
rifampin, rifaximin, bacitracin and fidaxomicin (OPT-80). Most of the studies
were active comparator studies comparing vancomycin with other antibiotics. The
risk of bias was rated as high for 12 of 15 included studies. Patients with
severe CDAD were often excluded from the included studies. In the only placebo
controlled trial vancomycin was found to be superior to placebo for treatment of
CDAD for initial symptomatic cure. Initial symptomatic cure was achieved in 41%
of vancomycin patients compared to 4% of placebo patients (1 study; 44 patients;
RR 9.00; 95% CI 1.24 to 65.16). Vancomycin was significantly superior to placebo
for initial bacteriologic response. Initial bacteriologic response was achieved
in 45% of vancomycin patients compared to 4% of placebo patients (1 study; 44
patients; RR 10.00; 95% CI 1.40 to 71.62). The results of this study should be
interpreted with caution due to the small number of patients and high risk of
bias. No statistically significant differences in efficacy were found between
vancomycin and metronidazole, vancomycin and fusidic acid, vancomycin and
nitazoxanide, or vancomycin and rifaximin. No statistically significant
differences in efficacy were found between metronidazole and nitazoxanide or
metronidazole and fusidic acid. Vancomycin was significantly superior to
bacitracin for initial bacteriologic response. Initial bacteriologic response was
achieved in 48% of vancomycin patients compared to 25% of bacitracin patients (2
studies; 104 patients; RR 0.52; 95% CI 0.31 to 0.86). Teicoplanin, an antibiotic
of limited availability and great cost, was significantly superior to vancomycin
for initial bacteriologic response and cure. Initial bacteriologic response was
achieved in 62% of vancomycin patients compared to 87% of teicoplanin patients (2
studies; 110 patients; RR 1.43; 95% CI 1.14 to 1.81). Bacteriologic cure was
achieved in 45% of vancomycin patients compared to 82% of teicoplanin patients (2
studies; 110 patients; RR 1.82; 95% CI 1.19 to 2.78). These results should be
interpreted with caution due to the small number of patients and the high risk of
bias in the two studies in the pooled analysis. Teicoplanin was significantly
superior to metronidazole for initial bacteriologic response. Initial
bacteriologic response was achieved in 71% of metronidazole patients compared to
93% of teicoplanin patients (1 study; 59 patients; RR 0.76; 95% CI 0.60 to 0.98).
This result should be interpreted with caution due to the small number of
patients and high risk of bias in the study. Only one study investigated
synergistic antibiotic combination, metronidazole and rifampin, and no advantage
was demonstrated for the drug combination. This result should be interpreted with
caution due to the small number of patients and high risk of bias in the study.
Adverse events including surgery and death occurred infrequently in the included
studies. There was a total of 18 deaths among 1152 patients in this systematic
review. Among the studies that commented on the cause of mortality the deaths
were attributed to underlying disease rather than CDAD or antibiotic treatment.
One study reported a partial colectomy after failed CDAD treatment. AUTHORS'
CONCLUSIONS: Current evidence leads to uncertainty whether mild CDAD needs to be
treated. The studies provide little evidence for antibiotic treatment of severe
CDAD as many studies excluded these patients. Considering the two goals of
therapy: improvement of the patient's clinical condition and prevention of spread
of C. difficile infection to other patients, one should choose the antibiotic
that brings both symptomatic cure and bacteriologic cure. A recommendation to
achieve these goals cannot be made because of the small numbers of patients in
the included studies and the high risk of bias in these studies, especially
related to dropouts. Most of the active comparator studies found no statistically
significant difference in efficacy between vancomycin and other antibiotics
including metronidazole, fusidic acid, nitazoxanide or rifaximin. Teicoplanin may
be an attractive choice but for its limited availability (Teicoplanin is not
available in the USA) and great cost relative to the other options. More research
of antibiotic treatment and other treatment modalities of CDAD is required.
PMID- 21901693
TI - Placental cord drainage after vaginal delivery as part of the management of the
third stage of labour.
AB - BACKGROUND: Cord drainage in the third stage of labour involves unclamping the
previously clamped and divided umbilical cord and allowing the blood from the
placenta to drain freely into an appropriate receptacle. OBJECTIVES: The
objective of this review was to assess the specific effects of placental cord
drainage on the third stage of labour following vaginal birth, with or without
prophylactic use of uterotonics in the management of the third stage of labour.
SEARCH STRATEGY: We searched the Cochrane Pregnancy and Childbirth Group's Trials
Register (February 2010). SELECTION CRITERIA: Randomised controlled trials
comparing placental cord draining with no placental cord drainage as part of the
management of the third stage of labour. DATA COLLECTION AND ANALYSIS: Two review
authors independently assessed the quality of trials and extracted data. This was
then verified by the third review author who then entered the agreed outcomes to
the review. MAIN RESULTS: Three studies involving 1257 women met our inclusion
criteria. Cord drainage reduced the length of the third stage of labour (mean
difference (MD) -2.85 minutes, 95% confidence interval (CI) -4.04 to -1.66; three
trials, 1257 women (heterogeneity: T2 = 0.87; Chi2P=17.19, I2 = 88%)) and reduced
the average amount of blood loss (MD -77.00 ml, 95% CI -113.73 to -40.27; one
trial, 200 women).No incidence of retained placenta at 30 minutes after birth was
observed in the included studies, therefore, it was not possible to compare this
outcome. The differences between the cord drainage and the control group were not
statistically significant for postpartum haemorrhage or manual removal of the
placenta. None of the included studies reported fetomaternal transfusion outcomes
and there were no data relating to maternal pain or discomfort during the third
stage of labour. AUTHORS' CONCLUSIONS: There was a small reduction in the length
of the third stage of labour and also in the amount of blood loss when cord
drainage was applied compared with no cord drainage. The clinical importance of
such observed statistically significant reductions, is open to debate. There is
no clear difference in the need for manual removal of placenta, blood transfusion
or the risk of postpartum haemorrhage. Due to small trials with medium risk of
bias, the results should be interpreted with caution.
PMID- 21901694
TI - Reminder packaging for improving adherence to self-administered long-term
medications.
AB - BACKGROUND: Current methods of improving medication adherence for health problems
are mostly complex, labour-intensive, and not reliably effective. Medication
'reminder packaging', which incorporates a date or time for a medication to be
taken in the packaging, can act as a reminder to improve adherence. This review
of reminder packaging is an update of our 2006 Cochrane review. OBJECTIVES: The
objective of this review was to determine the effects of reminder packaging aids
for self-administered medication/s taken for at least one month, on adherence and
other outcomes. SEARCH STRATEGY: We updated searches of the Cochrane Central
Register of Controlled Trials (CENTRAL) and the Database of Abstracts of Reviews
of Effects (DARE) (The Cochrane Library Issue 9, 2010), MEDLINE, EMBASE, CINAHL
and PsycINFO from the database start dates to September 2010. We searched Current
Controlled Trials to identify trials in progress. We performed a cited reference
search on the Science Citation Index to identify papers that had cited the
original systematic review.We also searched the Internet, contacted packaging
manufacturers, and checked abstracts from the Pharm-line database and reference
lists from relevant articles. We did not apply any language restrictions.
SELECTION CRITERIA: We selected randomised controlled trials with at least 80%
follow up. We intended to do a sensitivity analysis of those studies that
analysed their data on an intention-to-treat basis. Included studies compared a
reminder packaging device with no device, for participants taking self
administered medications for at least one month. DATA COLLECTION AND ANALYSIS:
Three review authors independently assessed studies for inclusion, assessed
quality, and extracted data from included studies. Where considered appropriate,
data were combined for meta-analysis, or were reported and discussed in a
narrative. MAIN RESULTS: We included twelve studies containing data on 2196
participants; four of these studies were newly included in this 2011 update of
our 2006 Cochrane review.Six intervention groups in four trials provided data on
the percentage of pills taken. Reminder packaging increased the percentage of
pills taken (mean difference (MD) 11% (95% confidence interval (CI) 6% to 17%)).
Notable heterogeneity occurred among these trials (I(2) = 96.3%). Two trials
provided data for the proportion of self-reported adherent patients, reporting a
reduction in the intervention group which was not statistically significant (odds
ratio = 0.89 (95% CI 0.56 to 1.40)). We conducted meta-analysis on data from two
trials assessing the effect of reminder packaging on blood pressure measurements.
We found that reminder packaging significantly decreased diastolic blood pressure
(MD = -5.89 mmHg (95% CI -6.70 to -5.09; P < 0.00001; I(2) = 0%). No effect was
seen on systolic blood pressure (mean change -1.01, 95% CI -2.22 to 0.20; P =
0.1, I(2) = 0%). We also conducted meta-analysis on extracted data from two
trials that looked at change in glycated haemoglobin. We found that reminder
packaging significantly reduced glycated haemoglobin levels (MD -0.72; 95% CI
0.83 to -0.60; P < 0.00001; I(2) = 92%), although there was considerable
heterogeneity.No appropriate data were available for meta-analysis of remaining
clinical outcomes, which included serum vitamin C and E levels, and self-reported
psychological symptoms (one trial each). We reported remaining data narratively.
In one study the presence of a reminder packaging aid was found to be preferred
by patients with low literacy levels. AUTHORS' CONCLUSIONS: Reminder packing may
represent a simple method for improving adherence for patients with selected
conditions. Further research is warranted to improve the design and targeting of
these devices.
PMID- 21901695
TI - Psychosocial and pharmacological treatments versus pharmacological treatments for
opioid detoxification.
AB - BACKGROUND: Different pharmacological approaches aimed at opioid detoxification
are effective. Nevertheless a majority of patients relapse to heroin use, and
relapses are a substantial problem in the rehabilitation of heroin users. Some
studies have suggested that the sorts of symptoms which are most distressing to
addicts during detoxification are psychological rather than physiological
symptoms associated with the withdrawal syndrome. OBJECTIVES: To evaluate the
effectiveness of any psychosocial plus any pharmacological interventions versus
any pharmacological alone for opioid detoxification, in helping patients to
complete the treatment, reduce the use of substances and improve health and
social status. SEARCH STRATEGY: We searched the Cochrane Drugs and Alcohol Group
trials register (June 2011), Cochrane Central Register of Controlled Trials
(CENTRAL) (The Cochrane Library Issue 6, 2011), PUBMED (1996 to June 2011);
EMBASE (January 1980 to June 2011); CINAHL (January 2003 to June 2008); PsycINFO
(1985 to April 2003) and reference list of articles. SELECTION CRITERIA:
Randomised controlled trials and controlled clinical trial which focus on any
psychosocial associated with any pharmacological intervention aimed at opioid
detoxification. People less than 18 years of age and pregnant women were
excluded. DATA COLLECTION AND ANALYSIS: Two authors independently assessed trials
quality and extracted data. MAIN RESULTS: Eleven studies, 1592 participants,
fulfilled the criteria of inclusion and were included in the review. The studies
considered five different psychosocial interventions and two pharmacological
treatments (methadone and buprenorphine). Compared to any pharmacological
treatment alone, the association of any psychosocial with any pharmacological was
shown to significantly reduce dropouts RR 0.71 (95% CI 0.59 to 0.85), use of
opiate during the treatment, RR 0.82 (95% CI 0.71 to 0.93), at follow up RR 0.66
(95% IC 0.53 to 0.82) and clinical absences during the treatment RR 0.48 (95%CI
0.38 to 0.59). Moreover, with the evidence currently available, there are no data
supporting a single psychosocial approach. AUTHORS' CONCLUSIONS: Psychosocial
treatments offered in addition to pharmacological detoxification treatments are
effective in terms of completion of treatment, use of opiate, participants
abstinent at follow-up and clinical attendance. The evidence produced by this
review is limited due to the small number of participants included in the
studies, the heterogeneity of the assessment or the lack of detailed outcome
information that prevented the possibility of cumulative analysis for several
outcomes. Nevertheless it seems desirable to develop adjunct psychosocial
approaches that might make detoxification more effective.
PMID- 21901696
TI - Interventions to promote the wearing of hearing protection.
AB - BACKGROUND: This is an update of a Cochrane Review first published in The
Cochrane Library in Issue 2, 2006 and previously updated in 2009.Noise-induced
hearing loss can be prevented by eliminating or lowering noise exposure levels.
Where the source of the noise cannot be eliminated, workers have to rely on
hearing protection equipment. Several trials have been conducted to study the
effectiveness of interventions to influence the wearing of hearing protection.
OBJECTIVES: To evaluate the effectiveness of interventions to enhance the wearing
of hearing protection among persons regularly exposed to high noise levels.
SEARCH STRATEGY: We searched the Cochrane Ear, Nose and Throat Disorders Group
Trials Register; the Cochrane Central Register of Controlled Trials (CENTRAL)
(The Cochrane Library 2011, Issue 1); PubMed; EMBASE; CINAHL; Web of Science;
BIOSIS Previews; Cambridge Scientific Abstracts; ICTRP and additional sources for
published and unpublished trials. The date of the most recent search was 8 April
2011. SELECTION CRITERIA: We included studies if they had a randomised design, if
they were among noise exposed (> 80 dB(A)) persons, if they included an
intervention to promote the wearing of hearing protection (compared to another
intervention or no intervention), and if the outcome measured was the amount of
use of hearing protection or a proxy measure thereof. DATA COLLECTION AND
ANALYSIS: Two authors selected relevant trials, assessed risk of bias and
extracted data. MAIN RESULTS: Seven studies, involving 4670 participants, were
included.A computer-based intervention lasting 30 minutes, tailored to the risk
of an individual worker, was not found to be more effective than a video
providing general information among workers, around 80% of whom already used
hearing protection.A four-year school-based hearing loss prevention programme
found that the intervention group was twice as likely to wear some kind of
hearing protection as the control group that received a baseline hearing test and
two additional tests at years two and three.We conducted two meta-analyses for
the comparisons 'tailored strategy (the use of communication or other types of
interventions that are specific to an individual or a group and aim to change
behaviour) versus non-tailored strategy' and 'tailored strategy versus a
commercial video on the use of hearing protection' to look at mean percentage use
of hearing protective devices (HPDs), that showed improvement in the mean use of
HPDs for the tailored group. A meta-analysis of the comparison 'mixed
interventions' (classroom instruction, distribution of HPDs, mailings, noise
level assessments and audiometric testing) versus control (audiometric testing
alone) also showed improvement in self reported use of HPDs when shooting
firearms.Tailored education showed an improvement in HPD use of 8.3% versus
targeted education (6.1%). AUTHORS' CONCLUSIONS: The evidence found in this
review shows that some interventions improve the mean use of hearing protection
devices compared to non-intervention. Future trials should have standard outcomes
and interventions to allow the combining of results in meta-analysis.
PMID- 21901697
TI - Insulin for glycaemic control in acute ischaemic stroke.
AB - BACKGROUND: Patients with hyperglycaemia concomitant with an acute stroke have
greater stroke severity and greater functional impairment when compared to those
with normoglycaemia at stroke presentation. OBJECTIVES: To determine whether
maintaining serum glucose within a specific normal range (4 to 7.5 mmol/L) in the
first 24 hours of acute ischaemic stroke influences outcome. SEARCH STRATEGY: We
searched the Cochrane Stroke Group Trials Register (June 2010), CENTRAL (The
Cochrane Library 2010, Issue 2), MEDLINE (1950 to June 2010), EMBASE (1980 to
June 2010), CINAHL (1982 to June 2010), Science Citation Index (1900 to June
2010), and Web of Science (ISI Web of Knowledge) (1993 to June 2010). In an
effort to identify further published, unpublished and ongoing trials we searched
ongoing trials registers and SCOPUS. SELECTION CRITERIA: Eligible studies were
randomised controlled trials comparing intensively monitored insulin therapy
versus usual care in adult patients with acute ischaemic stroke. DATA COLLECTION
AND ANALYSIS: Two review authors independently extracted the study
characteristics, study quality, and data to estimate the odds ratio (OR) and 95%
confidence interval (CI), mean difference (MD) and standardised mean difference
(SMD) of outcome measures. MAIN RESULTS: We included seven trials involving 1296
participants (639 participants in the intervention group and 657 in the control
group). We found that there was no difference between treatment and control
groups in the outcome of death or disability and dependence (OR 1.00, 95% CI 0.78
to 1.28) or final neurological deficit (SMD -0.12, 95% CI -0.23 to 0.00). The
rate of symptomatic hypoglycaemia was higher in the intervention group (OR 25.9,
95% CI 9.2 to 72.7). In the subgroup analyses of diabetes mellitus (DM) versus
non-DM, we found no difference for the outcomes of death and dependency or
neurological deficit. AUTHORS' CONCLUSIONS: With the current evidence, we found
that the administration of intravenous insulin with the objective of maintaining
serum glucose within a specific range in the first hours of acute ischaemic
stroke does not provide benefit in terms of functional outcome, death, or
improvement in final neurological deficit and significantly increased the number
of hypoglycaemic episodes. Specifically, those who were maintained within a more
tight range of glycaemia with intravenous insulin experienced a greater risk of
symptomatic and asymptomatic hypoglycaemia than those individuals in the control
group.
PMID- 21901698
TI - Pressure-relieving devices for treating heel pressure ulcers.
AB - BACKGROUND: Pressure ulcers are areas of localised damage to the skin and
underlying tissue caused by pressure or shear. Pressure redistribution devices
are used as part of the treatment to reduce the pressure on the ulcer. The
anatomy of the heel and the susceptibility of the foot to vascular disease mean
that pressure ulcers located there require a particular approach to pressure
relief. OBJECTIVES: To determine the effects of pressure-relieving interventions
for treating pressure ulcers on the heel. SEARCH STRATEGY: We searched the
Cochrane Wounds Group Specialised Register (searched 25 March 2011); The Cochrane
Central Register of Controlled Trials (CENTRAL) (The Cochrane Library 2011, Issue
1); Ovid MEDLINE (1948 to March Week 3 2011); Ovid EMBASE (1980 to 2011 Week
12);Ovid MEDLINE (In-Process & Other Non-Indexed Citations March 29, 2011); and
EBSCO CINAHL (1982 to 25 March 2011). We applied no language or publication date
restrictions. SELECTION CRITERIA: We included randomised controlled trials (RCTs)
that compared the effects of pressure-relieving devices on the healing of
pressure ulcers of the heel. Participants were treated in any care setting.
Interventions were any pressure-relieving devices including mattresses and
specific heel devices. DATA COLLECTION AND ANALYSIS: Both review authors
independently reviewed titles and abstracts and selected studies for inclusion.
Both review authors independently extracted data and assessed studies for risk of
bias. MAIN RESULTS: One study met the inclusion criteria. This study (141
participants) compared two mattress systems however losses to follow up were too
great to permit reliable conclusions. AUTHORS' CONCLUSIONS: This review
identified one small study at moderate to high risk of bias which provided no
evidence to inform practice. More research is needed.
PMID- 21901699
TI - Antiemetics for reducing vomiting related to acute gastroenteritis in children
and adolescents.
AB - BACKGROUND: Vomiting is a common manifestation of acute gastroenteritis in
children and adolescents. When untreated it can be a hindrance to oral
rehydration therapy, which is the cornerstone in the management of acute
gastroenteritis. Evidence is needed concerning the safety and efficacy of
antiemetic use for vomiting in acute gastroenteritis in children. OBJECTIVES: To
assess the safety and effectiveness of antiemetics on gastroenteritis induced
vomiting in children and adolescents. SEARCH STRATEGY: We searched the Cochrane
Upper Gastrointestinal and Pancreatic Diseases Group Trials Register comprising
references identified from comprehensive electronic database searches and hand
searches of relevant journals and abstract books of conferences.The search was re
run and is up to date as on 20 July 2010. SELECTION CRITERIA: Randomized
controlled trials comparing antiemetics with placebo or no treatment, in children
and adolescents under the age of 18, for vomiting due to gastroenteritis. DATA
COLLECTION AND ANALYSIS: Two review authors independently assessed trial quality
and extracted data. MAIN RESULTS: We included seven trials involving 1,020
participants. Mean time to cessation of vomiting in one study was 0.34 days less
with dimenhydrinate suppository compared to placebo (P value = 0.036). Pooled
data from three studies comparing oral ondansetron with placebo showed: a
reduction in the immediate hospital admission rate (RR 0.40, NNT 17, 95% CI 10 to
100) but no difference between the hospitalization rates at 72 hours after
discharge from the Emergency Department (ED); a reduction in IV rehydration rates
both during the ED stay (RR 0.41, NNT 5, 95% CI 4 to 8), and in follow-up to 72
hours after discharge from the ED stay (worst-best scenario for ondansetron RR
0.57, NNT 6, 95% CI 4 to 13) and an increase in the proportion of patients with
cessation of vomiting (RR 1.34, NNT 5, 95% CI 3 to 7)). No significant difference
was noted in the revisit rates or adverse events, although diarrhea was reported
as a side effect in four of the five ondansetron studies. In one study the
proportion of patients with cessation of vomiting in 24 hours was (58%) with IV
ondansetron, (17%) placebo and (33%) in the metoclopramide group (P value =
0.039). AUTHORS' CONCLUSIONS: Oral ondansetron increased the proportion of
patients who had ceased vomiting and reduced the number needing intravenous
rehydration and immediate hospital admission. Intravenous ondansetron and
metoclopramide reduced the number of episodes of vomiting and hospital admission,
and dimenhydrinate as a suppository reduced the duration of vomiting.
PMID- 21901700
TI - Patellar tendon versus hamstring tendon autograft for anterior cruciate ligament
rupture in adults.
AB - BACKGROUND: Reconstruction of the anterior cruciate ligament (ACL) commonly
involves patellar tendon (PT) or hamstring tendon(s) (HT) autografts. There is no
consensus with respect to the choice between these two grafts in ACL surgery.
OBJECTIVES: This review compared the outcomes of ACL reconstruction using PT
versus HT autografts in ACL deficient patients. SEARCH STRATEGY: We searched the
Cochrane Bone, Joint and Muscle Trauma Group Specialised Register (April 2008),
the Cochrane Central Register of Controlled Trials (2008, Issue 2), MEDLINE (1966
to April 10 2008), EMBASE (1980 to April 10 2008), conference proceedings and
reference lists. No language restrictions were applied. SELECTION CRITERIA:
Randomized and quasi-randomized controlled trials comparing outcomes (minimum two
year follow-up) following ACL reconstruction using either PT or HT autografts in
skeletally mature adults, irrespective of the number of bundles, fixation method
or incision technique. DATA COLLECTION AND ANALYSIS: After independent study
selection, the four authors independently assessed trial quality and risk of
bias, and extracted data using pre-developed forms. Trial authors were contacted
for additional data and information. Risk ratios with 95% confidence intervals
were calculated for dichotomous outcomes, and mean differences and 95% confidence
intervals for continuous outcomes. MAIN RESULTS: Nineteen trials providing
outcome data for 1597 young to middle-aged adults were included. Many trials were
at high risk of bias reflecting inadequate methods of randomization, lack of
blinding and incomplete assessment of outcome.Pooled data for primary outcomes,
reported in a minority of trials, showed no statistically significant differences
between the two graft choices for functional assessment (single leg hop test),
return to activity, Tegner and Lysholm scores, and subjective measures of
outcome. There were also no differences found between the two interventions for
re-rupture or International Knee Documentation Committee scores. There were
inadequate long-term results, such as to assess the development of
osteoarthritis.All tests (instrumental, Lachman, pivot shift) for static
stability consistently showed that PT reconstruction resulted in a more
statically stable knee compared with HT reconstruction. Conversely, patients
experienced more anterior knee problems, especially with kneeling, after PT
reconstruction. PT reconstructions resulted in a statistically significant loss
of extension range of motion and a trend towards loss of knee extension strength.
HT reconstructions demonstrated a trend towards loss of flexion range of motion
and a statistically significant loss of knee flexion strength. The clinical
importance of the above range of motion losses is unclear. AUTHORS' CONCLUSIONS:
There is insufficient evidence to draw conclusions on differences between the two
grafts for long-term functional outcome. While PT reconstructions are more likely
to result in statically stable knees, they are also associated with more anterior
knee problems.
PMID- 21901701
TI - Oral contraceptives for functional ovarian cysts.
AB - BACKGROUND: Functional ovarian cysts are a common gynecological problem among
women of reproductive age worldwide. When large, persistent, or painful, these
cysts may require operations, sometimes resulting in removal of the ovary. Since
early oral contraceptives were associated with a reduced incidence of functional
ovarian cysts, many clinicians inferred that birth control pills could be used to
treat cysts as well. This became a common clinical practice in the early 1970s.
OBJECTIVES: This review examined all randomized controlled trials that studied
oral contraceptives as therapy for functional ovarian cysts. SEARCH STRATEGY: We
searched the databases of CENTRAL, MEDLINE, POPLINE, and EMBASE, as well as
clinical trials databases (ClinicalTrials.gov and ICTRP). We also examined the
reference lists of articles and wrote to authors of identified trials to seek
articles we had missed. SELECTION CRITERIA: We included randomized controlled
trials in any language that included oral contraceptives used for treatment and
not prevention of functional ovarian cysts. Criteria for diagnosis of cysts were
those used by authors of trials. DATA COLLECTION AND ANALYSIS: Two authors
independently abstracted data from the articles. One entered the data into RevMan
and a second verified accuracy of data entry. For dichotomous outcomes, we
computed the Mantel-Haenszel odds ratio with 95% confidence interval (CI). For
continuous outcomes, we calculated the mean difference with 95% CI. MAIN RESULTS:
We identified eight randomized controlled trials from four countries; the studies
included a total of 686 women. Treatment with combined oral contraceptives did
not hasten resolution of functional ovarian cysts in any trial. This held true
for cysts that occurred spontaneously as well as those that developed after
ovulation induction. Most cysts resolved without treatment within a few cycles;
persistent cysts tended to be pathological (e.g., endometrioma or para-ovarian
cyst) and not physiological. AUTHORS' CONCLUSIONS: Although widely used for
treating functional ovarian cysts, combined oral contraceptives appear to be of
no benefit. Watchful waiting for two or three cycles is appropriate. Should cysts
persist, surgical management is often indicated.
PMID- 21901702
TI - Interventions for latent autoimmune diabetes (LADA) in adults.
AB - BACKGROUND: Latent autoimmune diabetes in adults (LADA) is a slowly developing
type 1 diabetes. OBJECTIVES: To compare interventions used for LADA. SEARCH
STRATEGY: Studies were obtained from searches of electronic databases,
supplemented by handsearches, conference proceedings and consultation with
experts. Date of last search was December 2010. SELECTION CRITERIA: Randomised
controlled trials (RCT) and controlled clinical trials (CCT) evaluating
interventions for LADA or type 2 diabetes with antibodies were included. DATA
COLLECTION AND ANALYSIS: Two authors independently extracted data and assessed
risk of bias. Studies were summarised using meta-analysis or descriptive methods.
MAIN RESULTS: Searches identified 13,306 citations. Fifteen publications (ten
studies) were included, involving 1019 participants who were followed between
three months to 10 years (1060 randomised). All studies had a high risk of bias.
Sulphonylurea (SU) with insulin did not improve metabolic control significantly
more than insulin alone at three months (one study, n = 15) and at 12 months (one
study, n = 14) of treatment and follow-up. SU (with or without metformin) gave
poorer metabolic control compared to insulin alone (mean difference in
glycosylated haemoglobin A1c (HbA1c) from baseline to end of study, for insulin
compared to oral therapy: -1.3% (95% confidence interval (CI) -2.4 to -0.1; P =
0.03, 160 participants, four studies, follow-up/duration of therapy: 12, 30, 36
and 60 months; however, heterogeneity was considerable). In addition, there was
evidence that SU caused earlier insulin dependence (proportion requiring insulin
at two years was 30% in the SU group compared to 5% in conventional care group (P
< 0.001); patients classified as insulin dependent was 64% (SU group) and 12.5%
(insulin group, P = 0.007). No intervention influenced fasting C-peptide, but
insulin maintained stimulated C-peptide better than SU (one study, mean
difference 7.7 ng/ml (95% CI 2.9 to 12.5)). In a five year follow-up of GAD65
(glutamic acid decarboxylase formulated with aluminium hydroxide), improvements
in fasting and stimulated C-peptide levels (20 MUg group) were maintained after
five years. Short term (three months) follow-up in one study (n = 74) using
Chinese remedies did not demonstrate a significant difference in improving
fasting C-peptide levels compared to insulin alone (0.07 ug/L (95% CI -0.05 to
0.19). One study using vitamin D with insulin showed steady fasting C-peptide
levels in the vitamin D group but declining fasting C-peptide levels (368 to 179
pmol/L, P = 0.006) in the insulin alone group at 12 months follow-up. Comparing
studies was difficult as there was a great deal of heterogeneity in the studies
and in their selection criteria. There was no information regarding health
related quality of life, complications of diabetes, cost or health service
utilisation, mortality and limited evidence on adverse events (studies on oral
agents or insulin reported no adverse events in terms of severe hypoglycaemic
episodes). AUTHORS' CONCLUSIONS: Two studies show SU leading to earlier insulin
dependence and a meta-analysis of four studies with considerable heterogeneity
showed poorer metabolic control if SU is prescribed for patients with LADA
compared to insulin. One study showed that vitamin D with insulin may protect
pancreatic beta cells in LADA. Novel treatments such as GAD65 in certain doses
(20 MUg) have been suggested to maintain fasting and stimulated C-peptide levels.
However, there is no significant evidence for or against other lines of treatment
of LADA.
PMID- 21901703
TI - Interventions for the treatment of oral and oropharyngeal cancers: surgical
treatment.
AB - BACKGROUND: Surgery is an important part of the management of oral cavity cancer
with regard to both the removal of the primary tumour and removal of lymph nodes
in the neck. Surgery is less frequently used in oropharyngeal cancer. Surgery
alone may be treatment for early stage disease or surgery may be used in
combination with radiotherapy, chemotherapy and immunotherapy/biotherapy. There
is variation in the recommended timing and extent of surgery in the overall
treatment regimens of people with these cancers. OBJECTIVES: To determine which
surgical treatment modalities for oral cavity and oropharyngeal cancers result in
increased overall survival, disease free survival, progression free survival and
reduced recurrence. SEARCH STRATEGY: The following electronic databases were
searched: the Cochrane Oral Health Group Trials Register (to 17 February 2011),
the Cochrane Central Register of Controlled Trials (CENTRAL) (The Cochrane
Library 2011, Issue 1), MEDLINE via OVID (1950 to 17 February 2011) and EMBASE
via OVID (1980 to 17 February 2011). There were no restrictions regarding
language or date of publication. SELECTION CRITERIA: Randomised controlled trials
where more than 50% of participants had primary tumours of the oral cavity or
oropharynx, and which compared two or more surgical treatment modalities or
surgery versus other treatment modalities. DATA COLLECTION AND ANALYSIS: Data
extraction and assessment of risk of bias was undertaken independently by two or
more review authors. Study authors were contacted for additional information as
required. Adverse events data were collected from published trials. MAIN RESULTS:
Seven trials (n = 669; 667 with cancers of the oral cavity) satisfied the
inclusion criteria, but none were assessed as low risk of bias. Trials were
grouped into three main comparisons. Four trials compared elective neck
dissection (ND) with therapeutic neck dissection in patients with oral cavity
cancer and clinically negative neck nodes, but differences in type of surgery and
duration of follow-up made meta-analysis inappropriate. Three of these trials
reported overall and disease free survival. One trial showed a benefit for
elective supraomohyoid neck dissection compared to therapeutic ND in overall and
disease free survival. Two trials found no difference between elective radical ND
and therapeutic ND for the outcomes of overall survival and disease free
survival. All four trials found reduced locoregional recurrence following
elective ND.A further two trials compared elective radical ND with elective
selective ND and found no difference in overall survival, disease free survival
or recurrence. The final trial compared surgery plus radiotherapy to radiotherapy
alone but data were unreliable because the trial stopped early and there were
multiple protocol violations.None of the trials reported quality of life as an
outcome. Two trials, evaluating different comparisons reported adverse effects of
treatment. AUTHORS' CONCLUSIONS: Seven included trials evaluated neck dissection
surgery in patients with oral cavity cancers. The review found weak evidence that
elective neck dissection of clinically negative neck nodes at the time of removal
of the primary tumour results in reduced locoregional recurrence, but there is
insufficient evidence to conclude that elective neck dissection increases overall
survival or disease free survival compared to therapeutic neck dissection. There
is very weak evidence from one trial that elective supraomohyoid neck dissection
may be associated with increased overall and disease free survival. There is no
evidence that radical neck dissection increases overall survival compared to
conservative neck dissection surgery. Reporting of adverse events in all trials
was poor and it was not possible to compare the quality of life of patients
undergoing different surgeries.
PMID- 21901704
TI - Managerial supervision to improve primary health care in low- and middle-income
countries.
AB - BACKGROUND: Primary healthcare (PHC) workers often work alone or in isolation.
Healthcare managerial supervision is recommended to help assure quality; but this
requires skilled supervisors and takes time and resources. It is therefore
important to assess to what extent supervision is beneficial and the ways in
which it can be implemented. OBJECTIVES: To review the effects of managerial
supervision of health workers to improve the quality of PHC (such as adherence to
guidance or coverage of services) in low- and middle-income countries. SEARCH
STRATEGY: We searched The Cochrane Central Register of Controlled Trials
(CENTRAL) 2011, Issue 1, part of The Cochrane Library.
www.thecochranelibrary.com, including the Cochrane Effective Practice and
Organisation of Care (EPOC) Group Specialised Register (searched 10 March 2011);
MEDLINE, Ovid 1950 to March Week 1 2011 (searched 08 March 2011); EMBASE, Ovid
1980 to 2011 Week 12 (searched 08 March 2011); CINAHL, Ebsco 1981 - present
(searched 10 March 2011); LILACS, VHL (searched 10 March 2011). SELECTION
CRITERIA: Randomised controlled trials, controlled before-and-after studies, and
interrupted time series studies, conducted in PHC in low- and middle-income
countries. Supervision includes site visits from a central level of the health
system, plus at least one supervisory activity. We excluded studies aimed solely
at improving the clinical skills of PHC workers. DATA COLLECTION AND ANALYSIS: We
extracted data using a predefined form and assessed for risk of bias using the
EPOC risk of bias criteria. Data are presented in a narrative way without pooling
the effects on the outcomes as studies and outcomes were diverse. MAIN RESULTS:
Nine studies met the inclusion criteria: three compared supervision with no
supervision, five compared enhanced supervision with routine supervision, and one
study compared less intensive supervision with routine supervision. Most outcomes
were scores relating to providers' practice, knowledge and provider or user
satisfaction. The majority of the outcomes were measured within nine months after
the interventions were introduced. In two studies comparing supervision with no
supervision, small benefits on provider practice and knowledge were found. For
methods of enhancing supervision, we identified five studies, and two studies of
frequent supportive supervision demonstrated small benefits on workers
performance. The one study examining the impact of less intensive supervision
found no evidence that reducing the frequency of visits had any effect on the
utilisation of services. The GRADE evidence quality for all comparisons and
outcomes was "low" or "very low". AUTHORS' CONCLUSIONS: It is uncertain whether
supervision has a substantive, positive effect on the quality of primary health
care in low- and middle-income countries. The long term effectiveness of
supervision is unknown.
PMID- 21901705
TI - Specialised antenatal clinics for women with a pregnancy at high risk of preterm
birth (excluding multiple pregnancy) to improve maternal and infant outcomes.
AB - BACKGROUND: Amongst the risk factors for preterm birth, previous preterm delivery
is a strong predictor. Specialised clinics for women with a history of
spontaneous preterm delivery have been advocated as a way of improving outcomes
for women and their infants. OBJECTIVES: To assess using the best available
evidence, the value of specialised antenatal clinics for women with a pregnancy
at high risk of preterm delivery when compared with 'standard' antenatal clinics.
SEARCH STRATEGY: We searched the Cochrane Pregnancy and Childbirth Group's Trials
Register (30 June 2011). SELECTION CRITERIA: All published, unpublished, and
ongoing randomised controlled trials (including cluster-randomised trials)
examining specialised compared with standard antenatal clinic care for women with
a singleton pregnancy considered at high risk of preterm labour. DATA COLLECTION
AND ANALYSIS: Two review authors independently assessed trial quality and
extracted data. MAIN RESULTS: We included three trials with 3400 women, all
carried out in the USA. All focused on specialised clinics for women at high risk
of preterm birth. Gestational age at delivery, preterm delivery, or both were
primary outcomes in all studies. The interventions in the three trials
differed.Overall there was very little data on our prespecified outcomes. For
most outcomes a single study provided data, hence there was not the statistical
power to detect any possible differences between groups. There was no clear
evidence that specialised antenatal clinics reduce the number of preterm births.
AUTHORS' CONCLUSIONS: Specialised antenatal clinics are now an accepted part of
care in many settings, and carrying out further randomised trials may not be
possible. Any future research in this area should include psychological outcomes
and should focus on which aspects of service provision are preferred by women.
Such research could underpin further service development in this area.
PMID- 21901706
TI - Probiotics for preventing acute upper respiratory tract infections.
AB - BACKGROUND: Probiotics may improve a person's health by regulating their immune
function. Some studies show that probiotic strains can prevent respiratory
infections. However, no evidence of the benefits of probiotics for acute upper
respiratory tract infections (URTIs) and related potential adverse effects has
been published. OBJECTIVES: To assess the effectiveness and safety of probiotics
for preventing acute URTIs. SEARCH STRATEGY: We searched the Cochrane Central
Register of Controlled Trials (CENTRAL) (The Cochrane Library 2011, Issue 2),
which includes the Cochrane Acute Respiratory Infections Group's Specialised
Register, MEDLINE (Ovid) (1950 to May week 1, 2011), EMBASE (1974 to May 2011),
Web of Science which includes Science Citation Index (from 1900 to May 2011) and
Conference Proceedings Citation Index (from 1991 to May 2011), the Chinese
Biomedical Literature Database, which includes the China Biological Medicine
Database (from 1978 to May 2011), the Chinese Medicine Popular Science Literature
Database (from 2000 to May 2011) and the Masters Degree Dissertation of Beijing
Union Medical College Database (from 1981 to May 2011). SELECTION CRITERIA:
Randomised controlled trials (RCTs) comparing probiotics with placebo to prevent
acute URTIs. DATA COLLECTION AND ANALYSIS: Two review authors independently
assessed eligibility, quality of trials and extracted data. MAIN RESULTS: We
included 14 RCTs, although we could only extract available data to meta-analyse
in 10 trials which involved 3451 participants. We found that probiotics were
better than placebo when measuring the number of participants experiencing
episodes of acute URTI: at least one episode: odds ratio (OR) 0.58; 95%
confidence interval (CI) 0.36 to 0.92; at least three episodes: OR 0.53; 95% CI
0.36 to 0.80; rate ratio of episodes of acute URTI: rate ratio 0.88; 95% CI 0.81
to 0.96; and reduced antibiotic prescription rates for acute URTIs: OR 0.67; 95%
CI 0.45 to 0.98. Probiotics and placebo were similar when measuring the mean
duration (MD) of an episode of acute URTI: MD -0.29; 95% CI -3.71 to 3.13 and
adverse events: OR 0.92; 95% CI 0.37 to 2.28. Side effects of probiotics were
minor and gastrointestinal symptoms were the most common. We found that some
subgroups had a high level of heterogeneity when conducting pooled analyses.
AUTHORS' CONCLUSIONS: Probiotics were better than placebo in reducing the number
of participants experiencing episodes of acute URTIs, the rate ratio of episodes
of acute URTI and reducing antibiotic use. This indicates that probiotics may be
more beneficial than placebo for preventing acute URTIs. However, the results
have some limitations and there were no data for older people.
PMID- 21901707
TI - Neurosurgical interventions for the treatment of classical trigeminal neuralgia.
AB - BACKGROUND: Surgical interventions are used for trigeminal neuralgia when drug
treatment fails. Surgical treatments divide into two main categories, ablative
(destructive) or non-ablative. These treatments can be done at three different
sites: peripherally, at the Gasserian ganglion level, and within the posterior
fossa of the skull. OBJECTIVES: To assess the efficacy of neurosurgical
interventions for classical trigeminal neuralgia in terms of pain relief, quality
of life and any harms. To determine if there are defined subgroups of patients
more likely to benefit. SEARCH STRATEGY: We searched the Cochrane Neuromuscular
Disease Group Specialized Register, (13 May 2010), CENTRAL (issue 2, 2010 part of
the Cochrane Library), Health Technology Assessment (HTA) Database, NHS Economic
Evaluation Database (NHSEED) and Database of Abstracts of Reviews of Effects
(DARE) (issue 4, 2010 (HTA, NHSEED and DARE are part of the Cochrane Library)),
MEDLINE (January 1966 to May 2010) and EMBASE (January 1980 to May 2010) with no
language exclusion. SELECTION CRITERIA: Randomised controlled trials and quasi
randomised controlled trials of neurosurgical interventions used in the treatment
of classical trigeminal neuralgia. DATA COLLECTION AND ANALYSIS: Two authors
independently assessed trial quality and extracted data. We contacted authors for
clarification and missing information whenever possible. MAIN RESULTS: Eleven
studies involving 496 participants met some of the inclusion criteria stated in
the protocol. One hundred and eighty patients in five studies had peripheral
interventions, 229 patients in five studies had percutaneous interventions
applied to the Gasserian ganglion, and 87 patients in one study underwent two
modalities of stereotactic radiosurgery (Gamma Knife) treatment. No studies
addressing microvascular decompression (which is the only non-ablative procedure)
met the inclusion criteria. All but two of the identified studies had a high to
medium risk of bias because of either missing data or methodological
inconsistency. It was not possible to undertake meta-analysis because of
differences in the intervention modalities and variable outcome measures. Three
studies had sufficient outcome data for analysis. One trial, which involved 40
participants, compared two techniques of radiofrequency thermocoagulation (RFT)
of the Gasserian ganglion at six months. Pulsed RFT resulted in return of pain in
all participants by three months. When this group were converted to conventional
(continuous) treatment these participants achieved pain control comparable to the
group that had received conventional treatment from the outset. Sensory changes
were common in the continuous treatment group. In another trial, of 87
participants, investigators compared radiation treatment to the trigeminal nerve
at one or two isocentres in the posterior fossa. There were insufficient data to
determine if one technique was superior to another. Two isocentres increased the
incidence of sensory loss. Increased age and prior surgery were predictors for
poorer pain relief. Relapses were nonsignificantly reduced with two isocentres
(risk ratio (RR) 0.72, 95% confidence intervaI (CI) 0.30 to 1.71). A third study
compared two techniques for RFT in 54 participants for 10 to 54 months. Both
techniques produced pain relief (not significantly in favour of neuronavigation
(RR 0.70, 95% CI 0.46 to 1.04) but relief was more sustained and side effects
fewer if a neuronavigation system was used. The remaining eight studies did not
report outcomes as predetermined in our protocol. AUTHORS' CONCLUSIONS: There is
very low quality evidence for the efficacy of most neurosurgical procedures for
trigeminal neuralgia because of the poor quality of the trials. All procedures
produced variable pain relief, but many resulted in sensory side effects. There
were no studies of microvascular decompression which observational data suggests
gives the longest pain relief. There is little evidence to help comparative
decision making about the best surgical procedure. Well designed studies are
urgently needed.
PMID- 21901708
TI - Local anaesthetic eye drops for prevention of pain in preterm infants undergoing
screening for retinopathy of prematurity.
AB - BACKGROUND: Screening examinations for retinopathy of prematurity (ROP) are
performed routinely in the neonatal intensive care unit and are a recognised
cause of pain in the newborn. OBJECTIVES: To determine the effect of instillation
of topical anaesthetic eye drops compared with placebo or no treatment on pain in
infants undergoing ROP screening. SEARCH STRATEGY: We used the standard search
strategy of the Cochrane Neonatal Review Group. This included a search of the
Cochrane Neonatal Group register and the Cochrane Central Register of Controlled
Trials (CENTRAL, The Cochrane Library, Issue 10, 2010). We identified relevant
studies by searching the following: (1) computerised bibliographic databases:
MEDLINE (1966 to October 2010), EMBASE (1988 to October 2010) and Web of Science
(1975 to March 2010; (2) the Oxford Database of Perinatal Trials. We searched
electronically abstracts from PAS from 2000 to 2010 and handsearched abstracts
from ESPR from 2000 to 2009. SELECTION CRITERIA: All randomised, or quasi
randomised controlled trials, or randomised cross-over trials. DATA COLLECTION
AND ANALYSIS: We used the standard methods of the Cochrane Neonatal Review Group.
MAIN RESULTS: We identified two studies for inclusion. Both studies were
randomised cross-over trials performed in single centres. Both studies used the
Premature Infant Pain Profile (PIPP) score as a measure of pain response.
Different methods of evaluating PIPP scores are presented including the absolute
PIPP score, a PIPP score > 10 or > 12 and an increase in PIPP >= 4 from the
baseline value. There is a nonsignificant reduction in pain scores at one minute
and a nonsignificant increase at five minutes post insertion of the speculum.
PIPP score > 12 at one minute resulted in a statistically significant reduction
in the number of patients who experienced pain (typical risk ratio (RR) 0.56, 95%
CI 0.36 to 0.89; typical risk difference (RD) -0.23, 95% CI -0.39 to -0.86;
number needed to treat to benefit (NNTB) 4). When pain was defined as an increase
in PIPP > 4 there was a statistically significant reduction in the absolute
number of patients who experienced pain at one minute (typical RR 0.70, 95% CI
0.52 to 0.94; typical RD -0.19, 95% CI -0.34 to -0.04; NNTB 5.3). AUTHORS'
CONCLUSIONS: The administration of topical proparacaine 30 seconds prior to the
ophthalmological evaluation was associated with a reduction in pain scores
especially at the time of speculum insertion. However, despite treatment,
screening remains a painful procedure and the role of nonpharmacological and
pharmacological intervention including different local anaesthetic agents should
be ascertained in future randomised trials.
PMID- 21901709
TI - Interventions to reduce emigration of health care professionals from low- and
middle-income countries.
AB - BACKGROUND: The emigration of skilled professionals from low- and middle-income
countries (LMICs) to high-income countries (HICs) is a general phenomenon but
poses particular challenges in health care, where it contributes to human
resource shortages in the health systems of poorer countries. However, little is
known about the effects of strategies to help regulate this movement. OBJECTIVES:
To assess the effects of policy interventions to regulate emigration of health
professionals from LMICs. SEARCH STRATEGY: We searched the Cochrane Effective
Practice and Organisation of Care (EPOC) Group Specialised Register (searched 15
March 2011), the Cochrane Register of Controlled Trials (CENTRAL) (searched 2
March 2011), MEDLINE (searched 5 March 2011), EMBASE (searched 2 March 2011),
CINAHL (searched 5 March 2011), LILACS (searched 7 March 2011), WHOLIS (searched
20 March 2011), SocINDEX (searched 11 March 2011), EconLit (searched 8 March
2011), Science and Social Science Citation Index (searched 8 March 2011), NLM
Gateway (searched 31 March 2011) and ERIC (searched March 3 2011). We reviewed
reference lists of included studies and selected reviews on the topic, contacted
authors of included studies and experts on the field, and reviewed relevant
websites. SELECTION CRITERIA: Randomised controlled trials (RCT), non-randomised
controlled trials (NRCT), controlled before-and-after studies (CBA) and
interrupted time series (ITS) studies assessing any intervention in the source,
the recipient or both countries that could have an impact on the number of
professionals that emigrate from a LMIC. Health professionals, such as
physicians, dentists, nurses or midwives, should be nationals of a LMIC whose
graduate training was in a LMIC. DATA COLLECTION AND ANALYSIS: One review author
extracted data onto a standard form and a second review author checked data. Two
review authors assessed risk of bias. MAIN RESULTS: Only one study was included.
This time series study assessed the migration of Philippine nurses to the United
States of America (USA) from 1954 to 1990. We re-analysed it as an interrupted
time series study. The intervention was a modification of migratory law in the
US, called the 'Act of October 1965', which decreased the restrictions on Eastern
hemisphere immigrants to the USA. The analysis showed a significant immediate
increase of 807.6 (95% confidence interval (CI) 480.9 to 1134.3) in the number of
nurses migrating to the USA annually after the intervention. This represents a
relative increase of 5000% over the underlying pre-intervention trend. There were
no significant differences in the slopes of the underlying trends for the number
of nurses migrating between the pre- and postintervention periods. AUTHORS'
CONCLUSIONS: There is an important gap in knowledge about the effectiveness of
policy interventions in either HICs or LMICs that could regulate positively the
movement of health professionals from LMICs. The only evidence found was from an
intervention in a HIC that increased the movement of health professionals from a
LMIC.New initiatives to improve records on the migration of health professionals
from LMICs should be implemented, as a prerequisite to conducting more rigorous
research in the field. This research should focus on whether the range of
interventions outlined in the literature could be effective in retaining health
professionals in LMICs. Such interventions include financial rewards, career
development and continuing education, improving hospital infrastructure, resource
availability, better hospital management and improved recognition of health
professionals.
PMID- 21901710
TI - Acupuncture for slowing the progression of myopia in children and adolescents.
AB - BACKGROUND: Myopia (near-sightedness or short-sightedness) is one of the three
commonly detected refractive (focusing) errors. Acupuncture is the stimulation of
acupuncture points by various methods including needle insertion and acupressure.
It is often used by traditional Chinese medicine practitioners to treat myopia in
children. OBJECTIVES: To assess the effectiveness and safety of acupuncture in
slowing the progression of myopia in children and adolescents. SEARCH STRATEGY:
We searched CENTRAL (which contains the Cochrane Eyes and Vision Group Trials
Register) (The Cochrane Library 2011, Issue 7), MEDLINE (January 1950 to July
2011), EMBASE (January 1980 to July 2011), the Allied and Complementary Medicine
Database (AMED) (January 1985 to July 2011), Latin American and Caribbean Health
Sciences Literature Database (LILACS) (January 1982 to July 2011), the
metaRegister of Controlled Trials (mRCT) (www.controlled-trials.com),
ClinicalTrials.gov (www.clinicaltrial.gov), the National Center for Complementary
and Alternative Medicine (NCCAM) (The first issue to August 2010), the Chinese
Biological Medicine Database (CBM) (1978 to April 2011), China National Knowledge
Infrastructure (CNKI) (1994 to April 2011) and VIP (1989 to April 2011). There
were no date or language restrictions in the electronic searches for trials.
CENTRAL, MEDLINE, EMBASE, AMED, LILACS, mRCT and ClinicalTrials.gov were last
searched on 9 July 2011. NCCAM was searched up to August 2010 and CBM, CNKI, and
VIP were last searched on 6 April 2011. SELECTION CRITERIA: We included
randomized controlled trials (RCTs) that included any type of acupuncture
treatment for myopia in children and adolescents. DATA COLLECTION AND ANALYSIS:
Two authors independently evaluated the search results according to the inclusion
and exclusion criteria. Two authors extracted and assessed data independently. We
contacted the study investigator for missing data. MAIN RESULTS: We included two
RCTs conducted in Taiwan with a total of 131 participants. We did not perform a
meta-analysis as the trials were assessing different outcomes. Neither trial met
our pre-defined primary outcome criteria of myopia progression defined as one
diopter mean change. Only one trial reported the changes of axial length without
non-significant difference among groups and both trials reported that several
children experienced mild pain during acupuncture stimulation. AUTHORS'
CONCLUSIONS: Two trials are included in this review but no conclusions can be
drawn for the benefit of co-acupressure for slowing progress of myopia in
children. Further evidence in the form of RCTs are needed before any
recommendations can be made for the use of acupuncture treatment in clinical use.
These trials should compare acupuncture to placebo and have large sample sizes.
Other types of acupuncture (such as auricular acupuncture) should be explored
further as well as compliance with treatment for at least six months or longer.
Axial length elongation of the eye should be investigated for at least one year.
The potential to reduce/eliminate pain from acupuncture experienced by children
should also be reviewed.
PMID- 21901711
TI - Behavioral interventions to promote condom use among women living with HIV.
AB - BACKGROUND: High rates of HIV infection among women of reproductive age have
dramatic consequences for personal and public health. Prophylaxis during sexual
intercourse in the form of condoms has been the most effective way to prevent
both STI and HIV transmission among people living with HIV. OBJECTIVES: To
investigate the effectiveness of behavioral interventions in promoting condom use
among women living with HIV. SEARCH STRATEGY: We conducted a comprehensive
literature search in several scientific databases, clinical trials databases,
conference proceedings, and conference websites to identify studies produced
between 1980 and May 2010 that met our selection criteria. SELECTION CRITERIA:
Studies were included in the analysis if they conducted a randomized controlled
trial that examined the effects of behavioral interventions on condom use among
HIV-positive women; considered at least one HIV-related behavioral outcome (e.g.,
reported protected anal, vaginal, or oral sex) or biological outcome (e.g.,
acquisition of STIs); and one follow-up assessment three months or more after the
intervention. Studies were assessed irregardless of langauge or publication
status. DATA COLLECTION AND ANALYSIS: We used random effects models to summarize
odds ratios (ORs) that compared intervention and control groups with respect to a
dichotomous outcome (consistent versus inconsistent condom use). We used funnel
plots to examine publication bias and a chi(2) statistic to test for
heterogeneity. The methodological and evidence quality was evaluated through risk
of bias criteria and the GRADE system, respectively. MAIN RESULTS: Five primary
studies that collectively researched a total of 725 women living with HIV were
analysed. When compared to standard care or minimal HIV support intervention,
meta-analysis showed that behavioral interventions had no effect on increasing
condom use among HIV-positive women. This finding was consistent at various
follow-up meetings (3, 6, and 12-months) as well as over the entire 12-month
follow-up period (OR= 0.82; 95% CI 0.65-1.04; p=0.11). Only one study presented
adequate data to analyze the relationship between behavioral interventions and
STI incidence. Studies included in this analysis demonstrated low risk of bias
based on the risk of bias criteria. However, sample size was considered
inadequate across all studies. AUTHORS' CONCLUSIONS: Meta-analysis shows that
behavioral interventions have little effect on increasing condom use among HIV
positive women. However, these findings should be used with caution since results
were based on a few small trials that were targeted specifically towards HIV
positive women. To decrease sexual transmission of HIV among this population, we
recommend interventions that combine condom promotion, family planning provision
and counselling, and efforts to reduce viral loads among HIV-positive women and
their partners (e.g., HAART treatment provision). New research is needed to
address the needs of HIV-positive women, including an assessment of the impact of
interventions that combine safer sexual behavior and harm reduction approaches.
PMID- 21901712
TI - Acupuncture for autism spectrum disorders (ASD).
AB - BACKGROUND: Autism spectrum disorders (ASD) are characterized by impairment in
social interaction, impairment in communication and lack of flexibility of
thought and behavior. Acupuncture, which involves the use of needles or pressure
to specific points on the body, is used widely in Traditional Chinese Medicine
and increasingly within a western medical paradigm. It has sometimes been used as
a treatment aimed at improving ASD symptoms and outcomes, but its clinical
effectiveness and safety has not been rigorously reviewed. OBJECTIVES: To
determine the effectiveness of acupuncture for people with ASD in improving core
autistic features, as well as communication, cognition, overall functioning and
quality of life, and to establish if it has any adverse effects. SEARCH STRATEGY:
We searched the following databases on 30 September 2010: CENTRAL (The Cochrane
Library, 2010, Issue 3), MEDLINE (1950 to September 2010 Week 2), EMBASE (1980 to
2010 Week 38), PsycINFO, CINAHL, China Journal Full-text Database, China Master
Theses Full-text Database, China Doctor Dissertation Full-text Database, China
Proceedings of Conference Database, Index to Taiwan Periodical Literature System,
metaRegister of Controlled Trials and the Chinese Clinical Trials Registry. We
also searched AMED (26 February 2009) and Dissertation Abstracts International (3
March 2009), but these were no longer available to the authors or editorial base
at the date of the most recent search. TCMLARS (Traditional Chinese Medical
Literature Analysis and Retrieval System) was last searched on 3 March 2009.
SELECTION CRITERIA: We included randomized and quasi-randomized controlled
trials. We included studies comparing an acupuncture group with at least one
control group that used no treatment, placebo or sham acupuncture treatment in
people with ASD. We excluded trials that compared different forms of acupuncture
or compared acupuncture with another treatment. DATA COLLECTION AND ANALYSIS: Two
review authors independently extracted trial data and assessed the risk of bias
in the trials. We used relative risk (RR) for dichotomous data and mean
difference (MD) for continuous data. MAIN RESULTS: We included 10 trials that
involved 390 children with ASD. The age range was three to 18 years and the
treatment duration ranged from four weeks to nine months. The studies were
carried out in Hong Kong, mainland China and Egypt.Two trials compared needle
acupuncture with sham acupuncture and found no difference in the primary outcome
of core autistic features (RFRLRS total score: MD 0.09; 95% CI -0.03 to 0.21, P =
0.16), although results suggested needle acupuncture might be associated with
improvement in some aspects of the secondary outcomes of communication and
linguistic ability, cognitive function and global functioning.Six trials compared
needle acupuncture plus conventional treatment with conventional treatment alone.
The trials used different primary outcome measures and most could not demonstrate
effectiveness of acupuncture in improving core autistic features in general,
though one trial reported patients in the acupuncture group were more likely to
have improvement on the Autism Behavior Checklist (RR 1.53; 95% CI 1.09 to 2.16,
P = 0.02) and had slightly better post-treatment total scores (MD -5.53; 95% CI
10.76 to -0.31, P = 0.04). There was no evidence that acupuncture was effective
for the secondary outcome of communication and linguistic ability, though there
seemed to be some benefit for the secondary outcomes of cognitive function and
global functioning.Two trials compared acupressure plus conventional treatment
with conventional treatment alone and did not report on the primary outcome.
Individual study results suggested there may be some benefit from acupressure for
certain aspects of the secondary outcomes of communication and linguistic
ability, cognitive function and global functioning.Four trials reported some
adverse effects, though there was little quantitative information, and at times
both intervention and control groups experienced them. Adverse effects noted
included bleeding, crying due to fear or pain, irritability, sleep disturbance
and increased hyperactivity. None of the trials reported on quality of life.There
are a number of problems with the evidence base: the trials were few in number
and included only children; six of the trials were at high risk of bias; they
were heterogeneous in terms of participants and intervention; they were of short
duration and follow-up; they reported inconsistent and imprecise results, and,
due to carrying out large numbers of analyses, they were at risk of false
positivity. AUTHORS' CONCLUSIONS: Current evidence does not support the use of
acupuncture for treatment of ASD. There is no conclusive evidence that
acupuncture is effective for treatment of ASD in children and no RCTs have been
carried out with adults. Further high quality trials of larger size and longer
follow-up are needed.
PMID- 21901713
TI - Acupuncture for pain in endometriosis.
AB - BACKGROUND: Endometriosis is a prevalent gynaecological condition, significantly
affecting women's lives. Clinical presentations may vary from absence of symptoms
to complaints of chronic pelvic pain, most notably dysmenorrhoea. The management
of pain in endometriosis is currently inadequate. Acupuncture has been studied in
gynaecological disorders but its effectiveness for pain in endometriosis is
uncertain. OBJECTIVES: To determine the effectiveness and safety of acupuncture
for pain in endometriosis. SEARCH STRATEGY: We searched the Cochrane Menstrual
Disorders and Subfertility Group (MSDG) Specialised Register of controlled
trials, Cochrane Central Register of Controlled Trials (CENTRAL) (The Cochrane
Library), MEDLINE, EMBASE, CINAHL, AMED, PsycINFO, CNKI and TCMDS (from inception
to 2010) and reference lists of retrieved articles. SELECTION CRITERIA:
Randomised single or double-blind controlled trials enrolling women of
reproductive age with a laparoscopically confirmed diagnosis of endometriosis and
comparing acupuncture (body, scalp or auricular) to either placebo or sham, no
treatment, conventional therapies or Chinese herbal medicine. DATA COLLECTION AND
ANALYSIS: Three authors independently assessed risk of bias and extracted data;
we contacted study authors for additional information. Meta-analyses were not
performed as only one study was included. The primary outcome measure was
decrease in pain from endometriosis. Secondary outcome measures included
improvement in quality of life scores, pregnancy rate, adverse effects and rate
of endometriosis recurrence. MAIN RESULTS: Twenty-four studies were identified
that involved acupuncture for endometriosis; however only one trial, enrolling 67
participants, met all the inclusion criteria. The single included trial defined
pain scores and cure rates according to the Guideline for Clinical Research on
New Chinese Medicine. Dysmenorrhoea scores were lower in the acupuncture group
(mean difference -4.81 points, 95% confidence interval -6.25 to -3.37, P <
0.00001) using the 15-point Guideline for Clinical Research on New Chinese
Medicine for Treatment of Pelvic Endometriosis scale. The total effective rate
('cured', 'significantly effective' or 'effective') for auricular acupuncture and
Chinese herbal medicine was 91.9% and 60%, respectively (risk ratio 3.04, 95%
confidence interval 1.65 to 5.62, P = 0.0004). The improvement rate did not
differ significantly between auricular acupuncture and Chinese herbal medicine
for cases of mild to moderate dysmenorrhoea, whereas auricular acupuncture did
significantly reduce pain in cases of severe dysmenorrhoea. Data were not
available for secondary outcomes measures. AUTHORS' CONCLUSIONS: The evidence to
support the effectiveness of acupuncture for pain in endometriosis is limited,
based on the results of only a single study that was included in this review.
This review highlights the necessity for developing future studies that are well
designed, double-blinded, randomised controlled trials that assess various types
of acupuncture in comparison to conventional therapies.
PMID- 21901714
TI - Remediating buildings damaged by dampness and mould for preventing or reducing
respiratory tract symptoms, infections and asthma.
AB - BACKGROUND: Dampness and mould in buildings have been associated with adverse
respiratory symptoms, asthma and respiratory infections of inhabitants. Moisture
damage is a very common problem in private houses, workplaces and public
buildings such as schools. OBJECTIVES: To determine the effectiveness of
remediating buildings damaged by dampness and mould in order to reduce or prevent
respiratory tract symptoms, infections and symptoms of asthma. SEARCH STRATEGY:
We searched the Cochrane Central Register of Controlled Trials (CENTRAL) (The
Cochrane Library 2011, Issue 2), which contains the Cochrane Acute Respiratory
Infections Group's Specialised Register, MEDLINE (1951 to June week 1, 2011),
EMBASE (1974 to June 2011), CINAHL (1982 to June 2011), Science Citation Index
(1973 to June 2011), Biosis Previews (1989 to June 2011), NIOSHTIC (1930 to
November 2010) and CISDOC (1974 to November 2010). SELECTION CRITERIA: Randomised
controlled trials (RCTs), cluster-RCTs (cRCTs), interrupted time series studies
and controlled before-after (CBA) studies of the effects of remediating dampness
and mould in a building on respiratory symptoms, infections and asthma. DATA
COLLECTION AND ANALYSIS: Two authors independently extracted data and assessed
the risk of bias in the included studies. MAIN RESULTS: We included eight studies
(6538 participants); two RCTs (294 participants), one cRCT (4407 participants)
and five CBA studies (1837 participants). The interventions varied from thorough
renovation to cleaning only. We found moderate-quality evidence in adults that
repairing houses decreased asthma-related symptoms (among others, wheezing (odds
ratio (OR) 0.64; 95% confidence interval (CI) 0.55 to 0.75) and respiratory
infections (among others, rhinitis (OR 0.57; 95% CI 0.49 to 0.66)). For children,
we found moderate-quality evidence that the number of acute care visits (among
others mean difference (MD) -0.45; 95% CI -0.76 to -0.14)) decreased in the group
receiving thorough remediation.One CBA study showed very low-quality evidence
that after repairing a mould-damaged office building, asthma-related and other
respiratory symptoms decreased. For children and staff in schools, there was very
low-quality evidence that asthma-related and other respiratory symptoms in mould
damaged schools were similar to those of children and staff in non-damaged
schools, both before and after intervention. For children, respiratory infections
might have decreased after the intervention. AUTHORS' CONCLUSIONS: We found
moderate to very low-quality evidence that repairing mould-damaged houses and
offices decreases asthma-related symptoms and respiratory infections compared to
no intervention in adults. There is very low-quality evidence that although
repairing schools did not significantly change respiratory symptoms in staff or
children, pupils' visits to physicians due to a common cold were less frequent
after remediation of the school. Better research, preferably with a cRCT design
and with more validated outcome measures, is needed.
PMID- 21901715
TI - Angiogenesis inhibitors for the treatment of ovarian cancer.
AB - BACKGROUND: Many women with ovarian cancer eventually develop resistance to
conventional chemotherapy drugs, and so novel agents are being developed to
target specific molecular pathways. One such class of drugs inhibits angiogenesis
(the development of new blood vessels), which is essential for tumour growth. It
is important to establish whether the addition of these new drugs to conventional
chemotherapy regimens improves survival, and what the side-effects may be.
OBJECTIVES: To compare the effectiveness and toxicities of angiogenesis
inhibitors in the treatment of ovarian cancer. SEARCH STRATEGY: We sought to
identify completed randomised controlled trials (RCTs) by searching The Cochrane
Gynaecological Cancer Review Group's Trial Register, The Cochrane Central
Register of Controlled Trials (CENTRAL) (The Cochrane Library 2010, Issue 10),
MEDLINE and EMBASE (1990 to October 2010). We also searched registers of clinical
trials, and contacted investigators of completed and ongoing trials for further
information. SELECTION CRITERIA: Randomised controlled studies comparing
angiogenesis inhibitors with either standard chemotherapy or no treatment, in
women with ovarian cancer. DATA COLLECTION AND ANALYSIS: Two independent authors
carried out data collection and extraction. We used a random-effects model for
pooling data. MAIN RESULTS: We did not find any fully-published, completed RCTs
of angiogenesis inhibitors that met our inclusion criteria. We identified five
abstracts of completed RCTs of four different angiogenesis-inhibiting agents,
with a total of 3701 participants.Meta-analysis of two trials found no
statistically significant difference in overall survival (OS) between women with
newly-diagnosed advanced ovarian cancer who received concurrent and maintenance
bevacizumab compared to those who received chemotherapy (carboplatin and
paclitaxel) alone. However, women who received concurrent and maintenance
bevacizumab had their risk of disease progression reduced by a quarter (hazard
ratio (HR) 0.75, 95% confidence interval (CI) 0.68 to 0.83; P < 0.001); they also
had a significantly increased risk of severe gastrointestinal adverse events,
moderate or severe hypertension and severe bleeding.One trial also compared
chemotherapy to concurrent (but not maintenance bevacizumab), and found no
statistically significant difference in OS or progression-free survival (PFS).
However, the women who received bevacizumab had a significantly higher risk of
moderate or severe hypertension.A three-armed RCT, of paclitaxel alone or with
low- or high-dose AMG 386, in women with recurrent ovarian cancer, found no
statistically significant difference in OS. However, women who received low-dose
AMG 386 had a third less risk of disease progression than those who received
placebo (HR 0.57, 95% CI 0.36 to 0.91; P = 0.02). The trial found no evidence of
increased adverse events in the intervention arms.Two relatively small RCTs (one
of VEGF-Trap, the other of BIBF 1120) found no evidence of either significant
survival benefit or increased severe adverse events, compared to placebo, but
they both lacked statistical power. All five trials had unclear risk of bias,
largely because they have only been published in abstract form, and thus many
methodological details are unclear. We identified twelve suitable ongoing trials.
AUTHORS' CONCLUSIONS: There is, as yet, no fully-published RCT evidence for the
efficacy or safety of angiogenesis inhibitors for the treatment of ovarian
cancer, but some preliminary results are available from five trials. There is
some evidence from a meta-analysis of two trials that the addition of concurrent
and maintenance bevacizumab to standard chemotherapy may reduce the risk of
disease progression, in women with newly-diagnosed advanced ovarian cancer. There
is also some evidence from a single trial that low-dose AMG 386 may reduce the
risk of disease progression in women with recurrent ovarian cancer. However,
there is currently no evidence that angiogenesis inhibitors improve OS, nor is
there enough evidence to justify the routine use of angiogenesis inhibitors in
treating women with ovarian cancer. We eagerly await both the more detailed
results of these five completed trials, and the preliminary results of the
several ongoing trials.
PMID- 21901716
TI - Medical interventions for treating anthracycline-induced symptomatic and
asymptomatic cardiotoxicity during and after treatment for childhood cancer.
AB - BACKGROUND: Anthracyclines are frequently used chemotherapeutic agents for
childhood cancer that can cause cardiotoxicity during and after treatment.
Although several medical interventions in adults with symptomatic or asymptomatic
cardiac dysfunction due to other causes are beneficial, it is not known if the
same treatments are effective for childhood cancer patients and survivors with
anthracycline-induced cardiotoxicity. OBJECTIVES: To compare the effect of
medical interventions on anthracycline-induced cardiotoxicity in childhood cancer
patients or survivors with the effect of placebo, other medical interventions or
no treatment. SEARCH STRATEGY: We searched the Cochrane Central Register of
Controlled Trials (CENTRAL) (The Cochrane Library, 2011, issue 1), MEDLINE/PubMed
(1949 to May 2011) and EMBASE/Ovid (1980 to May 2011) for potentially relevant
articles. We additionally searched reference lists of relevant articles,
conference proceedings and ongoing trial databases. SELECTION CRITERIA:
Randomised controlled trials (RCTs) or controlled clinical trials (CCTs)
comparing the effectiveness of medical interventions to treat anthracycline
induced cardiotoxicity with either placebo, other medical interventions or no
treatment. DATA COLLECTION AND ANALYSIS: Two review authors independently
performed the study selection. One review author performed the data extraction
and 'Risk of bias' assessments which were checked by another review author. MAIN
RESULTS: We identified two RCTs. One trial (135 patients) compared enalapril with
placebo in childhood cancer survivors with asymptomatic anthracycline induced
cardiac dysfunction. The other trial (68 patients) compared a two-week treatment
of phosphocreatine with a control treatment (vitamin C, ATP, vitamin E, oral
coenzyme Q10) in leukaemia patients with anthracycline-induced cardiotoxicity.
Both studies had methodological limitations.The RCT on enalapril showed no
(statistically) significant differences in overall survival, mortality due to
heart failure, development of clinical heart failure and quality of life between
treatment and control group. A post-hoc analysis showed a decrease (i.e.
improvement) in one measure of cardiac function (left ventricular end systolic
wall stress (LVESWS): -8.62% change) compared with placebo (+1.66% change) in the
first year of treatment (P = 0.036), but not afterwards. Patients treated with
enalapril had a higher risk of dizziness or hypotension (RR 7.17, 95% CI 1.71 to
30.17) and fatigue (Fisher's exact test, P = 0.013).The RCT on phosphocreatine
found no differences in overall survival, mortality due to heart failure,
echocardiographic cardiac function and adverse events between treatment and
control group. AUTHORS' CONCLUSIONS: For the effect of enalapril in childhood
cancer survivors with asymptomatic cardiac dysfunction, only one RCT is
available. Although there is some evidence that enalapril temporarily improves
one parameter of cardiac function (LVESWS), it is unclear whether it improves
clinical outcomes. Enalapril was associated with a higher risk of dizziness or
hypotension and fatigue. Clinicians should weigh the possible benefits with the
known side-effects of enalapril in childhood cancer survivors with asymptomatic
anthracycline-induced cardiotoxicity.For the effect of phosphocreatine in
childhood cancer patients with anthracycline-induced cardiotoxicity, only one RCT
is available. Limited data with a high risk of bias showed no significant
difference between phosphocreatine and control treatment on echocardiographic
function and clinical outcomes.We did not identify any RCTs or CCTs studying
other medical interventions for symptomatic or asymptomatic cardiotoxicity in
childhood cancer patients or survivors.High-quality studies should be performed.
PMID- 21901717
TI - Psychological and pharmacological interventions for depression in patients with
coronary artery disease.
AB - BACKGROUND: Depression occurs frequently in patients with coronary artery disease
(CAD) and is associated with a poor prognosis. OBJECTIVES: To determine the
effects of psychological and pharmacological interventions for depression in CAD
patients with comorbid depression. SEARCH STRATEGY: CENTRAL, DARE, HTA and EED on
The Cochrane Library, MEDLINE, EMBASE, PsycINFO, CINAHL, ISRCTN Register and
CardioSource Registry were searched. Reference lists of included randomised
controlled trials (RCTs) were examined and primary authors contacted. No language
restrictions were applied. SELECTION CRITERIA: RCTs investigating psychological
and pharmacological interventions for depression in adults with CAD and comorbid
depression were included. Primary outcomes were depression, mortality and cardiac
events. Secondary outcomes were healthcare costs and health-related quality of
life (QoL). DATA COLLECTION AND ANALYSIS: Two reviewers independently examined
the identified papers for inclusion and extracted data from included studies.
Random effects model meta-analyses were performed to compute overall estimates of
treatment outcomes. MAIN RESULTS: The database search identified 3,253
references. Sixteen trials fulfilled the inclusion criteria. Psychological
interventions show a small beneficial effect on depression compared to usual care
(range of SMD of depression scores across trials and time frames: -0.81;0.12).
Based on one trial per outcome, no beneficial effects on mortality rates, cardiac
events, cardiovascular hospitalizations and QoL were found, except for the
psychosocial dimension of QoL. Furthermore, no differences on treatment outcomes
were found between the varying psychological approaches. The review provides
evidence of a small beneficial effect of pharmacological interventions with
selective serotonin reuptake inhibitors (SSRIs) compared to placebo on depression
outcomes (pooled SMD of short term depression change scores: -0.24 [-0.38,-0.09];
pooled OR of short term depression remission: 1.80 [1.18,2.74]). Based on one to
three trials per outcome, no beneficial effects regarding mortality, cardiac
events and QoL were found. Hospitalization rates (pooled OR of three trials: 0.58
[0.39,0.85] and emergency room visits (OR of one trial: 0.58 [0.34,1.00]) were
reduced in trials of pharmacological interventions compared to placebo. No
evidence of a superior effect of Paroxetine (SSRI) versus Nortriptyline (TCA)
regarding depression outcomes was found in one trial. AUTHORS' CONCLUSIONS:
Psychological interventions and pharmacological interventions with SSRIs may have
a small yet clinically meaningful effect on depression outcomes in CAD patients.
No beneficial effects on the reduction of mortality rates and cardiac events were
found. Overall, however, the evidence is sparse due to the low number of high
quality trials per outcome and the heterogeneity of examined populations and
interventions.
PMID- 21901718
TI - Colony-stimulating factors for prevention and treatment of infectious
complications in patients with acute myelogenous leukemia.
AB - BACKGROUND: Acute myelogenous leukemia (AML) is a fatal bone marrow cancer.
Colony-stimulating factors (CSFs) are frequently administered during and after
chemotherapy to reduce complications. However, their safety with regard to
disease-related outcomes and survival in AML is unclear. Therefore, we performed
a systematic review and meta-analysis to evaluate the impact of CSFs on patient
outcomes, including survival. OBJECTIVES: To assess the safety/efficacy of CSFs
with regard to disease-related outcomes and survival in patients with AML. SEARCH
STRATEGY: We conducted a comprehensive search strategy. We identified relevant
randomized clinical trials by searching the Cochrane Central Register of
Controlled Trials (The Cochrane Library 2010, Issue 7), MEDLINE (January 1966 to
July 2010), LILACS (up to December 2009), databases of ongoing trials and
relevant conference proceedings. SELECTION CRITERIA: Randomized controlled trials
that compared the addition of CSFs during and following chemotherapy to
chemotherapy alone in patients with AML. We excluded trials evaluating the role
of CSFs administered for the purpose of stem cell collection and/or priming (e.g.
before and/or only for the duration of chemotherapy). DATA COLLECTION AND
ANALYSIS: Two review authors appraised the quality of trials and extracted data.
For each trial, we expressed results as relative risk (RR) with 95% confidence
intervals (CI) for dichotomous data. We analyzed time-to-event outcomes as hazard
ratios (HRs). MAIN RESULTS: The search yielded 19 trials including 5256 patients.
The addition of CSFs to chemotherapy yielded no difference in all-cause mortality
at 30 days and at the end of follow up (RR 0.97; 95% CI 0.80 to 1.18 and RR 1.01;
95% CI 0.98 to 1.05, respectively) or in overall survival(HR 1.00; 95% 0.93 to
1.08). There was no difference in complete remission rates(RR 1.03; 95% CI 0.99
to 1.07), relapse rates(RR 0.97; 95% CI 0.89 to 1.05) and disease-free
survival(HR 1.00; 95% CI 0.90 to 1.13). CSFs did not decrease the occurrence of
bacteremias(RR 0.96; 95% CI 0.82 to 1.12), nor the occurrence of invasive fungal
infections(RR 1.40; 95% CI 0.90 to 2.19). CSFs marginally increased adverse
events requiring discontinuation of CSFs as compared to the control arm(RR 1.33;
95% CI 1.00 to 1.56). AUTHORS' CONCLUSIONS: The addition of CSFs to chemotherapy
does not adversely influence all-cause mortality, complete remission or relapse
rates in patients with AML. Although the benefit of CSFs is limited to reduction
of neutropenic and febrile days, they can be administered safely when necessary.
PMID- 21901719
TI - Long-term effects of weight-reducing diets in hypertensive patients.
AB - BACKGROUND: All major guidelines for antihypertensive therapy recommend weight
loss. Thus dietary interventions that aim to reduce body weight might be a useful
intervention to reduce blood pressure and adverse cardiovascular events
associated with hypertension. OBJECTIVES: Primary objectivesTo assess the long
term effects of weight-reducing diets in hypertensive patients on- all cause
mortality - cardiovascular morbidity - adverse events (including total
serious adverse events, withdrawal due to adverse events and total non-serious
adverse events)Secondary objectivesTo assess the long-term effects of weight
reducing diets in hypertensive patients on- change from baseline in systolic
blood pressure - change from baseline in diastolic blood pressure - body
weight reduction SEARCH STRATEGY: Studies were obtained from computerised
searches of Ovid MEDLINE, EMBASE, CENTRAL and from searches in reference lists
and systematic reviews. SELECTION CRITERIA: Randomised controlled trials (RCT) in
adult hypertensive patients were included if they had a study duration of at
least 24 weeks and compared weight reducing dietary interventions to no dietary
intervention in adult patients with primary hypertension. DATA COLLECTION AND
ANALYSIS: Two authors independently assessed risk of bias and extracted data.
Studies were pooled using fixed-effect meta-analysis. In case of moderate or
larger heterogeneity as measured by Higgins I(2), a random effects model was
used. MAIN RESULTS: Eight studies involving a total of 2100 participants with
high blood pressure and a mean age of 45 to 66 years met our inclusion criteria.
Mean treatment duration was 6 to 36 months. No study included mortality as a pre
defined outcome. One RCT evaluated the effects of dietary weight loss on a
combined endpoint, consisting of the necessity of reinstating antihypertensive
therapy and severe cardiovascular complications. In this RCT weight reducing diet
lowered the endpoint, hazard ratio 0.70 (95% confidence interval [CI], 0.57 to
0.87) compared to no diet. None of the studies evaluated adverse events as
designated in our protocol. Blood pressure was reduced in patients assigned to
weight loss diets as compared to controls: systolic blood pressure (SBP):
weighted mean difference (WMD): -4.5 mm Hg; 95% CI, -7.2 to -1.8 mm Hg (3 of 8
studies included in analysis), and diastolic blood pressure (DBP): WMD -3.2 mm
Hg; 95% CI, -4.8 to -1.5 mm Hg (3 of 8 studies included in analysis). Patients'
body weight was also reduced in dietary weight loss groups as compared to
controls, WMD of -4.0 kg (95% CI: -4.8 to -3.2) (5 of 8 studies included in
analysis). Two studies used withdrawal of antihypertensive medication as their
primary outcome. Even though this was not considered a relevant outcome for this
review, the results of these studies strengthen the finding of reduction of blood
pressure by dietary weight loss interventions. AUTHORS' CONCLUSIONS: In patients
with primary hypertension, weight loss diets reduced body weight and blood
pressure, however the magnitude of the effects are uncertain as a result of the
small number of patients and studies that could be included in the analyses. It
is not known whether weight loss reduces mortality and morbidity. No useful
information on adverse effects was reported in the relevant trials.
PMID- 21901720
TI - Virtual reality for stroke rehabilitation.
AB - BACKGROUND: Virtual reality and interactive video gaming have emerged as new
treatment approaches in stroke rehabilitation. In particular, commercial gaming
consoles are being rapidly adopted in clinical settings; however, there is
currently little information about their effectiveness. OBJECTIVES: To evaluate
the effects of virtual reality and interactive video gaming on upper limb, lower
limb and global motor function after stroke. SEARCH STRATEGY: We searched the
Cochrane Stroke Group Trials Register (March 2010), the Cochrane Central Register
of Controlled Trials (The Cochrane Library 2010, Issue 1), MEDLINE (1950 to March
2010), EMBASE (1980 to March 2010) and seven additional databases. We also
searched trials registries, conference proceedings, reference lists and contacted
key researchers in the area and virtual reality equipment manufacturers.
SELECTION CRITERIA: Randomised and quasi-randomised trials of virtual reality
('an advanced form of human-computer interface that allows the user to 'interact'
with and become 'immersed' in a computer-generated environment in a naturalistic
fashion') in adults after stroke. The primary outcomes of interest were: upper
limb function and activity, gait and balance function and activity and global
motor function. DATA COLLECTION AND ANALYSIS: Two review authors independently
selected trials based on pre-defined inclusion criteria, extracted data and
assessed risk of bias. A third review author moderated disagreements when
required. The authors contacted all investigators to obtain missing information.
MAIN RESULTS: We included 19 trials which involved 565 participants. Study sample
sizes were generally small and interventions and outcome measures varied,
limiting the ability to which studies could be compared. Intervention approaches
in the included studies were predominantly designed to improve motor function
rather than cognitive function or activity performance. The majority of
participants were relatively young and more than one year post stroke. PRIMARY
OUTCOMES: results were statistically significant for arm function (standardised
mean difference (SMD) 0.53, 95% confidence intervals (CI) 0.25 to 0.81 based on
seven studies with 205 participants). There were no statistically significant
effects for grip strength or gait speed. We were unable to determine the effect
on global motor function due to insufficient numbers of comparable studies.
SECONDARY OUTCOMES: results were statistically significant for activities of
daily living (ADL) outcome (SMD 0.81, 95% CI 0.39 to 1.22 based on three studies
with 101 participants); however, we were unable to pool results for cognitive
function, participation restriction and quality of life or imaging studies. There
were few adverse events reported across studies and those reported were
relatively mild. Studies that reported on eligibility rates showed that only 34%
(standard deviation (SD) 26, range 17 to 80) of participants screened were
recruited. AUTHORS' CONCLUSIONS: We found limited evidence that the use of
virtual reality and interactive video gaming may be beneficial in improving arm
function and ADL function when compared with the same dose of conventional
therapy. There was insufficient evidence to reach conclusions about the effect of
virtual reality and interactive video gaming on grip strength or gait speed. It
is unclear at present which characteristics of virtual reality are most important
and it is unknown whether effects are sustained in the longer term. Furthermore,
there are currently very few studies evaluating the use of commercial gaming
consoles (such as the Nintendo Wii).
PMID- 21901721
TI - Regular long-term red blood cell transfusions for managing chronic chest
complications in sickle cell disease.
AB - BACKGROUND: Sickle cell disease can cause severe vaso-occlusive crises and
dysfunction of most organ systems. The two most common chronic chest
complications due to sickle cell disease are pulmonary hypertension and chronic
sickle lung disease. These complications can lead to morbidity (such as reduced
exercise tolerance) and increased mortality. OBJECTIVES: The aim of this review
is to find out whether trials involving people with sickle cell disease that
compare regular long-term blood transfusion regimens with an alternative
treatment or no treatment show differences in the following:1. the incidence of
chronic chest complications (chronic sickle lung disease or pulmonary
hypertension); 2. the 'severity' or progression of established chronic chest
complications; 3. the mortality associated with chronic chest complications; and
4. unacceptable adverse events. SEARCH STRATEGY: We searched the Group's
Haemoglobinopathies Trials Register. Specific websites were also searched for
information of ongoing or newly completed trials. The search included the
reference lists of any randomised controlled trials identified using the above
methods.Date of the most recent search of the Cochrane Cystic Fibrosis and
Genetic Disorders Group's Haemoglobinopathies Trials Register: 18 April 2011.
SELECTION CRITERIA: We included randomized controlled trials. Trials that used
quasi-randomized methods were to be included if sufficient evidence existed that
the treatment and control groups were similar at baseline. Trials were eligible
for inclusion if they investigated regular red blood cell transfusion regimens
(either simple top-up or exchange transfusions) aimed at reducing the incidence,
mortality, or objective measures of severity or progression of chronic chest
complications (chronic sickle lung and pulmonary hypertension) among men or women
of any age and with one of four common sickle cell disease genotypes, ie Hb SS,
Sbeta(0), SC, or Sbeta(+). These interventions would be compared to an
alternative treatment with the same aim or to no treatment. DATA COLLECTION AND
ANALYSIS: No studies matching the selection criteria were found. MAIN RESULTS: No
studies matching the selection criteria were found. AUTHORS' CONCLUSIONS: There
is a need for randomized controlled trials looking at the role of long-term
transfusion therapy in pulmonary hypertension and chronic sickle lung disease.
Due to the chronic nature of the conditions, such trials should aim to use a
combination of objective and subjective measures to assess participants during an
extended 'steady state' baseline, and after the intervention.
PMID- 21901722
TI - The effect of financial incentives on the quality of health care provided by
primary care physicians.
AB - BACKGROUND: The use of blended payment schemes in primary care, including the use
of financial incentives to directly reward 'performance' and 'quality' is
increasing in a number of countries. There are many examples in the US, and the
Quality and Outcomes Framework (QoF) for general practitioners (GPs) in the UK is
an example of a major system-wide reform. Despite the popularity of these
schemes, there is currently little rigorous evidence of their success in
improving the quality of primary health care, or of whether such an approach is
cost-effective relative to other ways to improve the quality of care. OBJECTIVES:
The aim of this review is to examine the effect of changes in the method and
level of payment on the quality of care provided by primary care physicians
(PCPs) and to identify:i) the different types of financial incentives that have
improved quality;ii) the characteristics of patient populations for whom quality
of care has been improved by financial incentives; andiii) the characteristics of
PCPs who have responded to financial incentives. SEARCH STRATEGY: We searched the
Cochrane Effective Practice and Organisation of Care (EPOC) Trials Register,
Cochrane Central Register of Controlled Trials (CENTRAL) and Cochrane Database of
Systematic Reviews (CDSR) (The Cochrane Library), MEDLINE, HealthSTAR, EMBASE,
CINAHL, PsychLIT, and ECONLIT. Searches of Internet-based economics and health
economics working paper collections were also conducted. Finally, studies were
identified through the reference lists of retrieved articles, websites of key
organisations, and from direct contact with key authors in the field. Articles
were included if they were published from 2000 to August 2009. SELECTION
CRITERIA: Randomised controlled trials (RCT), controlled before and after studies
(CBA), and interrupted time series analyses (ITS) evaluating the impact of
different financial interventions on the quality of care delivered by primary
healthcare physicians (PCPs). Quality of care was defined as patient reported
outcome measures, clinical behaviours, and intermediate clinical and
physiological measures. DATA COLLECTION AND ANALYSIS: Two review authors
independently extracted data and assessed study quality, in consultation with two
other review authors where there was disagreement. For each included study, we
reported the estimated effect sizes and confidence intervals. MAIN RESULTS: Seven
studies were included in this review. Three of the studies evaluated single
threshold target payments, one examined a fixed fee per patient achieving a
specified outcome, one study evaluated payments based on the relative ranking of
medical groups' performance (tournament-based pay), one study examined a mix of
tournament-based pay and threshold payments, and one study evaluated changing
from a blended payments scheme to salaried payment. Three cluster RCTs examined
smoking cessation; one CBA examined patients' assessment of the quality of care;
one CBA examined cervical screening, mammography screening, and HbA1c; one ITS
focused on four outcomes in diabetes; and one controlled ITS (a difference-in
difference design) examined cervical screening, mammography screening, HbA1c,
childhood immunisation, chlamydia screening, and appropriate asthma medication.
Six of the seven studies showed positive but modest effects on quality of care
for some primary outcome measures, but not all. One study found no effect on
quality of care. Poor study design led to substantial risk of bias in most
studies. In particular, none of the studies addressed issues of selection bias as
a result of the ability of primary care physicians to select into or out of the
incentive scheme or health plan. AUTHORS' CONCLUSIONS: The use of financial
incentives to reward PCPs for improving the quality of primary healthcare
services is growing. However, there is insufficient evidence to support or not
support the use of financial incentives to improve the quality of primary health
care. Implementation should proceed with caution and incentive schemes should be
more carefully designed before implementation. In addition to basing incentive
design more on theory, there is a large literature discussing experiences with
these schemes that can be used to draw out a number of lessons that can be
learned and that could be used to influence or modify the design of incentive
schemes. More rigorous study designs need to be used to account for the selection
of physicians into incentive schemes. The use of instrumental variable techniques
should be considered to assist with the identification of treatment effects in
the presence of selection bias and other sources of unobserved heterogeneity. In
randomised trials, care must be taken in using the correct unit of analysis and
more attention should be paid to blinding. Studies should also examine the
potential unintended consequences of incentive schemes by having a stronger
theoretical basis, including a broader range of outcomes, and conducting more
extensive subgroup analysis. Studies should more consistently describe i) the
type of payment scheme at baseline or in the control group, ii) how payments to
medical groups were used and distributed within the groups, and iii) the size of
the new payments as a percentage of total revenue. Further research comparing the
relative costs and effects of financial incentives with other behaviour change
interventions is also required.
PMID- 21901723
TI - Active case finding in contacts of people with tuberculosis.
AB - BACKGROUND: Tuberculosis is a major global health challenge that is caused by a
bacteria which is spread by airborne droplets. Mostly patients are identified in
high-burden countries when they visit health care facilities ('passive case
finding'). Contacts of tuberculosis patients are a high-risk group for developing
the disease. Actively screening contacts of people with confirmed tuberculosis
may improve case detection rates and control of the disease. OBJECTIVES: This
study aims to compare whether active case finding among contacts of people with
confirmed tuberculosis increases case detection compared to usual practice.
SEARCH STRATEGY: In April 2011 we searched CENTRAL (The Cochrane Library 2011,
Issue 2), MEDLINE, EMBASE, LILACS and mRCT. We also checked article reference
lists, the International Journal of Tuberculosis and Lung Disease and contacted
relevant researchers and organizations. SELECTION CRITERIA: Randomized and quasi
randomized trials of active case finding to detect tuberculosis disease among
close and casual contacts of patients with microbiologically proven pulmonary
tuberculosis (by sputum smear and/or culture). DATA COLLECTION AND ANALYSIS: Two
authors independently assessed eligibility and the methodological quality of the
trials that were extracted using a search method that was outlined previously.
MAIN RESULTS: No trials met the inclusion criteria for this review. One RCT did
test the effect of active case finding in contacts, but the intervention in that
trial also included screening for, and treatment of, LTBI in contacts; and the
separate effect of active case finding could not be estimated. AUTHORS'
CONCLUSIONS: There are currently insufficient data from randomized controlled
trials or quasi-randomized controlled trials to evaluate the effect of active
case finding for tuberculosis among contacts of patients with confirmed disease.
While observational studies show that contacts have a higher risk of developing
tuberculosis than the general population, further research is needed to determine
whether active case finding among contacts significantly increases case detection
rates.
PMID- 21901724
TI - Repetitive transcranial magnetic stimulation for the treatment of amyotrophic
lateral sclerosis or motor neuron disease.
AB - BACKGROUND: Amyotrophic lateral sclerosis (ALS), also known as motor neuron
disease (MND), is a progressive neurodegenerative disease without effective
therapies. Several studies have suggested that repetitive transcranial magnetic
stimulation (rTMS) may have positive benefit in ALS. However, the efficacy and
safety of this therapy remain uncertain. OBJECTIVES: We aimed to determine the
clinical efficacy and safety of rTMS for treating ALS. SEARCH STRATEGY: We
searched the Cochrane Neuromuscular Disease Group Specialized Register (July
2010), the Cochrane Central Register of Controlled Trials (The Cochrane Library,
Issue 2, 2010), MEDLINE (1966 to July 2010), EMBASE (1980 to July 2010), CINAHL
(1937 to July 2010), Science Citation Index Expanded (January 1945 to June 2010),
AMED (January 1985 to July 2010) and the Chinese Biomedical Database (1979 to
September 2010). We also searched for ongoing studies on clinicaltrials.gov
(September 2010). SELECTION CRITERIA: Randomised and quasi-randomised controlled
trials assessing the therapeutic efficacy and safety of rTMS for patients with a
clinical diagnosis of ALS.Comparisons eligible for inclusion were:1. rTMS versus
no intervention;2. rTMS versus sham rTMS;3. rTMS versus physiotherapy;4. rTMS
versus medications;5. rTMS + other therapies or drugs versus sham rTMS + the same
therapies or drugs;6. different methods of application of rTMS such as high
frequency (> 1Hz) compared to low-frequency (<= 1Hz) rTMS. DATA COLLECTION AND
ANALYSIS: Two authors independently selected papers, assessed risk of bias and
extracted data. We resolved disagreements through discussion. We contacted study
authors for additional information. MAIN RESULTS: Three randomised, placebo
controlled trials with a total of 50 participants were included in the review.
All the trials were of poor methodological quality and were insufficiently
homogeneous to allow the pooling of results. Moreover, the high rate of attrition
further increased the risk of bias. None of the trials provided detailed data on
the ALS Functional Rating Scale-Revised (ALSFRS-R) scores at six months follow-up
which was pre-assigned as our primary outcome. One trial contained data in a
suitable form for quantitative analysis of our secondary outcomes. No difference
was seen between rTMS and sham rTMS using the ALSFRS-R scores and manual muscle
testing (MMT) scores at 12 months follow-up in this trial. Additionally, none of
the trials reported any adverse events associated with the use of rTMS. However,
in view of the small sample size, the methodological limitations and incomplete
outcome data, treatment with rTMS cannot be judged as completely safe. AUTHORS'
CONCLUSIONS: There is currently insufficient evidence to draw conclusions about
the efficacy and safety of rTMS in the treatment of ALS. Further studies may be
helpful if their potential benefit is weighed against the impact of participation
in a randomised controlled trial on people with ALS.
PMID- 21901725
TI - Primary closure versus delayed closure for non bite traumatic wounds within 24
hours post injury.
AB - BACKGROUND: Acute traumatic wounds are one of the common reasons why people
present to the emergency department. Primary closure has traditionally been
reserved for traumatic wounds presenting within six hours of injury and
considered 'clean' by the attending surgeon, with the rest undergoing delayed
primary closure as a means of controlling wound infection. Primary closure has
the potential benefit of rapid wound healing but poses the potential threat of
increased wound infection. There is currently no evidence to guide clinical
decision-making on the best timing for closure of traumatic wounds. OBJECTIVES:
To determine the effect on time to healing of primary closure versus delayed
closure for non bite traumatic wounds presenting within 24 hours post injury. To
explore the adverse effects of primary closure compared with delayed closure for
non bite traumatic wounds presenting within 24 hours post injury. SEARCH
STRATEGY: We searched the Cochrane Wounds Group Specialised Register (searched 14
July 2011); the Cochrane Central Register of Controlled Trials (CENTRAL) (The
Cochrane Library 2011, Issue 3); Ovid MEDLINE (1950 to July Week 1 2011); Ovid
MEDLINE (In-Process & Other Non-Indexed Citations, July 13, 2011); Ovid EMBASE
(1980 to 2011 Week 27); and EBSCO CINAHL (1982 to 14 July 2011). There were no
restrictions with respect to language, date of publication or study setting.
SELECTION CRITERIA: Randomised controlled trials comparing primary closure with
delayed closure of non bite traumatic wounds. DATA COLLECTION AND ANALYSIS: Two
review authors independently evaluated the results of the searches against the
inclusion criteria. No studies met the inclusion criteria for this review. MAIN
RESULTS: Since no studies met the inclusion criteria, neither a meta-analysis nor
a narrative description of studies was possible. AUTHORS' CONCLUSIONS: There is
currently no systematic evidence to guide clinical decision-making regarding the
timing for closure of traumatic wounds. There is a need for robust research to
investigate the effect of primary closure compared with delayed closure for non
bite traumatic wounds presenting within 24 hours of injury.
PMID- 21901726
TI - Single dose oral analgesics for acute postoperative pain in adults.
AB - BACKGROUND: Thirty-five Cochrane Reviews of randomised trials testing the
analgesic efficacy of individual drug interventions in acute postoperative pain
have been published. This overview brings together the results of all those
reviews and assesses the reliability of available data. OBJECTIVES: To summarise
data from all Cochrane Reviews that have assessed the effects of pharmaceutical
interventions for acute pain in adults with at least moderate pain following
surgery, who have been given a single dose of oral analgesic taken alone.
METHODS: We identified systematic reviews in The Cochrane Library through a
simple search strategy. All reviews were overseen by a single Review Group, had a
standard title, and had as their primary outcome numbers of participants with at
least 50% pain relief over four to six hours compared with placebo. For
individual reviews we extracted the number needed to treat (NNT) for this outcome
for each drug/dose combination, and also the percentage of participants achieving
at least 50% maximum pain relief, the mean of mean or median time to
remedication, the percentage of participants remedicating by 6, 8, 12, or 24
hours, and results for participants experiencing at least one adverse event. MAIN
RESULTS: The overview included 35 separate Cochrane Reviews with 38 analyses of
single dose oral analgesics tested in acute postoperative pain models, with
results from about 45,000 participants studied in approximately 350 individual
studies. The individual reviews included only high-quality trials of standardised
design and outcome reporting. The reviews used standardised methods and reporting
for both efficacy and harm. Event rates with placebo were consistent in larger
data sets. No statistical comparison was undertaken.There were reviews but no
trial data were available for acemetacin, meloxicam, nabumetone, nefopam,
sulindac, tenoxicam, and tiaprofenic acid. Inadequate amounts of data were
available for dexibuprofen, dextropropoxyphene 130 mg, diflunisal 125 mg,
etoricoxib 60 mg, fenbufen, and indometacin. Where there was adequate information
for drug/dose combinations (at least 200 participants, in at least two studies),
we defined the addition of four comparisons of typical size (400 participants in
total) with zero effect as making the result potentially subject to publication
bias and therefore unreliable. Reliable results were obtained for 46 drug/dose
combinations in all painful postsurgical conditions; 45 in dental pain and 14 in
other painful conditions.NNTs varied from about 1.5 to 20 for at least 50%
maximum pain relief over four to six hours compared with placebo. The proportion
of participants achieving this level of benefit varied from about 30% to over
70%, and the time to remedication varied from two hours (placebo) to over 20
hours in the same pain condition. Participants reporting at least one adverse
event were few and generally no different between active drug and placebo, with a
few exceptions, principally for aspirin and opioids.Drug/dose combinations with
good (low) NNTs were ibuprofen 400 mg (2.5; 95% confidence interval (CI) 2.4 to
2.6), diclofenac 50 mg (2.7; 95% CI 2.4 to 3.0), etoricoxib 120 mg (1.9; 95% CI
1.7 to 2.1), codeine 60 mg + paracetamol 1000 mg (2.2; 95% CI 1.8 to 2.9),
celecoxib 400 mg (2.5; 95% CI 2.2 to 2.9), and naproxen 500/550 mg (2.7; 95% CI
2.3 to 3.3). Long duration of action (>= 8 hours) was found for etoricoxib 120
mg, diflunisal 500 mg, oxycodone 10 mg + paracetamol 650 mg, naproxen 500/550 mg,
and celecoxib 400 mg.Not all participants had good pain relief and for many
drug/dose combinations 50% or more did not achieve at last 50% maximum pain
relief over four to six hours. AUTHORS' CONCLUSIONS: There is a wealth of
reliable evidence on the analgesic efficacy of single dose oral analgesics. There
is also important information on drugs for which there are no data, inadequate
data, or where results are unreliable due to susceptibility to publication bias.
This should inform choices by professionals and consumers.
PMID- 21901727
TI - Home fortification of foods with multiple micronutrient powders for health and
nutrition in children under two years of age.
AB - BACKGROUND: Vitamin and mineral deficiencies, particularly those of iron, vitamin
A and zinc, affect more than two billion people worldwide. Young children are
highly vulnerable because of rapid growth and inadequate dietary practices.
Micronutrient powders (MNP) are single-dose packets containing multiple vitamins
and minerals in powder form that can be sprinkled onto any semi-solid food.The
use of MNP for home or point-of-use fortification of complementary foods has been
proposed as an intervention for improving micronutrient intake in children under
two years of age. OBJECTIVES: To assess the effects and safety of home (point-of
use) fortification of foods with multiple micronutrient powders on nutritional,
health and developmental outcomes in children under two years of age. SEARCH
STRATEGY: We searched the following databases in February 2011: Cochrane Central
Register of Controlled Trials (CENTRAL) (The Cochrane Library), MEDLINE (1948 to
week 2 February 2011), EMBASE (1980 to Week 6 2011), CINAHL (1937 to current),
CPCI-S (1990 to 19 February 2011), Science Citation Index (1970 to 19 February
2011), African Index Medicus (searched 23 February 2011), POPLINE (searched 21
February 2011), ClinicalTrials.gov (searched 23 February 2011), mRCT (searched 23
February 2011), and World Health Organization International Clinical Trials
Registry Platform (ICTRP) (searched 23 February 2011). We also contacted relevant
organisations (25 January 2011) for the identification of ongoing and unpublished
studies. SELECTION CRITERIA: We included randomised and quasi-randomised trials
with either individual or cluster randomisation. Participants were children under
the age of two years at the time of intervention, with no specific health
problems. The intervention was consumption of food fortified at the point of use
with multiple micronutrient powders formulated with at least iron, zinc and
vitamin A compared with placebo, no intervention or the use of iron containing
supplements, which is the standard practice. DATA COLLECTION AND ANALYSIS: Two
review authors independently assessed the eligibility of studies against the
inclusion criteria, extracted data from included studies and assessed the risk of
bias of the included studies. MAIN RESULTS: We included eight trials (3748
participants) conducted in low income countries in Asia, Africa and the
Caribbean, where anaemia is a public health problem. The interventions lasted
between two and 12 months and the powder formulations contained between five and
15 nutrients. Six trials compared the use of MNP versus no intervention or a
placebo and the other two compared the use of MNP versus daily iron drops. Most
of the included trials were assessed as at low risk of bias.Home fortification
with MNP reduced anaemia by 31% (six trials, RR 0.69; 95% CI 0.60 to 0.78) and
iron deficiency by 51% (four trials, RR 0.49; 95% CI 0.35 to 0.67) in infants and
young children when compared with no intervention or placebo, but we did not find
an effect on growth.In comparison with daily iron supplementation, the use of MNP
produced similar results on anaemia (one trial, RR 0.89; 95% CI 0.58 to 1.39) and
haemoglobin concentrations (two trials, MD -2.36 g/L; 95% CI -10.30 to 5.58);
however, given the limited amount of data these results should be interpreted
cautiously.No deaths were reported in the trials and information on side effects
and morbidity, including malaria, was scarce.It seems that the use of MNP is
efficacious among infants and young children six to 23 months of age living in
settings with different prevalences of anaemia and malaria endemicity, regardless
of whether the intervention lasts two, six or 12 months or whether recipients are
male or female. AUTHORS' CONCLUSIONS: Home fortification of foods with multiple
micronutrient powders is an effective intervention to reduce anaemia and iron
deficiency in children six months to 23 months of age. The provision of MNP is
better than no intervention or placebo and possibly comparable to commonly used
daily iron supplementation. The benefits of this intervention as a child survival
strategy or on developmental outcomes are unclear. Data on effects on malaria
outcomes are lacking and further investigation of morbidity outcomes is needed.
The micronutrient powders containing multiple nutrients are well accepted but
adherence is variable and in some cases comparable to that achieved in infants
and young children receiving standard iron supplements as drops or syrups.
PMID- 21901728
TI - Transplacental versus direct fetal corticosteroid treatment for accelerating
fetal lung maturation where there is a risk of preterm birth.
AB - BACKGROUND: Despite major advances in medical technology, the incidence of
preterm birth remains high. The use of antenatal corticosteroid administered
transplacentally, by intramuscular injection to women at risk of preterm birth,
has reduced the incidence of respiratory distress syndrome and increased the
survival rates of preterm infants. However, this intervention also comes with its
own risks and side effects. Animal studies and early studies in pregnant women at
risk of preterm birth have reported the use of an alternative route of
administration, by direct intramuscular injection of corticosteroid into the
fetus under ultrasound guidance, in an attempt to minimise the side effects
profile. Direct fetal corticosteroid administration may have benefits over
maternal administration in terms of safety and efficacy. OBJECTIVES: To assess if
different routes of corticosteroid administration (maternal versus direct fetal)
have effects on maternal health, and the risk of stillbirth, neonatal, perinatal,
infant and child mortality and morbidity. SEARCH STRATEGY: We searched the
Cochrane Pregnancy and Childbirth Group's Trials Register (16 June 2011) and the
WHO International Clinical Trials Registry Platform (ICTRP) (16 June 2011).
SELECTION CRITERIA: Randomised controlled trials comparing maternal with direct
fetal routes of antenatal corticosteroid administration in women at risk of
preterm birth. DATA COLLECTION AND ANALYSIS: We did not perform any data
collection or analyses. MAIN RESULTS: We did not identify any eligible randomised
controlled trials to include in this review. AUTHORS' CONCLUSIONS: The available
clinical studies carried out so far on animals and human have shown that direct
intramuscular injection of corticosteroid into the fetus under ultrasound
guidance is feasible, but data on health outcomes are lacking. Therefore,
uncertainty persists as to which method could provide better efficacy and safety
profile. Randomised controlled trials are required focusing on the benefits and
harms of transplacental versus direct fetal corticosteroid treatment. Until the
uncertainties have been answered, it is advisable to stay with the current
standard of antenatal transplacental maternally administered corticosteroid
treatment.
PMID- 21901729
TI - The effect of adding inhaled corticosteroids to tiotropium and long-acting
beta(2)-agonists for chronic obstructive pulmonary disease.
AB - BACKGROUND: Long-acting bronchodilators comprising long-acting beta(2)-agonists
and the anticholinergic agent tiotropium are commonly used, either on their own
or in combination, for managing persistent symptoms of chronic obstructive
pulmonary disease. Patients with severe chronic obstructive pulmonary disease who
are symptomatic and who suffer repeated exacerbations are recommended to add
inhaled corticosteroids to their bronchodilator treatment. However, the benefits
and risks of adding inhaled corticosteroid to tiotropium and long-acting beta(2)
agonists for the treatment of chronic obstructive pulmonary disease are unclear.
OBJECTIVES: To assess the relative effects of adding inhaled corticosteroids to
tiotropium and long-acting beta(2)-agonists treatment in patients with chronic
obstructive pulmonary disease. SEARCH STRATEGY: We searched the Cochrane Airways
Group Specialised Register of trials (February 2011) and reference lists of
articles. SELECTION CRITERIA: We included parallel group, randomised controlled
trials of three months or longer comparing inhaled corticosteroid and long-acting
beta(2)-agonist combination therapy in addition to inhaled tiotropium against
tiotropium and long-acting beta(2)-agonist treatment for patients with chronic
obstructive pulmonary disease (COPD). DATA COLLECTION AND ANALYSIS: Two review
authors independently assessed trials for inclusion and then extracted data on
trial quality and the outcome results. We contacted study authors for additional
information. We collected information on adverse effects from the trials. MAIN
RESULTS: One trial (293 patients) was identified comparing tiotropium in addition
to inhaled corticosteroid and long-acting beta(2)-agonist combination therapy to
tiotropium plus long-acting beta(2)-agonist. The study was of good methodological
quality, however it suffered from high and uneven withdrawal rates between the
treatment arms. There is currently insufficient evidence to know how much
difference the addition of inhaled corticosteroids makes to people who are taking
tiotropium and a long-acting beta(2)-agonist for COPD. AUTHORS' CONCLUSIONS: The
relative efficacy and safety of adding inhaled corticosteroid to tiotropium and a
long-acting beta(2)-agonist for chronic obstructive pulmonary disease patients
remains uncertain and additional trials are required to answer this question.
PMID- 21901730
TI - Hydrogel dressings for healing diabetic foot ulcers.
AB - BACKGROUND: Foot ulcers in people with diabetes are a prevalent and serious
global health issue. Dressings form a key part of ulcer treatment, with
clinicians and patients having many different types to choose from including
hydrogel dressings. A clear and current overview of current evidence is required
to facilitate decision-making regarding dressing use. OBJECTIVES: To assess the
effects of hydrogel wound dressings compared with alternative dressings or none
on the healing of foot ulcers in people with diabetes. SEARCH STRATEGY: We
searched the Cochrane Wounds Group Specialised Register (searched 10 June 2011);
the Cochrane Central Register of Controlled Trials (CENTRAL) (The Cochrane
Library 2011, Issue 2); Ovid MEDLINE (1950 to June Week 1 2011); Ovid MEDLINE (In
Process & Other Non-Indexed Citations, June 8, 2011); Ovid EMBASE (1980 to 2011
Week 22) and EBSCO CINAHL (1982 to 3 June 2011). There were no restrictions based
on language or date of publication. SELECTION CRITERIA: Published or unpublished
randomised controlled trials (RCTs) that have compared the effects on ulcer
healing of hydrogel with alternative wound dressings or no dressing in the
treatment of foot ulcers in people with diabetes. DATA COLLECTION AND ANALYSIS:
Two review authors independently performed study selection, risk of bias
assessment and data extraction. MAIN RESULTS: We included five studies (446
participants) in this review. Meta analysis of three studies comparing hydrogel
dressings with basic wound contract dressings found significantly greater healing
with hydrogel: risk ratio (RR) 1.80, 95% confidence interval (CI) 1.27 to 2.56.
The three pooled studies had different follow-up times (12 weeks, 16 weeks and 20
weeks) and also evaluated ulcers of different severities (grade 3 and 4; grade 2
and grade unspecified). One study compared a hydrogel dressing with larval
therapy and found no statistically significant difference in the number of ulcers
healed and another found no statistically significant difference in healing
between hydrogel and platelet-derived growth factor. There was also no
statistically significant difference in number of healed ulcers between two
different brands of hydrogel dressing. All included studies were small and at
unclear risk of bias and there was some clinical heterogeneity with studies
including different ulcer grades. No included studies compared hydrogel with
other advanced wound dressings. AUTHORS' CONCLUSIONS: There is some evidence to
suggest that hydrogel dressings are more effective in healing (lower grade)
diabetic foot ulcers than basic wound contact dressings however this finding is
uncertain due to risk of bias in the original studies. There is currently no
research evidence to suggest that hydrogel is more effective than larval therapy
or platelet-derived growth factors in healing diabetic foot ulcers, nor that one
brand of hydrogel is more effective than another in ulcer healing. No RCTs
comparing hydrogel dressings with other advanced dressing types were found.
PMID- 21901731
TI - Foam dressings for healing diabetic foot ulcers.
AB - BACKGROUND: Foot ulcers in people with diabetes are a prevalent and serious
global health issue. Dressings form a key part of ulcer treatment, with
clinicians and patients having many different types to choose from. A clear and
current overview of current evidence is required to facilitate decision-making
regarding dressing use. OBJECTIVES: The review aimed to evaluate the effects of
foam wound dressings on the healing of foot ulcers in people with diabetes.
SEARCH STRATEGY: We searched the Cochrane Wounds Group Specialised Register
(searched 10 June 2011); The Cochrane Central Register of Controlled Trials
(CENTRAL) (The Cochrane Library 2011, Issue 2); Ovid MEDLINE (1950 to June Week 1
2011); Ovid MEDLINE (In-Process & Other Non-Indexed Citations, 8 June, 2011);
Ovid EMBASE (1980 to 2011 Week 22); EBSCO CINAHL (1982 to 3 June 2011). There
were no restrictions based on language or date of publication. SELECTION
CRITERIA: Published or unpublished randomised controlled trials (RCTs) that
evaluated the effects on ulcer healing of one or more foam wound dressings in the
treatment of foot ulcers in people with diabetes. DATA COLLECTION AND ANALYSIS:
Two review authors independently performed study selection, risk of bias
assessment and data extraction. MAIN RESULTS: We included six studies (157
participants) in this review. Meta analysis of two studies indicated that foam
dressings do not promote the healing of diabetic foot ulcers compared with basic
wound contact dressings (RR 2.03, 95%CI 0.91 to 4.55). Pooled data from two
studies comparing foam and alginate dressing found no statistically significant
difference in ulcer healing (RR 1.50, 95% CI 0.92 to 2.44). There was no
statistically significant difference in the number of diabetic foot ulcers healed
when foam dressings were compared with hydrocolloid (matrix) dressings. All
included studies were small and/or had limited follow-up times. AUTHORS'
CONCLUSIONS: Currently there is no research evidence to suggest that foam wound
dressings are more effective in healing foot ulcers in people with diabetes than
other types of dressing however all trials in this field are very small. Decision
makers may wish to consider aspects such as dressing cost and the wound
management properties offered by each dressing type e.g. exudate management.
PMID- 21901732
TI - Universal multi-component prevention programs for alcohol misuse in young people.
AB - BACKGROUND: Alcohol misuse in young people is a cause of concern for health
services, policy makers, prevention workers, and criminal justice system, youth
workers, teachers, and parents. OBJECTIVES: To systematically review evidence on
the effectiveness of universal multi-component prevention programs in preventing
alcohol misuse in school-aged children up to 18 years of age. To update a part of
a previously published Cochrane systematic review. SEARCH STRATEGY: Relevant
evidence (up to 2002) was selected from the previous Cochrane review. Later
studies, to July 2010, were identified from MEDLINE, Cochrane Central Register of
Controlled Trials, EMBASE, Project CORK, and PsycINFO. SELECTION CRITERIA:
Randomized trials evaluating universal multi-component prevention programs
(intervention delivered in more than one setting) and reporting outcomes for
alcohol use in students 18 years of age or younger were included. Two reviewers
screened titles/abstracts and full text of identified records. DATA COLLECTION
AND ANALYSIS: Two reviewers extracted relevant data independently using an a
priori defined extraction form. Risk of bias was assessed. MAIN RESULTS: 20
parallel-group trials were included. The reporting quality of trials was poor,
only 25% and 5% of them reporting adequate method of randomisation and program
allocation concealment, respectively. Incomplete data was adequately addressed in
about half of the trials and this information was unclear for about 20% of the
trials. Due to extensive heterogeneity across interventions, populations, and
outcomes, the results were summarized only qualitatively.12 of the 20 trials
showed some evidence of effectiveness compared to a control or other intervention
group, with persistence of effects ranging from 3 months to 3 years. Of the
remaining 8 trials, one trial reported significant effects using one-tailed tests
and 7 trials reported no significant effects of the multi-component interventions
for reducing alcohol misuse.Assessment of the additional benefit of multiple
versus single component interventions was possible in 7 trials with multiple
arms. Only one of the 7 trials clearly showed a benefit of components delivered
in more than one setting. AUTHORS' CONCLUSIONS: There is some evidence that multi
component interventions for alcohol misuse prevention in young people can be
effective. However, there is little evidence that interventions with multiple
components are more effective than interventions with single components.
PMID- 21901733
TI - Universal family-based prevention programs for alcohol misuse in young people.
AB - BACKGROUND: Alcohol misuse in young people is a cause of concern for health
services, policy makers, prevention workers, and criminal justice system, youth
workers, teachers, and parents. OBJECTIVES: To systematically review evidence on
the effectiveness of universal family-based prevention programs in preventing
alcohol misuse in school-aged children up to 18 years of age. To update a part of
a previously published Cochrane systematic review. SEARCH STRATEGY: Relevant
evidence (up to 2002) was selected from the previous Cochrane review. Later
studies, to July 2010, were identified from MEDLINE, Cochrane Central Register of
Controlled Trials, EMBASE, Project CORK, and PsycINFO. SELECTION CRITERIA:
Randomized trials evaluating universal family-based prevention programs and
reporting outcomes for alcohol use in students 18 years of age or younger were
included. Two reviewers screened titles/abstracts and full text of identified
records. DATA COLLECTION AND ANALYSIS: Two reviewers extracted relevant data
independently using an a priori defined extraction form. Risk of bias was
assessed. MAIN RESULTS: 12 parallel-group trials were included. The reporting
quality of trials was poor, only 20% of them reporting adequate method of
randomisation and program allocation concealment. Incomplete data was adequately
addressed in about half of the trials and this information was unclear for about
30% of the trials. Due to extensive heterogeneity across interventions,
populations, and outcomes, the results were summarized only qualitatively.9 of
the 12 trials showed some evidence of effectiveness compared to a control or
other intervention group, with persistence of effects over the medium and longer
term. Four of these effective interventions were gender-specific, focusing on
young females. One study with a small sample size showed positive effects that
were not statistically significant, and two studies with larger sample sizes
reported no significant effects of the family-based intervention for reducing
alcohol misuse. AUTHORS' CONCLUSIONS: In conclusion, in this Cochrane systematic
review we found that that the effects of family-based prevention interventions
are small but generally consistent and also persistent into the medium- to longer
term.
PMID- 21901734
TI - Social marketing interventions to increase HIV/STI testing uptake among men who
have sex with men and male-to-female transgender women.
AB - BACKGROUND: Social marketing interventions have been shown to both promote and
change many health-related behaviours and issues. As the HIV epidemic continues
to disproportionately affect MSM and transgender women around the world, social
marketing interventions have the potential to increase HIV/STI testing uptake
among these populations. OBJECTIVES: To assess the impact of social marketing
interventions on HIV/STI testing uptake among men who have sex with men and
transgender women compared to pre-intervention or control group testing uptake in
the same population. SEARCH STRATEGY: We searched the following electronic
databasesfor results from 01 January 1980 to the search date, 14 July 2010:
Cochrane Central Register of Controlled Trials (CENTRAL), EMBASE, LILACS (Latin
America and Brazil), PsycINFO, PubMed, Web of Science/Web of Social Science,
Chinese National Knowledge Infrastructure (CNKI), and CQ VIP (China). We also
searched for conference abstracts in the Aegis archive of HIV/AIDS conference
abstracts and the CROI and International AIDS Society websites. In addition to
searching electronic databases, we searched the following sources of grey
literature: Australasian Digital Theses Program, Canadian Evaluation Society,
Eastview: China Conference Proceedings, ProQuest Dissertations and Theses, and
World Health Organization Library Information System (WHOLIS). We contacted
individual researchers, experts working in the field, and authors of major trials
for suggestions of any relevant manuscripts that were in preparation or in press.
References of published articles from the databases above were searched for
additional, pertinent materials. All languages were included in this search.
SELECTION CRITERIA: Randomized controlled trials and controlled clinical trials
that compared social marketing interventions with a control were included.
Interrupted time series and pretest-posttest design studies (controlled or
uncontrolled) that compared social marketing interventions with no intervention
or a control were also included. Posttest-only studies and studies that combined
pre-post data were excluded. Interventions that targeted at general public but
did not include MSM or transgender women as a segment or did not have outcome
data for an MSM or transgender segment were excluded. DATA COLLECTION AND
ANALYSIS: Two authors independently extracted data from each included study and
assessed study quality. Meta-analyses were conducted to compare pre- and post
intervention and intervention and control group outcomes of HIV and STI testing
uptake. Quality of evidence was assessed using the GRADE approach. MAIN RESULTS:
Three serial, cross-sectional pretest-posttest study designs (one with a control
group and two without) were included in the final analysis. Statistical pooling
was conducted for two studies and compared to pre-intervention level testing
uptake, which showed that multi-media social marketing campaigns had a
significant impact on HIV testing uptake (OR=1.58, 95%CI = 1.40 - 1.77). However,
the campaigns were not found to be effective in increasing STI testing uptake
(OR=0.94, 95%CI = 0.68 - 1.28). Overall, risk of bias was high and quality of
evidence was low. None of the studies were conducted in developing countries or
included male-to-female transgender women. AUTHORS' CONCLUSIONS: This review
provided limited evidence that multi-media social marketing campaigns can promote
HIV testing among MSM in developed countries. Future evaluations of social
marketing interventions for MSM should employ more rigorous study designs. Long
term impact evaluations (changes in HIV or STI incidence over time) are also
needed. Implementation research, including detailed process evaluation, is needed
to identify elements of social marketing interventions that are most effective in
reaching the target population and changing behaviours.
PMID- 21901735
TI - Assessing rapid diagnostic tests for malaria.
PMID- 21901736
TI - The use of Cochrane Reviews in NICE clinical guidelines.
PMID- 21901738
TI - Glossary of bioinformatics terms.
PMID- 21901737
TI - Blinded versus unblinded assessments of risk of bias in studies included in a
systematic review.
AB - BACKGROUND: The importance of appraising the risk of bias of studies included in
systematic reviews is well-established. However, uncertainty remains surrounding
the method by which risk of bias assessments should be conducted. Specifically,
no summary of evidence exists as to whether blinded (i.e. the assessor is unaware
of the study author's name, institution, sponsorship, journal, etc.) versus
unblinded assessments of risk of bias yield systematically different assessments
in a systematic review. OBJECTIVES: To determine whether blinded versus unblinded
assessments of risk of bias yield systematically different assessments in a
systematic review. SEARCH STRATEGY: We searched MEDLINE (1966 to September week 4
2009), CINAHL (1982 to May week 3 2008), All EBM Reviews (inception to 6 October
2009), EMBASE (1980 to 2009 week 40) and HealthStar (1966 to September week 4
2009) (all Ovid interface). We applied no restrictions regarding language of
publication, publication status or study design. We examined reference lists of
included studies and contacted experts for potentially relevant literature.
SELECTION CRITERIA: We included any study that examined blinded versus unblinded
assessments of risk of bias included within a systematic review. DATA COLLECTION
AND ANALYSIS: We extracted information from each of the included studies using a
pre-specified 16-item form. We summarized the level of agreement between blinded
and unblinded assessments of risk of bias descriptively. We calculated the
standardized mean difference whenever possible. MAIN RESULTS: We included six
randomized controlled trials (RCTs). Four studies had unclear risk of bias and
two had high risk of bias. The results of these RCTs were not consistent; two
demonstrated no differences between blinded and unblinded assessments, two found
that blinded assessments had significantly lower quality scores, and another
observed significantly higher quality scores for blinded assessments. The
remaining study did not report the level of significance. We pooled five studies
reporting sufficient information in a meta-analysis. We observed no statistically
significant difference in risk of bias assessments between blinded or unblinded
assessments (standardized mean difference -0.13, 95% confidence interval -0.42 to
0.16). The mean difference might be slightly inaccurate, as we did not adjust for
clustering in our meta-analysis. We observed inconsistency of results visually
and noted statistical heterogeneity. AUTHORS' CONCLUSIONS: Our review highlights
that discordance exists between studies examining blinded versus unblinded risk
of bias assessments at the systematic review level. The best approach to risk of
bias assessment remains unclear, however, given the increased time and resources
required to conceal reports effectively, it may not be necessary for risk of bias
assessments to be conducted under blinded conditions in a systematic review.
PMID- 21901740
TI - Biological sequence motif discovery using motif-x.
AB - The Web-based motif-x program provides a simple interface to extract
statistically significant motifs from large data sets, such as MS/MS post
translational modification data and groups of proteins that share a common
biological function. Users upload data files and download results using common
Web browsers on essentially any Web-compatible computer. Once submitted, data
analyses are performed rapidly on an associated high-speed computer cluster and
they produce both syntactic and image-based motif results and statistics. The
protocols presented demonstrate the use of motif-x in three common user
scenarios.
PMID- 21901739
TI - Using the Saccharomyces Genome Database (SGD) for analysis of genomic
information.
AB - Analysis of genomic data requires access to software tools that place the
sequence-derived information in the context of biology. The Saccharomyces Genome
Database (SGD) integrates functional information about budding yeast genes and
their products with a set of analysis tools that facilitate exploring their
biological details. This unit describes how the various types of functional data
available at SGD can be searched, retrieved, and analyzed. Starting with the
guided tour of the SGD Home page and Locus Summary page, this unit highlights how
to retrieve data using YeastMine, how to visualize genomic information with
GBrowse, how to explore gene expression patterns with SPELL, and how to use Gene
Ontology tools to characterize large-scale datasets.
PMID- 21901741
TI - Gene identification in prokaryotic genomes, phages, metagenomes, and EST
sequences with GeneMarkS suite.
AB - This unit describes how to use several gene-finding programs from the GeneMark
line developed for finding protein-coding ORFs in genomic DNA of prokaryotic
species, in genomic DNA of eukaryotic species with intronless genes, in genomes
of viruses and phages, and in prokaryotic metagenomic sequences, as well as in
EST sequences with spliced-out introns. These bioinformatics tools were
demonstrated to have state-of-the-art accuracy and have been frequently used for
gene annotation in novel nucleotide sequences. An additional advantage of these
sequence-analysis tools is that the problem of algorithm parameterization is
solved automatically, with parameters estimated by iterative self-training
(unsupervised training).
PMID- 21901742
TI - Eukaryotic gene prediction using GeneMark.hmm-E and GeneMark-ES.
AB - This unit describes how to use the gene-finding programs GeneMark.hmm-E and
GeneMark-ES for finding protein-coding genes in the genomic DNA of eukaryotic
organisms. These bioinformatics tools have been demonstrated to have state-of-the
art accuracy for many fungal, plant, and animal genomes, and have frequently been
used for gene annotation in novel genomic sequences. An additional advantage of
GeneMark-ES is that the problem of algorithm parameterization is solved
automatically, with parameters estimated by iterative self-training (unsupervised
training).
PMID- 21901743
TI - Using OrthoMCL to assign proteins to OrthoMCL-DB groups or to cluster proteomes
into new ortholog groups.
AB - OrthoMCL is an algorithm for grouping proteins into ortholog groups based on
their sequence similarity. OrthoMCL-DB is a public database that allows users to
browse and view ortholog groups that were pre-computed using the OrthoMCL
algorithm. Version 4 of this database contained 116,536 ortholog groups clustered
from 1,270,853 proteins obtained from 88 eukaryotic genomes, 16 archaean genomes,
and 34 bacterial genomes. Future versions of OrthoMCL-DB will include more
proteomes as more genomes are sequenced. Here, we describe how you can group your
proteins of interest into ortholog clusters using two different means provided by
the OrthoMCL system. The OrthoMCL-DB Web site has a tool for uploading and
grouping a set of protein sequences, typically representing a proteome. This
method maps the uploaded proteins to existing groups in OrthoMCL-DB.
Alternatively, if you have proteins from a set of genomes that need to be
grouped, you can download, install, and run the stand-alone OrthoMCL software.
PMID- 21901744
TI - Involvement of the TGFbeta pathway in the regulation of alpha5 beta1 integrins by
caveolin-1 in human glioblastoma.
AB - Caveolin-1 plays a crucial role in the development of cancer and its progression.
We previously reported that glioblastoma cells expressing low levels of caveolin
1 exerted a more aggressive phenotype than cells expressing high levels. Such
phenotype was due to the induction of alpha(5) beta(1) integrin subsequent to
the depletion of caveolin-1. Caveolin-1 was identified as a transcriptional
repressor of alpha(5) beta(1) integrin. The current study was designed to
identify in vitro, the molecular mechanisms by which caveolin-1 controls alpha(5)
beta(1) integrin expression and to determine if a negative correlation between
caveolin-1 and alpha(5) beta(1) integrins also exists in biopsies and
xenografted human brain tumors. We showed that depletion of caveolin-1 lead to
the activation of the TGFbeta/TGFbetaRI/Smad2 pathway which in turn induced the
expression of alpha(5) beta(1) integrins. We showed that cells expressing the
lowest levels of caveolin-1 but the highest levels of alpha(5) beta(1) integrins
and TGFbetaRI were the most sensitive to a alpha(5) beta(1) integrin antagonist
and a TGFbetaRI inhibitor. Screening human glioma biopsies and human glioblastoma
xenografts, we isolated subgroups with either low levels of caveolin-1 but high
levels of alpha(5) beta(1) integrin and TGFbetaRI or high levels of caveolin-1
but low levels of alpha(5) beta(1) integrin and TGFbetaRI. In conclusion,
caveolin-1 controls alpha(5) beta(1) integrin expression through the
TGFbeta/TGFbetaRI/Smad2 pathway. The status of caveolin-1/alpha(5) beta(1)
integrins/TGFbetaRI might be a useful marker of the tumor evolution/prognosis as
well as a predictor of anti-TGFbeta or anti-alpha(5) beta(1) integrin therapies.
PMID- 21901745
TI - Frequent epigenetic inactivation of the chaperone SGNE1/7B2 in human gliomas.
AB - In a genome-wide screen using DMH (differential methylation hybridization) we
have identified a CpG island within the 5' region and untranslated first exon of
the secretory granule neuroendocrine protein 1 gene (SGNE1/7B2) that showed
hypermethylation in low- and high-grade astrocytomas compared to normal brain
tissue. Pyrosequencing was performed to confirm the methylation status of this
CpG island in 89 astrocytic gliomas of different malignancy grades and six glioma
cell lines. Hypermethylation of SGNE1/7B2 was significantly more frequent in
diffuse low-grade astrocytomas as well as secondary glioblastomas and anaplastic
astrocytomas as compared to primary glioblastomas. mRNA expression analysis by
real-time RT-PCR indicates that SGNE1/7B2 expression is downregulated in
astrocytic gliomas compared to white matter samples. Treatment of glioma cells
with the demethylating agent 5-aza-2'-deoxycytidine restores the transcription of
SGNE1/7B2. Overexpression of SGNE1/7B2 in T98G, A172 and U373MG glioblastoma
cells significantly suppressed focus formation and led to a significant increase
in apoptotic cells as determined by flow cytometric analysis in T98G cells. In
summary, we have identified SGNE1/7B2 as a novel target silenced by DNA
methylation in astrocytic gliomas. The high incidence of this alteration and the
significant effects of SGNE1/7B2 on the growth and apoptosis of glioblastoma
cells provide a first proof for a functional implication of SGNE1/7B2
inactivation in the molecular pathology of gliomas.
PMID- 21901747
TI - Urokinase plasminogen activator receptor on invasive cancer cells: a prognostic
factor in distal gastric adenocarcinoma.
AB - Gastric cancer is the second cancer causing death worldwide. The five-year
survival for this malignancy is below 25% and few parameters have shown an impact
on the prognosis of the disease. The receptor for urokinase plasminogen activator
(uPAR) is involved in extracellular matrix degradation by mediating cell surface
associated plasminogen activation, and its presence on gastric cancer cells is
linked to micrometastasis and poor prognosis. Using immunohistochemistry, the
prognostic significance of uPAR was evaluated in tissue samples from a
retrospective series of 95 gastric cancer patients. uPAR was expressed by
neoplastic cells, macrophages, myofibroblasts and neutrophils in both intestinal
and diffuse subtypes. No association was demonstrated between the expression of
uPAR on cancer cells and histological subtype (p = 0.64) or TNM stage (p = 0.75).
Univariate analysis revealed a significant association between the expression of
uPAR on tumor cells in the peripheral invasion zone and overall survival of
gastric cancer patients (HR = 2.16; 95% CI: 1.13-4.14; p = 0.02). Multivariate
analysis showed that uPAR immunoreactivity in cancer cells at the invasive front
is an independent prognostic factor for overall survival in gastric cancer (HR =
2.39; 95% CI: 1.22-4.69; p = 0.011). In consequence, scoring of uPAR-positive
cancer cells may be a direct measure for the invasive potential of gastric
adenocarcinomas.
PMID- 21901746
TI - Fibronectin attachment protein from bacillus Calmette-Guerin as targeting agent
for bladder tumor cells.
AB - The adjuvant therapy of choice for superficial bladder cancer is the intravesical
instillation of live Mycobacterium bovis bacillus Calmette-Guerin (BCG). Despite
the fact that this therapy is the most effective treatment for superficial
bladder cancer, intravesical administration of BCG is associated with high local
morbidity and the potential for systemic infection. Therefore, there is a need
for the development of safer, less toxic approaches to fight this disease.
Because fibronectin attachment protein (FAP) is a key element in BCG retention
and targeting to cells, we hypothesize that this protein can be used as targeting
agent to deliver cytotoxic cargo for the treatment of bladder tumors. Here, we
evaluated the ability of bladder tumor cells to bind and endocytose FAP via
fibronectin-integrin complexes. We found that microaggregation induced by an anti
FAP polyclonal antibody accelerated FAP uptake by T24 bladder tumor cells. FAP
was determined to be internalized via a clathrin-independent, caveolae-dependent
mechanism. Furthermore, once within the endosomal compartment, FAP was targeted
to the lysosomal compartment with negligible recycling to the plasma membrane.
Importantly, we demonstrated that FAP microaggregation and internalization could
also be triggered by multivalent Ni(2+) NTA-bearing liposomes. Overall, our
studies validate the use of FAP as a targeting vector and provide the foundation
for the design of more effective, less-toxic bladder cancer therapeutics.
PMID- 21901748
TI - Molecular analysis of ceruloplasmin in a South African cohort presenting with
oesophageal cancer.
AB - Oesophageal cancer (OC) is a disease characterized by the development of
malignant tumors in the epithelial cells lining the oesophagus. It demonstrates
marked ethnic variation, with squamous cell carcinoma (SCC) being more prevalent
in the Black population and adenocarcinoma (ADC) occurring more often in
Caucasians. The etiology of this complex disease has been attributed to a variety
of factors, including an excess of iron (resulting in increased tumourigenesis),
oesophageal injury and inflammation (due in part to Barrett's oesophagus and
smoking among others). The aim of this study was to determine if genetic
variations identified in the ceruloplasmin (CP) gene (implicated in iron
homeostasis) contribute to OC pathogenesis or susceptibility. The study cohort
consisted of 96 unrelated OC patients from the Black Xhosa-speaking South African
population and 88 population-matched control individuals. The promoter and coding
regions of the CP gene were analyzed for DNA sequence variation using
heteroduplex single-strand conformation polymorphism (HEX-SSCP) analysis,
restriction fragment length polymorphism (RFLP) analysis and semi-automated
bidirectional DNA sequencing analysis. Fourteen previously described and four
novel variants were identified. Statistically significant associations were
revealed for two of the novel variants with OC in this study and could,
therefore, potentially contribute to disease susceptibility. In silico analysis
of the region of the promoter spanning the identified variants sought to identify
putative transcription factor binding sites (TFBSs) that could possibly regulate
the expression of CP. To our knowledge, this is the first study to examine CP
with respect to OC in the Black South African population.
PMID- 21901749
TI - Theoretical and practical barriers to practitioners' willingness to seek training
in empirically supported treatments.
AB - To identify barriers to the dissemination of empirically supported treatments
(ESTs), a random sample of psychologists in independent practice (N=1291) was
asked to complete measures of attitudes towards ESTs and willingness to attend a
3-hour, 1-day, or 3-day workshop in an EST of their choice. The strongest
predictor of unwillingness to obtain EST training was the amount of time and cost
required for the workshop, followed by objections to the need for EST training.
Psychodynamic (compared to cognitive-behavioral) and more experienced
practitioners agreed more strongly with the objections to ESTs overall, as did
those whose graduate schools had not emphasized psychotherapy research. Results
suggest that both practical and theoretical barriers are significant obstacles to
EST dissemination.
PMID- 21901750
TI - A guide to stem cell identification: progress and challenges in system-wide
predictive testing with complex biomarkers.
AB - We have developed a first generation tool for the unbiased identification and
characterization of human pluripotent stem cells, termed PluriTest. This assay
utilizes all the information contained on a microarray and abandons the
conventional stem cell marker concept. Stem cells are defined by the ability to
replenish themselves and to differentiate into more mature cell types. As
differentiation potential is a property that cannot be directly proven in the
stem cell state, biologists have to rely on correlative measurements in stem
cells associated with differentiation potential. Unfortunately, most, if not all,
of those markers are only valid within narrow limits of specific experimental
systems. Microarray technologies and recently next-generation sequencing have
revolutionized how cellular phenotypes can be characterized on a systems-wide
level. Here we discuss the challenges PluriTest and similar global assays need to
address to fulfill their enormous potential for industrial, diagnostic and
therapeutic applications.
PMID- 21901751
TI - Genomic imprinting; the cost of mother's care.
PMID- 21901752
TI - Study of in vitro interaction between tetrabromobisphenol A and bovine serum
albumin by fluorescence spectroscopy.
AB - The interaction between tetrabromobisphenol A (TBBPA) and bovine serum albumin
(BSA) in simulated physiological conditions (pH = 7.4) was investigated by
fluorescence spectroscopy. The results revealed that TBBPA caused the
fluorescence quenching of BSA through a static quenching procedure. The binding
constants (K) of TBBPA with BSA at 277, 298, and 310 K were obtained as 4.75 *
10(5) L/mol, 5.63 * 10(5) L/mol, and 6.66 * 10(5) L/mol, respectively. There may
be two binding sites of TBBPA on BSA. The enthalpy change (DeltaH), free energy
change (DeltaG), and entropy change (DeltaS) of thermodynamic parameters
indicated that the interaction between TBBPA and BSA was driven mainly by
hydrophobic and electrostatic forces. Synchronous fluorescence spectra showed
TBBPA binding slightly changed the conformation of BSA by decreasing its polarity
and increasing its hydrophobicity. The results of the present study may provide
valuable information for studying the distribution and toxicity mechanisms of
TBBPA in vivo.
PMID- 21901753
TI - Development of a hydroxyapatite coating containing silver for the prevention of
peri-prosthetic infection.
AB - We hypothesized that the electrochemical deposition of hydroxyapatite (EHA) can
be used to incorporate silver (Ag), providing a controlled and sustained release
of Ag ions at a bactericidal concentration. Six groups were investigated:
electrochemical co-precipitation of HA and Ag (EHA/Ag); EHA pre-coated discs
treated in AgN0(3) (EHA/AgN0(3)); plasma sprayed HA (PHA) pre-coated discs
treated in AgN0(3) (PHA/AgN0(3)); EHA with 2 "layers" of Ag (EHA/Ag/2 layers);
EHA coating only; and PHA coating only. Scanning electron microscopy (SEM) and
energy dispersive X-ray (EDX) and X-ray diffraction (XRD) analyses quantified
coating thickness, calcium/phosphorous ratio, and % atomic silver content,
respectively. Inductively coupled plasma-mass spectrometry quantified the amount
of Ag released in phosphate-buffered saline, and zone of inhibition tests on agar
plates using a lawn of Staph aureus were quantified in each group. XRD and EDX
analysis confirmed the presence of Ag in all coatings. EHA coated discs with two
layers of Ag and the EHA discs soaked in AgN0(3) showed significantly higher
zones of inhibition at all time points when compared with all other groups
(except PHA/AgN0(3) on day 0). This study demonstrated that Ag ions can be
incorporated into a HA coating using an electrochemical technique.
PMID- 21901754
TI - EMG-driven modeling approach to muscle force and joint load estimations: case
study in knee osteoarthritis.
AB - It is important to know the magnitude and patterns of joint loading in people
with knee osteoarthritis (OA), since altered loads are implicated in onset and
progression of the disease. We used an EMG-driven forward dynamics model to
estimate joint loads during walking in a subject with knee OA and a healthy
control subject. Kinematic, kinetic, and surface EMG data were used to predict
muscle forces using a Hill-type muscle model. The muscle forces were used to
balance the frontal plane moment to obtain medial and lateral condylar loads.
Loads were normalized to body weight (BWs) and the mean of three trials taken.
The OA subject had greater medial and lower lateral loads compared to the control
subject. Seventy-five to 80% of the total load was borne on the medial
compartment in the control subject, compared to 90-95% in the OA subject. In
fact, complete lateral unloading occurred during midstance for the OA subject.
Loading for the healthy subject was consistent with the data from instrumented
knee studies. In the future, the model can be used to analyze the impact of
various interventions to reduce the loads on the medial compartment in people
with knee OA.
PMID- 21901755
TI - Cerebellar oligodendroglial cells have a mesencephalic origin.
AB - While the origin of oligodendroglia in the prosencephalon and spinal cord has
been extensively studied and accurately described, the origin of this cell type
in the cerebellum is largely unknown. To investigate where cerebellar
oligodendrocytes generate and which migratory pathways they follow to reach their
final destination in the adult, in ovo transplants were performed using the
quail/chick chimeric system. The chimeric embryos were developed up to HH43-49
(17-19 days of incubation) to map the location of donor cells and analyze their
phenotype by immunohistochemistry. As a result, mesencephalic homotopic and
homochronic transplants generated cellular migratory streams moving from the
grafted epithelium into the host cerebellum, crossing the isthmus mainly through
the velum medullare and invading the central white matter. From here, these
mesencephalic cells invaded all the layers of the cerebellar cortex except the
granular layer. The majority of the cells were detected in the central and folial
white matter, as well as in superficial regions of the internal granular layer,
surrounding the Purkinje cells. In the latter case, the donor cells presented a
Bergmann glial morphology and were Vimentin positive, while in other areas they
were PLP and Olig2-positive, indicating an oligodendroglial fate. The combinatory
analysis of the different grafts allowed us to propose the fate map of chick
cerebellar oligodendroglia at the neural tube stage. As a result, the majority of
the cerebellar oligodendrocytes originate from the parabasal plate of the
mesencephalon.
PMID- 21901756
TI - Dynamic motility of microglia: purinergic modulation of microglial movement in
the normal and pathological brain.
AB - Microglia have highly branched and motile cell processes and constantly screen
the brain parenchyma under physiological conditions. In response to pathological
stimuli, microglia exhibit morphological changes and migrate toward the lesioned
site, where they play important roles in inflammatory reactions and neuronal
damage. Within minutes of brain damage, microglial processes rapidly extend
toward the injured site. The chemoattractive response is triggered by ATP
released at the site of injury and the consequent activation of the purinergic
receptor P2Y12R on microglia. In addition to the purinergic signals, various
neuronal signaling molecules actively and negatively control microglial motility,
which is important for regulating the functional activation of microglia in
response to pathology. In this review, we focus on the dynamic motion of
microglia and describe several key molecules regulating microglial motility in
normal and pathological brain tissues.
PMID- 21901757
TI - Expression and regulation of AC133 and CD133 in glioblastoma.
AB - The biological significance of CD133 in glioblastoma is controversial. Above all,
there is disagreement concerning the proper approach, the appropriate (cell)
model and the suitable microenvironment to study this molecule, often leading to
inconsistent experimental results among studies. In consideration of a primary
need to dissect and to understand the CD133 phenotype in glioblastoma we
performed a comprehensive analysis of CD133 expression and regulation in a large
set of glioblastoma cell lines (n = 20) as well as in tumor xenografts. Our
analysis considered alternatively spliced mRNA transcripts, different protein
epitopes as well as varying sub-cellular localizations of CD133 and explored its
regulation under pertinent micro-environmental conditions. CD133 mRNA and CD133
protein could be detected in all relevant types of glioblastoma cell lines. In
addition, we detected frequent intracellular CD133 protein accumulations located
to the ER and/or Golgi apparatus but seemingly unrelated to particular CD133
splice variants or protein epitopes. In contrast, membrane-bound expression of
CD133 was restricted to tumor cells bearing the extracellular CD133 epitope
AC133. Only in these cells, differentiation and oxygen levels clearly impacted on
AC133 expression and to some extent also influenced CD133 mRNA and protein
expression. Most importantly, however, modulation of AC133 levels could occur
independently of changes in CD133 mRNA transcription, CD133 protein translation,
protein retention or protein shedding. Our results suggest that the AC133
epitope, rather than CD133 mRNA or protein, mirrors malignancy-related tumor
traits such as tumor differentiation and local oxygen tension levels, and thus
corroborate its role as a biologically relevant cancer marker.
PMID- 21901758
TI - Nitric oxide induces the expression of the monocarboxylate transporter MCT4 in
cultured astrocytes by a cGMP-independent transcriptional activation.
AB - The monocarboxylate transporter MCT4 is a proton-linked carrier particularly
important for lactate release from highly glycolytic cells. In the central
nervous system, MCT4 is exclusively expressed by astrocytes. Surprisingly, MCT4
expression in primary cultures of mouse cortical astrocytes is conspicuously low,
suggesting that an external, nonastrocytic signal is necessary to obtain the
observed pattern of expression in vivo. Here, we demonstrate that nitric oxide
(NO), delivered by various NO donors, time- and dose-dependently induces MCT4
expression in cultured cortical astrocytes both at the mRNA and protein levels.
In contrast, NO does not enhance the expression of MCT1, the other astrocytic
monocarboxylate transporter. The transcriptional effect of NO is not mediated by
a cGMP-dependent mechanism as shown by the absence of effect of a cGMP analog or
of a selective guanylate cyclase inhibitor. NO causes an increase in astrocytic
lactate transport capacity which requires the enhancement of MCT4 expression as
both are prevented by the use of a specific siRNA against MCT4. In addition,
cumulated lactate release by astrocytes over a period of 24 h was also enhanced
by NO treatment. Our data suggest that NO represents a putative intercellular
signal to control MCT4 expression in astrocytes and in doing so, to facilitate
lactate transfer to other surrounding cell types in the central nervous system.
(c) 2011 Wiley-Liss, Inc.
PMID- 21901760
TI - Enhancing photocurrent efficiencies by resonance energy transfer in CdTe quantum
dot multilayers: towards rainbow solar cells.
PMID- 21901759
TI - Toll-like receptor 2 (TLR2)-TLR9 crosstalk dictates IL-12 family cytokine
production in microglia.
AB - Microglia are the resident mononuclear phagocytes of the CNS parenchyma and
represent an initial line of defense against invading microorganisms. Microglia
utilize Toll-like receptors (TLRs) for pathogen recognition and TLR2 specifically
senses conserved motifs of gram-positive bacteria including lipoproteins,
lipoteichoic acids, and peptidoglycan (PGN) leading to cytokine/chemokine
production. Interestingly, primary microglia derived from TLR2 knockout (KO) mice
over-expressed numerous IL-12 family members, including IL-12p40, IL-12p70, and
IL-27 in response to intact S. aureus, but not the less structurally complex TLR2
ligands Pam3CSK4 or PGN. The ability of intact bacteria to augment IL-12 family
member expression was specific for gram-positive organisms, since numerous gram
negative strains were unable to elicit exaggerated responses in TLR2 KO
microglia. Inhibition of SYK or IRAK4 signaling did not impact heightened IL-12
family member production in S. aureus-treated TLR2 KO microglia, whereas PI3K,
MAPK, and JNK inhibitors were all capable of restoring exaggerated cytokine
expression to wild type levels. Additionally, elevated IL-12 production in TLR2
KO microglia was ablated by a TLR9 antagonist, suggesting that TLR9 drives IL-12
family member production following exposure to intact bacteria that remains
unchecked in the absence of TLR2 signaling. Collectively, these findings indicate
crosstalk between TLR2 and TLR9 pathways to regulate IL-12 family member
production by microglia. The summation of TLR signals must be tightly controlled
to ensure the timely cessation and/or fine tuning of cytokine signaling to avoid
nonspecific bystander damage due to sustained IL-12 release.
PMID- 21901761
TI - Simulation of vapor-phase deposition and growth of a pentacene thin film on C60
(001).
PMID- 21901762
TI - High-current-density monolayer CdSe/ZnS quantum dot light-emitting devices with
oxide electrodes.
PMID- 21901763
TI - Light emission from nanocrystalline Si inverse opals and controlled passivation
by atomic layer deposited Al2O3.
PMID- 21901764
TI - Low driving voltage, high quantum efficiency, high power efficiency, and little
efficiency roll-off in red, green, and deep-blue phosphorescent organic light
emitting diodes using a high-triplet-energy hole transport material.
PMID- 21901765
TI - Nanogel star polymer architectures: a nanoparticle platform for modular
programmable macromolecular self-assembly, intercellular transport, and dual-mode
cargo delivery.
PMID- 21901766
TI - Direct probing of nanodimensioned oxide multilayers with the aid of focused ion
beam milling.
PMID- 21901767
TI - Flexible inorganic nanostructure light-emitting diodes fabricated on graphene
films.
PMID- 21901768
TI - A tough silicon nitride ceramic with high thermal conductivity.
PMID- 21901769
TI - Metal-ligand cooperation in the catalytic dehydrogenative coupling (DHC) of
polyalcohols to carboxylic acid derivatives.
AB - Several polyols, which are easily available from sugars through biochemical
conversion or hydrogenolytic cleavage, are directly converted into carboxylic
acids and amides. This efficient dehydrogenative coupling process, catalyzed by a
rhodium(I) diolefin amido complex, is an attractive approach for the production
of organic fine chemicals from renewable resources. This method tolerates the
presence of several hydroxy groups and can be extended to the direct synthesis of
lactams from the corresponding amino alcohols under mild conditions.
PMID- 21901770
TI - The absolute configuration of the pyrrolosesquiterpenoid glaciapyrrol A.
AB - The total syntheses of the structurally unique and moderately cytotoxic
pyrrolosesquiterpenoid glaciapyrrol A that has been isolated from a marine
streptomycete by Macherla et al. and of seven of its stereoisomers have been
performed from geraniol or nerol, respectively, using a known diastereoselective
Ru-catalysed approach for the synthesis of tetrahydrofurans previously reported
by Stark and co-workers. Comparison of (1)H and (13)C NMR data unambiguously
clarified the relative configuration of natural glaciapyrrol A that was
previously only partly solved from the available NMR data. An enantioselective
synthesis was carried out resulting in the unnatural enantiomer (11S,12R,15R)-(-)
glaciapyrrol A. These data establish the absolute configuration of the natural
product as (11R,12S,15S)-(+)-glaciapyrrol A.
PMID- 21901771
TI - Acid-catalyzed reactions of twisted amides in water solution: competition between
hydration and hydrolysis.
AB - The acid-catalyzed reactions of twisted amides in water solution were
investigated by using cluster-continuum model calculations. In contrast to the
previous widely suggested concerted hydration of the C=O group, our calculations
show that the reaction proceeds in a practically stepwise manner, and that the
hydration and hydrolysis channels of the C-N bond compete. The Eigen ion
(H(3)O(+)) is the key species involved in the reaction, and it modulates the
hydration and hydrolysis reaction pathways. The phenyl substitution in the
twisted amide not only activates the N-CO bond, but also stabilizes the
hydrolysis product through n(N)->pi(phenyl) delocalization, leading exclusively
to the hydrolysis product of the ring-opened carboxylic acid. Generally, the
twisted amides are more active than the planar amides, and such a rate
acceleration results mainly from the increase in exothermicity in the first N
protonation step; the second step of the nucleophilic attack is less affected by
the twisting of the amide bond. The present results show good agreement with the
available experimental observations.
PMID- 21901772
TI - Perchloropyracylene and its fusion with C60 by chlorine-assisted radio-frequency
furnace synthesis.
AB - Elusive perchloropyracylene has been obtained during conventional fullerene
synthesis in a chlorine-containing atmosphere by using the radio-frequency
furnace technique. In contrast to its hydrocarbon analogue, the title compound
was found to be unexpectedly stable. Although the high stability of
perchloropyracylene impedes its direct addition to C(60) fullerene, the
corresponding adduct was found in the synthesis products extracted from the raw
soot. Both new species were separated and unambiguously characterized by single
crystal X-ray analysis. According to experimental observations and quantum
chemical calculations, the addition of perchloropyracylene to the C(60) fullerene
can only be realized by involving highly reactive species such as C(14) clusters
displaying the pyracylene connectivity. Such a viable mechanism includes
capturing of free or partially chlorinated C(14) clusters with pyracylene-type
connectivity by the fullerene molecule and subsequent stabilization through
chlorine addition. The data obtained provide experimental evidence for the
presence of pyracylene-like C(14) clusters in the gas phase, which have evolved
during the graphite vaporization process. According to the pentagon road
mechanism, such clusters are regarded as crucial intermediates in fullerene
formation.
PMID- 21901773
TI - Exercises in pyrrolidine chemistry: gram scale synthesis of a Pro-Pro dipeptide
mimetic with a polyproline type II helix conformation.
AB - A practical and scalable synthesis of a Fmoc-protected tricyclic dipeptide
mimetic (6), that is, a 1,4-diaza-tricyclo-[8.3.0(3,7)]-tridec-8-ene derivative
resembling a rigidified di-L-proline in a polyproline type II (PPII) helix
conformation, was developed. The strategy is based on a Ru-catalyzed ring-closing
metathesis of a dipeptide (4) prepared by PyBOP coupling of cis-5-vinylproline
tert-butylester (2) and trans-N-Boc-3-vinylproline (rac-3) followed by
chromatographic diastereomer separation. Building block 2 was prepared from L
proline in six steps via electrochemical C5-methoxylation, cyanation and
conversion of the nitrile into a vinyl substituent. Building block rac-3 was
prepared in five steps exploiting a Cu-catalyzed 1,4-addition of vinyl-MgBr to a
2,3-dehydroproline derivative in the key step. In the course of the investigation
subtle dependencies of protecting groups on the reactivity of the 2,3- and 2,5
disubstituted pyrrolidine derivatives were observed. The configuration and
conformational preference of several intermediates were determined by X-ray
crystallography. The developed synthesis allows the preparation of substantial
amounts of 6, which will be used in the search for new small molecules for the
modulation of protein-protein interactions involving proline-rich motifs (PRDs).
PMID- 21901774
TI - trans-[Pt(BCat')Me(PCy3)2]: an experimental case study of reductive elimination
processes in Pt-Boryls through associative mechanisms.
AB - A stable trans-(alkyl)(boryl) platinum complex trans-[Pt(BCat')Me(PCy(3))(2)]
(Cat'=Cat-4-tBu; Cy=cyclohexyl=C(6)H(11)) was synthesised by salt metathesis
reaction of trans-[Pt(BCat')Br(PCy(3))(2)] with LiMe and was fully characterised.
Investigation of the reactivity of the title compound showed complete reductive
elimination of Cat'BMe at 80 degrees C within four weeks. This process may be
accelerated by the addition of a variety of alkynes, thereby leading to the
formation of the corresponding eta(2) -alkyne platinum complexes, of which
[Pt(eta(2)-MeCCMe)(PCy(3))(2)] was characterised by X-ray crystallography.
Conversion of the trans-configured title compound to a cis derivative remained
unsuccessful due to an instantaneous reductive elimination process during the
reaction with chelating phosphines. Treatment of trans-[Pt(BCat')Me(PCy(3))(2)]
with Cat(2)B(2) led to the formation of CatBMe and Cat'BMe. In the course of
further investigations into this reaction, indications for two indistinguishable
reaction mechanisms were found: 1) associative formation of a six-coordinate
platinum centre prior to reductive elimination and 2) sigma-bond metathesis of B
B and C-Pt bonds. Mechanism 1 provides a straightforward explanation for the
formation of both methylboranes. Scrambling of diboranes(4) Cat(2)B(2) and
Cat'(2)B(2) in the presence of [Pt(PCy(3))(2)], fully reductive elimination of
CatBMe or Cat'BMe from trans-[Pt(BCat')Me(PCy(3))(2)] in the presence of sub
stoichiometric amounts of Cat(2)B(2), and evidence for the reversibility of the
oxidative addition of Cat(2)B(2) to [Pt(PCy(3))(2)] all support mechanism 2,
which consists of sequential equilibria reactions. Furthermore, the solid-state
molecular structure of cis-[Pt(BCat)(2)(PCy(3))(2)] and cis
[Pt(BCat')(2)(PCy(3))(2)] were investigated. The remarkably short B-B separations
in both bis(boryl) complexes suggest that the two boryl ligands in each case are
more loosely bound to the Pt(II) centre than in related bis(boryl) species.
PMID- 21901775
TI - Control of the pharyngeal musculature during wakefulness and sleep: implications
in normal controls and sleep apnea.
AB - Respiration involves the complex coordination of several pump and upper
airway/pharyngeal muscles. From a respiratory perspective, the major function of
the pharyngeal muscles is to keep the airway patent allowing for airflow in and
out of the lung with minimal work by the respiratory pump muscles. The activity
of each of the pharyngeal muscles varies depending on its function, but many
reduce their activity during sleep. In healthy individuals, these muscles can
respond to respiratory stimuli during sleep to prevent airway collapse. However,
in individuals with an anatomically small airway, the muscles cannot always
compensate for the increased mechanical load. Thus a vulnerable situation in
which the airway is prone to collapse may occur with the development of
obstructive sleep apnea. This article describes the current understanding
regarding the control of the pharyngeal musculature during wakefulness and sleep,
as well as the implications for obstructive sleep apnea.
PMID- 21901776
TI - An overview of therapies to promote repair of the brain after stroke.
AB - Stroke remains a leading cause of disability. Most patients show some degree of
spontaneous recovery, but this is generally incomplete. Studies on the
neurobiology of this recovery are providing clues to therapeutic interventions
that aim to improve patient outcomes. A number of potential such restorative
therapies are reviewed. Numerous treatment strategies are under study. Most have
a time window measured in days or weeks and so have the potential to help a large
fraction of patients. This review considers these therapies, as well as points to
consider in translating their application to human trials.
PMID- 21901777
TI - Swallow remodeling of respiratory neural networks.
AB - Swallow is defined as the coordinated neuromuscular activity of the mouth,
pharynx, larynx, and esophagus. Movement of a bolus and air must be coordinated
by swallow remodeling of the respiratory pattern. The brainstem contains
respiratory and swallow neural control networks that generate the pattern for
breathing and swallow. Swallow control of respiration is proposed to be through
recruitment of swallow neural elements that retask existing respiratory neural
network elements. Swallow reconfiguration of the respiratory neural network is
fundamental to airway protection and integrated with other airway protective
reflexes. Thus, swallow, breathing, cough, and other airway defensive behaviors
are produced by a central neural motor system that shares elements. It is
hypothesized that swallow and airway defensive behaviors are controlled by a
recruited behavioral control assembly system that is organized in a fashion that
allows for precise coordination of the expression of these behaviors to maintain
airway protection.
PMID- 21901778
TI - Introduction to the special issue, Integrative neural systems underlying vital
aerodigestive tract functions. Madison, Wisconsin, June 17-19, 2010.
PMID- 21901779
TI - Functional MRI of swallowing: from neurophysiology to neuroplasticity.
AB - Swallowing is a complex neurogenic sensorimotor process involving all levels of
the neuraxis and a vast number of muscles and anatomic structures. Disruption of
any of these anatomic or functional components can lead to swallowing disorders
(also known as dysphagia). Understanding the neural pathways that govern
swallowing is necessary in diagnosing and treating patients with dysphagia.
Functional MRI (fMRI) is a prevalent and effective neuroimaging method that has
been used to study the complex neurophysiologic control of swallowing in vivo.
This article presents a summary of the research studies that have used fMRI to
study the neural control of swallowing in normal subjects and dysphagic patients,
and to investigate the effects of swallowing treatments on neuroplasticity.
Methodologic challenges and caveats are discussed, and a case study of a pre
posttreatment paradigm is presented to highlight potential future directions of
fMRI applications in swallowing research and clinical practice.
PMID- 21901780
TI - Central nervous system control of interactions between vocalization and
respiration in mammals.
AB - The purpose of this review is to examine what is known regarding the competition
and synergy between respiratory and airway protective systems with vocalization
for speech. It was demonstrated that there are integrative neural mechanisms
between vocalization for speech and upper airway protective mechanisms at both
the brainstem levels and within cerebral networks. When there is competition
between cortical control of learned volitional tasks, usually the upper airway
protective systems predominate (eg, the laryngeal adductor response overrides
laryngeal muscle control for voice and respiratory tasks). On the other hand,
volitional swallowing overrides the laryngeal adductor response. Possible
mechanisms for enhanced control of upper airway systems and rehabilitation of
abnormal other upper airway systems was also examined. Recently, intensive
clinical training using volitional breathing and swallowing tasks has been shown
to modulate hyper-reactive sensory driven reflexes such as cough and paradoxical
vocal fold movement disorder secondary to laryngeal hypersensitivity.
PMID- 21901781
TI - Protecting the airway during swallowing: what is the role for afferent
surveillance?
AB - Mechanoreceptors are ubiquitous throughout the upper aerodigestive tract and
provide spatiotemporal information on movement and stretch of muscles and
connective tissues involved in feeding. Experimental studies in humans suggest
that upper airway sensory signals provide important tonic and kinesthetic
feedback to central neural circuits underlying upper airway perception and
laryngeal closure during swallowing. These circuits include brainstem and
cortical feedforward loops that facilitate swallowing function. A clinical
implication of this organization is the potential to harness the sensory system
for enhancing laryngeal closure during swallowing. Therapeutic sensory
stimulation is a promising method for treating dysphagia and preventing
aspiration pneumonia in patients with cortical lesions.
PMID- 21901782
TI - Proceedings of the Integrative Neural Systems Underlying Vital Aerodigestive
Tract Functions Conference, June 17-19, 2010: work group summary and call to
action.
PMID- 21901783
TI - Genome-wide linkage analysis of Swedish families to identify putative
susceptibility loci for cutaneous malignant melanoma.
AB - Cutaneous malignant melanoma is a clinically and genetically heterogeneous
disorder which is caused by an interaction between hereditary and environmental
factors. In Sweden, a small portion of the inherited susceptibility is explained
by the presence of germline mutations in the tumor suppressor gene CDKN2A. But
still, the genetic background of melanoma susceptibility is largely unknown.
Here, we conducted a genome-wide linkage scan on melanoma-prone families using
high-density single-nucleotide polymorphisms (SNPs) arrays to identify novel
melanoma susceptibility genes. We investigated 35 families of Swedish origin
without CDKN2A mutations. Nonparametric and parametric multipoint linkage
analyses were performed. After removal of SNPs in strong linkage disequilibrium,
the strongest evidence of linkage was detected on chromosome 17p11-12 (logarithm
(base 10) of odds (LOD) scores of 2.76) using parametric linkage analysis
assuming a dominant trait with full penetrance. Analyses were also performed on a
subset of families with low age at diagnosis (mean age <= 47 years), to obtain a
more homogenous subset. This subgroup analysis based on 22 families yielded
suggestive evidence of linkage to the chromosomal regions 11p12-p11 and 18q22
(multipoint LOD scores of 2.10 and 2.02, respectively). Also, the 17p region that
was detected in the complete family set showed suggestive linkage in this cohort
(multipoint LOD scores of 2.01). Our data suggest that these chromosomal regions,
17p12-p11 in particular as it was present in both analyses, may harbor genes
involved in the susceptibility of malignant melanoma in the Swedish population.
PMID- 21901784
TI - Chd7 plays a critical role in controlling left-right symmetry during zebrafish
somitogenesis.
AB - Somitogenesis is a complex process during early vertebrate development involving
interactions between many factors to form a bilateral somite series. A role for
chromatin remodelers in somitogenesis has not yet been demonstrated. Here, we
investigate the function of chromodomain helicase DNA binding protein 7 (chd7)
during zebrafish somitogenesis. We show that Chd7 deficiency leads to asymmetric
segmentation of the presomitic mesoderm (PSM), as revealed by expression of the
somitogenesis genes, cdx1a, dlc, her7, mespa, and ripply1. Moreover, we show that
abrogation of Chd7 results in the loss of asymmetric expression of spaw in the
lateral plate mesoderm, which is consistent with more general laterality defects.
Based on the observation that insufficient Chd7 leads to left-right asymmetry
defects during PSM segmentation, and because CHD7 has been linked to human spinal
deformities, we suggest that zebrafish chd7 morphants may be a good in vivo model
to examine the pathophysiology of these diseases.
PMID- 21901786
TI - MicroCT for molecular imaging: quantitative visualization of complete three
dimensional distributions of gene products in embryonic limbs.
AB - We present a broadly applicable procedure for whole-mount imaging of antibody
probes in embryonic tissues at microscopic resolutions based on combining a metal
based immunodetection scheme with x-ray microtomography (microCT). The method is
generally accessible, relying on standard enzyme-conjugated secondary antibodies
and other readily available reagents, and is demonstrated here with microCT
visualizations of acetylated alpha-tubulin in the chick nervous system and of
type II collagen in developing limbs. The tomographic images offer complete three
dimensional representations of molecular patterns obtained with immunostaining
methods at the level of organ development, with added possibilities to quantify
both spatial distributions and varying densities of gene products in situ. This
imaging modality bridges a crucial gap in three-dimensional molecular imaging by
combining the histological resolutions of confocal microscopy with a greater
specimen size range than optical projection tomography, and thus enables a
powerful new approach to long-standing issues of skeletogenic pattern formation
in vertebrate limbs.
PMID- 21901785
TI - Epigenetic integration of the developing brain and face.
AB - The integration of the brain and face and to what extent this relationship
constrains or enables evolutionary change in the craniofacial complex is an issue
of long-standing interest in vertebrate evolution. To investigate brain-face
integration, we studied the covariation between the forebrain and midface at
gestational days 10-10.5 in four strains of laboratory mice. We found that
phenotypic variation in the forebrain is highly correlated with that of the face
during face formation such that variation in the size of the forebrain correlates
with the degree of prognathism and orientation of the facial prominences. This
suggests strongly that the integration of the brain and face is relevant to the
etiology of midfacial malformations such as orofacial clefts. This axis of
integration also has important implications for the evolutionary developmental
biology of the mammalian craniofacial complex.
PMID- 21901787
TI - High prevalence of mutations in LCAT in patients with low HDL cholesterol levels
in The Netherlands: identification and characterization of eight novel mutations.
AB - Lecithin:cholesterol acyltransferase (LCAT) is crucial to the maturation of high
density lipoprotein (HDL). Homozygosity for LCAT mutations underlies rare
disorders characterized by HDL-cholesterol (HDL-c) deficiency while heterozygotes
have half normal HDL-c levels. We studied the prevalence of LCAT mutations in
referred patients with low HDL-c to better understand the molecular basis of low
HDL-c in our patients. LCAT was sequenced in 98 patients referred for HDL-c <5th
percentile and in four patients referred for low HDL-c and corneal opacities.
LCAT mutations were highly prevalent: in 28 of the 98 participants (29%),
heterozygosity for nonsynonymous mutations was identified while 18 patients
carried the same mutation (p.T147I). The four patients with corneal opacity were
compound heterozygotes. All previously identified mutations are documented to
cause loss of catalytic activity. Nine novel mutations-c.402G>T (p.E134D),
c.403T>A (p.Y135N), c.964C>T (p.R322C), c.296G>C (p.W99S), c.736G>T (p.V246F),
c.802C>T (p.R268C), c.945G>A (p.W315X), c.1012C>T (p.L338F), and c.1039C>T
(p.R347C)--were shown to be functional through in vitro characterization. The
effect of several mutations on the core protein structure was studied by a three
dimensional (3D) model. Unlike previous reports, functional mutations in LCAT
were found in 29% of patients with low HDL-c, thus constituting a common cause of
low HDL-c in referred patients in The Netherlands.
PMID- 21901788
TI - Estimating the degree of identity by descent in consanguineous couples.
AB - In some clinical and research settings, it is often necessary to identify the
true level of "identity by descent" (IBD) between two individuals. However, as
the individuals become more distantly related, it is increasingly difficult to
accurately calculate this value. Consequently, we have developed a computer
program that uses genome-wide SNP genotype data from related individuals to
estimate the size and extent of IBD in their genomes. In addition, the software
can compare a couple's IBD regions with either the autozygous regions of a
relative affected by an autosomal recessive disease of unknown cause, or the IBD
regions in the parents of the affected relative. It is then possible to calculate
the probability of one of the couple's children suffering from the same disease.
The software works by finding SNPs that exclude any possible IBD and then
identifies regions that lack these SNPs, while exceeding a minimum size and
number of SNPs. The accuracy of the algorithm was established by estimating the
pairwise IBD between different members of a large pedigree with varying known
coefficients of genetic relationship (CGR).
PMID- 21901790
TI - Novel LOVD databases for hereditary breast cancer and colorectal cancer genes in
the Chinese population.
AB - The Human Variome Project (HVP) is an international consortium of clinicians,
geneticists, and researchers from over 30 countries, aiming to facilitate the
establishment and maintenance of standards, systems, and infrastructure for the
worldwide collection and sharing of all genetic variations effecting human
disease. The HVP-China Node will build new and supplement existing databases of
genetic diseases. As the first effort, we have created a novel variant database
of BRCA1 and BRCA2, mismatch repair genes (MMR), and APC genes for breast cancer,
Lynch syndrome, and familial adenomatous polyposis (FAP), respectively, in the
Chinese population using the Leiden Open Variation Database (LOVD) format. We
searched PubMed and some Chinese search engines to collect all the variants of
these genes in the Chinese population that have already been detected and
reported. There are some differences in the gene variants between the Chinese
population and that of other ethnicities. The database is available online at
http://www.genomed.org/LOVD/. Our database will appear to users who survey other
LOVD databases (e.g., by Google search, or by NCBI GeneTests search). Remote
submissions are accepted, and the information is updated monthly.
PMID- 21901791
TI - Identification and characterization of novel rare mutations in the planar cell
polarity gene PRICKLE1 in human neural tube defects.
AB - The planar cell polarity (PCP) pathway controls the process of convergent
extension (CE) during gastrulation and neural tube closure, and has been
implicated in the pathogenesis of neural tube defects (NTDs) in animal models and
human cohorts. In this study, we analyzed the role of one core PCP gene PRICKLE1
in these malformations. We screened this gene in 810 unrelated NTD patients and
identified seven rare missense heterozygous mutations that were absent in all
controls analyzed and predicted to be functionally deleterious using
bioinformatics. Functional validation of five PRICKLE1 variants in a zebrafish
model demonstrated that one variant, p.Arg682Cys, antagonized the CE phenotype
induced by the wild-type zebrafish prickle1a (zpk1a) in a dominant fashion. Our
study demonstrates that PRICKLE1 could act as a predisposing factor to human NTDs
and further expands our knowledge of the role of PCP genes in the pathogenesis of
these malformations.
PMID- 21901789
TI - Whole-exome sequencing identifies ALMS1, IQCB1, CNGA3, and MYO7A mutations in
patients with Leber congenital amaurosis.
AB - It has been well documented that mutations in the same retinal disease gene can
result in different clinical phenotypes due to difference in the mutant allele
and/or genetic background. To evaluate this, a set of consanguineous patient
families with Leber congenital amaurosis (LCA) that do not carry mutations in
known LCA disease genes was characterized through homozygosity mapping followed
by targeted exon/whole-exome sequencing to identify genetic variations. Among
these families, a total of five putative disease-causing mutations, including
four novel alleles, were found for six families. These five mutations are located
in four genes, ALMS1, IQCB1, CNGA3, and MYO7A. Therefore, in our LCA collection
from Saudi Arabia, three of the 37 unassigned families carry mutations in retinal
disease genes ALMS1, CNGA3, and MYO7A, which have not been previously associated
with LCA, and 3 of the 37 carry novel mutations in IQCB1, which has been recently
associated with LCA. Together with other reports, our results emphasize that the
molecular heterogeneity underlying LCA, and likely other retinal diseases, may be
highly complex. Thus, to obtain accurate diagnosis and gain a complete picture of
the disease, it is essential to sequence a larger set of retinal disease genes
and combine the clinical phenotype with molecular diagnosis.
PMID- 21901793
TI - Competitive amplification of differentially melting amplicons (CADMA) enables
sensitive and direct detection of all mutation types by high-resolution melting
analysis.
AB - Sensitive and specific mutation detection is of particular importance in cancer
diagnostics, prognostics, and individualized patient treatment. However, the
majority of molecular methodologies that have been developed with the aim of
increasing the sensitivity of mutation testing have drawbacks in terms of
specificity, convenience, or costs. Here, we have established a new method,
Competitive Amplification of Differentially Melting Amplicons (CADMA), which
allows very sensitive and specific detection of all mutation types. The principle
of the method is to amplify wild-type and mutated sequences simultaneously using
a three-primer system. A mutation-specific primer is designed to introduce
melting temperature decreasing mutations in the resulting mutated amplicon, while
a second overlapping primer is designed to amplify both wild-type and mutated
sequences. When combined with a third common primer very sensitive mutation
detection becomes possible, when using high-resolution melting (HRM) as detection
platform. The introduction of melting temperature decreasing mutations in the
mutated amplicon also allows for further mutation enrichment by fast
coamplification at lower denaturation temperature PCR (COLD-PCR). For proof-of
concept, we have designed CADMA assays for clinically relevant BRAF, EGFR, KRAS,
and PIK3CA mutations, which are sensitive to, between 0.025% and 0.25%, mutated
alleles in a wild-type background. In conclusion, CADMA enables highly sensitive
and specific mutation detection by HRM analysis.
PMID- 21901794
TI - The role of the anterior cingulate cortex in emotional response inhibition.
AB - Although the involvement of the anterior cingulate cortex (ACC) in emotional
response inhibition is well established, there are several outstanding issues
about the nature of this involvement that are not well understood. The present
study aimed to examine the precise contribution of the ACC to emotion-modulated
response inhibition by capitalizing on fine temporal resolution of the event
related potentials (ERPs) and the recent advances in source localization. To this
end, participants (N = 30) performed an indirect affective Go/Nogo task (i.e.,
unrelated to the emotional content of stimulation) that required the inhibition
of a motor response to three types of visual stimuli: arousing negative (A-),
neutral (N), and arousing positive (A+). Behavioral data revealed that
participants made more commission errors to A+ than to N and A-.
Electrophysiological data showed that a specific region of the ACC at the
intersection of its dorsal and rostral subdivisions was significantly involved in
the interaction between emotional processing and motor inhibition. Specifically,
activity reflecting this interaction was observed in the P3 (but not in the N2)
time range, and was greater during the inhibition of responses to A+ than to N
and A-. Additionally, regression analyses showed that inhibition-related activity
within this ACC region was associated with the emotional content of the stimuli
(its activity increased as stimulus valence was more positive), and also with
behavioral performance (both with reaction times and commission errors). The
present results provide additional data for understanding how, when, and where
emotion interacts with response inhibition within the ACC.
PMID- 21901792
TI - First implication of STRA6 mutations in isolated anophthalmia, microphthalmia,
and coloboma: a new dimension to the STRA6 phenotype.
AB - Microphthalmia, anophthalmia, and coloboma (MAC) are structural congenital eye
malformations that cause a significant proportion of childhood visual
impairments. Several disease genes have been identified but do not account for
all MAC cases, suggesting that additional risk loci exist. We used single
nucleotide polymorphism (SNP) homozygosity mapping (HM) and targeted next
generation sequencing to identify the causative mutation for autosomal recessive
isolated colobomatous microanophthalmia (MCOPCB) in a consanguineous Irish
Traveller family. We identified a double-nucleotide polymorphism (g.1157G>A and
g.1156G>A; p.G304K) in STRA6 that was homozygous in all of the MCOPCB patients.
The STRA6 p.G304K mutation was subsequently detected in additional MCOPCB
patients, including one individual with Matthew-Wood syndrome (MWS; MCOPS9).
STRA6 encodes a transmembrane receptor involved in vitamin A uptake, a process
essential to eye development and growth. We have shown that the G304K mutant
STRA6 protein is mislocalized and has severely reduced vitamin A uptake activity.
Furthermore, we reproduced the MCOPCB phenotype in a zebrafish disease model by
inhibiting retinoic acid (RA) synthesis, suggesting that diminished RA levels
account for the eye malformations in STRA6 p.G304K patients. The current study
demonstrates that STRA6 mutations can cause isolated eye malformations in
addition to the congenital anomalies observed in MWS.
PMID- 21901796
TI - On the nature of chirality imparted to achiral polymers by the crystallization
process.
PMID- 21901797
TI - Improved stability and smart-material functionality realized in an energetic
cocrystal.
PMID- 21901798
TI - Copper-catalyzed intramolecular oxidative 6-exo-trig cyclization of 1,6-enynes
with H2O and O2.
PMID- 21901799
TI - Iridium diamine catalyst for the asymmetric transfer hydrogenation of ketones.
PMID- 21901800
TI - Access to phosphorus-rich zirconium complexes.
PMID- 21901802
TI - Wraparound hosts for fullerenes: tailored macrocycles and cages.
AB - Custom-made macrocyclic receptors for fullerenes are proving a valuable
alternative to achieve the affinity and selectivity required to meet challenges
such as the selective extraction of higher fullerenes, their chiral resolution,
or the self-assembly of functional molecular materials. In this Minireview, we
highlight some of the important breakthroughs that this class of fullerene hosts
has already produced.
PMID- 21901803
TI - Simultaneous electronic and ionic conduction in a block copolymer: application in
lithium battery electrodes.
AB - Charging ahead: separate values for the simultaneous electronic and ionic
conductivity of a conjugated polymer containing poly(3-hexylthiophene) and
poly(ethylene oxide) (P3HT-PEO) were determined by using ac impedance and dc
techniques. P3HT-PEO was used as binder, and transporter of electronic charge and
Li(+) ions in a LiFePO(4) cathode, which was incorporated into solid-state
lithium batteries.
PMID- 21901804
TI - Vernier templating of nanoscopic porphyrin rings.
PMID- 21901805
TI - Determination of the helical screw sense and side-group chirality of a synthetic
chiral polymer from Raman optical activity.
AB - Splitting it up: excellent agreement between the experimental and the quantum
chemically simulated Raman optical activity (ROA) spectrum of (+)-poly(trityl
methacrylate) shows that the polymer backbone adopts a left-handed helical
conformation while the trityl side groups display a left-handed propeller
conformation. Thus ROA can be used to determine the complete structure of
synthetic chiral polymers in solution.
PMID- 21901806
TI - Soluble heteropolyniobates from the bottom of Group IA.
AB - Surprising solubility: While it is already well known that [Nb(6)O(19)](8-) salts
exhibit an unusual solubility trend, that is, Cs>Rb>K>Na>Li, the
heteropolyniobates of Cs and Rb had not yet been crystallized. These very soluble
entities have now been obtained from solution by a simple and universal process.
New polyoxoniobate geometries are thus unveiled, and the [SiNb(12)O(40)](16-)
Keggin ion is characterized in solution for the first time.
PMID- 21901807
TI - Modular redox-active inorganic chemical cells: iCHELLs.
PMID- 21901810
TI - Simultaneous "one pot" expressed protein ligation and CuI-catalyzed azide/alkyne
cycloaddition for protein immobilization.
PMID- 21901811
TI - Metals (Ag(+) , Cd(2+) , Cr(6+) ) affect ATPase activity in the gill, kidney, and
muscle of freshwater fish Oreochromis niloticus following acute and chronic
exposures.
AB - Freshwater fish Oreochromis niloticus were individually acutely exposed to
different concentrations (0, 0.1, 0.5, 1.0, and 1.5 MUg/mL) of Cd(2+) , Cr(6+) ,
and Ag(+) for 96 h and 0.05 MUg/mL concentration of the same metals for different
periods (0, 5, 10, 20, and 30 days) chronically. Following each experimental
protocol, Na(+) /K(+) -ATPase, Mg(2+) -ATPase, and Ca(2+) -ATPase activities were
measured in the gill, kidney, and muscle of O. niloticus. In vitro experiments
were also performed to determine the direct effects of metal ions (0, 0.1, 0.5,
1.0, and 1.5 MUg/mL) on ATPases. Except Ag(+) , none of the metals caused fish
mortality within 30 days. Silver killed all the fishes within 16 days. Metal
exposures generally decreased Na(+) /K(+) -ATPase and Ca(2+) -ATPase activities
in the tissues of O. niloticus, although there were some fluctuations in Mg(2+)
ATPase activity. Ag(+) and Cd(2+) were found to be more toxic to ATPase
activities than Cr(6+) . It was also observed that metal efficiency was higher in
the gill than in the other tissues. Results indicated that the response of
ATPases varied depending on metals, exposure types, and tissues. Because ATPases
are sensitive to metal toxicity, their activity can give valuable data about fish
physiology. Therefore, they may be used as a sensitive biomarker in environmental
monitoring in contaminated waters.
PMID- 21901812
TI - Effects of decabromodiphenyl ether (BDE-209) on mRNA transcription of thyroid
hormone pathway and spermatogenesis associated genes in Chinese rare minnow
(Gobiocypris rarus).
AB - Polybrominated diphenyl ethers (PBDEs) are widely used as flame retardants, which
are ubiquitous environmental contaminant found in both abiotic and biotic
environmental samples. Deca-BDE (BDE-209) is the principal component, which is
currently used worldwide. In this study, the effect of BDE-209 on the mRNA levels
of thyroid hormone (TH) related genes and spermatogenesis associated genes were
determined from larvae and adult rare minnow (Gobiocypris rarus) exposed to
concentrations 0.01, 0.1, 1, and 10 MUg/L for 21 days. The results showed that
the type II deiodinase (dio2) and sodium iodide symporter (nis) mRNA levels were
significantly up-regulated in the larvae at 10 MUg/L treatment. In adult,
histopathological observations showed that liver of female fish were degenerated
at 10 MUg/L treatment, and inhibition of spermatogenesis were observed in testis
of male fish. In addition, the thyroid hormone receptor alpha (tralpha), dio2,
and nis mRNA levels in the liver of male and female fish were significantly up
regulated, whereas dio2 and nis mRNA levels were significantly down-regulated in
the brain. These results indicate that exposure to BDE-209 could result in tissue
specific alternations of TH-related genes expression in adults. Moreover, the
mRNA levels of the testis-specific apoptosis genes, the spermatogenesis
associated 4 (spata4) and spermatogenesis-associated 17 (spata17), were down
regulated at 10 MUg/L treatment in testis of male fish. Our results suggest that
BDE-209 may pose threat to normal thyroid and reproductive function in fish.
PMID- 21901813
TI - Glial glutamate transporters: new actors in brain signaling.
AB - Glutamate, the main excitatory amino acid in the vertebrate brain, is critically
involved in most of the physiological functions of the central nervous system. It
has traditionally been assumed that glutamate triggers a wide array of signaling
cascades through the activation of specific membrane receptors. The extracellular
levels are tightly regulated to prevent neurotoxic insults. Electrogenic Na(+)
dependent glial glutamate transporters remove the bulk of the neurotransmitter
from the synaptic cleft. An exquisitely ordered coupling between glutamatergic
neurons and surrounding glia cells is fundamental for excitatory transmission.
The glutamate/glutamine and astrocyte/neuron lactate shuttles provide the
biochemical framework of this compulsory association. In this context, recent
advances show that glial glutamate transporters act as signal transducers that
regulate the expression of proteins involved in their compartmentalization with
neurons in the so-called tripartite synapse.
PMID- 21901814
TI - Neural integration of reward, arousal, and feeding: recruitment of VTA, lateral
hypothalamus, and ventral striatal neurons.
AB - The ability to control neuronal activity using light pulses and optogenetic tools
has revealed new properties of neural circuits and established causal
relationships between activation of a single genetically defined population of
neurons and complex behaviors. Here, we briefly review the causal effect of
activity of six genetically defined neural circuits on behavior, including the
dopaminergic neurons DA in the ventral tegmental area (VTA); the two main
populations of medium-sized spiny neurons (D1- and D2-positive) in the striatum;
the giant Cholinergic interneurons in the ventral striatum; and the hypocretin-
and MCH- expressing neurons in the lateral hypothalamus. We argue that selective
spatiotemporal recruitment and coordinated spiking activity among these cell type
specific neural circuits may underlie the neural integration of reward, learning,
arousal and feeding.
PMID- 21901815
TI - Calcium-regulated transcriptional pathways in the normal and pathologic heart.
AB - The cytosolic calcium concentration ([Ca(2+)](c)) is key for the regulation of
many cellular processes, such cell signaling and proliferation, metabolism, and
muscle contraction. In cardiomyocytes, Ca(2+) is an important regulator in many
cellular functions such electrophysiological processes, excitation-contraction
coupling, regulation of contractile proteins activity, energy metabolism, cell
death, and transcriptional regulation by the activation of Ca(2+)-dependent
transcriptional pathways. In cardiomyocytes, the two main Ca(2+) -dependent
pathways are the Ca(2+)/calmodulin-calcineurin-NFAT and the Ca(2+) /calmodulin
dependent kinases-MEF2. Both pathways are involved in the transcriptional control
of many cardiac genes. Cardiac hypertrophy (CH) and heart failure (HF) are
characterized by alterations in calcium handling such a low sarcoplasmic
reticulum Ca(2+) content, decreased rate of Ca(2+) removal from the sarcoplasm,
increased diastolic [Ca(2+)](c), and decreased systolic [Ca(2+)](c), all of them
contributing to diminished contractibility and force generation in failing heart.
At gene expression level, there are also many changes such decreased levels of
SERCA2a and activation of a fetal gene expression program in cardiomyocytes. A
variety of Ca(2+)-dependent signaling pathways have been implicated in CH and HF,
but whether these pathways are interrelated and whether there is specificity
among them are still unclear and under investigation. The focus of this review is
to make an analysis of the current knowledge about the role of Ca(2+) signaling
pathways in the regulation of cardiac gene expression making special emphasis in
novel strategies to correct Ca(2+) handling alterations by means of SERCA2a gene
therapy.
PMID- 21901816
TI - SOC and now also SIC: store-operated and store-inhibited channels.
AB - There is a specialized form of calcium influx that involves a close communication
between endoplasmic reticulum and the channels at the plasma membrane. In one
side store depletion activates channels known as store-operated channels (SOC),
which are responsible of the well-studied store-operated calcium entry (SOCE).
SOC comprises two different types of channels. Orai, which is exclusively
activated by store depletion being the channel responsible of the calcium release
activated calcium current, and transient receptor potential canonical channel,
which in contrast, is activated by store depletion only under specific conditions
and carries nonselective cationic currents. On the other hand, it has been
recently shown that store depletion also inhibits calcium channels. The first
member identified, of what we named as store-inhibited channels (SIC), is the L
type voltage-gated calcium channel. Stores control both SOC and SIC by means of
the multifunctional protein STIM1. The identification of SOC and SIC opens a new
scenario for the role of store depletion in the modulation of different calcium
entry pathways, which may satisfy different cellular processes.
PMID- 21901817
TI - CD38 through the life of a murine B lymphocyte.
AB - CD38 is a 45 kDa transmembrane receptor expressed in B lymphocytes and other
cells from the immune system. It is involved in apoptosis, cell activation,
differentiation, and proliferation. CD38 has been used extensively to classify
various subpopulations of lymphocytes in both humans and mice. It has also been
used as a marker of poor prognosis in some lymphoid pathologies. However, CD38 is
not a marker but rather an ectoenzyme and a receptor, where it performs several
functions. The CD38 signaling pathway has only been partially studied in various
cells of the immune system, where apparently the signaling is different depending
on the lineage and differentiation state of the cell, leading to distinct
outcomes. In this review, we provide an overview of well-established roles of
CD38 signaling B lymphocytes from mice. We also discuss areas that need further
clarification to get a broader image of how CD38 performs different functions in
B cells and to understand its role in B lymphocyte biology under normal versus
pathological conditions.
PMID- 21901818
TI - Signaling epigenetics: novel insights on cell signaling and epigenetic
regulation.
AB - Cells must be able to respond rapidly and precisely not only to changes in their
external environment but also to developmental and differentiation cues to
determine when to divide, die, or acquire a particular cell fate. Signal
transduction pathways are responsible for the integration and interpretation of
most of such signals into specific transcriptional states. Those states are
achieved by the modulation of chromatin structure that activates or represses
transcription at particular loci. Although a large variety of signal transduction
pathways have already been described, much less is known about the crosstalk
between signal transduction and its consequent changes in chromatin structure
and, therefore, gene expression. Here we present some examples of the
relationship between chromatin-associated proteins and important signal
transduction pathways during critical processes like development,
differentiation, and disease. There is a great diversity of epigenetic mechanisms
that have unexpected interactions with signaling pathways to establish
transcriptional programs. Moreover, there are also particular cases where
signaling pathways directly affect important components of the epigenetic
machinery. Based on such examples, we further propose future research directions
linking cell signaling and epigenetics. It is foreseeable that analyzing the
relationship between cell signaling and epigenetics will be a huge area for
future development that will help us understand the complex process by which a
cell is able to induce transcriptional changes in response to external and
internal signals.
PMID- 21901819
TI - Progesterone and 17beta-estradiol increase differentiation of mouse embryonic
stem cells to motor neurons.
AB - Embryonic stem (ES) cells have the capacity to differentiate into endodermal,
mesodermal, and ectodermal lineages. Motor neuron (MN) differentiation of mouse
ES cells involves embryoid bodies formation with addition of Sonic hedgehog and
retinoic acid. In this work, using immunocytochemistry, flow cytometry, and
quantitative RT-PCR, we investigated whether progesterone or 17beta-estradiol
have inductive effects on ES cell-derived MN, as it has been demonstrated that
these hormones modify proliferation and neural differentiation of pluripotent
cells. When 100 nM progesterone was added during differentiation, we found higher
proportions of MN, compared to the control condition; coincubation of
progesterone with the progesterone receptor (PR) antagonist RU-486 caused a
decrease in the number of MN to a percentage even lower than controls. The
addition of nanomolar concentrations of 17beta-estradiol also significantly
induced MN differentiation. This effect of estradiol was completely antagonized
by addition of the general estrogen receptor (ER) antagonist ICI 182,780. To
identify the ER subtype mediating the increase on MN differentiation, we
incubated estradiol with the ER-alpha antagonist MPP or with the ER-beta blocker
PHTPP. When we coincubated 17beta-estradiol with MPP, we found a significant
decrease in the percentage of MN. In contrast, the coincubation of 17beta
estradiol with PHTPP had no effect on the induction of MN differentiation. All
these effects on cell number were confirmed by significant changes in the
expression of the MN markers Islet-1 and Choline acetyl transferase, assessed by
real-time RT-PCR. Cell proliferation in embryoid bodies was significantly
enhanced by progesterone treatment. No changes in apoptotic cell death were found
in differentiating cells after progesterone or 17beta-estradiol addition. Our
findings indicate that progesterone and 17beta-estradiol induce a higher
proportion of MN derived from mouse ES cells through intracellular PR and ER,
respectively. Furthermore, the effect of estradiol was mediated by specific
activation of ER-alpha.
PMID- 21901820
TI - Molecular control of cell differentiation and programmed cell death during digit
development.
AB - During the hand plate development, the processes of cell differentiation and
control of cell death are relevant to ensure a correct shape of the limb. The
progenitor cell pool that later will differentiate into cartilage to form the
digits arises from undifferentiated mesenchymal cells beneath the apical
ectodermal ridge (AER). Once these cells abandon the area of influence of signals
from AER and ectoderm, some cells are committed to chondrocyte lineage forming
the digital rays. However, if the cells are not committed to chondrocyte lineage,
they will form the prospective interdigits that in species with free digits will
subsequently die. In this work, we provide the overview of the molecular
interactions between different signaling pathways responsible for the formation
of digit and interdigit regions. In addition, we briefly describe some
experiments concerning the most important signals responsible for promoting cell
death. Finally, on the basis that the interdigital tissue has chondrogenic
potential, we discuss the hypothesis that apoptotic-promoting signals might also
act as antichondrogenic factors and chondrogenic factors might operate as anti
apoptotic factors.
PMID- 21901821
TI - Artificial cell membrane-mimicking nanostructure facilitates efficient gene
delivery through fusogenic interaction with the plasma membrane of living cells.
PMID- 21901822
TI - Modulating the charge-transfer enhancement in GERS using an electrical field
under vacuum and an n/p-doping atmosphere.
AB - The modulation of charger-transfer (CT) enhancement in graphene-enhanced Raman
scattering (GERS) by an electric field under different atmospheres is reported.
The GERS spectra of cobalt phthalocyanine (CoPc) molecules were collected by in
situ Raman measurements under ambient air, vacuum, NH(3) atmosphere, and O(2)
atmosphere, in which the Fermi level of graphene was modulated by an electrical
field effect (EFE). The Raman scattering intensities of adsorbed molecules can be
tuned to be stronger or weaker as the graphene Fermi level down-shifts or up
shifts under electrical field modulation. However, the Raman intensity modulation
in GERS is seriously influenced by the hysteresis effect in graphene EFE, which
makes the modulation ability small and shows strong gate voltage sweep rate
dependence in ambient air. Fortunately, the hysteresis effect in graphene EFE can
be decreased by performing the measurement under vacuum conditions, and thus the
Raman modulation ability in GERS can be increased. Furthermore, compared with the
vacuum condition, the Raman modulation ability shows an increase under an NH(3)
atmosphere, while it shows a decrease under an O(2) atmosphere, which is due to
the different Fermi level modulation region in different atmospheres. More
interestingly, this Raman intensity modulation in GERS shows a hysteresis-like
behavior that is the same as the graphene Fermi level modulation under the EFE in
a different atmosphere. All these observations suggest that the Raman enhancement
in GERS occurs through a charge-transfer (CT) enhancement mechanism and the CT
process can be modulated by the graphene EFE. This technique will benefit the
study of the basic properties of both graphene and chemical enhancement mechanism
in surface-enhanced Raman spectroscopy (SERS).
PMID- 21901823
TI - Resolving in situ specific-contact, current-crowding, and channel resistivity in
nanowire devices: a case study with silver nanowires.
PMID- 21901824
TI - Combining a nanowire SERRS sensor and a target recycling reaction for
ultrasensitive and multiplex identification of pathogenic fungi.
AB - Development of a rapid, sensitive, and multiplex pathogen DNA sensor enables
early diagnosis and, subsequently, the proper treatment of infectious diseases,
increasing the possibility to save the lives of infected patients. Here, the
development of an ultrasensitive and multiplex pathogen DNA detection method that
combines a patterned Au nanowire (NW)-on-film surface-enhanced resonance Raman
scattering (SERRS) sensor with an exonuclease III-assisted target DNA recycling
reaction is reported. Multiple probe DNAs are added to the target DNA solution,
and among them, only the complementary probe DNA is selectively digested by
exonuclease III, resulting in the decrease in its concentration. The digestion
process is repeated by recycling of target DNAs. The decrease of the
complementary probe DNA concentration is detected by SERRS. Combining the high
sensitivity of the NW-on-film sensor and the target recycling reaction
significantly improves DNA detection performance, resulting in the detection
limit of 100 fM corresponding to 3 amole. By positioning Au NWs at specific
addresses, multiple pathogen DNAs can be identified in a single step. Clinical
sample tests with multiple genomic DNAs of pathogens show the potential of this
sensor for practical diagnosis of infectious diseases.
PMID- 21901825
TI - Field-induced nanolithography for patterning of non-fouling polymer brush
surfaces.
PMID- 21901826
TI - Covalent tethering of protruding arms for addressable DNA nanostructures.
AB - Functionalization of self-assembled DNA nanostructures is of fundamental
importance for the realization of their application in nanotechnology and
biosensing. Approaches reported so far suffer from lack of general applicability
and usually require careful system design to avoid poor yields in the assembly of
target structures. A novel approach well suited for fabrication of addressable
DNA superstructures is reported here to generate DNA tile motifs. The method is
based on the covalent linkage of a single-stranded protruding arm (covPA) to one
of the oligomers forming the tile. Subsequent to assembly of tile motifs and
superlattices, the covPA can be addressed by hybridization with complementary
oligonucleotides or DNA-protein conjugates. The covPA can be located at arbitrary
positions in a given tile motif without changing the general design and without
compromising the structural integrity of the tile. The covPA strategy can also be
readily extended to different PA sequences and multiple covPA arms can be linked
to a tile. Superlattices obtained by self-assembly of covPA tiles reveal partial
folding into double layers which possess an intrinsic order at the
ultrastructural level. This phenomenon is likely associated with the increased
flexibility of the covPA and might open up novel ways for DNA-based
functionalization of solid surfaces and other applications of structural DNA
nanotechnology.
PMID- 21901827
TI - Reversible pore-structure evolution in hollow silica nanocapsules: large pores
for siRNA delivery and nanoparticle collecting.
AB - The effective modulation of pore sizes for nanoporous silica nanoparticles still
remains a great challenge not satisfactorily solved. In this paper, the pore
sizes in the shell of hollow silica nanocapsules are well-tuned by a reversible
Si-O bond breakage and reformation process under mildly alkaline conditions
(e.g., Na(2) CO(3) solution). The pores in nanosized hollow silica capsules can
be modulated from 3.2 nm to larger than 10 nm by a novel, surfactant-directing
alkaline-etching (SDAE) strategy. Interestingly, the pores can be fully filled
through the regrowth of the dissoluted silicates by bonding to silanols (Si-OH)
on the wall surface to generate the nonporous hollow silica nanocapsules. The
large-sized pore hollow silica nanocapsules exhibit excellent siRNA-loading
capabilities and intracellular transfection efficiencies in vitro. In addition,
the large pores in the shell of hollow silica nanocapsules are explored as
channels for collecting superparamagnetic, small-sized Fe(3) O(4) nanoparticles
as contrast agents for magnetic resonance imaging, initiating a special approach
towards pore-size modulation and multifunctionalization of silica-based
nanostructural materials for nanobiomedical applications.
PMID- 21901828
TI - Self-assembled DNA-based fluorescence waveguide with selectable output.
AB - Using the principle of self-assembly, a fluorescence-based photonic network is
constructed with one input and two spatially and spectrally distinct outputs. A
hexagonal DNA nanoassembly is used as a scaffold to host both the input and
output dyes. The use of DNA to host functional groups enables spatial resolution
on the level of single base pairs, well below the wavelength of light.
Communication between the input and output dyes is achieved through excitation
energy transfer. Output selection is achieved by the addition of a mediator dye
intercalating between the DNA base pairs transferring the excitation energy from
input to output through energy hopping. This creates a tool for selective
excitation energy transfer on the nanometer scale with spectral and spatial
control. The ability to direct excitation energy in a controlled way on the
nanometer scale is important for the incorporation of photochemical processes in
nanotechnology.
PMID- 21901829
TI - Surface-confined synthesis of silver nanoparticle composite coating on
electrospun polyimide nanofibers.
AB - A methodology for fabricating hierarchical nanostructures by surface-confined
synthesis of silver nanoparticles on electrospun polyimide nanofibers is
reported. Through surface-confined imide cleavage at the dianhydride domain via
immersion in an aqueous KOH solution, potassium polyamate coatings of accurately
defined thickness are formed (at a rate of 25 nm h(-1) ). By utilizing the ion
exchange capability of the polyamate resin, silver ions are introduced through
immersion in an aqueous AgNO3 solution. Subsequent reduction of the metal ion
species leads to the formation of nanoparticles at the fiber surface. Two modes
of reduction, chemical and thermal, are investigated in the report, each leading
to distinct morphologies of the nanoparticle coatings. Via thermal reduction, a
composite surface layer consisting of monodisperse silver nanoparticles (average
diameter 5.2 nm) embedded in a re-imidized polyimide matrix is achieved. In the
case of chemical reduction, the reduction process occurs preferentially at the
surface of the fiber, leading to the formation of silver nanoparticles anchored
at the surface, though not embedded, in a polyamic acid matrix. By regulating the
modification depth, control of the particle density on the fiber surface is
established. In both reduction approaches, the polyimide nanofiber core exhibits
maintained integrity.
PMID- 21901830
TI - A portable, benchtop photolithography system based on a solid-state light source.
PMID- 21901831
TI - Surface-driven DNA assembly of binary cubic 3D nanocrystal superlattices.
PMID- 21901832
TI - Fabrication of multilayered microparticles by integrating layer-by-layer assembly
and microcontact printing.
PMID- 21901833
TI - Proteomics analyses of microvesicles released by Drosophila Kc167 and S2 cells.
AB - Distinct types of vesicles are formed in eukaryotic cells that conduct a variable
set of functions depending on their origin. One subtype designated circulating
microvesicles (MVs) provides a novel form of intercellular communication and
recent work suggested the release and uptake of morphogens in vesicles by
Drosophila cells. In this study, we have examined cells of the hemocyte-like cell
lines Kc167 and S2 and identified secreted vesicles in the culture supernatant.
The vesicles were isolated and found to have characteristics comparable to
exosomes and plasma membrane MVs released by mammalian cells. In wingless
transfected cells, the full-length protein was detected in the vesicle isolates.
Proteomics analyses of the vesicles identified 269 proteins that include various
orthologs of marker proteins and proteins with putative functions in vesicle
formation and release. Analogous to their mammalian counterparts, the subcellular
origin of the vesicular constituents of both cell lines is dominated by membrane
associated and cytosolic proteins with functions that are consistent with their
localization in MVs. The analyses revealed a significant overlap of the Kc167 and
S2 vesicle proteomes and confirmed a close correlation with non-mammalian and
mammalian exosomes.
PMID- 21901834
TI - Phosphatidyl myo-inositol mannosides mimics built on an acyclic or heterocyclic
core: synthesis and anti-inflammatory properties.
AB - Phosphatidyl myo-inositol mannosides (PIMs) are constituents of the mycobacterial
cell wall and possess immunomodulatory activities. Certain PIM derivatives have
immunoprotective activity and are of interest as anti-inflammatory agents. In
order to identify simplified analogues of PIMs that retain this interesting
activity, we have prepared a series of new analogues based either on an acyclic
or on a heterocyclic scaffold that replaces the inositol moiety, and evaluated
these compounds for their inhibition of LPS-induced release of NO and pro
inflammatory cytokines by macrophages. It was found that the inositol moiety can
be favourably replaced by an aza-cyclitol (trihydroxy-piperidine) or an oxa
cyclitol (trihydroxy-tetrahydropyran) unit, and that the configuration of the OH
carrying carbons does not play a significant role. The biological activity is
reduced if the nitrogen atom is free in the aza-cyclitol unit.
PMID- 21901835
TI - From catalysts to bioactive organometallics: do Grubbs catalysts trigger
biological effects?
PMID- 21901836
TI - Peculiarities of beta-pinene autoxidation.
AB - The thermal oxidation of the renewable olefin beta-pinene with molecular oxygen
was experimentally and computationally investigated. Peroxyl radicals abstract
weakly bonded allylic hydrogen atoms from the substrate, yielding allylic
hydroperoxides (i.e., myrtenyl and pinocarvyl hydroperoxide). In addition,
peroxyl radicals add to the C=C bond of the substrate to form an epoxide. It was
found that a relatively high peroxyl radical concentration, together with the
high rate of peroxyl cross-reactions, make radical-radical reactions surprisingly
important for this particular substrate. Approximately 60 % of these peroxyl
cross-reactions lead to termination (radical destruction), keeping a radical
chain length of approximately 4 at 10 % conversion. Numerical simulation of the
reaction-based on the proposed reaction mechanism and known or predicted rate
constants-demonstrate the importance of peroxyl cross-reactions for the formation
of alkoxyl radicals, which are the precursor of alcohol and ketone products.
PMID- 21901838
TI - Sexual differentiation and the neuroendocrine hypothesis of autism.
AB - The phenotypic expression of autism spectrum disorders varies widely in severity
and characteristics and it is, therefore, likely that a number of etiological
factors are involved. However, one finding which has been found consistently is
that there is a greater incidence of autism in boys than girls. Recently,
attention has been given to the extreme male hypothesis-that is that autism
behaviors are an extreme form of typical male behaviors, including lack of
empathy and language deficits but an increase in so-called systemizing behaviors,
such as attention to detail and collecting. This points to the possibility that
an alteration during sexual differentiation of the brain may occur in autism.
During sexual differentiation of the brain, two brain regions are highly sexually
dimorphic-the amygdala and the hypothalamus. Both of these regions are also
implicated in the neuroendocrine hypothesis of autism, wherein a balance between
oxytocin and cortisol may contribute to the disorder. We are thus proposing that
the extreme male hypothesis and the neuroendocrine hypothesis are in fact
compatible in that sexual differentiation of the brain towards an extreme male
phenotype would result in the neuroendocrine changes proposed in autism. We have
preliminary data, treating developing rat pups with the differentiating hormone
17-beta estradiol during a critical time and showing changes in social behaviors
and oxytocin, to support this hypothesis. Further studies should be undertaken to
confirm the role of extremes of normal sexual differentiation in producing the
neuroendocrine changes associated with autism.
PMID- 21901837
TI - Dystrophic serotonin axons in postmortem brains from young autism patients.
AB - Autism causes neuropathological changes in varied anatomical loci. A coherent
neural mechanism to explain the spectrum of autistic symptomatology has not been
proposed because most anatomical researchers focus on point-to-point functional
neural systems (e.g., auditory and social networks) rather than considering
global chemical neural systems. Serotonergic neurons have a global innervation
pattern. Disorders Research Program, AS073234, Program Project (JW). Their cell
bodies are found in the midbrain but they project their axons throughout the
neural axis beginning in the fetal brain. This global system is implicated in
autism by animal models and by biochemical, imaging, pharmacological, and
genetics studies. However, no anatomical studies of the 5-HT innervation of
autistic donors have been reported. Our review presents immunocytochemical
evidence of an increase in 5-HT axons in postmortem brain tissue from autism
donors aged 2.8-29 years relative to controls. This increase is observed in the
principle ascending fiber bundles of the medial and lateral forebrain bundles,
and in the innervation density of the amygdala and the piriform, superior
temporal, and parahippocampal cortices. In autistic donors 8 years of age and up,
several types of dystrophic 5-HT axons were seen in the termination fields. One
class of these dystrophic axons, the thick heavily stained axons, was not seen in
the brains of patients with neurodegenerative diseases. These findings provide
morphological evidence for the involvement of serotonin neurons in the early
etiology of autism, and suggest new therapies may be effective to blunt
serotonin's trophic actions during early brain development in children.
PMID- 21901839
TI - Alterations in GABAergic biomarkers in the autism brain: research findings and
clinical implications.
AB - Autism is a pervasive developmental disorder characterized by repetitive
stereotyped behavior, social-emotional deficits, and delayed or absent language
abilities. There are known neuropathologies in the autism brain affecting limbic,
cerebellar, and cortical structures but the neurochemical profile of affected
individuals, revealed in postmortem tissue studies, is only recently emerging.
One major component that appears highly impacted in autism is the GABAergic
system. It is now apparent that there are widespread significant effects in many
distributed regions in the autism brain revealed by histochemical,
autoradiographic, and biochemical studies. The key synthesizing enzymes for GABA,
glutamic acid decarboxylase type 65 and 67 (GAD65 and GAD67), are decreased in
the cerebellum and closer examination of mRNA levels revealed that it is largely
due to decreases in Purkinje cells and a subpopulation of larger dentate neurons
as measured by in situ hybridization studies. Other cell types had either normal
GAD levels (Golgi cells, smaller dentate interneurons, and stellate cells) or
increased levels (basket cells). GABA receptor density, number, and protein
expression are all decreased in the cerebellum and in select cortical areas.
GABA(A) and GABA(B) subunit protein expression was significantly reduced in
cerebellum, BA 9 and BA 40. Benzodiazepine binding sites were significantly
reduced in the hippocampus and anterior cingulate cortex (BA 24). Taken together,
data from these studies suggest that there is a marked dysregulation of the
inhibitory GABA system in the autism brain affecting particular biomarkers
localized to specific cell types and lamina likely influencing circuitry and
behavior.
PMID- 21901840
TI - Metabotropic glutamate receptor 5 upregulation in children with autism is
associated with underexpression of both Fragile X mental retardation protein and
GABAA receptor beta 3 in adults with autism.
AB - Recent work has demonstrated the impact of dysfunction of the GABAergic signaling
system in brain and the resultant behavioral pathologies in subjects with autism.
In animal models, altered expression of Fragile X mental retardation protein
(FMRP) has been linked to downregulation of GABA receptors. Interestingly, the
autistic phenotype is also observed in individuals with Fragile X syndrome. This
study was undertaken to test previous theories relating abnormalities in levels
of FMRP to GABA(A) receptor underexpression. We observed a significant reduction
in levels of FMRP in the vermis of adults with autism. Additionally, we found
that levels of metabotropic glutamate receptor 5 (mGluR5) protein were
significantly increased in vermis of children with autism versus age and
postmortem interval matched controls. There was also a significant decrease in
level of GABA(A) receptor beta 3 (GABRbeta3) protein in vermis of adult subjects
with autism. Finally, we found significant increases in glial fibrillary acidic
protein in vermis of both children and adults with autism when compared with
controls. Taken together, our results provide further evidence that altered FMRP
expression and increased mGluR5 protein production potentially lead to altered
expression of GABA(A) receptors.
PMID- 21901841
TI - Altered expression of tyrosine hydroxylase in the locus coeruleus noradrenergic
system in citalopram neonatally exposed rats and monoamine oxidase a knock out
mice.
AB - In rodents, noradrenergic (NE) locus coeruleus (LC) neurons are well known to
express tyrosine hydroxylase (TH) immunoreactivity. However, due to its very low
enzyme activity, NE cortical fibers do not typically express TH immunoreactivity,
thus dopamine-beta-hydroxylase (DBH) immunoreactivity is commonly utilized as a
marker for NE cortical fibers. In this study, we performed double and/or triple
immunofluorescent staining using antibodies against TH, DBH, and/or
norepinephrine transporter (NET) to investigate the altered NE TH expression of
cortical fibers in citalopram (CTM)-exposed rats and monoamine oxidase (MAO) A
knock out (KO) mice. We have noted the following novel findings: (1) neonatal
exposure to the selective serotonin reuptake inhibitor (SSRI) CTM enhanced NE TH
immunoreactive fibers throughout the entire neocortex, and a few of them appeared
to be hypertrophic; (2) slightly enhanced NE cortical TH immunoreactive fibers
were also noted in MAO A KO mice, and many of them revealed varicosities compared
with the rather smooth NE cortical TH immunoreactive fibers in wild-type (WT)
mice; (3) LC dendrites of MAO A KO mice exhibited beaded morphology compared with
the smooth LC dendrites in WT mice. Our findings suggest that both genetic and
environmental factors during early development may play a critical role in the
regulation and proper function of NE TH expression in the neocortex.
PMID- 21901842
TI - Temporal and regional alterations in NMDA receptor expression in Mecp2-null mice.
AB - Our previous postmortem study of girls with Rett Syndrome (RTT), a development
disorder caused by MECP2 mutations, found increases in the density of N-Methyl-D
aspartate (NMDA) receptors in the prefrontal cortex of 2-8-year-old girls,
whereas girls older than 10 years had reductions in NMDA receptors compared with
age-matched controls (Blue et al., Ann Neurol 1999b;45:541-545). Using [(3)H]-CGP
to label NMDA-type glutamate receptors in 2- and 7-week old wild-type (WT), Mecp2
null, and Mecp2-heterozygous (HET) mice (Bird model), we found that frontal areas
of the brain also exhibited a bimodal pattern in NMDA expression, with increased
densities of NMDA receptors in Mecp2-null mice at 2 weeks of age but decreased
densities at 7 weeks of age. Visual cortex showed a similar pattern, while other
cortical regions only exhibited changes in NMDA receptor densities at 2 weeks
(retrosplenial granular) or 7 weeks (somatosensory). In thalamus of null mice,
NMDA receptors were increased at 2 and 7 weeks. No significant differences in
density were found between HET and WT mice at both ages. Western blots for NMDAR1
expression in frontal brain showed higher levels of expression in Mecp2-null mice
at 2 weeks of age but not at 1 or 7 weeks of age. Our mouse data support the
notion that deficient MeCP2 function is the primary cause of the NMDA receptor
changes we observed in RTT. Furthermore, the findings of regional and temporal
differences in NMDA expression illustrate the importance of age and brain region
in evaluating different genotypes of mice.
PMID- 21901843
TI - Development of the skull of the pantropical spotted dolphin (Stenella attenuata).
AB - We describe the bony and cartilaginous structures of five fetal skulls of
Stenella attenuata (pantropical spotted dolphin) specimens. The specimens
represent early fetal life as suggested by the presence of rostral tactile hairs
and the beginnings of skin pigmentation. These specimens exhibit the
developmental order of ossification of the intramembranous and endochondral
elements of the cranium as well as the functional and morphological development
of specific cetacean anatomical adaptations. Detailed observations are presented
on telescoping, nasal anatomy, and middle ear anatomy. The development of the
middle ear ossicles, ectotympanic bone, and median nasal cartilage is of interest
because in the adult these structures are morphologically different from those in
land mammals. We follow specific cetacean morphological characteristics through
fetal development to provide insight into the form and function of the cetacean
body plan. Combining these data with fossil evidence, it is possible to overlie
ontogenetic patterns and discern evolutionary patterns of the cetacean skull.
PMID- 21901845
TI - Special issue: new concepts in developing brain disorders-autism.
PMID- 21901844
TI - Experimental strategies for investigating psychostimulant drug actions and
prefrontal cortical function in ADHD and related attention disorders.
AB - Amphetamine-like psychostimulant drugs have been used for decades to treat a
variety of clinical conditions. Methylphenidate (MPH)-Ritalin(R) , a compound
that blocks reuptake of synaptically released norepinephrine (NE) and dopamine
(DA) in the brain, has been used for more than 30 years in low dose, long-term
regimens to treat attention deficit-hyperactive disorder (ADHD) in juveniles,
adolescents, and adults. Now, these agents are also becoming increasingly popular
among healthy individuals from all walks of life (e.g., military, students) and
age groups (teenagers thru senior citizens) to promote wakefulness and improve
attention. Although there is agreement regarding the primary biochemical action
of MPH, the physiological basis for its efficacy in normal individuals and ADHD
patients is lacking. Study of the behavioral and physiological actions of
clinically and behaviorally relevant doses of MPH in normal animals provides an
opportunity to explore the role of catecholamine transmitters in prefrontal
cortical function and attentional processes as they relate to normal operation of
brain circuits and ADHD pathology. The goal of ongoing studies has been to: (1)
assess the effects of low dose MPH on rodent performance in a well characterized
sensory-guided sustained attention task, (2) examine the effects of the same low
dose chronic MPH administration on task-related discharge of prefrontal cortical
(PFC) neurons, and (3) investigate the effects of NE and DA on membrane response
properties and synaptic transmission in identified subsets of PFC neurons.
Combinations of these approaches can be used in adolescent, adult, and aged
animals to identify the parameters of cell and neural circuit function that are
regulated by MPH and to establish an overarching explanation of how MPH impacts
PFC operations from cellular through behavioral functional domains.
PMID- 21901846
TI - Insights into coronary artery development in model of maternal protein
restriction in mice.
AB - Programming of fetal development is considered to be an important risk factor for
noncommunicable diseases of adulthood, including coronary heart disease (CHD).
Aiming to investigate the association between maternal nutrition and the
development of the coronary arteries (CAs) in staged mice embryos, C57BL/6 mice
embryos from Stages 16 to 23 were taken from mothers fed a normal protein (NP) or
low protein (LP) diet, and the CA were studied. Although the LP embryos had lower
masses, they had faster heart growth rates when compared with the NP embryos. The
subepicardial plexuses were observed earlier in the NP embryos (Stage 20) than in
the LP ones (Stage 22; P < 0.01). Apoptotic nuclei were seen around the aortic
peritruncal ring beginning at Stage 18 in the NP and LP embryos. FLK1(+) (fetal
liver kinase 1 = VEGF-r2 or vascular endothelial growth factor receptor 2) cells
had a homogeneous distribution in the NP embryos as early as Stage 18, whereas a
similar distribution in the LP embryos was only seen at Stages 22 and 23.
Maternal protein restriction in mice leads to a delay in the growth of the heart
in the embryonic period modifying the development of the subepicardial
peritruncal plexus and the apoptosis in the future coronary orifice region.
PMID- 21901847
TI - Distribution and ultrastructure of afferent fibers in the parietal peritoneum of
the rat.
AB - The distribution and the ultrastructure of afferent fibers innervating the
parietal peritoneum in the rat was studied with immunohistochemistry using an
antiserum against the neuronal marker protein gene product 9.5. The
immunoreactive fibers were distributed throughout the peritoneum. They generally
ran straight and parallel to the intercostal nerves running in the abdominal
muscles underlying the peritoneum. They sometimes branched and terminated by
forming club-like endings. The number of nerve endings on the peritoneal surface
was 3.25 +/- 1.66 mm(-2) . Electron microscopic observations revealed both
unmyelinated and myelinated nerve fibers. The unmyelinated fibers were thin and
about 1 MUm in diameter. Their endings formed slight swellings located just
inside the peritoneal cell layer. The myelinated fibers often formed a bundle
that was composed of two or three nerve fibers. Each myelinated fiber kept in
contact with a Shwann cell and projected toward the peritoneal cavity. Finally,
they penetrated the peritoneal cell layer to reach the peritoneal cavity. These
fibers then made contacts with the peritoneal cells and became free from the
myelin sheath. The ending had a club-like shape covered with collagen fibers, and
contained many neurofilaments, a few mitochondria, but no synaptic vesicles.
These results suggest that since the sensory endings are exposed at the
peritoneal cavity, the sensory fibers are highly sensitive to somatic or
nociceptive stimuli.
PMID- 21901848
TI - Jaw adductor muscles across lepidosaurs: a reappraisal.
AB - The exact homologies of tetrapod jaw muscles remain unresolved, and this provides
a barrier for phylogenetic analysis and tracing character evolution. Here,
lepidosaur jaw muscles are surveyed using direct examination of species from 23
families and published descriptions of species from 10 families. A revised
nomenclature is applied according to proposed homologies with Latimeria. Among
lepidosaurs, variation was found in many aspects of jaw muscle anatomy. The
superficial layers mm. levator and retractor anguli oris (LAO and RAO) are
present in Sphenodon but not all squamates. The external jaw adductor muscles
universally present in lepidosaurs are homologous with the main adductor muscle,
A2, of Latimeria and include four layers: superficialis (A2-SUP), medialis (A2
M), profundus (A2-PRO), and posterior (A2-PVM). The A2-SUP appears divided in
Agamidae, Gekkota, Xantusiidae, and Varanidae. The A2-M is layered lateromedial
in lizards but anteroposterior in snakes. The names pseudotemporalis (PS) and
pterygomandibularis (PTM) are recommended for subdivisions of the internal
adductors of reptiles and amphibians, because the homology of this muscle with
the A3' and A3 " of Latimeria remains inconclusive. The intramandibularis of
lepidosaurs and Latimeria (A-omega) are homologous. The distribution of six jaw
muscle characters was found to plot more parsimoniously on phylogenies based on
morphological rather than and molecular data. Character mapping indicates that
Squamata presents reduction in the divisions of the A2-M, Scincoidea presents
reduction or loss of LAO, and two apomorphic features are found for the Gekkota.
PMID- 21901849
TI - Methylamphetamine synthesis: does an alteration in synthesis conditions affect
the delta(13) C, delta(15) N and delta(2) H stable isotope ratio values of the
product?
AB - Conventional chemical profiling of methylamphetamine has long been employed by
national forensic laboratories to determine the synthetic route and where
possible the precursor chemicals used in its manufacture. This laboratory has
been studying the use of stable isotope ratio mass spectrometry (IRMS) analysis
as a complementary technique to conventional chemical profiling of fully
synthetic illicit drugs such as methylamphetamine. As part of these
investigations the stable carbon (delta(13) C), nitrogen (delta(15) N), and
hydrogen (delta(2) H) isotope values in the precursor chemicals of ephedrine and
pseudoephedrine and the resulting methylamphetamine end-products have been
measured to determine the synthetic origins of methylamphetamine. In this study,
results are presented for delta(13) C, delta(15) N, and delta(2) H values in
methylamphetamine synthesized from ephedrine and pseudoephedrine by two synthetic
routes with varying experimental parameters. It was demonstrated that varying
parameters, such as stoichiometry, reaction temperature, reaction time, and
reaction pressure, had no effect on the delta(13) C, delta(15) N, and delta(2) H
isotope values of the final methylamphetamine product, within measurement
uncertainty. Therefore the value of the IRMS technique in identifying the
synthetic origin of precursors, such as ephedrine and pseudoephedrine, is not
compromised by the potential variation in synthetic method that is expected from
one batch to the next, especially in clandestine laboratories where manufacture
can occur without stringent quality control of reactions.
PMID- 21901851
TI - Autoimmune polyendocrine syndrome type 1: Utility of KCNRG autoantibodies as a
marker of active pulmonary disease and successful treatment with rituximab.
AB - Autoimmune polyendocrine syndrome type 1 (APS-1), also known as Autoimmune
Polyendocrinopathy Candidiasis and Ectodermal Dysplasia (APECD) is a disorder
caused by mutations in the autoimmune regulator (AIRE) gene. In some APS-1
patients, significant pulmonary disease is observed. Autoantibodies directed
against the potassium channel regulatory protein (KCNRG), found in epithelial
cells of terminal bronchioles, have been suggested as a marker for pulmonary
disease in APS-1 patients. We report two patients with APS-1; one with and one
without lung disease. Patient 1 had multiple admissions for pneumonia and
respiratory insufficiency, required non-invasive ventilation, and had findings of
bronchiectasis on thoracic imaging and significant lymphocytic infiltrates of the
airways on lung biopsy. To verify the autoimmune cause of pulmonary symptoms APS
1 patients, both were tested in a blinded manner for the presence of
autoantibodies to KCNRG in serum. We found that only Patient 1 had autoantibodies
present. Additionally, Patient 1 had progressive disease despite treatment with
several immunomodulating agents, including corticosteroids, azathioprine, and
mycophenolate. Patient 1 had a lung biopsy performed which was consistent with B
cell lymphocytic aggregates. Rituximab treatment was initiated with apparent good
response. This report illustrates the practical use of KCNRG autoantibodies to
identify APS-1 patients with pulmonary risk and the successful use of the
monoclonal antibody, Rituximab, to treat pulmonary disease in APS-1 patients.
PMID- 21901854
TI - Inpatient healthcare trends among adult cystic fibrosis patients in the U.S.
AB - BACKGROUND: Adult cystic fibrosis (CF) patients are an expanding cohort that is
taken care of in a variety of hospital settings including adult centers located
within pediatric institutions. This study compared costs and discharge rates
among adult CF patient hospitalizations in terms of location of hospitalization.
METHODS: The 2007 Nationwide Inpatient Sample was utilized to identify adult CF
patient admission data on patients aged 18-44. Data were separated into pediatric
and adult facilities based on percentage discharge rate for patients >18. Primary
outcomes measures were length of stay (LOS) and total hospital charges. Secondary
predictors were geographic, primary payer, and co-morbidity effects on LOS and
total hospital charges. RESULTS: LOS was higher for adult CF patient admissions
in pediatric facilities compared to adult facilities by a mean of 2.5 days. Mean
total hospital charges were not significantly different. Adult hospitals in the
Western U.S. had a mean total charge more than $50,000 greater than any region in
the U.S. Self-pay patients had significantly fewer hospital days and charges
across all hospital types. Adult facilities had 7% more CF patients discharged
home with home healthcare use. Depressed CF patients had longer LOS by 1.5 days
regardless of facility type. CONCLUSIONS: LOS for adult CF inpatient admissions
was significantly lower in adult facilities compared to pediatric facilities
without a significant difference in hospital charges and is influenced by
geographic hospital location. Depressed patients had longer lengths of stay
regardless of facility type. Self-insured adult CF patients have a significant
reduction in LOS and hospital charges when compared to all other payers
regardless of hospital type.
PMID- 21901855
TI - Hospital readmissions for newly discharged pediatric home mechanical ventilation
patients.
AB - BACKGROUND: Ventilator-dependent children have complex chronic conditions that
put them at risk for acute illness and repeated hospitalizations. OBJECTIVES: To
determine the 12-month incidence of and risk factors for non-elective readmission
in children with chronic respiratory failure (CRF) after initiation on home
mechanical ventilation (HMV) via tracheostomy. METHODS: A retrospective cohort
study of 109 HMV patients initiated and followed at an university-affiliated
children's hospital between 2003 and 2009. Patient characteristics are presented
using descriptive statistics; generalized estimated equations are used to
estimate adjusted odds ratios of select predictor variables for readmission.
RESULTS: The 12-month incidence of non-elective readmission was 40%. Close to
half of these readmissions occurred within the first 3 months post-index
discharge. Pneumonia and tracheitis were the most common reasons for readmission;
64% were pulmonary- or tracheostomy-related. Most demographic and clinical
patient characteristics were not statistically associated with non-elective
readmissions. Although, a change in the child's management within 7 days before
discharge was associated readmissions shortly after index discharge. CONCLUSION:
Non-elective readmissions of newly initiated pediatric HMV patients were common
and likely multifactorial. Many of these readmissions were airway-related, and
some may have been potentially preventable.
PMID- 21901856
TI - Evolution of surfactant protein-D levels in children with ventilator-associated
pneumonia.
AB - RATIONALE: The pathobiology of ventilator-associated pneumonia (VAP) in children
is poorly understood; investigation has been limited by lack of universally
applied diagnostic criteria and reliable biomarkers for this condition.
OBJECTIVES: We evaluated the clinical pulmonary infection score (CPIS) in
diagnosing VAP and prospectively characterized the relationship between
surfactant protein-D (SP-D) metabolism and VAP. METHODS: Children admitted to an
Egyptian PICU requiring intubation were screened for the absence of primary
pulmonary pathology. Thirty-nine children underwent two evaluations: during the
first 36 hr following intubation and after 4 days of mechanical ventilation.
During both, bronchoalveolar lavage fluid (BALF) was obtained for culture and SP
D assay. CPIS was computed during the second evaluation. RESULTS: Optimum
performance of the CPIS against BALF culture occurred at a cutoff value of 6,
(ROC AUC of 0.89 +/- 0.05). Children who developed VAP had significantly higher
SP-D levels, both preceding (129.9 +/- 33.5 ng/ml at the 1st BAL)-and following
positive BALF culture (249.5 +/- 51.2 ng/ml at the 2nd BAL), compared to children
whose BALF remained sterile (62.6 +/- 18.1 ng/ml and 64.9 +/- 9.4 ng/ml; P <
0.001). This increase in SP-D levels was most evident in children infected with
Pseudomonas aeruginosa compared to children with Klebsiella pneumonia or S.
aureus. CONCLUSIONS: The CPIS performed well against BALF culture. We observed a
bacterial species-specific difference in SP-D levels in children who developed
VAP; this change preceded detection of infection by CPIS or BALF culture.
PMID- 21901857
TI - Clinical predictors of nasal continuous positive airway pressure requirement in
acute bronchiolitis.
AB - INTRODUCTION: There is growing use of nasal continuous positive airway pressure
ventilation (nCPAP) for infants with bronchiolitis, based on clinical assessment
of severity. Despite this there have been no studies which identify clinical
predictors for the requirement of nCPAP. OBJECTIVE: To identify clinical factors
in infants with acute bronchiolitis in the emergency department (ED), which might
predict a requirement for nCPAP following admission. MATERIALS AND METHODS:
Retrospective review of pediatric ED case notes was conducted on bronchiolitis
admissions to one dedicated Paediatric ED over a 12-month period. Potential
predictors were identified through literature review. Data extraction of
predictors was carried out and recorded for each case. Logistic regression was
conducted for each variable to identify statistically significant predictors of
nCPAP requirement. RESULTS: Twenty-eight (17%) of the 163 admitted infants
received nCPAP. The strongest predictors of nCPAP requirement in were as follows:
oxygen requirement within the ED (P < 0.001), lower oxygen saturation (P <
0.001), younger age at presentation (P = 0.002), higher respiratory rate (P =
0.002), higher heart rate (P = 0.003), lower Glasgow Coma Scale score (0.006),
and younger gestational age (P = 0.024). CONCLUSION: We have identified clinical
variables that were predictive of nCPAP requirement in infants admitted to our
unit with bronchiolitis, oxygen requirement in the ED being the strongest single
predictor. This is the first such study in the UK, and we hope it may be a
starting point for further work that may provide an evidence base to aid
clinicians in predicting the use of nCPAP in infants with bronchiolitis.
PMID- 21901858
TI - Lower airway microbiology and cellularity in children with newly diagnosed non-CF
bronchiectasis.
AB - BACKGROUND: Infection and inflammation are important in the pathogenesis of
bronchiectasis. However, there are few published data describing the lower airway
microbiology and cellularity in children. METHODS: Children with non-cystic
fibrosis (CF) bronchiectasis who underwent bronchoalveolar lavage (BAL) within 4
weeks of diagnosis were identified by a retrospective patient-record review. The
effects of infection (>=10(5) colony-forming units of respiratory bacteria/ml; or
detectable Pseudomonas aeruginosa; mycobacteria, fungi, mycoplasma, or
respiratory viruses) on airway cellularity and the impact of age, gender,
indigenous status, immune function, radiographic involvement and antibiotic usage
on infection risk were evaluated. RESULTS: Of 113 children [median age 63 months
(IQR 32-95)] with newly diagnosed bronchiectasis, 77 (68%) had positive BAL
cultures for respiratory bacterial pathogens. Haemophilus influenzae was most
commonly detected, being present in 53 (47%) BAL specimens. P. aeruginosa was
found in just 7 (6%) children, five of whom had an underlying disorder, while
mycobacterial and fungal species were not detected. Respiratory viruses were
identified in 14 (12%) children and Mycoplasma pneumoniae in two others. Overall,
56 (49.5%) children fulfilled our definition of a lower airway infection and of
these, 35 (63%) had more than one pathogen present. Compared to children without
infection, children with infection had higher total cell counts (610 vs. 280 *
10(6) /L), neutrophil counts (351 vs. 70 * 10(6) /L), and neutrophil percentages
(69% vs. 34%). Age at diagnosis was most strongly associated with infection.
CONCLUSIONS: BAL microbiology of children with newly diagnosed bronchiectasis is
dominated by H. influenzae. In the absence of CF, isolation of P. aeruginosa may
suggest a serious co-morbidity in this group. Airway neutrophilia is common,
especially with higher bacterial loads.
PMID- 21901859
TI - Infection with multiple viruses is not associated with increased disease severity
in children with bronchiolitis.
AB - BACKGROUND: The clinical relevance of parallel detection of multiple viruses by
real-time polymerase chain reaction (RT-PCR) remains unclear. This study
evaluated the association between the detection of multiple viruses by RT-PCR and
disease severity in children with bronchiolitis. METHODS: Children less than 2
years of age with clinical symptoms of bronchiolitis were prospectively included
during three winter seasons. Patients were categorized in three groups based on
disease severity; mild (no supportive treatment), moderate (supplemental oxygen
and/or nasogastric feeding), and severe (mechanical ventilation). Multiplex RT
PCR of 15 respiratory viruses was performed on nasopharyngeal aspirates. RESULTS:
In total, 142 samples were obtained. Respiratory Syncytial virus (RSV) was the
most commonly detected virus (73%) followed by rhinovirus (RV) (30%). In 58
samples (41%) more than one virus was detected, of which 41% was a dual infection
with RSV and RV. In RSV infected children younger than 3 months, disease severity
was not associated with the number of detected viruses. Remarkably, in children
older than 3 months we found an association between more severe disease and RSV
mono-infections. CONCLUSION: Disease severity in children with bronchiolitis is
not associated with infection by multiple viruses. We conclude that other
factors, such as age, contribute to disease severity to a larger extent.
PMID- 21901860
TI - Ventilation homogeneity improves with growth early in life.
AB - Some studies have suggested that lung clearance index (LCI) is age-independent
among healthy subjects early in life, which implies that ventilation distribution
does not vary with growth. However, other studies of older children and
adolescents suggest that ventilation becomes more homogenous with somatic growth.
We describe a new technique to obtain multiple breath washout (MBWO) in sedated
infants and toddlers using slow augmented inflation breaths that yields an
assessment of LCI and the slope of phase III, which is another index of
ventilation inhomogeneity. We evaluated whether ventilation becomes more
homogenous with increasing age early in life, and whether infants with chronic
lung disease of infancy (CLDI) have increased ventilation inhomogeneity relative
to full-term controls (FT). FT (N = 28) and CLDI (N = 22) subjects between 3 and
28 months corrected-age were evaluated. LCI decreased with increasing age;
however, there was no significant difference between the two groups (9.3 vs. 9.5;
P = 0.56). Phase III slopes adjusted for expired volume (S(ND)) increased with
increasing breath number during the washout and decreased with increasing age.
There was no significant difference in S(ND) between full-term and CLDI subjects
(211 vs. 218; P = 0.77). Our findings indicate that ventilation becomes more
homogenous with lung growth and maturation early in life; however, there is no
evidence that ventilation inhomogeneity is a significant component of the
pulmonary pathophysiology of CLDI.
PMID- 21901861
TI - Respiratory effects associated with wood fuel use: a cross-sectional biomarker
study among adolescents.
AB - The use of wood as heating and cooking fuel can result in elevated levels of
indoor air pollution, but to what extent this is related to respiratory diseases
and allergies is still inconclusive. Here, we report a cross-sectional study
among 744 school adolescents (median age 15 years) using as main outcomes
respiratory symptoms and diseases, exhaled nitric oxide, total and aeroallergen
specific IgE in serum, and two epithelial biomarkers in nasal lavage fluid (NALF)
or serum, that is, Clara cell protein (CC16) and surfactant-associated protein D
(SPD). Information about the wood fuel use and potential confounders was
collected via a personal interview of the adolescent and a questionnaire filled
out by the parents. Two approaches were used to limit the possible influence of
confounders, that is, multivariate analysis using the complete study population
or pairwise analysis of matched sub-populations obtained using an automated
procedure. Wood fuel use was associated with a decrease of CC16 and an increase
of SPD in serum, which resulted in a decreased serum CC16/SPD ratio (median -9%,
P = 0.001). No consistent differences were observed for the biomarkers measured
in exhaled breath or NALF. Wood fuel use was also associated with increased odds
for asthma [odds ratio (OR) 2.2, 95% CI: 1.1-4.4, P = 0.02], hay fever (OR = 2.4,
95% CI: 1.4-4.3, P = 0.002), and sensitization against pollen allergens (OR =
2.1, 95% CI: 1.3-3.4, P = 0.002). The risks of respiratory tract infections, self
reported symptoms, and sensitization against house-dust mite were not increased
by wood fuel use. The increased risks of asthma, hay fever and aeroallergen
sensitization, and the changes of lung-specific biomarkers consistently pointed
towards respiratory effects associated with the use of wood fuel.
PMID- 21901862
TI - Serial interferon-gamma release assay in children with latent tuberculosis
infection and children with tuberculosis.
AB - BACKGROUND: Interferon-gamma (IFN-gamma) release assay (IGRA) is used for
diagnosis of latent tuberculosis infection (LTBI), and for serial testing of
active tuberculosis (TB). The aim of this study was to evaluate the results of
IGRA for diagnosis and treatment monitoring of children with LTBI and children
with TB. IGRA was performed in BCG vaccinated children before and six months
after the beginning of treatment. METHODS: A total of 59 BCG vaccinated children
aged 4-18 years were investigated due to exposure to active TB. The participants
were divided into two groups: Group 1, children with LTBI (N = 41), and Group 2,
children with TB (N = 18). IGRA (QuantiFERON-TB Gold In-Tube) was performed
twice, i.e., before treatment and at the end of prophylaxis and therapy. RESULTS:
There was no significant difference in IFN-gamma concentrations between Group 1
and Group 2 subjects either before or after the treatment. Difference between pre
treatment and post-treatment IFN-gamma concentrations compared in either Group 1
or Group 2 was not statistically significant. During follow-up, children with
LTBI did not develop active TB. In addition, in children with TB, signs and
symptoms of TB improved with anti-TB therapy. CONCLUSION: This study showed that
the concentrations of IFN-gamma did not differ in children with LTBI and TB
either before or at the end of treatment. IGRA may remain positive over a long
period of time. It seems that IGRA is not useful for monitoring treatment of
children with LTBI and children with TB.
PMID- 21901863
TI - Use of a Plackett-Burman statistical design to determine the effect of selected
amino acids on monoclonal antibody production in CHO cells.
AB - Culture media design is central to the optimization of monoclonal antibody (mAb)
production. Although general strategies do not currently exist for optimization
of culture media, the combined use of statistical design and analysis of
experiments and strategies based on simple material balances can facilitate
culture media design. In this study, we evaluate the effect of selected amino
acids on the growth rate and monoclonal antibody production of a Chinese hamster
ovary DG-44 (CHO-DG44) cell line. These amino acids were selected based on their
relative mass fraction in the specific mAb produced in this study, their
consumption rate during bioreactor experiments, and also through a literature
review. A Plackett-Burman statistical design was conducted to minimize the number
of experiments needed to obtain statistically relevant information. The effect of
this set of amino acids was evaluated during exponential cell culture
(considering viable cell concentration and the specific growth rate as main
output variables) and during the high cell-density stage (considering mAb final
concentration and specific productivity as relevant output variables). For this
particular cell line, leucine (Leu) and arginine (Arg) had the highest negative
and positive effects on cell viability, respectively; Leu and threonine (Thr) had
the highest negative effect on growth rate, and valine (Val) and Arg demonstrated
the highest positive impact on mAb final concentration. Results suggest the
pertinence of a two-stage strategy for amino acid supplementation, with a mixture
optimized for cell growth and a different amino acid mixture for mAb production
at high density.
PMID- 21901870
TI - Haemosporidian vector research: marriage of molecular and microscopical
approaches is essential.
AB - Many species of malaria and related haemosporidian parasites (Haemosporida) are
responsible for diseases in wild and domestic animals. These pathogens are
exclusively transmitted by blood-sucking dipteran insects (Diptera). Traditional
vector studies, which are based mainly on experimental infection and subsequent
dissection of insects, are time-consuming, so progress in the identification of
the vectors has been slow. Since the discovery of haemosporidians in wildlife by
V. Danilewsky in 1884, it took over 70 years to determine the main vector groups
of these parasites. However, precise vector-parasite relationships remain
insufficiently investigated in wildlife, particularly at the species level of
haemosporidians and their vectors. Molecular tools have provided innovative
opportunities to speed such research. In this issue of Molecular Ecology,
Martinez-de la Puente et al. (2011) collected, for the first time, a significant
PCR-based set of data on the presence of lineages of the pigment-forming
haemosporidians (species of Haemoproteus and Plasmodium) in biting midges
(Culicoides). They identified numerous associations between Culicoides spp. and
Haemoproteus spp., indicating directions for future targeting vector studies of
haemoproteids.
PMID- 21901864
TI - The full spectrum of physiological oxygen tensions and step-changes in oxygen
tension affects the neural differentiation of mouse embryonic stem cells.
AB - The beneficial impact of lowering oxygen tension to physiological levels has been
demonstrated in a number of stem cell differentiation protocols. The majority of
these studies compare normal laboratory oxygen tension with one physiological
condition (typically 2-5% O(2) ). In this article, we investigated whether the
full spectrum of physiological oxygen tensions (0-20% O(2) ) and step-changes in
oxygen tension could enhance the production of neural populations from of
embryonic stem cells (ESCs). We used a model system for the conversion of mouse
ESCs into cells expressing one neuroectoderm stem cell marker (nestin) and two
neural markers (betaIII tubulin and microtubule-associated protein (MAP2)). 4-10%
O(2) was associated with large increases in the total production of viable cells
and the highest number of cells expressing Nestin, betaIII tubulin, and MAP2.
However, 4-10% O(2) also caused a reduction in the percentage of cells expressing
all three markers. Step changes in oxygen tension at the mid-point of the
differentiation process affected the total production of viable cells and the
percentage of cells expressing all three markers. We found that the initial
oxygen tension and the magnitude of the step-change were critical variables. A
step increase from 0 to 2% O(2) mid-way through the protocol resulted in the
highest percentage of cells expressing betaIII tubulin (86.5%). In conclusion, we
have demonstrated that the full spectrum of physiological oxygen tensions and
step changes in oxygen tension represent a powerful tool for the optimisation of
neural differentiation processes.
PMID- 21901871
TI - Co-infection and risk factors of tuberculosis in a Mexican HIV+ population.
AB - INTRODUCTION: The situation of tuberculosis (TB) is being modified by the human
immunodeficiency virus (HIV), which is increasing the occurrence of new cases and
the generation of drug resistant strains, affecting not only the people infected
with HIV, but also their close contacts and the general population, conforming a
serious public health concern.However, the magnitudes of the factors associated
to this co-infection differ considerably in relation to the population groups and
geographical areas. METHODS: In order to evaluate the prevalence and risk factors
for the co-infection of tuberculosis (TB) in a population with human
immunodeficiency virus (HIV+) in the Southeast of Mexico, we made the analysis of
clinical and epidemiological variables and the diagnosis of tuberculosis by
isolation of mycobacteria from respiratory samples. RESULTS: From the 147 HIV+
individuals analyzed, 12 were culture positive; this shows a prevalence of 8% for
the co-infection. The only variable found with statistical significance for the
co-infection was the number of CD4-T < 200 cells/mm3, OR 13(95%, CI 2-106 vs 12
109). CONCLUSIONS: To our knowledge this is the first report describing the
factors associated with tuberculosis co-infection with HIV in a population from
Southern Mexico. The low number of CD4 T-cells was the only variable associated
with the TB co-infection and the rest of the variables provide scenarios that
require specific and particular interventions for this population group.
PMID- 21901872
TI - Jonathan Rosand.
PMID- 21901873
TI - Community prevalence of methicillin and vancomycin resistant Staphylococcus
aureus in and around Bangalore, southern India.
AB - INTRODUCTION: Staphylococcus aureus is a known colonizer in humans and has been
implicated in community acquired soft tissue infections. However emergence of
methicillin resistant S. aureus(MRSA) has aroused great concern worldwide. This
study aimed to determine the prevalence of MRSA in the community of Bangalore,
southern India. METHODS: Swabs were collected from anterior nares, forearm,
dorsum and palm of the hands of 1,000 healthy individuals residing in and around
Bangalore, belonging to different socioeconomic strata and age groups. RESULTS:
Analysis verified that 22.5% and 16.6% of the individuals presented
Staphylococcus aureus and MRSA, respectively, at any of the three sites.
Vancomycin resistance was observed in 1.4% of the S. aureus isolates, which was
confirmed by detection of the vanA gene. It was interesting to note that 58.8% of
the children in the age group 1-5 years-old presented MRSA, the highest
percentage compared to other age groups of < 1 (44.4%) year-old, 5-20 (21.7%)
years-old, > 40(11%) years-old and 20-40 (9.9%) years-old. Among the population
of various socioeconomic strata, maximum MRSA colonization was observed among
doctors (22.2%), followed by upper economic class (18.8%), lower economic class
(17.7%), apparently healthy hospital in-patients (16.5%), nurses (16%) and middle
economic class (12.5%). Most of the MRSA isolates were capsular polysaccharide
antigen type 8 (57.1%). CONCLUSIONS: There is a need for continuous surveillance
and monitoring of the presence of MRSA in the community and a clearer
understanding of the dynamics of the spread of MRSA will assist in controlling
its dissemination.
PMID- 21901874
TI - Study of infection by Rickettsiae of the spotted fever group in humans and ticks
in an urban park located in the City of Londrina, State of Parana, Brazil.
AB - INTRODUCTION: Spotted fevers are emerging zoonoses caused by Rickettsia species
in the spotted fever group (SFG). Rickettsia rickettsii is the main etiologic
agent of Brazilian spotted fever (BSF)and it is transmitted by Amblyomma spp.
ticks. METHODS: The study aimed to investigate SFG rickettsiae in the Arthur
Thomas Municipal Park in Londrina, PR, by collecting free-living ticks and ticks
from capybaras and blood samples from personnel working in these areas. Samples
from A. dubitatum and A. cajennense were submitted for PCR in pools to analyze
the Rickettsia spp. gltA (citrate synthase gene). RESULTS: All the pools analyzed
were negative. Human sera were tested by indirect immunofluorescence assay with
R. rickettsii and R. parkeri as antigens.Among the 34 sera analyzed, seven
(20.6%) were reactive for R. rickettsii: four of these had endpoint titers equal
to 64, 2 titers were 128 and 1 titer was 256. None of the samples were reactive
for R. parkeri. An epidemiological questionnaire was applied to the park staff,
but no statistically significant associations were identified. CONCLUSIONS: The
serological studies suggest the presence of Rickettsiae related to SFG that could
be infecting the human population studied; however, analysis of the ticks
collected was unable to determine which species maybe involved in transmission to
humans.
PMID- 21901875
TI - In vitro differential activity of phospholipases and acid proteinases of clinical
isolates of Candida.
AB - INTRODUCTION: Candida yeasts are commensals; however, if the balance of normal
flora is disrupted or the immune defenses are compromised, Candida species can
cause disease manifestations. Several attributes contribute to the virulence and
pathogenicity of Candida, including the production of extracellular hydrolytic
enzymes, particularly phospholipase and proteinase. This study aimed to
investigate the in vitro activity of phospholipases and acid proteinases in
clinical isolates of Candida spp. METHODS: Eighty-two isolates from hospitalized
patients collected from various sites of origin were analyzed. Phospholipase
production was performed in egg yolk medium and the production of proteinase was
verified in a medium containing bovine serum albumin. The study was performed in
triplicate. RESULTS: Fifty-six (68.3%) of isolates tested were phospholipase
positive and 16 (44.4%) were positive for proteinase activity. C. tropicalis was
the species with the highest number of positive isolates for phospholipase
(91.7%). Statistically significant differences were observed in relation to
production of phospholipases among species(p<0,0001) and among the strains from
different sites of origin (p=0.014). Regarding the production of acid protease,
the isolates of C. parapsilosis tested presented a larger number of producers
(69.2%). Among the species analyzed, the percentage of protease producing
isolates did not differ statistically (chi2=1.9 p=0.5901 (chi2=1.9 p=0.5901).
CONCLUSIONS: The majority of C. non-albicans and all C. albicans isolates were
great producers of hydrolytic enzymes and,consequently, might be able to cause
infection under favorable conditions.
PMID- 21901876
TI - [On the issue of medical activity of patients of departmental polyclinic].
AB - The article deals with the analysis of results of the sociologic survey
concerning the medical activity of patient of departmental polyclinic. The
patients were investigated about organization of their early additional
dispanserization and the quality of provided preventive services and needs in
them.
PMID- 21901877
TI - [The medical care availability to ophthalmologic patients at various
organizational and legal forms of its rendering].
AB - The article deals with the issues of ophthalmologic medical care availability in
Tverskaya oblast. The comparative analysis is made of activities of medical
organizations of various forms of property in the field of ophthalmologic care.
The data of sociologic survey testifies the features of functioning and
availability of the ophthalmologic care system in Russian region. The data
permits to elicit the most urgent issues in this area and to determine the
possible directions of overcoming.
PMID- 21901878
TI - [The expertise of quality assessment of obstetric-gynecologic and neonatal care
at different stages of its delivery].
AB - The results of sociologic survey of obstetrician-gynecologists in various medical
organizations of Penzenskaya oblast are discussed. The issues of delivering
medical care to pregnant women, parturient women, gynecologic patients and
newborns are considered. The expertise is made concerning the quality of
secondary medical care at every stage of its delivering. The study demonstrated
that obstetric-gynecologic and neonatal care of higher quality is delivered in
perinatal center.
PMID- 21901879
TI - [The medical social care to pregnant women in the municipal maternity welfare
clinics].
AB - The article deals with issues of quality of medical social care based on the
analysis of expertise of 565 individual records of pregnant women four municipal
maternity welfare clinics of Makhachkala of the Republic of Dagestan. The
significant shortcomings were established. In 25.9% the pregnant women were
examined incompletely. The standards of pregnant women monitoring are not
implemented. The pre-delivery home nursing was not carried out in 15.3% and large
part of pregnant women was not registered timely. The treatment of pregnant women
with extra-genital diseases in 22.1% was applied untimely and in 14.4% was
inadequate. The guidelines were developed to enhance the quality of medical
social care to pregnant women.
PMID- 21901880
TI - [The principles of oncologic medical care provided to workers of mining and
smelting complex in city of Navoi].
AB - The article deals with the main results of study of morbidity, prevalence and
mortality of population in Navoi oblast of the Republic of Uzbekistan and Navoi
mining and smelting complex in 1992-2004. It is demonstrated that in Navoi oblast
the oncologic neoplasm morbidity took one of the first places in the Republic of
Uzbekistan due to the additional carcinogenic risk factors produced by mining and
smelting complex. The results of the first stage of the target comprehensive anti
oncologic program implemented in the mining and smelting complex in city of Navoi
permitted to elaborate the major directions of improvement of population
oncologic health, including more healthy and safe workplaces.
PMID- 21901881
TI - [Resistance to antiplatelet drugs in patients with cerebrovascular disorders].
AB - This review concerns clinical and laboratory resistance to antiplatelet drugs
(aspirin and clopidogrel) in patients with cerebrovascular disorders. Results of
certain clinical trials showed that laboratory resistance to antiaggregants is
associated with recurrent thromboembolic vascular events. The commonest causes of
aspirin resistance are production of arachidonic acid metabolites via the
lipoxygenase pathway, poor compliance with the treatment, polymorphism of the
genes encoding for cyclooxygenase and glycoprotein (GP) IIb/IIIa, endothelial
dysfunction. The causes of clopidogrel resistance include inadequate doses of the
drug, its low absorption, poor compliance with the treatment, polymorphism of ADP
receptors, GP IIb/IIIa and cytochrome P450 genes, acute coronary syndrome and
stroke, metabolic syndrome. Therapeutic efficacy of antiaggregants can be
improved by increasing their doses, using membranotropic agents, correcting
endothelial dysfunction, etc. Because the apparent variability of antiplatelet
drug resistance is currently due to the use of different test-systems by
different authors, the evaluation of individual sensitivity to a given drug
showing laboratory resistance and the choice of alternative therapy are thus far
possible only in the framework of clinical studies. Large-scale prospective
multicenter trials of antiplatelet drug resistance are needed along with research
for better understanding mechanisms of individual platelet sensitivity and
resistance to antiaggregants and developing efficacious methods for their
correction.
PMID- 21901882
TI - [Topical problems of the modern epidemiology (data for presentation at the all
Russian scientific conference "The problems of modern epidemiology. Perspective
means and methods for the laboratory diagnosis and prevention of urgent
infections")].
AB - The strategic goal of preserving the nation's health, reducing mortality rates,
and overcoming the demographic decline has been realized in Russia over the
recent years. To decrease the incidence of infectious diseases is a substantial
reserve for reducing mortality rates and increasing lifespan. The Russian
Federation is augmenting the interaction in the problem of infectious diseases
within the framework of the Shanghai Cooperation, CIS, EuroAsEC, etc. Our country
implements hundreds of regional programs on the sanitary-and-epidemiological well
being of the population every year. Considerable progress has been made in
reducing the incidence of infections controlled by specific preventive means. It
is essential to implement a national priority health project on mass additional
immunization against viral hepatitis B, measles, German measles, influenza, and
poliomyelitis, and on the prevention and treatment of HIV/AID and viral hepatitis
B and C.
PMID- 21901883
TI - [Biological, chemical, and radiation factors in the classification of medical
waste].
AB - The current classification of medical waste does not consider the sanitary-and
chemical hazard of epidemiologically dangerous and extremely dangerous medical
waste (classes B and C). According to the results of the studies performed, the
authors propose the improved classification of medical waste, which makes it
possible to take into account not only infectious, radiation, and toxicological,
but also sanitary-and-chemical hazards (toxicity, carcinogenicity, mutagenicity,
and biological activity) of medical waste.
PMID- 21901884
TI - [Morbidity among senior preschool children in Magnitogorsk].
AB - The publication is the third fragment of the multiparameter study. The level and
causes of genome instability and sensitivity are assessed in children living in
Magnitogorsk, a city with one of the largest mills in Russia. The city is in the
list of the world's 35 most polluted ones. A transverse retrospective analysis
found no differences in primary morbidity among 5-7-year-old children who had
been living in different districts of Magnitogorsk since birth and were going to
municipal kindergartens located adjacent to their house. Contrary to the
expectations, the prevalence of morbidity and diseases that were markers for an
industrial town was significantly lower among the children residing in the
settlements situated around the mills than that in the city's other districts.
There were 9 organic compounds that had no hygienic standards, the content of
which in the snow samples collected in the areas of the examined kindergartens
correlated with the prevalence of the children's morbidity. Family social and
living conditions were shown to affect the children's morbidity.
PMID- 21901885
TI - [Biosphere as a working space -- unity and the opposite].
AB - The paper gives examples of contradictions between the human working activities
and the work space and environment, which leads to disharmony in their relations
and calls for human considered decisions to prevent its anthropogenic impact on
the environment.
PMID- 21901886
TI - [The characteristics of morphofunctional status of rural schoolchildren].
AB - The authors made a complex evaluation of the physical development of 7-16-year
old rural schoolchildren from a large administrative territorial entity and
developed age-gender-related standards, by using the percentile technique. There
were significant morphofunctional differences between the urban and rural
schoolchildren at the present stage of a secular trend, which determines the
necessity of developing the physical development standards for rural children and
adolescents in order to correctly interpret the data on their health status.
PMID- 21901887
TI - [Determination of phthalic anhydride in ambient air by high performance liquid
chromatography].
AB - The paper considers the measurement of phthalic anhydride in the ambient air
samples by high performance liquid chromatography. It describes conditions for
air sampling and analysis of phthalic anhydride levels in the presence of
concomitant components of its production (phthalic and maleic acids, maleic
anhydride, etc.) on a liquid chromatograph with an UV detector. The procedure was
tested, by estimating the quality of ambient air at the border of a sanitary
protection zone of phthalic anhydride production and when analyzing the air in
the industrial area. Field studies detected the concentrations of phthalic
anhydrate in the air of an enterprise area, which were equal to 0.017-0.115
mg/dm3. Phthalic anhydride was detectable at a concentration of 0.001-0.0021
mg/dm3 at the border of the existing sanitary protection zone in single cases.
The procedure has been recommended to measure the mass concentrations of phthalic
anhydride aerosol and vapors in ambient air at the reference concentration.
PMID- 21901888
TI - [Professor V. A. Arnol'dov--a hygienist, scientist, university teacher, and
initiator of sanitation in Russia (on his 150th birthday)].
AB - The paper gives Professor V. A. Arnoldov's brief bibliographic data pertaining to
the development of sanitation and zemstvo medicine in Bashkiria, Tatarstan, and
the Saratov Province. It shows V. A. Arnoldov's scientific and pedagogical
potential in the development of preventive medicine in Russia.
PMID- 21901889
TI - [The pericytes, endothelium monolayer, collagen and elastin chains as the
elements of areolar tissue and the substrates of chemical reaction of
glyserization].
AB - The microangiopathy under hyperglycemia and diabetes develops only in the
microcirculation component of circulatory system. In this area considerable
amount of pericytes is concentrated. These cells contain myofibrils and in
circulatory mode envelop capillaries being situated on the outside of basilemma.
It is possible that in a phylogenetic sense this is the earliest functional unity
of endothelium monolayer as a pacemaker and pericytes as contractile elements
which are the earliest "propeller" because of implementing the function of
advancement of lymph, hemolymph and blood in capillaries. Probably, endothelium
and pericytes formed the first variation of peristaltic "pump" for the purpose of
blood advancement longwise of capillaries. Most probably, the state of distal
part of arterial race (muscular type arterioles) impact the parameters of
proximal part of arterial race (elastic type arterioles) and myocardium itself in
the same extent as the state of "pump" in capillaries, endothelium and pericytes
function impact the function of local peristaltic pumps (muscular type
arterioles) in paracrine cenosis. It is supposed that the pericytes are the
regulators of physical, hydraulic factor of activation of biologic reaction of
transcitosis--excretion of nutrients and humoral mediators from capillaries to
the pool of intercellular medium to perform the biologic function of homeostasis.
Hyperglycemia, glycotoxins formation, bivalent substances (glyoxal,
methilglyoxal, malonic dialdehyde) reacting simultaneously by both ends of
molecule result in formation within collagen of areolar tissue of short
transversal cross-links (glycosylation end product) which significantly increase
rigidity (hardness) of capillary wall. In these conditions, myofibrils of
pericytes no longer form directed deformation of capillary wall to effect
peristalsis and advancement of hemolymph (blood later on) along capillaries
according the synthesis of monolayer endothelium NO as a dilatation factor. This
is the cause of blood circulation disturbance on the level of exchange
capillaries and formation of chronic hypoxemia resulting in the only increase of
rate of glycosylation chemical reaction. The microangiopathy is formed in the
cells and tissues in an integrated pool of intercellular medium and never occurs
in the cerebrospinal fluid pool where no hyperglycemia develops.
PMID- 21901890
TI - [The detection of oxidation-modified lipoproteins and their antibodies in case of
complicated course of myocardial infarction with segment ST boost].
AB - According contemporary conceptions, the key role in the development of
atherosclerosis play the low density oxidative modified lipoproteins and its
antibodies. The purpose of the study was to discover the characteristics of
changes in lipid metabolism indicators, including the low density oxidative
modified lipoproteins and their antibodies. The factors of oxidative status in
patients with cardiac infarction with complicated and non-complicated course were
considered. It is established that the development of cardiac infarction is
followed the increase of concentration of low density oxidative modified
lipoproteins and their antibodies both during the first day after acute pain
syndrome and stabilization period. The evaluation of content of low density
oxidative modified lipoproteins and their antibodies broaden the possibilities of
diagnostics, prognosis and decrease of risk of development both acute and
recurrent coronary events.
PMID- 21901891
TI - [The laboratory evidences of chronic disease impact on the development of anemia
under female reproductive system pathology].
AB - The study sample included 170 patients with gynecological diseases, 5 patients
with persistent anemia due to systematic blood disorder, 14 healthy patients. The
decrease of hemoglobin level lower than 110 g/l is established in 25.7%
gynecologic patients and most frequently in those with hysteromyoma. The study
revealed that anemia developed due to the female reproductive system disorders
and independently of clinically marked loss of blood is an. The biologic
characteristic of anemia is the decrease of erythrocytes production under certain
diseases against the background of inadequate production of endogenic
erythropoietin. The effectiveness of treatment of iron-deficiency anemia is less
under decrease of amount of erythrokaryocytes in bone marrow.
PMID- 21901892
TI - [The aggregation capacity of thrombocytes, lymphocytic thrombocytic adhesion and
content of D-dimers in patients with acute acetic acid intoxication].
AB - In patients with acute acetic acid intoxication the diminution of degree and
velocity of thrombocytes aggregation against the background of their amount
attenuation in peripheral blood is established. The significant augmentation of
amount of lymphocytic thrombocytic complexes and -dimers concentration in blood
is revealed. The most marked alterations are determined on first and second days
in patients with severe degree of intoxication.
PMID- 21901893
TI - [The new approach in diagnostics of systemic lupus erythematosus: the
immunoagglutination of collaurin].
AB - The data is presented concerning the implementation of nano-particles of aurum in
the diagnostics of systemic lupus erythematosus. It is demonstrated that nano
particles sensibilized by deoxyribonucleoprotein are able to detect the specific
antibodies--the known markers of systemic lupus erythematosus. To optimize the
reaction various approaches were applied. The comparative analysis was made
including latex-agglutination technique, immune-enzyme analysis and fluorescence
immunoassay. It is demonstrated that the given system is characterized by very
high sensibility to determine the specific antibodies and hence can be
effectively applied in diagnostics process.
PMID- 21901894
TI - [Do not let go of our values as caregivers].
PMID- 21901895
TI - [The Alzheimer Plan, three years since implementation].
PMID- 21901896
TI - [Heart valve diseases, from physiology to diagnosis].
PMID- 21901897
TI - [Has the World Health Organization lost its independence, its relevance, is it
too inefficient? ].
PMID- 21901898
TI - Bromeliad population genetics reveals species cohesion against the odds.
PMID- 21901899
TI - Women, microcredit and family planning practices: a case study from rural Ghana.
AB - This paper examines the influence of informal banking club participation on
family planning practices in rural Ghana. Research from Asia suggests that family
planning practices are improved by club participation. This study examines this
thesis in an African context, using rural Ghana as a case study. A sample of 204
women (19 years and older) was drawn from Abokobi village, Ghana. Multivariate
analyses of direct, mediating and moderating effects of women's demographic
background characteristics, membership status and length, and women's empowerment
status as predictors of family planning practices are assessed. Findings suggest
that club membership and membership length is not associated with family planning
practices; however, age, education level, number of children and empowerment
status are.
PMID- 21901901
TI - "What we need is a crop ecologist": ecology and agricultural science in
Progressive-era America.
AB - Though they are often seen as foils for each other, ecology and agricultural
science co-evolved. With shared roots in late nineteenth-century botany,
ecologists and agronomists fostered important connections during the Progressive
era that have been largely overlooked despite a number of finely nuanced studies
of ecology's origins. But if 'applied ecology' once effectively meant
agriculture, over the course of the first decades of the twentieth century the
relationship between ecology and scientific agriculture grew strained.
Agriculturists narrowed their focus to increasing yields, and ecologists sought
to establish their discipline as a distant theoretical science and so distanced
themselves from its agricultural applications. By the end of World War I, the
process of disciplinary specialization was well underway. In time, the two
disciplines diverged so completely that the once vital connections between them
were obscured and forgotten.
PMID- 21901902
TI - Nectar for the taking: the popularization of scientific bee culture in England,
1609-1809.
AB - This essay expands and refines academic knowledge of English beekeeping during
the seventeenth and eighteenth centuries. Scientific beekeeping focused on
improvement, which, in turn, depended on the dissemination of ideas and
practices. This analysis, therefore, encompasses the mentalities and tactics of
popularizers. The article also identifies two neglected concepts in the
popularization campaign. First, popularizers saw scientific beekeeping as a way
to end the tradition of killing the bees in order to safely harvest. Second, they
sought to promote a rural industry for the economic welfare of the nation. The
case study of Exeter's Western Apiarian Society reveals precisely how
popularization functioned in reality. The result is a more thorough history of
scientific beekeeping and how the rhetoric of improvement related to the culture
of practice.
PMID- 21901903
TI - Colonialism, planters, sugarcane, and the agrarian economy of Caguas, Puerto
Rico, between the 1890s and 1930.
AB - This article presents new research on the impact and consequences of the
incorporation of Puerto Rico into the American economic sphere of influence and
how much change truly took place during the first decades of the twentieth
century. As reconstructed here, Puerto Rico's social and economic structure did
change after the American invasion. However, a closer look at the data reveals
that, contrary to the generally accepted conclusions, land tenure did not become
concentrated in fewer hands. Puerto Rico did experience profound changes with the
rapid growth of US agribusiness and the penetration of American capital. In the
process of arriving on the island, these two interests found a land tenure system
in the firm control of local farmers (small, medium, and large). The American
invasion and subsequent incorporation of the island into the American
economic/political system as a non-incorporated territory provided the conditions
for the numerical increase of farms and farmers in the island during the first
three decades of the twentieth century.
PMID- 21901904
TI - Academic freedom or political maneuvers: Theodore W. Schultz and the
oleomargarine controversy revisited.
AB - The oleomargarine controversy was a case of academic freedom in which nineteen
researchers resigned from Iowa State College to protest pressure from the dairy
industry to change their research findings. This article explores the ways in
which the boundaries between science and politics were more blurred than they
seemed at the time or in subsequent historical treatments. The argument begins
with a history of the unique composition of agricultural economics research at
Iowa State, refocuses the affair from a conflict between the state college and
the dairy industry to one among a much larger number of actors, and concludes by
demonstrating that one professor, Theodore Schultz, was in the process of
transitioning to a new career in prescriptive policy work with private policy
associations that ended up being opposed to the practices and policy goals of
some of the farm organizations in question.
PMID- 21901905
TI - Wave of mutilation: the cattle mutilation phenomenon of the 1970s.
AB - During the 1970s many small-scale cattle ranchers across the Midwest reported
finding their cattle mutilated. The episode, often dismissed as mass hysteria or
sensationalized reporting, demonstrates the growing dissatisfaction of many
ranchers concerning government intrusiveness and restrictive policies. These
frustrations found a release in response to the mutilation phenomenon during
which ranchers vented their anger by taking direct aim at the federal government.
The turbulent economic conditions of the period paired with government
interference in the cattle industry helped sustain the mutilation phenomenon as
ranchers projected their fears and insecurities through the bizarre episode. The
hostility ranchers showed toward the federal government during the mutilation
scare presaged and helped provide the impetus for events such as the Sagebrush
Rebellion. The mutilation phenomenon also underscores the pronounced effects of
the libertarian movement of the 1960s that gave rise to the New Right and gained
adherents across the West and Midwest.
PMID- 21901906
TI - Protection against rotavirus challenge in mice orally inoculated with trypsin
inhibitor.
AB - BACKGROUND AND OBJECTIVES: the burden of rotavirus disease is significant in
developed as well as developing countries and has not diminished with improved
hygiene. In the present studies the protective efficacy of soyabean trypsin
inhibitor (TI) was investigated on the gut following rotavirus (RV) infection in
inbred mice. METHODS: infant BALB/c mice of 7 days age (n=108) were divided into
three equal groups (control, RV and RV+TI). Animals were orally inoculated either
with normal saline, EB RV (serotype-3) or RV+TI and were sacrificed under light
chloroform anesthesia on days 0, 1, 3, 5, 7 and 10 post inoculation (pi). Gamma
glutamyl transpeptidase (GGT), leucine aminopeptidase (LAP), and leucine amino
acid uptake in the jejunum and ileum were assessed. RESULTS: rotavirus inoculated
animals showed significant reduction in body weights on days 3 and 5 pi as a
result of diarrhoea which resolved by day 7 pi. In RV+TI inoculated animals
diarrhoea jejunum and ileum in mice inoculated with RV as compared to controls.
With the administration of TI the amino acid uptake, and GGT and LAP levels were
higher in both segments of the small intestine and comparable to the controls.
INTERPRETATION AND CONCLUSIONS: on the administration of TI, leucine amino acid
uptake, and levels of GGT and LAP were similar to the controls in the jejunum and
ileum of infant mice showing its possible protective efficacy during rotavirus
infection.
PMID- 21901907
TI - Impact of vitamin A supplementation to rural children on morbidity due to
diarrhoea.
AB - BACKGROUND AND OBJECTIVES: a number of studies have shown the association between
vitamin A deficiency and the increased risk of diarrhoeal and other childhood
morbidities and mortality. However, some studies have raised controversies
regarding the reduction of the incidence of diarrhoea after vitamin A
supplementation to children. This study was undertaken to evaluate the
effectiveness of vitamin A supplementation to young rural children in reducing
the incidence of diarrhoea. METHODS: a double-blind randomized intervention trial
was carried out amongst 404 rural children between 6-59 months of age to assess
the impact of vitamin A supplementation on morbidity due to diarrhoea. Children
aged 6-59 months were enrolled and allocated to receive either 200,000 or 50,000
IU of vitamin A and the same dose was repeated after six months. Morbidity due to
diarrhoea was observed by twice-a-week household surveillance, during the
subsequent one year of follow up. The incidence of diarrhoea was compared between
the two supplemented groups. In addition, the overall incidence of diarrhoea n
the two supplemented groups was also compared with the incidence observed during
the year preceding supplementation. RESULTS: the incidence of diarrhoea was
similar in the two supplemented groups (Incidence Rate Ratio = 1.05. 95% C. I.
0.79-1.40). However, the overall incidence of diarrhoea among all the children in
the two supplemented groups (0.56 episodes/child/year) was significantly lower
than the incidence before supplementation (1.15 episodes/child/year). The
Incidence Rate Ratio was 0.49 with 95% C.I 0.40-0.59. INTERPRETATION AND
CONCLUSIONS: the results of this study indicate that vitamin A supplementation in
a dose of 200,000 IU, has no additional advantage over 50,000 IU, at least when
the aim is to reduce the incidence of diarrhoea. For control of morbidity due to
diarrhoea, vitamin A supplementation in a dose of 50,000 IU every six months
appears to be adequate, cost effective and suitable for younger children.
PMID- 21901908
TI - Glomerular injury induced in mice by intraperitoneal injection of Shiga-like
toxins.
AB - BACKGROUND AND OBJECTIVES: Shiga-like toxins I and II (Stx1 and Stx2) play an
important role in the pathogenesis of renal disease by causing renal
microvascular injury. A murine model was used to study glomerular lesions
produced by Stx1 and Stx2. METHODS: Swiss albino mice of the Rockefeller strain
were inoculated intraperitoneally with LD(50) doses of endotoxin-free Stx1 of
Stx2 and observed for signs of disease. Samples of renal cortical tissue from
mice were examined with the electron microscope. RESULTS: the mice developed
systemic and neurological symptoms including hind limb paralysis and generalised
convulsions. Renal arteriolar damage and glomerular endothelial cytoplasmic
swelling, vacuolation, lysis and intravascular coagulation were present and
resembled the microangiopathy seen in renal biopsies from patients.
INTERPRETATION AND CONCLUSIONS: these experiments establish the role of Stx1 and
Stx2 in glomerular vascular injury and provide a model for studying the
pathogenesis of Shiga-like toxin related microangiopathy.
PMID- 21901909
TI - Tar Heel footprints in health care: Denise Levis Hewson, BSN, RN, MSPH.
PMID- 21901910
TI - Use of data by hospitals in North Carolina to identify disparities in the care
and outcomes of minority patients.
AB - BACKGROUND: Hospitals are now called upon to use available data--information on
the use of services, patient satisfaction, and core quality measures--to identify
disparities in the use and outcomes of services for minority patients. This study
assesses whether and in what ways hospitals in North Carolina use data to
understand the experiences of minority patients. METHODS: Semistructured
telephone interviews were completed with chief executive officers (CEOs) and
other administrators from a broad sample of North Carolina hospitals.
Participants were asked about their hospitals' use of data to compare experiences
of minority and nonminority patients and about any other minority-focused
initiatives. Responses were analyzed using a grounded theory approach. RESULTS: A
total of 28 CEOs and administrators from 17 (77%) of 22 targeted hospitals
participated fully in the interviews. Participating hospitals ranged in size from
fewer than 60 beds to more than 700 beds and were equally distributed across the
state's 3 geographic regions. Three hospitals (18%) reportedly analyzed data by
patient race to assess satisfaction, specific clinical outcomes, adverse events,
and/or use of services. Respondents cited barriers to analyzing hospital data by
patient race and ethnicity as lack of resources, not knowing how to perform these
analyses, and not seeing the need. Respondents for 10 hospitals (59%) reported
other types of hospital programs targeting the needs of minority patients,
including cultural-sensitivity training for staff and initiatives in local
communities. LIMITATIONS: Participating hospitals may not reflect all North
Carolina hospitals in their minority-focused efforts, and respondents may not
have known about all relevant programs in their hospitals. CONCLUSIONS: Few
hospitals in North Carolina are proactively identifying disparities between
minority and nonminority patients by use of data.
PMID- 21901911
TI - Knowledge and perceptions among overweight and obese employees about lifestyle
related health benefit changes.
AB - BACKGROUND: We investigated perceptions among overweight and obese state
employees about changes to health insurance that were designed to reduce the
scope of health benefits for employees who are obese or who smoke. METHODS:
Before implementation of health benefit plan changes, 658 state employees who
were overweight (ie, those with a body mass index [BMI] of 25-29.9) or obese (ie,
those with a BMI of > or = 30) enrolled in a weight-loss intervention study were
asked about their attitudes and beliefs concerning the new benefit plan changes.
RESULTS: Thirty-one percent of employees with a measured BMI of 40 or greater
self-reported a BMI of less than 40, suggesting they were unaware that their
current BMI would place them in a higher-risk benefit plan. More than half of all
respondents reported that the new benefit changes would motivate them to make
behavioral changes, but fewer than half felt confident in their ability to make
changes. Respondents with a BMI of 40 or greater were more likely than
respondents in lower BMI categories to oppose the new changes focused on obesity
(P < .001). Current smokers were more likely than former smokers and nonsmokers
to oppose the new benefit changes focused on tobacco use (P < .01). LIMITATIONS:
Participants represented a sample of employees enrolled in a weight-loss study,
limiting generalizability to the larger population of state employees.
CONCLUSIONS: Benefit plan changes that require employees who are obese and smoke
to pay more for health care may motivate some, but not all, individuals to change
their behaviors. Since confidence to lose weight was lowest among individuals in
the highest BMI categories, more-intense intervention options may be needed to
achieve desired health behavior changes.
PMID- 21901912
TI - A qualitative analysis of career transitions made by internal medicine-pediatrics
residency training graduates.
AB - BACKGROUND: Physicians who complete combined residency training in internal
medicine and pediatrics (med-peds) have a variety of career options after
training. Little is known about career transitions among this group or among
other broadly trained physicians. METHODS: To better understand these career
transitions, we conducted semistructured, in-depth, telephone interviews of
graduates of the University of North Carolina-Chapel Hill School of Medicine med
peds program who self-identified as having had a career transition since
completing training. We qualitatively analyzed interview transcripts, to develop
themes describing their career transitions. RESULTS: Of 106 physicians who
graduated during 1980-2007, 20 participated in interviews. Participants
identified factors such as personality, work environment, lifestyle, family, and
finances as important to career transition. Five other themes emerged from the
data; the following 4 were confirmed by follow-up interviews: (1) experiences
during residency were not sufficient to predict future job satisfaction; work
after the completion of training was necessary to discover career preferences;
(2) a major factor motivating job change was a perceived lack of control in the
workplace; (3) participants described a sense of regret if they did not continue
to see both adult and pediatric patients as a result of their career change; (4)
participants appreciated their broad training and, regardless of career path,
would choose to pursue combined residency training again. LIMITATIONS: We
included only a small number of graduates from a single institution. We did not
interview graduates who had no career transitions after training. CONCLUSIONS:
There are many professional opportunities for physicians trained in med-peds.
Four consistent themes surfaced during interviews about med-peds career
transitions. Future research should explore how to use these themes to help
physicians make career choices and employers retain physicians.
PMID- 21901913
TI - New models of care. Introduction.
PMID- 21901914
TI - New models of health care payment and delivery.
PMID- 21901915
TI - Use of the Triple Aim to improve population health.
AB - CaroMont Health has embraced the Triple Aim initiative to implement its core
vision and competencies of delivering health care, promoting individual wellness,
and creating vibrant communities. An imperative to achieve success has been
aligning the corporate goals with the processes and outcomes that foster the
Triple Aim.
PMID- 21901916
TI - Just for Us: in-home care for frail elderly and disabled individuals with low
incomes.
AB - In response to increasing concerns about health care access, cost, and quality,
Duke University Medical Center began a community-engaged, iterative, data-driven
process in 1998 to develop innovative models to provide care earlier, more
effectively, and at a lower cost. This commentary reviews Just for Us, an in-home
care program launched in 2002 for low-income, frail elderly and disabled
individuals.
PMID- 21901917
TI - Linking primary care with adult care homes.
AB - This commentary describes a pilot program wherein a community health center is
partnering with Community Care of North Carolina to create a system of integrated
care management and "treatment-in-place" visits for aged residents and disabled
residents of adult care homes, with the goal of improving the quality of care and
reducing unnecessary visits to emergency departments.
PMID- 21901918
TI - The PACE program: home-based care for nursing home-eligible individuals.
AB - The Program for All-Inclusive Care of the Elderly (PACE) is a Medicare/Medicaid
managed care benefit for frail adults aged 55 years and older who, although
certified by the state as nursing home eligible, choose to live in the community.
The PACE model features comprehensive medical and social services coordinated by
an interdisciplinary team whose goal is to promote independence and quality of
life.
PMID- 21901919
TI - Redesigning the rural health center: high tech, high touch, and low overhead.
AB - To attract new physicians to rural primary care, new models of care are needed
that are more effective, more sustainable, and replicable in smaller communities.
This commentary provides a brief description of preliminary findings associated
with a radically redesigned, low-overhead patient-centered medical-home practice
model in North Carolina.
PMID- 21901920
TI - Use of telehealth to improve chronic disease management.
AB - Remote patient monitoring that tracks vital signs of patients with chronic
diseases is offering more-frequent contact between the patient and the primary
care provider, providing earlier detection of potential problems, and allowing
real-time alerts, resulting in a proactive, affordable option for best-practice
health care.
PMID- 21901921
TI - Use of telepsychiatry to improve care for people with mental illness in rural
North Carolina.
AB - Telehealth improves access to medical services, especially for people living in
rural areas. In North Carolina, the advantages of telepsychiatry also go beyond
improving access. This article describes a diverse program of telehealth and
telepsychiatric service delivery and discusses its advantages and disadvantages.
PMID- 21901922
TI - UNC Health Systems and Blue Cross and Blue Shield of North Carolina patient
centered medical home collaborative.
AB - UNC Health Systems and Blue Cross and Blue Shield of North Carolina have entered
into a joint venture that is designed to improve patient outcomes and experience
and to control medical costs for patients with chronic conditions. This
commentary reviews the impetus for, and the anticipated outcomes of, the model
practice.
PMID- 21901923
TI - An update on Community Care of North Carolina's Medicare demonstrations.
AB - To enhance the impact of Community Care of North Carolina (CCNC)'s population
health initiatives, CCNC partnered with the US Centers for Medicare and Medicaid
Services to bring persons who are dually eligible for both Medicaid and Medicare,
as well as Medicare beneficiaries, into CCNC's system of medical homes and
community-based care management supports.
PMID- 21901924
TI - Palliative care and the search for value in health reform.
AB - Improving value in health care is of paramount importance, and doing so will
require focus on both the costs and benefits of care. Palliative care addresses
symptoms of disease regardless of prognosis, helps patients clarify their goals
of care, and is key in improving value in the health care system.
PMID- 21901925
TI - The Pregnancy Medical Home: use of the power of the Medicaid program to improve
the standard of care across North Carolina.
AB - The Pregnancy Medical Home (PMH) is a value-added, quality metrics-driven
clinical program to improve the perinatal outcomes for pregnant women across the
state of North Carolina. The PMH uses modest financial incentives to improve
access to care, in a team approach led by obstetricians and supported by
integrated aggressive care and case management.
PMID- 21901926
TI - Use of health information to improve care: the Southern Piedmont Beacon Community
Grant.
AB - In 2009, the Office of the National Coordinator for Health Information Technology
solicited proposals to participate in the Beacon Community Program. The program
is designed to support communities with established reputations for adopting
health information technology solutions. This commentary reviews Community Care
of Southern Piedmont, a Beacon Community Program in North Carolina.
PMID- 21901927
TI - Regional extension coordinators: use of practice support and electronic health
records to improve quality and efficiency.
AB - The North Carolina Regional Extension Center for Health Information Technology
provides onsite consultation to primary care practices to help them implement
electronic health records then use these systems to optimize care through
measurement, rapid cycle quality improvement, and application of medical home
functionalities. Services are available from all 9 regional North Carolina Area
Health Education Centers.
PMID- 21901928
TI - Proximity to National Committee for Quality Assurance Diabetes Recognition
Programs among North Carolinians with diabetes.
PMID- 21901929
TI - Integrating substance abuse treatment into the medical home.
PMID- 21901930
TI - North Carolina surgical workforce trends.
AB - Between 1997 and 2008, the number of general surgeons in North Carolina increased
and shifted demographically, geographically, and by specialty. However, surgeon
numbers--overall and by specialty--do not appear to have increased as quickly or
to have shifted in the same ways as North Carolina's general population.
PMID- 21901931
TI - The evaluation of traditional and early driver training with simulated accident
scenarios.
AB - OBJECTIVE: We assessed the driving skills of novice traditionally trained, novice
early-trained, and experienced drivers to evaluate whether supervised early
training could improve young drivers' skills. BACKGROUND: The overall
representation of young male drivers in car crashes is a recurrent problem in
developed countries.To prevent this overrepresentation, France institutes an
early driver training program from the age of 16 with the supervision of an
adult. However, evidence of the positive effects of this system is still lacking.
METHOD: Three groups of drivers (12 participants each) were confronted with five
prototypical accident scenarios introduced in a simulated urban circuit. Drivers'
response time, speed, and vehicle position in the lane were analyzed. RESULTS: No
difference was detected across groups regarding obstacle detection, as revealed
by the analysis of response times. But in some unexpected scenarios, position
control by traditionally trained drivers was more conservative than for more
experienced drivers, and early-trained drivers were far more likely to respond
with efficient evasive action. CONCLUSION: The exposure gained by an early
training program could thus increase the development of visuomotor coordination
and involve better skills in challenging situations. APPLICATION: The
supplementary driving experience gained with the supervision of an adult during
early training could promote the skills necessary to deal with risky situations.
Driving simulators could be used to confront young drivers with a broad range of
hazardous scenarios not commonly encountered in natural driving.
PMID- 21901932
TI - Beyond identity: incorporating system reliability information into an automated
combat identification system.
AB - OBJECTIVE: The aim of this study was to evaluate display formats for an automated
combat identification (CID) aid. BACKGROUND: Verbally informing users of
automation reliability improves reliance on automated CID systems. A display can
provide reliability information in real time. METHOD: We developed and tested
four visual displays that showed both target identity and system reliability
information. Display type (pie, random mesh) and display proximity (integrated,
separated) of identity and reliability information were manipulated. In
Experiment 1, participants used the displays while engaging targets in a
simulated combat environment. In Experiment 2, participants briefly viewed still
scenes from the simulation. RESULTS: Participants relied on the automation more
appropriately with the integrated display than with the separated display.
Participants using the random mesh display showed greater sensitivity than those
using a pie chart. However, in Experiment 2, the sensitivity effects were limited
to lower reliability levels. CONCLUSION: The integrated display format and the
random mesh display were the most effective displays tested. APPLICATION: We
recommend the use of the integrated format and a random mesh display to indicate
identity and reliability information with an automated CID system.
PMID- 21901933
TI - Affective processes in human-automation interactions.
AB - OBJECTIVE: This study contributes to the literature on automation reliance by
illuminating the influences of user moods and emotions on reliance on automated
systems. BACKGROUND: Past work has focused predominantly on cognitive and
attitudinal variables, such as perceived machine reliability and trust. However,
recent work on human decision making suggests that affective variables (i.e.,
moods and emotions) are also important. Drawing from the affect infusion model,
significant effects of affect are hypothesized. Furthermore, a new affectively
laden attitude termed liking is introduced. METHOD: Participants watched video
clips selected to induce positive or negative moods, then interacted with a
fictitious automated system on an X-ray screening task At five time points,
important variables were assessed including trust, liking, perceived machine
accuracy, user self-perceived accuracy, and reliance.These variables, along with
propensity to trust machines and state affect, were integrated in a structural
equation model. RESULTS: Happiness significantly increased trust and liking for
the system throughout the task. Liking was the only variable that significantly
predicted reliance early in the task. Trust predicted reliance later in the task,
whereas perceived machine accuracy and user self-perceived accuracy had no
significant direct effects on reliance at any time. CONCLUSION: Affective
influences on automation reliance are demonstrated, suggesting that this decision
making process may be less rational and more emotional than previously
acknowledged. APPLICATION: Liking for a new system may be key to appropriate
reliance, particularly early in the task. Positive affect can be easily induced
and may be a lever for increasing liking.
PMID- 21901934
TI - The validity and interrater reliability of video-based posture observation during
asymmetric lifting tasks.
AB - OBJECTIVE: The objective was to evaluate the validity and interrater reliability
of a video-based posture observation method for the major body segment angles
during asymmetric lifting tasks. BACKGROUND: Observational methods have been
widely used as an awkward-posture assessment tool for ergonomics studies.
Previous research proposed a video-based posture observation method with
estimation of major segment angles during lifting tasks. However, it was limited
to symmetric lifting tasks. The current study extended this method to asymmetric
lifting tasks and investigated the validity and the interrater reliability.
METHOD: Various asymmetric lifting tasks were performed in a laboratory while a
side-view video camera recorded the lift, and the body segment angles were
measured directly by a motion tracking system. For this study, 10 raters
estimated seven major segment angles using a customized program that played back
the video recording, thus allowing users to enter segment angles. The validity of
estimated segment angles was evaluated in relation to measured segment angles.
Interrater reliability was assessed among the raters. RESULTS: For all the
segment angles except trunk lateral bending, the estimated segment angles were
strongly correlated with the measured segment angles (r > .8), and the intraclass
correlation coefficient was greater than 0.75. CONCLUSION: The proposed
observational method was able to provide a robust estimation of major segment
angles for asymmetric lifting tasks based on side-view video clips. The estimated
segment angles were consistent among raters. APPLICATION: This method can be used
for assessing posture during asymmetric lifting tasks. It also supports
developing a video-based rapid joint loading estimation method.
PMID- 21901936
TI - Modeling training effects using a human performance taxonomy.
AB - OBJECTIVE: The aim of this study was to characterize skill acquisition during
training and skill retention as a function of training strategy, retention
period, and task type in the form of a numerical model and then apply that model
to make predictions of performance on an unknown task. BACKGROUND: Complex
systems require efficient and effective training programs for the humans who
operate them in discontinuous fashion. Although there are several constructs for
learning theory, models that enable analysts to predict training outcomes are
needed during the design of training programs. METHOD: This study involved 60
participants who were trained on five tasks relevant to RQ-I Predator unmanned
aircraft system sensor operators by one of three strategies that represented a
continuum of instructor interactivity. After training, performance data for all
five tasks were collected. Participants completed the same tasks 30 or 60 days
later to determine skill retention and the rate at which task proficiency was
reacquired. RESULTS: Models built from tasks that isolate human performance
channels adequately predicted performance on a task that combined those channels.
CONCLUSION: Models that predict performance on tasks that isolate human
performance channels can be used to make predictions on tasks that draw on
multiple channels.This model provided a distribution of performance data that was
statistically similar to actual performance data. APPLICATION: System designers
trained with human performance data on a set of tasks can apply those tasks'
characteristics to future tasks to make reasonably accurate performance
predictions, thereby allowing the designers to make early decisions regarding
training strategy to teach those tasks.
PMID- 21901935
TI - Compatibility relationships with simple lever tools.
AB - OBJECTIVE: The study focuses on potential compatibility relationships when simple
lever tools are used. BACKGROUND: Spatial compatibility between stimuli and
responses determines performance. However, many tasks require the use of simple
tools, such as levers that transform hand movements into tool movements. We
explore with such a tool whether and how the correspondence or noncorrespondence
between stimulus-side and hand movement (stimulus-response compatibility),
between stimulus-side and tool-effect movement (stimulus-effect compatibility),
and/or between hand movement and tool-effect movement (response-effect
compatibility) affects performance. METHOD: U-shaped and inverted-U-shaped levers
were used as tools,allowing us to examine the contribution of each compatibility
relationship to response times and errors without any confounds and omissions.
RESULTS: Responding was delayed and error prone when the hand movement and the
movement of the effect point of the tool did not correspond. Effects of stimulus
response compatibility and stimulus-effect compatibility were observed only when
the hand movement direction remained untransformed in the tool-effect movement
CONCLUSION: The results point out that the inversion or noninversion of tool
effect movements plays an underlying role when handling a tool. APPLICATION:
Potential applications of this research include the prediction and possibly
manipulation of unwanted behavioral tendencies in laparoscopic surgery and other
lever movements.
PMID- 21901937
TI - Evaluation of eye metrics as a detector of fatigue.
AB - OBJECTIVES: This study evaluated oculometrics as a detector of fatigue in Air
Force-relevant tasks after sleep deprivation. Using the metrics of total eye
closure duration (PERCLOS) and approximate entropy (ApEn), the relation between
these eye metrics and fatigue-induced performance decrements was investigated.
BACKGROUND: One damaging effect to the successful outcome of operational military
missions is that attributed to sleep deprivation-induced fatigue. Consequently,
there is interest in the development of reliable monitoring devices that can
assess when an operator is overly fatigued. METHOD: Ten civilian participants
volunteered to serve in this study. Each was trained on three performance tasks:
target identification, unmanned aerial vehicle landing, and the psychomotor
vigilance task (PVT). Experimental testing began after 14 hr awake and continued
every 2 hr until 28 hr of sleep deprivation was reached. RESULTS: Performance on
the PVT and target identification tasks declined significantly as the level of
sleep deprivation increased.These performance declines were paralleled more
closely by changes in the ApEn compared to the PERCLOS measure. CONCLUSION: The
results provide evidence that the ApEn eye metric can be used to detect fatigue
in relevant military aviation tasks. APPLICATION: Military and commercial
operators could benefit from an alertness monitoring device.
PMID- 21901938
TI - Validating an efficient method to quantify motion sickness.
AB - OBJECTIVE: Motion sickness (MS) can be a debilitating side effect associated with
motion in real or virtual environments. We analyzed the effect of expectancy on
MS and propose and validate a fast and simple MS measure. BACKGROUND: Several
questionnaires measure MS before or after stimulus presentation, but no
satisfactory tool has been established to quickly capture MS data during
exposure. To fill this gap, we introduce the Fast MS Scale (FMS), a verbal rating
scale ranging from zero (no sickness at all) to 20 (frank sickness). Also, little
is known about the role of expectancy effects in MS studies. We conducted an
experiment that addressed this issue. METHOD: For this study, 126 volunteers
participated in two experiments. During stimulus presentation, participants had
to verbally rate the severity of MS every minute before filling in the Simulator
Sickness Questionnaire (SSQ). To measure expectancy effects, participants were
separated into three groups with either positive, negative, or neutral
expectations. RESULTS: We compared the verbal ratings with the SSQ scores.
Pearson correlations were high for both the SSQ total score (r = .785) and the
nausea subscore (r = .828). No expectancy effects were found. CONCLUSION: The FMS
is a fast and valid method to obtain MS data. It offers the possibility to record
MS during stimulus presentation and to capture its time course. We found
expectancy not to play a crucial role in MS. However, the FMS has some
limitations. APPLICATION: The FMS offers improved MS measurement. It is fast and
efficient and can be performed online in environments such as virtual reality.
PMID- 21901939
TI - A respite from chikungunya for now.
PMID- 21901940
TI - Genotype-phenotype diversity of beta-thalassemia in Malaysia: treatment options
and emerging therapies.
AB - The haemoglobinopathies and thalassemias represent the most common inherited
monogenic disorders in the world. Beta-thalassaemia major is an ongoing public
health problem in Malaysia. Prior to 2004, the country had no national policy for
screening and registry for thalassemia. In the absence of a national audit, the
true figure of the extent of thalassemia in the Malaysian population was largely
presumptive from micro-mapping studies from various research workers in the
country. The estimated carrier rate for beta-thalassemia in Malaysia is 3.5-4%.
There were 4768 transfusion dependent thalassemia major patients as of May 2010
(Data from National Thalassemia Registry).
PMID- 21901941
TI - School scoliosis screening programme-a systematic review.
AB - A systematic review on the effectiveness and cost-effectiveness of school
scoliosis screening programme was carried out. A total of 248 relevant titles
were identified, 117 abstracts were screened and 28 articles were included in the
results. There was fair level of evidence to suggest that school scoliosis
screening programme is safe, contributed to early detection and reduction of
surgery. There was also evidence to suggest that school-based scoliosis screening
programme is cost-effective. Based on the above review, screening for scoliosis
among school children is recommended only for high risk group such as girls at
twelve years of age.
PMID- 21901942
TI - Current concepts in the management of carotid body tumours.
AB - OBJECTIVE: To review the current management of carotid body tumours and examine
our own experience at the Singapore General Hospital. STUDY DESIGN: Retrospective
review. SUBJECTS AND METHODS: Case note review of patients treated by the
Department of Otolaryngology Head and Neck Surgery, Singapore General Hospital
over a period of nine years from January 1999 to December 2007. RESULTS: There
were a total of ten patients. Eight patients underwent surgery with no mortality
or major surgical morbidity. Mean follow-up was 3 years and 5 months with no
evidence of recurrence. One patient had bilateral tumours and is under
surveillance. The last patient had inoperable disease and received radiotherapy.
CONCLUSION: Our series has shown that carotid body tumours can be safely removed
surgically. If the internal carotid artery needs to be resected, we prefer the
use of a Pruitt-Inahara shunt. Radiotherapy is reserved for large inoperable
cases or patients not fit for surgery.
PMID- 21901943
TI - Intravitreal injection of recombinant tissue plasminogen activator and pneumatic
displacement of submacular haemorrhage.
AB - We report a case of a patient with hypertension and ischaemic heart disease on
anti-platelet treatment, who developed uniocular profound visual loss from a
submacular haemorrhage secondary to valsalva retinopathy. He was treated with a
combination of intravitreal recombinant tissue plasminogen activator (rtPA) and
sulphur hexafluoride (SF6) gas followed by strict prone positioning. He
demonstrated significant displacement of the haemorrhage and improvement of
vision postoperatively.
PMID- 21901944
TI - The development and validation of diabetes knowledge questionnaire for the
Indigenous population in Malaysia.
AB - The study's aim was to construct and validate a diabetes mellitus knowledge
questionnaire in Bahasa Malaysia for Orang Asli (OA-DKQ). The questionnaire was
administered to; case (Orang Asli) and control (administrative staff) groups at
baseline and retested two weeks later. The Cronbach's Alpha was used to determine
internal consistency and intraclass correlation coefficient (ICC) was used to
determine test-retest reliability. The OA-DKQ has an internal consistency of
0.806. These findings suggest the OA-DKQ is an acceptable instrument to assess
knowledge and preventive behaviour in Orang Asli (86 words).
PMID- 21901945
TI - Epidemiology of chikungunya in Malaysia: 2006-2009.
AB - This is a retrospective cross-sectional study based on the database of clusters
of patients with clinical diagnosis of chikungunya (CHIK) that were referred to
the National Public Health Laboratory for diagnostic investigations from January
2006 to December 2009. Of the 13,759 referred patients, a total of 6314 (45.9%)
patients were laboratory confirmed to have CHIK and 7445 (54.1) patients were
considered as clinical cases of CHIK by epidemiological link. Epidemic curves
plotted using date of onset of illness for all referred clusters of cases showed
that there were three unrelated outbreaks of CHIK in Malaysia from 2006 to 2009.
There were two small outbreaks that occurred within the state of Perak in 2006.
The cluster of cases in 2008 and 2009 were of related outbreak which started in
Johor state and subsequently spread to various parts of Malaysia. The mean age of
the patients was 37.0 years old and those patients in the laboratory confirmed
group were significantly younger than those in the epidemiological linked group.
The main presenting clinical features recorded in this study were fever,
arthralgia, myalgia and rashes. Those patients in the laboratory confirmed group
had a significant higher incidence of fever, arthralgia and rash than those in
the epidemiological linked group.
PMID- 21901946
TI - Spectrum of infections in splenectomised thalassaemia patients.
AB - Splenectomised thalassaemia patients are at risk of developing sepsis. As the
infection may be life-threatening, treatment should be sought and given promptly.
A retrospective study was performed amongst our thalassaemia major patients who
were splenectomised. The vaccination status of each patient and the types of
infections seen were reviewed to obtain a local perspective. In our cohort of 49
splenectomised patients, 25 patients required hospitalization for the treatment
of infection. There were a total of 40 febrile episodes within this hospitalised
group of which 27.5% were microbiologically documented infection with
bacteraemia. The predominant causative organisms were gram negative rods and
three patients succumbed to overwhelming septicaemic shock as a result of delayed
presentation. Sixty percent of the febrile episodes were clinically documented
infection and comprised mainly upper respiratory tract infections. Based on the
spectrum of infections seen, there is a need to improve the patients' awareness
level so that early treatment is sought. There is also a need to re-address the
approach towards vaccination in this immunocompromised group of patients by
administering a booster pneumococcal and influenza vaccination in an attempt to
reduce morbidity.
PMID- 21901947
TI - Risk-adjusted analysis of patients undergoing laparotomy using POSSUM and P
POSSUM score in Queen Elizabeth Hospital, Sabah.
AB - BACKGROUND: Scoring systems such as POSSUM and P-POSSUM have been developed to
help predict mortality and morbidity in patients. The ratio of observed-to
predicted (O/P ratio) mortality and morbidity has been used as a performance
indicator to compare different procedures, clinicians or hospitals. The aim of
this study was to assess the predictive value of POSSUM compared with P-POSSUM in
patients undergoing laparotomy in Queen Elizabeth Hospital, Sabah. METHODS: 381
patients over the age of 12 undergoing general surgical laparotomy between 1 May
2006 and 30 April 2007 were prospectively enrolled. RESULTS: In general, POSSUM
over-predicted mortality compared with P-POSSUM (O/P ratio: 0.366 versus 0.721).
P-POSSUM was still poor at predicting mortality in the lowest and highest risk
groups (O/P ratios: 0 and 0.438). Both systems over-predicted mortality in trauma
(O/P ratios: POSSUM, 0.306; P-POSSUM, 0.459), younger patients (O/P ratios:
POSSUM, 0.325; P-POSSUM, 0.622) and non-ICU patients (O/P ratios: POSSUM, 0.171;
PPOSSUM, 0.421). P-POSSUM was significantly better than POSSUM in other age
groups and ICU patients. In terms of morbidity, POSSUM was able to predict
morbidity quite accurately with O/P ratio of 0.746 and performed equally well
across the subgroup. POSSUM was poor in predicting morbidity in young patients
(O/P ratio: 0.652) and non-ICU patients (O/P ratio: 0.543). CONCLUSION: P-POSSUM
is a better overall predictor of mortality in patients undergoing laparotomy in
this hospital compared to POSSUM. POSSUM is fairly accurate in predicting
morbidity. However, further refinement is needed to improve its predictive value
in specific areas, and so increase its utility in our local setting.
PMID- 21901949
TI - A retrospective study of narrowband-UVB phototherapy for treatment of vitiligo in
Malaysian patients.
AB - Psoralen and ultraviolet light A (PUVA) was the mainstay of vitiligo treatment
before the introduction of narrowband UVB(NB-UVB). Eighteen patients treated with
NB-UVB in Hospital Kuala Lumpur were retrospectively analyzed. Eight patients had
Fitzpatrick skin phototype III and 10 with phototype IV. The mean duration of
disease was 7.3 +/- 5.4 years, and the mean body surface area affected was 19.3
+/- 16.2%. Mean duration of treatment was 14.3 +/- 9.6 months, number of session
113.5 +/- 88.6 times and cumulative dose 111.7 +/- 108.5 J/ cm2. Concomitant
topical steroid was used in 88.9% patients. Repigmentation was moderate in 6
(40%) patients, good in 3 (20%), while 3 (20%) achieved excellent results. One
patient (6.6%) showed no response and 2 (13.3%) showed poor repigmentation. Three
patients developed side effects. NB-UVB phototherapy results in satisfactory
repigmentation in our vitiligo patients and should be offered as a treatment
option.
PMID- 21901948
TI - Epidemiological and clinical features of dengue versus other acute febrile
illnesses amongst patients seen at government polyclinics.
AB - Classical dengue fever is characterized by the clinical features of fever,
headache, severe myalgia and occasionally rash, which can also be caused by a
number of other viral and bacterial infections. Five hundred and fifty eight
patients who fulfilled the criteria of clinical diagnosis of acute dengue from 4
government outpatient polyclinics were recruited in this prospective field study.
Of the 558 patients, 190 patients were categorized as acute dengue fever, 86 as
recent dengue and 282 as non-dengue febrile illnesses based on the results of a
number of laboratory tests. Epidemiological features of febrile patients showed
that the mean age of patients in the dengue fever group was significantly younger
in comparison with patients in the non-dengue group. There was no significant
difference between the two groups with respect to gender but there was
significant ethnic difference with foreign workers representing a higher
proportion in the dengue fever group. Patients with acute dengue fever were more
likely to have patient-reported rash and a history of dengue in family or
neighbourhood but less likely to have respiratory symptoms, sore-throat and
jaundice in comparison to patients with non-dengue febrile illnesses. As with
patients with dengue fever, patients in the recent dengue group were more likely
to have history of patient-reported rash and a history of dengue contact and less
likely to have respiratory symptoms in comparison to patients with non-dengue
febrile illnesses. In contrast to patients with dengue fever, patients in the
recent dengue group were more likely to have abdominal pain and jaundice in
comparison to non-dengue febrile patients. The finding strongly suggests that a
proportion of patients in the recent dengue group may actually represent a subset
of patients with acute dengue fever at the late stage of illness.
PMID- 21901950
TI - Comparison of 0.5% ropivacaine and 0.5% levobupivacaine for infraclavicular
brachial plexus block.
AB - A prospective randomized double-blind study was conducted which involved, 60 ASA
1-2, aged 18-65 years patients, who had elective or emergency orthopaedic
surgeries of the upper limbs. They were randomly divided into two groups: Group I
received 30 mls of 0.5% ropivacaine; and Group II received 0.5% levobupivacaine
for infraclavicular brachial plexus block based on the coracoid approach. The
onset time required for sensory block of all required dermatomes (C5-T1) and the
onset time of motor block were documented. Based on the Visual Analogue Score,
pain scores were recorded every 30 minutes during surgery and at the 6th hour.
The mean onset time (SD) for sensory block with ropivacaine was 13.5 +/- 2.9
minutes compared to levobupivacaine at 11.1 +/- 2.6 minutes (p = 0.003). The
onset time for motor block was 19.0 +/- 2.7 minutes in Group I compared to 17.1
+/- 2.6 minutes (p = 0.013) in Group II. Patients in both groups experienced both
mild to moderate pain at the 6th hour. In conclusion, there were statistically
significant differences in the onset-time for sensory and motor block. However,
there was no statistically significant difference in terms of effectiveness of
analgesia at the 6th hour. Although the clinical advantage of levobupivacine is
not substantial, its safety profile becomes a major consideration in the choice
of local anaesthetic for brachial plexus block where a large volume is required
for an effective result.
PMID- 21901951
TI - Management of isolated splenic injuries after blunt trauma: an institution's
experience over 6 years.
AB - Forty-two patients with traumatic blunt splenic injuries were admitted over a six
year period. Vehicular-related collisions and fall from height accounted for the
injuries in 38 (90.5%) of them. Eleven (26.2%) underwent immediate surgery (7
splenectomy and 4 splenorrhaphy), while the remaining 31 patients were treated
nonoperatively of which 3 underwent angio-embolisation. Twenty seven patients had
either grade III or IV splenic injuries. Operative management was more likely in
patients with lower haemoglobin or with more severe splenic injury. Nonoperative
management can be adopted in patients with blunt isolated splenic injuries but
operative management is still indispensable in certain instances.
PMID- 21901952
TI - Dural tear post mastoidectomy repaired with Dura Gen.
AB - Dural exposure may occur during the course of thinning the tegmen tympani and
tegmen mastoideum in mastoid procedure. If large area of dura is exposed or
lacerated, cerebrospinal fluid and brain herniation may enter the mastoid cavity.
We report a case of a patient with injured dura mater and tegmen mastoideum
during mastoidectomy for chronic suppurative otitis media with cholesteatoma
managed by using DuraGen. The dura mater and tegmen defect healed totally showing
the success of the procedure. A collagen matrix like DuraGen is an option for
repairing dural tear in mastoid region.
PMID- 21901953
TI - Bilateral pulmonary aspiration of teeth and the migration of a foreign body from
one main bronchus to another.
AB - INTRODUCTION: Foreign body aspiration in the adult airway is very rare. A
neglected foreign body can occur when the patient is mentally challenged or is in
an unconscious condition such as following trauma. The diagnosis can be delayed
because there is no typical history of choking or breathing difficulty.
OBJECTIVE: To report a case of bilateral pulmonary aspiration of avulsed teeth.
One tooth migrated from the main bronchus on one side to the opposite side before
removal. CASE SUMMARY: An unconscious, intubated elderly man was managed in ICU
for one week before tracheostomy was performed. Bilateral pulmonary aspiration of
teeth was diagnosed accidentally on routine chest radiographs two weeks later.
Removal was done with rigid bronchoscopy. Extraction of the remaining loose teeth
was performed. CONCLUSION: It is important to check for loose teeth in
unconscious patients, especially those who warrant prolonged ventilation and oral
toilet.
PMID- 21901954
TI - Duodenal intussusception of Brunner's gland adenoma mimicking a pancreatic
tumour.
AB - Brunner's gland adenoma is a rare benign tumour of the duodenum. It is usually
asymptomatic but may occasionally present with gastrointestinal haemorrhage and
obstruction. We report a 40-year old lady, presenting with upper gastrointestinal
bleeding and was found to have prolapsed and intussuscepted Brunner's gland
adenoma of the duodenum, which mimicked the appearance of a tumour in the head of
pancreas.
PMID- 21901955
TI - Chronic rectal bleeding in Proteus syndrome.
AB - Proteus Syndrome is a rare congenital hamartomatous disorder that typically
manifests itself in overgrowth, vascular malformation and disregulation of fatty
tissue. The tissues affected are commonly the limbs but can be of any tissue.
Vascular anomalies are common and appear at random sites on the body. Diagnosis
is often difficult leading to wrong treatment. We describe a case of a 17-year
old girl with Proteus syndrome presented with symptomatic anaemia secondary to
chronic rectal bleeding. Computed Tomography Angiogram of Abdomen and Pelvis
confirmed the presence of rectal vascular malformations.
PMID- 21901956
TI - Mistaken diagnosis of optic neuritis and the possible role of phosphodiasterase-5
inhibitors (Sildenafil/Viagra).
AB - The diagnosis of optic neuritis and particularly retrobulbar optic neuritis when
atypical and not responsive to corticosteroid treatment may need to be revised.
This is now especially so in male patients who should be questioned regarding
their taking a phosphodiasterase-5 inhibitor in particular Viagra. The case
history of such a patient is presented who sustained posterior ischaemic optic
neuropathy mistaken for retrobulbar neuritis resulting in bilateral severe visual
loss.
PMID- 21901957
TI - Tracheal agenesis: a rare cause of unsuccessful tracheal intubation during
resuscitation.
AB - Tracheal agenesis is a rare congenital airway anomaly that usually results in a
fatal outcome. The diagnosis is usually made through post-mortem examination. In
the current literature, there has been no reported long-term survival although a
few reports claimed prolongation of life of several hours to days. This condition
is commonly associated with premature birth, polyhydramnios and a male
predominance. In 90% of the cases, it is associated with multiple cardiovascular,
gastrointestinal and genitourinary tract anomalies which are incompatible with
life. We report a case of a premature newborn with severe respiratory distress,
absent cry and cyanosis soon after birth. Attempts at endotracheal intubation
failed as it was no possible to negotiate the tube beyond the vocal cords. Needle
cricothyrotomy and attempted tracheostomy also failed to secure the airway. The
diagnosis was confirmed at post-mortem examination.
PMID- 21901959
TI - Care is priority, not costs.
PMID- 21901958
TI - Computed tomography (CT) in blunt liver injury: a pictorial essay.
AB - Computed tomography (CT) is widely used in assessing clinically stable patients
with blunt abdominal trauma. In these patients, liver is one of the commonest
organs being injured and CT can accurately identify and assess the extent of the
injury. The CT features of blunt liver trauma include laceration, subcapsular or
parenchymal haematomas, active haemorrhage and vascular injuries. Widespread use
of CT has notably influenced the management of blunt liver injury from routine
surgical to nonsurgical management. We present pictorial illustrations of various
liver injuries depicted on CT in patients with blunt trauma.
PMID- 21901960
TI - Staff to be asked if they would take industrial action on pensions.
PMID- 21901961
TI - Troubled care home operator criticised for staff's long shifts.
PMID- 21901962
TI - Lack of clinical teachers puts health visitors target in doubt.
PMID- 21901963
TI - Supervisors could assess nurses as part of regulatory shake-up.
PMID- 21901964
TI - If one hospital can give patients dignified care, all of them can.
PMID- 21901966
TI - A spiritual workout.
AB - Yoga is an ancient philosophy, bringing together the mind, body and spirit. Its
health effects are described in the third article in our series on complementary
and alternative medicine.
PMID- 21901965
TI - One step at a time.
AB - A cross-sector, nurse-led pilot project has reduced the number of falls in
nursing homes. Now the work could be introduced elsewhere.
PMID- 21901967
TI - Making contact.
AB - Gay men can notify ex-partners of sexually transmitted infections through a
messaging service being piloted at seven clinics.
PMID- 21901968
TI - Intraosseous access and adults in the emergency department.
AB - This article examines the use of the intraosseous route for obtaining vascular
access in adults. It discusses indications for intraosseous access, the
techniques and devices used, and contraindications.
PMID- 21901969
TI - The role of diet in lowering blood pressure.
AB - Alterations in diet are an important component of the lifestyle changes
recommended to reduce blood pressure in patients with hypertension. This article
provides an overview of healthy eating guidelines, reviews evidence for making
specific types of dietary change with the aim of lowering blood pressure and
outlines the nurse's role in supporting patients to make any changes.
PMID- 21901970
TI - Promoting healthy eating and an active lifestyle in schoolchildren.
AB - Nurses play an important role in promoting good health in patients. With the rise
in childhood obesity, nutrition is of particular significance and community
nurses should have an understanding of the nutritional needs of schoolchildren.
This article outlines the association between diet and health and identifies
strategies to promote healthy lifestyles in children.
PMID- 21901971
TI - Faecal incontinence.
PMID- 21901972
TI - Placement promotion.
PMID- 21901973
TI - Accept only the best.
PMID- 21901974
TI - Turn ideas into action.
PMID- 21901975
TI - Simultaneous determination of ten ginsenosides in panacis quinquefolii radix by
ultra performance liquid chromatography and quality evaluation based on
chemometric methods.
AB - A rapid, sensitive and reliable method based on ultra performance liquid
chromatography coupled with a photodiode array detector (UPLC-PAD) was developed
for both the quantitative analysis of ten bioactive ginsenosides and a chemical
fingerprint analysis. The chromatography was performed on an ACQUITY UPLC BEH C18
column using a gradient elution with acetonitrile/water as the mobile phase. To
compare the UPLC fingerprints and to evaluate their quality, chemometric methods
including similarity analysis (SA) and hierarchical-clustering analysis (HCA)
were implemented when classifying the Panacis Quinquefolii Radix samples. The
Panacis Quinquefolii Radix samples were successfully grouped in accordance with
their geographic origins.
PMID- 21901976
TI - Dissolution and spectrophotometric determination of astaxanthin in aqueous
solutions.
AB - The poor solubility of astaxanthin in water can cause problems during dissolution
tests of dosage forms because they are usually performed in water-based media.
The aim of this study was the development of a convenient dissolution medium and
a method for a spectrophotometric determination of astaxanthin in an aqueous
solution. Three surfactants in different concentrations were tested as solubility
improving substances: sodium lauryl sulfate (SLS), polysorbate 80 (PS 80) and
macrogolglycerol hydroxystearate (Cremophor RH 40, CR 40). Optimal conditions
were determined. The dissolution of astaxanthin from solid dosage form is
performed into 1000 g of a solution of sodium lauryl sulfate with the
concentration 1.0% (w/w) at 37 degrees C by paddle method, 100 rotations per
minute, dissolution time 30 minutes. The procedure is convenient for solid dosage
forms with a content of 4 to 12 mg of astaxanthin. The spectrophotometric
determination of astaxanthin in aqueous solution from the dissolution test is
measured at 486 nm. The specific absorbance A(1%) 1cm for astaxanthin in water is
2000, a sodium lauryl sulfate solution (1%) was used as a blank.
PMID- 21901977
TI - Hollow fiber liquid-phase microextraction for the determination of nimesulide in
human plasma and its application to a pharmacokinetic study.
AB - A hollow fiber liquid-phase microextraction (HF-LPME) method in combination with
HPLC-UV for the determination of nimesulide in human plasma was developed and
validated. A small volume of dihexyl ether contained within a polypropylene
hollow fiber was used for the extraction of nimesulide from acidified plasma
solutions. Factors affecting the extraction efficiency were optimized and
discussed. With HPLC-UV as the end analysis technique, the procedure was
validated for nimesulide in the concentration range of 50-5000 ng/mL. The intra-
and inter-assay precisions were less than 9.1%, and accuracy was within 3.2%. The
lower limit of quantification (LLOQ) was 50 ng/mL. Enrichment factor from 144
fold to 156-fold was achieved at three quality control (QC) concentrations. The
mean extraction recovery was greater than 41.2%. This method was successfully
applied for the evaluation of pharmacokinetics of nimesulide after single oral
doses of 100 mg nimesulide to six healthy Chinese volunteers.
PMID- 21901978
TI - Preparation of curcuminoid niosomes for enhancement of skin permeation.
AB - Curcuminoids (curcumin, desmethoxycurcumin, and bisdesmethoxycurcumin) are major
bioactive substances found in turmeric (Curcuma longa L.) extracts and possess
antioxidant, anti-inflammatory, antimicrobial and anticancer properties. In this
study, curcuminoid niosomes prepared with a series of Span non-ionic surfactants
were developed to enhance the skin permeation of curcuminoids. Formulations were
evaluated based on aggregation of niosomes, curcuminoid loading, % entrapment
efficiency and in vitro permeation of curcuminoids through shed snake skin.
Optimal formulations of curcuminoid niosomes including sorbitan monooleate,
cholesterol, and Solulan C-24 at a mole ratio of 47.5:47.5:5 were obtained. Up to
11 micromoles of curcuminoids could be loaded in the niosome with a % entrapment
efficiency of 83%. About 90% of the niosomes had a diameter of 12.25 +/- 5.00
microm. The niosomes significantly enhanced permeation of curcuminoids compared
with a methanolic solution of curcuminoids: 4% of entrapped curcuminoids
traversed the shed snake skin, whereas permeation from the methanolic solution
was undetectable. The fluxes of curcumin, desmethoxycurcumin, and
bisdesmethoxycurcumin were 1.117, 0.263, and 0.057 microg/(cm2h), respectively,
consistent with the relative hydrophobicity of curcumin > desmethoxycurcumin >
bisdesmethoxycurcumin. In conclusion, our data show that curcuminoids can be
successfully formulated as niosomes and that such formulations have improved
properties for transdermal delivery.
PMID- 21901979
TI - A burst drug release caused by imperfection of polymeric film-coated
microparticles prepared by a fluidized bed coater.
AB - The aim of this study was to investigate the drug release from microparticles
coated with various polymeric films. Ibuprofen-loaded microparticles with
diameter of 250 and 300 microm were prepared by a fluidized bed granulator. Five
polymers were used as coating materials, i.e., ethylene vinyl acetate, ethyl
cellulose, ethyl cellulose aqueous dispersion, polyethacrylate or Eudragit NE
30D, and carnauba wax. The coating was performed with a fluidized bed coater.
Afterwards the coated microparticles were characterized in terms of particle
size, morphology, and drug content. The drug dissolution was also investigated in
pH 7.4 phosphate buffer. In our attempts for production of extended release
ibuprofen microparticles coated with polymeric films, it was shown that the
coating process had a significant effect on drug release. The undesired burst
release of ibuprofen was observed in all film-coated microparticulate
formulations, resulting from the imperfection of coating films.
PMID- 21901980
TI - Fosinopril-cyclodextrin inclusion complexes: phase solubility and physicochemical
analysis.
AB - Fosinopril is one of the most hydrophobic substances among the angiotensin
converting enzyme inhibitors, exhibiting low water solubility and poor
bioavailability following oral administration. Inclusion complexes between the
drug substance and cyclodextrins (CDs) were obtained in order to improve its
solubility. The purpose of this study was to investigate the guest-host
interaction of fosinopril sodium (FOS) with beta-cyclodextrin (beta-CD) and its
derivative, randomly methylated beta-cyclodextrin (RAMEB) in solution by phase
solubility diagrams (PSD) and in solid state by using thermal analysis, powder X
ray diffractometry (PXRD) and Fourier transform infrared spectroscopy (FTIR). The
phase solubility analysis indicated that the solubility of FOS in simulated
gastric fluid was increased in the presence of CDs and revealed for RAMEB an A(L)
type diagram, suggesting the formation of a 1:1 inclusion complex, and for beta
CD a B(s)-type phase diagram. The estimated apparent stability constant (K1:1),
according to the Higuchi and Connors method, is 3209.99 M(-1) and 1770.34 M(-1)
for RAMEB and beta-CD complexes respectively. The binary systems FOS/CDs were
prepared using the kneading method in the molar ratio 1:1. The PXRD patterns and
the thermograms indicated a drug amorphization process, higher for FOS/RAMEB
binary system and the FTIR analysis suggested that the ester group of FOS is
probably enclosed in the CD's cavity. The results of this study confirm the
formation of inclusion complexes both in solution and in solid state and suggest
that the complexes formation between FOS and CDs could improve the
bioavailability of the drug due to the enhancing absorption expected from
increased drug solubility.
PMID- 21901981
TI - Bioequivalence evaluation of two brands of rivastigmine of different salt forms,
an acetylcholinesterase inhibitor for the treatment of Alzheimer's disease, in
healthy Beagle dogs.
AB - The bioequivalence of two brands of rivastigmine capsules, of different salt
forms, was demonstrated in six healthy beagle dogs after a single oral dose in a
randomized cross-over study. Reference (Rivastigmine hydrochloride, Sunve, CN)
and test (Rivastigmine tartrate, Novartis, CH) products were administered to
fasting beagles on two treatment days separated by a two-day washout period;
blood samples were collected at specified time intervals, and the plasma was
separated and analyzed for rivastigmine using a validated GC-MS method. The
pharmacokinetic parameters AUC(0-t), AUC(0-infinity), C(max), T(max) and t1/2
were compared statistically to evaluate bioequivalence between the two brands,
using the statistical modules recommended by the State Food and Drug
Administration (SFDA) of China. The analysis of variance (ANOVA) did not show any
significant difference between the two formulations and 90% confidence intervals
fell within the acceptable ranges for bioequivalence. Based on these statistical
inferences it was concluded that the two brands exhibited comparable
pharmacokinetic profiles and that Sanwei's Rivastigmine hydrochloride was
bioequivalent to Rivastigmine tartrate of Novartis, CH.
PMID- 21901982
TI - Chitosan nanoparticles for controlled delivery of brimonidine tartrate to the
ocular membrane.
AB - Various efforts have been made to improve the bioavailability and to prolong the
residence time of eye drops. Drug loaded polymeric nanoparticles offer several
favorable biological properties. Thus, brimonidine tartrate (BT) loaded chitosan
(CS) nanoparticles were prepared by inducing the ionic gelation upon addition of
sodium tripolyphosphate (TPP). Nanoparticles were characterized by TEM, SEM,
particle size, polydispersity index (PI), DSC, IR, entrapment efficiency which
gave an insight of physicochemical interaction that influenced the CS
nanoparticle formation and entrapment of BT. In vitro release of BT nanoparticle
showed sustained release over the period of 4 h in saline phosphate buffer pH
7.4. Both placebo and BT loaded nanoparticles had a mean particle size range of
about 270-370 nm with PI less than 0.5. DSC studies demonstrated structural
interactions between BT, TPP and CS matrix. Entrapment efficiency of the CS
nanoparticles ranged from 36-49% depending on the CS:TPP weight ratio. In vivo
studies confirmed a significant sustained effect of BT nanoparticles compared to
conventional eye drops. These results suggest that BT loaded CS nanoparticles
could help to reduce dosage frequency by sustained drug release in the treatment
of glaucoma.
PMID- 21901983
TI - Evaluation of hepatic clearance and drug-drug interactions of luteolin and
apigenin by using primary cultured rat hepatocytes.
AB - The hepatic clearance and drug-drug interactions of luteolin and apigenin were
studied by using primary cultured rat hepatocytes. Luteolin and apigenin
experienced extensive first-pass metabolism. The elimination percent of luteolin
and apigenin was found to be 91.9% and 86.7% after 120 min of incubation. The
predicted % liver blood flow was 82.3% and 85.4% for luteolin and apigenin,
respectively. Total glucuronidated/sulfated conjugates of luteolin/apigenin were
determined by an enzyme hydrolysis method. Compared with the elimination of pure
luteolin and apigenin, the elimination of luteolin and apigenin was much lower in
hydrolyzed Flos Chrysanthemi extract (FCE) containing comparable amounts of
luteolin and apigenin. The effect of a series of flavonoids, including flavonols,
flavones, isoflavone, flavanone, flavanonols and catechins, on the elimination of
luteolin and apigenin was studied. At least four key determinants in the chemical
structures of flavonoids are necessary for exerting the inhibitory effects on the
conjugation: 1) catechol structure (3',4'-dihydroxylation) in the B-ring; 2) B
ring is attached to the C-2 position on the C-ring; 3) the C2-3 double bond in
conjunction with the C4 carbonyl group on the C-ring; 4) no glycoside present.
Investigation of clearance and interaction among flavonoids could help us better
understand their bioavailability and offer insight into the approaches to be
taken to minimize competitive effects, and to design appropriate bioavailability
studies in humans.
PMID- 21901984
TI - The role of atorvastatin in bone metabolism in male albino Wistar rats.
AB - OBJECTIVE: Statins have been widely used for the treatment of
hypercholesterolemia, and recent studies have shown that these drugs also affect
bone metabolism. The aim of this experiment was to follow the effect of
atorvastatin on bone metabolism in male albino Wistar rats. METHODS: Our study
was carried out on 16 rats (240 +/- 10g) which were randomly divided into 2
groups of 8 animals. The control group (CO) was given aqua pro injectione (0.2
mL/100 g BW; gavage) and the experimental group atorvastatin suspension (AT; 0.3
mg in 0.2 mL aqua pro inj./100 g BW; gavage) daily for 8 weeks. We examined serum
markers of bone turnover using ELISA - C-terminal crosslinking telopeptide of
type I collagen (CTX-I), total osteocalcin (total OC), procollagen type I N
propeptide (PINP) and bone alkaline phosphatase (bone ALP). We investigated bone
morphogenetic protein-2 (BMP-2) in the proximal tibia using Western blot
analysis. Additionally, we measured bone mineral density (BMD). The femurs were
used for a three-point bending test and compression test of the femoral neck.
RESULTS: After 8 weeks of atorvastatin administration, a significant decrease was
found in serum level of bone ALP to 30% vs. CO (p = 0.005). PINP, CTX-I and OC
did not change significantly. The expression of BMP-2 was increased. There were
no significant differences in BMD measurements, three-point bending test or
compression test of the femoral neck. CONCLUSIONS: Our results suggest that
atorvastatin has a positive effect on bone metabolism in rats by maintenance of
BMD and the biomechanical characteristics of bone. Atorvastatin influenced bone
metabolism by decreasing bone ALP, and probably in consequence increasing
expression of BMP-2 in rats.
PMID- 21901986
TI - Valproic acid inhibits prostate cancer cell migration by up-regulating E-cadherin
expression.
AB - E-Cadherin plays important roles in cell-cell adhesion, epithelial-to-mesenchymal
transition, cancer cell migration and invasion. Valproic acid (VPA), a well-known
inhibitor of class I and class II histone deacetylases, has been considered a
promising anticancer drug due to its capacity of inducing cancer cell
proliferation arrest and death through different mechanisms. However, effects of
VPA on E-cadherin mediated cell-cell adhesion and cancer cell migration remain
unclear. In the present study, we found that VPA potently induced
hyperacetylation of histone H3 and H4, increased the expression of E-cadherin and
inhibited cell migration in prostate cancer cells. Furthermore, knock-down of E
cadherin significantly restored the effects of VPA on cell migration, while over
expression of E-cadherin in prostate cancer cells significantly inhibited cell
migration to a similar level as VPA treatment. These results thus suggest that up
regulation of E-cadherin and inhibition of cell migration may represent a new
anticancer mechanism of VPA.
PMID- 21901985
TI - Flouxetine treatment acts selectively increasing myocardial beta1-adrenoceptor
mRNA expression in stress-induced depression.
AB - Changes in gene expression of beta1- and beta2-adrenoceptors (beta1 - and beta2
AR) in right and left atria and ventricles after fluoxetine treatment in stress
induced depression of adult rat males were studied. Elevated beta1-AR mRNA levels
in the left atria and significantly higher levels of beta2-AR mRNA in the left
atria and ventricles were observed in stress-induced depression in comparison
with those of unstressed controls. Fluoxetine treatment led to increasing
expression of beta1-AR mRNA in the right atria and left ventricles, while the
level of beta2-AR mRNA remained unchanged. These findings suggest that fluoxetine
therapy plays an important role in cardiac beta-adrenergic subsensitivity and
gene regulation of beta-AR in animals with heightened sympathetic nervous
activity.
PMID- 21901987
TI - Influence of antibiotic therapy on the level of selected angiogenic factors in
patients with benign gynecologic tumors--preliminary report.
AB - An increased fibrin level enhances the activity of proangiogenic factors and may
contribute to tumor formation. Formation of new blood vessels during angiogenesis
leads to neoplasm development through interaction with factors such as basic
fibroblast growth factor (bFGF), vascular endothelial growth factor (VEGF) and
interleukins. The aim of this study was to investigate the influence of
perioperative antibiotic therapy in women with benign gynecological tumors with
regard to basic fibroblast growth factor level, fibrinogen concentration and
fibrin viscosity. The influence of clindamycin plus metronidazole therapy (group
I) and cephazolin therapy (group II) on fibrinogen concentration, level of bFGF
and fibrin viscosity was studied in women diagnosed with nonmalignant myomas and
cysts. In patients with benign gynecologic tumors, higher bFGF levels (51.40 +/-
13.72 pg/ml), fibrinogen concentration (348.26 +/- 164.74 mg/dl) and fibrin
viscosity (2.63 +/- 0.36 mPa) were observed, as compared with healthy women.
There were strong indications that antiangiogenic activity occurred with both
clindamycin plus metronidazole and cephazolin, although the response to these
particular antibiotic therapies was different. The use of various drug therapies
in groups I and II resulted in faster and delayed antiangiogenic effects,
respectively. Further research is essential to provide more detailed information
about the mechanisms of the induction of antiangiogenic activity by perioperative
adjuvant antibiotic treatment.
PMID- 21901988
TI - Sedative, anxiolytic and antidepressant activities of Citrus limon (Burn)
essential oil in mice.
AB - We examined the sedative, anxiolytic and antidepressant effects of essential oil
(EO) of leaves from Citrus limon, which has been used as one of the most popular
compounds in Brazilian traditional herbal medicine. The effects of EO were
demonstrated by open-field, elevated-plus-maze, rota rod, pentobarbital-induced
sleeping time, and forced swimming tests in mice. In the open-field test, EO at
the doses of 50, 100 and 150 mg/kg, after oral administration, significantly
decreased the number of crossings, grooming, and rearing. In the elevated-plus
maze (EPM) test, EO increased the time of permanence and the number of entrances
in the open arms. On the contrary, the time of permanence and the number of
entrances in the closed arms were decreased. In the rota rod test, EO did not
alter motor coordination and, thus, was devoid of effects, as related to
controls. In the pentobarbital-induced sleeping time test, EO at the same doses
significantly increased the animals sleeping time duration. Since EO, at the
doses of 50, 100 and 150 mg/kg, did not show a sedative effect in the open field
test, these three doses were used in the forced swimming test, producing a
decrease in the immobility time, similarly to that of imipramine (positive
control). However, the antidepressant effects of EO were not altered by the
previous administration of paroxetine. In addition, effects of EO in the forced
swimming test were totally blocked by reserpine pretreatment. In conclusion, the
present work evidenced sedative and anxiolytic effects of EO that might involve
an action on benzodiazepine-type receptors, and also an antidepressant effect
where noradrenergic and serotoninergic mechanisms will probably play a role.
PMID- 21901989
TI - Growth inhibiting activity of lipophilic extracts from Dipsacus sylvestris Huds.
roots against Borrelia burgdorferi s. s. in vitro.
AB - Fresh first year roots from Dipsacus sylvestris HUDS. were extracted with 70%
ethanol, ethyl acetate as well as dichloromethane. Extracts were solubilized in
water (lipophilic extracts with addition of polysorbate 80) and tested for their
activity against Borrelia burgdorferi sensu stricto in vitro during an eight-day
period using amoxicillin as standard. The hydroethanolic extract showed no growth
inhibition whereas significant growth inhibiting activity could be shown in the
two less polar fractions for the first time. Strongest inhibition was found in
the ethyl acetate extract. The effect of polysorbate 80 on bacterial growth was
examined and found to be negligible. As the nature of bioactive constituents has
not been clarified yet, a micellar electrokinetic capillary chromatography
fingerprint analysis for a methanolic extract was applied including loganin,
chlorogenic acid, cantleyoside and caffeic acid as marker substances.
PMID- 21901990
TI - Stability of amoxicillin in portable pumps is drug concentration dependent.
AB - Continuous amoxicillin infusion for deep infection's intravenous treatment is
performed using elastomeric portable pumps carried under clothing and requires
high doses of antibiotic. Therefore, we evaluated the stability of amoxicillin in
those medical devices, with particular focus on both drug concentration and
storage temperature. Stability of 20, 40, and 60g/L amoxicillin solutions in 300
mL portable pumps stored at 20 or 35 degrees C was studied by visual examination
and drug concentration measurements at T0; T0 + 12 h; T0 + 24 h and; T0 + 48 h.
Twenty and 40 g/L amoxicillin solutions were stable over 48 h, with a degradation
rate that never exceeded 12% at T0 + 24 h, and 18% at T 0 + 48 h. However, the 60
g/L amoxicillin solution degradation rate was significant (p < 0.05, versus C1
and C2) at T0 + 24 h: 24.5 and 26.9% at 20 and 35 degrees C, respectively. This
degradation process was amplified at T0 + 48 h, with degradation rates of 37 and
42% at 20 and 35 degrees C, respectively. Stability of amoxicillin in pump is
guarantied over 48 h up to concentrations of 40 g/L. At 60 g/L major degradation
of the antibiotic was observed.
PMID- 21901991
TI - Lignans in Schisandra chinensis in vitro cultures.
AB - Contents of schisandrol A and schisandrol B were determined in methanolic
extracts of biomass from in vitro cultures of Schisandra chinensis (Turcz.)
Baill. (Schisandraceae) using an HPLC method. The biomass was cultured on six
variants of Murashige and Skoog (MS) medium containing different concentrations
of growth regulators, cytokinin (BAP) and auxin (NAA). The contents of both
lignans were different and dependent on the MS medium variant. The maximal
contents of schisandrol A (70.54 mg/100 g d.w.) and schisandrol B (86.41 mg/100 g
d.w.) were considerable in comparison with plant material: leaves (29.69 and
34.50 mg/100 g d.w.) and fruits (132.39 and 109.40 mg/100 g d.w., respectively).
This is the first report on the quantitative analysis of schisandrol A and
schisandrol B in Schisandra chinensis in vitro cultures.
PMID- 21901992
TI - Politicization: the power of influence.
PMID- 21901993
TI - Parental depression, family functioning, and obesity among African American
children.
AB - Obesity has reached an epidemic level in America (National Center for Health
Statistics [NCHS] 1999), and this epidemic is more acute for African Americans
than for other groups ofAmericans. In this study, 44 parent-child dyads completed
measurements of height, weight, depression, and body fat composition. In
addition, parents completed a demographic questionnaire, and instruments, which
measured family functioning, parental psychopathology, child behavior, and
cardiovascular risks. Several models emerged for predicting childhood and
parental body mass index, parental depression, and child behavioral problems.
Findings indicated a role for parental depression in childhood obesity. These
findings are discussed in light of Bandura 's Social Cognitive Theory, and the
family's role in childhood obesity.
PMID- 21901994
TI - Life stories of older adults with sickle cell disease.
AB - Sickle cell disease (SCD) is a chronic disease with acute, painful exacerbations
that often results in a shortened life expectancy. We explored the life stories
of middle-age and older adults with SCD to ascertain how they explain their
longevity and how they see the place of SCD in their lives. Data were gathered
using semi-structured interviews with 12 individuals living with SCD--seven women
and five men. Respondents offered four main reasons for their longevity: self
care, supportive family/friends, a higher power, and medical care. Respondents
reported life satisfactions of family, employment/education, and religious
activities. The life stories reflect challenges of often living with a disease
prior to diagnosis and without modern advances and the unpredictable nature of
the disease. Their stories provide healthcare providers with insight into the
supports SCD patients need to improve their health outcomes as they move through
the life course.
PMID- 21901995
TI - Evaluation of the Heart and Soul Physical Activity Program by African American
women.
AB - The purpose of this study was to determine the perceptions of African American
(AA) women regarding an active lifestyle, and to evaluate the Heart and Soul
Physical Activity Program (HSPAP) as a potential strategy to promote physical
activity. The HSPAP is a church-based physical activity intervention
conceptualized in appraisal, belonging, tangible and self-esteem domains of
social support. Seven midlife, sedentary AA women from a Midwestern urban church
participated in the group discussion after completing the HSPAP. Guiding
questions were utilized to solicit their attitudes about physical activity and
the HSPAP. The study participants believed that physical activity improves health
and prevents chronic diseases however, their primary responsibility is to family
and jobs, leaving little time or energy for their personal health needs. They
further believed that physical activity would increase if recommended by health
professionals and encouraged by family, friends, and church members; and, that
spiritual messages and prayer would strengthen their commitment to attain an
active lifestyle.
PMID- 21901996
TI - Double-loop approach: recruitment and retention of minority nursing faculty.
AB - A culturally diverse work force is vital to meeting the health care needs of an
increasingly diverse population. The lack of minority faculty has been documented
as a barrier to recruitment and retention of culturally diverse nursing students.
Literature that addresses the nursing faculty shortage and the shortage of
minority nurse educators is investigated. A double-loop approach to recruitment
and retention of minority nursing faculty is proposed and includes the strategies
of focused faculty searches, emphasizing internal resources of the academic
institution, traditional and distance mentoring, nursing department initiatives,
welcoming activities, and campus programs.
PMID- 21901997
TI - Transforming the Medicaid transformation...or just new packaging?
PMID- 21901998
TI - The prime directive: rational vs. rationing in healthcare.
PMID- 21901999
TI - Hindsight's 20/20: lessons learned on the job.
PMID- 21902000
TI - The Surgeon General's call to action to support breastfeeding: why all the fuss?
PMID- 21902001
TI - Recognition, diagnosis and treatment of fetal alcohol syndrome.
AB - Fetal Alcohol spectrum disorders are extremely common. The clinical impact and
societal effects are tremendous. Prevention and treatment of these disorders
begins with an accurate diagnosis. All health care providers who work with
children (and adults) with special health care needs should be alert to these
findings. The key to early diagnosis is to always keep the diagnostic possibility
in the broad differential diagnoses of growth and developmental disorders. As
with most conditions, early recognition and intervention is associated with
better outcomes. Once an FASD is identified in a specific patient, prompt
referrals and enrollment in indicated services are necessary to get the best
outcomes. In this article we review the diagnostic criteria and clues to prompt
early identification of FASDs. We also discuss the therapeutic options shown to
be most effective for this group of individuals.
PMID- 21902002
TI - Eating disorders in a rural state: two adolescent cases: a Hispanic male and a
Korean female.
AB - PURPOSE: The objective is to increase knowledge on eating disorder presentation
and prevalence across age, gender and ethnicity. METHODS: Two adolescent ethnic
minority cases diagnostically evaluated and treated at a multidisciplinary
pediatric eating disorders specialty clinic in a rural state. RESULTS: A 14-year
old Hispanic male presented with a history of a 22-pound weight loss over brief
period, food restriction, over-exercising, excessive fears regarding weight. A 14
year-old Korean female presented with a history of a 22-pound weight loss over
brief period, severe food restriction, over-exercising, excessive fears regarding
weight. CONCLUSIONS: It is important for professionals to increase their
knowledge base and to become more comprehensively informed about eating disorders
and to recognize that eating disorders are progressively becoming less
discriminatory, impacting individuals across age, ethnicity, gender and
socioeconomic status.
PMID- 21902004
TI - Executive function in young children and chimpanzees (Pan troglodytes): evidence
from a nonverbal dimensional change card sort task.
AB - In this article the authors compared chimpanzees' executive function with that of
children. They developed a nonverbal dimensional change card sorting task, which
indexed the development of executive function. Three pairs of mother and
offspring chimpanzees and 30 typically developed 5-year-old children were
presented with 2 target stimuli and a test stimulus comprising 2 dimensions (size
and shape) on a display; they were required to sort the test stimulus according
to 1 dimension (e.g., shape). After 5 consecutive correct trials, the
participants had to sort the test stimulus according to the other dimension
(e.g., size). The results showed that the chimpanzees often failed to sort the
test stimuli according to the first and reversed dimensions. On the other hand,
the children were correctly able to use both dimensions. These results indicate
that chimpanzees may have less developed executive skills than children.
PMID- 21902003
TI - The development of prosocial behaviors in young children: a prospective
population-based cohort study.
AB - Researchers know relatively little about the normative development of children's
behaviors aimed at alleviating distress or discomfort in others. In this article,
the authors aim to describe the continuity and discontinuity in the degree to
which young children in the general population are reported to exhibit specific
prosocial behaviors. Data came from the Quebec Longitudinal Study of Child
Development. Consistent with Hay's model of prosocial development, the results
show that there were about as many children who stopped exhibiting prosocial
behaviors between 29 and 41 months of age as there were children who started
doing so during this period. Further, gender differences (girls > boys) in
prosocial behaviors are either emerging or at least increasing in magnitude, with
girls being more likely to start and boys being more likely to stop exhibiting
these behaviors between 29 and 41 months of age. Consistent with the early-onset
hypothesis, children who exhibit prosocial behaviors at 17 months of age are less
likely to stop exhibiting the same behaviors between 29 and 41 months of age.
Otherwise, if they did not exhibit prosocial behaviors at 29 months of age, they
are also more likely to start doing so in the following year.
PMID- 21902005
TI - Predictors of self-reported prospective and retrospective memory in a population
based sample of older adults.
AB - In this article, the authors examined predictors of self-reported everyday memory
failures using the Prospective and Retrospective Questionnaire (PRMQ; Smith,
Della Sala, Logie, & Maylor, 2000) in a population-based sample of older adults
(age range = 60-90 years; N = 250). The results showed that a higher frequency of
reported failures was associated with lower scores on the personality dimension
of self-directedness as assessed by the Temperament and Character Inventory (TCI;
Cloninger, Dragan, Svrakic, & Przybeck, 1993) and more depressive symptoms on the
Center for Epidemiological Studies Depression Scale (CES-D; Radloff, 1977).
However, PRMQ scores showed no relationships with objective memory ability, as
reflected by a series of retrospective memory measures and a measure of
prospective memory. Neither were the PRMQ scales associated with general
cognitive functioning as assessed by the Mini-Mental State Examination (MMSE;
Folstein, Folstein, & McHugh, 1977). Taken together, the results indicate that
within the older population, self-reported memory as assessed by the PRMQ may
reflect mood-state and personality factors rather than individual differences in
memory and cognitive ability.
PMID- 21902006
TI - Self-esteem accuracy and externalizing problems in preschool-aged boys.
AB - Although the existing literature addressing the relation between self-esteem and
externalizing problems is inconsistent, it appears that accuracy of self-esteem
ratings may be an important factor to consider. However, no studies to date have
explored this with preschool-aged children. In this study, the authors
investigated differences in externalizing problems between underraters, realistic
raters, and overraters of self-esteem of 5-year-old boys in three domains:
cognitive competence, physical competence, and peer acceptance. Compared to
teacher ratings of competence, boys who overrated their cognitive competence and
peer acceptance were more likely to be rated by teachers as exhibiting more
externalizing problems the following year. Findings suggest that overconfidence
may have important implications for the development of externalizing problems in
young children.
PMID- 21902007
TI - Errors in identifying and expressing emotion in facial expressions, voices, and
postures unique to social anxiety.
AB - The purpose of the present study was to see if 7-10-year-old socially anxious
children (n = 26) made systematic errors in identifying and sending emotions in
facial expressions, paralanguage, and postures as compared with the more random
errors of children who were inattentive-hyperactive (n = 21). It was found that
socially anxious children made more errors in identifying anger and fear in
children's facial expressions and anger in adults' postures and in expressing
anger in their own facial expressions than did their inattentive-hyperactive
peers. Results suggest that there may be systematic difficulties specifically in
visual nonverbal emotion communication that contribute to the personal and social
difficulties socially anxious children experience.
PMID- 21902008
TI - Age and gender differences in morningness-eveningness during adolescence.
AB - Morningness-eveningness was assessed in a large sample of adolescents and young
adults. Morningness decreased from 12 to 15 years, and then remained on a stable
level until about 20 years, when a turn back to morningness occurred. Boys and
men were on average more evening-oriented than were girls and women. In detail,
significant differences existed at the ages of 16, 18, and 19 years. A quadratic
function explained more variance than a linear regression. Composite Scale of
Morningness scores are related to puberty scores in boys and girls, and when
combining data (i.e., higher pubertal development was related to eveningness).
PMID- 21902009
TI - Formative versus reflective measurement: an illustration using work-family
balance.
AB - The aim of this article is to propose the formative measurement approach that can
be used in various constructs of applied psychology. To illustrate this approach,
the authors will (a) discuss the distinction between commonly used principal
factor (reflective) measures in comparison to the composite (formative) latent
variable model, which is often applied in other disciplines such as marketing or
engineering, and (b) point out the advantages and limitations of formative
specifications using the example of the work-family balance (WFB) construct. Data
collected from 2 large cross-sectional field studies confirm the reliability and
validity of formative WFB measures as well as its predictive value regarding
criteria of WFB (i.e., job satisfaction, family satisfaction, and life
satisfaction). Last, the specific informational value of each formative indicator
will be demonstrated and discussed in terms of practical implications for the
assessment in different psychological fields.
PMID- 21902010
TI - Personality and American state differences in obesity prevalence.
AB - The study was conducted to determine whether state obesity-prevalence rates can
be predicted by state differences in residents' levels on the Big Five
personality variables (O. P. John & S. Srivastava, 1999). State obesity
prevalence was the mean percentage of the state population from 2000 to 2005 with
a body mass index > or = 30.0 as assessed by the Behavioral Risk Factor
Surveillance System (Centers for Disease Control and Prevention, 2010), which
currently interviews more than 350,000 adults annually. State neuroticism,
extraversion, agreeableness, conscientiousness, and openness z scores, based on
the responses of 619,397 residents to an Internet survey from 1999 to 2005, were
taken from P. J. Rentfrow, S. D. Gosling, and J. Potter (2008). Alaska, Hawaii,
and North Dakota had scores outside -3 and +3 standard deviations on at least 1
variable and were excluded as outliers. For the 47 remaining states, state
obesity prevalence was significantly correlated with neuroticism (.35),
agreeableness (.38), openness (-.44), socioeconomic status (-.74), white
percentage (-.34), and urbanization (-.43). Multiple regression analysis showed
that socioeconomic status could account for 54.0% of the criterion variance and
that agreeableness, neuroticism, and openness together could account for another
17.1%.
PMID- 21902011
TI - Work-family and family-work conflict: does intrinsic-extrinsic satisfaction
mediate the prediction of general job satisfaction?
AB - The objective of this study is to analyze the mediating role of intrinsic and
extrinsic job satisfaction in the relationship between the 2 dimensions of work
family conflict-family interfering with work (FIW) and work interfering with
family (WIF)-and general job satisfaction. Step-by-step hierarchical regression
analyses were carried out on a sample of 151 men and women from a Spanish public
organization. The results confirmed the mediating role of intrinsic job
satisfaction in the case of FIW. This highlights the importance of taking into
account the level of satisfaction with the intrinsic facets of one's job as a
measure for understanding why FIW has a negative impact on general job
satisfaction.
PMID- 21902012
TI - The relationship of engagement and job satisfaction in working samples.
AB - The present study explored the factor structure of engagement and its
relationship with job satisfaction. The authors hypothesize that work engagement
comprises 3 constructs: vigor, dedication, and absorption. Using structural
equation modeling, the authors analyze data from 3 archival data sets to
determine the factor structure of engagement. In addition, they examine the
hypothesis that engagement and job satisfaction are separate but related
constructs, using structural equation modeling and hierarchical regression. The
authors test models in which engagement and job satisfaction items loaded onto a
single latent variable and 1 in which they loaded onto 2 separate variables.
Results from the confirmatory factor analysis indicate engagement has 3 factors.
In addition, confirmatory factor analysis and hierarchical regressions indicate
engagement and job satisfaction are separate constructs. Last, hierarchical
regressions demonstrated the constructs have different relationships with the
areas of work-life scale. Implications for theory and research are discussed.
PMID- 21902013
TI - The antecedents and belief-polarized effects of thought confidence.
AB - This article investigates 2 possible antecedents of thought confidence and
explores the effects of confidence induced before or during ad exposure. The
results of the experiments indicate that both consumers' dispositional optimism
and spokesperson attractiveness have significant effects on consumers' confidence
in thoughts that are generated after viewing the advertisement. Higher levels of
thought confidence will influence the quality of the thoughts that people
generate, lead to either positively or negatively polarized message processing,
and therefore induce better or worse advertising effectiveness, depending on the
valence of thoughts. The authors posit the belief-polarization hypothesis to
explain these findings.
PMID- 21902015
TI - Size fractionation characterisation of removed organics in reverse osmosis
concentrates by ferric chloride.
AB - Reverse osmosis membrane separation is the leading method for manufacturing
potable purified water. It also produces a concentrate stream, namely reverse
osmosis concentrates (ROC), with 10-20% of the water, and almost all other
compounds. One method for further treating this stream is by coagulation with
ferric chloride. This study evaluates removed organics in ROC treated with ferric
chloride. Fractionation with ultrafiltration membranes allows separation of
organics based on a nominal molecular weight. A stirred cell system was applied
for serial fractionation to classify organic compounds into six groups of < 0.5
kDa, 0.5-1 kDa, 1-3 kDa, 3-5 kDa, 5-10 kDa and > 10 kDa. The study found that raw
ROC is rich in low molecular weight compounds (< 1 kDa) with almost 50% of the
organics. These compounds include soluble microbial products (SMPs) and smaller
humic and fulvic acids as indicated by fluorescence scanning. Conversely, colour
was mostly contributed by medium to large molecules of humic and fulvic acids (>
0.5 kDa). Organics and colour were reduced in all molecular groups at an optimum
treatment dose 1.48 mM FeCl3 and a pH of 5. However, ferric seemed to effectively
remove colour in all size ranges while residual nitrogen was found mostly in the
< 1 kDa sizes. Further, the fluorescence indicated that larger humic and fulvic
acids were removed with considerable SMPs remaining in the < 0.5 kDa.
PMID- 21902014
TI - Gender-role conflict and gender-role orientation in a sample of gay men.
AB - This exploratory study was designed to examine the relationship between gender
role dimensions derived from the Bem Sex-Role Inventory (BSRI) and the 4
dimensions of gender role conflict represented on the Gender Role Conflict Scale
(GRCS) using a sample (N = 400) composed of exclusively gay men. Results from
regression of 3 BSRI scores (femininity, social masculinity, personal
masculinity) on the 4 subscale scores of the GRCS indicated that gender role
conflict was most strongly and positively associated with the negative aspect of
masculinity (social masculinity), accounting for about 11% of variability in
social masculinity scores. In particular, the success-power-competition dimension
of the GRCS was the major predictor of social masculinity in gay men. Gender role
conflict was also strongly but negatively associated with femininity, accounting
for approximately 10% of the variance in femininity scores among the men in the
sample. Implications and recommendations for further studies are discussed.
PMID- 21902016
TI - Mechanical resistance properties of gravel used in subsurface flow constructed
wetlands: implications for clogging.
AB - Gravel constitutes the filter medium in subsurface flow constructed wetlands (SSF
CWs) and its porosity and hydraulic conductivity decrease over time (clogging),
limiting the lifespan of the systems. Using gravel of poor quality accelerates
clogging in wetlands. In this study, gravel samples from six different wetland
systems were compared with regards to their mineral composition and mechanical
resistance properties. Results showed that both mineralogy and texture are
related to mechanical resistance. Accordingly, gravel with high content of quartz
(> 80%) showed a lower percentage of broken particles (0.18-1.03%) than those
with lower content of quartz (2.42-4.56% media broken). Although granite is
formed by high durability minerals, its non-uniform texture results in a lower
resistance to abrasion (ca. 10% less resistance than calcareous gravel).
Therefore, it is recommended to use gravels composed mainly of quartz or, when it
is not available, limestone gravels (rounded and uniform) are recommended
instead. The resistance to abrasion (LAA test) seems to be a good indicator to
determine the mechanical properties of gravels used in CWs. It is recommended to
use gravels with LAA below 30% in order to avoid a rapid clogging due to gravel
crumbling and subsequent mineral solids accumulation.
PMID- 21902017
TI - Treatment of high loaded swine slurry in an aerobic granular reactor.
AB - Aerobic granular sludge grown in a sequential batch reactor was proposed as an
alternative to anaerobic processes for organic matter and nitrogen removal from
swine slurry. Aerobic granulation was achieved with this wastewater after few
days from start-up. On day 140 of operation, the granular properties were: 5 mm
of average diameter, SVI of 32 mL (g VSS)(-1) and density around 55 g VSS
(L(granule))(-1). Organic matter removal efficiencies up to 87% and nitrogen
removal efficiencies up to 70% were achieved during the treatment of organic and
nitrogen loading rates (OLR and NLR) of 4.4 kg COD m(-3) d(-1) and of 0.83 kg N
m(-3) d(-1), respectively. However, nitrogen removal processes were negatively
affected when applied OLR was 7.0 kg COD m(-3) d(-1) and NLR was 1.26 kg N m(-3)
d(-1). The operational cycle of the reactor was modified by reducing the
volumetric exchange ratio from 50 to 6% in order to be able to treat the raw
slurry without dilution.
PMID- 21902018
TI - Biofouling by bryozoans, Cordylophora and sponges in UK water treatment works.
AB - In recent years biofouling from native (bryozoans, sponges) and non-native
(Cordylophora) animals has increased in UK water treatment works (WTW). A survey
of six UK water companies and eight WTWs revealed that these taxa were more
widespread and abundant than previously recognised. Primary problems related to
the occlusion of underfloor nozzles and tailpipes in rapid gravity filter beds
(RGFs). These cost the UK water industry pound 1.49 m between 2005 and 2009.
Additional impacts came from skin irritation to operatives from sponge spicules
and the potential for elevated bacterial pathogen levels. Sponges penetrated the
furthest through the water treatment process, reaching the point of final
chlorination at one WTW. A monitoring plate study showed pronounced seasonality
in fouling, with most taxa peaking in mid to late summer before a winter die-off.
Control options, including the use of chlorine, and the importance of resistant
stages for each taxon are discussed.
PMID- 21902019
TI - Comparison of the role of attachment, aggregation and internalisation of
microorganisms in UVC and UVA (solar) disinfection.
AB - In this comparative study, the impact of two microbial protective mechanisms
against simulated UVA disinfection was assessed by using protocols previously
developed for UVC disinfection assays. (i) The impact of natural microorganism
aggregation and attachment to particles was assessed by targeting total coliform
bacteria in natural surface water samples. (ii) The impact of bacteria
internalisation by zooplankton was assessed by using C. elegans nematodes as a
model host and E. coli as a bacterial target for UVA inactivation. Dispersion of
natural aggregates by blending prior to UVA exposure was shown to enhance the
inactivation rate of total coliforms as compared to untreated raw water. Removal
of particles by an 8-microm membrane filtration did not improve UVA disinfection
efficiency. Twenty-four per cent of the highest applied UVA fluence was found to
reach internalised E. coli in nematodes. Both aggregation and internalisation
showed similar impact as protective mechanisms against UVA and UVC bacterial
inactivation.
PMID- 21902020
TI - Potential of organic filter materials for treating greywater to achieve
irrigation quality: a review.
AB - The objectives of this literature review were to: (i) evaluate the impact of
greywater generated in rural communities, with the emphasis on Jordanian
conditions, on soil, plant and public health and assess the need for treatment of
this greywater before it is used for irrigation, and (ii) assess the potential of
different types of organic by-products as carrier material in different filter
units for removal of pollutants from greywater. Greywater with high BOD5, COD,
high concentrations of SS, fat, oil and grease and high levels of surfactants is
commonly found in rural areas in Jordan. Oxygen depletion, odour emission,
hydrophobic soil phenomena, plant toxicity, blockage of piping systems and
microbiological health risks are common problems associated with greywater
without previous treatment. Organic by-products such as wood chips, bark, peat,
wheat straw and corncob may be used as carrier material in so-called mulch
filters for treating wastewater and greywater from different sources. A down-flow
mode vertical filter is a common setup used in mulch filters. Wastewaters with a
wide range of SS, cBOD5 and COD fed into different mulch filters have been
studied. The different mulch materials achieved SS removal ranging between 51 and
91%, a BOD5 reduction range of 55-99.9%, and COD removal of 51-98%. Most types of
mulches achieved a higher organic matter removal than that achieved by an
ordinary septic tank. Bark, peat and wood chips filters removed organic matter
better than sand and trickling filters, under similar conditions. Release of
filter material and increase in COD in the effluent was reported using some mulch
materials. In conclusion, some mulch materials such as bark, peat and woodchips
seem to have a great potential for treatment of greywater in robust, low-tech
systems. They can be expected to be resilient in dealing with variable low and
high organic loads and shock loads.
PMID- 21902021
TI - Groundwater infiltration, surface water inflow and sewerage exfiltration
considering hydrodynamic conditions in sewer systems.
AB - Sewer systems are closely interlinked with groundwater and surface water. Due to
leaks and regular openings in the sewer system (e.g. combined sewer overflow
structures with sometimes reverse pressure conditions), groundwater infiltration
and surface water inflow as well as exfiltration of sewage take place and cannot
be avoided. In the paper a new hydrodynamic sewer network modelling approach will
be presented, which includes--besides precipitation--hydrographs of groundwater
and surface water as essential boundary conditions. The concept of the modelling
approach and the models to describe the infiltration, inflow and exfiltration
fluxes are described. The model application to the sewerage system of the City of
Dresden during a flood event with complex conditions shows that the processes of
infiltration, exfiltration and surface water inflows can be described with a
higher reliability and accuracy, showing that surface water inflow causes a
pronounced system reaction. Further, according to the simulation results, a high
sensitivity of exfiltration rates on the in-sewer water levels and a relatively
low influence of the dynamic conditions on the infiltration rates were found.
PMID- 21902022
TI - Enhancement of anaerobic biohydrogen/methane production from cellulose using heat
treated activated sludge.
AB - Anaerobic digestion is an effective technology to convert cellulosic wastes to
methane and hydrogen. Heat-treatment is a well known method to inhibit hydrogen
consuming bacteria in using anaerobic mixed cultures for seeding. This study aims
to investigate the effects of heat-treatment temperature and time on activated
sludge for fermentative hydrogen production from alpha-cellulose by response
surface methodology. Hydrogen and methane production was evaluated based on the
production rate and yield (the ability of converting cellulose into hydrogen and
methane) with heat-treated sludge as the seed at various temperatures (60-97
degrees C) and times (20-60 min). Batch experiments were conducted at 55 degrees
C and initial pH of 8.0. The results indicate that hydrogen and methane
production yields peaked at 4.3 mmol H2/g cellulose and 11.6 mmol CH4/g cellulose
using the seed activated sludge that was thermally treated at 60 degrees C for 40
min. These parameter values are higher than those of no-treatment seed (HY 3.6
mmol H2/g cellulose and MY 10.4 mmol CH4/g cellulose). The maximum hydrogen
production rate of 26.0 mmol H2/L/d and methane production rate of 23.2 mmol
CH4/L/d were obtained for the seed activated sludge that was thermally treated at
70 degrees C for 50 min and 60 degrees C for 40 min, respectively.
PMID- 21902023
TI - Low energy/cost desalination: low dose and low mean ion resident time in
concentrate stream of electro-dialysis reversal.
AB - Species, dose, and mean ion resident time (MIRT(c)) in the concentrate of electro
dialysis reversal (EDR) desalination are analysed. In the classical EDR,
dimensions, flow, and velocity of dilute and concentrate are equal; Langelier
saturation index (LSI) and CaSO4 saturation are used to control the scaling and
fouling processes in concentrate, as such LSI < + 2.16 for preventing CaCO3 from
fouling and CaSO4 saturation level < 200 for averting CaSO4 from precipitation.
If LSI is more than allowable limit, acid is added in concentrate to keep CaCO3
continuously dissolving; if CaSO4 saturation level in concentrate is more than
the allowable limit, sodium hexametaphosphate (SHMP) is added in concentrate to
maintain CaSO4 dissolving. EDR, however, was successfully modernised to operate
with the higher water recovery rate (R) without any anti-scalant and without
acid; this new EDR operated with LSI at 2.29 and CaSO4 saturation level 358.9% at
lower dose and lower MIRT(c). Dose and MIRT(c) are proposed to address the
controlling process. Monographs for the acids and SHMP requirements, and for the
desalting cost including desalting power, membrane surface area, and chemicals
usage, are developed. By lowering R and polar reversal interval, EDR can be
operated at MIRT(c) < 130 min; at MIRT(c0 < 130 min, desalting cost/ energy can
be minimised by eliminating chemicals requirement.
PMID- 21902024
TI - Modelling a turbidity current in Soyang reservoir (Korea) and its control using a
selective withdrawal facility.
AB - Persistent turbidity in reservoirs and their downstream after flood events is one
of most important environmental issues in Korea. Recently, modification of
withdrawal facility and installation of a new selective withdrawal structure
(SWS) have been implemented for the mitigation of downstream impact and sediment
loading into water treatment facilities. This study was to explore the
characteristics of flood density flow induced into Soyang Reservoir and the
transport processes of suspended sediments (SS) through application of coupled
two-dimensional hydrodynamic and particle dynamic models (TM-1, TM-2 and TM-3).
The TM-3 including a turbidity attenuation rate as a lumped parameter showed best
performance in reproducing the magnitude and distribution of SS in the reservoir.
The validated model was applied to evaluate the effectiveness of SWS, which was
designed for the reservoir, with 6 different historical flood events. The
magnitude of vertical mixing of the turbidity plume and its persistence within
the reservoir were closely correlated to the ratio of the volume of turbidity
flow to the total reservoir storage (the theta value). The operation of SWS
showed a positive effect as long as theta is between 0.3 and 0.6 but negative
when theta = 0.83 for the study reservoir, thus it should be optimized based on
the theta value for a better management of the reservoir.
PMID- 21902025
TI - Water balance modelling of alternate water sources at the household scale.
AB - Alternate water sources are being implemented in urban areas to augment scheme
water supplied by a water utility to homes. These sources include residential
wells, rainwater tanks and greywater systems. Greater water efficiency can be
achieved when these systems are designed to match a water source to a given
demand based on both water quantity and quality parameters. In this way the use
of an alternate water source can be maximised and the use of the high quality
scheme water minimised. This paper examines the use of multiple alternate water
sources sequentially to supply the same demand point potentially optimising the
use of all available water sources. It also allows correct sizing of such water
systems and their components to reduce scheme water demand. A decision support
tool based on water balance modelling was developed that considers such water
options at the household scale. Application of this tool to eight scenarios for
both large and small house lots shows that using alternate water sources
individually can result in significant scheme water savings. However by
integrating these sources additional scheme water saving can be made.
PMID- 21902026
TI - Application of biofilm reactors to improve ammonia oxidation in low nitrogen
loaded wastewater.
AB - An airlift reactor using zeolite particles as carrier material was used for the
nitrification of effluents from the aquaculture industry. During the start-up the
nitrogen concentration was kept around 100 mg NH4(+)-N/L to develop the
nitrifying population. Later it was decreased down to around 3 mg NH4(+)-N/L and
the dilution rate was increased up to 4.8 d(-1) in order to simulate the
conditions in a an aquaculture waster treatment system. A nitrogen loading rate
(NLR) of 535 mg NH(+)-N/m2 d was fully oxidized to nitrate. Higher values of NLRs
caused nitrite accumulation. A second biofilm reactor was fed with a synthetic
medium containing 50 mg NH4(+)-N/L which simulated the effluents from anaerobic
units treating domestic wastewater. A nitrogen loading rate of 400 mg NH4(+)-N/L
d was oxidized into nitrate with an efficiency of 60% at a dilution rate of 8 d(
1). Both biofilm systems allowed the development of a nitrifying population to
treat the studied types of wastewaters.
PMID- 21902027
TI - A combined UASB-MBR with shortcut nitrification-denitrification for energy
reduction in wastewater reclamation.
AB - Shortcut nitrification has been successfully applied in a laboratory scale
nitrification-denitrification process consisting of an up-flow anaerobic sludge
blanket (UASB) and an aerobic membrane bioreactor (MBR) in treating synthetic and
municipal wastewater to simultaneously remove organic carbon and nitrogen. For
the treatment of synthetic wastewater, the combined system exhibited a high TOC
removal of 98% with a steady ammonia removal efficiency of about 98% in the MBR
and a total nitrogen (TN) removal efficiency of 90%. In treating municipal
wastewater, due to its low COD concentration, removal efficiencies of TOC,
ammonia and TN were 70%, 98% and 60%, respectively. The biogas production was
around 76.4 L/m3 wastewater when treating synthetic wastewater. However, little
biogas was produced when treating municipal wastewater which was the result of
low organic carbon loading to the UASB. Energy analysis has demonstrated that
this novel shortcut nitrification process could consume less energy than a
conventional process and have the potential of bio-energy generation via biogas
production thus helping to achieve a more favorable energy balance.
PMID- 21902028
TI - Decolorization and mineralization of Oolong tea polyphenols in colored soft drink
wastewater by photo Fenton reaction.
AB - The decolorization and the mineralization of the colored soft drink wastewater
including Oolong tea polyphenols by the photo Fenton reaction have been
investigated. The decolorization of the colored soft drink wastewater including
Oolong tea polyphenols by the photo Fenton reaction could be divided into 3
phases. Just after H2O2 was added to the solution, the color of the solution
immediately increased from absorbance of 0.247 to 0.711 at the wavelength of 400
nm, which was defined as the 1st phase. Subsequently the significant
decolorization by the photo Fenton reaction occurred at the 2nd phase. Finally,
complete decolorization (the color attributed to the color of Fe3+) could be
achieved in 180 min at the 3rd phase. The instantaneous and considerable color
increase at the 1st phase could be attributed to the formation of intermediate
colored compounds like quinones and soluble iron complexes produced by the Fenton
reaction. About 95% mineralization of model colored soft drink wastewater with
229 mg L(-1) initial TOC concentration was achieved after 165 min.
PMID- 21902029
TI - Poyang Lake basin: a successful, large-scale integrated basin management model
for developing countries.
AB - Protection of water environment while developing socio-economy is a challenging
task for lake regions of many developing countries. Poyang Lake is the largest
fresh water lake in China, with its total drainage area of 160,000 km2. In spite
of rapid development of socio-economy in Poyang Lake region in the past several
decades, water in Poyang Lake is of good quality and is known as the "last pot of
clear water" of the Yangtze River Basin in China. In this paper, the reasons of
"last pot of clear water" of Poyang Lake were analysed to demonstrate how
economic development and environmental protection can be coordinated. There are
three main reasons for contributing to this coordinated development: 1) the
unique geomorphologic features of Poyang Lake and the short water residence time;
2) the matching of the basin physical boundary with the administrative boundary;
and 3) the implementation of "Mountain-River-Lake Program" (MRL), with the
ecosystem concept of "mountain as source, river as connection flow, and lake as
storage". In addition, a series of actions have been taken to coordinate
development, utilisation, management and protection in the Poyang Lake basin. Our
key experiences are: considering all basin components when focusing on lake
environment protection is a guiding principle; raising the living standard of
people through implementation of various eco-economic projects or models in the
basin is the most important strategy; preventing soil and water erosion is
critical for protecting water sources; and establishing an effective governance
mechanism for basin management is essential. This successful, large-scale basin
management model can be extended to any basin or lake regions of developing
countries where both environmental protection and economic development are needed
and coordinated.
PMID- 21902030
TI - Investigation of long-term operation and biomass activity in a membrane
bioreactor system.
AB - The aim of this work was to evaluate the long-term performance of a Membrane
Bioreactor (MBR) that operated continuously for 2.5 years and to assess membrane
fouling and biomass activity under various operating conditions. Furthermore, a
method for the characterisation of influent wastewater was developed based on its
separation into various fractions. The MBR system operated at the solids
retention times (SRT) of 10, 15, 20 and 33 days. The increase of SRT resulted in
a decrease of the fouling rate associated with the reduction of extracellular
polymeric substances. Moreover, the SRT increase resulted in a significant
reduction of the Oxygen Uptake Rate (OUR) due to the lower availability of
substrate and in a notable decrease of the maximum OUR since high SRT allowed the
development of slower growing microorganisms. Biomass consisted of small flocs
due to extensive deflocculation caused by intense aeration. Finally, the method
developed for wastewater characterisation is straightforward and less time
consuming than the usual method that is employed.
PMID- 21902031
TI - Assessment of data and parameter uncertainties in integrated water-quality model.
AB - In integrated urban drainage water quality models, due to the fact that
integrated approaches are basically a cascade of sub-models (simulating sewer
system, wastewater treatment plant and receiving water body), uncertainty
produced in one sub-model propagates to the following ones depending on the model
structure, the estimation of parameters and the availability and uncertainty of
measurements in the different parts of the system. Uncertainty basically
propagates throughout a chain of models in which simulation output from upstream
models is transferred to the downstream ones as input. The overall uncertainty
can differ from the simple sum of uncertainties generated in each sub-model,
depending on well-known uncertainty accumulation problems. The present paper aims
to study the uncertainty propagation throughout an integrated urban water-quality
model. At this scope, a parsimonious bespoke integrated model has been used
allowing analysis of the combinative effect between different sub-models.
Particularly, the data and parameter uncertainty have been assessed and compared
by means of the variance decomposition concept. The integrated model and the
methodology for the uncertainty decomposition have been applied to a complex
integrated catchment: the Nocella basin (Italy). The results show that
uncertainty contribution due to the model structure is higher with respect to the
other sources of uncertainty.
PMID- 21902032
TI - Effects of aquifer thermal energy storage on groundwater quality and the
consequences for drinking water production: a case study from The Netherlands.
AB - We used data from an aquifer thermal energy storage (ATES) system located 570 m
from a public water supply well field in the south of The Netherlands to
investigate the relation between production of renewable energy with an ATES
system and the production of drinking water. The data show that the groundwater
circulation by the ATES system can impact chemical groundwater quality by
introducing shallow groundwater with a different chemical composition at greater
depth. However, the observed concentration changes are sufficiently small to keep
groundwater suitable for drinking water production. Microbiological results
showed that the ATES system introduced faecal bacteria in the groundwater and
stimulated the growth of heterotrophic micro-organisms. At the studied site this
forms no hygienic risk because of the long distance between the ATES wells and
the public supply well field A further degradation of either chemical or
microbiological groundwater quality however may necessitate additional water
treatment which raises the energy requirements. The additional energy
requirements for drinking water treatment may be up the same order of magnitude
as the harvested energy by the ATES system.
PMID- 21902033
TI - Applicability of MIKE 21 to assess temporal and spatial variation in water
quality of an estuary under the impact of effluent from an industrial estate.
AB - This study aims at analysing the impact of wastewater load of industrial units in
Haldia on the water quality of the Hoogly estuary using numerical modelling
techniques. Modelling was never attempted in this region because it was generally
felt that simulating such a complex system would not be easy with limited data
availability but MIKE 21, a hydrodynamic and water quality model, was used to
simulate BOD and DO profiles in the study area and simulation provided reasonably
good predictions. A scenario assessment was also carried by increasing the flows
from various sources to understand the site-specific relationships between
pollution sources and water quality conditions and also to calculate the
assimilative capacity of Hoogly with respect to waste discharged from the
industrial units at Haldia. The results suggested that water quality of the canal
towards closed Oil Jetty was highly deteriorated due to stagnant pond condition
and discharges from a refinery. But large part of the canal was found to be well
drained under tidal influence as a consequence of which both DO and BOD conform
to the prescribed water quality standards most of the times. The impact of
industrial waste load on the Hoogly was found to be negligible and the radius of
influence was limited to about 200-300 m across the estuary and about 1.6 km
along the shore during ebb conditions. Assimilative capacity of the region was
estimated to be 837 kg/d as against the present load of 121 kg/d. The study
suggested that the estuary had good dilution capacity and intense tidal mixing
helped in rapidly diluting the pollutants.
PMID- 21902034
TI - The effectiveness of humic acids coagulation with the use of cationic
polyacrylamides.
AB - The chemical coagulation process is a popular method for eliminating the
precursors of disinfection by-products. This study presents the results of a
laboratory experiment which investigates the use of cationic polyacrylamide
copolymers (CPAMs) as primary coagulants in the purification of solutions
containing humic acids (HA). A number of polymers with various molecular weight
and charge density were tested. The optimal doses were determined by colloidal
titration. The effectiveness of coagulation-flocculation was determined by jar
test method. The post-coagulation suspension was separated by filtration through
cellulose filter paper. The experiment showed that charge neutralization
destabilizes organic colloidal particles. An inversely proportional relationship
was observed between the optimal dose and polymer charge density. The
effectiveness of UV254 reduction (52-81%) and colour removal (51-88%) was
determined by the effectiveness of filtration in removing post-coagulation
turbidity, as well as by the type of applied polymer. The results of the study
suggest that the use of CPAMs with high charge density and low molecular weight
enables effective removal of humic acids from water in the coagulation and
filtration process.
PMID- 21902035
TI - Determination of reaction rate constants for phenol oxidation using SnO2/Ti
anodes coupled with activated carbon adsorption in the presence of TiO2 as
catalyst.
AB - Series of experiments for phenol degradation with assistance of TiO2 catalyst at
pH of 6.5 and temperature of 25 degrees C were conducted using a lab-scale
electrochemical reactor constructed in our laboratory. According to the results,
at the presence of the TiO2 catalyst the removal of phenol was increased and
first-order kinetics could describe the evolution of phenol concentration. For
inspecting the relationship between rate constants and dosage of TiO2, two
possible kinetics were proposed in this study. Contrasted to the abundant
experimental data, a reasonable kinetics was obtained for the estimation of
phenol concentration effluent during continuous flow of raw wastewater,
especially when the TiO2 dosage was less than 0.5g L(-1). The model obtained from
these experiments could employed for the calculation of rate constants at
different TiO2 dosage and the necessary dosage of catalyst when a discharge
standard was designed.
PMID- 21902036
TI - Impact of copper and cadmium on aerobic and anaerobic digestibility of sonicated
sludge.
AB - The effects of the introduction of a sludge reduction process such as ultrasound
on batch aerobic and anaerobic biodegradability after exposition to two metals
(copper and cadmium) were investigated. The specific energy of ultrasonic
treatment applied to the sludge was 200,000 kJ kg TS(-1). Ultrasonic treatment
led to floc size reduction and to organic matter solubilization. Low copper (< 5
mg L(-1)) and cadmium (< 1 mg L(-1)) concentration improved aerobic
biodegradability. For high metal concentration the maximal instantaneous biogas
production rate q(max) inhibition by copper and cadmium was modeled by a
saturation-type relationship under aerobic and anaerobic conditions. Under
aerobic conditions, respiration inhibition was not affected by sonication.
Cadmium inhibition (74%) was more than copper (58%). The positive effect of
sonication on CO2 production was maintained after metal introduction. Under
anaerobic conditions, metal introduction cancelled out the positive effect of the
treatment. The sonicated sludge was 16% less sensitive to copper inhibition but
10% more sensitive to cadmium inhibition compared to non sonicated sludge.
PMID- 21902037
TI - Influent concentrations and removal performances of metals through municipal
wastewater treatment processes.
AB - This extensive study aimed at quantifying the concentrations and removal
efficiency of 23 metals and metalloids in domestic wastewater passing through
full-scale plants. Nine facilities were equipped with secondary biological
treatment and three facilities were equipped with a tertiary treatment stage. The
metals investigated were Li, B, Al, Ti, V, Cr, Fe, Ni, Co, Cu, Zn, As, Se, Rb,
Mo, Ag, Cd, Sn, Sb, Ba, TI, Pb and U. Particulate and dissolved metals were
measured using 24 h composite samples at each treatment stage. In influents,
total concentrations of Cd, Sb, Co, Se, U, Ag, V were below a few microg/L,
whereas at the other extremity Zn, B, Fe, Ti, Al were in the range of 0.1 to > 1
mg/L. It was demonstrated that secondary treatment stage (activated sludge,
biodisc and membrane bioreactor) were efficient to remove most metals (removal
rate > 70%), with the exception of B, Li, Rb, Mo, Co, As, Sb and V due to their
low adsorption capacities. With the tested tertiary stages (polishing pond, rapid
chemical settler, ozonation), a removal efficiency was obtained for Ti, Cr, Cd,
Cu, Zn, Sn, Pb, Fe, Ag and Al, whereas a little removal (< 30%) was obtained for
other metals.
PMID- 21902038
TI - Leaching of additives from construction materials to urban storm water runoff.
AB - Urban water management requires further clarification about pollutants in storm
water. Little is known about the release of organic additives used in
construction materials and the impact of these compounds to storm water runoff.
We investigated sources and pathways of additives used in construction materials,
i.e., biocides in facades' render as well as root protection products in bitumen
membranes for rooftops. Under wet-weather conditions, the concentrations of
diuron, terbutryn, carbendazim, irgarol 1051 (all from facades) and mecoprop in
storm water and receiving water exceeded the predicted no-effect concentrations
values and the Swiss water quality standard of 0.1 microg/L. Under laboratory
conditions maximum concentrations of additives were in the range of a few
milligrams and a few hundred micrograms per litre in runoff of facades and
bitumen membranes. Runoff from aged materials shows approximately one to two
orders of magnitude lower concentrations. Concentrations decreased also during
individual runoff events. In storm water and receiving water the occurrence of
additives did not follow the typical first flush model. This can be explained by
the release lasting over the time of rainfall and the complexity of the drainage
network. Beside the amounts used, the impact of construction materials containing
hazardous additives on water quality is related clearly to the age of the
buildings and the separated sewer network. The development of improved products
regarding release of hazardous additives is the most efficient way of reducing
the pollutant load from construction materials in storm water runoff.
PMID- 21902039
TI - The connection between water and energy in cities: a review.
AB - We have only rudimentary understanding of the complex and pervasive connections
between water and energy in cities. As water security now threatens energy and
economic security, this is a major omission. Understanding the water-energy nexus
is necessary if we want to contribute to solving water and energy issues
simultaneously; if we want to stop moving problems from one resource dimension to
another. This is particularly relevant in the Australian context where energy use
for water supplies is forecast to rapidly escalate, growing around 300% from 2007
levels, by 2030. This paper presents a literature review with an aim of
characterising the research to date with a particular focus on cities, the major
centres of consumption and growth. It systematically analyses a wide range of
papers and summarises the diverse objectives, dimensions, and scale of the
research to-date together with knowledge gaps. There are many major gaps. These
include energy use associated with water in industrial and commercial operations
as well as socio-political perspectives. A major gap is the lack of a unifying
theoretical framework and consistent methodology for analysis. This is considered
a prerequisite for quantitative trans-city comparisons.
PMID- 21902040
TI - Nitrogen removal from digested manure in a simple one-stage process.
AB - A process based on partial nitrification and recirculation into the anaerobic
digester was studied to remove nitrogen from digested manure and thus reduce
enhanced gaseous ammonia emissions due to on-farm biogas production. An anaerobic
reactor representing an anaerobic manure digester was fed with a nitrite solution
and digested manure liquor. Nitrite was efficiently removed from the influent and
ammonium formation was observed first. Ammonium was subsequently eliminated up to
a maximum of 90% of the influent concentration, indicating anaerobic ammonium
oxidation activity. This activity, however, decreased again and was lost at the
end of the 4-month operation period. In a 1.5 L aerobic CSTR that was fed with
digested manure liquor, ammonium was efficiently removed from the influent.
Nitrite and nitrate formation was observed but mass balances indicated
significant N-removal. Accumulation of suspended solids was observed at the end
of the experiment suggesting presence of oxygen-free environments. In a second
test in a 15 L CSTR where suspended solids sedimentation could be avoided, low N
removal rates were observed in the absence of biofilm carrier elements whereas
high N-removal rates were achieved in their presence. A simple one-stage process
based on immobilized biomass could therefore be installed downstream of
agricultural anaerobic digesters in order to mitigate undesirable gaseous ammonia
emissions.
PMID- 21902041
TI - An antimicrobial polycationic sand filter for water disinfection.
AB - A new sand filtration water disinfection technology is developed which relies on
the antimicrobial properties of hydrophobic polycations (N-hexylated
polyethylenimine) covalently attached to the sand's surface. The efficacy of the
filter disinfection process was evaluated both with water spiked with E. coli and
with real aqueous effluent from a wastewater treatment plant. For the former,
over 7-log reduction in bacterial count was achieved. With real environmental
wastewater secondary effluent samples, the E. coli concentration reduction
declined to under 2 logs. This reduced inactivation efficiency compared to the
model aqueous sample is likely due to the particulate or colloidal matter present
that diminishes the contact between the immobilized polycation and the suspended
bacteria. Preliminary sand washing methods were tested to assess potential
'regeneration' approaches. Potential advantages of the proposed approach over
conventional disinfection in terms of eliminating harmful by-products and
reducing energy consumption are discussed.
PMID- 21902042
TI - Wastewater as a resource: a unique approach to achieving energy sustainability.
AB - A wastewater-treatment flowsheet was developed to integrate uniquely designed
biological processes with physical-chemical unit processes, allowing conversion
of the organic carbon in the wastewater to methane, the removal and recovery of
phosphorus and nitrogen from the wastewater, and the production of water suitable
for reuse. In the flowsheet, energy is derived from the wastewater by first
shunting a large fraction of the organic carbon in the wastewater to a solids
slurry which is treated via anaerobic digestion. The anaerobic digestion system
consists of focused pulsed (FP) pretreatment coupled to anaerobic membrane
bioreactors (MBRs). Computer modelling and simulation results are used to
optimize design of the system. Energy generation from the system is maximized and
costs are reduced by using modest levels of recycle flow from the anaerobic MBRS
to the FP pretreatment step.
PMID- 21902043
TI - Inactivation of Pseudomonas aeruginosa in electrochemical advanced oxidation
process with diamond electrodes.
AB - The electrochemical advanced oxidation process (EAOP) with diamond electrodes may
serve as an additional technology to the currently approved methods for water
disinfection. Only few data exist on the microbicidal effect of the EAOP. The aim
of our study was to investigate the microbicidal effect of a flow-through
oxidation cell with diamond electrodes, using Pseudomonas aeruginosa as the test
organism. Without electrical current the EAOP had no measurable effect on
investigated microbiological and chemical parameters. For direct electrical
current a stronger impact was observed at low flow rate than at higher flow rate.
Depending on the contact time of the oxidants and the type of quenching reagent
added, inactivation of P. aeruginosa was in the range log 1.6-3.6 at the higher
flow rate and log 2.4-4.4 at the lower rate. Direct electrical current showed a
stronger microbicidal effect than alternating current (maximum reduction log 4.0
and log 2.9, respectively). The microbiological results of experiments with this
EAOP prototype revealed higher standard deviations than expected, based on our
experience with standard water disinfection methods. Safe use of an EAOP system
requires operating parameters to be defined and used accurately, and thus
specific monitoring tests must be developed.
PMID- 21902044
TI - Effect of support and second metal in catalytic in-situ generation of hydrogen
peroxide by Pd-supported catalysts: application in the removal of organic
pollutants by means of the Fenton process.
AB - A catalytic system for the generation of H2O2 from formic acid and oxygen at
ambient conditions has been developed. Pd-supported catalysts (Pd/C, Pd/TiO2 and
Pd/Al2O3) have been tested, showing that for bulk purposes Pd/Al2O3 is more
favourable while for in-situ applications Pd/TiO2 seems to be preferable.
However, when these catalysts were tested in the in-situ H2O2 generation for the
oxidation of phenol by means of the Fenton process (in the presence of ferrous
ion), Pd/TiO2 did not demonstrate the expected results, whereas Pd/Al2O3 showed
to be an efficient catalyst. Therefore, Pd/Al2O3 is offered as a good catalyst
for Fenton's reactions with in-situ generated H2O2. In order to optimize the
operating cost of the process, different initial concentrations of formic acid
have been tested with Pd/Al2O3, and it has been seen that lowering the initial
amount of formic acid favours the efficiency of the process. The effect of the
addition of a second metallic (Pt, Au, Fe, Cu) active phase was studied.
Concerning H2O2 generation, best results were obtained with a Pd-Au catalyst for
bulk production (long time) while for in-situ application Pd-Fe showed
interesting results. The Pd-Fe catalyst also performed similarly to the semi
heterogeneous Fenton system involving Pd/Al2O3 and ferrous ion in the degradation
of phenol. Therefore, Pd-Fe catalyst offered an interesting prospect for making a
full heterogeneous catalyst for Fenton reaction involving in-situ generation of
H2O2.
PMID- 21902045
TI - A knowledge-based control system for air-scour optimisation in membrane
bioreactors.
AB - Although membrane bioreactors (MBRs) technology is still a growing sector, its
progressive implementation all over the world, together with great technical
achievements, has allowed it to reach a mature degree, just comparable to other
more conventional wastewater treatment technologies. With current energy
requirements around 0.6-1.1 kWh/m3 of treated wastewater and investment costs
similar to conventional treatment plants, main market niche for MBRs can be areas
with very high restrictive discharge limits, where treatment plants have to be
compact or where water reuse is necessary. Operational costs are higher than for
conventional treatments; consequently there is still a need and possibilities for
energy saving and optimisation. This paper presents the development of a
knowledge-based decision support system (DSS) for the integrated operation and
remote control of the biological and physical (filtration and backwashing or
relaxation) processes in MBRs. The core of the DSS is a knowledge-based control
module for air-scour consumption automation and energy consumption minimisation.
PMID- 21902046
TI - Long-term effects of the ozonation of the sludge recycling stream on excess
sludge reduction and biomass activity at full-scale.
AB - This paper presents a full-scale experience of sludge minimization by means of
short contact time ozonation in a wastewater treatment plant (WWTP) mainly fed on
textile wastewater. The WWTP performance over a 3-year operational data series
was analysed and compared with a two-year operation with sludge ozonation. Lab
scale respirometric tests were also performed to characterize biomass activity
upstream and downstream of the ozone contact reactor. Results suggest that sludge
ozonation: (1) is capable of decreasing excess sludge production by 17%; (2)
partially decreases both N removal, by lowering the denitrification capacity, and
P removal, by reducing biomass synthesis; (3) increases the decay rate from the
typical value of 0.62 d(-1) to 1.3 d(-1); (4) decreases the heterotrophic growth
yield from the typical value of 0.67 to 0.58 gCOD/gCOD.
PMID- 21902047
TI - Modelling anaerobic digestion of concentrated black water and faecal matter in
accumulation system.
AB - A dynamic mathematical model based on anaerobic digestion model no. 1 (ADM1) was
developed for accumulation (AC) system treating concentrated black water and
faecal matter at different temperatures. The AC system was investigated for the
treatment of waste (water) produced from the following systems: vacuum toilet for
black water (VBW), vacuum toilet for faeces with urine separation (VF), dry
toilet (DT), dry toilets for faeces with urine separation (DF), separated faecal
matter from conventional black water by filter bag (FB). For evaluation of the AC
system treating the proposed waste (water) sources at 20 and 35 degrees C, two
options were studied: (1) The filling period of the AC system was constant for
all waste (water) sources (either 1, 3 or 6 months) and for each period, the seed
sludge volume was varied; (2) The volume of the AC system was constant for all
proposed waste (water) sources. The results showed that the filling period of the
AC system was the main parameter affecting the system performance, followed by
operational temperature, while the increase of the seed sludge volume slightly
enhanced the performance of the system. The model results indicated that the
filling period of the AC system should be higher than 150 days for obtaining a
stable performance. It was found that the hydrolysis of biodegradable particulate
chemical oxygen demand (COD) is the rate limiting step, as volatile fatty acid
concentration is very low in all experimental conditions (< 200 mgCOD/L at 20
degrees C and < 100 mgCOD/L at 35 degrees C). Based on the results of the two
options, it was found that the concentrated waste (water) sources have better
performance than the diluted waste (water) sources, like VBW waste (water).
Furthermore, smaller volume will be required for the AC system.
PMID- 21902048
TI - Evaluation of hybrid treatments to produce high quality reuse water.
AB - Four tertiary hybrid treatments to produce high quality reused water, fulfilling
Brazilian drinking water regulations, from a slaughterhouse's secondary treated
effluent were evaluated. The pilot plant with a capacity of 500 L h(-1) was set
up and consisted of these stages: pre-filtration system (cartridge filter 50
micron, activated carbon filter, cartridge filter 10 micron), oxidation (H2O2) or
second filtration (ceramic filter, UF) followed by UV radiation (90 L h(-1)). The
best combination was T4: pre-filtration followed by H2O2 addition and UV
radiation (AOP H2O2/UV). Disinfection kinetics by T4 followed pseudo first-order
kinetics: k(T4) = 0.00943 s(-1) or 0.00101 cm2 mJ(-1). Three different zones (A,
B, C) were observed in the UV254 degradation kinetics (pseudo-first order
kinetics): k' decreased over time (k'(A) > k'(B) > k'(C)).
PMID- 21902049
TI - Sensitivity analysis for the SimpleTreat model to simulate fate of chemicals in
sewage treatment plants.
AB - SimpleTreat 3.1 predicts the distribution and elimination of chemicals by sewage
treatment. The model is used as the default calculation in EUSES 2.0 to estimate
exposure concentration in the receiving water, soil and air. A sensitivity
analysis was performed to rank input parameters according to their influence on
model predictions. Triclosan was selected for the base case. The sewage flow,
degradation rates and parameters describing the raw sewage were shown to be the
most sensitive inputs. The pH and dissociation constant are very sensitive
parameters when working with ionisable compounds. Variation in certain input
parameters was propagated through the model to yield greater variation in output
parameters with a maximum ratio of 4.0. SimpleTreat 3.1 is a steady state model
describing a highly non-steady system. A large variability in the most sensitive
parameters is expected within a single sewage plant and parameters should
therefore be selected to cover this variability.
PMID- 21902050
TI - A fuzzy quality index for the environmental assessment of a restored wetland.
AB - This paper describes the feasibility study for the restoration of agricultural
land with a tendency to become waterlogged into a natural wetland, conceived to
mitigate floods and to remove nutrients from the water drained from the
cultivated plots. The wetland model, developed in aquatox, includes the nutrient
dynamics both in the water and in the sediment, and the vegetation that is
expected to develop as a consequence of flooding. The model inputs were
synthesized from historical time series of rainfall and chemical data collected
over the last decade. The model outputs are used to compute a synthetic fuzzy
quality index (FQI) to assess the removal efficiency of the wetland. This FQI is
based on three main variables describing the ecosystem quality: chlorophyll-a,
dissolved oxygen and total suspended solids. This index has the merit of being
simple enough to be immediately grasped by non-technical people, like managers
and stakeholders, to whom the restoration project is proposed. The simulations,
performed under five differing loading scenarios demonstrate the feasibility of
this solution, which is robust enough to accommodate a 50% increase in either
nitrogen, phosphorous or organic matter.
PMID- 21902051
TI - The effect of medium on selected life-history traits in three clones of Lecane
inermis (Rotifera) from activated sludge.
AB - We tested the effect of various culture media on life-history traits in three
clones of the rotifer Lecane inermis, a potential bulking control agent. Four
types of media were tested: a filtrate of activated sludge, mineral water, and
each of these media enriched with molasses. The number of live and dead
individuals and the number of amictic eggs were counted during the 14-day
experiment, and the egg ratio (ER) and mortality rate were calculated. We found
that the rotifers were well adapted to the changes in chemical composition of the
medium and that the addition of molasses resulted in a significant increase in
rotifer abundance. The highest ER was noted after two days, reaching a maximum of
4 eggs per female in treatments with filtrate and molasses-enriched filtrate. The
life-history traits varied depending on the clone and the medium, but all of the
clones were able to survive and proliferate, even after 14 days of starvation.
PMID- 21902052
TI - Analysis of build-up of heavy metals and volatile organics on urban roads in gold
coast, Australia.
AB - Urban water quality can be significantly impaired by the build-up of pollutants
such as heavy metals and volatile organics on urban road surfaces due to
vehicular traffic. Any control strategy for the mitigation of traffic related
build-up of heavy metals and volatile organic pollutants should be based on the
knowledge of their build-up processes. In the study discussed in this paper, the
outcomes of a detailed experimental investigation into build-up processes of
heavy metals and volatile organics are presented. It was found that traffic
parameters such as average daily traffic, volume over capacity ratio and surface
texture depth had similar strong correlations with the build-up of heavy metals
and volatile organics. Multicriteria decision analyses revealed that that the 1
74 microm particulate fraction of total suspended solids (TSS) could be regarded
as a surrogate indicator for particulate heavy metals in build-up and this same
fraction of total organic carbon could be regarded as a surrogate indicator for
particulate volatile organics build-up. In terms of pollutants affinity, TSS was
found to be the predominant parameter for particulate heavy metals build-up and
total dissolved solids was found to be the predominant parameter for the
potential dissolved particulate fraction in heavy metals buildup. It was also
found that land use did not play a significant role in the build-up of traffic
generated heavy metals and volatile organics.
PMID- 21902053
TI - A review on the metabolic disorders of iodine deficiency.
AB - Iodine is in the crucial parts of two hormones of T4 and T3 produced by the
thyroid glands which are essential for all the aspects of human metabolisms. It
is demonstrated that iodine deficiency can be considered as sole cause of many
thyroid abnormalities including mental disorders. Iodine deficiency of sufficient
degree to cause hypothyroidism during fetus life and early infancy will be
accompanied with brain abnormality possibly to the stage of mental retardation.
The iodine deficiency among subjects in their early stage of childhood is not as
severe as those in their fetus or infancy. In adult subjects the sever iodine
deficiency can be also associated with mental disorders due to the direct side
effects of hypothyroidism occurred by lack of iodine. The clinical manifestation
of iodine deficiency show itself with psychological disorders in adult subjects.
The status of iodine within blood can be evaluated through measurement of urinary
iodine level and the low urinary concentration is an indicative of
hypothyroidism. Mental retardation and brain damage due to iodine deficiency can
be prevented if iodine supplementation prescribed duly on time.
PMID- 21902054
TI - The hypocholesterolemic and antioxidative effect of dietary diosgenin and
chromium chloride supplementation on high-cholesterol fed Japanese quails.
AB - The current study investigated the effect of natural diosgenin extracted from
fenugreek seeds, in comparison with the pure standard diosgenin, plus chromium
chloride (CrCl3) supplementation on high-cholesterol fed Japanese quails. Quails
were randomly divided into four groups. Group one (n = 25) fed experimental diet
only (control; contained basal diet supplemented with 1% cholesterol), group 2 (n
= 20) fed experimental diet supplemented with CrCl3 only (400 microg kg(-1) of
body weight), groups 3 and 4 (n = 30 per group) were fed experimental diet
supplemented with either 0.5% (w/w) of extracted diosgenin plus CrCl3 or pure
standard diosgenin (0.5%) plus CrCl3 respectively, for 12 days. Blood samples
were collected at days 0 and 12 for measuring levels of lipid profile. The work
was carried out at Applied Science University and Amman University, Amman, Jordan
during the period from October 2009 through October 2010. The mean levels of
total cholesterol (TC) in control quails at d12 was significantly (p < 0.01)
increased compare to those at d0. Supplementation of diet with CrCl3 alone or
CrCl3 with diosgenin either extracted or pure standard for 12 days showed a
significant (p < 0.01) decrease in TC and low density lipoprotein cholesterol
(LDL-C) levels as compared to those in the control quails. While, high density
lipoprotein cholesterol (HDL-C) increased significantly (p < 0.01) in quails
supplemented with diosgenin and CrCl3. At d12, the mean Superoxide Dismutase
(SOD) activities in erythrocytes of quails in all supplemented groups was
significantly (p < 0.01) increased as compared to those in control group and was
more pronounced in erythrocytes of quails supplemented with pure standard
diosgenin plus CrCl3. These results indicated that the combined diosgenin and
CrCl3 supplementation to high-cholesterol fed quails might induce a protective
effect by both regulating lipid and antioxidative damage.
PMID- 21902055
TI - Growth hormone deficiency in children and adolescents with cerebral palsy:
relation to gross motor function and degree of spasticity.
AB - Children with Cerebral Palsy (CP) often have poor linear growth during childhood
with short final height. Thus, we aimed to assess serum growth hormone (GH),
insulin like growth factor-1 (IGF-1) and insulin like growth factor binding
protein-3 (IGFBP-3) levels among CP patients and their relation to each of gross
motor function and degree of spasticity. Fifty CP children and adolescents were
studied in comparison to 50 healthy age-, sex- and pubertal stage-matched
children and adolescents. All subjects were subjected to clinical evaluation,
Intelligence Quotient (IQ) assessment and measurement of serum GH, IGF-1 and
IGFBP-3. All auxological and hormonal parameters were significantly lower among
cases. Fifty two% of cases were GH-deficient and 62% had reduced IGF-land IGFBP-3
levels. Gross Motor Function Measure- 88 (GMFM-88) score correlated negatively
with each of basal (r = -0.71, p = 0.02) and peak stimulated GH (r =-0.88, p =
<0.001); IGF-1 (r = -0.64, p = 0.04) and IGFBP-3 (r = -0.69, p = 0.031). There
were significant negative correlations between the degree of spasticity assessed
by Modified Ashworth Scale and each of basal (r = -0.61, p = 0.032) and peak
stimulated GH (r = -0.78, p = 0.01); IGF-1 (r = -0.65, p = 0.041) and IGFBP-3 (r
= -0.62, p = 0.035). Growth Hormone Deficiency (GHD) is prevalent in children
with CP and could be one of the causes of their short stature.
PMID- 21902057
TI - Antibacterial activity and phytochemical study of six medicinal plants used in
Benin.
AB - The ethanol extracts obtained from Psidium guajava, Flacourtia flavescens
Boswellia dalzielii, Ficus exasperata, Pavetta corymbosa and Hybanthus
enneaspermus, six species traditionally used in Benin to treat several infectious
diseases, were evaluated for their in vitro antimicrobial activity against
Staphylococcus aureus, Enteroccocus feacalis, Escherichia coli and Pseudomonas
aeruginosa. The minimum inhibitory concentration of extracts was determinate
using the microplate dilution method. The presence of major phytoconstituents was
detected qualitatively. The diphenylpicrylhydrazine radical scavenging activity
was also performed. The extracts exhibited antibacterial activities against the
tested bacteria. Boswellia dalzielii, Psidium guayava, Pavetta corymbosa and
Flacourtia flavescens exibited the lowest minimum inhibitory concentration values
(0.313-2.5 mg mL(-1)). Pseudomonas aeruginosa was the lest sensitive
microorganism with MIC values higher than 10 mg mL(-1). In antioxidant assay the
crude extracts of B. dalzielii and P. corymbosa appeared to be as potent as
quercetol with an inhibition percentage of 83 and 75.3% at 10 microg mL(-1) which
is comparable to 75.9% for quercetol at the same concentration.
PMID- 21902056
TI - High vero cell density and rabies virus proliferation on fibracel disks versus
cytodex-1 in spinner flask.
AB - To achieve higher titer of rabies virus higher density of host cells will need.
In this study, capability of FibraCel disks packed in 500 mL spinner basket
versus Cytodex-1 in 500 mL spinner flask was investigated for propagation of Vero
cells and PV rabies virus proliferation. Minimal Essential Medium (MEM) + 10%
Foetal Calf Serum (FCS) and Virus Production- Serum Free Medium (VP-SFM) +4 mM L
glutamine were used in growth phase and MEM+ 0.2% Bovine Serum Albumin (BSA) and
VP-SFM were used in virus production phase. Adapted Vero cells grown in VP-SFM
were used in all SFM experiments while batch and stepwise perfusion modes were
applied and compared in growth stage. The highest Vero cell density were achieved
in the trials with 10 g FibraCel disk in stepwise perfusion mode equal to 6.12 x
10(6) and 5.87 x 10(6) cells mL(-1) in MEM and VP-SFM, respectively while with
2.73 g Cytodex-1 lower density equal to 4.2 x 10(6) and 4.0 x 10(6) cells mL(-1)
were achieved. The highest titer of rabies virus and overall virus production
rate were resulted in VP-SFM and on 10 g disks equal to 2.9 x 10(7) Fluorescent
Focus Unit (FFU) mL(-1) and 0.14 FFU/Cell/h, respectively versus 1.7 x 10(7) FFU
mL(-1) and 0.08 FFU/cell/h on cytodex-1 in similar conditions. The second harvest
of virus was also satisfactory in experiment with 10 g disks (1.7 x 10(7) FFU mL(
1)) in compare to Cytodex-1 (0.51 x 10(7) FFU mL(-1)). An equal surface area at
6600 and 12000 cm(-2) were provided in all comparable trials with seeding density
of 12.5 x 10(3) cells cm(-2). Adapted Vero cells grown in VP-SFM were used in all
SFM experiments while batch and stepwise perfusion modes were applied and
compared in growth stage.
PMID- 21902058
TI - Respiratory bacterial flora from healthy as well as respiratory symptoms'
subjects.
AB - Respiratory system is the primary settlement place of opportunistic organisms and
considered as chief carrier of common respiratory pathogens. The aim of the study
was to know the opportunistic organisms present in the healthy subjects as well
as subjects that were suffering from respiratory symptoms. The organisms were
identified as per standard bacteriological protocol and pathogenicity tests of
the identified organisms were performed in mouse model. Antibiotic sensitivity of
the identified organisms was performed. The bacterial flora present in the throat
swab of apparently healthy as well as subjects suffering from respiratory
symptoms were: Staphylococcus spp. (39.44%) of which Coagulase positive
Staphylococcus (21.13%) and Coagulase negative Staphylococcus (18.31%),
Klebsiella spp. (19.72%), Pseudomonas spp. (15.49%), Proteus spp. (4.23%), E.
coli (9.86%) and Bacillus spp. (11.27%). Among the isolates Staphylococcus,
Klebsiella and Pseudomonas were the predominant species. Percentages of
identified bacteria were higher in respiratory symptoms exhibiting individuals
(53.52%) than apparently healthy individuals (46.48%). All coagulase positive
Staphylococcus, Klebsiella spp. and Pseudomonas spp. isolated from respiratory
symptoms' subjects were found to be pathogenic. The isolated bacteria were
resistant to amoxicillin and ampicillin but sensitive to ciprofloxacin and
norfloxacin. Isolated Pseudomonas spp. showed multidrugs resistant properties.
The study provided information about the pathogenic organisms' present
respiratory systems of apparently healthy as well as subjects suffering from
respiratory symptoms. The pathogenic natures of the isolated organisms were
determined to make aware of scientists as well as clinicians. Antibiotics
sensitivity assays would provide information to the clinicians for the selection
of appropriate antibiotics to treat their patients.
PMID- 21902059
TI - An investigation on different harvesting methods on young pods of KKU # 922 maize
(Zea mays L.) cultivar for baby corn production.
AB - This study aimed to search for the best indicator to be used for the harvest of
maize pods for baby corn production. A Randomized Complete Block Design (RCBD)
with four replications was used. The treatments are: T1 (Control) Taking sample
when silks of female flower had extended from tip of pod up to 3 cm long T2,
silks had extended 1 cm long T3, silks had extended 2 cm long T4, blooming of
female flower for 2 days T5, blooming of female flowers for 4 days T6, blooming
of female flower for 6 days T7, one third blooming of male flower T8, two third
blooming of male flower and T9, full bloom of male flower. Five baby corn
Characteristics were used i.e., (1) fresh weight of whole ears, (2) fresh weight
of ears without husk, (3) commercial standard ears, (4) off standard ears and (5)
disordered kernel-rows of ears. A range of scores from 1 to 9 was applied to
judge quality and yield in each item of the five baby corn characteristics. A
score of 1 = the best whilst further increases in scores indicated the decline in
quality of baby corn. The results showed that an indicator for use in harvesting
pods of maize for baby corn production was found with T6, i.e. the best time for
the harvest of pods is when the female flowers had bloomed for 6 days after the
appearance of silks.
PMID- 21902060
TI - Immune modulation in response to stress and relaxation.
AB - Traditional medical science has kept the mind separate from the body. Recently
people realize the effect of mind on health and psychoneuroimmunology is the new
evolved science that describes the interactions between psyche and soma. In this
review through a typical psycho-neuro-endocrino-immune network the effects of
psychological stress (acute, brief naturalistic and chronic) and relaxation on
immune modulation has been shown. From this network Corticotrophin Releasing
Factor (CRF), Adrenocorticotrophic Hormone (ACTH), Glucocorticoids (GC), alpha
endorphin and Met-enkephalin are found as important endocrine components and T
cells, B cells, monocytes/macrophages, Natural Killer (NK) cells and their
cytokines that is Tumor Necrosis Factor-alpha (TNF-alpha), Interferon Gamma (IFN
alpha) and interleukins such as IL-1, IL-2, IL-4, IL-6, IL-10, IL-12 etc. are
found as important immune components. Finally, it has been shown that, acute,
brief naturalistic and chronic stress have different immune modulatory activities
which are harmful to one's homeostasis and relaxation can help to maintain that
homeostasis.
PMID- 21902061
TI - Idiotype/anti-idiotype immunoregulatory network correlates with an improved
clinical outcome of schistosomiasis mansoni in humans.
AB - Anti-idiotypes (anti-Ids) have a potential role in the immune modulation of
various diseases. To study the correlation of anti-Ids with schistosomiasis
mansoni morbidity, ELISA using polyclonal idiotypes (Ids) was used to determine
the presence of anti-Ids in sera of 69 patients susceptible and resistant to
reinfection. Ids were purified against Soluble Worm Antigen (SWAP) from sera of
New Zealand white rabbits immunized with SWAP. The results showed that anti-Ids
were detected in 15 (40.5%) of susceptible and 21 (65.6%) of resistant patients.
Correlation of intensity of infection with age revealed an inverse relationship
in patients positive for anti-Ids (regression coefficient beta = -0.47, p < 0.05)
and contrarily, a direct relationship in patients negative for anti-Ids (beta =
0.67, p < 0.001). In addition, there was a direct association between the
presence of anti-Ids and the lack of schistosome-related symptoms (chi2 = 3.6, p
< 0.05) and hepatomegaly (chi2 = 9.4, p < 0.01). Moreover, comparison of patients
positive and negative for anti-Ids revealed that those negative for anti-Ids were
more vulnerable to develop symptoms (3.7 times) and hepatomegaly (8.1 times). In
conclusion, the study further confirms the role of Id/anti-Id regulatory network
as an important participant in the assortment of an improved clinical outcome of
schistosomiasis. This may help to formulate a better understanding of the
mechanisms of protective immunity in humans and provide perspective for the
development of a future vaccine.
PMID- 21902062
TI - Effects of salt stress on some nitrogen fixation parameters in faba bean.
AB - The adverse effects of sea water salinity on number of nodules, nitrogen content,
nitroginase activity, Chlorophyll a and b content, proline accumulation and
protein pattern of faba bean plants (Vicia faba commercial cultivar Nubaria 1)
were investigated. Faba bean plants were irrigated with sea water at 20, 25, 30,
40 and 50% concentrations and inoculated with rhizobial isolate ARC307 or with
gamma rays treated isolates namely; ARC1, ARC2, ARC3, ARC4, ARC5, ARC6 and ARC7.
Nodules number, nitrogen content, nitroginase activity and chlorophyll a and b
content parameters were decreased by increasing sea water salinity with all used
isolates, while proline accumulation parameter increased. At the same time, ARC2
isolate showed the highest values for these parameters above all isolates
including the parental isolate ARC307 at all studied concentrations except for
proline accumulation parameter, it was the least. Therefore, ARC2 considered as a
promising isolate for salt tolerance. Salinity enhanced the occurrence of
particular novel proteins in faba bean plants infected with ARC2 isolate.
PMID- 21902063
TI - Characterization of HIV-1 genotypes and antiretroviral drug-resistance mutations
among patients in Burkina Faso.
AB - The purposes of this study were: (1) to describe the genetic variability of HIV
strains found in Burkina Faso, (2) to characterize non-B HIV strains mutation
profiles selected by ARVs and (3) to detect possible resistances induced by ARV
drugs. From 30 October 2002 to 20 November 2003, 132 HIV 1-positive patients
taking Highly Active Antiretroviral Therapy (HAART) for more than one year in
Bobo-Dioulasso and Ouagadougou were included. T-CD4+ lymphocytes count was done
using Dynabeads technique while genotypic test and ARV-resistance tests were
conducted using Pol sequencing that codes for reverse transcriptase reverse,
integrase and protease. Due to undetectable viremia, 86 samples out of 132 could
not be characterized. Whereas in the 46 others that had a viral load exceeding
1000 copies mL(-1), the following HIV-1 subtypes were identified: CRF06 (54,55%);
CRF02(38,63%); CRF01 (4,55%) and subtype A (2,27%). In addition, several
mutations related to PI, NRTI and NNRTI resistance were isolated in 27 samples.
This study found a huge genetic HIV-1 polymorphism in Burkina Faso. The level of
acquired resistance to ARV after one year of treatment amounted 20.4%. These
results clearly show that there is imperative need to set up an ARV resistance
surveillance network in Burkina Faso to guide treatment strategies and follow the
extension of the phenomenon in the country.
PMID- 21902065
TI - Estimation of genetic variability in locally grown pulses (Cajans cajan (L.)
Millsp and Vigna unguiculata (L.) Walp): a panacea for sourcing superior
genotypes.
AB - The negligence of breeders and farmers to explore and exploit landraces of pulses
is worrisome and urgent measures needed to be set in motion to forestall major
future crisis, taking into cognizance the high adaptability and nutritive values
accredited to them. This study focused on the estimation of genetic variability
and heritability of desirable morphological characters in Fiofio (Cajans cajan)
and Olaudi and Akidi (Vigna unguiculata) with the aim of conservation. Three
landraces of pulses were sown using randomized complete block design. The field
experiment was carried out at the University of Calabar Experimental Farm,
University of Calabar, Calabar, during 2008-2010 growing season. Phenotypic and
genotypic variances and coefficients of variation and genetic advance were
estimated on yield and yield-related traits. The results showed that there were
considerable variations among the pulses for the traits studied. The result
revealed high genetic variability in the number of leaf per plant, leaf area,
number of flowers per plant, number of pods per plant and number of seeds per
plant. It also showed that genetic variability in pod length and 100-seed weight
was low. Heritability estimates obtained in the result were very high though the
magnitude of genetic variability in the yield and yield-related traits was not
proportional to the heritability estimates. The traits studied also show high
genetic advance. These explicitly showed that there are sufficient genetic
variations to warrant conservation and improvement in these extinction-threatened
pulses studied.
PMID- 21902064
TI - Effect of four different vegetable oils (red palm olein, palm olein, corn oil,
coconut oil) on antioxidant enzymes activity of rat liver.
AB - The objective of the study was to evaluate the effect of four different vegetable
oils [red palm olein (RPO), palm olein (PO), corn oil (CO), coconut oil (COC)] on
antioxidant enzymes activity of rat liver. Sixty six Sprague Dawley male rats
which were randomly divided into eleven groups of 6 rats per group and were
treated with 15% of RPO, PO, CO and COC for 4 and 8 weeks. Rats in the control
group were given normal rat pellet only while in treated groups, 15% of
additional different vegetable oils were given. After 4 weeks of treatment the
catalase (CAT) activity results showed that there was no significance difference
(p > or = 0.05) between the control group and treated groups while after 8 weeks
of treatment showed that there was no significant different (p > or = 0.05)
between control group and RPO group but the treated rat liver with PO, CO and COC
groups were the lowest and it were significantly lower (> or = 0.05) than control
group. For superoxide dismutase (SOD) there was no significance difference (p >
or = 0.05) between the control group and treated groups of vegetable oils after 4
and 8 weeks of treatment. Thus the study indicated that there was no significant
(p > or = 0.05) effect on antioxidant enzyme (superoxide dismutase) but there was
significant effect (p > or = 0.05) on catalase in rat liver.
PMID- 21902066
TI - Phytochemistry and heamatological potential of ethanol seed leaf and pulp
extracts of Carica papaya (Linn.).
AB - This study was aimed at qualitative evaluation of the ethanol seed, leaf and pulp
extracts of C. papaya for bioactive compounds and also to investigate their
effect on the haematology in male albino rats. A 3 x 4 factorial experimental
layout using randomized complete design was adopted. Results show that the
phytochemicals found in seed, leaf and pulp were almost the same but however, in
varying proportions. Present result also revealed that there were significant
effects (p < 0.05) of the extracts on the heamatology of the treated rats, which
was blamed on the varying and different variants ofbioactive compounds found in
the extracts they were administered with. Suggestively, C. papaya extracts could
be used to enhance the production of selected blood parameters, taking issue of
dosage into consideration.
PMID- 21902067
TI - Last dispatches.
PMID- 21902068
TI - Hyper acute stroke unit services.
PMID- 21902069
TI - The inpatient neurology consultation service: value and cost.
AB - Neurological conditions comprise a significant proportion of patient admissions
to hospital but, in the majority of cases, are admitted under the care of non
neurological physicians. As a consequence, neurological ward consultations are
commonly requested by the admitting medical teams to review diagnoses and
management plans. The outcomes of neurological ward consultations were examined
and the time required for the referral process recorded by performing a detailed
prospective three-month audit of inpatient referrals to the neurology service.
The consultations of 120 patients were recorded, categorised and analysed. These
consultations were beneficial in the vast majority of cases, with a clear impact
on patient diagnoses or management plans. The consultation process was time
consuming, however, both in respect of the initial review, but also with follow
up visits. This audit highlights the importance of neurological input in the
diagnosis and management of hospital inpatients. The time taken for this process
should be resourced appropriately.
PMID- 21902070
TI - Diabetes care during Hajj.
AB - Keeping well during Hajj is a challenge for people with diabetes. However, with
proactive planning and education, it may prove to be an excellent opportunity for
reviewing management and enhancing diabetes education to reduce diabetes-related
short- and long-term problems. People with diabetes should have enough time to
consider a management plan. It is important that healthcare professionals are
well informed regarding the effects of Hajj on diabetes and are able to offer
advice, guidance and change of medications as required during pre-Hajj
counselling to enable patients to stay healthy.
PMID- 21902072
TI - How to write high-quality questions for a high-stakes examination.
AB - Both the MRCP(UK) written examinations and the specialty certificate examinations
(SCEs) use single-best-answer questions to assess the knowledge and problem
solving skills of physicians in training. Since 1999, specialists and senior
trainees have created large banks of questions for these examinations that cover
the relevant curricula. Question-writing workshops provide detailed guidance on
the design of questions that discriminate between candidates of differing
ability, in a format and a style that aid speed reading and comprehension. Each
question drafted is subjected to face-to-face peer review and subsequent stages
of academic scrutiny before reaching the question bank, and later during the
exacting processes of question selection and standard setting. Feedback to
question writers at every level of scrutiny helps to support the development of
question-writing competence, and the analysis of individual question performance
provides some insight into optimal question design.
PMID- 21902071
TI - British HIV Association national audit on the management of patients co-infected
with tuberculosis and HIV.
AB - This audit aims to compare UK management of tuberculosis (TB)/HIV co-infection
with recommended practice and to describe local care arrangements. Services
providing HIV care were invited to complete a survey of care arrangements and to
review case notes of HIV positive patients aged over 16 who started therapy for
active TB between October 2007 and April 2008. Corresponding TB services, if
separate, were invited to complete a similar survey. Responses were received from
124 of 170 HIV services, and 18 corresponding TB services. Data were obtained for
236 coinfected patients. Despite some incomplete data, this audit yielded useful
findings. Many positive smear results were unacceptably delayed. The TB therapy
completion rate fell short of the chief medical officer's (CMO's) 85% target.
Culture confirmation of pulmonary TB met the CMO's 65% target. A high number of
patients were diagnosed with HIV during investigation of TB. Contrary to current
guidelines, many services do not routinely test TB patients for HIV.
PMID- 21902073
TI - Changing PACES: developments to the examination in 2009.
AB - As a consequence of change in medical, educational and regulatory practice,
MRCP(UK) successfully modified the international PACES examination in 2009. This
brief paper explains the rationale for change and summarises the development and
implementation process.
PMID- 21902074
TI - Development and implementation of the specialty certificate examinations.
AB - Following successful pilots in 2006, knowledge-based assessments for those
engaged in specialty training have been developed and implemented in 11 medical
specialties, by the Federation of Royal Colleges of Physicians in partnership
with the specialist societies. Over 400 physicians have been involved in a
project that has required recruitment and training of up to 25 question writers
in each discipline, and the constitution of examining boards and standard setting
advisory groups in each specialty. The assessments (now known as the specialty
certificate examinations) are delivered by computer-based testing in centres
throughout the UK and overseas. This paper analyses the outcome of 14 examination
diets, sat by 948 candidates, of whom 72% were occupying UK numbered training
posts. A total of 786 candidates sat the examination in the UK, 162 in overseas
centres. Pass rates among UK trainees have generally exceeded 80%, with
reliability coefficients well in excess of 0.8.
PMID- 21902075
TI - Dishonesty in the MRCP(UK) Part 1 and Part 2 written examinations: prevention,
detection and possible remediation.
PMID- 21902076
TI - The follow-up of renal transplant recipients by telephone consultation: three
years experience from a single UK renal unit.
AB - Although telephone consulting is increasingly used by clinicians seeking to
balance rising caseloads, heightened patient expectation and the desire to ensure
continued improvements in the quality, convenience and accessibility of the care
they provide, its use to provide care to renal transplant recipients has not
previously been described. Climate change is a major global public health threat.
However, the provision of healthcare itself has a significant environmental
impact. A transformation to lower carbon clinical care must be achieved without
detriment to the quality of the patient care. This article reports the use of
telephone consultation clinics to provide follow-up to renal transplant
recipients over a three-year period. The benefits of this service to patients,
providers and the environment are outlined, the existing literature regarding the
provision of virtual care to patients with kidney disease is reviewed, and the
possibilities of more widespread adoption are discussed.
PMID- 21902077
TI - The NHS: assessing new technologies, NICE and value for money.
AB - The healthcare system in the UK, essentially the NHS, is an open economic system
subject to the same pressures as any other economic system. The pressures concern
limited resources coupled with powerful drivers for increasing spending:
invention, demography and inflation. There have only ever been three types of
economic system: steady state (everything, as in a feudal system, stays as it was
the year before), market capitalism (supply and demand are allowed to find their
own equilibrium) and some version of central planning. In healthcare, most
advanced countries favour the last of the three. This is for three reasons:
distribution (not only are the poor less able to pay for sickness, but sickness
exacerbates poverty), information (markets operate poorly when providers can
easily outsmart customers) and externalities (it is in the interest of everyone
that infectious diseases and the other knock-on consequences of ill health are
ameliorated). So in the UK, the state, with a good deal of cross-party consensus,
directs most of health service supply. This system has become more complex over
the decades since the formation of the NHS in 1948. A notable element of the
complexity is the regulation of the introduction of new technologies. A key
element of the regulatory system has been the National Institute for Health and
Clinical Excellence (NICE), and a key aspect of NICE's decisions has been not
just value, but also value for money. This has not been without controversy.
PMID- 21902078
TI - Red flags in medical practice.
PMID- 21902079
TI - Acute liver failure.
AB - ALF is a multisystem disorder necessitating both predictive and reactive
management strategies to support and protect organs from the initial and
subsequent insults encountered. Early referral to a specialist liver centre with
the option of liver transplantation is recommended. Furthermore, a good
understanding of the poor prognostic variables is necessary to determine those
most at risk of developing ALF in order to facilitate timely, safe transfer and
listing for liver transplantation.
PMID- 21902080
TI - Dyslipidaemia: integration between primary and secondary care.
PMID- 21902081
TI - King George III, bipolar disorder, porphyria and lessons for historians.
AB - In the 1960s, Ida Macalpine and Richard Hunter, mother and son psychiatrists,
stated that George III's medical records showed that he suffered from acute
porphyria. In spite of well-argued criticisms by Geoffrey Dean and Charles Dent
based on their extensive clinical experience of the acute porphyrias, Macalpine
and Hunter were able to garnish extensive support for their claims from
historians, psychiatrists, physicians and the media circus and their view is now
surprisingly widely accepted. Recent research of George III's extensive medical
records has shown that Macalpine and Hunter were highly selective in their
reporting and interpretation of his signs and symptoms and that the diagnosis of
the acute porphyria cannot be sustained. The basis for the false claims and the
consequences for historians are considered and indicate that there is now an
opportunity to reassess George III's contributions to events in his reign.
PMID- 21902082
TI - A rare cause of acute chest pain in a young adult.
PMID- 21902084
TI - Sleep disturbance and neurological disease.
PMID- 21902083
TI - Acute systemic lupus erythematosus on the acute medical take: are we missing
anything?
PMID- 21902085
TI - Sleep apnoea syndromes and the cardiovascular system.
AB - Management of SAS and cardiovascular disease risk should be closely linked. It is
important to screen for cardiovascular disease risk in patients with SAS and vice
versa. CSA/CSR may be improved by ventilation strategies in heart failure, but
benefit remains to be proven. For OSA, although CPAP may reduce cardiovascular
disease risk, its main benefit is symptom control. In the longer-term, CPAP
should be used alongside standard cardiovascular risk reduction strategies
including robust weight management programmes, with referral for bariatric
surgery in appropriate cases. CPAP and NIV should be considered for acute
admissions with decompensated cardiac failure.
PMID- 21902086
TI - Insomnia: evidence-based approaches to assessment and management.
PMID- 21902087
TI - Narcolepsy, idiopathic hypersomnolence and related conditions.
PMID- 21902088
TI - Obstructive sleep apnoea: relevance to non-sleep clinicians.
PMID- 21902089
TI - Severe orthostatic hypotension in a diabetic patient may not be due to diabetic
autonomic neuropathy.
AB - This lesson describes an unusual case of a man who was recently diagnosed with
type 1 diabetes and who presented with severe orthostatic hypotension. As his
diabetes was recent in onset, well controlled, and he had no other signs of
microvascular disease, other causes of orthostatic hypotension were sought. His
serum and cerebrospinal fluid were strongly positive for Borrelia burgdorferi
IgG, suggesting a diagnosis of Lyme neuroborreliosis. Autonomic instability in
Lyme, while rare, has been previously reported.
PMID- 21902090
TI - Catastrophic hyperkalaemia following administration of suxamethonium chloride to
a patient with undiagnosed amyotrophic lateral sclerosis.
AB - The depolarising neuromuscular blocking agent suxamethonium chloride, frequently
used during endotracheal intubation, is contraindicated in patients with chronic
denervation in whom it can cause a life-threatening hyperkalaemic reaction,
thought to be mediated through upregulation of nicotinic alpha7 acetylcholine
receptors. An underlying neuromuscular disorder should be considered in all
patients with acute respiratory insufficiency, and an alternative neuromuscular
blocking drug must be used if there is any possibility of widespread denervation.
PMID- 21902091
TI - Isolation in time: a cause of suffering in the elderly. Lessons from Krapp's last
tape.
PMID- 21902092
TI - Improving perioperative diabetes care.
PMID- 21902093
TI - Cardiology a ward rounds: rationale of using a checklist.
PMID- 21902094
TI - Diagnosis and management of urinary infections in older people.
PMID- 21902095
TI - Sub-optimal H1N1 vaccine uptake by immunocompromised inflammatory bowel disease
patients.
PMID- 21902096
TI - A new year--a new dawn.
PMID- 21902097
TI - Reform works!
PMID- 21902098
TI - Unruptured 32-week rudimentary horn pregnancy presenting as right upper quadrant
pain.
AB - BACKGROUND: An unruptured third trimester rudimentary horn pregnancy is rare,
life threatening, and can go undetected until the onset of symptoms. Given the
high risk of uterine rupture, conservative management after viability is
controversial. CASE: A 21 year-old with a 32-week rudimentary horn pregnancy,
diagnosed via exploratory laparotomy five days earlier, presented with acute
right upper quadrant pain. The patient underwent cesarean delivery. The
rudimentary horn was noted to be intact, but so thin it was transparent.
CONCLUSION: Advanced ectopic pregnancy or rudimentary horn pregnancy should be
considered in cases of unusual or undiagnosed abdominal pain in pregnancy. When
surgical exploration is performed, an incision allowing optimal visualization and
exposure is recommended.
PMID- 21902099
TI - Carotid and vertebral arterial fibromuscular dysplasia masquerading as severe
preeclampsia: a case report.
AB - BACKGROUND: Fibromuscular dysplasia is a non-atherosclerotic noninflammatory
vascular disease that can affect any vascular bed. Dysplasia of the intima,
media, or adventitia layer of the affected arteries causes stenosis and
hypertension. Pregnant or post-partum patients may present with hypertension and
be mistakenly diagnosed with preeclampsia. CASE: A 26 year old Gravida 1 Para 1
female 20 days post partum from a spontaneous vaginal delivery was transported
from an outlying facility due to severely elevated blood pressure and transient
left arm numbness and left sided facial droop. Upon arrival the patient was begun
on intravenous magnesium sulfate and labetalol for a presumptive diagnosis of
severe post partum preeclampsia. Her blood pressure and symptoms responded
promptly. Due to her neurologic symptoms a magnetic resonance angiogram was
ordered revealing 90% stenosis of her bilateral carotid and vertebral arteries.
CONCLUSION: Severe hypertension and minimal neurologic symptoms may be the
presenting symptoms for fibromuscular dysplasia. Cranial imaging is warranted in
any pregnant or post partum patient who presents with these symptoms to allow
appropriate treatment.
PMID- 21902100
TI - Recurrent hematochezia secondary to gastrointestinal stromal tumors (GISTs) in
neurofibromatosis type one.
AB - BACKGROUND: Patients with neurofibromatosis type 1 (NF1) suffer from cutaneous,
neurological and intestinal complications due to the mutation of the
neurofibromin gene and abnormal protein product. Gastrointestinal stromal tumors
(GISTs) are relatively rare primary tumors of the stomach and small intestine.
Patients with NF1 are prone to developing GISTs. We present a case of recurrent
gastrointestinal (GI) bleeding from multiple GISTs in a patient with NF1. CASE: A
42 year-old male with NF1 presented with significant GI bleeding; endoscopies
failed to identify the source. Multiple lesions involving the small bowel were
seen on laparotomy; he underwent reparative small bowel resection. Pathology
showed a well circumscribed spindle cell proliferation with minimal atypia and
rare mitoses; immunostaining was positive for CD117 (KIT) and CD34; KIT mutations
in exons 9, 11, 13 and 17 were negative. DISCUSSION: Up to 25% of patients with
NF1 develop GISTs with non-specific presentations; however they may be a source
of significant GI bleeding. The pathology, course and molecular composition of
these tumors are different from sporadic GISTs. In NF1, GISTs are usually
multiple, located in the small bowel (as opposed to the stomach as in sporadic
cases) and occur at a younger age. Their clinical scenario is not unlike other
hereditary tumor syndromes-multiple tumors with a 10-20% malignant potential. NF1
associated GISTs almost uniformly do not exhibit gain-of-function activation of
KIT or PDGFA (pathogenesis is suggested to be from the loss of heterozygosity of
the NF1 gene) and are not likely to respond to imatinib. Multiple means of
localizing GISTs exist and capsule endoscopy should be recommended to all NF1
patients as it provides a non-invasive approach to localizing the tumors for
further surgical management.
PMID- 21902101
TI - Steroid induced spinal epidural lipomatosis--case report and review of the
literature.
AB - Steroids are one of the most commonly prescribed medications for a variety of
medical conditions, often long term. Spinal epidural lipomatosis (SEL) is a state
of pathological fatty tissue overgrowth in the vertebral canal. It is a rare and
dangerous complication of chronic steroid therapy that may lead to back pain,
radiculopathy, or paraparesis. We describe a patient that was taking long term
steroids and presented with progressively worsening weakness of the lower
extremities. On the MRI scan, a long segment of unusual accumulation of fatty
deposits in the posterior aspect of the spinal canal resulting in canal stenosis
extending from C7 to the T10 level was observed. Despite an appropriate diagnosis
and surgical intervention, his weakness did not resolve. We discuss the
implications of this case in the primary care practice.
PMID- 21902102
TI - Incidental pheochromocytoma: fifteen-year experience at a community hospital.
AB - BACKGROUND: Pheochromocytoma is a well-recognized neuroendocrine tumor. Classical
symptoms are well described, but recent studies have suggested that many patients
are diagnosed incidentally. No studies have evaluated incidental pheochromocytoma
with respect to year of diagnosis. METHODS: A retrospective study was performed
from January 1992-November 2006 to evaluate the frequency of incidental
pheochromocytoma. Patients were included if pathological specimens were
available. RESULTS: 21 patients were included. 15/21 (71.4%) cases were
incidentally discovered. 11/15 (73.3%) were diagnosed after 2000, while 4/15
(26.7%) were diagnosed before 2000. 15/21 (71.4%) patients had hypertension, and
all 6 patients without hypertension had pheochromocytoma diagnosed incidentally.
Only 3/21 (14.3%) patients were diagnosed by biochemical testing. DISCUSSION:
This observational study suggests an increasing number of incidental
pheochromocytomas. Several possibilities for this observation include increased
usage of imaging studies, decreased use of biochemical testing, and an increase
in referring patients to surgeons for resection without an appropriate endocrine
work-up. Referring physicians and surgeons alike should take note of this.
PMID- 21902103
TI - Update on fighting tobacco's deadly cost on the poor in West Virginia.
PMID- 21902104
TI - The skinny on breastfeeding.
PMID- 21902105
TI - Social media: a communication responsibility.
PMID- 21902106
TI - Recommended cleaning and processing of flexible otolaryngology endoscopes.
PMID- 21902107
TI - Communicating with head and neck cancer patients.
AB - BACKGROUND: Head and neck cancer is only 3 to 5% of all the cancers in the United
States. The disease causes major changes in the appearance and functional ability
of patients. PURPOSE: To explore how caregivers communicate with head and neck
cancer patients who have impaired communication abilities from the disease and
from treatment. DESIGN: A qualitative grounded theory approach was used. METHODS:
Thirty-nine caregivers of head and neck cancer patients were recruited from three
east coast academic-affiliated hospitals with dedicated head and neck cancer
units. Each person was interviewed, while being audiotaped for 1 1/2 hours. Open
ended questions were used to elicit comprehensive responses to the issues and
concerns most important to care for these patients. The tapes were transcribed
and inputted using Ethnograph v.5. The analysis of the interviews used grounded
theory methods. Methods to ensure rigor and trustworthiness were incorporated
into the design. FINDINGS: The results of the data collection revealed the
majority of participants were women age 47 (average). For most, their beginning
and highest education was the baccalaureate degree. The entire sample averaged 23
years in their profession and a more than 15.1 years caring for head and neck
cancer patients. The sample represented caregivers from primarily the inpatient
setting, but also included clinic, administrative, research and education. The
results of the data analysis revealed engaging and distancing behaviors based the
caregivers' level of comfort. The central topic was communication impairment. The
core category was "Reading the Patient". The strategies used to identify
problems, and meet the needs of the patients were "Giving Voice", "Being There",
"Giving Control", "Saving Face", "Normalizing", "Relieving Pain", and "Giving
Hope". CONCLUSIONS: A hypothesis emerged from the analysis of the interviews.
Successfully meeting the physical and psychosocial needs of head and neck cancer
patients requires an intensive effort and the use of creative methods of
communication which are primarily self-taught. Much more research needs to be
done on low and high technology tools to assist caregivers of nonverbal patients
to meet their needs, including understanding body image change from disfiguring
facial surgery. The evidenced-based literature is still unavailable to provide
direction to meet these complex patients' needs. CLINICAL RELEVANCE: This study
is a beginning effort to identify the complex needs of head and neck patients and
suggest how to meet these needs. Lessons learned from this study might be applied
to other patients with impaired communication ability.
PMID- 21902108
TI - Preventing aspiration in older adults with dysphagia.
PMID- 21902109
TI - Developing a spirit of inquiry.
PMID- 21902112
TI - Clinical uses of anticonvulsant drugs other than for epilepsy.
PMID- 21902115
TI - Medical education.
PMID- 21902114
TI - Medical education: take 2.
PMID- 21902116
TI - Fever and renal mass in a young child. Renal abscess.
PMID- 21902117
TI - An 11-year-old boy with fever.
PMID- 21902119
TI - Advantages and challenges of social media in pediatrics.
PMID- 21902120
TI - Anticipatory guidance: making it work for your patients and your practice.
PMID- 21902121
TI - Enhanced professionalism in pediatric practice.
PMID- 21902122
TI - Advanced communication strategies for relationship-centered care.
PMID- 21902123
TI - Quality improvement tools for clinicians.
PMID- 21902124
TI - Metal-on-metal surface replacement: a triumph of hope over reason: affirms.
AB - Metal-on-metal hip resurfacing offers some potential for total hip arthroplasty
(THA) in the young patient. However, short- and intermediate-term results of the
currently available implants have failed to demonstrate advantage over
conventional THA. The risks of femoral neck fracture or avascular necrosis have
been disappointing early limitations of the procedure. The Australian Joint
Registry reports a 5-year revision rate of all hip resurfacings of 3.8%, compared
with conventional THAs at 2.8%, and a 9-year cumulative revision rate of 7.2% for
hip resurfacings. Recent reports of femoral neck erosion and pseudotumors
associated with resurfacing have raised concern about the survivorship of the
procedure in some patients. Recently, the British Medicines and Healthcare
Product Regulatory Agency issued an alert over adverse reactions associated with
metal-on-metal THAs, with particular concern expressed about hip resurfacings.
Acetabular bone stock may not be conserved when large-diameter femoral head
components are used, depending on the surgical technique and implant design. In
hip resurfacing, the minimum diameter femoral component avoids notching of the
femoral neck; thus, larger diameter acetabular components may be necessary to
accommodate the femoral component. Hip resurfacing is contraindicated in cases of
avascular necrosis of the femoral head, especially with cysts >1 cm in diameter,
with severe slipped capital femoral epiphysis, and in some posttraumatic
arthroses; furthermore, the biomechanics of the resurfaced hip appear to be less
reliably restored than with conventional THA. The hypothesis that resurfacing is
a more conservative procedure than conventional THA remains unproven at this
time. Given the documented intermediate failure rates of resurfacing, metal-on
polyethylene is the more successful implant choice.
PMID- 21902125
TI - Metal-on-metal surface replacement: a triumph of hope over reason: opposes.
AB - Hip resurfacing has been performed for over a decade but still raises controversy
as an alternative to traditional total hip arthroplasty (THA). Concerns exist
about the potential complications of hip resurfacing, including femoral neck
fracture and osteonecrosis of the femoral head. Recently, attention has been
given to the metal-on-metal bearing of hip resurfacing with regard to production
of metal ions, possible tissue necrosis, and rare instances of metal
hypersensitivity. Given the success of the gold-standard THA, it is
understandable why some surgeons believe metal-on-metal surface replacement to be
"a triumph of hope over reason." However, this article opposes that viewpoint,
demonstrating that data exist to justify the practice of preserving bone in
younger patients. Hip resurfacing can maintain femoral bone without the expense
of removing additional acetabular bone by using modern implants with incremental
sizing. Furthermore, many of the problems cited with the bearing couple (such as
excess metal production) have been due to poor implant designs, which have now
been removed from the market. Finally, we now realize that the metal-on-metal
articulation is more sensitive to malposition; thus, good surgical technique and
experience can solve many of the problems that have been cited in the past.
National registry results confirm that in a select population, hip resurfacing
performs comparably to THA, while fulfilling the goal of bone preservation.
PMID- 21902126
TI - Dual poly liner mobility optimizes wear and stability in THA: affirms.
AB - A dual-mobility acetabular component consists of a large, fixed, porous-coated
acetabular component and a bipolar femoral component. These components are often
called tripolar components. This configuration provides a stable, well-fixed
implant platform against bone and 2 articular interfaces, a large polyethylene
surface directly apposed to a highly polished metal implant, and a standard-sized
(28- or 32-mm) femoral head captured within polyethylene. The dual-mobility cup
appears to offer a safe, effective, durable solution to hip instability. The
concept has extensive laboratory and clinical support. Although the long-term
durability of these implants is unknown, the tested wear rates of a dual-mobility
design with the current generation of highly cross-linked polyethylene are
significantly lower than any previously reported wear rates. The recently
introduced modular dual-mobility shell offers surgeons substantial flexibility in
addressing the issue of hip instability with a cost-efficient, familiar option.
PMID- 21902127
TI - Dual poly liner mobility optimizes wear and stability in THA: opposes.
AB - Total hip arthroplasty (THA) is an effective intervention for the treatment of
arthrosis with excellent survivorship. Nonetheless, dislocation and osteolysis
remain significant complications. A dual-mobility acetabular component has been
advocated to improve stability and wear. Stability is imparted by increasing the
effective femoral head size, which allows a larger range of motion (ROM) before
neck-socket impingement occurs. Increasing ROM, however, introduces an additional
problem of bony impingement of the trochanter against the pelvis. Consequently,
there is little improvement in ROM for heads >36 to 40 mm. A 0.4% incidence of
instability at the larger articulation has been reported in primary THA using the
dual-mobility liner, which is equivalent to reports for conventional THA. The
dual-mobility liner has introduced the unique complication of intraprosthetic
dislocation, where the femoral head dissociates at the smaller articulation as a
result of polyethylene wear. An incidence of intraprosthetic dislocation of 3.6%
has been reported, which far exceeds dislocation of conventional arthroplasty.
The dual-mobility liner is a monoblock acetabular component without the capacity
for augmented bony fixation. Inability to achieve primary stability has been
reported as high as 18% and therefore its usefulness in revision THA is
questionable. Proponents of the dual-mobility liner cite improved wear
characteristics over conventional THA; however, few studies support this
proposition. Retrieval studies have reported that the dual-mobility liner does
not avoid wear or osteolysis. Theoretically, it is inconceivable that wear would
be diminished with an additional articulation with a huge surface area, where the
differential hardness has been reversed to a soft-on-hard bearing.
PMID- 21902128
TI - The posterior approach in THR: assuring capsular stability.
AB - Repair of the posterior capsule is advocated to reduce dislocation after total
hip replacement (THR). To date, no predictable physical findings are reported in
the literature to assess the capsular integrity and risk of hip dislocation after
the posterior approach. The internal rotation test is performed at 4 to 6 weeks
postoperatively with the hip and knee flexed at 90 degrees while the patient is
supine. The test is positive if a firm endpoint is felt with internal rotation of
<=15 degrees . Between January 2007 and January 2008, twenty-three patients who
had magnetic resonance imaging (MRI) at a mean 1.8+/-0.7 years after posterior
approach THR were included in this study. Magnetic resonance images were reviewed
by a blinded radiologist for the integrity of the posterior capsule and
quadratus, the distance between the piriformis and conjoined tendon to bone, and
the amount of piriformis obturator internus atrophy. Magnetic resonance imaging
results in patients with positive internal rotation tests showed an intact
posterior capsule and scarring of the tendons with no severe muscle atrophy. In
patients with a negative internal rotation test, MRI showed incomplete healing of
the tendons and severe muscle atrophy. Sensitivity and specificity of the
internal rotation test for tendon/scar healing were 100% and 93%, respectively.
The internal rotation test is a simple, reproducible test that, if positive, has
a high correlation with capsule/tendon healing.
PMID- 21902129
TI - Anterior approach in THA improves outcomes: affirms.
AB - In general, the literature makes numerous positive claims regarding the direct
anterior approach with a fracture table for total hip arthroplasty (THA),
including quicker recovery and return to unassisted ambulation, along with
reduced soft tissue damage, surgery time, pain, and risk of dislocation with
early elimination of hip precautions. The benefits of the direct anterior
approach are mostly due from muscle preservation rather than muscle splitting,
which occurs with the more traditional approaches. With the use of the muscle
preserving direct anterior approach for THA, there is less muscle damage and
earlier return to function, and postoperative precautions are not needed. The
most significant improvements in THA have been allowing patients to be
immediately weight bearing as tolerated after THA, incorporating a multimodal
pain management protocol, and now using the direct anterior approach. There is a
learning curve, and I strongly recommend that people attend cadaver-based
learning centers as well as surgeon visitations. We must always remember the oath
we took to "do no harm," especially when embarking on a new procedure such as the
direct anterior approach in THA or any other new procedure or technology. My
position in the debate is not whether we should embrace this technique or other
new techniques, but rather how they should be introduced.
PMID- 21902130
TI - Anterior approach in THA improves outcomes: opposes.
AB - Total hip arthroplasty (THA) can be performed through multiple surgical
approaches, including anterior, anterolateral, lateral, transtrochanteric,
posterolateral, posterior, and the 2-incision technique. The overwhelming
majority of THAs today are performed through a posterolateral approach, which has
many advantages: it can be extended without difficulty, it is expeditious, it
results in reduced blood loss and little muscle damage, and recovery is rapid.
The major disadvantage of the approach is its increased dislocation rate, which
has become less of a problem with the advent of larger femoral heads and dual
mobility acetabular components. The anterior approach is another hip approach
with advantages and disadvantages. One disadvantage is the need for a special
table on which to perform the procedure, which can cost >=$100,000. Many surgeons
also recommend the use of intraoperative fluoroscopy with this approach, which
prolongs surgery and adds possible draping contamination during the fluoroscopy.
Exposure of the femur may be difficult with this approach, especially in patients
with increased body mass index. The operative time also tends to be longer with
this approach, as exposure may be more tedious. The published data report
significant complications with this procedure.
PMID- 21902131
TI - Cemented femoral fixation: the North Atlantic divide.
AB - In the United Kingdom, more cemented than cementless stems are implanted, whereas
in North America, few cemented stems are implanted. This is primarily because
cemented stems have not performed well in North America, whereas they have in the
United Kingdom, as different designs have been used. The majority of cemented
stems used in the United Kingdom are polished, collarless, and tapered. These are
forgiving, as they subside within the cement mantle and compress the cement and
stabilize the interface. They perform well in both young and active patients and
elderly patients. They also do well in osteoporotic bone, with deformity, or with
suboptimal cementing techniques. As the position of the stem can be varied, it is
simple to achieve appropriate leg length, offset, and version. Cement can be used
to deliver antibiotics locally. If revision is necessary, it is relatively
straightforward. Cement has numerous advantages that outweigh the main
disadvantage of an extended operating time.
PMID- 21902132
TI - Stubby stems: good things come in small packages.
AB - Standard-length porous-coated tapered femoral stems perform exceedingly well in
primary total hip arthroplasty (THA) at long-term follow-up. Nevertheless, there
are multiple reasons to strongly consider the relatively new concept of short
tapered stems. First, there is already a wide variation in the lengths of
"standard" components and the ideal length is unknown. The goal of tapered stems
is to load the proximal femur, and shortened stems accomplish this task. Second,
while the distal extension may help prevent varus, unlike cemented stems, which
are failure-prone with varus alignment, tapered designs are not. Additionally,
elimination of the distal extension may reduce potential stress shielding. Third,
short stems obviate problems with proximal-distal mismatch, excessive femoral
bowing, diaphyseal deformities, and preexisting hardware. Fourth, implantation of
smaller components requires less violation of bone and soft tissue, facilitating
less invasive surgical approaches and favorable revision settings if necessary.
Fifth, in comparison to hip resurfacing and other unconventional short-stem
designs, tapered stems are familiar to most orthopedic surgeons and do not incur
a steep learning curve. Finally, our early experience with this implant in 1750
THAs since 2006 has been excellent. In a series of >650 THA, compared to standard
length tapered stems, the short stem had equivalent clinical outcome scores,
significantly fewer femoral fractures (12/389 [3.1%] vs 1/269 [0.4%]), and only 1
(1/269 [0.4%]) femoral revision (infection) at 2-year follow-up. Short stems
represent the logical progression of a proven precedent in standard-length
tapered stems.
PMID- 21902133
TI - Minimizing infection risk: fortune favors the prepared mind.
AB - Despite advances in care, infection in total joint arthroplasty remains a serious
problem that has yet to be solved. Reported infection rates range from <0.5% in
highly specialized centers to a high of 2% as reported at a national level. The
epidemiology of total joint arthroplasty remains challenging because of the
relatively low, but significant, incidence of infection. Still, there are
variables that can be addressed that have demonstrated evidence regarding
reduction in infection rates. These variables include optimizing medical
conditions in the preoperative period such as anemia, blood glucose, and
nutrition. In the perioperative period, administration of parenteral antibiotics
within 1 hour of incision is a must. The effect of the operating room environment
is less clear, but it is evident that traffic flow in the operating room has a
negative effect on infection rates. Skin preparation with chlohexidine is now the
agent of choice, and evidence exists that iodophor impregnated occlusive
dressings add value. Razors should not be used. Surgical staples for closure have
an increased risk of superficial infection as compared to subcuticular sutures.
In the postoperative period, early, persistent wound drainage should be managed
aggressively. There is no evidence to support the use of parenteral antibiotics
past 24 hours in routine cases. Patients should be advised about prophylaxis for
infection when undergoing dental work and other high-risk procedures. There is a
strong movement to extend this prophylactic period indefinitely, as opposed to 2
years postoperatively. Finally, and perhaps most importantly, it is the surgeon's
responsibility to be aware of all these issues and to strongly advocate for
patient safety in ensuring that infection risk is minimized.
PMID- 21902134
TI - Treating abductor deficiency: a transference technique.
AB - Loss of abduction power is a common problem after total hip arthroplasty (THA)
and may lead to severe limp and instability. A surgical reconstruction technique
using a gluteus maximus flap transfer was developed to repair deficient abductor
muscles and capsule. The gluteus maximus muscle was split as in a posterior
approach to the hip, and the anterior portion of the muscle was elevated as a
flap, separating it from the fascia lata and fashioning a triangular distal
fascial end. The lateral surface of the greater trochanter was decorticated, and
the anterior half of the gluteus maximus was sutured to the greater trochanter
with multiple nonabsorbable sutures through drill holes in the bone. The distal
fascial end was sutured beneath the vastus lateralis muscle with heavy absorbable
sutures. The posterior portion of the gluteus maximus (approximately one-sixth of
the muscle body and half the length) was passed beneath the primary flap to
substitute for the gluteus minimus and capsule. The tensioning of the flap was
done with the hip in 15 degrees to 20 degrees abduction to ensure adequate
tension in the transferred muscle. The lower half of the gluteus maximus muscle
and fascia lata were also closed over the greater trochanter and transferred
muscle flap with the hip abducted and then closed proximally, leaving the
anterior edge of the gluteus maximus flap unsutured so that the transferred
muscle would be allowed to pull directly on the greater trochanter. Gradual
rehabilitation included 2-handed support for 8 weeks and careful gradual
abduction exercises beginning 4 weeks postoperatively.
PMID- 21902135
TI - Use of a barbed suture in the closure of hip and knee arthroplasty wounds.
AB - Wound closure in primary and revision total hip and knee arthroplasty is an
essential and critical component of the procedure. A well-performed closure may
take up to 20 to 30 minutes for primary and revision surgeries, respectively.
Traditionally, a layered closure is performed using various forms of absorbable
and nonabsorbable sutures placed in an interrupted fashion, requiring the surgeon
to tie knots to secure each stitch. Disadvantages of knot tying include increased
operative time, prominence in subcutaneous layers, and local tissue ischemia.
Recently, a bi-directional, barbed suture has been introduced that affords
surgeons the ability to close soft tissue layers in a running fashion without the
need for knot tying. The bi-directional nature of the barbs allows for
simultaneous closure from the wound center, therefore offsetting the increased
cost per suture by the decreased number of sutures used and the time saved in the
operating room to close the incision. Additional potential advantages of using
knotless sutures include enhanced biomechanical strength, increased resistance to
catastrophic arthrotomy failure, and a more watertight closure. Our early data
support the efficiency and safety of using this suture in total joint
arthroplasty wound closure. This article reviews our experience and describes the
technique for using barbed sutures during wound closure in 940 cases of primary
and revision total joint arthroplasties.
PMID- 21902136
TI - The dislocator, early and late: the 3 am phone call.
AB - Hip dislocation is one of the most common causes of patient and surgeon
dissatisfaction following hip replacement. To correctly treat dislocation, the
causes must first be understood. Patient factors include age older than 70 years,
medical comorbidities, female sex, musculoligamentous laxity, revision surgery,
issues with the abductors and trochanter, and lack of education. Issues related
to the surgeon and technique are surgical volume and experience, surgical
approach and repair, adequate restoration of femoral offset and leg length,
correct component position, and avoidance of soft tissue or bony impingement.
Chief among implant-related factors is the design of the head and neck region. Is
the femoral head diameter sufficient, and, in concert with the prosthetic neck,
is there an adequate head-neck ratio? Skirts on longer neck lengths greatly
reduce the head-neck ratio and should be avoided if possible. There must be
available offset choices to restore soft tissue tension. Lipped liners aid in
gaining stability, yet may result in impingement and dislocation if improperly
placed. Late dislocation may result from polyethylene wear, soft tissue
destruction, trochanteric or abductor disruption and weakness, or infection.
Understanding the causes of hip dislocation allow prevention in a majority of
instances. Proper preoperative planning includes the identification of high
offset patients in whom inadequate restoration of offset will reduce soft tissue
tension and abductor efficiency. Component position must be accurate to achieve
stability without impingement. Finally, patient education cannot be
overemphasized, as most dislocations occur early and are preventable with proper
instructions.
PMID- 21902137
TI - The pseudo A(LT) periprosthetic fracture: it's really a B2.
AB - Periprosthetic fracture of the proximal femur involving the lesser trochanter
(the Vancouver type A(LT)) is an uncommon occurrence. As it is basically an
avulsion fracture of the attachment of the iliopsoas, it does not destabilize the
stem and can be treated nonsurgically. In contrast, there is a so-called type
"new B2" periprosthetic fracture of the lesser trochanter, which includes a
segment of the proximal medial femoral cortex. This is usually seen within 6
weeks of the index procedure, typically following insertion of a tapered,
cementless stem within a demineralized femur. This may be due to an unrecognized
intraoperative fracture that subsequently displaced under load, or it may occur
soon after, during rehabilitation. It is important to distinguish this fracture
from the type A(LT), because it is associated with destabilization of the stem
and requires early reintervention. The principles of treatment depend on the
timing of the fracture and the size of the medial fracture fragment. If
recognized intraoperatively as a nonpropagated cortical crack, then extraction of
the broach or stem followed by cerclage cable fixation and reinsertion of the
stem is adequate in most cases, with protected weight bearing for 6 weeks. If
diagnosed postoperatively, or if the fracture fragment is larger, then management
with a stem that gains fixation distal to the fracture is required. This
distinction between the pseudo type A(LT) and the type "new B2" is important to
recognize if appropriate treatment is to be prescribed and a satisfactory outcome
is to be assured.
PMID- 21902138
TI - Cancellous impaction grafting in femoral revision THA.
AB - Options in the management of the deficient femur during revision hip arthroplasty
include cemented or cementless fixation. The results with cemented femoral
revision have not been historically successful. While the use of extensively
coated implants in revision total hip arthroplasty has been more uniformly
excellent, issues, such as thigh pain, stress shielding, and lack of bone stock
restoration, have been raised. Impaction grafting in revision hip arthroplasty is
an attempt to reconstitute bone stock and avoid problems associated with
excessively large or long uncemented stems. The original concept of impaction
grafting was promoted by Slooff and applied to the femur by Gie and Ling. While
originators' results were promising, issues, such as fracture and poor graft
delivery, were noted. Modifications to the original technique were recently
described by Howie, which used longer stems when necessary, as well as an
improved graft delivery system. We report our results with 30 consecutive hips
using this method. At follow-up, 3 patients were known to have died, leaving 27
for evaluation. Two of the 27 were failures: 1 recurrence of infection and 1
loose stem. The remaining 25 were clinical successes with bone stock restoration
in all and no periprosthetic fractures. We believe that impaction grafting
remains a viable option for the management of the severely deficient femur in
whom cementless fixation methods are questionable.
PMID- 21902139
TI - The results of TKA: what the registries don't tell us.
AB - National registries have provided valuable feedback to surgeons and improved
patient care by decreasing revision rates and identifying defective or poorly
performing devices. Although there have been thousands of peer review
publications in the literature, significant gaps exist in relevant knowledge
relating to performance of hip and knee devices due to shortcomings in many
published studies. Most studies come from specialty centers and may not reflect
the results that can be expected from devices in widespread use. Sample sizes are
frequently small, and studies are underpowered to draw major conclusions or to
stratify data based on clinically important variables. National registries
effectively address many of these problems, but also have shortcomings. Registry
data are now being used to promote specific devices, which opens the door to
potential abuse of this information. While device design can impact implant
survival, other factors, such as surgical technique, surgeon, hospital, patient
factors, and even country, may have far more impact on revision rate than implant
design. Revision rates often differ among different designs by as little as 5% to
10% while these previously mentioned factors can result in differences in
revision rate and order of magnitude higher. Failure to control these numerous
other potentially confounding variables can lead to false or misleading
conclusions. In general, registries are far more effective in identifying poorly
performing devices that manifest in clusters of failures than in identifying
small differences in performance among relatively well-designed devices. It is
important for surgeons interpreting data generated from registries to be aware of
potential pitfalls of these data as well as their value.
PMID- 21902140
TI - Distal femoral varus osteotomy: unloading the lateral compartment: long-term
follow-up of 45 medial closing wedge osteotomies.
AB - Distal femoral medial closing wedge osteotomy is useful for mechanical axis
realignment to unload the lateral compartment of the valgus knee. The primary
indication for unloading the lateral compartment is lateral unicompartmental
osteoarthritis. Alternative treatment options include lateral unicompartment or
total knee arthroplasty (TKA). Prerequisites for the osteotomy include a 90
degrees arc of motion, age younger than 60 years, and an active patient capable
of an extensive period of rehabilitation. Surgery is carried out through a
midline skin incision and uses a subvastus approach. The medial femoral closing
wedge osteotomy is fixed with a 90 degrees dynamic compression blade plate. A
critical technical point is the need to insert the blade plate parallel to the
joint line. The right angle plate corrects the tibialfemoral angle to 0 degrees .
A benefit of the closing wedge over an opening wedge osteotomy is reduced risk of
nonunion. Survivorship and functional outcome of 41 patients with 45 distal
femoral varus osteotomies at a mean follow-up of 13.3 years were retrospectively
analyzed. Survivorship at 10, 15, and 20 years was 90%, 79%, and 21.5%
respectively. Mean Modified Knee Society Score was 36.1 preoperatively, 74.4 at 1
year postoperatively, and 60.5 at last follow-up. Distal femoral varus osteotomy
is effective at unloading the lateral compartment in unicompartmental arthritis
in the valgus knee. It may be indicated in the young, high activity demand, and
overweight patient. By 20 years after the osteotomy most patients require
conversion to TKA.
PMID- 21902141
TI - Mosaicplasty.
AB - Management of the patient with symptomatic full-thickness chondral or
osteochondral defects of the knee presents a challenging problem for the
orthopedic surgeon. The natural history of untreated lesions demonstrates
progressive degenerative changes and deterioration in functional outcome scores.
Medical management, osteotomies, lavage, and debridement procedures temporize
symptoms and slow progression. Cartilage restoration procedures such as
microfracture and cell-based therapies have shown promise, but there are concerns
of the long-term durability of these procedures in the active population.
Mosaicplasty allows for restoration of articular defects with hyaline cartilage,
and has shown excellent durability. Articular defect should measure between 1 to
4 cm(2) in diameter and extend 10 mm into subchondral bone. Mosaicplasty can be
challenging when attempted arthroscopically, and the threshold to convert to an
open procedure should be low when adequate visualization is not achieved. Use of
variable graft size maximizes defect fill with hyaline cartilage. Avoidance of
graft prominence >1 mm and attention to the contour of the joint optimizes the
recreation of articular surface. This is achieved by placing central grafts in a
large defect slightly prouder to obtain a convex shape to the articular surface.
With attention to the nuances of the surgical technique, mosaicplasty offers an
excellent option for cartilage restoration in the young active patient.
PMID- 21902143
TI - TKA sans tourniquet: let it bleed: opposes.
AB - The literature supports the routine use of a tourniquet during total knee
arthroplasty (TKA). With tourniquet use, there is decreased intraoperative blood
loss with subsequent improved visibility and a bloodless surgical field. This
facilitates efficiency with the potential for decreased operating time. Increased
operating time has been associated with an increase in the incidence of infection
after TKA. Opponents of routine tourniquet use cite rare or theoretical concerns.
Multiple authors have concluded that the incidence of deep vein thrombosis is not
related to using a tourniquet. The rare events of muscle dysfunction or nerve
injury are transient. Peripheral vascular disease, in which patients have no
palpable distal pulses, should be considered a possible contraindication to the
use of a tourniquet during TKA. If tourniquet time and pressure are respected
during TKA, we believe the benefits outweigh the perceived and theoretical
concerns.
PMID- 21902142
TI - Does preoperative patellofemoral joint state affect medial unicompartmental
arthroplasty survival?
AB - One contested contraindication to medial unicompartmental knee arthroplasty (UKA)
has been status of the patellofemoral joint. Surgeons have avoided UKA when the
patellofemoral joint has radiographic evidence of arthritic changes. However,
recent studies advocate ignoring patellofemoral joint status when considering
UKA. The purpose of this study was to compare the failure rate of mobile-bearing,
medial UKA in patients with and without preoperative radiographic evidence of
patellofemoral joint degeneration. Preoperative radiographs from a random
selection of 503 patients (638 knees) treated with UKA for anteromedial
osteoarthritis were assessed by an observer blinded to clinical outcome. The
patellofemoral joint was graded using the modified Altman classification from 0
to 3 with 0 being no evidence of changes and 3 being severe, and identified 396
grade 0, 168 grade 1, 65 grade 2, and 9 grade 3 knees. At 1- to 7-year follow-up,
there have been 17 revisions for overall survivorship of 97.3%. Kaplan-Meier
analysis predicted 97.9% survival in knees with patellofemoral joint disease and
93.8% survival in knees without patellofemoral joint disease at 70 months (P=.1).
Failure requiring revision occurred in 3.5% (14/396) of grade 0 knees, 1.2%
(2/168) of grade 1, 1.5% (1/65) of grade 2, and 0% (0/9) of grade 3. No survival
difference was noted between knees with medial or lateral patellofemoral joint
disease (P=.1). No knees were revised for progression of disease in the
patellofemoral joint or anterior knee pain. In light of this investigation and
the work of others, preoperative radiographic changes in the patellofemoral joint
can be safely ignored when considering patients for medial UKA without
compromising survivorship.
PMID- 21902144
TI - Results of prospective, randomized clinical trials comparing standard and high
flexion posterior-stabilized TKA: a focused review.
AB - High-flexion total knee arthroplasty (TKA) designs have been available for
several years for patients desiring a greater postoperative flexion. We conducted
a focused review on published results of prospective, randomized clinical trials
that compared a standard posterior-stabilized TKA with a high-flexion posterior
stabilized TKA design. Follow-up ranged from 1 to 2.7 years. None of the articles
included in the review showed a statistical difference between the standard and
high-flexion designs in clinical flexion or range of motion. Mean postoperative
flexion ranged from 106 degrees to 130 degrees for the standard design and 110
degrees to 128 degrees for the high-flexion design. Based on currently
available literature, high-flexion cruciate-substituting TKAs do not appear to
provide increased flexion in the short term. The downsides of these designs, such
as increased cost, increased bone resection, and early femoral loosening, need to
be weighed against the potential long-term improvement in polyethylene wear due
to increased conformity in high flexion. Continued follow-up to document these
findings will be important.
PMID- 21902145
TI - Neutral mechanical alignment: a requirement for successful TKA: affirms.
AB - Restoration of an overall neutral mechanical axis has been a long-held tenet in
total knee arthroplasty (TKA). Numerous biomechanical, finite element, and
clinical studies have demonstrated that coronal malalignment, particularly varus,
is associated with increased strain, higher failure rates, and, in some cases,
poorer outcomes. With advances in computer-assisted navigation, 3-dimensional
imaging, and patient-specific positioning guides, the potential for greater
precision in bone resection and component positioning has rekindled interest in
this important issue. Several recently published studies demonstrating no
difference in survivorship for malaligned TKAs have challenged the concept of an
alignment safe zone. Some surgeons have discussed a paradigm shift in defining
optimal alignment. While we agree that compared to several decades ago, there is
greater understanding of TKA kinematics and that broad targets for alignment may
not impart significant benefit as a dichotomous variable, there are multiple
reasons why neutral alignment and classic bone cuts remain valid and important in
delivering a successful TKA. In comparison to the preponderance of evidence
advocating a neutral mechanical axis and approximately 5 degrees to 7 degrees
valgus anatomic alignment, there is insufficient support for reasonably choosing
any other target. Although technology has improved surgical precision, it has not
eliminated the human factor, and aiming for neutral provides the safest margin
for error. The foremost objective of TKA is a durable and well-functioning joint,
not necessarily one that replicates normal or the patient's native condition.
While the latter goal is certainly desirable, the priority of the former should
never be overlooked.
PMID- 21902146
TI - Neutral mechanical alignment: a requirement for successful TKA: opposes.
AB - There is increasing evidence that for a number of patients, neutral alignment is
not normal. Patients with so-called constitutional varus have been in varus
alignment since the end of their growth. Restoring neutral alignment in these
cases may not be the best option for these patients since it is abnormal to them.
We recently performed a number of observational studies to find out how patients
develop constitutional varus, how these patients can be recognized, and which
factors contribute. A cohort of 800 young patients was analyzed to determine the
influence of activity level on growth and the development of lower-leg alignment.
At the same time, 250 asymptomatic adults between 20 and 27 years were analyzed
to determine the incidence of constitutional varus. The results showed that 32%
of adult men and 17% of adult women had constitutional varus knees with a natural
mechanical alignment >=3 degrees varus. Constitutional varus was associated with
increased sports activity during growth and began to become apparent at the time
of growth spurt. Based on these data, we believe that an important fraction of
the normal population has a natural alignment at the end of growth of >=3 degrees
varus. This may be a consequence of Hueter-Volkmann's law. Restoration of
mechanical alignment to neutral in these cases therefore may not be desirable and
in fact unnatural for them.
PMID- 21902147
TI - Multiple needle puncturing: balancing the varus knee.
AB - The so-called "pie crusting" technique using multiple stab incisions is a well
established procedure for correcting tightness of the iliotibial band in the
valgus knee. It is, however, not applicable for balancing the medial side in
varus knees because of the risk for iatrogenic transsection of the medial
collateral ligament (MCL). This article presents our experience with a safer
alternative and minimally invasive technique for medial soft tissue balancing,
where we make multiple punctures in the MCL using a 19-gauge needle to
progressively stretch the MCL until a correct ligament balance is achieved. Our
technique requires minimal to no additional soft tissue dissection and can even
be performed percutaneously when necessary. This technique, therefore, does not
impact the length of the skin or soft tissue incisions. We analyzed 61 cases with
varus deformity that were intraoperatively treated using this technique. In 4
other cases, the technique was used as a percutaneous procedure to correct
postoperative medial tightness that caused persistent pain on the medial side.
The procedure was considered successful when a 2- to 4-mm mediolateral joint line
opening was obtained in extension and 2 to 6 mm in flexion. In 62 cases (95%), a
progressive correction of medial tightness was achieved according to the above
described criteria. Three cases were overreleased and required compensatory
release of the lateral structures and use of a thicker insert. Based on these
results, we consider needle puncturing an effective and safe technique for
progressive correction of MCL tightness during minimally invasive total knee
arthroplasty.
PMID- 21902148
TI - Why knees fail in 2011: patient, surgeon, or device?
AB - The outcome of total knee arthroplasty (TKA) is influenced by multiple
interconnected factors, including patient selection, implant design, and surgical
technique. Total knee arthroplasty has been shown to be highly successful, with
patient satisfaction rates reported from 85% to 95% with low rates of failure,
but if failure occurs, its impact is significant. In 2003, 402,000 primary TKAs
and 32,000 revision TKAs were performed in the United States, and the number of
TKAs is expected to double by 2015. Recent data on modern implant designs and
techniques have demonstrated a surprising number of early failures, although the
true number of early failures is unknown. Patient medical comorbidities should be
optimized preoperatively, while psychosocial issues and workers compensation are
more nebulous yet contribute greatly to patient perceived outcomes. Understanding
current failure mechanisms of primary TKA and how to prevent complications will
be critical to help manage a potentially overwhelming TKA revision burden. This
article discusses failure rates as well as factors from the patient, surgeon, and
device, that contribute to TKA failure.
PMID- 21902149
TI - Wound healing problems in total knee arthroplasty.
AB - It is important to avoid underestimating the significance of wound complications
following total knee arthroplasty (TKA). Expedient and aggressive care is
recommended. Understanding the blood supply to the skin around the knee and
measures to prevent wound complications are fundamental to preventing wound
problems. A detailed patient history and physical examination will identify high
risk patients and any modifiable risk factors. Operative techniques such as
raising full-thickness skin flaps and judicious placement of skin incisions in
the presence of pre-existing scars can greatly reduce the incidence of wound
problems. The first step in treating wound problems is recognizing when a problem
is present and knowing when a minor problem can turn into a major one.
Superficial infections or stitch abscesses can be treated with conservative
treatment. However, the surgeon should have a low threshold to revert to surgical
management if drainage persists. Skin necrosis or non-viable skin must be excised
in the operating room, and the presence of a deep infection must be diagnosed by
joint aspiration. The appropriate course of action in dealing with deep infection
is dependent on the duration elapsed since the index procedure. The ability to
perform a medial gastrocnemius muscle flap and skin graft is an invaluable skill
in complex cases where primary wound closure cannot be achieved. Meticulous
attention to detail during surgery and aggressive surgical treatment of wound
complications can be the difference in saving the knee.
PMID- 21902150
TI - Instability in primary total knee arthroplasty.
AB - Instability is one of the most common causes of failure of total knee
arthroplasty (TKA). The presentation can vary from pain to frank dislocation with
the etiologies just as varied. Instability after TKA can be classified by where
the instability occurs in the knee's arc of motion as well as the chronicity of
the problem. Acute instability is related to intraoperative injuries or excessive
release of important coronal stabilizers such as the medial collateral ligament
in extension or the posterolateral corner in flexion. Chronic instability in
extension is often related to varus/valgus malalignment. Chronic instability in
flexion can be related to an undersized femoral component, excessive tibial
slope, or excessive elevation of the joint line affecting the isometry of the
collateral ligaments in midflexion. Recurvatum instability is a rare complication
that often coincides with extensor mechanism dysfunction or neuromuscular
disorders. When addressing instability after TKA, it is critical to determine the
root cause of the problem as well as evaluate for other causes of pain such as
infection or aseptic loosening. When revision surgery is warranted, it should
follow the basic principles of restoring a neutral mechanical alignment, setting
the appropriate component rotation, balancing the flexion and extension spaces,
and restoring the height of the native joint line.
PMID- 21902151
TI - The efficacy of multimodal high-volume wound infiltration in primary total hip
replacement.
AB - Multimodal wound infiltration with local anesthetics, adrenaline, and
nonsteroidal anti-inflammatory agents can lower the opiate intake, reduce the
length of stay, and enhance early mobilization after total hip arthroplasty
(THA). A retrospective review of 204 patients undergoing primary THA was
undertaken. One hundred two patients had their wounds infiltrated with
ropivacaine, adrenaline, and ketorolac by the operating surgeon intraoperatively.
Subsequently, a 19-gauge wound catheter was inserted percutaneously into the hip
joint. Patients received 2 further top-up doses of 20 mL of ropivacaine (7.5
mg/mL) at 10 and 20 hours postoperatively. These patients were compared to a
control group of 102 patients who received no local infiltration. Both groups
were comparable in terms of body mass index and age. Opiate consumption in the
first 48 hours after surgery and length of hospital stay were recorded. The mean
consumption of morphine in the treatment group was 42.3 mg (standard deviation
[SD], 31.2 mg) compared to 60.9 mg (SD, 33.8 mg) in the control group (P<.0001).
The mean length of stay was significantly reduced from 5.2 days (SD, 1.6 days) in
the control group to 4 days (SD, 1.3 days) in the treatment group (P<.0001). The
time needed by the patients to walk for 3 meters after surgery was significantly
reduced in the treatment group (median, 25 vs 46.1 hours; interquartile range,
20.7- 45.1 vs 27.2- 50.9; P<.0001). This is the largest series to demonstrate
that a multimodal perioperative wound infiltration technique in primary THA
surgery leads to early attainment of immediate postoperative rehabilitation
milestones and reduced length of stay along with reduction in postoperative
opiate consumption.
PMID- 21902152
TI - Experimental study on phase-contrast imaging with synchrotron hard X-ray for
repairing osteonecrosis of the femoral head.
AB - Synchrotron radiation light is 1 of 4 artificial light sources, the others being
electric light, X-ray, and laser. Phase-contrast imaging with hard X-ray has
achieved wide application in many scientific fields, such as biomedicine and
material science. This article compares the effectiveness of
nanohydroxyapatite/collagen (nHAC) and autologous mesenchymal stem cell for the
repair of defects in a rabbit model with osteonecrosis of the femoral head under
the monitoring of phase-contrast imaging with synchrotron hard X-ray. We
established models of bilateral osteonecrosis of the femoral head defect using
New Zealand rabbits and divided them into 3 groups. Imaging techniques such as
phase-contrast imaging and diffraction enhanced imaging with synchrotron hard X
ray were applied to assess the degradation and repair process of nHAC and
mesenchymal stem cell at 4, 8, and 12 weeks postoperatively. We found phase
contrast imaging with synchrotron hard X-ray displayed the reparative process of
the bone defect, degradation of nHAC, and osteocyte substitution. There were
significant differences in the repair of the bone defect and osteogenesis in
groups B and C compared with group A (control). Osteogenesis was more significant
in group C. We provided experimental data for the development and application of
synchrotron hard X-ray imaging techniques and concluded that phase-contrast
microimaging with synchrotron hard X-ray displays the reparative process of bone
tissue at a micro-level and plays an important role in the development of tissue
engineering.
PMID- 21902153
TI - Factors predicting health-related quality of life in knee osteoarthritis among
community-dwelling women in Japan: the Hizen-Oshima study.
AB - Knee osteoarthritis is the most common chronic joint disorder in elderly people.
However, a population-based, longitudinal study on health-related quality of life
in knee osteoarthritis has not been conducted in Japan. We studied 333 women aged
50 years and older at baseline, with 8 to 9 years of follow-up. Anteroposterior
weight-bearing knee radiographs were obtained at baseline and graded according to
the Kellgren-Lawrence criteria. Definite osteoarthritis was defined as Kellgren
Lawrence grade 2 or higher in at least 1 joint. At baseline, all participants
were asked if they had knee pain and comorbidities (heart disease, lung disease,
stroke, or diabetes mellitus). Height (m), weight (kg), and chair stand time were
measured. At follow-up, quality of life in knee osteoarthritis was evaluated
using the Japanese Knee Osteoarthritis Measure score. Multiple linear regression
analysis showed that age, knee osteoarthritis, knee pain, comorbidity, and
increasing chair stand time were independently related to subsequent health
related quality of life. These findings suggest that treating knee osteoarthritis
and comorbidities, managing pain, and optimizing lower extremity muscle strength
may be effective targets for intervention.
PMID- 21902154
TI - Primary total joint arthroplasty performed in operating rooms following cases of
known infection.
AB - No study has examined infection rates in "clean" cases following "dirty" cases.
This study evaluated patients undergoing elective primary total joint
arthroplasties performed in operating rooms following cases of known infection
for development of postoperative infection. A retrospective review of all
elective primary total joint arthroplasties performed over a 5-year period at our
institution was conducted. Patients who underwent primary total joint
arthroplasties that followed cases of known infection in the same operating room
were examined (minimum follow-up of 1 year) to determine the occurrence of
infection based on culture data and subsequent procedures. Thirty-nine total
joint arthroplasties (27 total knee arthroplasties and 12 total hip
arthroplasties) in 35 patients were performed following cases of known infection
in the same operating room. Of these patients, 1 (2.6%) developed a
periprosthetic joint infection. The infecting organism (Propionibacterium acnes)
was the same as that isolated from the preceding "dirty" case. Further
investigation is necessary to determine whether performing primary total joint
arthroplasties immediately following "dirty" cases increases risk of infection.
PMID- 21902155
TI - Is repetitive intraoperative splash basin use a source of bacterial contamination
in total joint replacement?
AB - Splash basins are used in arthroplasty cases to wash instruments. Several studies
in the literature have shown these basins being a potential source of bacterial
infection. This study assesses the risk of contamination of intraoperative splash
basins used to wash and store instruments. A total of 46 random clean primary
arthroplasty cases (32 hips, 13 knees, and 1 unicondylar knee) were studied by
taking cultures of sterile splash basins as soon as they are opened (controls)
and again at wound closure after instruments and debris have come into contact
with the sterile water. All cultures were taken with sterile culture swabs and
sent to the laboratory for aerobic, anaerobic, and fungal culture. Outcome
measured was any positive culture. A total of 92 cultures from 46 cases were
tested. Only 1 (2.17%) control culture, which grew Streptococcus viridans, was
positive for bacterial growth. One of 46 samples (2.17%) taken at wound closure
was positive for coagulase-negative Staphylococcus. Mean time between basin
opening and wound closure was 180+/-45 minutes. For the 1 infected sample taken
at the conclusion of the case, it was 240 minutes. Previous studies show
contamination rates as high as 74% for splash basins used intraoperatively. Our
study contradicts the belief that splash basins are a high source of infection,
with only 2.17% of basins showing contamination. Splash basins can be a potential
source of contamination, but the risk is not as high as previously cited in the
orthopedic literature.
PMID- 21902156
TI - An analysis of the hip and knee reconstruction section of the orthopaedic in
training examination.
AB - This article analyzes the hip and knee reconstruction section of the Orthopaedic
In-Training Examination (OITE). All of the hip and knee reconstruction questions
from 2005 to 2009 were analyzed, and the following data were recorded: number of
questions per year in the hip and knee section, total number of questions on the
OITE per year, national average score by year in training, references cited,
taxonomy classification of each question, topics that were tested, imaging
modalities used for a given question, and treatment modalities tested. Eight
percent to 9% of the questions on the OITE each year were hip and knee
reconstruction-related questions. Performance improved with each year in
training, but not by a statistically significant amount. The most commonly tested
topics over the past 5 years were anatomy and physiology, ligament and/or gap
balancing during total knee arthroplasty (TKA), surgical approaches, properties
of polyethylene, periprosthetic fractures about an arthroplasty, and questions
dealing with the mechanical properties of implants. In 4 out of 5 years, the
majority of questions were classified as Taxonomy 1 (knowledge and recall). The
bulk of the questions each year did not require the examinee to interpret a
radiograph or make a treatment decision. The most common references cited were
from Journal of Bone and Joint Surgery (American), followed by the Journal of
Arthroplasty. This detailed analysis of the hip and knee reconstruction section
of the OITE should improve resident performance, and may serve as a study tool
for the OITE.
PMID- 21902157
TI - Acromioclavicular joint pain in patients with adhesive capsulitis: a prospective
outcome study.
AB - Diagnosis of adhesive capsulitis is a clinical diagnosis based on history and
physical examination. Afflicted patients exhibit active and passive loss of
motion in all planes and a positive capsular stretch sign. The effect of adhesive
capsulitis on acromioclavicular biomechanics leading to tenderness has not been
documented in the literature. This study reports on the incidence of
acromioclavicular tenderness in the presence of adhesive capsulitis. Furthermore,
we note the natural history of such acromioclavicular joint pain in relation to
that of adhesive capsulitis. Over a 2-year period (2005-2007), 84 patients
undergoing initial evaluation for adhesive capsulitis were prospectively examined
with the use of validated outcome measures and physical examination.
Acromioclavicular joint tenderness results were compared and analyzed on initial
evaluation and final follow-up of at least 1 year. Forty-eight patients (57%)
with adhesive capsulitis had acromioclavicular joint pain on examination. At
final follow-up, as range of motion improved, a significant increase in American
Shoulder and Elbow Surgeons/Penn shoulder score and decrease in number of
patients with acromioclavicular pain was noted with only 6 patients with residual
pain (P<.05). In the presence of adhesive capsulitis, there is not only
compensatory scapulothoracic motion but also acromioclavicular motion. This often
results in transient symptoms at the acromioclavicular joint, which abate as the
frozen shoulder resolves and glenohumeral motion improves. This is important to
recognize to avoid unnecessary invasive treatment of the acromioclavicular joint
when the patient presents with adhesive capsulitis.
PMID- 21902158
TI - Long-term effectiveness of Sorbie-QUESTOR elbow arthroplasty: single surgeon's
series of 15 years.
AB - With increasing usage of many types of total elbow replacements, there is a
continuing need for clinical series that report survivorship, complications and
revisions, and performance of single types of implants over extended time
periods. The purpose of this study was to assess the long-term effectiveness of
all implants of the Sorbie-QUESTOR (SQ) unlinked surface arthroplasty conducted
by a single surgeon (C.S.) over 15 years at a single site, and to determine
whether there were diagnostic group differences. Between 1995 and 2002, 51 S-Q
prosthetic elbows were implanted into 44 patients. The patient groups were
hemophilia, rheumatoid arthritis, and "other," which included osteoarthritis,
traumatic arthritis, psoriatic arthritis, and reactive arthritis. Annual
evaluations included scores of pain, range of motion, and function. The most
recent annual evaluation was included in the data set. Details of complications
and revisions were recorded. The hemophiliac group had the best survival outcomes
at 87.5%. Eighteen prostheses required revision or removal with all but 3
retained or replaced. Postoperatively, 73% rated their pain as 'slight' or
'none'. The hemophilia and rheumatoid arthritis groups made very large total
flexion/extension gains. The rheumatoid arthritis group made significant forearm
motion gains. Average functional assessment gains were nearly 2 grades of 5
functional levels and were significant for all groups. The S-Q surface
arthroplasty has demonstrated long-term effectiveness in patients with a variety
of elbow joint pathologies showing reduction in pain, large gains in joint range
and function, and good long-term survival.
PMID- 21902159
TI - Intraoperative ultrasound assistance for excision of impalpable musculoskeletal
soft tissue tumors.
AB - Intraoperative ultrasonography is a useful tool for the detection and extirpation
of liver metastases, breast masses, and melanoma. However, the efficacy of this
technology in intraoperative localization and resection of small soft tissue
tumors has not been addressed. The purpose of this study is to report on the
efficacy of intraoperative ultrasound assistance in excising impalpable
musculoskeletal soft tissue tumors. Twenty-two soft tissue tumors <3 cm (range,
0.7-3 cm) were resected with intraoperative ultrasound assistance. All tumors
were localized in the deep panniculus, fascia, or muscle. Surgical time and
length of incisions was recorded in all the cases. Intra- and postoperative
reregistration was made to confirm the tumor resection. Ultrasound assistance was
successful in obtaining an accurate localization in all treated cases. Mean
surgical time was 30 minutes (range, 13-87 minutes). Average incision length was
5.7 cm (range, 2.5-10.6 cm). Reregistration allowed intraoperative confirmation
of the adequacy of the excision. The procedure allowed recognized and excised
additional nodules not previously diagnosed in 3 cases. Postoperative echography
done in all patients confirmed complete extirpation of the tumors, and
histopathology confirmed adequate margins obtained. Intraoperative ultrasound can
be used as an efficient tool to localize and treat impalpable small soft tissue
tumors.
PMID- 21902160
TI - Teriparatide (rh [1-34] PTH) improved osteointegration of a hemiarthroplasty with
signs of aseptic loosening.
AB - Incidences of osteoporosis and fragility fractures are constantly increasing,
which are associated with increased morbidity and mortality. When these patients
undergo surgery, a higher number of postoperative complications may be expected
because of poor bone quality and delayed healing. As a result, poorer primary
stability of the implant, initial loosening, and impaired fixation strength in
different regions may be seen. In these patients, we can choose the most advanced
implants, but it is necessary to stimulate bone biology to increase the stability
of the implant. This article reports the result obtained in a patient diagnosed
with osteoporosis with aseptic loosening of a hip hemiarthroplasty after
treatment with teriparatide (rh [1-34] PTH). This drug is indicated for the
treatment of osteoporosis in men and postmenopausal women with high fracture risk
and glucocorticoid-induced osteoporosis, and is administered subcutaneously for 2
years. It has an anabolic effect through stimulation of the osteoblast population
that increases trabecular connectivity, cortical thickness, and bone mineral
content. In animal models, teriparatide improved implant fixation 2 to 4 weeks
after administration, resulting in the thickening of bone trabeculae and
increased bone mass in the peri-implant area. In this retrospective analysis of
clinical data and radiographic and scintigraphic images, after 24 months of
treatment, the patient experienced clinical improvement associated with the
disappearance of radiographic signs of loosening and a decrease in pathological
radiotracer uptake in the bone scan, which are signs of osteointegration after
treatment with teriparatide.
PMID- 21902161
TI - Recurrent hemarthrosis after unicompartmental knee arthroplasty.
AB - Recurrent hemarthrosis after knee arthroplasty can be disabling, requiring
adequate and immediate diagnosis and treatment for recovery of symptoms and joint
function. The most commonly reported cause is impingement of proliferative
synovium between prosthetic components. Although various procedures for
hemarthrosis have been reported after knee arthroplasty for patients who do not
respond to conservative treatment, the recommended first-line therapy is open
surgery or embolization. Although hyperplastic synovium was observed during the
first and second arthrotomy, in our case, tissue impingement was not detected. We
describe a rare case of recurrent hemarthrosis after unicompartmental knee
arthroplasty (UKA) and successful treatment by open synovectomy. A 66-year-old
woman presented with spontaneous osteonecrosis of the medial femoral condyle in
the right leg. She underwent UKA of the right knee of the medial condyle.
Eighteen months after UKA, the patient developed recurrent hemarthrosis. Open
arthrotomy was performed 22 months after UKA, revealing only hematoma with no
obvious hemorrhage or loosening of the prosthesis. No history of trauma or use of
anticoagulant medications was present. After a symptom-free period of 8 months,
another 2 episodes of hemarthrosis occurred over the course of 8 months. A second
open arthrotomy was performed. Hyperplastic synovium with fibrin and hemosiderin
pigmentation was observed, again without hemorrhage or loosening. There were no
pathological features of pigmented villonodular synovitis. Synovectomy was
performed, and no hemarthrosis has recurred for 2 years.
PMID- 21902162
TI - Subacromial osteochondroma.
AB - The most common cause of impingement syndrome is mechanical irritation of the
subacromial bursa and rotator cuff by the coracoacromial arch. Offending
structures include the undersurface of the anterolateral acromion, coracoacromial
ligament, and the undersurface of the distal clavicle. We present a case of
impingement syndrome caused by mechanical irritation of the rotator cuff by a
subacromial osteochondroma that was successfully treated with arthroscopic
resection. Osteochondroma is the second most common benign bone tumor following
nonossifying fibroma. These lesions are thought to arise from aberrant growth of
normal epiphyseal growth plate cartilage. Ninety percent of osteochondromas arise
from the metaphyseal regions of long bones (eg, distal femur, proximal tibia, or
proximal humerus). Scapular involvement accounts for 3.0% to 4.6% of all reported
osteochondromas. These lesions represent 14.4% of all tumors of the scapula and
49% of benign scapular tumors, making them the most common benign bone tumors of
the scapula. Our patient failed nonoperative management of his subacromial
osteochondroma. The concern for malignant transformation was low, as the
patient's pain had been consistent for the past 15 years. Although his pain had
been largely unchanged for more than a decade, he elected to undergo resection so
that he could resume the hobbies that his pain had forced him to abandon. He
reported substantial pain relief and restoration of function following
arthroscopic resection and subacromial decompression, reinforcing mechanical
irritation of the rotator cuff as the source of his shoulder pain and
dysfunction. To our knowledge, this is the first report of arthroscopic resection
of a subacromial osteochondroma.
PMID- 21902163
TI - Acute compartment syndrome of the forearm secondary to infection within the space
of Parona.
AB - The deep midpalmar space of the hand communicates with the space of Parona in the
forearm. Infection of these deep spaces can be difficult to diagnose. This
article presents the first reported case of acute compartment syndrome of the
forearm secondary to infection within the space of Parona. This article discusses
the anatomy of the space of Parona, highlighting its communicating spaces and the
importance of recognizing a deep-space infection of the hand as a possible cause
of compartment syndrome of the forearm. This article also suggests a method of
clinical examination to aid in the diagnosis of infection within the space of
Parona to allow more specific planning of surgical intervention through early
decompressive surgery, with surgical exploration to exclude and drain infection
when no other clear cause for the rise in pressure within the osteofascial
compartment is apparent.
PMID- 21902164
TI - Robotic guidance in total hip arthroplasty: the shape of things to come.
AB - Surgeons want to perform a perfect total hip arthroplasty (THA) with every
operation. Human performance has limitations, especially when performing a
mechanical operation in a biological environment. Recent suggested changes to
improve outcomes have been large femoral heads and anterior incisions, but
unfortunately, neither has resulted in any scientific data that change has been
effected. The scientific data tell us that poor component positions and
impingement are the source of increasing mechanical complications. Therefore,
attempts have been made to improve the surgeon's performance by precise
quantitative knowledge in the operating room. Robotic-guided navigation provides
numerical data for cup inclination plus anteversion and center of rotation;
femoral leg length and offset; and combined anteversion of the cup and stem. The
acetabular bone preparation is done with a reamer connected to a robotic arm,
which prevents human error by the surgeon of reaming off line or too deep. This
technology provides predictable and reproducible results.
PMID- 21902165
TI - A lateral approach to lower eyelid entropion repair.
AB - The authors describe a simple technique that highlights the use of the sagittal
anatomy of the lower eyelid to aid identification of the retractors during
involutional entropion repair. This anatomy is exposed following lateral
canthotomy and inferior cantholysis. The orbital septum is seen to enclose the
fat pad and fuse with the retractors above it prior to insertion into the tarsus.
The septum, orbicularis, and skin are incised from the lateral approach, allowing
exposure of the retractors for tarsal reattachment, and the procedure is
completed with a lateral tarsal strip. Forty-eight procedures in 42 patients with
involutional entropion were performed using this technique and 90% and 89% of
primary and recurrent entropion, respectively, were successfully repaired. Mean
operating time was 30 minutes. The lateral approach to a standard procedure for
entropion repair can reduce operating time and technical difficulty.
PMID- 21902167
TI - Outcomes of 25-gauge pars plana vitrectomy in the surgical management of
proliferative diabetic retinopathy.
AB - BACKGROUND AND OBJECTIVE: To report outcomes and complications of 25-gauge pars
plana vitrectomy (PPV) for patients with complications of proliferative diabetic
retinopathy (PDR). PATIENTS AND METHODS: Retrospective, interventional,
consecutive case series of 174 eyes undergoing primary 25-gauge PPV for PDR from
2006 to 2009. Primary outcomes were visual acuity changes and rates of
postoperative complications. RESULTS: Visual acuity improved from 20/187 before
to 20/69 after surgery (P < .0001). Postoperative vitreous hemorrhage occurred in
38.7% of eyes and 10.4% of all eyes required another PPV for non-clearing
vitreous hemorrhage. Complications included limited choroidal effusion (5.2%),
rhegmatogenous retinal detachment (4.6%), hypotony, rubeosis, and ocular
hypertension (4.1%), neovascular glaucoma (2.3%), hyphema (1.2%), and phthisis
bulbi (0.6%). CONCLUSION: The authors found 25-gauge PPV to be effective for
vitreous removal and membrane dissection. The spectrum and frequency of
complications were similar to those reported for 20-gauge PPV for PDR. In the
surgical management of PDR, 25-gauge PPV is an alternative.
PMID- 21902166
TI - Pulse-encoded ultrasound imaging of the vitreous with an annular array.
AB - The vitreous body is nearly transparent both optically and ultrasonically.
Conventional 10- to 12-MHz diagnostic ultrasound can detect vitreous
inhomogeneities at high gain settings, but has limited resolution and
sensitivity, especially outside the fixed focal zone near the retina. To improve
visualization of faint intravitreal fluid/gel interfaces, the authors fabricated
a spherically curved 20-MHz five-element annular array ultrasound transducer,
implemented a synthetic-focusing algorithm to extend the depth-of-field, and used
a pulse-encoding strategy to increase sensitivity. The authors evaluated a human
subject with a recent posterior vitreous detachment and compared the annular
array with conventional 10-MHz ultrasound and spectral-domain optical coherence
tomography. With synthetic focusing and chirp pulse-encoding, the array allowed
visualization of the formed and fluid components of the vitreous with improved
sensitivity and resolution compared with the conventional B-scan. Although
optical coherence tomography allowed assessment of the posterior vitreoretinal
interface, the ultrasound array allowed evaluation of the entire vitreous body.
PMID- 21902168
TI - Successful outcomes of 25- and 23-gauge vitrectomies for giant retinal tear
detachments.
AB - BACKGROUND AND OBJECTIVE: The authors examined the feasibility of performing 25-
and 23-gauge micro-incision vitrectomy surgery (MIVS) for a giant retinal tear.
PATIENTS AND METHODS: The medical records of 12 eyes of 11 patients with giant
retinal tear who underwent MIVS using perfluorocarbon liquids were reviewed. All
patients were observed for at least 6 months postoperatively. RESULTS: An
intraoperative re-attachment was achieved in 12 eyes (100%) and 11 eyes (92%)
remained attached without intraocular tamponade. Silicone oil was used in 9 of 12
eyes and removed 2 weeks after the initial vitrectomy except in one eye. The
postoperative retinal complications included macular pucker in two eyes,
subretinal perfluorocarbon liquid in two eyes, retinal folds in one eye, cystoid
macular edema in one eye, and redetachment due to proliferative vitreoretinopathy
in one eye. CONCLUSION: Although the study had a short follow-up period, primary
MIVS appears to be safe and feasible for giant retinal tear surgery.
PMID- 21902169
TI - Effect of dissolved oxygen changes on activated sludge fungal bulking during lab
scale treatment of acidic industrial wastewater.
AB - The cloning and sequencing of fungal 18S rRNA genes followed by the
identification of filamentous fungal species by fluorescent in situ hybridization
(FISH) and the enumeration of filamentous fungal cells by flow cytometry-FISH (FC
FISH) were used to investigate the effect of dissolved oxygen (DO) changes on
activated sludge (AS) fungal bulking during a lab-scale treatment of acidic
industrial wastewater. By increasing DO levels from < .5 to > 2 mg L-1, bulking
started to occur due to the outbreak of fungal filaments, whereas the chemical
oxygen demand (COD) removals sharply increased from < 40 to > 70%. Clone library
analyses revealed that all clonal fungal sequences were of yeast origin, and that
only one and four yeast species were individually detected in AS at two DO
levels. Subsequent FISH identification of filamentous yeast species within
bulking sludge using self-designed oligonucleotide probes suggested that all
probe-reactive cells of Trichosporon asahii had a filamentous morphology and were
the dominating filamentous microorganism in the AS. The FC-FISH analyses of
bacteria and two main yeast species showed that the DO shift resulted in a sharp
increase of T. asahii, by a factor of 48-60, which caused filamentous yeast
bulking. Subsequently, the restoration of DO levels to <0.5 mg L-1 effectively
restored the sludge settlement and yeast community, as well as unacceptable COD
removals.
PMID- 21902170
TI - Gold- and iodine-mediated internal oxygen transfer of nitrone- and sulfoxide
functionalized alkynes.
AB - Intramolecular oxygen transfer of nitrone- and sulfoxide-alkynes was achieved
using a catalytic amount of Au(I) and a stoichiometric amount of iodine. The
Au(I)-catalyzed cyclization of a nitrone-terminal alkyne afforded a cyclic
iminoester, while cyclization of analogous nitrone-internal alkynes yielded
aldehyde-enones. The I(2)-mediated cyclization of nitrone-alkynes afforded
iodinated gamma-lactams and the I(2)-mediated internal redox of the closely
related sulfoxide-alkynes gave diketones functionalized with a thoiether.
PMID- 21902171
TI - Antibacterial surfaces based on polymer brushes: investigation on the influence
of brush properties on antimicrobial peptide immobilization and antimicrobial
activity.
AB - Primary amine containing copolymer, poly(N,N-dimethylacrylamide-co-N-(3
aminopropyl)methacrylamide hydrochloride) (poly(DMA-co-APMA)), brushes were
synthesized on Ti surface by surface-initiated atom transfer radical
polymerization (SI-ATRP) in aqueous conditions. A series of poly(DMA-co-APMA)
copolymer brushes on titanium (Ti) surface with different molecular weights,
thicknesses, compositions, and graft densities were synthesized by changing the
SI-ATRP reaction conditions. Cysteine-functionalized cationic antimicrobial
peptide Tet213 (KRWWKWWRRC) was conjugated to the copolymers brushes using a
maleimide-thiol addition reaction after initial modification of the grafted
chains using 3-maleimidopropionic acid N-hydroxysuccinimide ester. The modified
surfaces were characterized by X-ray photoelectron spectroscopy (XPS), water
contact angle measurements, attenuated total reflectance Fourier transform
infrared (ATR-FTIR) spectroscopy, atomic force microscopy (AFM), and ellipsometry
analysis. The conjugation of the Tet213 onto brushes strongly depended on graft
density of the brushes at different copolymer brush compositions. The peptide
density (peptides/nm(2)) on the surface varied with the initial composition of
the copolymer brushes. Higher graft density of the brushes generated high peptide
density (pepetide/nm(2)) and lower number of peptides/polymer chain and vice
versa. The peptide density and graft density of the chains on surface greatly
influenced the antimicrobial activity of peptide grafted polymer brushes against
Pseudomonas aeruginosa.
PMID- 21902172
TI - Impedance characteristics and polarization behavior of a microbial fuel cell in
response to short-term changes in medium pH.
AB - pH oppositely influences anode and cathode performance in microbial fuel cells.
The differential electrochemical effects at each electrode and the resultant full
cell performance were analyzed in medium pH from 6.0 to 8.0. Potentials changed
60 mV/pH for the anode and -68 mV/pH for the cathode, coincident with
thermodynamic estimations. Open circuit voltage reached a maximum (741 mV) at pH
7, and maximum power density was highest (712 mW/m2) at pH 6.5 as the cathode
performance improved at lower pH. Maximum current density increased and apparent
half-saturation potential (E(KA)) decreased with increasing medium pH due to
improved anode performance. An equivalent circuit model composed of two time
constant processes accurately fit bioanode impedance data. One of these processes
was consistently the rate-limiting step for acetate-oxidizing exoelectrogenesis,
with its pH-varying charge transfer resistance R2 ranging from 2- to 321-fold
higher than the pH-independent charge transfer resistance R1. The associated
capacitance C2 was 2-3 orders of magnitude larger than C1. R2 was lowest near
E(KA) and increased by several orders of magnitude at anode potentials above
E(KA), while R1 was nearly stable. However, fits deviated slightly at potentials
above E(KA) due to emerging impedance possibly associated with diffusion and
excessive potential.
PMID- 21902174
TI - On the thermodynamic stability of clathrate hydrates V: phase behaviors
accommodating large guest molecules with new reference states.
AB - We present a method that brings prediction of phase behaviors of various
clathrate hydrates with firm statistical mechanical ground adopting a different
reference state from the usual one. Accommodation of a large guest molecule makes
the frequencies of the lattice vibrational motions higher, which is one of the
breakdowns of the assumptions in the original van der Waals and Platteeuw theory.
The frequency modulations are incorporated in the free energy of cage occupation
in the present method. Moreover, the reference state, which is originally the
corresponding empty clathrate structure, is alternated to a state where cages of
at least one sort are fully occupied. This meets the stability condition of
clathrate hydrates that most of the cages should be accommodated. Owing to this
new reference state, the thermodynamic stability is evaluated with reasonable
accuracy from the free energy of cage occupation especially by a large guest
molecule without considering its dependence on the cage occupancy. This
conversion is also beneficial to establish a relation between the chemical
potential of water and the cage occupancy from grandcanonical Monte Carlo
simulation. We show a new method indeed works well in predicting the dissociation
pressures of clathrate hydrates containing isobutane, propane, ethane, Xe, and
CF(4).
PMID- 21902173
TI - Multistage nanovectors: from concept to novel imaging contrast agents and
therapeutics.
AB - Over the last few decades a great variety of nanotechnology based platforms have
been synthesized and fabricated to improve the delivery of active compounds to a
disease site. Nanoparticles currently used in the clinic, and the majority of
nanotherapeutics/nanodiagnostics under investigation, accommodate single- or
multiple- functionalities on the same entity. Because many heterogeneous
biological barriers can prevent therapeutic and imaging agents from reaching
their intended targets in sufficient concentrations, there is an emerging
requirement to develop a multimodular nanoassembly, in which different components
with individual specific functions act in a synergistic manner. The multistage
nanovectors (MSVs) were introduced in 2008 as the first system of this type. It
comprises several nanocomponents or "stages", each of which is designed to
negotiate one or more biological barriers. Stage 1 mesoporous silicon particles
(S1MPs) were rationally designed and fabricated in a nonspherical geometry to
enable superior blood margination and to increase cell surface adhesion. The main
task of S1MPs is to efficiently transport nanoparticles that are loaded into
their porous structure and to protect them during transport from the
administration site to the disease lesion. Semiconductor fabrication techniques
including photolithography and electrochemical etching allow for the exquisite
control and precise reproducibility of S1MP physical characteristics such as
geometry and porosity. Furthermore, S1MPs can be chemically modified with
negatively/positively charged groups, PEG and other polymers, fluorescent probes,
contrast agents, and biologically active targeting moieties including antibodies,
peptides, aptamers, and phage. The payload nanoparticles, termed stage 2
nanoparticles (S2NPs), can be any currently available nanoparticles such as
liposomes, micelles, inorganic/metallic nanoparticles, dendrimers, and carbon
structures, within the approximate size range of 5-100 nm in diameter. Depending
upon the physicochemical features of the S1MP (geometry, porosity, and surface
modifications), a variety of S2NPs or nanoparticle "cocktails" can be loaded and
efficiently delivered to the disease site. As demonstrated in the studies
reviewed here, once the S2NPs are loaded into the S1MPs, a variety of novel
properties emerge, which enable the design of new and improved imaging contrast
agents and therapeutics. For example, the loading of the MRI Gd-based contrast
agents onto hemispherical and discoidal S1MPs significantly increased the
longitudal relaxivity (r1) to values of up to 50 times larger than those of
clinically available gadolinium-based agents (~4 mM(-1) s(-1)/Gd(3+) ion).
Furthermore, administration of a single dose of MSVs loaded with neutral
nanoliposomes containing small interfering RNA (siRNA) targeted against the EphA2
oncoprotein enabled sustained EphA2 gene silencing for at least 21 days. As a
result, the tumor burden was reduced in an orthotopic mouse model of ovarian
cancer. We envision that the versatility of the MSV platform and its emerging
properties will enable the creation of personalized solutions with broad clinical
implications within and beyond the realm of cancer theranostics.
PMID- 21902175
TI - Bioactive prenylogous cannabinoid from fiber hemp (Cannabis sativa).
AB - The waxy fraction from the variety Carma of fiber hemp (Cannabis sativa) afforded
the unusual cannabinoid 4, identified as the farnesyl prenylogue of cannabigerol
(CBG, 1) on the basis of its spectroscopic properties. A comparative study of the
profile of 4 and 1 toward metabotropic (CB1, CB2) and ionotropic (TRPV1, TRPV2,
TRPM8, TRPA1) targets of phytocannabinoids showed that prenylogation increased
potency toward CB2 by ca. 5-fold, with no substantial difference toward the other
end-points, except for a decreased affinity for TRPM8. The isolation of 4
suggests that C. sativa could contain yet-to-be-discovered prenylogous versions
of medicinally relevant cannabinoids, for which their biological profiles could
offer interesting opportunities for biomedical exploitation.
PMID- 21902177
TI - Inducing effect of additive agents on coordination assembly of silver(I) nitrate
with 3,5-bis(2-pyridyl)-4-amino-1,2,4-triazole: supramolecular isomerism and
interconversion.
AB - By using different organic acids as additive agents, hydrothermal reactions of
AgNO(3) with 3,5-bis(2-pyridyl)-4-amino-1,2,4-triazole (2-bpt) lead to formation
of two conformational polymorphs of [Ag(2-bpt)](NO(3)) with bimetallocyclic and 1
D helical coordination patterns. Interconversion between the two supramolecular
isomers can be achieved under proper conditions, which will pass through the same
intermediate state.
PMID- 21902176
TI - Surface eroding, liquid injectable polymers based on 5-ethylene ketal epsilon
caprolactone.
AB - Liquid, injectable hydrophobic polymers are potentially useful as depot systems
for localized drug delivery. Low molecular weight polymers of 5-ethylene ketal
epsilon-caprolactone and copolymers of this monomer with D,L-lactide were
prepared and their properties assessed with respect to their suitability for this
purpose. The polymers were amorphous and of low viscosity, and the viscosity was
adjustable by choice of initiator and/or by copolymerizing with D,L-lactide.
Lower viscosity polymers were attained by using 350 Da methoxy poly(ethylene
glycol) as an initiator in comparison to octan-1-ol, while copolymerization with
D,L-lactide increased viscosity. The initiator used had no significant effect on
the rate of mass loss in vitro, and copolymers with D,L-lactide (DLLA) degraded
faster than 5-ethylene ketal epsilon-caprolactone (EKC) homopolymers. For the EKC
based polymers, a nearly constant degradation rate was observed. This finding was
attributed to the hydrolytic susceptibility of the EKC-EKC ester linkage, which
was comparable to that of DLLA-DLLA, coupled with a higher molecular weight of
the water-soluble degradation product and the low initial molecular weight of the
EKC-based polymers. Cytotoxicity of the hydrolyzed EKC monomer to 3T3 fibroblast
cells was comparable to that of epsilon-caprolactone, suggesting that polymers
prepared from EKC may be well tolerated upon in vivo implantation.
PMID- 21902178
TI - Synthesis and properties of metal-ligand complexes with endohedral amine
functionality.
AB - A series of tetracationic M(2)L(4) palladium-pyridyl complexes with endohedral
amine functionality have been synthesized. The complexes were analyzed by NMR
techniques (including Diffusion NMR and 2D NOESY), electrospray ionization (ESI)
mass spectrometry, and X-ray crystallography. The solid state analysis shows a
large change in crystal morphology upon introduction of the endohedral amine
groups, caused by deleterious interactions between the amines and the triflate
counterions from the coordination process. Combination of different ligands
allows analysis of ligand exchange rates via NMR analysis, with half-lives on the
order of 3 h, independent of the donor properties of the ligand. Self-sorting
behavior is observed, with more electron-rich ligands being favored. The amine
containing and extended complexes are strongly fluorescent, giving quantum yields
of up to 83%.
PMID- 21902179
TI - Nitrogen atom transfer from iron(IV) nitrido complexes: a dual-nature transition
state for atom transfer.
AB - The mechanism of nitrogen atom transfer from four-coordinate tris(carbene)borate
iron(IV) nitrido complexes to phosphines and phosphites has been investigated. In
the absence of limiting steric effects, the rate of nitrogen atom transfer to
phosphines increases with decreasing phosphine sigma-basicity. This trend has
been quantified by a Hammett study with para-substituted triarylphosphines, and
is contrary to the expectations of an electrophilic nitrido ligand. On the basis
of electronic structure calculations, a dual-nature transition state for nitrogen
atom transfer is proposed, in which a key interaction involves the transfer of
electron density from the nitrido highest occupied molecular orbital (HOMO) to
the phosphine lowest unoccupied molecular orbital (LUMO). Compared to analogous
atom transfer reactions from a 5d metal, these results show how the electronic
plasticity of a 3d metal results in rapid atom transfer from pseudotetrahedral
late metal complexes.
PMID- 21902181
TI - Multimetallic synergic sedation of a labile sodium atrane: synthesis and
characterization of a tetranuclear sodium atrane cation complex.
AB - A series of sodium and aluminum atrane complexes of Na(3)L(THF)(5) (1),
[AlLMe][Na(4)L(THF)(6)] (2), AlL(THF) (3), AlNaLMe(THF)(2) (4), and
AlNaLOBn(THF)(2) (5), wherein L = tris(2-oxy-4,6-di-tert-butyl-benzyl)amine, were
synthesized and characterized by NMR, X-ray crystallography, and elemental
analysis. The trinuclear sodium atrane complex of Na(3)L(THF)(5) (1) is labile at
room temperature; however, the tetranuclear sodium atrane cation in complex 2 can
be stabilized by a multimetallic synergetic effect due to a firm interaction ring
of -[Na-O-benzene](3)-. Complex 2 is also the first example of a sodatrane and
alumatrane ion-paired complex in which both the cationic and anionic moieties
contain an atrane ligand.
PMID- 21902182
TI - Length-controllable catalyzing-synthesis and length-corresponding properties of
FeCo/Pt nanorods.
AB - Newly designed magnetic-alloy/noble-metal FeCo/Pt nanorods have been first
reported and fabricated through a length-controllable catalyzing-synthesis
process in which the growth of FeCo nanorods was induced on Pt nanotips. The
length of FeCo/Pt nanorods depends on the number of platinum nanotips. The
proposed synthesis mechanism was corroborated by scanning electron microscopy,
transition electron microscopy, X-ray diffraction, energy dispersive X-ray
spectroscopy, and X-ray photoelectron spectroscopy. With the decrease of Fe
content in Fe(x)Co(96-x)/Pt(4) nanoalloys from 77 to 15, the morphology changes
from nanorods with different lengths to nanoparticles. The analysis of the
magnetic hysteresis loops indicated that the magnetic saturation and coercivity
were strongly dependent on the length of the nanorods in which maximum saturation
magnetization and minimum coercivity were obtained for Fe(77)Co(19)/Pt(4)
nanorods with the length of ~2.5 MUm. In particular, FeCo/Pt exhibited length
dependent reactivity towards 1,1,2,2-tetrachloroethane, and Fe(77)Co(19)/Pt(4)
nanorods with the length of ~2.5 MUm yielded the greatest dechlorination rate.
Moreover, Pt can enhance the dechlorination of 1,1,2,2-tetrachloroethane.
PMID- 21902183
TI - Modulating magnetic dynamics of three Dy2 complexes through keto-enol tautomerism
of the o-vanillin picolinoylhydrazone ligand.
AB - Complexation of dysprosium(III) with the heterodonor chelating ligand o-vanillin
picolinoylhydrazone (H(2)ovph) in the presence of different bases affords three
new dinuclear dysprosium(III) coordination compounds, namely,
[Dy(2)(ovph)(2)(NO(3))(2)(H(2)O)(2)].2H(2)O (1),
[Dy(2)(Hovph)(ovph)(NO(3))(2)(H(2)O)(4)].NO(3).2CH(3)OH.3H(2)O (2), and
Na[Dy(2)(Hovph)(2)(MU(2)-OH)(OH)(H(2)O)(5)].3Cl.3H(2)O (3), where the
aroylhydrazone ligand adopts different coordination modes in respective
structures depending on the reaction conditions, as revealed by single-crystal X
ray analyses to be due to their tautomeric maneuver. The magnetic properties of 1
3 are drastically distinct. Compounds 1 and 2 show single-molecule-magnet
behavior, while no out-of-phase alternating-current signal is noticed for 3. The
structural differences induced by the different coordinate fashions of the ligand
may influence the strength of the local crystal field, the magnetic interactions
between metal centers, and the local tensor of anisotropy on each Dy site and
their relative orientations, therefore generating dissimilar dynamic magnetic
behavior.
PMID- 21902184
TI - Relipidated tissue factor linked to collagen surfaces potentiates platelet
adhesion and fibrin formation in a microfluidic model of vessel injury.
AB - Microfluidic devices allow for the controlled perfusion of human or mouse blood
over defined prothrombotic surfaces at venous and arterial shear rates. To mimic
in vivo injuries such a plaque rupture, the need exists to link lipidated tissue
factor (TF) to surface-bound collagen fibers. Recombinant TF was relipidated in
liposomes of phosphatidylserine/phosphatidylcholine/biotin-linked
phosphatidylethanolamine (20:79:1 PS/PC/bPE molar ratio). Collagen was patterned
in a 250-MUm-wide stripe and labeled with biotinylated anticollagen antibody
which was then bound with streptavidin, allowing the subsequent capture of the TF
liposomes. To verify and detect the TF liposome-collagen assembly, individual
molecular complexes of TF-factor VIIa on collagen were visualized using the
proximity ligation assay (PLA) to produce discretely localized fluorescent events
that were strictly dependent on the presence of factor VIIa and primary
antibodies against TF or factor VIIa. Perfusion for 450 s (wall shear rate, 200
s(-1)) of corn trypsin inhibitor (CTI, a factor XIIa inhibitor) treated whole
blood over the stripe of TF-collagen enhanced platelet adhesion by 30 +/- 8% (p <
0.001) and produced measurable fibrin (>50-fold increase) as compared to surfaces
lacking TF. PS/PC/bPE liposomes lacking TF resulted in no enhancement of platelet
deposition. Essentially no fibrin was formed during perfusion over collagen
surfaces or collagen surfaces with liposomes lacking TF despite the robust
platelet deposition, indicating a lack of kinetically significant platelet-borne
tissue factor in healthy donor blood. This study demonstrates a reliable approach
to link functionally active TF to collagen for microfluidic thrombosis studies.
PMID- 21902185
TI - Human butyrylcholinesterase-cocaine binding pathway and free energy profiles by
molecular dynamics and potential of mean force simulations.
AB - In the present study, we have performed combined molecular dynamics and potential
of mean force (PMF) simulations to determine the enzyme-substrate (ES) binding
pathway and the corresponding free energy profiles for wild-type
butyrylcholinesterase (BChE) binding with (-)/(+)-cocaine and for the A328W/Y332G
mutant binding with (-)-cocaine. According to the PMF simulations, for each ES
binding system, the substrate first binds with the enzyme at a peripheral anionic
site around the entrance of the active-site gorge to form the first ES complex
(ES1-like) during the binding process. Further evolution from the ES1-like
complex to the nonprereactive ES complex is nearly barrierless, with a free
energy barrier lower than 1.0 kcal/mol. So, the nonprereactive ES binding process
should be very fast. The rate-determining step of the entire ES binding process
is the subsequent evolution from the nonprereactive ES complex to the prereactive
ES complex. Further accounting for the entire ES binding process, the PMF-based
simulations qualitatively reproduced the relative order of the experimentally
derived binding free energies (DeltaG(bind)), although the simulations
systematically overestimated the magnitude of the binding affinity and
systematically underestimated the differences between the DeltaG(bind) values.
The obtained structural and energetic insights into the entire ES binding process
provide a valuable base for future rational design of high-activity mutants of
BChE as candidates for an enzyme therapy for cocaine overdose and abuse.
PMID- 21902186
TI - Cytotoxic C21 and C22 terpenoid-derived metabolites from the sponge Ircinia sp.
AB - One novel C21 terpenoidal natural product, ircinolin A (2), two new C22
furanoterpene metabolites, 15-acetylirciformonin B (3) and 10-acetylirciformonin
B (4), and two known compounds, irciformonin B (1) and irciformonin F (5), were
isolated from the sponge Ircinia sp. The structures of these compounds were
elucidated on the basis of their spectroscopic data. Moreover, the absolute
configuration of 1 was determined by Mosher's method. Among these metabolites, 2
is the first C21 terpenoid-derived metabolite to be reported from this genus.
Compounds 1 and 3-5 exhibited significant cytotoxic activity against K562, DLD-1,
HepG2, and Hep3B cancer cell lines.
PMID- 21902187
TI - Carbon nanotube memory by the self-assembly of silicon nanocrystals as charge
storage nodes.
AB - A memory structure based on self-aligned silicon nanocrystals (Si NCs) grown over
Al(2)O(3)-covered parallel-aligned carbon nanotubes (CNTs) by gas source
molecular beam epitaxy is reported. Electrostatic force microscopy
characterizations directly prove the charging and discharging of discrete NCs
through the Al(2)O(3) layer covering the CNTs. A CNT field effect transistor
based on the NC/CNT structure is fabricated and characterized, demonstrating
evident memory characteristics. Direct tunneling and Fowler-Nordheim tunneling
phenomena are observed at different programming/erasing voltages. Retention is
demonstrated to be on the order of 10(4) s. Although there is still plenty of
room to enhance the performance, the results suggest that CNT-based NC memory
with diminutive CNTs and NCs could be an alternative structure to replace
traditional floating gate memory.
PMID- 21902188
TI - Tetrylenes chelated by hybrid amido-amino ligand: derivatives of 2-[(N,N
dimethylamino)methyl]aniline.
AB - Reaction of 2-[(dimethylamino)methyl]aniline with butyllithium, followed by
conversion with trimethylsilyl, triphenylsilyl, triphenylgermyl,
trimethylstannyl, or tri-n-butylstannyl chloride, gives the corresponding
substituted aniline. These compounds were further deprotonated by butyllithium
and reacted with germanium, tin, and lead dichlorides, respectively, in both
stoichiometric ratios 2:1 and 1:1, providing the target homo- ([2
(Me(2)NCH(2))C(6)H(4)(YR(3))N](2)M) and heteroleptic ([2
(Me(2)NCH(2))C(6)H(4)(YR(3))N]MCl) germylenes and stannylenes, where M = Ge, Sn,
Y = Si, Ge, and R = Me, Ph. Unlike all of these cases, the heteroleptic
plumbylene can only be obtained with this reaction when the amide is substituted
by a trimethylsilyl moiety. Anilines substituted by trimethyltin or tri-n
butyltin moieties gave transmetalation products after the second deprotonation by
butyllithium. The trimethyltin-substituted stannylenes could likewise not be
obtained by hexamethyldisilazane elimination of (trimethylstannyl)-2
[(dimethylamino)methyl]aniline with 0.5 mol equiv of either
bis[bis(trimethylsilyl)amido]tin or {bis[bis(trimethylsilyl)amido]tin chloride}.
Products of these reactions are heterocubanes with compositions {[2
(Me(2)NCH(2))C(6)H(4)N]Sn}(4) and [2-(Me(2)NCH(2))C(6)H(4)N](2)(MU(2)
SnMe(2))(2), respectively, and Me(4)Sn or Me(3)SnCl. The structures of
trimethylsilyl- and triphenylgermyl-substituted germylenes, stannylenes, and
plumbylenes, as well as a number of their precursors, in the crystalline state,
were investigated by X-ray diffraction and NMR spectroscopy in solution. Density
functional theory methods were used for evaluation of the structures of several
compounds.
PMID- 21902190
TI - Intriguing two-dimensional assembly of cobaloxime with a [Zn2(OOCR)4] center.
AB - We report the synthesis and crystallographic studies of paddlewheel-based methyl
cobaloxime assembly formed from methyl cobaloxime, isonicotinic acid, and
Zn(NO(3))(2). The cobaloxime units are assembled over two-dimensional metal
organic polyhedra constructed from isonicotinate and Zn metal ions.
PMID- 21902189
TI - VO2+ complexation by bioligands showing keto-enol tautomerism: a potentiometric,
spectroscopic, and computational study.
AB - The interaction of VO(2+) ion with ligands of biological interest that are
present in important metabolic pathways--2-oxopropanoic acid (pyruvic acid,
pyrH), 3-hydroxy-2-oxopropanoic acid (3-hydroxypyruvic acid, hydpyrH),
oxobutanedioic acid (oxalacetic acid, oxalH(2)), (S)-hydroxybutanedioic acid (l
malic acid, malH(2)), and 2,3-dihydroxy-(E)-butanedioic acid (dihydroxyfumaric
acid, dhfH(2))--was described. Their complexing capability was compared with that
of similar ligands: 3-hydroxy-2-butanone (hydbut) and 3,4-dihydroxy-3-cyclobutene
1,2-dione (squaric acid, squarH(2)). All of these ligands (except l-malic acid)
exhibit keto-enol tautomerism, and the presence of a metal ion can influence such
an equilibrium. The different systems were studied with electron paramagnetic
resonance (EPR) and UV-vis spectroscopies and with pH potentiometry. Density
functional theory (DFT) methods provide valuable information on the relative
energy of the enol and keto forms of the ligands both in the gas phase and in
aqueous solution, on the geometry of the complexes, and on EPR and electronic
absorption parameters. The results show that most of the ligands behave like
alpha-hydroxycarboxylates, forming mono- and bis-chelated species with (COO(-),
O(-)) coordination, demonstrating that the metal ion is able to stabilize the
enolate form of some ligands. With dihydroxyfumaric acid, the formation of a non
oxidovanadium(IV) complex, because of rearrangement of dihydroxyfumaric to
dihydroxymaleic acid (dhmH(2)), can be observed. With 3-hydroxy-2-butanone and
3,4-dihydroxy-3-cyclobutene-1,2-dione, complexation of VO(2+) does not take place
and the reason for this behavior is explained by chemical considerations and
computational calculations.
PMID- 21902191
TI - Thorium(IV) molecular clusters with a hexanuclear Th core.
AB - Three polynuclear thorium(IV) molecular complexes have been synthesized under
ambient conditions from reactions of an amorphous Th precipitate, obtained via
hydrolysis, with carboxylate functionalized ligands. The structures of
Th(6)(OH)(4)O(4)(H(2)O)(6)(HCO(2))(12).nH(2)O (1),
Th(6)(OH)(4)O(4)(H(2)O)(6)(CH(3)CO(2))(12).nH(2)O (2),
Th(6)(OH)(4)O(4)(H(2)O)(6)(ClCH(2)CO(2))(12).4H(2)O (3) each consist of a
hexanuclear Th core wherein six 9-coordinate Th(IV) cations are bridged by four
MU(3)-hydroxo and four MU(3)-oxo groups. Each Th(IV) center is additionally
coordinated to one bound "apical" water molecule and four oxygen atoms from
bridging carboxylate functionalized organic acid units. "Decoration" of the
cationic [Th(6)(MU(3)-O)(4)(MU(3)-OH)(4)](12+) cores by anionic shells of R-COO(
) ligands (R = H, CH(3), or CH(2)Cl) terminates the oligomers and results in the
formation of discrete, neutral molecular clusters. Electronic structure
calculations at the density functional theory level predicted that the most
energetically favorable positions for the protons on the hexanuclear core result
in the cluster with the highest symmetry with the protons separated as much as
possible. The synthesis, structure, and characterization of the materials are
reported.
PMID- 21902192
TI - Different effects of a cotemplate and [(transition-metal)(1,10
phenanthroline)(m)]2+ (m = 1-3) complex cations on the self-assembly of a series
of hybrid selenidostannates showing combined optical properties of organic and
inorganic components.
AB - 1,10-Phenanthroline (phen) and monoprotonated methylamine molecules were used as
a novel cotemplate to direct the formation of a new inorganic-organic hybrid
selenidostannate, (CH(3)NH(3))(4)(Sn(2)Se(6)).6phen (1); while the utilization of
three types of transition-metal (TM) phen complex cations with the TM/phen ration
of 1:1, 1:2, and 1:3 as structure directors affords {[Mn(phen)(2)](2)(MU(2)
Sn(2)Se(6))}.H(2)O (2a), {[Fe(phen)(2)](2)(MU(2)-Sn(2)Se(6))} (2b),
{[Mn(phen)](2)(MU(4)-Sn(2)Se(6))}(n) (3), {[Mn(phen)(2)](Sn(2)Se(5))}(n) (4), and
[Fe(phen)(3)](n)(Sn(3)Se(7))(n).1.25nH(2)O (5). These compounds show diverse
structures with the selenidostannate anions varying from discrete, MU(2)- and
MU(4)- (Sn(2)Se(6))(4-) anions, to one-dimensional (1-D)
(1)(infinity)(Sn(2)Se(5)(2-)) anionic chains, and two-dimensional (2-D) extended
(2)(infinity)(Sn(3)Se(7)(2-)) anionic layers, demonstrating different structure
directing abilities of the cotemplate and the three types of TM phen complex
cations. This work clearly indicates that the approach of modifying the number of
the free coordination sites of unsaturated TM phen complex cations is very
exciting as a way to synthesize novel hybrid chalcogenidometalates. Of particular
interest, the present compounds exhibit interesting optical properties that
reflect the combined effects of both photoluminescence-active organic components
and semiconducting inorganic chalcogenidometalate anionic networks.
PMID- 21902193
TI - Kinetics and thermodynamics of limonene ozonolysis.
AB - Using density functional methods, the initial reaction steps of limonene
ozonolysis have been investigated with a focus on primary ozonide formation and
its decomposition to Criegee intermediates and carbonyl compounds. The ozonide
formation is highly exothermic, and the decomposition channels have similar free
energies of activation, DeltaG(?), indicating that there is no primary pathway
for ozonide decomposition. Assuming that ozonide formation is the rate limiting
step, the theoretical rate coefficient, k = 1.6 * 10(-16) molecule(-1) cm(3) s(
1), evaluated at the CCSD(T)/6-31G(d,p)//BHandHLYP/cc-pvdz level and 298.15 K for
d-limonene is in good agreement with the experimental value, k(exp) = 3.3 * 10(
16) molecule(-1) cm(3) s(-1). The theoretical Arrhenius expression is also in
good agreement with experimental results.
PMID- 21902194
TI - Plasmon-driven selective deposition of au bipyramidal nanoparticles.
AB - We demonstrate the plasmon-selective and driven deposition of (bipyramidal) Au
nanoparticles on transparent substrates (glass coverslips) utilizing total
internal reflection (TIR) illumination. Near-IR laser light undergoing TIR at a
glass-water interface causes colloidal Au bipyramids to irreversibly deposit onto
the glass surface. We demonstrate that the deposition process has particle (i.e.,
shape) selectivity that is associated with resonant plasmon excitation.
Specifically, the deposition is selective for the bipyramids over spheroidal
particles that are also present in solution due to the former's surface plasmon
resonance in the near-IR region. Our measurements, finite difference time domain
simulations, and the results of an analytical model show that the optical (i.e.,
scattering and gradient) forces that act on the particles are large and cause the
observed acceleration and directed motion of the bipyramids. These directional
forces play a major role in the spatial pattern of particle deposition that is
observed. In addition, the resonant photothermal heating of the Au bipyramids
causes an irreversible loss in colloidal stability, thus allowing them to adhere
to the surface. Structural (i.e., scanning electron microscopy) characterization
of the deposited bipyramids reveals a slight reduction in aspect ratio relative
to the ensemble, consistent with the proposed (heating) mechanism. To our
knowledge this is the first demonstration of the plasmon-selective deposition of
metal nanoparticles from a heterogeneous mixture.
PMID- 21902195
TI - Structural relationships of stemona alkaloids: assessment of species-specific
accumulation trends for exploiting their biological activities.
AB - On the basis of a comparison of 42 Stemona samples, representing eight different
species collected and cultivated in Thailand, species-specific accumulation
trends of Stemona alkaloids were analyzed. An overview was achieved by
comparative HPLC analyses of methanolic crude extracts of underground parts
coupled with diode array or evaporative light scattering detectors. All major
compounds were isolated and their structures elucidated by NMR and MS analyses.
Protostemonine- and stichoneurine-type derivatives dominated, from which the
latter characterize S. tuberosa and S. phyllantha accumulating species-specific
isomers of tuberostemonine (3). The widespread S. curtisii and S. collinsiae
clearly deviate by protostemonine-type derivatives dominated by stemofoline (10)
and/or didehydrostemofoline (11). Further diversification within this structural
type results from a mutual accumulation of derivatives with a pyrrolo- or
pyridoazepine nucleus, leading to chemical variability in S. curtisii and S.
aphylla.
PMID- 21902196
TI - Global survey of the bovine salivary proteome: integrating multidimensional
prefractionation, targeted, and glycocapture strategies.
AB - Saliva is easily obtainable from a large number of animals in a noninvasive
manner and contains a wide diversity of compounds including hormones,
metabolites, and proteins that may be a good source of biomarkers of health and
disease. Here we have used a combination of multidimensional prefractionation,
targeted, and glycocapture methodologies to profile the bovine salivary proteome.
The nontargeted approach used four different separation methodologies consisting
of SDS-PAGE, Off-gel fractionation, RP-HPLC, and SCX-HPLC. In the targeted
approach, we've employed a hypothesis-based methodology by only selecting
extracellular proteins from in silico data. Finally, the hydrazide capture
methodology not only enabled us to identify formerly N-linked glycoproteins but
it also provided a selective enrichment process for the identification of low
abundance proteins. Together, the three different approaches identified 402
salivary proteins and 45 N-linked glycoproteins. A large number of these proteins
have previously been uncharacterized in bovine saliva. To date, this is the
largest global survey of the bovine salivary proteome and expands the potential
of the diagnostic utility of this fluid to guide development of experiments
seeking biomarkers for health traits (i.e., disease resistance) as well as feed
conversion efficiency and productivity traits in dairy and beef cattle.
PMID- 21902197
TI - Regioselective synthesis of 5-monostyryl and 2-tetracyanobutadiene BODIPY dyes.
AB - Several unsymmetrically 2,5-disubstituted BODIPY dyes were obtained from 2
substituted derivatives (iodo, ethynylaryl) using a regioselective Knoevenagel
condensation reaction with dimethylaminobenzaldehyde. The unsaturated,
unsymmetrical 2-ethynyl-5-styryl-BODIPY undergoes a regioselective [2 + 2]
cycloaddition reaction with tetracyanoethylene leading to the 1,1,4,4
tetracyanobuta-1,3-diene (TCBD) derivative. This shows rich redox activity with
two reversible oxidation and three reversible reduction waves at +0.72 V, +1.04
V; -0.32 V, -0.78 V, and -1.50 V, respectively.
PMID- 21902198
TI - Organocatalytic asymmetric intermolecular dehydrogenative alpha-alkylation of
aldehydes using molecular oxygen as oxidant.
AB - An organocatalytic enantioselective intermolecular oxidative dehydrogenative
alpha-alkylation of aldehydes via benzylic C-H bond activation has been
developed. The asymmetric reaction is smoothly fulfilled by using simple and
green molecular oxygen as the oxidant. Two hydrogen dissociations make this
transformation more environmentally benign because of high atom efficiency.
PMID- 21902199
TI - Organocatalytic asymmetric aldol reaction of hydroxyacetone with beta,gamma
unsaturated alpha-keto esters: facile access to chiral tertiary alcohols.
AB - An efficient direct asymmetric aldol reaction between hydroxyacetone and
beta,gamma-unsaturated alpha-keto esters has been successfully developed. In the
presence of 9-amino-9-deoxy-epi-cinchonine and trifluoroacetic acid, the direct
aldol reaction of O-protected hydroxyacetone proceeded in a highly
enantioselective manner, affording the desired adducts containing a chiral
tertiary alcohol in high yields and with excellent enantioselectivities. The
aldol products obtained are valuable precursors for the synthesis of 2
substituted glycerol derivatives.
PMID- 21902200
TI - Total synthesis of pacidamycin D by Cu(I)-catalyzed oxy enamide formation.
AB - The first total synthesis of pacidamycin D, which is expected to be a good
candidate as an antibacterial agent against P. aeruginosa, is described. The key
elements of our approach feature an efficient and stereocontrolled construction
of the Z-oxyvinyl iodide and copper-catalyzed cross-coupling with the
tetrapeptide carboxamide.
PMID- 21902201
TI - Tandem thiol switch synthesis of peptide thioesters via N-S acyl shift on
thiazolidine.
AB - An efficient "thiol switch" approach for the synthesis of peptide thioesters via
an acid-catalyzed N-S acyl shift and a thioester exchange reaction in tandem with
concurrent removal of protecting groups is described. This method employs novel 2
(thiomethyl)thiazolidine (TMT)-anchored resins and is fully compatible with Fmoc
chemistry.
PMID- 21902202
TI - In-use measurement of activity, energy use, and emissions of a plug-in hybrid
electric vehicle.
AB - Plug-in hybrid electric vehicles (PHEVs) could reduce transportation air
emissions and energy use. However, a method is needed for estimating on-road
emissions of PHEVs. To develop a framework for quantifying microscale energy use
and emissions (EU&E), measurements were conducted on a Toyota Prius retrofitted
with a plug-in battery system on eight routes. Measurements were made using the
following: (1) a data logger for the hybrid control system; (2) a portable
emissions measurement system; and (3) a global positioning system with barometric
altimeter. Trends in EU&E are estimated based on vehicle specific power. Energy
economy is quantified based on gasoline consumed by the engine and grid energy
consumed by the plug-in battery. Emissions from electricity consumption are
estimated based on the power generation mix. Fuel use is approximately 30% lower
during plug-in battery use. Grid emissions were higher for CO2, NO(x), SO2, and
PM compared to tailpipe emissions but lower for CO and hydrocarbons. EU&E depends
on engine and plug-in battery operation. The use of two energy sources must be
addressed in characterizing fuel economy; overall energy economy is 11% lower if
including grid energy use than accounting only for fuel consumption.
PMID- 21902203
TI - Nature of electronic states in atomically thin MoS2 field-effect transistors.
AB - We present low-temperature electrical transport experiments in five field-effect
transistor devices consisting of monolayer, bilayer, and trilayer MoS(2) films,
mechanically exfoliated onto Si/SiO(2) substrate. Our experiments reveal that the
electronic states in all films are localized well up to room temperature over the
experimentally accessible range of gate voltage. This manifests in two
dimensional (2D) variable range hopping (VRH) at high temperatures, while below
~30 K, the conductivity displays oscillatory structures in gate voltage arising
from resonant tunneling at the localized sites. From the correlation energy
(T(0)) of VRH and gate voltage dependence of conductivity, we suggest that
Coulomb potential from trapped charges in the substrate is the dominant source of
disorder in MoS(2) field-effect devices, which leads to carrier localization, as
well.
PMID- 21902204
TI - Effects of a catalytic volatile particle remover (VPR) on the particulate matter
emissions from a direct injection spark ignition engine.
AB - Emissions of fine particles have been shown to have a large impact on the
atmospheric environment and human health. Researchers have shown that gasoline
engines, especially direct injection spark ignition (DISI) engines, tend to emit
large amounts of small size particles compared to diesel engines fitted with
diesel particulate filters (DPFs). As a result, the particle number emissions of
DISI engines will be restricted by the forthcoming EU6 legislation. The
particulate emission level of DISI engines means that they could face some
challenges in meeting the EU6 requirement. This paper is an experimental study on
the size-resolved particle number emissions from a spray guided DISI engine and
the performance of a catalytic volatile particle remover (VPR), as the EU
legislation seeks to exclude volatile particles. The performance of the catalytic
VPR was evaluated by varying its temperature and the exhaust residence time. The
effect of the catalytic VPR acting as an oxidation catalyst on particle emissions
was also tested. The results show that the catalytic VPR led to a marked
reduction in the number of particles, especially the smaller size (nucleation
mode) particles. The catalytic VPR is essentially an oxidation catalyst, and when
post three-way catalyst (TWC) exhaust was introduced to the catalytic VPR, the
performance of the catalytic VPR was not affected much by the use of additional
air, i.e., no significant oxidation of the PM was observed.
PMID- 21902205
TI - Metabolic signature of pregnant women with neural tube defects in offspring.
AB - Neural tube defects (NTDs) are one of the most common types of birth defects,
affecting approximately 1 of every 1000 pregnancies in the United States and an
estimated 300 000 newborns worldwide each year. The metabolic signature of
pregnant women with NTDs in offspring has not previously been characterized. In
this paper, we report a profiling study that characterized the serum metabolome
of 101 pregnant women affected with NTDs in offspring in comparison with 143
pregnant women with normal pregnancy outcomes in Lvliang prefecture, the area
with the highest birth prevalence of NTDs in China. A serum metabonomic study was
also conducted to identify significantly altered metabolites associated with di-n
butyl phthalate (DBP)-induced teratogenesis in mice. The metabolic signature of
NTD in pregnant women is characterized by the impaired mitochondrial respiration,
neurotransmitter gamma-aminobutyric acid, and methionine cycle. Of interest,
consistent findings from DBP-induced teratogenesis in mice demonstrated increased
succinate and decreased fumarate, suggesting an inhibited succinic dehydrogenase
implicated in the defective mitochondria. The characteristic disruption of
maternal metabolism offers important insights into metabolic mechanisms
underlying human NTDs as well as potential preventive strategies.
PMID- 21902206
TI - Synthesis of benzothiophene derivatives by Pd-catalyzed or radical-promoted
heterocyclodehydration of 1-(2-mercaptophenyl)-2-yn-1-ols.
AB - Novel and convenient approaches to benzothiophene derivatives 3 and 5 have been
developed, based on heterocyclization reactions of 1-(2-mercaptophenyl)-2-yn-1
ols 2 or 4, respectively, readily available from alkynylation of 2
mercaptobenzaldehydes or 1-(2-mercaptophenyl) ketones 1. In particular, 1-(2
mercaptophenyl)-2-yn-1-ols 2, bearing a CH(2)R substituent on the triple bond (R
= alkyl, aryl), were conveniently converted in fair to good yields (55-82%) into
(E)-2-(1-alkenyl)benzothiophenes 3 when allowed to react in the presence of
catalytic amounts (2 mol %) of PdI(2) in conjunction with KI (KI:PdI(2) molar
ratio =10) at 80-100 degrees C in MeCN as the solvent, through a
heterocyclodehydration process. On the other hand, 2-alkoxymethylbenzothiophenes
5 were selectively obtained in fair to excellent yields (49-98%) via a radical
promoted substitutive heterocyclodehydration process, by reacting 1-(2
mercaptophenyl)-2-yn-1-ols 4 (bearing an alkyl or aryl substituent on the triple
bond) in alcoholic media at 80-100 degrees C in the presence of a radical
initiator, such as AIBN.
PMID- 21902207
TI - Polarization closure in PbZr((0.42))Ti((0.58))O(3) nanodots.
AB - Domain states in PbZr((0.42))Ti((0.58))O(3) single-crystal ferroelectric
nanodots, formed on cooling through the Curie temperature, were imaged by
transmission electron microscopy. In the majority of cases, 90 degrees stripe
domains were found to form into four distinct "bundles" or quadrants. Detailed
analysis of the dipole orientations in the system was undertaken, using both dark
field imaging and an assumption that charged domain walls were energetically
unfavorable in comparison to uncharged walls. On this basis, we conclude that the
dipoles in these nanodots are arranged such that the resultant polarizations,
associated with the four quadrant domain bundles, form into a closed loop. This
"polarization closure" pattern is reminiscent of the flux-closure already
commonly observed in soft ferromagnetic microdots but to date unseen in analogous
ferroelectric dots.
PMID- 21902208
TI - Highly enantioselective gamma-amination of alpha,beta-unsaturated acyl chlorides
with azodicarboxylates: efficient synthesis of chiral gamma-amino acid
derivatives.
AB - The cinchona alkaloid-catalyzed gamma-amination of alpha,beta-unsaturated acyl
chlorides with azodicarboxylates to give the corresponding dihydropyridazinones
in good yields with high enantioselectivities has been developed. Reductive ring
opening of the dihydropyridazinones afforded series of cyclic and acyclic gamma
amino acid derivatives in good yields with high enantiopurity.
PMID- 21902209
TI - Self assembled liquid crystal polymers on photo-irradiated alignment surfaces for
tailoring response properties of liquid crystal molecules.
AB - A liquid crystal polymer (LCP) self-assembled on a photoirradiated substrate can
modify the viscoelastic response of liquid crystal medium on the substrate. Sum
frequency vibrational spectroscopy shows that the phenyl groups of LCP are
oriented epitaxially with layer thickness and an in-plane alignment order much
higher than that at the photoirradiated surface can be yielded. The liquid
crystal molecules confined between the LCP-coated substrates reveals a stronger
correlation among the thermally excited fluctuation modes. Our finding can be
used to tailor the boundary forces on alignment substrates and to optimize the
device performance.
PMID- 21902210
TI - Formation process and solvent-dependent structure of a polyproline self-assembled
monolayer on a gold surface.
AB - The formation process and structure of a self-assembled monolayer (SAM) of lipoic
acid-terminated polyproline on a gold surface in aqueous solution were
investigated by several techniques. The amount of polyproline molecules on the
gold surface was determined from the area of the reductive desorption peak, and
orientation and thickness of the polyproline SAM were determined in situ by
attenuated total reflection infrared (ATR-IR) spectroscopy and ellipsometry. The
kinetics of the polyproline SAM formation process were discussed on the basis of
these results. The in situ IR study confirmed that the conformation of the
polyproline SAM was changed by changing the solvent from water to methanol and
methanol to water, as is the case for polyproline dissolved in solution.
PMID- 21902211
TI - Self-assembled nanotubes and helical tapes from diacetylene nonionic amphiphiles.
Structural studies before and after polymerization.
AB - We synthesized new amphiphiles comprised of a single diacetylenic chain and an
oligoethylenoxide polar chain linked by an amide bond. In aqueous medium, they
are not soluble at room temperature but form weak gels. Electron microscopy
studies have shown that they self-assemble into helical tapes or nanotubes with
lengths of several micrometers, and inner and outer diameters of 50 +/- 1 and 59
+/- 1 nm, respectively. The wall has a thickness of 10 +/- 1 nm for both kinds of
objects and has an amphiphile bilayer structure. The hydrophobic chains are
ordered, and the amide groups are linked with each other by H-bonds. The
dissociation of the tubes is a first-order transition with an enthalpy of ca. 40
kJ mol(-1). The nanotubes were photopolymerized to yield purple solutions
consisting of helical tapes and almost flat ribbons. The polymers exhibit
irreversible thermochromism upon heating.
PMID- 21902212
TI - Heterogeneous catalytic hydrogenation of unprotected indoles in water: a green
solution to a long-standing challenge.
AB - An environmentally benign procedure for the hydrogenation of unprotected indoles
is described. The hydrogenation reaction is catalyzed by Pt/C and activated by p
toluenesulfonic acid in water as a solvent. The efficacy of the method is
illustrated by the hydrogenation of a variety of substituted indoles to their
corresponding indolines which were obtained in excellent yields.
PMID- 21902213
TI - Hydrogen tunneling steps in cyclooxygenase-2 catalysis.
AB - Cyclooxygenases-1 and -2 are tyrosyl radical (Y.)-utilizing hemoproteins
responsible for the biosynthesis of lipid-derived autocoids. COX-2, in
particular, is a primary mediator of inflammation and believed to be up-regulated
in many forms of cancer. Described here are first-of-a-kind studies of COX-2
catalyzed oxidation of the substrate analogue linoleic acid. Very large (>=20)
temperature-independent deuterium kinetic isotope effects (KIEs) on the rate
constant for enzyme turnover were observed, due to hydrogen atom abstraction from
the bisallylic C-H(D) of the fatty acid. The magnitude of the KIE depends on the
O(2) concentration, consistent with reversible H/D tunneling mediated by the
catalytic Y.. At physiological levels of O(2), retention of the hydrogen
initially abstracted by the catalytic tyrosine results in strongly temperature
dependent KIEs on O-H(D) homolysis, also characteristic of nuclear tunneling.
PMID- 21902214
TI - Evaluation of a medium-polarity ionic liquid stationary phase in the analysis of
flavor and fragrance compounds.
AB - The present research is focused on the evaluation, in terms of efficiency and
polarity, of a recently introduced gas chromatography (GC) column, coated with a
1,12-di(tripropylphosphonium) dodecane bis(trifluoromethansulfonyl) amide ionic
liquid stationary phase (SLB-IL59) and its application to the analysis of a
complex essential oil. The ionic liquid column demonstrated very good efficiency,
in terms of plate number, and a polarity close to that of the 100%
poly(ethyleneglycol) stationary phase. In this preliminary evaluation, the SLB
IL59 30 m column was subjected to bleeding measurements, by means of conventional
gas chromatography/mass spectrometry (GC/MS) and, in addition, of comprehensive
2D GC. The SLB-IL59 column (30 m * 0.20 MUm d(f), 0.25 mm i.d.) was then
evaluated in the analysis of typical essential oil constituents, in the form of
pure standard compounds. Resolution toward several analytes was measured and the
results were compared to those obtained with both apolar [silphenylene polymer,
equivalent to poly(5% diphenyl/95% dimethylsiloxane)] and medium-polarity [100%
poly(ethyleneglycol)] stationary phases, namely, the most common columns employed
in the analysis of essential oils; peak symmetry, for different essential oil
constituents, was also measured and expressed through tailing factors (at 10% of
peak height). The final part of the investigation was devoted to the GC/MS
analysis of lemon essential oil, with GC-flame ionization detection (FID) used
for quantification. Linear retention indices of all the identified compounds were
determined, and the data obtained were compared to those attained on the apolar
and "wax" columns. The results obtained in the present investigation reveal the
great potential of this novel stationary phase, as a medium-polarity alternative,
in the analysis of essential oils.
PMID- 21902215
TI - Low-energy electron-induced damage in a trinucleotide containing 5-bromouracil.
AB - The reaction of low-energy electrons (LEEs; 10 eV) with 5'-TpXpT-3' (TXT), where
X is uracil (U), thymine (T), and 5-bromouracil (5BrU), was examined by HPLC-UV
analysis. The presence of 5BrU increased total damage by >50%. The radiation
products of T5BrUT included TUT (40%), free U, T, 5BrU (23%), and fragments
(13%). These products may be explained by initial capture of LEEs by the
nucleobase to form a transient anion, followed by transfer of the electron within
the molecule and cleavage of susceptible bonds by dissociative electron
attachment (C-Br, C-N, or C-O bonds). In addition, these products may arise from
the uracilyl-5-yl (U-5-yl) radicals that undergo H-atom abstraction from the
sugar moiety. Interestingly, several products contained two sites of cleavage (U,
pUT, and TUp). The formation of these products was linear with dose, and thus,
they arise from the single-electron reactions. To explain these products, we
propose that the reaction of LEEs (10 eV) involves the coupling of two
dissociative processes in the same molecule (for example, dissociative excitation
and dissociative electron attachment). The latter reactions may contribute to the
formation of clustered damage, which is the most deleterious damage induced by
ionizing radiation.
PMID- 21902216
TI - Sensitivity of polar and temperate marine organisms to oil components.
AB - Potential contamination of polar regions due to increasing oil exploitation and
transportation poses risks to marine species. Risk assessments for polar marine
species or ecosystems are mostly based on toxicity data obtained for temperate
species. Yet, it is unclear whether toxicity data of temperate organisms are
representative for polar species and ecosystems. The present study compared
sensitivities of polar and temperate marine species to crude oil, 2-methyl
naphthalene, and naphthalene. Species sensitivity distributions (SSDs) were
constructed for polar and temperate species based on acute toxicity data from
scientific literature, reports, and databases. Overall, there was a maximum
factor of 3 difference in sensitivity to oil and oil components, based on the
means of the toxicity data and the hazardous concentrations for 5 and 50% of the
species (HC5 and HC50) as derived from the SSDs. Except for chordates and
naphthalene, polar and temperate species sensitivities did not differ
significantly. The results are interpreted in the light of physiological
characteristics, such as metabolism, lipid fraction, lipid composition,
antioxidant levels, and resistance to freezing, that have been suggested to
influence the susceptibility of marine species to oil. As a consequence, acute
toxicity data obtained for temperate organisms may serve to obtain a first
indication of risks in polar regions.
PMID- 21902217
TI - Computational design of a collagen A:B:C-type heterotrimer.
AB - We have successfully designed an A:B:C collagen peptide heterotrimer using an
automated computational approach. The algorithm maximizes the energy gap between
the target and competing misfolded states while enforcing a minimum target
stability. Circular dichroism (CD) measurements confirm that all three peptides
are required to form a stable, structured triple helix. This study highlights the
power of automated computational design, providing model systems to probe the
biophysics of collagen assembly and developing general methods for the design of
fibrous proteins.
PMID- 21902218
TI - Pseudopolyrotaxanes on inorganic nanoplatelets and their supramolecular
hydrogels.
AB - In this paper, we demonstrate the first hybrid suprastructure of
pseudopolyrotaxanes (PPRs) on clay nanoplatelets. Simple end-modification of
poly(ethylene glycol) with pyridinium (PEG-N(+)) enabled the chains to form
brushlike conformation on clay surfaces. Thus, the PEG chains were able to thread
into the cavities of alpha-cyclodextrins (alpha-CDs), leading to hybrid PPR
hydrogels. This was very different from the unmodified PEG chains, which were
absorbed onto the clay surface and thus made the PPR formation impossible. The
hydrogels made of this PPR-on-clay structure displayed a dynamic modulus 1 order
of magnitude higher than those of the native PPR hydrogels. Furthermore, based on
the competitive host-guest interactions, such hybrid hydrogels showed fully
photoreversible sol-gel transition after a competitive guest containing
azobenzene moiety was introduced.
PMID- 21902219
TI - In situ electrochemical lithiation/delithiation observation of individual
amorphous Si nanorods.
AB - In situ electrochemical lithiation and delithiation processes inside a
nanobattery consisting of an individual amorphous Si nanorod and ionic liquid
were explored. Direct formation of the crystalline Li(22)Si(5) phase due to the
intercalation of Li ions was observed. In addition, the role of the electrolyte
nanorod interface was examined. It was observed that the lithiation of Si
nanorods is dominated by surface diffusion. Upon the delithiation process,
partial decomposition of Li(22)Si(5) particles was observed which can explain the
irreversible capacity loss that is generally seen in Si anodes. This study shows
that the radial straining due to lithiation does not cause cracking in nanorods
as small in diameter as 26 nm, whereas cracks were observed during the lithiation
of 55 nm Si nanorods.
PMID- 21902221
TI - Regulation of postprandial blood metabolic variables by TEMPO-oxidized cellulose
nanofibers.
AB - Wood cellulose was converted to individual nanofibers of approximately 4 nm width
and 380-570 nm average length by TEMPO-mediated oxidation. The TEMPO-oxidized
cellulose nanofibers (TOCNs) were orally administered with glucose and glyceryl
trioleate to mice and postprandial responses of blood glucose, insulin, glucose
dependent insulinotropic polypeptide (GIP), and triglycerides were studied. Both
blood insulin and GIP concentrations were decreased by TOCN with a carboxyl
content and aspect ratio of 1.2 mmol g(-1) and 120, respectively, in dose
dependent manners (0-0.3 mg g(-1) body weight). Of the TOCNs examined, that with
a carboxyl content and aspect ratio of 1.2 mmol g(-1) and 120, respectively, was
the most effective in reducing postprandial blood glucose, plasma insulin, GIP,
and triglyceride concentrations. Thus, TOCNs were found to exhibit characteristic
biological activities when administered to mice and may have potential
applications in biomedical fields for human health.
PMID- 21902222
TI - Electroosmotic flow in microchannels with nanostructures.
AB - Here we report that nanopillar array structures have an intrinsic ability to
suppress electroosmotic flow (EOF). Currently using glass chips for
electrophoresis requires laborious surface coating to control EOF, which works as
a counterflow to the electrophoresis mobility of negatively charged samples such
as DNA and sodium dodecyl sulfate (SDS) denatured proteins. Due to the intrinsic
ability of the nanopillar array to suppress the EOF, we carried out
electrophoresis of SDS-protein complexes in nanopillar chips without adding any
reagent to suppress protein adsorption and the EOF. We also show that the EOF
profile inside a nanopillar region was deformed to an inverse parabolic flow. We
used a combination of EOF measurements and fluorescence observations to compare
EOF in microchannel, nanochannel, and nanopillar array chips. Our results of EOF
measurements in micro- and nanochannel chips were in complete agreement with the
conventional equation of the EOF mobility (MU(EOF-channel) = alphaC(i)(-0.5),
where C(i) is the bulk concentration of the i-ions and alpha differs in micro-
and nanochannels), whereas EOF in the nanopillar chips did not follow this
equation. Therefore we developed a new modified form of the conventional EOF
equation, MU(EOF-nanopillar) ~ beta[C(i) - (C(i)(2)/N(i))], where N(i) is the
number of sites available to i-ions and beta differs for each nanopillar chip
because of different spacings or patterns, etc. The modified equation of the EOF
mobility that we proposed here was in good agreement with our experimental
results. In this equation, we showed that the charge density of the nanopillar
region, that is, the total number of nanopillars inside the microchannel,
affected the suppression of EOF, and the arrangement of nanopillars into a tilted
or square array had no effect on it.
PMID- 21902220
TI - A critical assessment of combined ligand- and structure-based approaches to HERG
channel blocker modeling.
AB - Blockade of human ether-a-go-go related gene (hERG) channel prolongs the duration
of the cardiac action potential and is a common reason for drug failure in
preclinical safety trials. Therefore, it is of great importance to develop robust
in silico tools to predict potential hERG blockers in the early stages of drug
discovery and development. Herein we described comprehensive approaches to assess
the discrimination of hERG-active and -inactive compounds by combining
quantitative structure-activity relationship (QSAR) modeling, pharmacophore
analysis, and molecular docking. Our consensus models demonstrated high
predictive capacity and improved enrichment and could correctly classify 91.8% of
147 hERG blockers from 351 inactives. To further enhance our modeling effort,
hERG homology models were constructed, and molecular docking studies were
conducted, resulting in high correlations (R2 = 0.81) between predicted and
experimental pIC50s. We expect our unique models can be applied to efficient
screening for hERG blockades, and our extensive understanding of the hERG
inhibitor interactions will facilitate the rational design of drugs devoid of
hERG channel activity and hence with reduced cardiac toxicities.
PMID- 21902223
TI - Assessing the severity of rainfall-derived infiltration and inflow and sewer
deterioration based on the flux stability of sewage markers.
AB - This study investigated the flux stability of select chemical and biological
sewage markers, including caffeine, total nitrogen (TN), total suspended solids
(TSS), E. coli, and enterococci, and their suitability in assessing the severity
of rainfall-derived infiltration and inflow (RDII) in a residential sewershed. To
quantify and compare marker flux stability, concentrations of the candidate
markers in two dry-weather periods were determined and the one-day lag
autocorrelation coefficients (r) of their mass fluxes were calculated. TN (r =
0.82-0.88) exhibited higher and more consistent flux stability than TSS (r = 0.49
0.82), caffeine (r = 0.56-0.58), E. coli (r = 0.36-0.87), and enterococci (by
culture; r = 0.40-0.52), all of which except enterococci by qPCR (r = -0.10-0.21)
showed significant autocorrelation. Sewage flows and marker concentrations were
also monitored in two wet-weather periods, and the severity of RDII (R(RDII))
were calculated using either flow measurements or marker concentrations
independently. Corresponding to its outstanding flux stability, R(RDII) values
estimated by TN predicted all severe RDII instances and gave the highest and most
consistent correlation (r = 0.74-0.78) among the different sewage markers.
Overall, the study illustrated the feasibility of using the flux stability of
sewage markers in assessing the severity of RDII and thereby deterioration levels
in sewer systems.
PMID- 21902224
TI - Dietary n-3 polyunsaturated fatty acids and the paradox of their health benefits
and potential harmful effects.
AB - There is some evidence to support the toxicity of polyunsaturated fatty acids
(PUFAs) and their oxidative products, suggesting their involvement in the
pathogenesis of different chronic diseases, including cancer. It has been shown
that products of PUFA oxidation may exert a carcinogenic action by forming
mutagenic adducts with DNA. However, a large amount of evidence accumulated over
several decades has indicated the beneficial effects of administration of n-3
PUFAs in the prevention and therapy of a series of diseases. In particular, there
is much evidence that n-3 PUFAs exert anti-inflammatory and antineoplastic
effects, whereas n-6 PUFAs promote inflammation and carcinogenesis. In our
tissues, both of the two classes of PUFAs can be converted into bioactive
products, incorporated into membrane phospholipids or bound to membrane
receptors, where they may alter, often in opposite ways, transduction pathways
and affect important biological processes, such as cell death and survival,
inflammation, and neo-angiogenesis. In the present review, we intend to shed
light on the paradox of the coexisting healthy and toxic effects of n-3 PUFAs,
focusing on their possible pro-oxidant cytotoxic and carcinogenic effect, in
order to understand if their increased intake, recommended by a number of health
agencies worldwide and promoted by nutraceutical producers, may or may not
represent a hazard to human health.
PMID- 21902225
TI - The free energy landscape analysis of protein (FIP35) folding dynamics.
AB - A fundamental problem in the analysis of protein folding and other complex
reactions is the determination of the reaction free energy landscape. The current
experimental techniques lack the necessary spatial and temporal resolution to
construct such landscapes. The properties of the landscapes can be probed only
indirectly. Simulation, assuming that it reproduces the experimental dynamics,
can provide the necessary spatial and temporal resolution. It is, arguably, the
only way for direct rigorous construction of the quantitatively accurate free
energy landscapes. Here, such landscape is constructed from the equilibrium
folding simulation of FIP35 protein reported by Shaw et al. Science 2010, 330,
341-346. For the dynamics to be accurately described as diffusion on the free
energy landscape, the choice of reaction coordinates is crucial. The reaction
coordinate used here is such that the dynamics projected on it is diffusive, so
the description is consistent and accurate. The obtained landscape suggests an
alternative interpretation of the simulation, markedly different from that of
Shaw et al. In particular, FIP35 is not an incipient downhill folder, it folds
via a populated on-pathway intermediate separated by high free energy barriers;
the high free energy barriers rather than landscape roughness are a major
determinant of the rates for conformational transitions; the preexponential
factor of folding kinetics 1/k(0) ~ 10 ns rather than 1 MUs.
PMID- 21902226
TI - Improvement of the quantification accuracy and throughput for phosphoproteome
analysis by a pseudo triplex stable isotope dimethyl labeling approach.
AB - Accurately quantifying the changes of phosphorylation level on specific sites is
crucial to understand the role of protein phosphorylation in physiological and
pathological processes. Here, a pseudo triplex stable isotope dimethyl labeling
approach was developed to improve the accuracy and the throughput of
comprehensive quantitative phosphoproteome analyses. In this strategy, two
identical samples are labeled with light and heavy isotopes, respectively, while
another comparative sample is labeled with an intermediate isotope. Two
replicated quantification results were achieved in just one experiment, and the
relative standard deviation (RSD) criterion was used to control the
quantification accuracy. Compared with the conventional duplex labeling approach,
the number of quantified phosphopeptides increased nearly 50% and the
experimental time was reduced by 50% under the same quantification accuracy.
Combined with the automated online reversed phase-strong cation exchange-reversed
phase (RP-SCX-RP) multidimensional separation system, a comparative
phosphoproteome analysis of hepatocellular carcinoma (HCC) and normal human liver
tissues was performed. Over 1800 phosphopeptides corresponding to ~2000
phosphorylation sites were quantified reliably in a 42 h multidimensional
analysis. The pro-directed motifs, which were mainly associated with the
extracellular signal-regulated kinases (ERKs), were observed as being
overrepresented in the regulated phosphorylation sites, and some quantification
results of phosphorylation sites were validated by the other studies. Therefore,
this pseudo triplex labeling approach was demonstrated as a promising alternative
for the comprehensive quantitative phosphoproteome analysis.
PMID- 21902228
TI - Structure and excited-state proton transfer in the GFP S205A mutant.
AB - To further explore excited state proton transfer (ESPT) pathways within green
fluorescent protein (GFP), mutagenesis, X-ray crystallography, and time-resolved
and steady-state optical spectroscopy were employed to create and study the GFP
mutant S205A. In wild type GFP (wt-GFP), the proton transfer pathway includes the
hydroxyl group of the chromophore, a water molecule, Ser205, and Glu222. We found
that the ESPT rate constant of S205A is smaller by a factor of 20 than that of wt
GFP and larger by a factor of 2 in comparison to the ESPT rate of S205V mutant
which we previously characterized. (1) High resolution crystal structures reveal
that in both S205A and S205V mutants, an alternative proton transfer pathway is
formed that involves the chromophore hydroxyl, a bridging water molecule, Thr203
and Glu222. The slow PT rate is explained by the long (~3.2 A and presumably
weak) hydrogen bond between Thr203 and the water molecule, compared to the 2.7 A
normal hydrogen bond between the water molecule and Ser205 in wt-GFP. For data
analysis of the experimental data from both GFP mutants, we used a two-rotamer
kinetic model, assuming only one rotamer is capable of ESPT. Data analysis
supports an agreement with the underlying assumption of this model.
PMID- 21902229
TI - Adsorption of weak polyelectrolytes on charged nanoparticles. Impact of salt
valency, pH, and nanoparticle charge density. Monte Carlo simulations.
AB - Complex formation between a weak flexible polyelectrolyte chain and one
positively charged nanoparticle in presence of explicit counterions and salt
particles is investigated using Monte Carlo simulations. The influence of
parameters such as the nanoparticle surface charge density, salt valency, and
solution property such as the pH on the chain protonation/deprotonation process
and monomer adsorption at the nanoparticle surface are systematically
investigated. It is shown that the nanoparticle presence significantly modifies
chain acid/base and polyelectrolyte conformational properties. The importance of
the attractive electrostatic interactions between the chain and the nanoparticle
clearly promotes the chain deprotonation leading, at high pH and nanoparticle
charge density, to fully wrapped polyelectrolyte at the nanoparticle surface.
When the nanoparticle bare charge is overcompensated by the polyelectrolyte
charges, counterions and salt particles condense at the surface of the
polyelectrolyte-nanoparticle complex to compensate for the excess of charges
providing from the adsorbed polyelectrolyte chain. It is also shown that the
complex formation is significantly affected by the salt valency. Indeed, with the
presence of trivalent salt cations, competition is observed between the
nanoparticle and the trivalent cations. As a result, the amount of adsorbed
monomers is less important than in the monovalent and divalent case and chain
conformations are different due to the collapse of polyelectrolyte segments
around trivalent cations out of the nanoparticle adsorption layer.
PMID- 21902227
TI - Mono- and dinuclear iron complexes of bis(1-methylimidazol-2-yl)ketone (bik):
structure, magnetic properties, and catalytic oxidation studies.
AB - The newly synthesized dinuclear complex [Fe(III)(2)(MU-OH)(2)(bik)(4)](NO(3))(4)
(1) (bik, bis(1-methylimidazol-2-yl)ketone) shows rather short Fe...Fe (3.0723(6)
A) and Fe-O distances (1.941(2)/1.949(2) A) compared to other unsupported
Fe(III)(2)(MU-OH)(2) complexes. The bridging hydroxide groups of 1 are strongly
hydrogen-bonded to a nitrate anion. The (57)Fe isomer shift (delta = 0.45 mm s(
1)) and quadrupole splitting (DeltaE(Q) = 0.26 mm s(-1)) obtained from Mossbauer
spectroscopy are consistent with the presence of two identical high-spin
iron(III) sites. Variable-temperature magnetic susceptibility studies revealed
antiferromagnetic exchange (J = 35.9 cm(-1) and H = JS(1).S(2)) of the metal
ions. The optimized DFT geometry of the cation of 1 in the gas phase agrees well
with the crystal structure, but both the Fe...Fe and Fe-OH distances are
overestimated (3.281 and 2.034 A, respectively). The agreement in these
parameters improves dramatically (3.074 and 1.966 A) when the hydrogen-bonded
nitrate groups are included, reducing the value calculated for J by 35%.
Spontaneous reduction of 1 was observed in methanol, yielding a blue
[Fe(II)(bik)(3)](2+) species. Variable-temperature magnetic susceptibility
measurements of [Fe(II)(bik)(3)](OTf)(2) (2) revealed spin-crossover behavior.
Thermal hysteresis was observed with 2, due to a loss of cocrystallized solvent
molecules, as monitored by thermogravimetric analysis. The hysteresis disappears
once the solvent is fully depleted by thermal cycling. [Fe(II)(bik)(3)](OTf)(2)
(2) catalyzes the oxidation of alkanes with t-BuOOH. High selectivity for
tertiary C-H bond oxidation was observed with adamantane (3 degrees /2 degrees
value of 29.6); low alcohol/ketone ratios in cyclohexane and ethylbenzene
oxidation, a strong dependence of total turnover number on the presence of O(2),
and a low retention of configuration in cis-1,2-dimethylcyclohexane oxidation
were observed. Stereoselective oxidation of olefins with dihydrogen peroxide
yielding epoxides was observed under both limiting oxidant and substrate
conditions.
PMID- 21902230
TI - Discovery of potent, orally bioavailable phthalazinone bradykinin B1 receptor
antagonists.
AB - The bradykinin B1 receptor is rapidly induced upon tissue injury and
inflammation, stimulating the production of inflammatory mediators resulting in
plasma extravasation, leukocyte trafficking, edema, and pain. We have previously
reported on sulfonamide and sulfone-based B1 antagonists containing a privileged
bicyclic amine moiety leading to potent series of 2-oxopiperazines. The
suboptimal pharmacokinetics and physicochemical properties of the oxopiperazine
sulfonamides led us to seek B1 antagonists with improved druglike properties.
Using a pharmacophore model containing a bicyclic amine as anchor, we designed a
series of amide antagonists with targeted physicochemical properties. This
approach led to a novel series of potent phthalazinone B1 antagonists, where we
successfully replaced a sulfonamide acceptor with a cyclic carbonyl unit. SAR
studies revealed compounds with subnanomolar B1 binding affinity. These compounds
demonstrate excellent cross-species PK properties with high oral bioavailability
and potent activity in a rabbit biochemical challenge pharmacodynamic study.
PMID- 21902231
TI - Decorating diruthenium compounds with Frechet dendrons via the click reaction.
AB - A series of dendronized-Ru(2) compounds were prepared using the Cu(I)-catalyzed
1,3-dipolar cycloaddition (click reaction) between the terminal azides of
azidopoly(benzyl ether) dendrons ([D(n)]-N(3), n = 0-3) and Ru(2) units bearing
one or two terminal ethynes, Ru(2)(D(3,5-Cl(2)Ph)F)(4-m)(DMBA-4-C(2)H)(m)Cl with
m = 1 and 2, and D(3,5-Cl(2)Ph)F and DMBA-4-C(2)H as N,N'-bis(3,5-dichloro
phenyl)formamidinate and N,N'-dimethyl-4-ethynylbenzamidinate, respectively. The
resultant Ru(2)(D(3,5-Cl(2)Ph)F)(4-m)(DMBA-D(n))(m)Cl compounds were further
functionalized by the axial ligand displacement of Cl by -C(2)Ph to yield new
compounds Ru(2)(D(3,5-Cl(2)Ph)F)(4-m)(DMBA-D(n))(m)(C(2)Ph)(2) (where m = 1 and
2; n = 0 and 1). All Ru(2) compounds reported herein were analyzed via mass
spectrometry, voltammetry, and UV-visible and fluorescence spectroscopy. Density
functional theory (DFT) calculations were performed on a model compound to gain
more insight into the molecular orbital energy levels possibly associated with
the photophysical data obtained and presented herein.
PMID- 21902232
TI - Synthesis and structure of dawson polyoxometalate-based, multifunctional,
inorganic-organic hybrid compounds: organogermyl complexes with one terminal
functional group and organosilyl analogues with two terminal functional groups.
AB - Four novel multifunctional polyoxometalate (POM)-based inorganic-organic hybrid
compounds, [alpha(2)-P(2)W(17)O(61){(RGe)}](7-) (Ge-1, R(1) = HOOC(CH(2))(2(-))
and Ge-2, R(2) = H(2)C?CHCH(2(-))) and [alpha(2)-P(2)W(17)O(61){(RSi)(2)O}](6-)
(Si-1, R(1) and Si-2, R(2)), were prepared by incorporating organic chains having
terminal functional groups (carboxylic acid and allyl groups) into monolacunary
site of Dawson polyoxoanion [alpha(2)-P(2)W(17)O(61)](10-). In these POMs, new
modification of the terminal functional groups was attained by introducing
organogermyl and organosilyl groups. Dimethylammonium salts of the organogermyl
complexes, (Me(2)NH(2))(7)[alpha(2)-P(2)W(17)O(61)(R(1)Ge)].H(2)O MeN-Ge-1 and
(Me(2)NH(2))(7)[alpha(2)-P(2)W(17)O(61)(R(2)Ge)].4H(2)O MeN-Ge-2, were obtained
as analytically pure crystals, in 22.8% and 55.3% yields, respectively, by
stoichiometric reactions of [alpha(2)-P(2)W(17)O(61)](10-) with separately
prepared Cl(3)GeC(2)H(4)COOH in water, and H(2)C?CHCH(2)GeCl(3) in a solvent
mixture of water/acetonitrile. Synthesis and X-ray structure analysis of the
Dawson POM-based organogermyl complexes were first successful. Dimethylammonium
salts of the corresponding organosilyl complexes, (Me(2)NH(2))(6)[alpha(2)
P(2)W(17)O(61){(R(1)Si)(2)O}].4H(2)O MeN-Si-1 and (Me(2)NH(2))(6)[alpha(2)
P(2)W(17)O(61){(R(2)Si)(2)O}].6H(2)O MeN-Si-2, were also obtained as analytically
pure crystalline crystals, in 17.1% and 63.5% yields, respectively, by
stoichiometric reactions of [alpha(2)-P(2)W(17)O(61)](10-) with
NaOOC(CH(2))(2)Si(OH)(2)(ONa) and H(2)C?CHCH(2)Si(OEt)(3). These complexes were
characterized by elemental analysis, thermogravimetric and differential thermal
analyses (TG/DTA), FTIR, solid-state ((31)P) and solution ((31)P, (1)H, and
(13)C) NMR, and X-ray crystallography.
PMID- 21902234
TI - Triple quadrupole mass spectrometry for direct mixture analysis and structure
elucidation.
PMID- 21902233
TI - Synthesis of 2- and 2,3-substituted pyrazolo[1,5-a]pyridines: scope and
mechanistic considerations of a domino direct alkynylation and cyclization of N
iminopyridinium ylides using alkenyl bromides, alkenyl iodides, and alkynes.
AB - Direct functionalization and tandem processes have both received considerable
recent interest due to their cost and time efficiency. Herein we report the
synthesis of difficult to obtain 2-substituted pyrazolo[1,5-a]pyridines through a
tandem palladium-catalyzed/silver-mediated elimination/direct
functionalization/cyclization reaction involving N-benzoyliminopyridinium ylides.
As such, these biologically important molecules are prepared in an efficient,
high-yielding manner, only requiring a two-step sequence from pyridine. Aryl
substituted alkenyl bromides and iodides are effective ylide coupling partners.
Mechanistic studies led to the use of terminal alkynes, which extended the scope
of the reaction to include alkyl substitution on the unsaturated reactive site.
The optimization, scope, and mechanistic considerations of the process are
discussed.
PMID- 21902235
TI - Synthesis and biological activity of 2-(3'-hydroxypropylidene)-1alpha-hydroxy-19
norvitamin D analogues with shortened alkyl side chains.
AB - As a continuation of our efforts directed to vitamin D compounds of promising
biological properties, 19-norvitamins 9-13, possessing a 3'-hydroxypropylidene
fragment attached to C-2 and shortened 17beta-alkyl chains, were synthesized. A
new synthetic pathway providing the CD-ring ketones 20-24 is described starting
from the epimeric aldehydes 25 and 26. The hydrindanones 20-24 were subjected to
the Wittig-Horner reaction with the phosphine oxide 14, and the vitamin D
compounds 9-13 were obtained after hydroxyl deprotection. In comparison to
1alpha,25-(OH)(2)D(3) (1), the prepared analogues, except for the 20R-compound
12, were only ca. 3 times less potent in binding to VDR. Compounds 9-11 and 13
exhibited HL-60 cellular activity 5-20 times lower and transcriptional activity
ca. 10 times decreased related to those for the hormone 1. When tested in vivo,
all the analogues showed no ability to mobilize calcium from bone, and intestinal
calcium transport activity was observed only at high doses of the vitamins 10,
12, and 13.
PMID- 21902236
TI - Investigation of a deoxyribozyme as a biofuel cell catalyst.
AB - We examined the ability of a previously identified peroxidase deoxyribozyme to be
employed as a catalyst in biofuel cells, as a possible replacement for
oxidoreductase proteins. We constructed a biocathode using a covalently linked
version of the peroxidase deoxyribozyme-hemin complex and successfully paired it
with a glucose dehydrogenase-modified bioanode for power production.
PMID- 21902237
TI - Surface plasmons reveal spin crossover in nanometric layers.
AB - Nano-objects and thin films displaying molecular spin-crossover phenomena have
recently attracted much attention. However, the investigation of spin crossover
at reduced sizes is still a big challenge. Here we demonstrate that surface
plasmon polariton waves propagating along the interface between a metal and a
dielectric layer can be used to detect the spin-state changes in the latter with
high sensitivity, even at the nanometer scale.
PMID- 21902238
TI - N-Capping of primary amines with 2-acyl-benzaldehydes to give isoindolinones.
AB - A unique reactivity pattern, first observed in the conversion of the marine
natural product pestalone into pestalachloride A, was investigated. It was shown
that 2-formyl-arylketones smoothly react with ammonia and primary amines,
respectively, under mild conditions to afford 3-substituted isoindolinones in
high yield. The reaction represents a new option for the derivatization (N
capping) of primary amines. As the substrates are readily accessible the
methodology opens a short and modular access to pharmaceutically relevant
substituted isoindolinones.
PMID- 21902239
TI - Water-repellent cellulose fiber networks with multifunctional properties.
AB - We demonstrate a simple but highly efficient technique to introduce
multifunctional properties to cellulose fiber networks by wetting them with ethyl
cyanoacrylate monomer solutions containing various suspended organic
submicrometer particles or inorganic nanoparticles. Solutions can be applied on
cellulosic surfaces by simple solution casting techniques or by dip coating, both
being suitable for large area applications. Immediately after solvent
evaporation, ethyl-cyanoacrylate starts cross-linking around cellulose fibers
under ambient conditions because of naturally occurring surface hydroxyl groups
and adsorbed moisture, encapsulating them with a hydrophobic polymer shell.
Furthermore, by dispersing various functional particles in the monomer solutions,
hydrophobic ethyl-cyanoacrylate nanocomposites with desired functionalities can
be formed around the cellulose fibers. To exhibit the versatility of the method,
cellulose sheets were functionalized with different ethyl-cyanoacrylate
nanocomposite shells comprising submicrometer wax or polytetrafluoroethylene
particles for superhydophobicity, MnFe(2)O(4) nanoparticles for magnetic
activity, CdSe/ZnS quantum dots for light emission, and silver nanoparticles for
antimicrobial activity. Morphological and functional properties of each system
have been studied by scanning and transmission electron microscopy, detailed
contact angle measurements, light emission spectra and E. coli bacterial growth
measurements. A plethora of potential applications can be envisioned for this
technique, such as food and industrial packaging, document protection, catalytic
cellulosic membranes, textronic (electrofunctional textiles), electromagnetic
devices, authentication of valuable documents, and antimicrobial wound healing
products to name a few.
PMID- 21902240
TI - Design and facile solid-phase synthesis of conformationally constrained bicyclic
peptoids.
AB - Triazine-bridged bicyclic peptoids as conformationally constrained
peptidomimetics are described. Bicyclic peptoids composed of 6-12 peptoid
residues (m, n = 3-6) were synthesized in excellent yields using a highly
efficient solid-phase synthetic route.
PMID- 21902241
TI - A powerful cascade approach for expeditious synthesis of trifluoromethylated
furans.
AB - A powerful approach to synthesize trifluoromethylated furans has been developed.
The method is operationally simple, broad in substrate scope, and amenable to
scale-up using trifluoroacetic anhydride. Meanwhile, the strategy not only
provided a versatile approach to synthesize trifluoromethylated furans but also
provides a new method for exploring the new reactivity of trifluoroacetic
anhydride.
PMID- 21902242
TI - Hidden in plain sight: subtle effects of the 8-oxoguanine lesion on the
structure, dynamics, and thermodynamics of a 15-base pair oligodeoxynucleotide
duplex.
AB - The base lesion 8-oxoguanine is formed readily by oxidation of DNA, potentially
leading to G -> T transversion mutations. Despite the apparent similarity of 8
oxoguanine-cytosine base pairs to normal guanine-cytosine base pairs, cellular
base excision repair systems effectively recognize the lesion base. Here we apply
several techniques to examine a single 8-oxoguanine lesion at the center of a
nonpalindromic 15-mer duplex oligonucleotide in an effort to determine what, if
anything, distinguishes an 8-oxoguanine-cytosine (8oxoG-C) base pair from a
normal base pair. The lesion duplex is globally almost indistinguishable from the
unmodified parent duplex using circular dichroism spectroscopy and ultraviolet
melting thermodynamics. The DNA mismatch-detecting photocleavage agent
Rh(bpy)(2)chrysi(3+) cleaves only weakly and nonspecifically, revealing that the
8oxoG-C pair is locally stable at the level of the individual base pairs. Nuclear
magnetic resonance spectra are also consistent with a well-conserved B-form
duplex structure. In the two-dimensional nuclear Overhauser effect spectra, base
sugar and imino-imino cross-peaks are strikingly similar between parent and
lesion duplexes. Changes in chemical shift due to the 8oxoG lesion are localized
to its complementary cytosine and to the 2-3 bp immediately flanking the lesion
on the lesion strand. Residues further removed from the lesion are shown to be
unperturbed by its presence. Notably, imino exchange experiments indicate that
the 8-oxoguanine-cytosine pair is strong and stable, with an apparent equilibrium
constant for opening equal to that of other internal guanine-cytosine base pairs,
on the order of 10(-6). This collection of experiments shows that the 8
oxoguanine-cytosine base pair is incredibly stable and similar to the native
pair.
PMID- 21902243
TI - Synthesis of amino-benzothiaoxazepine-1,1-dioxides utilizing a microwave
assisted, S(N)Ar protocol.
AB - The development of a microwave-assisted, intermolecular S(N)Ar protocol for the
synthesis of a 126-member benzothiaoxazepine-1,1-dioxide library is reported.
Diversification of 12 benzothiaoxazepine-1,1-dioxides was achieved in rapid
fashion utilizing a variety of 2 degrees amines and amino alcohols to generate
an 80-member library. A second 48-member library was subsequently generated via a
two-step alkylation, intermolecular S(N)Ar diversification protocol.
PMID- 21902246
TI - In-situ measurement of electroosmotic drag coefficient in Nafion membrane for the
PEMFC.
AB - A new method based on hydrogen pump has been developed to measure the
electroosmotic drag coefficient in representative PEMFC operating conditions. It
allows eliminating the back-flow of water which leads to some errors in the
calculation of this coefficient with previously reported electrochemical methods.
Measurements have been performed on 50 MUm thick Nafion membranes both extruded
and recast. Contrary to what has been described in most of previous published
works, the electroosmotic drag coefficient decreases as the membrane water
content increases. The same trend is observed for temperatures between 25 and 80
degrees C. For the same membrane water content, the electroosmotic drag
coefficient increases with temperature. In the same condition, there is no
difference in drag coefficient for extruded Nafion N112 and recast Nafion NRE212.
These results are discussed on the basis of the two commonly accepted proton
transport mechanisms, namely, Grotthus and vehicular.
PMID- 21902245
TI - Total synthesis and biological evaluation of pederin, psymberin, and highly
potent analogs.
AB - The potent cytotoxins pederin and psymberin have been prepared through concise
synthetic routes (10 and 14 steps in the longest linear sequences, respectively)
that proceed via a late-stage multicomponent approach to construct the N-acyl
aminal linkages. This route allowed for the facile preparation of a number of
analogs that were designed to explore the importance of the alkoxy group in the N
acyl aminal and functional groups in the two major subunits on biological
activity. These analogs, including a pederin/psymberin chimera, were analyzed for
their growth inhibitory effects, revealing several new potent cytotoxins and
leading to postulates regarding the molecular conformational and hydrogen bonding
patterns that are required for biological activity. Second generation analogs
have been prepared based on the results of the initial assays and a structure
based model for the binding of these compounds to the ribosome. The growth
inhibitory properties of these compounds are reported. These studies show the
profound role that organic chemistry in general and specifically late-stage
multicomponent reactions can play in the development of unique and potent
effectors for biological responses.
PMID- 21902244
TI - Biosynthesis of cholesterol and other sterols.
PMID- 21902247
TI - Enhanced reductive dechlorination of polychlorinated biphenyl impacted sediment
by bioaugmentation with a dehalorespiring bacterium.
AB - Anaerobic reductive dehalogenation of commercial PCBs such as Aroclor 1260 has a
critical role of transforming highly chlorinated congeners to less chlorinated
congeners that are then susceptible to aerobic degradation. The efficacy of
bioaugmentation with the dehalorespiring bacterium Dehalobium chlorocoercia DF1
was tested in 2-L laboratory mesocosms containing sediment contaminated with
weathered Aroclor 1260 (1.3 ppm) from Baltimore Harbor, MD. Total penta- and
higher chlorinated PCBs decreased by approximately 56% (by mass) in bioaugmented
mesocosms after 120 days compared with no activity observed in unamended
controls. Bioaugmentation with DF-1 enhanced the dechlorination of doubly flanked
chlorines and stimulated the dechlorination of single flanked chlorines as a
result of an apparent synergistic effect on the indigenous population. Addition
of granulated activated carbon had a slight stimulatory effect indicating that
anaerobic reductive dechlorination of PCBs at low concentrations was not
inhibited by a high background of inorganic carbon that could affect
bioavailability. The total number of dehalorespiring bacteria was reduced by
approximately half after 60 days. However, a steady state level was maintained
that was greater than the indigenous population of putative dehalorespiring
bacteria in untreated sediments and DF1 was maintained within the indigenous
population after 120 days. The results of this study demonstrate that
bioaugmentation with dehalorespiring bacteria has a stimulatory effect on the
dechlorination of weathered PCBs and supports the feasibility of using in situ
bioaugmentation as an environmentally less invasive and lower cost alternate to
dredging for treatment of PCB impacted sediments.
PMID- 21902248
TI - Abilities of different electron donors (D) to engage in a P...D noncovalent
interaction.
AB - Previous work has documented the ability of the P atom to form a direct
attractive noncovalent interaction with a N atom, based in large measure on the
charge transfer from the N lone pair into the sigma* antibonding orbital of the P
H that is turned away from the N atom. The present work considers whether other
atoms, namely, O and S, can also participate as electron donors, and in which
bonding environments. Also considered are the pi-systems of multiply bonded C
atoms. Unlike an earlier observation that the interaction is unaffected by the
nature of the electron-acceptor atom, there is strong sensitivity to the donor.
The P...D binding energy diminishes in the order D = NH(3) > H(2)CO > H(2)CS >
H(2)O > H(2)S, different from the patterns observed in both H and halogen bonds.
The P...D interactions are comparable to, and in some cases stronger than, the
analogous H-bonds formed by HOH as proton donor. The carbon pi systems form
surprisingly strong P...D complexes, augmented by the back-donation from the P
lone pair to the C-C pi* antibond, which surpass the strengths of H-bonds, even
some with HF as proton donor.
PMID- 21902249
TI - Efficient blue-emitting Ir(III) complexes with phosphine carbanion-based
ancillary ligand: a DFT study.
AB - We report a theoretical study on a series of heteroleptic cyclometalated Ir(III)
complexes for OLED application. The geometries, electronic structures, and the
lowest-lying singlet absorptions and triplet emissions of
[(fppy)(2)Ir(III)(PPh(2)Np)] (1), and theoretically designed models
[(fppy)(2)Ir(III)(PH(2)Np)] (2) and [(fppy)(2)Ir(III)Np](-)(3) were investigated
with density functional theory (DFT)-based approaches, where, fppyH = 4
fluorophenyl-pyridine and NpH = naphthalene. The ground and excited states were,
respectively, optimized at the M062X/LanL2DZ;6-31G* and CIS/LanL2DZ:6-31G* level
of theory within CH(2)Cl(2) solution provided by PCM. The lowest absorptions and
emissions were evaluated at M062X/Stuttgart;cc-pVTZ;cc-pVDZ level of theory.
Though the lowest absorptions and emissions were all attributed as the ligand
based charge-transfer transition with slight metal-to-ligand charge-transfer
transition character, the subtle differences in geometries and electronic
structures result in the different quantum yields and versatile emission color.
The newly designed molecular 3 is expected to be highly emissive in deep blue
region.
PMID- 21902250
TI - The cardiovascular risk of healthy individuals studied by NMR metabonomics of
plasma samples.
AB - The identification and the present wide acceptance of cardiovascular risk factors
such as age, sex, hypertension, hyperlipidemia, smoking, obesity, diabetes, and
physical inactivity have led to dramatic reductions in cardiovascular morbidity
and mortality. However, novel risk predictors present opportunities to identify
more patients at risk and to more accurately define the biochemical signature of
that risk. In this paper, we present a comprehensive metabonomic analysis of 864
plasma samples from healthy volunteers, through Nuclear Magnetic Resonance (NMR)
and multivariate statistical analysis (regression and classification). We have
found that subjects that are classified as at high or at low risk using the
common clinical markers can also be discriminated using NMR metabonomics. This
discrimination is not only due to common markers (such as total cholesterol,
triglycerides, LDL, HDL), but also to (p < 0.05 after Bonferroni correction)
other metabolites (e.g., 3-hydroxybutyrate, alpha-ketoglutarate, threonine,
dimethylglycine) previously not associated with cardiovascular diseases.
PMID- 21902251
TI - Efficient identification of different types of carbons in organic solids by 2D
solid-state NMR spectroscopy.
AB - An efficient method for identifying different types of carbon groups (CH(3),
CH(2), CH, and quaternary carbons) in organic solids is proposed by utilizing the
combination of a two-dimensional (2D) (13)C-(1)H polarization inversion spin
exchange at magic angle (PISEMA) NMR experiment and numerical simulation results
of simple isolated (13)C-(1)H dipolar coupling models. Our results reveal that
there is a unique line shape of the (13)C-(1)H dipolar splitting pattern and a
corresponding characteristic splitting value for each carbon group, based on
which different carbon types can be distinguished unambiguously. In particular,
by using this method, the discrimination and assignment of overlapped signals
from different types of carbons can be achieved easily. The efficacy of this
method is demonstrated on typical solid small molecules, polymers, and
biomacromolecules.
PMID- 21902252
TI - Organization of glucose-responsive systems and their properties.
PMID- 21902253
TI - Studies on the encapsulation of various anions in different fullerenes using
density functional theory calculations and Born-Oppenheimer molecular dynamics
simulation.
AB - The density functional theory (DFT)-based Becke's three parameter hybrid exchange
functional and Lee-Yang-Parr correlation functional (B3LYP) calculations and Born
Oppenheimer molecular dynamics (BOMD) simulations have been performed to
understand the stability of different anions inside fullerenes of various sizes.
As expected, the stability of anion inside the fullerene depends on its size as
well as on the size of the fullerene. Results show that the encapsulation of
anions in larger fullerenes (smaller fullerene) is energetically favorable (not
favorable). The minimum size of the fullerene required to encapsulate F(-) is
equal to C(32). It is found from the results that C(60) can accommodate F(-), Cl(
), Br(-), OH(-), and CN(-). The electron density topology analysis using atoms in
molecule (AIM) approach vividly delineates the interaction between fullerene and
anion. Although F(-)@C(30) is energetically not favorable, the BOMD results
reveal that the anion fluctuates around the center of the cage. The anion does
not exhibit any tendency to escape from the cage.
PMID- 21902254
TI - Anisotropic water reorientation around ions.
AB - We study the reorientation dynamics of water molecules around ions using
terahertz dielectric relaxation spectroscopy and polarization-resolved
femtosecond infrared pump-probe spectroscopy. The results are discussed in
relation to the ion-specific Hofmeister series and the concomitant "structure
making" and "structure-breaking" effects of ions on water. We show that when a
dissolved salt consists of a strongly hydrated ion with a weakly hydrated
counterion the reorientation of water molecules around the strongly hydrated ion
is anisotropic, in the sense that differently charged ions affect reorientation
along different molecular axes: cations mainly slow the reorientation dynamics of
the water dipole vectors, and anions mainly slow down the reorientation dynamics
of the hydroxyl group that points toward the anion. In both cases, motion along
only one molecular axis is impeded, so that the hydration shell is best described
as semirigid. In this semirigid hydration picture, water molecules in the first
hydration shell show anisotropic reorientation, whereas water molecules outside
the first hydration shell remain unaffected. The inferred anisotropy in molecular
motion explains why terahertz dielectric relaxation spectroscopy, which probes
dipolar relaxation, is more sensitive to cation hydration effects while
femtosecond infrared pump-probe spectroscopy, which is sensitive to reorientation
of hydroxyl groups, is more sensitive to anion hydration effects. We also show
that dissolution of CsI-a salt for which both cation and anion are weakly
hydrated-has little effect on water reorientation dynamics, with hydration water
displaying dynamics that are similar to those in bulk water.
PMID- 21902255
TI - Brominated flame retardants in the atmosphere of E-waste and rural sites in
southern China: seasonal variation, temperature dependence, and gas-particle
partitioning.
AB - The recycling of electrical and electronic waste(e-waste) in developing countries
has attracted much attention as a significant source of brominated flame
retardants (BFRs).Gaseous and particle-bound BFRs were measured in the atmosphere
at e-waste and rural sites in southern China during 2007-2008. The annual average
concentrations in the air were 3260 +/- 3370 and 219 +/- 192 pg/m3 for
polybrominated diphenyl ethers (PBDEs) and were 546 +/- 547 and 165 +/- 144 pg/m3
for non-PBDE BFRs at the e-waste and rural sites,respectively. PBDEs had
unusually high relative concentrations of di- and tribrominated congeners at the
e-waste site. The Clausius-Clapeyron (CC) plots showed that the gaseous
concentrations of less brominated BFRs (di- through hexa-BFRs) were strongly
controlled by temperature-driven evaporation from contaminated surfaces (e.g., e
waste, soils, and recycled e-waste remains) except for winter. However, weak
temperature dependence at the rural site suggests that regional or long-range
atmospheric transport was largely responsible for the air concentrations. Gas
particle partitioning (KP) of PBDEs correlated well with the subcooled liquid
vapor pressure (PL(o)) for most sampling events. The varied slopes of log KP
versus log PL(o) plots for the e-waste site (-0.59 to -1.29) indicated an
influence of ambient temperature and atmospheric particle properties on the
partitioning behavior of BFRs. The flat slopes (-0.23 to -0.80) for the rural
site implied an absorption-dominant partitioning. This paper suggests that e
waste recycling in Asian low-latitude regions is a significant source of less
brominated BFRs and has important implications for their global transport from
warm to colder climate
PMID- 21902256
TI - Pyochelin enantiomers and their outer-membrane siderophore transporters in
fluorescent pseudomonads: structural bases for unique enantiospecific
recognition.
AB - Pyochelin (Pch) and enantiopyochelin (EPch) are enantiomeric siderophores, with
three chiral centers, produced under iron limitation conditions by Pseudomonas
aeruginosa and Pseudomonas fluorescens , respectively. After iron chelation in
the extracellular medium, Pch-Fe and EPch-Fe are recognized and transported by
their specific outer-membrane transporters: FptA in P. aeruginosa and FetA in P.
fluorescens . Structural analysis of FetA-EPch-Fe and FptA-Pch-Fe, combined with
mutagenesis and docking studies revealed the structural basis of the
stereospecific recognition of these enantiomers by their respective transporters.
Whereas FetA and FptA have a low sequence identity but high structural homology,
the Pch and EPch binding pockets do not share any structural homology, but
display similar physicochemical properties. The stereospecific recognition of
both enantiomers by their corresponding transporters is imposed by the
configuration of the siderophore's C4'' and C2'' chiral centers. This recognition
involves specific hydrogen bonds between the Arg91 guanidinium group and EPch-Fe
for FetA and between the Leu117-Leu116 main chain and Pch-Fe for FptA. FetA and
FptA are the first membrane receptors to be structurally described with opposite
binding enantioselectivities for their ligands, giving insights into the
structural basis of their enantiospecificity.
PMID- 21902257
TI - Pressure induced isostructural metastable phase transition of ammonium nitrate.
AB - The energetic material ammonium nitrate (AN, NH(4)NO(3)) has been studied under
both hydrostatic and nonhydrostatic conditions using diamond anvil cells combined
with micro-Raman spectroscopy and synchrotron X-ray powder diffraction. The
refined powder X-ray data indicates that under hydrostatic conditions AN-IV
(orthorhombic, Pmmn) is stable to above 40 GPa. In one nonhydrostatic compression
experiment a volume collapse was observed, suggesting an isostructural phase
transition to a "metastable" phase IV' between 17 and 28 GPa. The structures of
phase IV and IV' are similar with the subtle difference in the hydrogen-bonding
network; that is, a noticeably shorter N1...O1 distance seen in phase IV'. This
hydrogen bond has a significant component along the b-axis, which proves to be
the most compressible until cell axis over the entire pressure range. It is
likely that the shear stress of the nonhydrostatic experiment drives the phase IV
to-IV' transition to occur. We compare the present isotherms of phase IV and IV'
in both static and nonhydrostatic conditions with the previously obtained
Hugoniot and find that the nonhydrostatic isotherm approximately matches the
Hugoniot. On the basis of this comparison, we conjecture that a chemical reaction
or phase transition may occur in AN under dynamic pressure conditions at 22 GPa.
PMID- 21902258
TI - Complex dendrimer-lyotropic liquid crystalline systems: structural behavior and
interactions.
AB - The incorporation of dendrimer into three lyotropic liquid crystalline (LLCs)
mesophases is demonstrated for the first time. A second generation (G2) of
poly(propylene imine) dendrimer (PPI) was solubilized into lamellar, diamond
reverse cubic, and reverse hexagonal LLCs composed of glycerol monooleate (GMO),
and water (and D-alpha-tocopherol in the H(II) system). The combination of PPI
with LLCs may provide an advantageous drug delivery system. Cross-polarized light
microscope, small-angle X-ray scattering (SAXS), and attenuated total reflectance
Fourier transform infrared (ATR-FTIR) were utilized to study the structural
behavior of the mesophases, the localization of PPI within the system, and the
interactions between the guest molecule and the system's components. It was
revealed that PPI-G2 functioned as a "water pump", competing with the lipid
headgroups for water binding. As a result, L(alpha)->H(II) and Q(224)->H(II)
structural shifts were detected (at 10 wt % PPI-G2 content), probably caused by
the dehydration of monoolein headgroups and subsequent increase of the lipid's
critical packing parameter (CPP). In the case of H(II), as a result of the
balance between the dehydration of the monoolein headgroups and the significant
presence of PPI within the interfacial region, increasing the quantity of
hydrogen bonds, no structural transitions occurred. ATR-FTIR analysis
demonstrated a downward shift of the H-O-H (water), as a result of PPI-G2
embedment, suggesting an increase in the mean water-water H-bond angle resulting
from binding PPI-G2 to the water network. Additionally, the GMO hydroxyl groups
at beta- and gamma-C-OH positions revealed a partial interaction of hydrogen
bonds with N-H functional groups of the protonated PPI-G2. Other GMO interfacial
functional groups were shown to interact with the PPI-G2, in parallel with the
GMO dehydration phenomenon. In the future, these outcomes can be used to design
advanced drug delivery systems, allowing administration of dendrimers as a
therapeutic agent from LLCs.
PMID- 21902259
TI - Modification of proteins with cyclodextrins prevents aggregation and surface
adsorption and increases thermal stability.
AB - This work describes a general approach for preventing protein aggregation and
surface adsorption by modifying proteins with beta-cyclodextrins (betaCD) via an
efficient water-driven ligation. As compared to native unmodified proteins, the
cyclodextrin-modified proteins (lysozyme and RNase A) exhibit significant
reduction in aggregation, surface adsorption and increase in thermal stability.
These results reveal a new chemistry for preventing protein aggregation and
surface adsorption that is likely of different mechanisms than that by modifying
proteins with poly(ethylene glycol).
PMID- 21902260
TI - Polyethylenimine-impregnated mesoporous silica: effect of amine loading and
surface alkyl chains on CO2 adsorption.
AB - Poly(ethyleneimine) (PEI) supported on pore-expanded MCM-41 whose surface is
covered with a layer of long-alkyl chains was found to be a more efficient CO(2)
adsorbent than PEI supported on the corresponding calcined silica and all PEI
impregnated materials reported in the literature. The layer of surface alkyl
chains plays an important role in enhancing the dispersion of PEI, thus
decreasing the diffusion resistance. It was also found that at low temperature,
adsorbents with relatively low PEI contents are more efficient than their highly
loaded counterparts because of the increased adsorption rate. Extensive CO(2)
adsorption-desorption cycling showed that the use of humidified feed and purge
gases affords materials with enhanced stability, despite limited loss due to
amine evaporation.
PMID- 21902261
TI - Study of polymorphism of 4-hexyl-4'-isothiocyanatobiphenyl by complementary
methods.
AB - The results of adiabatic calorimetry, mid-infrared spectroscopy, X-ray
diffraction, and polarized microscopy of 4-hexyl-4'-isothiocyanatobiphenyl are
presented. Apart from the well-known isotropic liquid and smectic phases,
calorimetric measurements indicate the existence of two stable crystalline
phases. Quantitative analysis of infrared bands enabled the determination of
phase transitions, observation of crystallization kinetics, and elucidation of
the glass transition of the crystal-like smectic E phase. The structural
information about the glass of the smectic E obtained from the diffraction
studies is complemented by textures recorded by polarized microscopy.
PMID- 21902262
TI - Ionic strength effects on silicic acid (H4SiO4) sorption and oligomerization on
an iron oxide surface: an interesting interplay between electrostatic and
chemical forces.
AB - The effect of ionic strength on reactions at aqueous interfaces can provide
insights into the nature of the chemistry involved. The adsorption of H(4)SiO(4)
on iron oxides at low surface silicate concentration (Gamma(Si)) forms monomeric
silicate complexes with Fe-O-Si linkages, but as Gamma(Si) increases silicate
oligomers with Si-O-Si linkages become increasingly prevalent. In this paper, the
effect of ionic strength (I) on both Gamma(Si) and the extent of silicate
oligomerization on the ferrihydrite surface is determined at pH 4, 7, and 10,
where the surface is, respectively, positive, nearly neutral, and negatively
charged. At pH 4, an increase in ionic strength causes Gamma(Si) to decrease at a
given H(4)SiO(4) solution concentration, while the proportion of oligomers on the
surface at a given Gamma(Si) increases. At pH 10, the opposite is observed;
Gamma(Si) increases as I increases, while the proportion of surface oligomers at
a given Gamma(Si) decreases. Ionic strength has only a small effect on the
surface chemistry of H(4)SiO(4) at pH 7, but at low Gamma(Si) this effect is in
the direction observed at pH 4 while at high Gamma(Si) the effect is in the
direction observed at pH 10. The pH where the surface has zero charge decreases
from ~8 to 6 as Gamma(Si) increases so that the surface potential (Psi) is
positive at pH 4 for all Gamma(Si) and at pH 7 with low Gamma(Si). Likewise, Psi
< 0 at pH 10 for all Gamma(Si) and at pH 7 with high Gamma(Si). The diffuse layer
model is used to unravel the complex and subtle interactions between surface
potential (Psi) and chemical parameters that influence interfacial silicate
chemistry. This analysis reveals that the decrease in the absolute value of Psi
as I increases causes Gamma(Si) to decrease or increase where Psi is,
respectively, positive or negative. Therefore, at a given Gamma(Si), the solution
H(4)SiO(4) concentration changes with I, and because oligomerization has a higher
H(4)SiO(4) stoichiometry coefficient than monomer adsorption, this results in the
observed dependence of the extent of silicate oligomerization on I.
PMID- 21902263
TI - Zwitterionic polymersomes in an ionic liquid: room temperature TEM
characterization.
AB - Conventional transmission electron microscopy (TEM) was utilized to characterize
vesicles formed by the spontaneous self-assembly of a novel zwitterionic block
copolymer in the ionic liquid (2-hydroxyethyl)dimethylammonium methanesulfonate
as well as in 0.1 M phosphate buffered saline (PBS). This block copolymer was
synthesized via ring-opening metathesis polymerization (ROMP) of a norbornene
based sulfobetaine, followed by its end-functionalization with polystyrene to
generate the necessary amphiphilic structure. The ionic liquid enabled the
visualization of the vesicles in their swollen state by TEM, demonstrating a new
method for improved characterization of polymer vesicles.
PMID- 21902264
TI - Self-organized poly(n-octadecylsilsesquioxane) films via sol-gel
photopolymerization.
AB - We describe a novel solvent- and water-free sol-gel process for n
octadecyltriclorosilane (C(18)H(37)SiCl(3)) film catalyzed by photogenerated
Bronsted acids. Driven by hydrophobic van der Waals interactions, a photoinduced
self-assembly process occurs to afford a long-range ordered lamellar
mesostructure, characterized by X-ray diffraction and transmission electron
microscopy. Real-time Fourier transform IR spectroscopy was instrumental to probe
the fast hydrolysis kinetics and assess the change of conformational behavior of
the alkyl chains during UV irradiation. A unique combination of different solid
state NMR techniques ((29)Si, (13)C, (1)H) provided an insight into the
supramolecular organization of this hybrid film.
PMID- 21902265
TI - Impact dynamics of colloidal quantum dot solids.
AB - We use aerosol techniques to investigate the cohesive and granular properties of
solids composed of colloidal semiconductor nanocrystals (quantum dot solids). We
form spherical agglomerates of nanocrystals with a nebulizer and direct them
toward a carbon substrate at low (~0.01 m/s) or high (~100 m/s) velocities. We
then study the morphology of the deposit (i.e., the "splat") after impact. By
varying the size of the agglomerate and the spacing between the nanocrystals
within it, we observe influences on the mechanical properties of the quantum dot
solid. We observe a liquid-to-solid transition as the nanocrystals become more
densely packed. Agglomerates with weakly interacting nanocrystals exhibit
liquidlike splashing and coalescence of overlapping splats. More dense
agglomerates exhibit arching and thickening effects, which is behavior typical of
granular materials.
PMID- 21902266
TI - Phenoxy radical (C6H5O) formation under single collision conditions from reaction
of the phenyl radical (C6H5, X2A1) with molecular oxygen (O2, X3Sigmag(-)): the
final chapter?
AB - The combustion relevant elementary reaction of photolytically generated phenyl
radicals (C(6)H(5), X(2)A(1)) with molecular oxygen to form the phenoxy radical
(C(6)H(5)O) plus a ground state oxygen atom was investigated under single
collision conditions at a collision energy of 21.2 +/- 0.9 kJ mol(-1). The
reaction was found to proceed indirectly via the involvement of a long-lived
phenylperoxy radical (C(6)H(5)O(2)) intermediate that decomposed via a rather
loose exit transition state. In comparison with crossed beams data obtained
previously at elevated collision energies, we suggest that, as the collision
energy rises from 21 to 107 kJ mol(-1), the lifetime of the C(6)H(5)O(2) reaction
intermediate decreases, that is, a classical behavior within the osculating
complex model.
PMID- 21902267
TI - Surfactant-induced aggregation patterns of thiazole orange: a photophysical
study.
AB - The aggregation behavior of the DNA marker dye thiazole orange (TO), has been
investigated in two types of surfactant assemblies, namely, premicelles/micelles
of sodium dodecyl sulfate (SDS) and pre reverse micelles/reverse micelles of
sodium bis(2-ethylhexyl) sulfosuccinate (AOT). In the case of an SDS/water
system, absorption spectral changes of TO signify the formation of H-aggregates
and H-dimers of the dye at premicellar concentrations, which subsequently convert
to the monomeric form beyond the critical micellar concentration (cmc).
Interestingly, the observed changes in the absorption and emission
characteristics due to the surfactant-induced formation of H-aggregates/dimers of
TO are found to be useful to estimate the surfactant concentration parameters for
premicellar aggregation of SDS. In the case of an AOT/n-heptane system,
similarly, H-aggregates/dimers are observed at low AOT concentrations, below the
cmc. However, in this case, the H-dimers persist even beyond the cmc. This is
attributed to the strong tendency of TO for self-aggregation and its favorable
electrostatic interactions with the AOT head groups. With increasing water
content in the AOT reverse micelles, the hydration of the dye leads to the
conversion of H-dimers to the monomeric form. The steady-state fluorescence
results are nicely corroborated with those from time-resolved fluorescence
studies and demonstrate the interesting behavior of the surfactant-induced
aggregation of TO dye.
PMID- 21902268
TI - Fabrication of silver patterns on polyimide films based on solid-phase
electrochemical constructive lithography using ion-exchangeable precursor layers.
AB - We report a fully additive-based electrochemical approach to the site-selective
deposition of silver on a polyimide substrate. Using a cathode coated with ion
doped precursor polyimide layers, patterns of metal masks used as anodes were
successfully reproduced at the cathode-precursor interface through
electrochemical and ion-exchange reactions, which resulted in the generation of
silver patterns on the polyimide films after subsequent annealing and removal
from the substrate. Excellent interfacial adhesion was achieved through metal
nanostructures consisting of interconnecting silver nanoparticles at the metal
polymer interface, which are electrochemically grown "in" the precursor layer.
This approach is a resist- and etch-free process and thus provides an effective
methodology toward lower-cost and high-throughput microfabrication.
PMID- 21902269
TI - Dissociation dynamics of asymmetric alkynyl(aryl)iodonium radicals: an ab initio
DRC approach to predict the surface functionalization selectivity.
AB - The dissociation process of neutral open-shell [4-F-(C(6)H(4))-I-C=C-(CH(2))(4)
Cl] and [4-NO(2)-(C(6)H(4))-I-C=C-(CH(2))(4)-Cl] asymmetric iodonium radicals was
studied theoretically. Vertical electron affinities and DRC (dynamic reaction
coordinate) results were obtained and compared with experimental evidence. In
particular, the fluorine and nitro substituent groups were selected because of
(i) their opposite electron-withdrawing/electron-donating effects and (ii)
experimental evidence that the grafting ability, in terms of alkynyl/aryl
grafting ratio, increases with decreasing electron-withdrawing nature of the para
position substituent on the phenyl ring. DRC results show that the dissociation
dynamics of the iodine-alkynyl carbon bond, for the nitro-substituted iodonium,
occurs on a longer time scale than that of the fluorine-substituted iodonium.
This finding is in agreement with the overall experimental results.
PMID- 21902270
TI - High performance Na-doped PbTe-PbS thermoelectric materials: electronic density
of states modification and shape-controlled nanostructures.
AB - Thermoelectric heat-to-power generation is an attractive option for robust and
environmentally friendly renewable energy production. Historically, the
performance of thermoelectric materials has been limited by low efficiencies,
related to the thermoelectric figure-of-merit ZT. Nanostructuring thermoelectric
materials have shown to enhance ZT primarily via increasing phonon scattering,
beneficially reducing lattice thermal conductivity. Conversely, density-of-states
(DOS) engineering has also enhanced electronic transport properties. However,
successfully joining the two approaches has proved elusive. Herein, we report a
thermoelectric materials system whereby we can control both nanostructure
formations to effectively reduce thermal conductivity, while concurrently
modifying the electronic structure to significantly enhance thermoelectric power
factor. We report that the thermoelectric system PbTe-PbS 12% doped with 2% Na
produces shape-controlled cubic PbS nanostructures, which help reduce lattice
thermal conductivity, while altering the solubility of PbS within the PbTe matrix
beneficially modifies the DOS that allow for enhancements in thermoelectric power
factor. These concomitant and synergistic effects result in a maximum ZT for 2%
Na-doped PbTe-PbS 12% of 1.8 at 800 K.
PMID- 21902272
TI - Metal-free cascade intramolecular S-arylation: regioselective synthesis of
substituted benzothiazoles.
PMID- 21902271
TI - Reversible controlled assembly of thermosensitive polymer-coated gold
nanoparticles.
AB - Aggregation of thermosensitive polymer-coated gold nanoparticles was performed in
aqueous solution in the presence of a triblock copolymer poly(ethylene oxide)
block-poly(propylene oxide)-block-poly(ethylene oxide) (Pluronic P123, PEO(20)
PPO(68)-PEO(20)). The gold nanoparticles, AuNPs, which are covered by
thermosensitive statistical copolymers poly(EO(x)-st-PO(y)), aggregate when the
temperature is higher than the phase transition temperature of the polymer,
leading to a macroscopic precipitation. The presence of Pluronic chains in
solution prevents the uncontrolled aggregation of the AuNPs at higher temperature
than both the aggregation temperature of the AuNPs (T(agg)) and the critical
micellization temperature (cmt) of the Pluronic. The size, the colloidal
stability, and the optical properties of the AuNPs aggregates are modulated as a
function of the P123-to-AuNP ratio, which constitutes the critical parameter of
the system. Moreover, the AuNP aggregation is totally reversible upon decreasing
the temperature below T(agg). Our approach constitutes an easy way to the
formation of well-controlled nanoparticle aggregates with well-defined sizes. The
resulting aggregates have been characterized by UV-vis spectroscopy, dynamic
light scattering, and electron microscopy.
PMID- 21902273
TI - Modeling self-diffusion of simple fluids in nanopores.
AB - The recent frictional model of the transport of fluid mixtures in nanopores
developed in this laboratory is extended here to formulate a new theory of the
self-diffusion of Lennard-Jones fluids in cylindrical pores by considering the
problem of diffusion of identical molecules that differ only in color. The new
theory is found to predict the self-diffusivity accurately over a wide range of
densities and pore sizes, extending from molecularly narrow pores to large
mesopores. However, deviations from the theory appear near to the critical
temperature where the correlation length of the fluid diverges and when
intermolecular interactions are important in molecularly narrow pores. Under such
circumstances, local averaging of the fluid-fluid density to obtain a local
viscosity does not adequately capture the effects of viscous friction. A new
criterion is developed for determining the significance of fluid-fluid
intermolecular interactions in a nanopore by considering the ratio of oscillation
times of a fluid molecule in the force field of the surrounding fluid molecules
and that in the force field of the pore wall. The ratio is shown to give good
predictions of the region where intermolecular interactions are important and
explains the region of deviation between theory and simulation in molecularly
narrow pores.
PMID- 21902274
TI - Enrichment of humic material with hydroxybenzene moieties intensifies its
physiological effects on the nematode Caenorhabditis elegans.
AB - Dissolved humic substances are taken up by organisms and interact on various
molecular and biochemical levels. In the nematode Caenorhabditis elegans, such
material can promote longevity and increase its reproductive capacity; moreover,
the worms tend to stay for longer in humic-enriched environments. Here, we tested
the hypothesis that the chemical enrichment of humic substances with
hydroxybenzene moieties intensifies these physiological effects. Based on the
leonardite humic acid HuminFeed (HF), we followed a polycondensation reaction in
which this natural humic substance and a dihydroxybenzene (hydroquinone or
benzoquinone) served as reaction partners. Several analytical methods showed the
formation of the corresponding copolymers. The chemical modification boosted the
antioxidant properties of HF both in vitro and in vivo. Humic substances enriched
with hydroxybenzene moieties caused a significantly increased tolerance to
thermal stress in C. elegans and extended its lifespan. Exposed nematodes showed
delayed linear growth and onset of reproduction and a stronger pumping activity
of the pharynx. Thus, treated nematodes act younger than they really are. In this
feature the modified HF replicated the biological impact of hydroquinone
homopolymers and various plant polyphenol monomers, thereby supporting the
hydroxybenzene moieties of humic substances as major effective structures for the
physiological effects observed in C. elegans.
PMID- 21902276
TI - Resolution of discordant HIV-1 protease resistance rankings using molecular
dynamics simulations.
AB - The emergence of drug resistance is a major challenge for the effective treatment
of HIV. In this article, we explore the application of atomistic molecular
dynamics simulations to quantify the level of resistance of a patient-derived HIV
1 protease sequence to the inhibitor lopinavir. A comparative drug ranking
methodology was developed to compare drug resistance rankings produced by the
Stanford HIVdb, ANRS, and RegaDB clinical decision support systems. The
methodology was used to identify a patient sequence for which the three rival
online tools produced differing resistance rankings. Mutations at only three
positions ( L10I , A71IV, and L90M ) influenced the resistance level assigned to
the sequence. We use ensemble molecular dynamics simulations to elucidate the
origin of these discrepancies and the mechanism of resistance. By simulating not
only the full patient sequences but also systems containing the constituent
mutations, we gain insight into why resistance estimates vary and the
interactions between the various mutations. In the same way, we also gain
valuable knowledge of the mechanistic causes of resistance. In particular, we
identify changes in the relative conformation of the two beta sheets that form
the protease dimer interface which suggest an explanation of the relative
frequency of different amino acids observed in patients at residue 71.
PMID- 21902275
TI - Direct functionalization of (un)protected tetrahydroisoquinoline and isochroman
under iron and copper catalysis: two metals, two mechanisms.
AB - A highly facile, straightforward synthesis of 1-(3-indolyl)
tetrahydroisoquinolines was developed using either simple copper or iron
catalysts. N-protected and unprotected tetrahydroisoquinolines (THIQ) could be
used as starting materials. Extension of the substrate scope of the
pronucleophile from indoles to pyrroles and electron-rich arenes was realized.
Additionally, methoxyphenylation is not limited to THIQ but can be carried out on
isochroman as well, again employing iron and copper catalysis.
PMID- 21902277
TI - Dynamic and static behaviors of N-Z-N sigma(3c-4e) (Z = S, Se, and Te)
interactions: atoms-in-molecules dual functional analysis with high-resolution X
ray diffraction determination of electron densities for 2-(2-pyridylimino)-2H
1,2,4-thiadiazolo[2,3-a]pyridine.
AB - The structure of 2-(2-pyridylimino)-2H-1,2,4-thiadiazolo[2,3-a]pyridine (NSN)
indicates that the molecule has a planar geometry with a linear N...S...N
linkage, creating a tetracyclic structure of the formal C(2v) symmetry. To
clarify the nature of the NSN sigma(3c-4e) bonding, together with the related
NSeN and NTeN, the dynamic and static behaviors are investigated by applying
atoms-in-molecules (AIM) dual functional analysis to both the fully optimized and
perturbed structures. The structures were optimized computationally, retaining
C(2v) symmetry. All bond critical points are detected as expected and exhibited
on both sides of the N...Z...N moiety which supports the formation of NZN
sigma(3c-4e). It is confirmed that N...S...N is of the covalent nature close to
Me(2)S(+)-*-Cl or Me(2)Se(+)-*-Br, whereas N...Se...N and N...Te...N have the
(regular) CS nature close to the CT adducts of Me(2)S(-*-Cl)(2) (TBP) and Me(2)Se
*-Br(2) (MC), respectively. An experimental high-resolution charge density
determination has been performed on NSN, which thoroughly supports the
theoretical results. Very similar results are obtained in the analogous pyrimidyl
derivatives for N...S...N, N...Se...N, and N...Te...N. AIM dual functional
analysis, as validated by experimental high-resolution charge densities, is thus
confirmed to be an excellent method to elucidate the nature of these
interactions.
PMID- 21902278
TI - REPROVIS-DB: a benchmark system for ligand-based virtual screening derived from
reproducible prospective applications.
AB - Benchmark calculations are essential for the evaluation of virtual screening (VS)
methods. Typically, classes of known active compounds taken from the medicinal
chemistry literature are divided into reference molecules (search templates) and
potential hits that are added to background databases assumed to consist of
compounds not sharing this activity. Then VS calculations are carried out, and
the recall of known active compounds is determined. However, conventional
benchmarking is affected by a number of problems that reduce its value for method
evaluation. In addition to often insufficient statistical validation and the lack
of generally accepted evaluation standards, the artificial nature of typical
benchmark settings is often criticized. Retrospective benchmark calculations
generally overestimate the potential of VS methods and do not scale with their
performance in prospective applications. In order to provide additional
opportunities for benchmarking that more closely resemble practical VS
conditions, we have designed a publicly available compound database (DB) of
reproducible virtual screens (REPROVIS-DB) that organizes information from
successful ligand-based VS applications including reference compounds, screening
databases, compound selection criteria, and experimentally confirmed hits. Using
the currently available 25 hand-selected compound data sets, one can attempt to
reproduce successful virtual screens with other than the originally applied
methods and assess their potential for practical applications.
PMID- 21902279
TI - Noise-free dual-wavelength difference imaging of plasmonic resonant nanoparticles
in living cells.
AB - Herein, we demonstrated a new optical microscopy method to selectively image
small-size gold nanoparticles (GNPs) inside noisy living cells through
determination of the difference image between the probe beam (illuminated at the
resonance wavelength of GNPs, 532 nm) and the reference beam (illuminated at 473
nm). From computer simulation and single-particle imaging experiments, we
demonstrated that GNPs with a diameter of 45 nm could be selectively imaged in
the GNPs/cell lysates mixture and inside living cells by dual-wavelength
difference (DWD) imaging. The diffusion dynamics of nucleic acids functionalized
GNPs on cell membranes and the internalization kinetics of these GNPs by living
cells were explored with this method. Our real-time tracking experiments showed
that statistically 80% of GNPs were under restricted diffusion on the cell
membrane. The cell cytoskeleton fence effect, as observed in the single-particle
tracking experiments, may be one of the main factors for the restricted diffusion
mode.
PMID- 21902280
TI - Troubled waters-an examination of the disconnect between river science and law.
PMID- 21902281
TI - Universal phase transitions of B1-structured stoichiometric transition metal
carbides.
AB - The high-pressure phase transitions of B1-structured stoichiometric transition
metal carbides (TMCs, TM = Ti, Zr, Hf, V, Nb, and Ta) were systematically
investigated using ab initio calculations. These carbides underwent universal
phase transitions along two novel phase-transition routes, namely, B1 ->
distorted TlI (TlI') -> TlI and/or B1 -> distorted TiB (TiB') -> TiB, when
subjected to pressure. The two routes can coexist possibly because of the tiny
enthalpy differences between the new phases under corresponding pressures. Four
new phases result from atomic slips of the B1-structured parent phases under
pressure. After completely releasing the pressure, taking TiC as representative
of TMCs, only its new TlI'-type phase is mechanically and dynamically stable, and
may be recovered.
PMID- 21902282
TI - Crystal structure of [Al4(OH)6(H2O)12][Al(H2O)6]2Br12: a new polyaluminum
compound.
AB - A vertex-shared tetrahedral [Al(4)(OH)(6)(H(2)O)(12)](6+) (Al(4)) and a
disordered [Al(H(2)O)(6)](3+) (Al(1)) that coexist in a 1:2 ratio within each
unit cell were observed in the structure of
[Al(4)(OH)(6)(H(2)O)(12)][Al(H(2)O)(6)](2)Br(12), which crystallized in a cubic
Fd3m space group from a spontaneously hydrolyzed solution of AlBr(3). The former
is composed of four AlO(6) octahedra that are connected to each other by sharing
three vertexes of each octahedron and form a large regular tetrahedron with ideal
T(d) symmetry. The central Al(3+) ion of the latter is coordinated by 6
disordered OH(2) molecules, that form a core-shell structure with ideal D(3d)
symmetry.
PMID- 21902283
TI - Kinetics of Ga(NOTA) formation from weak Ga-citrate complexes.
AB - Gallium complexes are gaining increasing importance in biomedical imaging thanks
to the practical advantages of the (68)Ga isotope in Positron Emission Tomography
(PET) applications. (68)Ga has a short half-time (t(1/2) = 68 min); thus the
(68)Ga complexes have to be prepared in a limited time frame. The acceleration of
the formation reaction of gallium complexes with macrocyclic ligands for
application in PET imaging represents a significant coordination chemistry
challenge. Here we report a detailed kinetic study of the formation reaction of
the highly stable Ga(NOTA) from the weak citrate complex (H(3)NOTA = 1,4,7
triazacyclononane-1,4,7- triacetic acid). The transmetalation has been studied
using (71)Ga NMR over a large pH range (pH = 2.01-6.00). The formation of
Ga(NOTA) is a two-step process. First, a monoprotonated intermediate containing
coordinated citrate, GaHNOTA(citrate)*, forms in a rapid equilibrium step. The
rate-determining step of the reaction is the deprotonation and slow rearrangement
of the intermediate accompanied by the citrate release. The observed reaction
rate shows an unusual pH dependency with a minimum at pH 5.17. In contrast to the
typical formation reactions of poly(amino carboxylate) complexes, the Ga(NOTA)
formation from the weak citrate complex becomes considerably faster with
increasing proton concentration below pH 5.17. We explain this unexpected
tendency by the role of protons in the decomposition of the GaHNOTA(citrate)*
intermediate which proceeds via the protonation of the coordinated citrate ion
and its subsequent decoordination to yield the final product Ga(NOTA). The
stability constant of this intermediate, log K(GaHNOTA(citrate)*) = 15.6, is
remarkably high compared to the corresponding values reported for the formation
of macrocyclic lanthanide(III)-poly(amino carboxylates). These kinetic data do
not only give mechanistic insight into the formation reaction of Ga(NOTA), but
might also contribute to establish optimal experimental conditions for the rapid
preparation of Ga(NOTA)-based radiopharmaceuticals for PET applications.
PMID- 21902284
TI - Fusion of lipid vesicles with planar lipid bilayers induced by a combination of
peptides.
AB - We studied the peptide-induced membrane fusion process between small unilamellar
vesicles (SUVs) and supported planar bilayers (SPBs) with the aim of developing a
method for incorporating membrane components into SPBs. As fusogenic peptides,
two analogues of the N-terminal region of an influenza membrane fusion protein
hemaggulutinin, anionic E5 and cationic K5, were synthesized, and the membrane
fusion was investigated using SPB and SUVs composed of phosphatidylcholine from
egg yolk (EggPC). We directly visualized the process of lipid transfer from SUVs
to SPB by total internal reflection fluorescence (TIRF) microscopy. The transfer
of fluorescent lipids was effectively induced only by the combination of two
peptides. The TIRF microscopy observations of single SUV fusion events also
revealed that lipid membranes from SUV could completely fuse into the SPB.
However, the presence of single peptide (either E5 or K5) rather inhibited the
lipid transfer, presumably due to the electrostatic repulsion between SUVs and
SPB. The opposite effects induced by the peptides indicate the possibility for a
designed application of two peptides as a means to control the membrane fusion
spatially and temporally.
PMID- 21902285
TI - Impact of respiratory syncytial virus: the nurse's perspective.
AB - Respiratory syncytial virus (RSV) is a highly contagious virus, and is the major
cause of lower respiratory tract infections in infants and toddlers worldwide.
RSV infection poses serious health risks to young children during the first 2
years of life. Several infant populations have been classified as high risk, and
additional risk factors are known to increase the likelihood of severe RSV
infection. Treatment for active RSV infection is limited to the symptoms of
infection rather than the underlying cause; therefore, it is critical to reduce
the transmission of RSV. As nurses, we highlight the importance of educating
healthcare professionals, both in the hospital and community settings, as well as
parents and other caregivers about the risks and outcomes associated with RSV
infection, and necessary measures to decrease the risk of infection. We also
highlight the importance of the successful identification of those children who
are at high risk of RSV infection. RSV prophylaxis (RSVP) with palivizumab has
been shown to improve clinical outcome in infants who are considered high risk
compared with those who have not received RSVP. The failure of healthcare staff
and primary caregivers to protect children against an RSV infection can have
lasting detrimental effects on the health and lives of affected children and
their families.
PMID- 21902287
TI - Impact of intravenous naltrexone on intravenous morphine-induced high, drug
liking, and euphoric effects in experienced, nondependent male opioid users.
AB - BACKGROUND: Opioid analgesics can be abused by crushing followed by
solubilization and intravenous injection to attain rapid absorption. Morphine
sulfate and naltrexone hydrochloride extended release capsules (EMBEDA, MS-sNT),
indicated for management of chronic, moderate to severe pain, contain pellets of
morphine sulfate with a sequestered naltrexone core. Should product tampering by
crushing occur, the sequestered naltrexone is intended for release to reduce
morphine-induced subjective effects. OBJECTIVE: This study compared self-reports
of high, euphoria, and drug-liking effects of intravenous morphine alone versus
intravenous morphine combined with naltrexone in a clinical simulation of
intravenous abuse of crushed MS-sNT. METHODS: This single-center, randomized,
double-blind, crossover study characterized subjective effects of naltrexone
administered intravenously at the same ratio to morphine present in MS-sNT.
Subjects were male and had used prescription opioids five or more times within
the previous 12 months to get 'high' but were not physically dependent on
opioids. The primary outcome was the response to the Drug Effects Questionnaire
(DEQ) question #5, "How high are you now?" (100 mm Visual Analog Scale [VAS]).
The secondary outcome was the response to a Cole/Addiction Research Center
Inventory (ARCI) Stimulation-Euphoria modified scale. Additional outcomes
included response to VAS drug liking, the remaining DEQ questions, and
pupillometry. RESULTS: Administration of intravenous naltrexone following
intravenous morphine diminished mean high (29.8 vs 85.2 mm), Cole/ARCI
Stimulation-Euphoria (13.7 vs 27.8 mm), and drug-liking (38.9 vs 81.4 mm) scores
(all p < 0.0001) compared with intravenous morphine alone. No serious adverse
events occurred as a result of the tested ratio of naltrexone to morphine.
CONCLUSIONS: Results in this study population suggest that naltrexone added to
morphine in the 4% ratio within MS-sNT mitigates the high, euphoria, and drug
liking of morphine alone, potentially reducing the attractiveness for product
tampering. Assessment of the true clinical significance of these findings will
require further study.
PMID- 21902288
TI - Short-term acetylsalicylic acid (aspirin) use for pain, fever, or colds -
gastrointestinal adverse effects: a meta-analysis of randomized clinical trials.
AB - BACKGROUND AND AIM: Acetylsalicylic acid (ASA [aspirin]) is a commonly used over
the-counter drug for the treatment of pain, fever, or colds, but data on the
safety of this use are very limited. The aim of this study was to provide data on
the safety of this treatment pattern, which is of interest to clinicians,
regulators, and the public. METHODS: A meta-analysis of individual patient data
from 67 studies sponsored by Bayer HealthCare was completed. The primary
endpoints were patient-reported gastrointestinal (GI) adverse events (AEs); the
secondary endpoints were the incidence of patient-reported non-GI AEs. Event
incidence and odds ratios (ORs) based on Cochran-Mantel-Haenszel estimates are
reported. In total, 6181 patients were treated with ASA, 3515 with placebo, 1145
with acetaminophen (paracetamol), and 754 with ibuprofen. Exposure to ASA was
short term (82.5% of patients had a single dose). RESULTS: GI AEs were more
frequent with ASA (9.9%) than with placebo (9.0%).[OR 1.3; 95% CI 1.1, 1.5].
Dyspeptic symptoms were infrequent (4.6% in placebo subjects). The ORs for ASA
were 1.3 (95% CI 1.1, 1.6) versus placebo; 1.55 (95% CI 0.7, 3.3) versus
ibuprofen; and 1.04 (95% CI 0.8, 1.4) versus acetaminophen. There were very few
serious GI AEs (one ASA case; three placebo cases). No differences were found for
non-GI AEs and no cases of cerebral hemorrhage were reported. CONCLUSION: Short
term, mostly single-dose exposure to ASA for the treatment of pain, fever, or
colds was associated with a small but significant increase in the risk of
dyspepsia relative to placebo. No serious GI complications were reported.
PMID- 21902289
TI - Tissue-selective estrogen complex bazedoxifene and conjugated estrogens for the
treatment of menopausal vasomotor symptoms.
AB - Menopause occurs on average at age 51.4 years. Most, but not all, women who
undergo menopause experience significant vasomotor symptoms (VMS). Although
single agent estrogen therapy can relieve VMS, over time estrogen can stimulate
the endometrial lining leading to an increased risk for endometrial hyperplasia
and adenocarcinoma. Although a progestin has traditionally been given in
combination with estrogen to 'protect' the endometrium, a new and innovative
approach to this traditional combination hormone therapy is to substitute the
progestin with an alternative agent. One such alternative agent is bazedoxifene,
an estrogen agonist-antagonist. Based on data from randomized trials, when
bazedoxifene is given in combination with oral conjugated estrogens to post
menopausal women, the risk of estrogen-associated endometrial stimulation is
significantly reduced. The combination of bazedoxifene and conjugated estrogens
has also been shown to relieve menopause-associated VMS and vaginal atrophy, and
has been shown to be safe for short-term use. Long-term studies of this
combination are needed to determine if the combination of conjugated
estrogens/bazedoxifene can be used for >3 years without increasing the risk of
breast cancer, stroke, cognitive deficit, pulmonary embolism or coronary heart
disease. Short-term data regarding this combination has been submitted to the FDA
and is currently under review for clinical use, with the relief of VMS as its
primary indication. Data regarding the effects of combination conjugated
estrogens/bazedoxifene therapy on bone are promising in terms of the prevention
and treatment of post-menopausal osteoporosis.
PMID- 21902286
TI - Clinical and experimental applications of sodium phenylbutyrate.
AB - Histone acetyltransferase and histone deacetylase are enzymes responsible for
histone acetylation and deacetylation, respectively, in which the histones are
acetylated and deacetylated on lysine residues in the N-terminal tail and on the
surface of the nucleosome core. These processes are considered the most important
epigenetic mechanisms for remodeling the chromatin structure and controlling the
gene expression. Histone acetylation is associated with gene activation. Sodium
phenylbutyrate is a histone deacetylase inhibitor that has been approved for
treatement of urea cycle disorders and is under investigation in cancer,
hemoglobinopathies, motor neuron diseases, and cystic fibrosis clinical trials.
Due to its characteristics, not only of histone deacetylase inhibitor, but also
of ammonia sink and chemical chaperone, the interest towards this molecule is
growing worldwide. This review aims to update the current literature, involving
the use of sodium phenylbutyrate in experimental studies and clinical trials.
PMID- 21902290
TI - Vaccination strategies in lymphomas and leukaemias: recent progress.
AB - The successful identification of a range of leukaemia-specific and lymphoma
specific antigens in recent years has stimulated efforts to develop therapeutic
vaccination strategies. A number of clinical trials have established the safety
and immunogenicity of vaccination against tumour antigens, although there are
limited data on the clinical efficacy of this approach in haematological
malignancies. After encouraging results of phase I/II trials using idiotype
vaccines in lymphoma, the outcome of the three phase III trials has been somewhat
disappointing. Several other promising strategies are currently being developed
to improve these results, including optimization of antigen delivery. In myeloid
leukaemias, clinical trials of vaccination with peptides derived from a number of
leukaemia antigens, including WT1, PR1, RHAMM and BCR-ABL, have shown evidence of
immunogenicity, but limited data are available on the clinical efficacy of this
approach. In this review, we focus on the results of clinical trials of
vaccination in leukaemia and lymphoma, and discuss potential strategies to
enhance the efficacy of immunotherapy in the future.
PMID- 21902291
TI - Glucagon-like peptide-1 analogues for Type 2 diabetes mellitus: current and
emerging agents.
AB - Novel therapeutic options for type 2 diabetes mellitus based on the action of the
incretin hormone glucagon-like peptide (GLP)-1 were introduced in 2005. As
injectable GLP-1 receptor agonists acting on the GLP-1 receptor, exenatide and
liraglutide are available in many countries. In type 2 diabetes treatment,
incretin-based therapies are attractive and more commonly used because of their
mechanism of action and safety profile. Stimulation of insulin secretion and
inhibition of glucagon secretion by these agents occur in a glucose-dependent
manner. Therefore, incretin-based therapies have no intrinsic risk for
hypoglycaemia. Furthermore, GLP-1 receptor agonists allow weight loss and lower
systolic blood pressure. This review gives a brief overview of the mechanism of
action and summarizes the clinical data available on exenatide and liraglutide as
established substances. It further highlights the clinical study data of
exenatide once weekly as the first long-acting GLP-1 receptor agonist and covers
other new long acting GLP-1 receptor agonists currently in clinical development.
The placement of GLP-1 receptor agonists in the treatment algorithm of type 2
diabetes is discussed.
PMID- 21902292
TI - Pharmacological modulation of arterial stiffness.
AB - Arterial stiffness has emerged as an important marker of cardiovascular risk in
various populations and reflects the cumulative effect of cardiovascular risk
factors on large arteries, which in turn is modulated by genetic background.
Arterial stiffness is determined by the composition of the arterial wall and the
arrangement of these components, and can be studied in humans non-invasively. Age
and distending pressure are two major factors influencing large artery stiffness.
Change in arterial stiffness with drugs is an important endpoint in clinical
trials, although evidence for arterial stiffness as a therapeutic target still
needs to be confirmed. Drugs that independently affect arterial stiffness include
antihypertensive drugs, mostly blockers of the renin-angiotensin-aldosterone
system, hormone replacement therapy and some antidiabetic drugs such as
glitazones. While the quest continues for 'de-stiffening drugs', so far only
advanced glycation endproduct cross-link breakers have shown promise.
PMID- 21902295
TI - Pirfenidone: in idiopathic pulmonary fibrosis.
AB - Pirfenidone is an orally administered pyridine that has orphan designation for
the treatment of mild to moderate idiopathic pulmonary fibrosis (IPF) in the EU.
Pirfenidone 2403 mg/day for 72 weeks administered to patients with IPF was
associated with a significantly lower mean decline in the percent predicted
forced vital capacity than placebo (primary endpoint) according to data from one
of two randomized, double-blind, multinational trials (studies 004 and 006 [also
known as the CAPACITY trials]), and data from a pooled analysis of both trials.
In another randomized, double-blind, multicentre Japanese trial, the adjusted
mean in the change in vital capacity from baseline to week 52 was significantly
lower in patients with IPF who received pirfenidone 1800 mg/day (considered to be
comparable to the 2403 mg/day dose in studies 004 and 006 on a weight-normalized
basis) than in those who received placebo (primary endpoint). Pirfenidone had an
acceptable tolerability profile in clinical trials, with most adverse events
being mild to moderate in severity.
PMID- 21902293
TI - Triple antiplatelet therapy in acute coronary syndromes.
AB - Heightened platelet activity plays a critical role in thrombus formation, which
is central to acute coronary syndromes (ACS), including non-ST-segment elevation
(NSTE)-ACS (comprising unstable angina pectoris and non-ST-segment elevation
myocardial infarction [NSTEMI]) and ST-segment elevation myocardial infarction
(STEMI), and has been implicated in poor clinical outcome. Platelets not only
impact coronary thrombus but are major contributors to microcirculatory
dysfunction and vascular inflammation. Efforts to inhibit platelet function,
including antiplatelet therapy, are paramount to the management of ACS; thus, a
growing recognition of the various pathways driving platelet activity has given
rise to the need for multiple agents that impart complimentary mechanisms of
action. While only inhibiting platelet activation will still allow for
aggregation, i.e. the binding of glycoprotein (GP) IIb/IIIa receptors to
fibrinogen, solely blocking aggregation may leave platelet-activating pathways
free to sustain the production and release of various pro-inflammatory and pro
thrombotic compounds. The benefit of 'triple antiplatelet therapy', referring to
the combination of aspirin, a thienopyridine or non-thienopyridine adenosine
diphosphate (ADP)/P2Y12 receptor blocker and a GPIIb/IIIa inhibitor (GPI), has
been demonstrated in patients with NSTE-ACS who ultimately undergo percutaneous
coronary intervention (PCI) and are determined to be at an elevated risk for
ischaemic events, and in patients undergoing primary PCI. It is therefore
recommended by the European Society of Cardiology (ESC) and American College of
Cardiology/American Heart Association. Furthermore, the rationale for adding a
GPI, particularly in patients with STEMI, is backed by studies that have shown
negligible effects of a 600 mg clopidogrel loading dose, despite being
administered 4 hours prior to PCI. Moreover, it has been observed that the
physiological state of STEMI may deem dual antiplatelet therapy ineffective,
because during an acute event the absorption of clopidogrel may be impaired.
Nonetheless, there is still considerable variability with respect to the use of
triple antiplatelet therapy such as that documented in the Euro Heart Survey. The
perception that the mortality benefit afforded by adding a GPI to dual oral
antiplatelet therapy does not outweigh the risk is a likely factor. This may be
fuelled by results of trials such as BRAVE-3, which, inconsistent with those for
On-TIME 2, failed to prove the value of adding a GPI to dual oral antiplatelet
therapy in patients with STEMI. Subsequent analyses have indeed demonstrated the
positive benefit-risk ratio associated with adding a GPI and determined that the
timing of GPI administration could have an impact on clinical outcome related to
its impact on infarct size in patients with STEMI. Additionally, it has been
presumed that a synergistic effect exists between P2Y12 inhibitors and GPIs.
Triple antiplatelet therapy has a significant role to play in the management of
patients with ACS managed with PCI. An understanding of patient risk status and
timing of symptoms and bleeding risk is crucial to patient selection and ensuring
that this therapy is optimized. Though no interaction has been noted in trials of
newer, more potent antiplatelet agents, future studies are key to determining the
role of this strategy in the era of these more potent agents.
PMID- 21902296
TI - Ustekinumab: a review of its use in the management of moderate to severe plaque
psoriasis.
AB - Ustekinumab (StelaraTM) is a human monoclonal antibody that binds to the p40
subunit common to both interleukin (IL)-12 and IL-23. It is indicated in the US
for use in adult patients with moderate to severe plaque psoriasis who are
candidates for phototherapy or systemic therapy. In the EU, it is indicated for
those who failed to respond to, have a contraindication to or are intolerant of
other systemic therapies or phototherapy. This article reviews the efficacy and
tolerability of ustekinumab in patients with moderate to severe plaque psoriasis,
as well as summarizing its pharmacological properties. Ustekinumab attenuates the
immune cell activation properties of IL-12 and IL-23. It interrupts the abnormal
activation of signalling and cytokine cascades that underlie the pathology of
psoriasis by reducing the expression of IL-12- and IL-23-induced cell surface
markers that mediate skin homing, activation and cytokine release. In well
designed, randomized clinical trials, regimens of subcutaneous ustekinumab 45 or
90 mg provided a rapid and durable improvement in psoriasis area severity index
(PASI) scores for patients with moderate to severe plaque psoriasis. A
significantly greater proportion of patients receiving ustekinuman 45 or 90 mg
compared with those receiving placebo achieved a >=75% improvement from baseline
in PASI score following 12 weeks' treatment (primary endpoint). Improvements in
PASI scores were evident following 2 weeks' treatment with ustekinumab and were
sustained for up to 3 years. Treatment with ustekinumab 45 or 90 mg also improved
health-related quality-of-life scores from baseline. Following 12 weeks'
treatment, ustekinumab 45 or 90 mg was more effective than etanercept 50 mg twice
weekly in providing symptomatic relief for patients with moderate to severe
plaque psoriasis. Furthermore, ustekinumab treatment provided effective
symptomatic improvement for almost half of the patients who showed no response to
12 weeks' treatment with etanercept. More limited data indicate that ustekinumab
also improves the symptoms of arthritis in patients with plaque psoriasis and
psoriatic arthritis. Subcutaneous ustekinumab was generally well tolerated in
clinical trials; most adverse events were mild in intensity and did not require
dosage adjustment. A pooled analysis of clinical trial data indicated no specific
patterns of infection for recipients of ustekinumab and that infection rates
remained stable following cumulative exposure to the agent. In conclusion,
subcutaneous ustekinumab provides an effective and well tolerated alternative for
the symptomatic treatment of patients with moderate to severe plaque psoriasis.
PMID- 21902297
TI - Eldecalcitol: a review of its use in the treatment of osteoporosis.
AB - Eldecalcitol (1alpha,25[OH](2)-2beta-(3-hydroxypropyloxy)vitamin D(3); ED-71;
Edirol(r)) is an orally administered analogue of active vitamin D (calcitriol)
that is available in Japan for the treatment of osteoporosis. Two randomized,
double-blind, multicentre trials were conducted in patients with osteoporosis. In
a placebo-controlled, dose-ranging trial, eldecalcitol significantly reduced
serum bone-specific alkaline phosphatase (BALP) and serum osteocalcin, markers of
bone formation, more than placebo. Eldecalcitol at a 1.0 MUg/day dosage, but not
at lower dosages, also significantly reduced urinary type I collagen N
telopeptide (NTX), a marker of bone resorption, more than placebo. In a
comparison with alfacalcidol (a prodrug of calcitriol), eldecalcitol produced
significantly greater reductions in serum BALP and urinary NTX, and had a
positive effect on CT markers of femoral biomechanical properties. In the
comparison with alfacalcidol, eldecalcitol 0.75 MUg/day significantly reduced the
3-year incidence of vertebral fractures, with an absolute risk reduction of 4.1%
over this period, representing a relative risk reduction of 26%. There was no
significant difference in the rate of non-vertebral fractures. In both trials,
eldecalcitol treatment was also associated with an increase in bone mineral
density, whereas patients who received the comparators generally had a reduction
in bone mineral density. Increases in blood calcium (to >2.6 mmol/L) and urinary
calcium (to >0.1 mmol/L glomerular filtrate) were the most clinically important
treatment-emergent adverse events. In the placebo-controlled, dose-ranging trial,
23% and 25% of patients in the eldecalcitol 1 MUg/day group had increased blood
and urinary calcium compared with 7% and 7%, 6% [corrected] and 9%, and 0% and
1.9% in the eldecalcitol 0.5 and 0.75 MUg/day, and placebo groups, respectively.
In the comparison with alfacalcidol, 21.0% and 13.5% of eldecalcitol 0.75 MUg/day
and alfacalcidol 1.0 MUg/day recipients had increased blood calcium, whereas
hypercalcaemia (defined as a serum calcium >2.9 mmol/L) occurred in 0.4% and
urolithiasis in 1.3% of eldecalcitol recipients over 36 months of treatment.
Eldecalcitol is an efficacious treatment for patients with osteoporosis that
should be further investigated in head-to-head trials with other recommended
first-line pharmacological treatments.
PMID- 21902299
TI - Crossover from adiabatic to antiadiabatic quantum pumping with dissipation.
AB - Quantum pumping, in its different forms, is attracting attention from different
fields, from fundamental quantum mechanics, to nanotechnology, to
superconductivity. We investigate the crossover of quantum pumping from the
adiabatic to the antiadiabatic regime in the presence of dissipation, and find
general and explicit analytical expressions for the pumped current in a minimal
model describing a system with the topology of a ring forced by a periodic
modulation of frequency omega. The solution allows following in a transparent way
the evolution of pumped dc current from much smaller to much larger omega values
than the other relevant energy scale, the energy splitting introduced by the
modulation. We find and characterize a temperature-dependent optimal value of the
frequency for which the pumped current is maximal.
PMID- 21902300
TI - Rydberg excitations in Bose-Einstein condensates in quasi-one-dimensional
potentials and optical lattices.
AB - We experimentally realize Rydberg excitations in Bose-Einstein condensates of
rubidium atoms loaded into quasi-one-dimensional traps and in optical lattices.
Our results for condensates expanded to different sizes in the one-dimensional
trap agree well with the intuitive picture of a chain of Rydberg excitations. We
also find that the Rydberg excitations in the optical lattice do not destroy the
phase coherence of the condensate, and our results in that system agree with the
picture of localized collective Rydberg excitations including nearest-neighbor
blockade.
PMID- 21902298
TI - Dasatinib: a review of its use in the treatment of chronic myeloid leukaemia and
Philadelphia chromosome-positive acute lymphoblastic leukaemia.
AB - Dasatinib (Sprycel(r)) is an orally administered small molecule inhibitor of
multiple tyrosine kinases, including BCR-ABL and SRC family kinases, which is
indicated for the treatment of adults with newly diagnosed chronic-phase chronic
myeloid leukaemia (CML), CML (chronic-, accelerated- or blast-phase) with
resistance or intolerance to prior therapy, including imatinib, or Philadelphia
chromosome-positive (Ph+) acute lymphoblastic leukaemia (ALL) with resistance or
intolerance to prior therapy. Dasatinib is ~325-fold more active than imatinib in
inhibiting wild-type ABL kinase in vitro and is active against a wide variety of
imatinib-resistant BCR-ABL mutants, except for T315I. This article reviews the
efficacy and tolerability of dasatinib in the treatment of patients with newly
diagnosed chronic-phase CML or imatinib-resistant or -intolerant CML or Ph+ ALL,
as well as summarizing its pharmacological properties. In clinical trials, oral
dasatinib was effective in achieving major or complete cytogenetic responses in
both newly diagnosed and imatinib-resistant or -intolerant chronic-phase CML.
Dasatinib was likewise effective in achieving major or overall haematological
responses in imatinib-resistant or -intolerant, accelerated- or blast-phase CML,
or Ph+ ALL. Responses were rapidly achieved within 1-3 months and were durable
over 1-5 years of follow-up. The majority of adverse events with dasatinib were
of mild to moderate severity. Fluid retention (including pleural effusion) was
the most common adverse event. Haematological abnormalities were common and
cytopenias were the most common grade 3/4 adverse events. Dasatinib 100 mg
administered once daily was as effective as dasatinib 70 mg administered twice
daily, and was better tolerated, being associated with lower incidences of
pleural effusion and grade 3/4 thrombocytopenia, in particular. Dasatinib was
more effective than high-dose imatinib in the treatment of patients with imatinib
resistant chronic-phase CML and was more effective than standard dosages of
imatinib, as well as being associated with less frequent fluid retention, in
patients with newly diagnosed chronic-phase CML. Dasatinib was generally equally
effective in patients with or without BCR-ABL mutations at baseline. Therefore,
oral dasatinib is a highly effective once-daily therapy for the first-line
treatment of newly diagnosed patients with chronic-phase CML, as well as for the
treatment of patients with imatinib-resistant or -intolerant chronic- and
advanced-phase CML or Ph+ ALL.
PMID- 21902301
TI - Nonequilibrium phase transition in a periodically driven XY spin chain.
AB - We present a general formulation of Floquet states of periodically time-dependent
open Markovian quasifree fermionic many-body systems in terms of a discrete
Lyapunov equation. Illustrating the technique, we analyze periodically kicked XY
spin-1/2 chain which is coupled to a pair of Lindblad reservoirs at its ends. A
complex phase diagram is reported with reentrant phases of long range and
exponentially decaying spin-spin correlations as some of the system's parameters
are varied. The structure of phase diagram is reproduced in terms of counting
nontrivial stationary points of Floquet quasiparticle dispersion relation.
PMID- 21902302
TI - Limit of spin squeezing in finite-temperature Bose-Einstein condensates.
AB - We show that, at finite temperature, the maximum spin squeezing achievable using
interactions in Bose-Einstein condensates has a finite limit when the atom number
N->infinity at fixed density and interaction strength. We calculate the limit of
the squeezing parameter for a spatially homogeneous system and show that it is
bounded from above by the initial noncondensed fraction.
PMID- 21902303
TI - Local realism of macroscopic correlations.
AB - We identify conditions under which correlations resulting from quantum
measurements performed on macroscopic systems (systems composed of a number of
particles of the order of the Avogadro number) can be described by local realism.
We argue that the emergence of local realism at the macroscopic level is caused
by an interplay between the monogamous nature of quantum correlations and the
fact that macroscopic measurements do not reveal properties of individual
particles.
PMID- 21902304
TI - Two-dimensional Rydberg gases and the quantum hard-squares model.
AB - We study a two-dimensional lattice gas of atoms that are photoexcited to Rydberg
states in which they interact via the van der Waals interaction. We explore the
regime of dominant nearest-neighbor interaction where this system is intimately
connected with a quantum version of Baxter's hard-squares model. We show that the
strongly correlated ground state of the Rydberg gas can be analytically described
by a projected entangled pair state that constitutes the ground state of the
quantum hard-squares model. This correspondence allows us to identify a phase
boundary where the Rydberg gas undergoes a transition from a disordered (liquid)
phase to an ordered (solid) phase.
PMID- 21902305
TI - Thermal states as universal resources for quantum computation with always-on
interactions.
AB - Measurement-based quantum computation utilizes an initial entangled resource
state and proceeds with subsequent single-qubit measurements. It is implicitly
assumed that the interactions between qubits can be switched off so that the
dynamics of the measured qubits do not affect the computation. By proposing a
model spin Hamiltonian, we demonstrate that measurement-based quantum computation
can be achieved on a thermal state with always-on interactions. Moreover,
computational errors induced by thermal fluctuations can be corrected and thus
the computation can be executed fault tolerantly if the temperature is below a
threshold value.
PMID- 21902306
TI - Cavity QED with magnetically coupled collective spin states.
AB - We report strong coupling between an ensemble of nitrogen-vacancy center electron
spins in diamond and a superconducting microwave coplanar waveguide resonator.
The characteristic scaling of the collective coupling strength with the square
root of the number of emitters is observed directly. Additionally, we measure
hyperfine coupling to (13)C nuclear spins, which is a first step towards a
nuclear ensemble quantum memory. Using the dispersive shift of the cavity
resonance frequency, we measure the relaxation time of the NV center at
millikelvin temperatures in a nondestructive way.
PMID- 21902307
TI - Improving free-energy estimates from unidirectional work measurements: theory and
experiment.
AB - We derive analytical expressions for the bias of the Jarzynski free-energy
estimator from N nonequilibrium work measurements, for a generic work
distribution. To achieve this, we map the estimator onto the random energy model
in a suitable scaling limit parametrized by (logN)/MU, where MU measures the
width of the lower tail of the work distribution, and then compute the finite-N
corrections to this limit with different approaches for different regimes of
(logN)/MU. We show that these expressions describe accurately the bias for a wide
class of work distributions and exploit them to build an improved free-energy
estimator from unidirectional work measurements. We apply the method to optical
tweezers unfolding and refolding experiments on DNA hairpins of varying loop size
and dissipation, displaying both near-Gaussian and non-Gaussian work
distributions.
PMID- 21902308
TI - Coherent Cherenkov radiation from cosmic-ray-induced air showers.
AB - Very energetic cosmic rays entering the atmosphere of Earth will create a plasma
cloud moving with almost the speed of light. The magnetic field of Earth induces
an electric current in this cloud which is responsible for the emission of
coherent electromagnetic radiation. We propose to search for a new effect:
Because of the index of refraction of air, this radiation is collimated in a
Cherenkov cone. To express the difference from usual Cherenkov radiation, i.e.,
the emission from a fast-moving electric charge, we call this magnetically
induced Cherenkov radiation. We indicate its signature and possible experimental
verification.
PMID- 21902309
TI - Quantum theory of fermion production after inflation.
AB - We show that quantum effects dramatically enhance the production of fermions
following preheating after inflation in the early Universe in the presence of
high excitations of bosonic quanta. As a consequence, fermions rapidly approach a
quasistationary distribution with a thermal occupancy in the infrared, while the
inflaton enters a turbulent scaling regime. The failure of standard semiclassical
descriptions based on the Dirac equation with a homogeneous background field is
caused by nonperturbatively high boson occupation numbers. During preheating the
inflaton occupation number increases, thus leading to a dynamical mechanism for
the enhanced production of fermions from the rescattering of the inflaton quanta.
We comment on related phenomena in heavy-ion collisions for the production of
quark matter fields from highly occupied gauge bosons.
PMID- 21902311
TI - General method for determining the masses of semi-invisibly decaying particles at
hadron colliders.
AB - We present a general solution to the long-standing problem of determining the
masses of pair-produced, semi-invisibly decaying particles at hadron colliders.
We define two new transverse kinematic variables M(CT)(?) and M(CT)(?), which are
suitable one-dimensional projections of the contransverse mass M(CT). We derive
analytical formulas for the boundaries of the kinematically allowed regions in
the (M(CT)(?),M(CT)(?)) and (M(CT)(?),M(CT)) parameter planes and introduce
suitable variables D(CT)(?) and D(CT) to measure the distance to those boundaries
on an event per event basis. We show that the masses can be reliably extracted
from the end-point measurements of M(CT)(?)(max) and D(CT)(min) (or
D(CT)(?)(min)). We illustrate our method with dilepton tt events at the LHC.
PMID- 21902310
TI - Pseudo-Goldstino in field theory.
AB - We consider two SUSY-breaking hidden sectors which decouple when their respective
couplings to the visible particles are switched off. In such a scenario one
expects to find two light fermions: the Goldstino and the pseudo-Goldstino. While
the former remains massless in the rigid limit, the latter becomes massive due to
radiative effects which we analyze from several different points of view. This
analysis is greatly facilitated by a version of the Goldberger-Treiman relation,
which allows us to write a universal nonperturbative formula for the mass. We
carry out the analysis in detail in the context of gauge mediation, where we find
that the pseudo-Goldstino mass is at least around the GeV scale and can be easily
at the electroweak range, even in low scale models. This leads to interesting and
unconventional possibilities in collider physics and it also has potential
applications in cosmology.
PMID- 21902312
TI - Top quark asymmetry and Wjj excess at CDF from gauged flavor symmetry.
AB - We show that the scalar sector needed for fermion mass generation when the flavor
symmetry of the standard model is maximally gauged can consistently explain two
anomalies reported recently by the CDF Collaboration-the forward-backward
asymmetry in tt pair production and the dijet invariant mass in the Wjj channel.
A pair of nearly degenerate scalar doublets with masses in the range 150-200 GeV
explain these anomalies, with additional scalars predicted in the mass range 100
400 GeV. Consistency of such low scale flavor physics with flavor-changing
processes is shown, and expectations for the LHC are outlined.
PMID- 21902313
TI - Nonzero theta13 for neutrino mixing in the context of A4 symmetry.
AB - In the original 2004 paper which first derived tribimaximal mixing in the context
of A(4), i.e., the non-Abelian finite symmetry group of the tetrahedron, as its
simplest application, it was also pointed out how theta(13) ? 0 may be
accommodated. On the strength of the new T2K result that 0.03(0.04) <=
sin(2)2theta(13) <= 0.28(0.34) for delta(CP) = 0 and normal (inverted) neutrino
mass hierarchy, we perform a more detailed analysis of how this original idea may
be realized in the context of A(4).
PMID- 21902314
TI - Probing gluonic spin-orbit correlations in photon pair production.
AB - We consider photon pair production in hadronic collisions at large mass and small
transverse momentum of the pair, assuming that factorization in terms of
transverse-momentum dependent parton distributions applies. The unpolarized cross
section is found to have azimuthal angular dependencies that are generated by a
gluonic version of the Boer-Mulders function. In addition, the single
transversely polarized cross section is sensitive to the gluon Sivers function.
We present simple numerical estimates for the Boer-Mulders and Sivers effects in
diphoton production at RHIC and find that the process would offer unique
opportunities for exploring transverse-momentum dependent gluon distributions.
PMID- 21902315
TI - Chiral two-body currents in nuclei: Gamow-Teller transitions and neutrinoless
double-beta decay.
AB - We show that chiral effective field theory (EFT) two-body currents provide
important contributions to the quenching of low-momentum-transfer Gamow-Teller
transitions, and use chiral EFT to predict the momentum-transfer dependence that
is probed in neutrinoless double-beta (0nubetabeta) decay. We then calculate for
the first time the 0nubetabeta decay operator based on chiral EFT currents and
study the nuclear matrix elements at successive orders. The contributions from
chiral two-body currents are significant and should be included in all
calculations.
PMID- 21902316
TI - Complete electric dipole response and the neutron skin in 208Pb.
AB - A benchmark experiment on (208)Pb shows that polarized proton inelastic
scattering at very forward angles including 0 degrees is a powerful tool for
high-resolution studies of electric dipole (E1) and spin magnetic dipole (M1)
modes in nuclei over a broad excitation energy range to test up-to-date nuclear
models. The extracted E1 polarizability leads to a neutron skin thickness r(skin)
= 0.156(-0.021)(+0.025) fm in (208)Pb derived within a mean-field model [Phys.
Rev. C 81, 051303 (2010)], thereby constraining the symmetry energy and its
density dependence relevant to the description of neutron stars.
PMID- 21902317
TI - Heavy-particle radioactivity of superheavy nuclei.
AB - The concept of heavy-particle radioactivity (HPR) is changed to allow emitted
particles with Z(e) > 28 from parents with Z > 110 and daughter around (208)Pb.
Calculations for superheavy (SH) nuclei with Z = 104-124 are showing a trend
toward shorter half-lives and larger branching ratio relative to alpha decay for
heavier SHs. It is possible to find regions in which HPR is stronger than alpha
decay. The new mass table AME11 and the theoretical KTUY05 and FRDM95 masses are
used to determine the released energy. For 124 we found isotopes with half-lives
in the range of ns to ps.
PMID- 21902318
TI - Measurement of the betabeta decay half-life of 130Te with the NEMO-3 detector.
AB - We report results from the NEMO-3 experiment based on an exposure of 1275 days
with 661 g of (130)Te in the form of enriched and natural tellurium foils. The
betabeta decay rate of (130)Te is found to be greater than zero with a
significance of 7.7 standard deviations and the half-life is measured to be
T(1/2)(2nu) = [7.0 +/- 0.9(stat) +/- 1.1(syst)] * 10(20) yr. This represents the
most precise measurement of this half-life yet published and the first real-time
observation of this decay.
PMID- 21902319
TI - Controlling the phase of a light beam with a single molecule.
AB - We employ heterodyne interferometry to investigate the effect of a single organic
molecule on the phase of a propagating laser beam. We report on the first phase
contrast images of individual molecules and demonstrate a single-molecule electro
optical phase switch by applying a voltage to the microelectrodes embedded in the
sample. Our results may find applications in single-molecule holography, fast
optical coherent signal processing, and single-emitter quantum operations.
PMID- 21902320
TI - Charge resonance enhanced ionization of CO2 probed by laser Coulomb explosion
imaging.
AB - The process by which a molecule in an intense laser field ionizes more
efficiently as its bond length increases towards a critical distance R(c) is
known as charge resonance enhanced ionization (CREI). We make a series of
measurements of this process for CO(2), by varying pulse duration from 7 to 200
fs, in order to identify the charge states and time scales involved. We find that
for the 4+ and higher charge states, 100 fs is the time scale required to reach
the critical geometry ~ 2.1 A and ~ 163 degrees
(equilibrium CO(2) geometry is ~ 1.16 A and ~ 172 degrees ).
The CO(2)(3+) molecule, however, appears always to begin dissociation from closer
than 1.7 A indicating that dynamics on charge states lower than 3+ is not
sufficient to initiate CREI. Finally, we make quantum ab initio calculations of
ionization rates for CO(2) and identify the electronic states responsible for
CREI.
PMID- 21902321
TI - Charge state dependent energy deposition by ion impact.
AB - We report on a measurement of craters in thin dielectric films formed by Xe(Q+)
(26 <= Q <= 44) projectiles. Tunnel junction devices with ion-irradiated barriers
were used to amplify the effect of charge-dependent cratering through the
exponential dependence of tunneling conductance on barrier thickness. Electrical
conductance of a crater sigma(c)(Q) increased by 4 orders of magnitude (7.9 * 10(
4) MUS to 6.1 MUS) as Q increased, corresponding to crater depths ranging from 2
to 11 A. By employing a heated spike model, we determine that the energy required
to produce the craters spans from 8 to 25 keV over the investigated charge
states. Considering energy from preequilibrium nuclear and electronic stopping as
well as neutralization, we find that at least (27 +/- 2)% of available projectile
neutralization energy is deposited into the thin film during impact.
PMID- 21902322
TI - Photon blockade effect in optomechanical systems.
AB - We analyze the photon statistics of a weakly driven optomechanical system and
discuss the effect of photon blockade under single-photon strong coupling
conditions. We present an intuitive interpretation of this effect in terms of
displaced oscillator states and derive analytic expressions for the cavity
excitation spectrum and the two-photon correlation function g(2)(0). Our results
predict the appearance of nonclassical photon correlations in the combined strong
coupling and sideband resolved regime and provide a first detailed understanding
of photon-photon interactions in strong coupling optomechanics.
PMID- 21902323
TI - Single-photon optomechanics.
AB - Optomechanics experiments are rapidly approaching the regime where the radiation
pressure of a single photon displaces the mechanical oscillator by more than its
zero-point uncertainty. We show that in this limit the power spectrum has
multiple sidebands and that the cavity response has several resonances in the
resolved-sideband limit. Using master-equation simulations, we also study the
crossover from the weak-coupling many-photon to the single-photon strong-coupling
regime. Finally, we find non-Gaussian steady states of the mechanical oscillator
when multiphoton transitions are resonant. Our study provides the tools to detect
and take advantage of this novel regime of optomechanics.
PMID- 21902324
TI - Octave spanning tunable frequency comb from a microresonator.
AB - We report the generation of an octave-spanning optical frequency comb in a
continuous wave laser pumped microresonator. The generated comb spectrum covers
the wavelength range from 990 to 2170 nm without relying on additional external
broadening. Continuous tunability of the generated frequency comb over more than
an entire free spectral range is demonstrated. Moreover, the linewidth of
individual optical comb components and its relation to the pump laser phase noise
is studied. The ability to derive octave-spanning spectra from microresonator
comb generators represents a key step towards f-2f self-referencing of
microresonator-based optical frequency combs.
PMID- 21902325
TI - Controlling the second harmonic in a phase-matched negative-index metamaterial.
AB - Nonlinear metamaterials have been predicted to support new and exciting domains
in the manipulation of light, including novel phase-matching schemes for wave
mixing. Most notable is the so-called nonlinear-optical mirror, in which a
nonlinear negative-index medium emits the generated frequency towards the source
of the pump. In this Letter, we experimentally demonstrate the nonlinear-optical
mirror effect in a bulk negative-index nonlinear metamaterial, along with two
other novel phase-matching configurations, utilizing periodic poling to switch
between the three phase-matching domains.
PMID- 21902326
TI - Ultraconfined interlaced plasmons.
AB - We describe a mesoscopic excitation in strongly coupled grids of metallic
nanorods, resulting from the hybridization of weakly bounded plasmons. It is
shown both theoretically and experimentally that the characteristic spatial scale
of the interlaced plasmons is determined by geometrical features, rather than
from the electrical length of the nanorods, and that due to their wide band
nature, weak sensitivity to metallic absorption, and subwavelength mode sizes,
such plasmons may have exciting applications in waveguiding in the nanoscale.
PMID- 21902327
TI - Raman lasing with a cold atom gain medium in a high-finesse optical cavity.
AB - We demonstrate a Raman laser using cold (87)Rb atoms as the gain medium in a high
finesse optical cavity. We observe robust continuous wave lasing in the atypical
regime where single atoms can considerably affect the cavity field. Consequently,
we discover unusual lasing threshold behavior in the system causing jumps in
lasing power, and propose a model to explain the effect. We also measure the
intermode laser linewidth, and observe values as low as 80 Hz. The tunable gain
properties of this laser suggest multiple directions for future research.
PMID- 21902328
TI - Acoustic resonators for far-field control of sound on a subwavelength scale.
AB - We prove experimentally that broadband sounds can be controlled and focused at
will on a subwavelength scale by using acoustic resonators. We demonstrate our
approach in the audible range with soda cans, that is, Helmholtz resonators, and
commercial computer speakers. We show that diffraction-limited sound fields
convert efficiently into subdiffraction modes in the collection of cans that can
be controlled coherently in order to obtain focal spots as thin as 1/25 of a
wavelength in air. We establish that subwavelength acoustic pressure spots are
responsible for a strong enhancement of the acoustic displacement at focus, which
permits us to conclude with a visual experiment exemplifying the interest of our
concept for subwavelength sensors and actuators.
PMID- 21902329
TI - Modal spectra extracted from nonequilibrium fluid patterns in laboratory
experiments on Rayleigh-Benard convection.
AB - We describe a method to extract from experimental data the important dynamical
modes in spatiotemporal patterns in a system driven out of thermodynamic
equilibrium. Using a novel optical technique for controlling fluid flow, we
create an experimental ensemble of Rayleigh-Benard convection patterns with
nearby initial conditions close to the onset of secondary instability. An
analysis of the ensemble evolution reveals the spatial structure of the dominant
modes of the system as well as the corresponding growth rates. The extracted
modes are related to localized versions of instabilities found in the ideal
unbounded system. The approach may prove useful in describing instability in
experimental systems as a step toward prediction and control.
PMID- 21902330
TI - Super-Alfvenic propagation of substorm reconnection signatures and Poynting flux.
AB - The propagation of reconnection signatures and their associated energy are
examined using kinetic particle-in-cell simulations and Cluster satellite
observations. It is found that the quadrupolar out-of-plane magnetic field near
the separatrices is associated with a kinetic Alfven wave. For magnetotail
parameters, the parallel propagation of this wave is super-Alfvenic (V(?) ~ 1500
5500 km/s) and generates substantial Poynting flux (S ~ 10(-5)-10(-4) W/m(2))
consistent with Cluster observations of magnetic reconnection. This Poynting flux
substantially exceeds that due to frozen-in ion bulk outflows and is sufficient
to generate white light aurora in Earth's ionosphere.
PMID- 21902331
TI - Rapid breakdown mechanisms of open air nanosecond dielectric barrier discharges.
AB - The discharge initiation mechanism of nanosecond dielectric barrier discharges in
open air has been clarified with time-dependent measurement of the discharge
electric field by electric-field-induced coherent Raman scattering and optical
emission. Our experimental observations have revealed that, in the prebreakdown
phase of a nanosecond dielectric barrier discharge, the externally applied fast
rising electric field is strongly enhanced near the cathode due to large
accumulation of space charge, which then strongly enhances ionization near the
cathode. Once a sufficiently large number of ionizations take place, the location
of peak ionization forms a front and propagates toward the cathode with strong
optical emission, which establishes the discharge. This process is essentially
different from the well-known Townsend mechanism for slower discharges.
PMID- 21902332
TI - Control of energy spread and dark current in proton and ion beams generated in
high-contrast laser solid interactions.
AB - By using temporal pulse shaping of high-contrast, short pulse laser interactions
with solid density targets at intensities of 2 * 10(21) W cm(-2) at a 45 degrees
incident angle, we show that it is possible to reproducibly generate
quasimonoenergetic proton and ion energy spectra. The presence of a short pulse
prepulse 33 ps prior to the main pulse produced proton spectra with an energy
spread between 25% and 60% (DeltaE/E) with energy of several MeV, with light ions
becoming quasimonoenergetic for 50 nm targets. When the prepulse was removed, the
energy spectra was broad. Numerical simulations suggest that expansion of the
rear-side contaminant layer allowed for density conditions that prevented the
protons from being screened from the sheath field, thus providing a low energy
cutoff in the observed spectra normal to the target surface.
PMID- 21902333
TI - Magnetically guided fast electrons in cylindrically compressed matter.
AB - Fast electrons produced by a 10 ps, 160 J laser pulse through laser-compressed
plastic cylinders are studied experimentally and numerically in the context of
fast ignition. K(alpha)-emission images reveal a collimated or scattered electron
beam depending on the initial density and the compression timing. A numerical
transport model shows that implosion-driven electrical resistivity gradients
induce strong magnetic fields able to guide the electrons. The good agreement
with measured beam sizes provides the first experimental evidence for fast
electron magnetic collimation in laser-compressed matter.
PMID- 21902334
TI - Anisotropic ion heating and tail generation during tearing mode magnetic
reconnection in a high-temperature plasma.
AB - Complementary measurements of ion energy distributions in a magnetically confined
high-temperature plasma show that magnetic reconnection results in both
anisotropic ion heating and the generation of suprathermal ions. The anisotropy,
observed in the C(+6) impurity ions, is such that the temperature perpendicular
to the magnetic field is larger than the temperature parallel to the magnetic
field. The suprathermal tail appears in the majority ion distribution and is well
described by a power law to energies 10 times the thermal energy. These
observations may offer insight into the energization process.
PMID- 21902335
TI - Bose-Einstein condensate in a honeycomb optical lattice: fingerprint of
superfluidity at the Dirac point.
AB - Mean-field Bloch bands of a Bose-Einstein condensate in a honeycomb optical
lattice are computed. We find that the topological structure of the Bloch bands
at the Dirac point is changed completely by atomic interaction of arbitrary small
strength: the Dirac point is extended into a closed curve and an intersecting
tube structure arises around the original Dirac point. These tubed Bloch bands
are caused by the superfluidity of the system. Furthermore, they imply the
inadequacy of the tight-binding model to describe an interacting Boson system
around the Dirac point and the breakdown of adiabaticity by interaction of
arbitrary small strength.
PMID- 21902336
TI - Simultaneous measurements of the torsional oscillator anomaly and thermal
conductivity in solid 4He.
AB - In these torsional oscillator experiments, the samples of solid (4)He were
characterized by measuring their thermal conductivity. Polycrystalline samples of
helium of either high isotopic purity or natural concentration of (3)He were
grown in an annular container by the blocked-capillary method and investigated
before and after annealing. No correlation has been found between the magnitude
of the low-temperature shift of the torsional oscillator frequency and the amount
of crystalline defects as measured by the thermal conductivity. In samples with
the natural (3)He concentration, a substantial excess thermal conductivity over
the usual T(3) dependence was observed below 120 mK.
PMID- 21902337
TI - Roton-roton crossover in strongly correlated dipolar Bose-Einstein condensates.
AB - We study the pair correlations and excitations of a dipolar Bose gas layer. The
anisotropy of the dipole-dipole interaction allows us to tune the strength of
pair correlations from strong to weak perpendicular and weak to strong parallel
to the layer by increasing the perpendicular trap frequency. This change is
accompanied by a roton-roton crossover in the spectrum of collective excitations,
from a roton caused by the head-to-tail attraction of dipoles to a roton caused
by the side-by-side repulsion, while there is no roton excitation for
intermediate trap frequencies. We discuss the nature of these two kinds of rotons
and the relation to instabilities of dipolar Bose gases. In both regimes of trap
frequencies where rotons occur, we observe strong damping of collective
excitations by decay into two rotons.
PMID- 21902338
TI - Selective alignment of carbon nanotubes on sapphire surfaces: bond formation
between nanotubes and substrates.
AB - We present our first-principles total-energy calculations performed for carbon
nanotubes (CNTs) on sapphire substrates. We find that the formation of covalent
and partly ionic bonds between Al and C atoms on the Al-rich surfaces causes the
selective alignment of CNTs, this being the principal reason for the CNT growth
along particular crystallographic directions. We also find that the van der Waals
interaction which is important on the stoichiometric surfaces produces no
directional preference. The characteristic features in the electron states of the
CNT on the substrate are clarified.
PMID- 21902339
TI - Low-energy termination of graphene edges via the formation of narrow nanotubes.
AB - We demonstrate that free graphene sheet edges can curl back on themselves,
reconstructing as nanotubes. This results in lower formation energies than any
other nonfunctionalized edge structure reported to date in the literature. We
determine the critical tube size and formation barrier and compare with density
functional simulations of other edge terminations including a new reconstructed
Klein edge. Simulated high resolution electron microscopy images show why such
rolled edges may be difficult to detect. Rolled zigzag edges serve as metallic
conduction channels, separated from the neighboring bulk graphene by a chain of
insulating sp(3)-carbon atoms, and introduce van Hove singularities into the
graphene density of states.
PMID- 21902340
TI - Inference and phase transitions in the detection of modules in sparse networks.
AB - We present an asymptotically exact analysis of the problem of detecting
communities in sparse random networks generated by stochastic block models. Using
the cavity method of statistical physics and its relationship to belief
propagation, we unveil a phase transition from a regime where we can infer the
correct group assignments of the nodes to one where these groups are
undetectable. Our approach yields an optimal inference algorithm for detecting
modules, including both assortative and disassortative functional modules,
assessing their significance, and learning the parameters of the underlying block
model. Our algorithm is scalable and applicable to real-world networks, as long
as they are well described by the block model.
PMID- 21902341
TI - Glass transitions in quasi-two-dimensional suspensions of colloidal ellipsoids.
AB - We observed a two-step glass transition in monolayers of colloidal ellipsoids by
video microscopy. The glass transition in the rotational degree of freedom was at
a lower density than that in the translational degree of freedom. Between the two
transitions, ellipsoids formed an orientational glass. Approaching the respective
glass transitions, the rotational and translational fastest-moving particles in
the supercooled liquid moved cooperatively and formed clusters with power-law
size distributions. The mean cluster sizes diverge in power law as they approach
the glass transitions. The clusters of translational and rotational fastest
moving ellipsoids formed mainly within pseudonematic domains and around the
domain boundaries, respectively.
PMID- 21902342
TI - Dynamical correlation length and relaxation processes in a glass former.
AB - We investigate the relaxation process and the dynamical heterogeneities of the
kinetically constrained Kob-Andersen lattice glass model and show that these are
characterized by different time scales. The dynamics is well described within the
diffusing defect paradigm, which suggests that we relate the relaxation process
to a reverse-percolation transition. This allows for a geometrical interpretation
of the relaxation process and of the different time scales.
PMID- 21902343
TI - Experimental verification of rapid, sporadic particle motions by direct imaging
of glassy colloidal systems.
AB - We analyze data from confocal microscopy experiments of a colloidal suspension to
validate predictions of rapid sporadic events responsible for structural
relaxation in a glassy sample. The trajectories of several thousand colloidal
particles are analyzed, confirming the existence of such rapid events responsible
for the structural relaxation of significant regions of the sample, and
complementing prior observations of dynamical heterogeneity. Thus, our results
provide the first direct experimental verification of the emergence of relatively
compact clusters of mobility which allow the dynamics to transition between the
large periods of local confinement within its potential energy surface, in good
agreement with the picture envisioned long ago by Adam and Gibbs and Goldstein.
PMID- 21902344
TI - Anharmonicity and quantum effects in thermal expansion of an Invar alloy.
AB - We have investigated the anharmonicity and quantum effects in the Invar alloy
Fe(64.6)Ni(35.4) that shows anomalously small thermal expansion. We have
performed Fe and Ni K-edge extended x-ray-absorption fine-structure spectroscopic
measurements and the computational simulations based on the path-integral
effective-classical-potential theory. The first nearest-neighbor (NN) shells
around Fe show almost no thermal expansion, while those around Ni exhibit
meaningful but smaller expansion than that of fcc Ni. At low temperature, the
quantum effect is found to play an essentially important role, which is confirmed
by comparing the quantum-mechanical simulations to the classical ones. The
anharmonicity (asymmetric distribution) clearly exists for all the first NN
shells as in normal thermal expansion systems, implying the breakdown of the
direct correspondence between thermal expansion and anharmonicity.
PMID- 21902345
TI - Defects in heavy-fermion materials: unveiling strong correlations in real space.
AB - Defects provide important insight into the complex electronic and magnetic
structure of heavy-fermion materials by inducing qualitatively different real
space perturbations in the electronic and magnetic correlations of the system.
These perturbations possess direct experimental signatures in the local density
of states, such as an impurity bound state, and the nonlocal spin susceptibility.
Moreover, highly nonlinear quantum interference between defect-induced
perturbations can drive the system through a first-order phase transition to a
novel inhomogeneous ground state.
PMID- 21902346
TI - Numerical study of the localization length critical index in a network model of
plateau-plateau transitions in the quantum Hall effect.
AB - We calculate numerically the localization length critical index within the
Chalker-Coddington model of the plateau-plateau transitions in the quantum Hall
effect. We report a finite-size scaling analysis using both the traditional power
law corrections to the scaling function and the inverse logarithmic ones, which
provided a more stable fit resulting in the localization length critical index nu
= 2.616 +/- 0.014. We observe an increase of the critical exponent nu with the
system size, which is possibly the origin of discrepancies with early results
obtained for smaller systems.
PMID- 21902347
TI - Photoinduced phase transitions by time-resolved far-infrared spectroscopy in
V2O3.
AB - Using time-resolved far-infrared spectroscopy, we observe multiple routes for
photoinduced phase transitions in V(2)O(3). This includes (i) a photothermal
antiferromagnetic to paramagnetic transition and (ii) an incipient strain
generated paramagnetic metal to paramagnetic insulator transition, which
manifests as coherent oscillations in the far-infrared conductivity. The ~100 ps
conductivity oscillation results from coherent acoustic phonon modulation of the
bandwidth W. Our results indicate that poor metals are particularly amenable to
coherent strain control of their electronic properties.
PMID- 21902348
TI - Determination of the Co valence in bilayer hydrated superconducting NaxCoO2 .
yH2O by soft x-ray absorption spectroscopy.
AB - We addressed the so-far unresolved issue concerning the Co valence in the
superconducting bilayer hydrated Na(x)CoO(2) . yH(2)O (x~0.35, y~1.3) using soft
x-ray absorption spectroscopy at the Co-L(2,3) and O-K edges. We find that the
valence state of the Co lies in a narrow range from +3.3 to +3.4 for all studied
Na(x)CoO(2) . yH(2)O samples and their deuterated analogue with T(c)'s ranging
from 3.8 to 4.7 K. These valence values are far from the often claimed +3.7, the
number based on the Na content only. We propose to modify the phase diagram
accordingly, where the basic electronic structure of the superconducting phase is
very close to that of the Na(0.7)CoO(2) system, suggesting that the presence of
in-plane spin fluctuations could play an important role for the
superconductivity.
PMID- 21902349
TI - Room temperature ultralow threshold GaN nanowire polariton laser.
AB - We report ultralow threshold polariton lasing from a single GaN nanowire strongly
coupled to a large-area dielectric microcavity. The threshold carrier density is
3 orders of magnitude lower than that of photon lasing observed in the same
device, and 2 orders of magnitude lower than any existing room-temperature
polariton devices. Spectral, polarization, and coherence properties of the
emission were measured to confirm polariton lasing.
PMID- 21902350
TI - Hall-effect measurements probing the degree of charge-carrier delocalization in
solution-processed crystalline molecular semiconductors.
AB - Intramolecular structure and intermolecular packing in crystalline molecular
semiconductors should have profound effects on the charge-carrier wave function,
but simple drift mobility measurements are not very sensitive to this. Here we
show that differences in the Hall resistance of two soluble pentacene derivatives
can be explained with different degrees of carrier delocalization being limited
by thermal lattice fluctuations. A combination of Hall measurements, optical
spectroscopy, and theoretical simulations provides a powerful probe of structure
property relationships at a molecular level.
PMID- 21902351
TI - Time-reversal-symmetry-broken quantum spin Hall effect.
AB - The quantum spin Hall (QSH) state of matter is usually considered to be protected
by time-reversal (TR) symmetry. We investigate the fate of the QSH effect in the
presence of the Rashba spin-orbit coupling and an exchange field, which break
both inversion and TR symmetries. It is found that the QSH state characterized by
nonzero spin Chern numbers C(+/-) = +/-1 persists when the TR symmetry is broken.
A topological phase transition from the TR-symmetry-broken QSH phase to a quantum
anomalous Hall phase occurs at a critical exchange field, where the bulk band gap
just closes. It is also shown that the transition from the TR-symmetry-broken QSH
phase to an ordinary insulator state cannot happen without closing the band gap.
PMID- 21902352
TI - Ab initio calculation of the Gilbert damping parameter via the linear response
formalism.
AB - A Kubo-Greenwood-like equation for the Gilbert damping parameter alpha is
presented that is based on the linear response formalism. Its implementation
using the fully relativistic Korringa-Kohn-Rostoker band structure method in
combination with coherent potential approximation alloy theory allows it to be
applied to a wide range of situations. This is demonstrated with results obtained
for the bcc alloy system Fe(1-x)Co(x) as well as for a series of alloys of
Permalloy with 5d transition metals. To account for the thermal displacements of
atoms as a scattering mechanism, an alloy-analogy model is introduced. The
corresponding calculations for Ni correctly describe the rapid change of alpha
when small amounts of substitutional Cu are introduced.
PMID- 21902353
TI - Spin pumping at the magnetic insulator (YIG)/normal metal (Au) interfaces.
AB - Spin injection across the ferrimagnetic insulator (YIG)/normal metal (Au)
interface was studied by ferromagnetic resonance. The spin mixing conductance was
determined by comparing the Gilbert damping in bare YIG films with those covered
by a Au/Fe/Au structure. The Fe layer in Au/Fe/Au acted as a spin sink as
displayed by an increased Gilbert damping parameter alpha compared to that in the
bare YIG. In particular, for the 9.0 nm YIG/2.0 nm Au/4.3 nm Fe/6.1 nm Au
structure, the YIG and Fe films were coupled by an interlayer exchange coupling,
and the exchange coupled YIG exhibited an increased Gilbert damping compared to
the bare YIG. This relationship between static and dynamic coupling provides
direct evidence for spin pumping. The transfer of spin momentum across the YIG
interface is surprisingly efficient with the spin mixing conductance g(??) ? 1.2
* 10(14) cm(-2).
PMID- 21902354
TI - Validity of the Einstein relation in disordered organic semiconductors.
AB - It is controversial whether energetic disorder in semiconductors is already
sufficient to violate the classical Einstein relation, even in the case of
thermal equilibrium. We demonstrate that the Einstein relation is violated only
under nonequilibrium conditions due to deeply trapped carriers, as in diffusion
driven current measurements on organic single-carrier diodes. Removal of these
deeply trapped carriers by recombination unambiguously proves the validity of the
Einstein relation in disordered semiconductors in thermal (quasi)equilibrium.
PMID- 21902355
TI - Possible lattice distortions in the hubbard model for graphene.
AB - The Hubbard model on the honeycomb lattice is a well-known model for graphene.
Equally well known is the Peierls type of instability of the lattice bond
lengths. In the context of these two approximations we ask and answer the
question of the possible lattice distortions for graphene in zero magnetic field.
The answer is that in the thermodynamic limit only periodic, reflection-symmetric
distortions are allowed and these have at most 6 atoms per unit cell as compared
to two atoms for the undistorted lattice.
PMID- 21902356
TI - Electronic versus lattice match for metal-semiconductor epitaxial growth: Pb on
Ge(111).
AB - Lattice match is important for epitaxial growth. We show that a competing
mechanism, electronic match, can dominate at small film thicknesses for metal
semiconductor systems, where quantum confinement and symmetry requirements may
favor a different growth pattern. For Pb(111) on Ge(111), an accidental lattice
match leads to a ?3 * ?3 configuration involving a 30 degrees in-plane rotation
at large film thicknesses, but it gives way to an incommensurate (1 * 1)
configuration at small film thickness. The transformation follows an
approximately inverse-film-thickness dependence with superimposed bilayer
oscillations.
PMID- 21902357
TI - Lifshitz transition across the Ag/Cu(111) superlattice band gap tuned by
interface doping.
AB - The two-dimensional, free-electron-like band structure of noble metal surfaces
can be radically transformed by appropriate nanostructuration. A case example is
the triangular dislocation network that characterizes the epitaxial Ag/Cu(111)
system, which exhibits a highly featured band topology with a full band gap above
E(F) and a hole-pocket-like Fermi surface. Here we show that controlled doping of
the Ag/Cu(111) interface with Au allows one to observe a complete Lifshitz
transition at 300 K; i.e., the hole pockets fill up, the band gap entirely shifts
across E(F), and the Fermi surface becomes electron-pocket-like.
PMID- 21902358
TI - Observation of nonconventional spin waves in composite-fermion ferromagnets.
AB - We find unexpected low energy excitations of fully spin-polarized composite
fermion ferromagnets in the fractional quantum Hall liquid, resulting from a
complex interplay between a topological order manifesting through new energy
levels and a magnetic order due to spin polarization. The lowest energy modes,
which involve spin reversal, are remarkable in displaying unconventional negative
dispersion at small momenta followed by a deep roton minimum at larger momenta.
This behavior results from a nontrivial mixing of spin-wave and spin-flip modes
creating a spin-flip excitonic state of composite-fermion particle-hole pairs.
The striking properties of spin-flip excitons imply highly tunable mode couplings
that enable fine control of topological states of itinerant two-dimensional
ferromagnets.
PMID- 21902359
TI - Measurements of the anisotropic in-plane resistivity of underdoped FeAs-based
pnictide superconductors.
AB - We systematically investigated the in-plane resistivity anisotropy of electron
underdoped EuFe(2-x)Co(x)As(2) and BaFe(2-x)Co(x)As(2) and hole-underdoped Ba(1
x)K(x)Fe(2)As(2). Large in-plane resistivity anisotropy was found in the former
samples, while tiny in-plane resistivity anisotropy was detected in the latter
ones. When it is detected, the anisotropy starts above the structural transition
temperature and increases smoothly through it. As the temperature is lowered
further, the anisotropy takes a dramatic enhancement through the magnetic
transition temperature. We found that the anisotropy is universally tied to the
presence of T-linear behavior of resistivity. Our results demonstrate that the
nematic state is caused by electronic degrees of freedom, and the microscopic
orbital involvement in the magnetically ordered state must be fundamentally
different between the hole- and electron-doped materials.
PMID- 21902360
TI - Optical nonreciprocity in magnetic structures related to high-Tc superconductors.
AB - Rotation of the plane of polarization of reflected light (Kerr effect) is a
direct manifestation of broken time-reversal symmetry and is generally associated
with the appearance of a ferromagnetic moment. Here I identify magnetic
structures that may arise within the unit cell of cuprate superconductors that
generate polarization rotation despite the absence of a net moment. For these
magnetic symmetries the Kerr effect is mediated by magnetoelectric coupling,
which can arise when antiferromagnetic order breaks inversion symmetry. The
structures identified are candidates for a time-reversal breaking phase in the
pseudogap regime of the cuprates.
PMID- 21902361
TI - Origin of excess low-energy states in a disordered superconductor in a Zeeman
field.
AB - Tunneling density of states measurements of disordered superconducting Al films
in high Zeeman fields reveal a significant population of subgap states which
cannot be explained by standard BCS theory. We provide a natural explanation of
these excess states in terms of a novel disordered Larkin-Ovchinnikov phase that
occurs near the spin-paramagnetic transition at the Chandrasekhar-Clogston
critical field. The disordered Larkin-Ovchinnikov superconductor is characterized
by a pairing amplitude that changes sign at domain walls. These domain walls
carry magnetization and support Andreev bound states that lead to distinct
spectral signatures at low energy.
PMID- 21902362
TI - Quantum phase diffusion in a small underdamped Josephson junction.
AB - Quantum phase diffusion in a small underdamped Nb/AlO(x)/Nb junction (~0.4
MUm(2)) is demonstrated in a wide temperature range of 25-140 mK where
macroscopic quantum tunneling (MQT) is the dominant escape mechanism. We propose
a two-step transition model to describe the switching process in which the escape
rate out of the potential well and the transition rate from phase diffusion to
the running state are considered. The transition rate extracted from the
experimental switching current distribution follows the predicted Arrhenius law
in the thermal regime but is greatly enhanced when MQT becomes dominant.
PMID- 21902363
TI - Universality classes of magnetic domain wall motion.
AB - We examine magnetic domain wall motion in metallic nanowires Pt-Co-Pt. Regardless
of whether the motion is driven by either magnetic fields or current, all
experimental data fall onto a single universal curve in the creep regime,
implying that both the motions belong to the same universality class. This result
is in contrast to the report on magnetic semiconductor (Ga,Mn)As exhibiting two
different universality classes. Our finding signals the possible existence of yet
other universality classes which go beyond the present understanding of the
statistical mechanics of driven interfaces.
PMID- 21902364
TI - Entanglement entropy of critical spin liquids.
AB - Quantum spin liquids are phases of matter whose internal structure is not
captured by a local order parameter. Particularly intriguing are critical spin
liquids, where strongly interacting excitations control low energy properties.
Here we calculate their bipartite entanglement entropy that characterizes their
quantum structure. In particular we calculate the Renyi entropy S(2) on model
wave functions obtained by Gutzwiller projection of a Fermi sea. Although the
wave functions are not sign positive, S(2) can be calculated on relatively large
systems (>324 spins) using the variational Monte Carlo technique. On the
triangular lattice we find that entanglement entropy of the projected Fermi sea
state violates the boundary law, with S(2) enhanced by a logarithmic factor. This
is an unusual result for a bosonic wave function reflecting the presence of
emergent fermions. These techniques can be extended to study a wide class of
other phases.
PMID- 21902365
TI - Giant effect of uniaxial pressure on magnetic domain populations in multiferroic
bismuth ferrite.
AB - Neutron diffraction is used to show that small (~7 MPa, or 70 bar) uniaxial
pressure produces significant changes in the populations of magnetic domains in a
single crystal of 2% Nd-doped bismuth ferrite. The magnetic easy plane of the
domains converted by the pressure is rotated 60 degrees relative to its original
position. These results demonstrate extreme sensitivity of the magnetic
properties of multiferroic bismuth ferrite to tiny (less than 10(-4)) elastic
strain, as well as weakness of the forces pinning the domain walls between the
cycloidal magnetic domains in this material.
PMID- 21902366
TI - Strong correlation of electronic and lattice excitations in GaAs/AlGaAs
semiconductor quantum wells revealed by two-dimensional terahertz spectroscopy.
AB - Coulomb-mediated interactions between intersubband excitations of electrons in
GaAs/AlGaAs double quantum wells and longitudinal optical phonons are studied by
two-dimensional spectroscopy in the terahertz frequency range. The multitude of
diagonal and off-diagonal peaks in the 2D spectrum gives evidence of strong
polaronic signatures in the nonlinear response. A quantitative theoretical
analysis reveals a dipole coupling of electrons to the polar lattice that is much
stronger than in bulk GaAs, due to a dynamic localization of the electron wave
function by scattering processes.
PMID- 21902367
TI - Spin-polarized Mn2+ emission from Mn-doped colloidal nanocrystals.
AB - We report magnetophotoluminescence studies of strongly quantum-confined 0D
diluted magnetic semiconductors (DMS), realized in Mn(2+)-doped ZnSe/CdSe core
shell colloidal nanocrystals. In marked contrast to their 3D (bulk), 2D (quantum
well), 1D (quantum wire), and 0D (self-assembled quantum dot) DMS counterparts,
the ubiquitous yellow emission band from internal d-d ((4)T(1)->(6)A(1))
transitions of the Mn(2+) ions in these nanocrystals is not suppressed in applied
magnetic fields and does become circularly polarized. This polarization tracks
the Mn(2+) magnetization, and is accompanied by a sizable energy splitting
between right- and left-circular emission components that scales with the exciton
Mn sp-d coupling strength (which, in turn, is tunable with nanocrystal size).
These data highlight the influence of strong quantum confinement on both the
excitation and the emission mechanisms of magnetic ions in DMS nanomaterials.
PMID- 21902368
TI - Coupled magnetic-ferroelectric metal-insulator transition in epitaxially strained
SrCoO3 from first principles.
AB - First-principles calculations are presented for the epitaxial-strain dependence
of the ground-state phase stability of perovskite SrCoO(3). Through the
combination of the large spin-phonon coupling with polarization-strain coupling
and the coupling of the band gap to the polar distortion, both tensile and
compressive epitaxial strain are seen to drive the bulk ferromagnetic-metallic
(FM-M) phase to antiferromagnetic-insulating-ferroelectric (AFM-I-FE) phases, the
latter having unusually low elastic energy. For compressive strain, there is a
single coupled magnetic-ferroelectric metal-insulator transition. At this phase
boundary, cross responses to applied electric and magnetic fields and stresses
are expected. In particular, a magnetic field or compressive uniaxial stress
applied to the AFM-FE(z) phase could induce an insulator-metal transition, and an
electric field applied to the FM-M phase could induce a metal-insulator
transition.
PMID- 21902369
TI - Structure conserving correlation and the Kohlrausch-Williams-Watts decay of the
incoherent intermediate scattering function in simulated Ni(0.5)Zr(0.5) melt.
AB - Results are presented about the origin of the Kohlrausch-Williams-Watts decay of
the incoherent intermediate scattering function (ISF) in molecular dynamics
simulated liquid Ni(0.5)Zr(0.5). By the concept of weakly effective particles
(WEPs), we establish an interrelationship between ISF and particle dynamics.
Temporal correlations in the action of WEPs act structure conserving, reflecting
that immobile particles tend to remain immobile. Analysis of the related
correlation function yields that these correlations account quantitatively for
the stretched exponential like decay.
PMID- 21902370
TI - Translocation of DNA molecules through nanopores with salt gradients: the role of
osmotic flow.
AB - Recent experiments of translocation of double-stranded DNA through nanopores [M.
Wanunu et al., Nature Nanotech. 5, 160 (2009)] reveal that the DNA capture rate
can be significantly influenced by a salt gradient across the pore. We show that
osmotic flow combined with electrophoretic effects can quantitatively explain the
experimental data on the salt-gradient dependence of the capture rate.
PMID- 21902371
TI - Thermomechanics of DNA: theory of thermal stability under load.
AB - A theory for thermomechanical behavior of homogeneous DNA at thermal equilibrium
predicts critical temperatures for denaturation under torque and stretch, phase
diagrams for stable B-DNA, supercoiling, optimally stable torque, and the
overstretching transition as force-induced DNA melting. Agreement with available
single molecule manipulation experiments is excellent.
PMID- 21902372
TI - Dynamics of DNA replication in yeast.
AB - We present a mathematical model for the spatial dynamics of DNA replication.
Using this model we determine the probability distribution for the time at which
each chromosomal position is replicated. From this we show, contrary to previous
reports, that mean replication time curves cannot be used to directly determine
origin parameters. We demonstrate that the stochastic nature of replication
dynamics leaves a clear signature in experimentally measured population average
data, and we show that the width of the activation time probability distribution
can be inferred from this data. Our results compare favorably with experimental
measurements in Saccharomyces cerevisae.
PMID- 21902373
TI - Adhesive emulsion bilayers under an electric field: from unzipping to fusion.
AB - Water-in-oil emulsion drops are formed and stabilized with phospholipids which
can adhere and form a bilayer. Using microfluidics, adhesive drop pairs are then
trapped and submitted to an ac electric field. We observe three distinct states
as a function of the adhesion energy and the electric field intensity. The pair
can be either stable, though slightly deformed, or unzip and separate, or
coalesce. The frontiers between the different states directly reflect vesicle
detachment forces and electroporation theories. The experimental approach that we
propose for probing liquid interface wetting between monolayers allows us to
finely tuned the tension in the bilayer and gives access to bilayer unzipping.
PMID- 21902374
TI - Cluster crystals under shear.
AB - We show that a distinct class of colloidal crystals, which consist of mutually
overlapping particles, has a novel and universal response to steady shear. After
a shear-banding regime at low shear rates, strings parallel to the flow direction
form as shear grows, which order on a hexagonal crystal in the gradient-vorticity
plane. At even higher shear, lateral fluctuations of the strings, enhanced by
hydrodynamics, lead to a disordered, fluid state. Our results are based on
appropriate simulation techniques that correctly account for hydrodynamics. We
also find that shear vastly accelerates the nucleation rates of supercooled
fluids into the cluster crystals.
PMID- 21902376
TI - Totally asymmetric simple exclusion process on networks.
AB - We study the totally asymmetric simple exclusion process (TASEP) on complex
networks, as a paradigmatic model for transport subject to excluded volume
interactions. Building on TASEP phenomenology on a single segment and borrowing
ideas from random networks we investigate the effect of connectivity on
transport. In particular, we argue that the presence of disorder in the topology
of vertices crucially modifies the transport features of a network: irregular
networks involve homogeneous segments and have a bimodal distribution of edge
densities, whereas regular networks are dominated by shocks leading to a unimodal
density distribution. The proposed numerical approach of solving for mean-field
transport on networks provides a general framework for studying TASEP on large
networks, and is expected to generalize to other transport processes.
PMID- 21902375
TI - High-accuracy approximation of binary-state dynamics on networks.
AB - Binary-state dynamics (such as the susceptible-infected-susceptible (SIS) model
of disease spread, or Glauber spin dynamics) on random networks are accurately
approximated using master equations. Standard mean-field and pairwise theories
are shown to result from seeking approximate solutions of the master equations.
Applications to the calculation of SIS epidemic thresholds and critical points of
nonequilibrium spin models are also demonstrated.
PMID- 21902377
TI - Bell inequalities with no quantum violation and unextendable product bases.
AB - The strength of classical correlations is subject to certain constraints,
commonly known as Bell inequalities. Violation of these inequalities is the
manifestation of nonlocality-displayed, in particular, by quantum mechanics,
meaning that quantum mechanics can outperform classical physics at tasks
associated with such Bell inequalities. Interestingly, however, there exist
situations in which this is not the case. We associate an intriguing class of
bound entangled states, constructed from unextendable product bases with a wide
family of tasks, for which (i) quantum correlations do not outperform the
classical ones but (ii) there exist supraquantum nonsignaling correlations that
do provide an advantage.
PMID- 21902378
TI - Experimentally witnessing the quantumness of correlations.
AB - The quantification of quantum correlations (other than entanglement) usually
entails labored numerical optimization procedures also demanding quantum state
tomographic methods. Thus it is interesting to have a laboratory friendly witness
for the nature of correlations. In this Letter we report a direct experimental
implementation of such a witness in a room temperature nuclear magnetic resonance
system. In our experiment the nature of correlations is revealed by performing
only few local magnetization measurements. We also compared the witness results
with those for the symmetric quantum discord and we obtained a fairly good
agreement.
PMID- 21902379
TI - Time-dependent variational principle for quantum lattices.
AB - We develop a new algorithm based on the time-dependent variational principle
applied to matrix product states to efficiently simulate the real- and imaginary
time dynamics for infinite one-dimensional quantum lattices. This procedure (i)
is argued to be optimal, (ii) does not rely on the Trotter decomposition and thus
has no Trotter error, (iii) preserves all symmetries and conservation laws, and
(iv) has low computational complexity. The algorithm is illustrated by using both
an imaginary-time and a real-time example.
PMID- 21902380
TI - First observational tests of eternal inflation.
AB - The eternal inflation scenario predicts that our observable Universe resides
inside a single bubble embedded in a vast inflating multiverse. We present the
first observational tests of eternal inflation, performing a search for
cosmological signatures of collisions with other bubble universes in cosmic
microwave background data from the WMAP satellite. We conclude that the WMAP 7
year data do not warrant augmenting the cold dark matter model with a
cosmological constant with bubble collisions, constraining the average number of
detectable bubble collisions on the full sky N(s) < 1.6 at 68% C.L. Data from the
Planck satellite can be used to more definitively test the bubble-collision
hypothesis.
PMID- 21902381
TI - Black hole evaporation rates without spacetime.
AB - Verlinde recently suggested that gravity, inertia, and even spacetime may be
emergent properties of an underlying thermodynamic theory. This vision was
motivated in part by Jacobson's 1995 surprise result that the Einstein equations
of gravity follow from the thermodynamic properties of event horizons. Taking a
first tentative step in such a program, we derive the evaporation rate (or
radiation spectrum) from black hole event horizons in a spacetime-free manner.
Our result relies on a Hilbert space description of black hole evaporation,
symmetries therein which follow from the inherent high dimensionality of black
holes, global conservation of the no-hair quantities, and the existence of
Penrose processes. Our analysis is not wedded to standard general relativity and
so should apply to extended gravity theories where we find that the black hole
area must be replaced by some other property in any generalized area theorem.
PMID- 21902382
TI - Testing gravity using the environmental dependence of dark matter halos.
AB - In this Letter, we investigate the environmental dependence of dark matter halos
in theories which attempt to explain the accelerated expansion of the Universe by
modifying general relativity (GR). Using high-resolution N-body simulations in
f(R) gravity models which recover GR in dense environments by virtue of the
chameleon mechanism, we find a significant difference, which depends on the
environment, between the lensing and dynamical masses of dark matter halos. This
environmental dependence of the halo properties can be used as a smoking gun to
test GR observationally.
PMID- 21902383
TI - Soliton-antisoliton pair production in particle collisions.
AB - We propose a general semiclassical method for computing the probability of
soliton-antisoliton pair production in particle collisions. The method is
illustrated by explicit numerical calculations in a (1+1)-dimensional scalar
field model. We find that the probability of the process is suppressed by an
exponentially small factor which is almost constant at high energies.
PMID- 21902384
TI - Precise determination of the f0(600) and f0(980) pole parameters from a
dispersive data analysis.
AB - We use our latest dispersive analysis of pipi scattering data and the very recent
K(l4) experimental results to obtain the mass, width, and couplings of the two
lightest scalar-isoscalar resonances. These parameters are defined from their
associated poles in the complex plane. The analytic continuation to the complex
plane is made in a model-independent way by means of once- and twice-subtracted
dispersion relations for the partial waves, without any other theoretical
assumption. We find the f(0)(600) pole at (457(-13))+14))-i(279(-7)(+11)) MeV and
that of the f(0)(980) at (996 +/- 7)-i(25(-6)(+10)) MeV, whereas their respective
couplings to two pions are 3.59(-0.13)(+0.11) and 2.3 +/- 0.2 GeV.
PMID- 21902385
TI - Lattice QCD and the timelike pion form factor.
AB - We present a formula that allows one to calculate the pion form factor in the
timelike region 2m(pi) <= ?(s) <= 4m(pi) in lattice QCD. The form factor
quantifies the contribution of two-pion states to the vacuum polarization. It
must be known very accurately in order to reduce the theoretical uncertainty on
the anomalous magnetic moment of the muon. At the same time, the formula
constitutes a rare example where, in a restricted kinematic regime, the spectral
function of a conserved current can be determined from Euclidean observables
without an explicit analytic continuation.
PMID- 21902388
TI - Similarity-transformed chiral NN + 3N interactions for the ab initio description
of 12C and 16O.
AB - We present first ab initio no-core shell model (NCSM) calculations using
similarity renormalization group (SRG) transformed chiral two-nucleon (NN) plus
three-nucleon (3N) interactions for nuclei throughout the p-shell, particularly
(12)C and (16)O. By introducing an adaptive importance truncation for the NCSM
model space and an efficient JT-coupling scheme for the 3N matrix elements, we
are able to surpass previous NCSM studies including 3N interactions. We present
ground and excited states in (12)C and (16)O for model spaces up to N(max) = 12
including full 3N interactions. We analyze the contributions of induced and
initial 3N interactions and probe induced 4N terms through the sensitivity of the
energies on the SRG flow parameter. Unlike for light p-shell nuclei, SRG-induced
4N contributions originating from the long-range two-pion terms of the chiral 3N
interaction are sizable in (12)C and (16)O.
PMID- 21902387
TI - Observation of transverse polarization asymmetries of charged pion pairs in e+e-
annihilation near ?s = 10.58 GeV.
AB - The interference fragmentation function translates the fragmentation of a quark
with a transverse projection of the spin into an azimuthal asymmetry of two final
state hadrons. In e(+)e(-) annihilation the product of two interference
fragmentation functions is measured. We report nonzero asymmetries for pairs of
charge-ordered pi(+)pi(-) pairs, which indicate a significant interference
fragmentation function in this channel. The results are obtained from a 672 fb(
1) data sample that contains 711 * 10(6) pi(+)pi(-) pairs and was collected at
and near the Upsilon(4S) resonance, with the Belle detector at the KEKB
asymmetric-energy e(+)e(-) collider.
PMID- 21902389
TI - K(h)alpha1,2 x-ray hypersatellite line broadening as a signature of K-shell
double photoionization followed by outer-shell ionization and excitation.
AB - We propose a novel approach for the theoretical analysis of the photoinduced high
resolution K(h)alpha(1,2) x-ray hypersatellite spectra, which allows us to obtain
reliable values of lifetimes of the doubly K-shell ionized states and fundamental
information about the relative role of K-shell double photoionization (DPI)
mechanisms. It is demonstrated for the first time that the K(h)alpha(1,2)
hypersatellite natural line broadening observed for selected metal atoms with 20
<= Z <= 30 can be well reproduced quantitatively by taking into account the
influences of the open-shell valence configuration (adopted from predictions of
the band-structure method) and the outer-shell ionization and excitation
following the DPI process.
PMID- 21902390
TI - Few-body bound states in dipolar gases and their detection.
AB - We consider dipolar interactions between heteronuclear molecules in a low
dimensional setup consisting of two one-dimensional tubes. We demonstrate that
attraction between molecules in different tubes can overcome intratube repulsion
and complexes with several molecules in the same tube are stable. In situ
detection schemes of the few-body complexes are proposed. We discuss extensions
to many tubes and layers, and outline the implications on many-body physics.
PMID- 21902386
TI - Single spin asymmetries in charged pion production from semi-inclusive deep
inelastic scattering on a transversely polarized 3He Target at Q2 = 1.4-2.7 GeV2.
AB - We report the first measurement of target single spin asymmetries in the semi
inclusive (3)He(e,e'pi(+/-))X reaction on a transversely polarized target. The
experiment, conducted at Jefferson Lab using a 5.9 GeV electron beam, covers a
range of 0.16 < x < 0.35 with 1.4 < Q(2) < 2.7 GeV(2). The Collins and Sivers
moments were extracted from the azimuthal angular dependence of the measured
asymmetries. The pi(+/-) Collins moments for (3)He are consistent with zero,
except for the pi(+) moment at x = 0.35, which deviates from zero by 2.3sigma.
While the pi(-) Sivers moments are consistent with zero, the pi(+) Sivers moments
favor negative values. The neutron results were extracted using the nucleon
effective polarization and measured cross section ratios of proton to (3)He, and
are largely consistent with the predictions of phenomenological fits and quark
model calculations.
PMID- 21902391
TI - Measurement of optical Feshbach resonances in an ideal gas.
AB - Using a narrow intercombination line in alkaline earth atoms to mitigate large
inelastic losses, we explore the optical Feshbach resonance effect in an
ultracold gas of bosonic (88)Sr. A systematic measurement of three resonances
allows precise determinations of the optical Feshbach resonance strength and
scaling law, in agreement with coupled-channel theory. Resonant enhancement of
the complex scattering length leads to thermalization mediated by elastic and
inelastic collisions in an otherwise ideal gas. Optical Feshbach resonance could
be used to control atomic interactions with high spatial and temporal resolution.
PMID- 21902392
TI - Demonstration of a single-photon router in the microwave regime.
AB - We have embedded an artificial atom, a superconducting transmon qubit, in an open
transmission line and investigated the strong scattering of incident microwave
photons (~6 GHz). When an input coherent state, with an average photon number
N?1 is on resonance with the artificial atom, we observe extinction of up to
99.6% in the forward propagating field. We use two-tone spectroscopy to study
scattering from excited states and we observe electromagnetically induced
transparency (EIT). We then use EIT to make a single-photon router, where we can
control to what output port an incoming signal is delivered. The maximum on-off
ratio is around 99% with a rise and fall time on the order of nanoseconds,
consistent with theoretical expectations. The router can easily be extended to
have multiple output ports and it can be viewed as a rudimentary quantum node, an
important step towards building quantum information networks.
PMID- 21902393
TI - Enhancement of laser interaction with vacuum for a large angular aperture.
AB - We study the nonlinear interaction of laser light with vacuum for a large angular
aperture at electromagnetic field strengths far below the Schwinger limit. The
polarization and magnetization in vacuum irradiated by a focused laser beam
clearly differ from those in matter. This is due to the dependence on the Lorentz
invariant, which results in a ring-shaped radiation distribution in vacuum. The
number of the radiated photons increases nonlinearly with increasing angular
aperture.
PMID- 21902394
TI - Proposal for an optical laser producing light at half the Josephson frequency.
AB - We describe a superconducting device capable of producing laser light in the
visible range at half of the Josephson generation frequency with the optical
phase of the light locked to the superconducting phase difference. It consists of
two single-level quantum dots embedded in a p-n semiconducting heterostructure
and surrounded by a cavity supporting a resonant optical mode. We study
decoherence and spontaneous switching in the device.
PMID- 21902395
TI - Large optical-frequency shift of molecular radiation via coherent coupling to an
off-resonance plasmon.
AB - We demonstrate coherent optical coupling between molecular and plasmon resonances
that are well separated in energy. In the presence of metallic nanoparticles, the
second harmonic spectrum of organic dyes no longer peaks at the absorption
wavelength but is instead blueshifted by 25 nm towards the localized plasmon
resonance. The phase of the light generated by the dyes displays a large
modulation across the plasmon resonance and no change across the molecular one.
The second harmonic signal contributed by the nanoparticles, which is peaked at
the plasmon frequency when no molecules are present, similarly displays a shift
towards the molecular resonance in their presence. A model based on the interplay
of the nonlinear optical near fields is able to account for these observations.
PMID- 21902396
TI - Theory of the Anderson transition in the quasiperiodic kicked rotor.
AB - We present the first microscopic theory of transport in quasiperiodically driven
environments ("kicked rotors"), as realized in recent atom optic experiments. We
find that the behavior of these systems depends sensitively on the value of a
dimensionless Planck constant h: for irrational values of h/(4pi) they fall into
the universality class of disordered electronic systems and we describe the
corresponding localization phenomena. In contrast, for rational values the rotor
Anderson insulator acquires an infinite (static) conductivity and turns into a
"supermetal." We discuss the ensuing possibility of a metal-supermetal quantum
phase transition.
PMID- 21902397
TI - Transition from static to kinetic friction: insights from a 2D model.
AB - We describe a 2D spring-block model for the transition from static to kinetic
friction at an elastic-slider-rigid-substrate interface obeying a minimalistic
friction law (Amontons-Coulomb). By using realistic boundary conditions, a number
of previously unexplained experimental results on precursory microslip fronts are
successfully reproduced. From the analysis of the interfacial stresses, we derive
a prediction for the evolution of the precursor length as a function of the
applied loads, as well as an approximate relationship between microscopic and
macroscopic friction coefficients. We show that the stress buildup due to both
elastic loading and microslip-related relaxations depends only weakly on the
underlying shear crack propagation dynamics. Conversely, crack speed depends
strongly on both the instantaneous stresses and the friction coefficients,
through a nontrivial scaling parameter.
PMID- 21902398
TI - Fluid flow control with transformation media.
AB - We introduce a new concept for the manipulation of fluid flow around three
dimensional bodies. Inspired by transformation optics, the concept is based on a
mathematical idea of coordinate transformations and physically implemented with
anisotropic porous media permeable to the flow of fluids. In two situations-for
an impermeable object placed either in a free-flowing fluid or in a fluid-filled
porous medium-we show that the object can be coated with an inhomogeneous,
anisotropic permeable medium, such as to preserve the flow that would have
existed in the absence of the object. The proposed fluid flow cloak eliminates
downstream wake and compensates viscous drag, hinting at the possibility of novel
propulsion techniques.
PMID- 21902399
TI - Double cascade turbulence and Richardson dispersion in a horizontal fluid flow
induced by Faraday waves.
AB - We report the experimental observation of Richardson dispersion and a double
cascade in a thin horizontal fluid flow induced by Faraday waves. The energy
spectra and the mean spectral energy flux obtained from particle image
velocimetry data suggest an inverse energy cascade with Kolmogorov type scaling
E(k) ? k(gamma), gamma ~ -5/3 and an E(k) ? k(gamma), gamma ~ -3 enstrophy
cascade. Particle transport is studied analyzing absolute and relative dispersion
as well as the finite size Lyapunov exponent (FSLE) via the direct tracking of
real particles and numerical advection of virtual particles. Richardson
dispersion with ? t(3) is observed and is also reflected in the
slopes of the FSLE (Lambda ? DeltaR(-2/3)) for virtual and real particles.
PMID- 21902400
TI - Motion of micrometer sized spherical particles exposed to a transient radial
flow: attraction, repulsion, and rotation.
AB - It is now accepted that the physical forces in ultrasonic cleaning are due to
strongly pulsating bubbles driven by the sound field. Here we have a detailed
look at bubble induced cleaning flow by analyzing the transport of an individual
particle near an expanding and collapsing bubble. The induced particulate
transport is compared with a force balance model. We find two important
properties of the flow which explain why bubbles are effectively cleaning: During
bubble expansion a strong shear layer loosens the particle from the surface
through particle spinning and secondly an unsteady boundary layer generates an
attractive force, thus collecting the contamination in the bubble's close
proximity.
PMID- 21902401
TI - Correlations in amplified four-wave mixing of matter waves.
AB - The coherence properties of amplified matter waves generated by four-wave mixing
(FWM) are studied using the Hanbury-Brown-Twiss method. We examine two limits. In
the first case stimulated processes lead to the selective excitation of a pair of
spatially separated modes, which we show to be second order coherent, while the
second occurs when the FWM process is multimode, due to spontaneous scattering
events which leads to incoherent matter waves. Amplified FWM is a promising
candidate for fundamental tests of quantum mechanics where correlated modes with
large occupations are required.
PMID- 21902402
TI - Paramagnetic tunneling systems and their contribution to the polarization echo in
glasses.
AB - Startling magnetic effects on the spontaneous polarization echo in some silicate
glasses at low and ultralow temperatures have been reported in the last decade or
so. Though some progress in search of an explanation has been made by considering
the nuclear quadrupole dephasing of tunneling particles, here we show that the
effect of a magnetic field can be understood quantitatively by means of a special
tunnel mechanism associated with paramagnetic impurities. For the Fe(3+)-, Cr(3+)
, and Nd(3+)-contaminated glasses we provide reasonable fits to the published
data as a function of applied magnetic field and temperature.
PMID- 21902403
TI - Torsional response and dissipationless viscosity in topological insulators.
AB - We consider the viscoelastic response of the electronic degrees of freedom in 2D
and 3D topological insulators (TI's). Our primary focus is on the 2D Chern
insulator which exhibits a bulk dissipationless viscosity analogous to the
quantum Hall viscosity predicted in integer and fractional quantum Hall states.
We show that the dissipationless viscosity is the response of a TI to torsional
deformations of the underlying lattice geometry. The viscoelastic response also
indicates that crystal dislocations in Chern insulators will carry momentum
density. We briefly discuss generalizations to 3D which imply that time-reversal
invariant TI's will exhibit a quantum Hall viscosity on their surfaces.
PMID- 21902404
TI - Observation of a martensitic structural distortion in V, Nb, and Ta.
AB - Thermal-expansion measurements of the Group 5 elements V, Nb, and Ta reveal a
structural distortion below 300 K. Data for single-crystalline Nb and Ta display
anisotropic thermal expansion, martensitic in character, that is inconsistent
with cubic crystal structures at low temperature. Published results on V show
similar behavior. Interstitial impurities suppress the transition.
PMID- 21902405
TI - Nonequilibrium composition profiles of alloy quantum dots and their correlation
with the growth mode.
AB - Equilibrium composition profiles (CPs) of epitaxial alloy quantum dots (QDs) are
well established theoretically. However nonequilibrium CPs may occur
experimentally. Using an atomistic-strain-model Monte Carlo simulation method, we
demonstrate a striking correlation between the nonequilibrium CPs of QDs and the
kinetic growth mode: the layer-by-layer growth (LG) and faceted growth (FG) form
a core-shell structure having the triangle core of the unstrained and V-shaped
core of the strained component, respectively, and both are distinctly different
from the equilibrium CP. Comparing simulations with experiments, we infer that
the InGaAs dots on GaAs grow by FG, while GeSi dots on Si grow first by LG
followed by FG. Our findings suggest a possible method for controlling the CPs of
QDs by selecting the growth mode.
PMID- 21902406
TI - Polarization dependence of palladium deposition on ferroelectric lithium niobate
(0001) surfaces.
AB - We investigate the effect of ferroelectric polarization direction on the
geometric properties of Pd deposited on the positive and negative surfaces of
LiNbO(3) (0001). We predict preferred geometries and diffusion properties of
small Pd clusters using density functional theory, and use these calculations as
the basis for kinetic Monte Carlo simulations of Pd deposition on a larger scale.
Our results show that on the positive surface, Pd atoms favor a clustered
configuration, while on the negative surface, Pd atoms are adsorbed in a more
dispersed pattern due to suppression of diffusion and agglomeration. This
suggests that the effect of LiNbO(3) polarization direction on the catalytic
activity of Pd [J. Phys. Chem. 88, 1148 (1984)] is due, at least in part, to
differences in adsorption geometry. Further investigations using these methods
can aid the search for catalysts whose activities switch reversibly with the
polarization of their ferroelectric substrates.
PMID- 21902407
TI - Localization and critical diffusion of quantum dipoles in two dimensions.
AB - We discuss quantum propagation of dipole excitations in two dimensions. This
problem differs from the conventional Anderson localization due to the existence
of long-range hops. We find that the critical wave functions of the dipoles
always exist which manifest themselves by a scale independent diffusion constant.
If the system is T invariant the states are critical for all values of the
parameters. Otherwise, there can be a "metal-insulator" transition between this
"ordinary" diffusion and the Levy flights (the diffusion constant logarithmically
increasing with the scale). These results follow from the two-loop analysis of
the modified nonlinear supermatrix sigma model.
PMID- 21902408
TI - Time-dependent Gutzwiller theory for multiband Hubbard models.
AB - Based on the variational Gutzwiller theory, we present a method for the
computation of response functions for multiband Hubbard models with general local
Coulomb interactions. The improvement over the conventional random-phase
approximation is exemplified for an infinite-dimensional two-band Hubbard model
where the incorporation of the local multiplet structure leads to a much larger
sensitivity of ferromagnetism on the Hund coupling. Our method can be implemented
into local-density approximation and Gutzwiller schemes and will therefore be an
important tool for the computation of response functions for strongly correlated
materials.
PMID- 21902409
TI - Optical conductivity of the Holstein polaron.
AB - The momentum average approximation is used to derive a new kind of
nonperturbational analytical expression for the optical conductivity (OC) of a
Holstein polaron at zero temperature. This provides insight into the shape of the
OC, by linking it to the structure of the polaron's phonon cloud. Our method
works in any dimension, properly enforces selection rules, can be systematically
improved, and also generalizes to momentum-dependent couplings. Its accuracy is
demonstrated by a comparison with the first detailed set of three-dimensional
numerical OC results, obtained using the approximation-free diagrammatic Monte
Carlo method.
PMID- 21902410
TI - Critical Kondo destruction in a pseudogap Anderson model: scaling and
relaxational dynamics.
AB - We study the pseudogap Anderson model as a prototype system for critical Kondo
destruction. We obtain finite-temperature (T) scaling functions near its quantum
critical point, by using a continuous-time quantum Monte Carlo method and also
considering a dynamical large-N limit. We are able to determine the behavior of
the scaling functions in the typically difficult to access quantum-relaxational
regime (homegainfinity) for all compressions
Deltaphi studied, and at jamming onset Deltaphi->0 for all N. We perform fixed
energy simulations following perturbations with amplitude delta along
eigendirections of the dynamical matrix. The fluctuations abruptly spread to all
modes for delta~delta(c) (where a single contact breaks) in contrast to linear
and weakly nonlinear behavior. For delta > delta(c), all discrete modes disappear
into a continuous frequency band. scales with 1/N and Deltaphi, which
limits harmonic behavior to only overcompressed systems. The density of
vibrational modes deviates strongly from that predicted from the dynamical matrix
when the system enters the nonharmonic regime, which significantly affects its
mechanical and transport properties.
PMID- 21902436
TI - Comment on "Influence of noise on force measurements".
PMID- 21902438
TI - Comment on "Coexistence of Coulomb blockade and zero bias anomaly in a strongly
coupled nanodot".
PMID- 21902440
TI - Potential role of comanagement in "rescue" of surgical patients.
AB - OBJECTIVES: To evaluate the effect of medical comanagement on outcomes of
hospitalized surgical patients who had postoperative complications. STUDY DESIGN:
Retrospective cohort study. METHODS: We used clinical and administrative data at
a large urban hospital to conduct a cohort study of select surgical
hospitalizations in 2008 and 2009. We identified patients who suffered
postoperative complications using measures developed by the University Health
System Consortium. Bivariate and multivariate regression analyses were used to
determine the associations of postoperative comanagement with inpatient
mortality, length of stay, and cost in surgical patients who had postoperative
complications. RESULTS: From 21,728 total surgical hospitalizations, we
identified 4040 hospitalizations involving primary procedures (mainly orthopedic
and neurosurgical) that were associated with comanagement at least 25% of the
time. After excluding cases with missing data, 501 hospitalizations (13.8%)
involved a patient who suffered at least 1 postoperative complication. Patient
characteristics between the comanaged (n = 297) and non-comanaged (n = 204)
hospitalizations were well matched. Medical comanagement was associated with
fewer in-hospital deaths (odds ratio 0.23, 95% confidence interval 0.05-0.99) in
adjusted analysis. Comanaged compared with non-comanaged hospitalizations were
associated with shorter stay (-2.6 days, P <.01) without significant differences
in total cost. CONCLUSIONS: Comanagement of patients who had perioperative
complications was associated with lower mortality, suggesting that comanagement
may facilitate effective rescue among medically complex surgical patients.
PMID- 21902441
TI - Disparities in antidepressant adherence in primary care: report from Israel.
AB - OBJECTIVES: To evaluate patient and physician characteristics associated with the
purchase of antidepressant medication for at least 6 months. STUDY DESIGN AND
METHODS: Clalit Health Services is the largest managed care health fund in
Israel, a country that uses a universal healthcare system. We randomly sampled
30,000 primary care patients over the age of 22 years. Our analytic sample
consisted of those 949 patients who did not purchase antidepressant medication
during the last quarter of 2005 and purchased antidepressant medication at least
once in 2006. We used multilevel analysis, with whether or not medication was
purchased for at least 6 months as an indicator of adherence. Patient and
physician characteristics were evaluated as potential predictors. RESULTS: Only
23% of the sample was classified as adherent. Physician characteristics explained
only a small portion of the variance in adherence and, as a result, were not
included in multivariate analysis. Patients who did not have a somatic diagnosis,
had a depression diagnosis, and were of higher socioeconomic status were more
likely to be classified as adherent. Patients who purchased tricyclic
antidepressants were less likely to be classified as adherent. CONCLUSIONS: The
findings suggest that in a managed care setting, there is high uniformity among
physicians. Although physician characteristics explain little of the variability
associated with adherence, certain patient characteristics as determined by their
physicians (eg, antidepressant drug class, psychiatric diagnosis) do play a role
in adherence.
PMID- 21902442
TI - Predictive model for emergency hospital admission and 6-month readmission.
AB - OBJECTIVES: To study risk factors for and likelihood of unplanned hospital
admission and readmission in persons aged >65 years in Catalonia, Spain. STUDY
DESIGN: Retrospective cohort study. METHODS: We used data from clinical records
of the primary care centers, pharmacy database, and hospital discharge records
for persons aged >65 years registered in primary care centers of referral
hospitals in the Baix Llobregat healthcare area. Unplanned hospital admission was
defined as any unscheduled hospitalization in 2008; unplanned readmission was
defined as any unscheduled admission occurring within 6 months after discharge
date of index admission. Logistic regression analysis was used to identify
predictors of unplanned hospital admission and readmission. RESULTS: The
population included 28,430 individuals. Among them, 2103 (7%) experienced an
unplanned admission and 365 (1.3%) an unplanned readmission. The readmission rate
for the admitted population was 18.7%. The strongest predictive factor of
unplanned admission was >2 admissions in the previous 2 years (odds ratio [OR]
24.9, 95% confidence interval [CI] 16.0-38.7 for 2007; OR 15.6, 95% CI 8.6-28.0
for 2006). Factors associated with unplanned readmission were aged >80 years (OR
4.6, 95% CI 3.1-7.1) and >2 admissions during the previous year (OR 20.4, 95% CI
14.1-29.5). The area under the receiver operating characteristics curve was 0.78
for unplanned admission and 0.85 for unplanned readmission in the development
sample and 0.76 and 0.81, respectively, in the validation sample. CONCLUSIONS:
Aged persons and those who used more hospital services in previous years had a
higher probability of hospital admission and readmission.
PMID- 21902443
TI - Depression care following psychiatric hospitalization in the Veterans Health
Administration.
AB - OBJECTIVES: To assess quality of depression care during the high-risk period
following a psychiatric hospitalization. STUDY DESIGN: Retrospective
administrative data analysis. METHODS: Using Veterans Health Administration (VHA)
administrative data, we assessed mental health follow-up within 7 and 30 days of
psychiatric hospitalizations for major depression from 2004 to 2008. Adequate
antidepressant medication coverage and number of psychotherapy visits were
assessed within 90 days of discharge. Multivariable logistic regression was used
to identify patient demographic and clinical characteristics associated with each
quality indicator. RESULTS: Of the 45,587 patients discharged from a psychiatric
inpatient stay with a diagnosis of major depressive disorder, 39.4% and 75.8%
received an outpatient visit within 7 and 30 days of discharge, respectively;
58.7% of patients received adequate antidepressant coverage (72 of 90 days) and
12.9% received adequate psychotherapy encounters (8 visits). Receipt of
outpatient mental health visits and of adequate psychotherapy were less likely
among patients who were male, aged >35 or <65 years, had >3 major general medical
comorbidities, lived >30 miles from a VHA clinic, or whose hospital length of
stay was <7 days. Patients with comorbid substance use disorders were less likely
to receive adequate antidepressant treatment. CONCLUSIONS: To optimize evidence
based depression care after a psychiatric hospitalization, health systems might
increase receipt of psychotherapy by considering potential barriers related to
age, medical condition, and distance. Patients with comorbid substance use
disorders or their providers may need additional services to support
antidepressant treatment.
PMID- 21902444
TI - Cost-offset analysis: bimatoprost versus other prostaglandin analogues in open
angle glaucoma.
AB - OBJECTIVES: To develop a cost-offset model from a US payer perspective comparing
glaucomatous progression and costs among primary open-angle glaucoma (POAG)
patients using bimatoprost, latanoprost, or travoprost. STUDY DESIGN: Cost-offset
model. METHODS: A Markov cohort model was used to estimate glaucomatous
progression for POAG patients over 7 years. The model assumed bimatoprost-treated
patients had lower resulting intraocular pressure (IOP) (by 1 mm Hg) for all
presenting IOP categories than latanoprost- or travoprost-treated patients.
Patients with lower IOP were assumed to have lower probability of progression.
Those that progressed were assumed to do so at a rate of -0.6 dB per year. Direct
costs associated with mean deviation score categories were applied to each
treatment cohort to calculate the expected 7-year costs of treating patients with
each prostaglandin analogue (PGA). Literature was used to support assumptions. A
budget impact analysis was conducted where all travoprost patients switched to
generic latanoprost and where all bimatoprost patients switched to generic
latanoprost. The base case market share was 22% bimatoprost, 23% travoprost, and
55% latanoprost. RESULTS: Model results demonstrate that for a managed care plan
with 9500 PGA-treated glaucoma patients, exclusive bimatoprost use would prevent
progression in 136 additional individuals compared with exclusive travoprost or
latanoprost treatment. Model results demonstrate that greater IOP reduction from
bimatoprost is associated with increased cost savings compared with latanoprost
or travoprost treatments. CONCLUSIONS: Model results demonstrate that greater IOP
reduction from bimatoprost could reduce managed care spending.
PMID- 21902445
TI - Inappropriate antibiotic prescribing in managed care subjects with influenza.
AB - OBJECTIVES: To evaluate costs of inappropriate oral antibiotic prescribing in a
managed care population with influenza. METHODS: This was a retrospective
(January 1, 2005, through December 31, 2009) analysis of the US Impact National
Benchmark Database. Patients with an influenza diagnosis (International
Classification of Diseases, Ninth Revision, Clinical Modification [ICD-9-CM] code
487.xx) and continuous health plan enrollment for >12 months before and 1 month
after the index influenza diagnosis date were included. We identified patients
with an antibiotic prescription claim within 3 days before or 3 days after the
index influenza diagnosis date. Patients were classified as having received
appropriate antibiotic treatment if a secondary respiratory infection was
observed within the 2-week postindex period or if there was a previous comorbid
diagnosis of diabetes, congestive heart failure, chronic obstructive pulmonary
disease, asthma, acute myocardial infarction, or sickle cell anemia as identified
by ICD-9-CM codes. RESULTS: We identified 270,057 subjects with influenza (mean
age, 31.6 years). Antibiotics were prescribed in 58,477 (21.65%) patients. Among
patients receiving antibiotics, 99% did not have a follow-up diagnosis for a
respiratory bacterial infection and 79% had neither a secondary infection nor
evidence of a comorbidity (ie, received inappropriate antibiotic treatment).
Based on a conservative annual seasonal influenza rate of 10%, we estimated that
inappropriate antibiotic prescribing for influenza costs the United States
approximately $211 million annually. CONCLUSIONS: Empiric antibiotics were
inappropriately prescribed in a high percentage of influenza patients. This
represents a significant financial burden to the US healthcare system and may
contribute to increased antibiotic resistance.
PMID- 21902446
TI - Compliance, persistence, and switching patterns for ACE inhibitors and ARBs.
AB - OBJECTIVES: To investigate compliance, persistence, and switching patterns for
angiotensin-converting enzyme (ACE) inhibitors and angiotensin receptor blockers
(ARBs). STUDY DESIGN: Drug-utilization analysis using a large prescription
database. METHODS: Prescription data for more than 50,000 incident users of ACE
inhibitors or ARBs were collected, cumulating close to 200,000 patient-years of
medication use. Incidence, drug dosage, 1-year compliance, long-term persistence,
and switching patterns were analyzed. The specific drugs investigated were
captopril, enalapril, lisinopril, perindopril, ramipril, and fosinopril (ACE
inhibitors), and losartan, valsartan, irbesartan, candesartan, and olmesartan
(ARBs). Results were adjusted for age, sex, starting date, and comorbidities.
RESULTS: The 1-year compliance (88.3% vs 88.3%, P = .996) and 3-year persistence
(81.9% vs 82.4%, P = .197) rates were similar between ACE inhibitors and ARBs.
Users of ACE inhibitors more often switched therapy (24.2% vs 13.1%, P <.001),
primarily to an ARB. Variations in compliance, persistence, and switching
behavior were detected between specific ACE inhibitors, but not between specific
ARBs. CONCLUSIONS: Although residual confounding and indication bias cannot be
ruled out, this study showed that compliance, persistence, and switching behavior
varied between specific ACE inhibitors but not between specific ARBs. These
results support prescribing of cheap generic ARBs as opposed to expensive ARBs.
Apart from factors leading to therapy switches, compliance and persistence were
similar between ACE inhibitors and ARBs.
PMID- 21902447
TI - Mental illness and warfarin use in atrial fibrillation.
AB - OBJECTIVES: To determine whether atrial fibrillation (AF) patients with mental
health conditions (MHCs) were less likely than AF patients without MHCs to be
prescribed warfarin and, if receiving warfarin, to maintain an International
Normalized Ratio (INR) within the therapeutic range. STUDY DESIGN: Detailed chart
review of AF patients using a Veterans Health Administration (VHA) facility in
2003. METHODS: For a random sample of 296 AF patients, records identified
clinician-diagnosed MHCs (independent variable) and AF-related care in 2003
(dependent variables), receipt of warfarin, INR values below/above key
thresholds, and time spent within the therapeutic range (2.0-3.0) or highly out
of range. Differences between the MHC and comparison groups were examined using
X2 tests and logistic regression controlling for age and comorbidity. RESULTS:
Among warfarin-eligible AF patients (n = 246), 48.5% of those with MHCs versus
28.9% of those without MHCs were not treated with warfarin (P = .004). Among
those receiving warfarin and monitored in VHA, highly supratherapeutic INRs were
more common in the MHC group; for example, 27.3% versus 1.6% had any INR >5.0 (P
<.001). Differences persisted after adjusting for age and comorbidity.
CONCLUSIONS: MHC patients with AF were less likely than those without MHC to have
adequate management of their AF care. Interventions directed at AF patients with
MHC may help to optimize their outcomes.
PMID- 21902448
TI - Electronic health records, clinical decision support, and blood pressure control.
AB - OBJECTIVES: Adding clinical decision support (CDS) to electronic health records
(EHRs) is required under meaningful use legislation, but there has been little
national data on effectiveness in improving clinical outcomes. We sought to
determine whether EHRs with CDS improved blood pressure control in US primary
care visits. STUDY DESIGN: We used a cross-sectional, nationally representative
survey. METHODS: We examined adult visits to primary care physicians using the
2007 and 2008 National Ambulatory Medical Care Survey (NAMCS). RESULTS: We found
that patients had a mean age of 52 years, 34% were male, 15% had diabetes, and
70% were white. Rates of blood pressure control were significantly higher in
visits where both an EHR and CDS (79%) were used, compared with visits where
physicians used neither tool (74%; P = .004). Blood pressure control rates
remained higher after adjusting for potential confounders. In unadjusted
analyses, mean systolic blood pressure was 2 mm Hg lower in visits with the use
of both an EHR and CDS, compared with visits where physicians used neither tool
(P = .03), and this difference remained significant after adjustment.
CONCLUSIONS: The NAMCS shows that physician use of an EHR with CDS is associated
with improved blood pressure control. These findings are important because small
improvements in blood pressure control are associated with reductions in
cardiovascular morbidity and mortality.
PMID- 21902449
TI - Quality measurement of medication monitoring in the "meaningful use" era.
AB - OBJECTIVES: While the 2011 implementation of "meaningful use" legislation for
certified electronic health records (EHRs) promises to change quality reporting
by overcoming data capture issues affecting quality measurement, the magnitude of
this effect is unclear. We compared the measured quality of laboratory monitoring
of Healthcare Effectiveness Data and Information Set (HEDIS) medications based on
specifications that (1) include and exclude patients hospitalized in the
measurement year and (2) use physician test orders and patient test completion.
STUDY DESIGN: Cross-sectional study. METHODS: Among patients 18 years and older
in a large multispecialty group practice utilizing a fully implemented EHR
between January 1, 2008, and July 31, 2008, we measured the prevalence of
ordering and completion of laboratory tests monitoring HEDIS medications
(cardiovascular drugs [angiotensin-converting enzyme inhibitors or angiotensin
receptor blockers, digoxin, and diuretics] and anticonvulsants [carbamazepine,
phenobarbital, phenytoin, and valproic acid]). RESULTS: Measures excluding
hospitalized patients were not statistically significantly different from
measures including hospitalized patients, except for digoxin, but this difference
was not clinically significant. The prevalence of appropriate monitoring based on
test orders typically captured in the EHR was statistically significantly higher
than the prevalence based on claims-based test completions for cardiovascular
drugs. CONCLUSIONS: HEDIS quality metrics based on data typically collected from
claims undermeasured quality of medication monitoring compared to EHR data. The
HEDIS optional specification excluding hospitalized patients from the monitoring
measure does not have a significant impact on reported quality. Integration of
EHR data into quality measurement may significantly change some organizations'
reported quality of care.
PMID- 21902450
TI - Factors influencing healthy aging with multiple sclerosis: a qualitative study.
AB - PURPOSE: The purpose of this study was to describe the factors influencing
healthy aging from the perspective of the older person with multiple sclerosis
(MS) in order to build curricula for MS self-management programs. METHOD: We
sourced participants, older than 55 years with MS for more than 20 years, from a
database of MS clinic and outpatient rehabilitation visits. Recruitment continued
until data saturation was reached (n = 18). Semi-structured interviews explored
perspectives on aging and health and lifestyle habits. Demographic, lifestyle and
perceived health status information was also gathered. We analyzed the
transcribed text for themes and theme relationships. RESULTS: Work and social
engagement, effective and accessible health care, healthy lifestyle habits, and
maintaining independence at home were found to be critical proximal factors for
healthy aging. The presence of financial flexibility, social support, cognitive
and mental health, and resilience provided a supporting foundation to these
critical proximal factors. These factors comprised a two-tiered model of healthy
aging with MS. CONCLUSIONS: This two-tiered conceptual model of health aging,
based on the perspectives of older persons with MS, provides a potential
framework for the development of MS self-management program curricula aimed at
optimizing quality of life. Further empirical testing may validate its utility in
predicting healthy aging with MS.
PMID- 21902451
TI - The use of nonhuman primate models of HIV infection for the evaluation of
antiviral strategies.
AB - Several nonhuman primate models are used in HIV/AIDS research. In contrast to
natural host models, infection of macaques with virulent simian immunodeficiency
virus (SIV) isolates results in a disease (simian AIDS) that closely resembles
HIV infection and AIDS. Although there is no perfect animal model, and each of
the available models has its limitations, a carefully designed study allows
experimental approaches that are not feasible in humans, but that can provide
better insights in disease pathogenesis and proof-of-concept of novel
intervention strategies. In the early years of the HIV pandemic, nonhuman primate
models played a minor role in the development of antiviral strategies. Since
then, a better understanding of the disease and the development of better
compounds and assays to monitor antiviral effects have increased the usefulness
and relevance of these animal models in the preclinical development of HIV
vaccines, microbicides, and antiretroviral drugs. Several strategies that were
first discovered to have efficacy in nonhuman primate models are now increasingly
used in humans. Recent trends include the use of nonhuman primate models to
explore strategies that could reduce viral reservoirs and, ultimately, attempt to
cure infection. Ongoing comparison of results obtained in nonhuman primate models
with those observed in human studies will lead to further validation and
improvement of these animal models so they can continue to advance our scientific
knowledge and guide clinical trials.
PMID- 21902452
TI - Peroxiredoxin 3 is a key molecule regulating adipocyte oxidative stress,
mitochondrial biogenesis, and adipokine expression.
AB - AIMS: Increased oxidative stress and mitochondrial dysfunction in obese
adipocytes contribute to adipokine dysregulation, inflammation, and insulin
resistance. RESULTS: Through an advanced proteomic analysis, we found that
peroxiredoxin 3 (Prx3), a thioredoxin-dependent mitochondrial peroxidase, is
highly expressed in 3T3-L1 adipocytes compared to preadipocytes. Interestingly,
in obese db/db mice and human subjects, adipose Prx3 levels were significantly
decreased, indicating its association with obesity. We therefore employed Prx3
knockout (KO) mice and transfected 3T3-L1 cells to examine the role of endogenous
Prx3 in adipocyte metabolism. Prx3 KO mice had increased fat mass compared to
wild-type due to adipocyte hypertrophy. Increased adipogenic transcription
factors and lipogenic gene expression during differentiation of adipose tissue
derived stem cells from Prx3-deficient mice confirmed that these adipocytes are
likely to accumulate fat. Mitochondrial protein carbonylation in Prx3 KO adipose
tissue and mitochondrial superoxide level in Prx3 knockdown 3T3-L1 cells were
increased showing aberrant regulation of oxidative stress. Proteomic analysis and
gene expression analysis of Prx3 KO mice adipocytes also showed defect in
mitochondria biogenesis along with enzymes involved in glucose/lipid metabolism
and oxidative phosphorylation. In addition, expression level of adiponectin was
downregulated and plasminogen activator inhibitor-1 was upregulated in Prx3 KO
adipocytes. Impaired glucose tolerance and insulin resistance further implied
metabolic dysregulation in Prx3 KO mice. INNOVATION AND CONCLUSION: These data
suggest that endogenous Prx3 may play an essential role in maintaining normal
characteristics of adipocytes and that defect in Prx3 alters mitochondrial redox
state and function, and adipokine expression in adipocytes leading to metabolic
alteration.
PMID- 21902453
TI - Peroxiredoxin-2 upregulated by NF-kappaB attenuates oxidative stress during the
differentiation of muscle-derived C2C12 cells.
AB - AIM: Many studies have reported that the generation of reactive oxygen species
(ROS) increases during the differentiation of muscle-derived C2C12 cells.
Peroxiredoxin-2 (Prx-2) is an abundant mammalian enzyme that protects against
oxidative stress. However, the role of Prx-2 in muscle differentiation has not
been investigated. RESULTS: In this study, we demonstrated that Prx-2 expression
increases during muscle differentiation and regeneration in response to exogenous
H(2)O(2). This increase occurs only in myoblast cell lines because no increase in
Prx-2 expression was observed in the NIH3T3, MEF, Chang, or HEK293 cell lines.
The antioxidants, N-acetyl L-cysteine (NAC) and 4,5-dihydroxy-1,3
benzenedisulfonic acid (Tiron), both suppressed myogenesis and Prx-2 expression.
Moreover, Prx-2 was upregulated at the transcriptional level by NF-kappaB during
the differentiation of muscle-derived C2C12 cells. We also found that inhibition
of phosphatidylinositol 3-kinase (PI3K) blocks NF-kappaB activation and
suppresses Prx-2 expression. Interestingly, Prx-2 knockdown increased the
expression levels of other antioxidant enzymes, including all of the other Prx
family member, thioredoxin-1 (Trx-1) and catalase, but also enhanced the
accumulation of endogenous ROS during muscle differentiation. INNOVATION: In this
study, we demonstrated for the first time that Prx-2 is unregulated during the
muscle differentiation and regeneration. CONCLUSION: Prx-2 is upregulated via the
PI3K/NF-kappaB pathway and attenuates oxidative stress during muscle
differentiation and regeneration.
PMID- 21902454
TI - Comparative genomics of thiol oxidoreductases reveals widespread and essential
functions of thiol-based redox control of cellular processes.
AB - AIMS: Redox regulation of cellular processes is an important mechanism that
operates in organisms from bacteria to mammals. Much of the redox control is
provided by thiol oxidoreductases: proteins that employ cysteine residues for
redox catalysis. We wanted to identify thiol oxidoreductases on a genome-wide
scale and use this information to obtain insights into the general principles of
thiol-based redox control. RESULTS: Thiol oxidoreductases were identified by
three independent methods that took advantage of the occurrence of selenocysteine
homologs of these proteins and functional linkages among thiol oxidoreductases
revealed by comparative genomics. Based on these searches, we describe
thioredoxomes, which are sets of thiol oxidoreductases in organisms. Their
analyses revealed that these proteins are present in all living organisms,
generally account for 0.5%-1% of the proteome and that their use correlates with
proteome size, distinguishing these proteins from those involved in core
metabolic functions. We further describe thioredoxomes of Saccharomyces
cerevisiae and humans, including proteins which have not been characterized
previously. Thiol oxidoreductases occur in various cellular compartments and are
enriched in the endoplasmic reticulum and cytosol. INNOVATION: We developed
bioinformatics methods and used them to characterize thioredoxomes on a genome
wide scale, which in turn revealed properties of thioredoxomes. CONCLUSION: These
data provide information about organization and properties of thiol-based redox
control, whose use is increased with the increase in complexity of organisms. Our
data also show an essential combined function of a set of thiol oxidoreductases,
and of thiol-based redox regulation in general, in all living organisms.
PMID- 21902456
TI - Strategic role for mitochondria in Alzheimer's disease and cancer.
AB - SIGNIFICANCE: Detailed knowledge about cell death and cell survival mechanisms
and how these pathways are impaired in neurodegenerative disorders and cancer
forms the basis for future drug development for these diseases that affect
millions of people around the world. RECENT ADVANCES: In neurodegenerative
disorders such as Alzheimer's disease (AD), cell death pathways are
inappropriately activated, resulting in neuronal cell death. In contrast, cancer
cells develop resistance to apoptosis by regulating anti-apoptotic proteins
signaling via mitochondria. Mounting evidence shows that mitochondrial function
is central in both cancer and AD. Cancer cells typically shut down oxidative
phosphorylation (OXPHOS) in mitochondria and switch to glycolysis for ATP
production, making them resistant to hypoxia. In AD, for example, amyloid-beta
peptide (Abeta) and reactive oxygen species impair mitochondrial function.
Neurons therefore also switch to glycolysis to maintain ATP production and to
produce molecules involved in antioxidant metabolism in an attempt to survive.
CRITICAL ISSUES: One critical difference between cancer cells and neurons is that
cancer cells can survive without OXPHOS, while neurons are dependent on OXPHOS
for long-term survival. FUTURE DIRECTIONS: This review will focus on these
abnormalities of mitochondrial function shared in AD and cancer and discuss the
potential mechanisms underlying links that may be key steps in the development of
therapeutic strategies.
PMID- 21902455
TI - Novel bacterial gas sensor proteins with transition metal-containing prosthetic
groups as active sites.
AB - SIGNIFICANCE: Gas molecules function as signaling molecules in many biological
regulatory systems responsible for transcription, chemotaxis, and other complex
physiological processes. Gas sensor proteins play a crucial role in regulating
such biological systems in response to gas molecules. RECENT ADVANCES: New sensor
proteins that sense oxygen or nitric oxide have recently been found, and they
have been characterized by X-ray crystallographic and/or spectroscopic analysis.
It has become clear that the interaction between a prosthetic group and gas
molecules triggers dynamic structural changes in the protein backbone when a gas
sensor protein senses gas molecules. Gas sensor proteins employ novel mechanisms
to trigger conformational changes in the presence of a gas. CRITICAL ISSUES: In
gas sensor proteins that have iron-sulfur clusters as active sites, the iron
sulfur clusters undergo structural changes, which trigger a conformational
change. Heme-based gas sensor proteins reconstruct hydrogen-bonding networks
around the heme and heme-bound ligand. FUTURE DIRECTION: Gas sensor proteins have
two functional states, on and off, which are active and inactive, respectively,
for subsequent signal transduction in response to their physiological effector
molecules. To fully understand the structure-function relationships of gas sensor
proteins, it is vital to perform X-ray crystal structure analyses of full-length
proteins in both the on and off states.
PMID- 21902457
TI - Post-injury personality in the prediction of outcome following severe acquired
brain injury.
AB - PRIMARY OBJECTIVE: The aim of the study was to examine the utility of five
measures of non-cognitive neurobehavioural (NCNB) changes that often occur
following acquired brain injury, in predicting outcome (measured in terms of
participation and social adaptation) at 1-year follow-up. RESEARCH DESIGN: The
study employed a longitudinal, correlational design. METHODS AND PROCEDURES:
Multiple regression was employed to investigate the value of five new NCNB
measures of social perception, emotional regulation, motivation, impulsivity and
disinhibition in the prediction of outcome as measured by the Mayo-Portland
Adaptability Inventory (MPAI). MAIN OUTCOMES AND RESULTS: Two NCNB measures
(motivation and emotional regulation) were found to significantly predict outcome
at 1-year follow-up, accounting for 53% of the variance in MPAI total scores.
CONCLUSIONS: These measures provide a method of quantifying the extent of NCNB
changes following brain injury. The predictive value of the measures indicates
that they may represent a useful tool which could aid clinicians in identifying
early-on those whose symptoms are likely to persist and who may require ongoing
intervention. This could facilitate the planning of rehabilitation programmes.
PMID- 21902458
TI - The party planning task: a useful tool in the functional assessment of planning
skills in adolescents with TBI.
AB - PRIMARY OBJECTIVE: To use two case studies to illustrate the clinical utility of
the Party Planning Task (PPT), a non-standardized executive function assessment.
BACKGROUND: Executive dysfunction is common following paediatric TBI. However,
known difficulties with standardized assessment of executive functions (EF)
exist. In particular, the ecologic validity of standardized measures has been
questioned. The PPT is an ecological measure of EF and this paper reports
findings from its use with two adolescents. Descriptive and observational data of
their planning and organizational skills is presented. Each adolescent completed
the PPT followed by a stimulated recall task. This was audio recorded and
transcribed for content analysis. MAIN OUTCOMES AND RESULTS: Both participants
scored within the normative range for severe TBI on the PPT, with each making
greater than eight errors. Qualitative results indicated differences between
participants in efficiency of task completion and transfer of strategies from
previous intervention. CONCLUSIONS AND IMPLICATIONS: The PPT was shown to be a
useful tool in the functional assessment of EF for these two adolescents. The
opportunity for clinicians to observe the process taken by participants to
complete the task provides valuable data, useful in determining strategy
transferability as well as assisting in determining future intervention goals.
PMID- 21902459
TI - Script generation and the dysexecutive syndrome in patients with brain injury.
AB - OBJECTIVE: The authors investigated whether patients with brain injury suffering
from dysexecutive symptoms had difficulties with script generation. METHOD: Forty
eight patients with brain injury of various etiology with complaints of executive
dysfunctioning and deficient scores on executive tests were included in this
study. They were compared with 99 healthy control subjects in a script-generation
task. Participants were asked to describe how they would perform eight everyday
activities. The script items were manipulated so that they varied in structure
(open-ended vs. closed) and in frequency of performing (high vs. low). RESULTS:
Patients and control subjects evoked an equal number of actions, but
patientsproduced significantly more irrelevant actions and made significantly
more perseverative errors. Their most pronounced problems were found in open
ended and low-frequency scripts. CONCLUSION: This investigation shows that not
only patients with prefrontal damage are impaired in script generation. The
consequences for treatment are discussed.
PMID- 21902460
TI - The prevalence of patients in a vegetative state and minimally conscious state in
nursing homes in Austria.
AB - PRIMARY OBJECTIVE: To explore the epidemiology of patients in a Vegetative State
(VS) and Minimally Conscious State (MCS) that are cared for in long-term care
facilities in Austria. The study was inspired by increasing evidence that the
cognitive abilities of minimal behavioural patients with disorders of
consciousness may be under-rated. RESEARCH DESIGN: Since an unequivocal
diagnostic code with which to follow-up these long-term patients after the acute
event does not exist, a telephone survey was conducted. METHODS AND PROCEDURES: A
three-step telephone- and questionnaire-based inquiry was conducted between 2007
and 2009. MAIN OUTCOMES AND RESULTS: One hundred and fourteen out of 889 long
term care facilities and nursing homes cared for 269 patients in a VS and 120
patients in a MCS, indicating a prevalence of 3.36 patients per 100 000 for VS
and 1.5 per 100 000 for MCS in long-term care facilities. CONCLUSIONS: The
results are discussed in the light of differences in prevalence in other Western
countries. Future studies should concentrate on these long-term patients since
the results have implications regarding how to organize appropriate
neurorehabilitative care that meets the needs of such patients.
PMID- 21902461
TI - Neuronal structural protein polymorphism and concussion in college athletes.
AB - OBJECTIVE: To examine the association between a neuronal structural protein
polymorphism and the frequency and severity of concussions in college athletes.
METHODS: Forty-eight athletes with previous self-reported history of a concussion
were matched with 48 controls that did not report a history of concussion. Each
group was genotyped for neurofilament heavy (NEFH) polymorphism rs#165602 in this
retrospective case-control study. RESULTS: There was no significant association
(chi(2 )= 0.487, p = 0.485) between carrying the NEFH rare allele and a history
of one or more concussions due to small effect sizes. A Fisher's exact test
revealed no significant association (p = 1.00, phi = -0.03) between the presence
of NEFH rare allele and a history of multiple concussions. The independent t
tests revealed no significant differences in duration of signs and symptoms (t =
1.41, p = 0.17, d = 0.48) or return to play (t = 0.23, p = 0.82, d = 0.08)
between NEFH rare allele carrier and non-carriers. CONCLUSIONS: Among college
athletes, carrying the rare allele assessed may not influence an athlete's
susceptibility to sustaining a concussion or return to play duration following a
concussion.
PMID- 21902462
TI - Goal-directed outpatient rehabilitation following TBI: a pilot study of programme
effectiveness and comparison of outcomes in home and day hospital settings.
AB - PRIMARY OBJECTIVE: To determine (i) the effectiveness of a goal-directed,
environment-focused occupational therapy intervention and (ii) to compare
rehabilitation gains across a day hospital (outpatient) setting and home setting.
RESEARCH DESIGN: Repeated measures cross-over design with pre-post test measures
and a baseline control period, random allocation to a treatment setting sequence
and an independent outcome assessor who was blinded to treatment sequence.
METHODS AND PROCEDURES: Descriptive and non-parametric comparative analyses
employed. Fourteen participants with severe traumatic brain injury completed a 12
week outpatient occupational therapy programme. The programme was directed by the
participant's chosen goals, which were established using a client-centred,
structured, goal-planning process. Outcome measures included Goal attainment
scaling, the Canadian Occupational Performance Measure, the Sydney Psychosocial
Reintegration Scale, the Mayo-Portland Adaptability Index, the Craig Hospital
Inventory of Environmental Factors and self-rated satisfaction with therapy. MAIN
OUTCOMES AND RESULTS: The therapy programme resulted in significant improvements
in goal attainment, occupational performance, psychosocial reintegration and
ability and adjustment levels, compared with baseline. Differences in gains made
in home vs day hospital settings were not statistically significant, with the
exception of higher levels of patient satisfaction with therapy at home.
CONCLUSIONS: To assist further with decision-making about where to conduct
therapy, further research is needed to compare the outcomes and determine the
cost effectiveness of therapy at home and in day hospital settings.
PMID- 21902463
TI - Pheochromocytoma presented with vertebral artery dissection.
AB - BACKGROUND: Pheochromocytoma may rarely cause arterial dissection. Here the
authors report a patient with pheochromocytoma complicated with vertebral artery
dissection (VAD) and stroke. CASE HISTORY: A 48-year-old man presented with
probable diagnosis of myocardial infarction. Following premedication with
methylprednisolone for coronary artery angiography, he had unstable hypertension.
Three days later, he had right cerebellar and left occipital lobe infarction in
association with VAD. Urinary cathecolamines and MR scan of the abdomen suggested
a diagnosis of phaeochromocytoma, which was later histopathologically confirmed.
CONCLUSION: This case is interesting in that there is no previous report of the
combination of pheochromocytoma, VAD, and stroke. Awareness of the atypical
clinical presentations of this tumor is important for definitive treatment.
PMID- 21902464
TI - The evaluation of ectopic bone formation induced by delivery systems for bone
morphogenetic protein-9 or its derived peptide.
AB - We have earlier shown that a peptide derived from the bone morphogenetic protein
9 (pBMP-9) stimulates mouse preosteoblasts MC3T3-E1 differentiation in vitro.
Here, we evaluated the effects of two delivery systems (DSs) for pBMP-9, one
based on collagen and the other on chitosan. The release kinetics of BMP-9 (used
as control) and pBMP-9 from these DSs were first determined in vitro by using
enzyme-linked immunosorbent assay and high performance liquid chromatography
assays, respectively. Micro-computerized tomography and histological analysis
were then performed to study in vivo the ectopic ossification induced by both DSs
containing these molecules in C57BL/6 mouse quadriceps. We found that collagen DS
released in vitro about 35% of its BMP-9 within 1 h, whereas chitosan DS released
80%. The pBMP-9 was released from both DSs more slowly for up to 10 days. These
release kinetics seemed to fit the Korsmeyer-Peppas model. Only chitosan DS
containing BMP-9 induced strong bone formation in all mice quadriceps within 24
days. All mice quadriceps treated by pBMP-9 trapped in this DS also favored bone
structures that started to mineralize. However, pBMP-9 in collagen DS failed to
promote ectopic ossification within 24 days in vivo. This study highlights the
importance to optimize carrier, thus improving the efficiency of pBMP-9 in vivo.
PMID- 21902465
TI - Three-dimensional cell grafting enhances the angiogenic efficacy of human
umbilical vein endothelial cells.
AB - Despite the great potential of cell therapy for ischemic disease, poor cell
survival after engraftment in ischemic tissue limits its efficacy. Here we tested
a hypothesis that three-dimensionally grafted human umbilical vein endothelial
cell (HUVEC) spheroids would exhibit improved angiogenic efficacy following
transplantation into mouse ischemic limbs compared with HUVECs prepared by
conventional two-dimensional monolayer culture. One day after surgical induction
of hindlimb ischemia in athymic mice, HUVECs cultured in monolayer or HUVEC
spheroids were transplanted intramuscularly into ischemic limbs. Four weeks after
the treatment, in the spheroid HUVEC transplantation group, we observed increased
hypoxia-inducible factor-1alpha expression, decreased apoptosis, and increased
HUVEC survival in the ischemic tissue compared with the monolayer HUVEC
transplantation group. Transplantation of HUVEC spheroids also resulted in
enhanced and prolonged secretion of paracrine factors as well as enhanced
expression of factors involved in the recruitment of circulating angiogenic
progenitor cells. In summary, transplantation of HUVECs as spheroids enhanced
cell survival, increased paracrine factor secretion, and showed a potential as a
therapeutic method to treat ischemic tissue damages by promoting angiogenesis.
PMID- 21902466
TI - Insulin-like growth factor 2 promotes osteogenic cell differentiation in the
parthenogenetic murine embryonic stem cells.
AB - Embryonic stem cells (ESCs) are pluripotent and can differentiate into all
somatic cell types. ESCs are an alternative solution to hard tissue regeneration
and skeletal tissue repair to treat bone diseases and defects using regenerative
strategies. Parthenogenetic ESCs (PESCs) may be a useful alternative stem cell
source for tissue repair and regeneration. The defects in full-term development
of this cell type enable researchers to avoid the ethical concerns related to ESC
research. Moreover, in female patients, if the PESCs are derived from oocytes,
then they will have that patient's genetic information. Here, we present data
demonstrating that osteogenic differentiation of PESCs can be promoted by insulin
like growth factor 2 (IGF2). PESCs were plated onto Petri dishes with ESC culture
medium supplemented with or without IGF2, followed by culturing of the cells for
1 week. PESCs formed floating aggregates called embryoid bodies (EBs). An
osteogenic lineage was induced from the EBs by incubating them in medium
containing serum, ascorbic acid, beta-glycerophosphate, and retionic acid, with
or without IGF2, for 20 days. Gene expression of specific osteoblastic markers
such as osteocalcin, osteopontin, osteonectin, bone sialoprotein, collagen type
I, alkaline phosphatase, and Runx2 (Cbfa-I) was analyzed by real-time polymerase
chain reaction. The expression level of osteocalcin, osteopontin, osteonectin,
and alkaline phosphatase was twofold higher in IGF2-treated PESC derivatives than
IGF2-naive PESC derivatives. In vivo experiments were also performed using a
critical-sized calvarial defect mouse model. Ten weeks after cell
transplantation, more bone tissue regeneration was observed in the IGF2-treated
PESC transplantation group than in IGF2-naive PESC transplantation group. Both
our in vitro and in vivo data indicate that IGF2 induces osteogenic
differentiation of PESCs. Addition of IGF2 may reactivate imprinting genes in
PESCs that are only expressed in the paternal genome and are normally silent in
PESCs. Our findings provide insights into the mechanisms of skeletal tissue
repair and the imprinting mechanisms active in stem cells.
PMID- 21902468
TI - Adipogenesis of human adipose-derived stem cells within three-dimensional hollow
fiber-based bioreactors.
AB - To further differentiate adipose-derived stem cells (ASCs) into mature adipocytes
and create three-dimensional (3D) adipose tissue in vitro, we applied
multicompartment hollow fiber-based bioreactor technology with decentral mass
exchange for more physiological substrate gradients and integral oxygenation. We
hypothesize that a dynamic 3D perfusion in such a bioreactor will result in
longer-term culture of human adipocytes in vitro, thus providing metabolically
active tissue serving as a diagnostic model for screening drugs to treat
diabetes. ASCs were isolated from discarded human abdominal subcutaneous adipose
tissue and then inoculated into dynamic 3D culture bioreactors to undergo
adipogenic differentiation. Insulin-stimulated glucose uptake from the medium was
assessed with and without TNF-alpha. 3D adipose tissue was generated in the 3D
bioreactors. Immunohistochemical staining indicated that 3D-bioreactor culture
displayed multiple mature adipocyte markers with more unilocular morphologies as
compared with two-dimensional (2D) cultures. Results of real-time polymerase
chain reaction showed 3D-bioreactor treatment had more efficient differentiation
in fatty acid-binding protein 4 expression. Repeated insulin stimulation resulted
in increased glucose uptake, with a return to baseline between testing.
Importantly, TNF-alpha inhibited glucose uptake, an indication of the metabolic
activity of the tissue. 3D bioreactors allow more mature adipocyte
differentiation of ASCs compared with traditional 2D culture and generate adipose
tissue in vitro for up to 2 months. Reproducible metabolic activity of the
adipose tissue in the bioreactor was demonstrated, which is potentially useful
for drug discovery. We present here, to the best of our knowledge for the first
time, the development of a coherent 3D high density fat-like tissue consisting of
unilocular structure from primary adipose stem cells in vitro.
PMID- 21902467
TI - Response of human engineered cartilage based on articular or nasal chondrocytes
to interleukin-1beta and low oxygen.
AB - Previous studies showed that human nasal chondrocytes (HNC) exhibit higher
proliferation and chondrogenic capacity as compared to human articular
chondrocytes (HAC). To consider HNC as a relevant alternative cell source for the
repair of articular cartilage defects it is necessary to test how these cells
react when exposed to environmental factors typical of an injured joint. We thus
aimed this study at investigating the responses of HNC and HAC to exposure to
interleukin (IL)-1beta and low oxygen. For this purpose HAC and HNC harvested
from the same donors (N=5) were expanded in vitro and then cultured in pellets or
collagen-based scaffolds at standard (19%) or low oxygen (5%) conditions.
Resulting tissues were analyzed after a short (3 days) exposure to IL-1beta,
mimicking the initially inflammatory implantation site, or following a recovery
time (1 or 2 weeks for pellets and scaffolds, respectively). After IL-1beta
treatment, constructs generated by both HAC and HNC displayed a transient loss of
GAG (up to 21.8% and 36.8%, respectively) and, consistently, an increased
production of metalloproteases (MMP)-1 and -13. Collagen type II and the cryptic
fragment of aggrecan (DIPEN), both evaluated immunohistochemically, displayed a
trend consistent with GAG and MMPs production. HNC-based constructs exhibited a
more efficient recovery upon IL-1beta withdrawal, resulting in a higher
accumulation of GAG (up to 2.6-fold) compared to the corresponding HAC-based
tissues. On the other hand, HAC displayed a positive response to low oxygen
culture, while HNC were only slightly affected by oxygen percentage.
Collectively, under the conditions tested mimicking the postsurgery articular
environment, HNC retained a tissue-forming capacity, similar or even better than
HAC. These results represent a step forward in validating HNC as a cell source
for cartilage tissue engineering strategies.
PMID- 21902469
TI - Optimizing an intermittent stretch paradigm using ERK1/2 phosphorylation results
in increased collagen synthesis in engineered ligaments.
AB - Dynamic mechanical input is believed to play a critical role in the development
of functional musculoskeletal tissues. To study this phenomenon, cyclic uniaxial
mechanical stretch was applied to engineered ligaments using a custom-built
bioreactor and the effects of different stretch frequency, amplitude, and
duration were determined. Stretch acutely increased the phosphorylation of p38
(3.5+/-0.74-fold), S6K1 (3.9+/-0.19-fold), and ERK1/2 (2.45+/-0.32-fold). The
phosphorylation of ERK1/2 was dependent on time, rather than on frequency or
amplitude, within these constructs. ERK1/2 phosphorylation was similar following
stretch at frequencies from 0.1 to 1 Hz and amplitudes from 2.5% to 15%, whereas
phosphorylation reached maximal levels at 10 min of stretch and returned toward
basal within 60 min of stretch. Following a single 10-min bout of cyclic stretch,
the cells remained refractory to a second stretch for up to 6 h. Using the
phosphorylation of ERK1/2 as a guide, the optimum stretch paradigm was
hypothesized to be 10 min of stretch at 2.5% of resting length repeated every 6
h. Consistent with this hypothesis, 7 days of stretch using this optimized
intermittent stretch program increased the collagen content of the grafts more
than a continuous stretch program (CTL=3.1%+/-0.44%; CONT=4.8%+/-0.30%; and
INT=5.9%+/-0.56%). These results suggest that short infrequent bouts of loading
are optimal for improving engineered tendon and ligament physiology.
PMID- 21902471
TI - Nucleosides 7(9): synthesis, structure, and biological activity of new 6
arylidenamino-2-thio- and 2-benzylthiopyrimidine N-nucleosides.
AB - The condensation of 6-amino-2-thioxo-2,3-dihydro-1H-pyrimidine-4-one [compound
(1)] with aromatic aldehydes (2) afforded azomethine derivatives (3). The formed
azomethines underwent glycosidation with alpha-acetobromoglucose (4) to form the
corresponding pyrimidine N-glycosides (6) and not S-glycosides (5). The
interaction of (3) with 1-O-acetyl-2, 3, 5-tri-O-benzoyl-beta-D-ribofuranose (8)
afforded the corresponding pyrimidine N-riboside (10) and not S-riboside (9).
Deacetylation and debenzoylation of each of (6) and (10) by using methanolic
sodium methoxide afforded the corresponding free N-nucleosides (7) and (11),
respectively. Next, the reaction of 2-benzylthio-6-benzylidenaminouracil (13)
with (4) and (8) did not yield the corresponding protected N-nucleosides (14) and
(17), whereas it afforded (15) and (18), respectively. The latter compounds (15)
and (18) were stirred in methanolic sodium methoxide to yield the corresponding
free N-nucleosides (16) and (19), respectively. The structures of products have
been elucidated and reported and also some of the products were screened for
their antimicrobial activity. Graphical Abstract:
PMID- 21902470
TI - Efficient preparation of alkali-insoluble (1 -> 3)-beta-D-glucan.
AB - The alkali-insoluble (1 -> 3)-beta-d-glucan was prepared by alkaline-acid method
and followed deproteinization by alkaline method using yeast cell wall as the
starting material. The deproteinized product was characterized by infrared (IR)
spectroscopy, and the polysaccharide components were analysed by paper
chromatography. It indicated that the alkaline-acid method was an ideal way for
preparing (1 -> 3)-beta-d-glucan. The obtained glucan had high purity and good
yield.
PMID- 21902472
TI - Enzymatic probing analysis of an engineered riboswitch reveals multiple off
conformations.
AB - We investigated the gene regulatory mechanism of a previously engineered
riboswitch +thiMN(15)#19 that turns on gene expression in response to thiamine
pyrophosphate (TPP). In vitro enzymatic probing was performed to identify the
secondary structures of the OFF conformations predicted by Mfold. Interestingly,
enzymatic probing data of the riboswitch and its variants indicated that the
riboswitch in its OFF state adopts two distinct structures. Moreover, further in
vivo experiments suggested that both OFF structures contribute to the riboswitch
function. A deeper understanding of how riboswitches function at the molecular
level should enhance our ability to design synthetic riboswitches with new or
improved characteristics.
PMID- 21902473
TI - Sulfurization of dinucleoside phosphite triesters with chiral disulfides.
AB - Sixteen chiral analogues of phenylacetyl disulfide (PADS) and 5-methyl-3H-1,2,4
dithiazol-3-one (MEDITH) were used to sulfurize five dithymidine phosphite
triesters, each incorporating a beta-cyanoethoxy or siloxy group. Each mixture of
S(P):R(P) phosphite triester diastereomers was combined with approximately one
fourth of an equivalent of each of the sulfurizing reagents, and the R(PS):S(PS)
diastereomer ratios of the resulting phosphite sulfides or phosphorothioates were
determined by reverse-phase HPLC. Diastereoselectivities and corresponding
diastereomeric excess (de) values were calculated by correcting for the starting
triester diastereomer ratios. The highest de values for R(PS) and S(PS)
phosphorothioates were 14.7% and 7.9%, respectively, both using MEDITH analogues.
PMID- 21902474
TI - The role of initiator tRNAimet in fidelity of initiation of protein synthesis.
AB - The proper arrangement of amino acids in a protein determines its proper
function, which is vital for the cellular metabolism. This indicates that the
process of peptide bond formation requires high fidelity. One of the most
important processes for this fidelity is kinetic proofreading. As biochemical
experiments suggest that kinetic proofreading plays a major role in ensuring the
fidelity of protein synthesis, it is not certain whether or not a misacylated
tRNA would be corrected by kinetic proofreading during the peptide bond
formation. Using 2-layered ONIOM (QM/MM) computational calculations, we studied
the behavior of misacylated tRNAs and compared the results with these for cognate
aminoacyl-tRNAs during the process of peptide bond formation to investigate the
effect of nonnative amino acids on tRNAs. The difference between the behavior of
initiator tRNA(i) (met) compared to the one for the elongator tRNAs indicates
that only the initiator tRNA(i) (met) specifies the amino acid side chain.
PMID- 21902475
TI - An azo-based PNA monomer: synthesis and spectroscopic study.
AB - The full synthetic details and photospectroscopic characterization of a peptide
nucleic acid (PNA) monomer suitable for Fmoc-based oligomerization chemistry that
bears an azobenzene moiety as a base surrogate are reported. The monomer showed
the ability to quench the fluorescence emission of fluorescein and pyrene
luminophores and proved to be a competent Foster resonance energy transfer
partner in a PNA-based molecular beacon.
PMID- 21902477
TI - Prescribing authority during emergencies. Challenges for mental health care
providers.
PMID- 21902478
TI - To be or not to be my sister's keeper? A revised leagal framework safeguarding
savior siblings' welfare.
PMID- 21902479
TI - Middle of the road. Wakefield, Ohio, and pre-release planning for mentally-ill
inmates.
PMID- 21902480
TI - Informed consent for plastic surgery. Does it cut deeply enough?
PMID- 21902482
TI - Social Learning Theory and Behavioral Therapy: Considering Human Behaviors within
the Social and Cultural Context of Individuals and Families.
AB - This article examines theoretical thoughts of social learning theory and
behavioral therapy and their influences on human behavior within a social and
cultural context. The article utilizes two case illustrations with applications
for consumers. It points out the abundance of research studies concerning the
effectiveness of social learning theory, and the paucity of research studies
regarding effectiveness and evidence-based practices with diverse groups.
Providing a social and cultural context in working with diverse groups with
reference to social learning theory adds to the literature for more cultural
considerations in adapting the theory to women, African Americans, and diverse
groups.
PMID- 21902483
TI - Adolescent preventive health and team-games-tournaments: five decades of evidence
for an empirically based paradigm.
AB - The problematic behaviors of teenagers and the subsequent negative consequences
are extensive and well documented: unwanted pregnancy, substance abuse, violent
behavior, depression, and social and psychological consequences of unemployment.
In this article, the authors review an approach that uses a cooperative learning,
empirically based intervention that employs peers as teachers. This intervention
of choice is Teams-Games-Tournaments (TGT), a paradigm backed by five decades of
empirical support. The application of TGT in preventive health programs
incorporates elements in common with other prevention programs that are based on
a public health orientation and constitute the essential components of health
education, that is, skills training and practice in applying skills. The TGT
intervention supports the idea that children and adolescents from various
socioeconomic classes, between the ages of 8 and 18 and in classrooms or groups
ranging in size from 4 to 17 members, can work together for one another. TGT has
been applied successfully in such diverse areas as adolescent development,
sexuality education, psychoactive substance abuse education, anger control,
coping with depression and suicide, nutrition, comprehensive employment
preparation, and family intervention. This article reviews the extensive research
on TGT using examples of successful projects in substance abuse, violence, and
nutrition. Issues are raised that relate to the implementation of preventive
health strategies for adolescents, including cognitive aspects, social and family
networks, and intervention components.
PMID- 21902484
TI - General surgeon shortage in the United States: fact or fiction, causes and
consequences.
AB - There is evidence that supports a current undersupply of general surgeons with a
potential for worsening workforce shortages in the future. This article examines
this potential shortage along with its causes and possible consequences.
PMID- 21902485
TI - Financial incentives to promote health care quality: the hospital acquired
conditions nonpayment policy.
AB - Over a decade ago it was estimated that in the United States 98,000 patients die
each year from hospital acquired conditions (HAC). Recently it has been reported
that this many patients now die annually from hospital acquired infections (HAI)
alone. Currently, HAI affects 1.7 million U.S. citizens each year. Although these
conditions are often called "preventable errors," some are associated with
particular hospital and physician cultures, and many of these conditions, such as
pressure ulcer formation and infections, may be a sign of low facility staffing
levels. Protocols have been developed that have been shown to lower the incidence
of many HAC, but these have been slow to be adopted. Voluntary reporting
mechanisms to ensure health care quality are reported as having reduced
effectiveness by the Joint Commission and U.S. Department of Health and Human
Services, Office of Inspector General reports. Transparency and public education
have also met with resistance, but in the case of infections now have the support
of major national medical organizations. As a further initiative to promote
quality, financial incentives have been implemented by the Centers for Medicare
and Medicaid Services. Surgeons have lived under stringent financial incentives
since the mid-1980s when they were placed under global surgical fees. Medicare
currently must make expenditure reductions because it is at risk of becoming
insolvent within the decade. Implementation of financial incentives should depend
upon a balance between the nonpayment of providers for nonpreventable HAC verses
the promotion of health care quality and patient safety, the reduction in patient
morbidity and mortality, the spurring of mechanisms to further reduce HAC, and
the recouping of taxpayer dollars for HAC that could have been prevented.
PMID- 21902486
TI - An examination of the social, behavioral, and cognitive influences of infamous
individuals on media consumers.
AB - This article presents a substantial extant and predictive statement on social
cognitive theory (SCT), a well-known interpersonal communication theory coined by
Bandura (1986) and researched by prominent scholars in the social sciences. An
important rationale behind conducting this analysis is that it provides several
groundbreaking and unique applications of SCT through the exploration of infamous
celebrities (i.e., Michael Jackson, Keith Richards, Robert Downey, Jr., and
sexually perverted religious leaders) published in global media outlets. The
objective is to demonstrate the socially influential effects that these notorious
individuals pose on media consumers and interested parties, in line with
theoretical assumptions posited by SCT.
PMID- 21902488
TI - Response to Michael Ferguson's "Was Abraham Lincoln Gay?" (2010).
PMID- 21902489
TI - Male street prostitution in Dublin: a psychological analysis.
AB - This study assessed the mental health characteristics of 12 male street
prostitutes (MSPs) in Dublin, with particular regard to issues of homelessness,
substance abuse, depression, suicidal ideation, and self-esteem. Participants
completed five psychometric tests, which indicated that all of the participants
had above average levels of depression and suicidal ideation and low levels of
self-esteem. This study found that candidates likely to become MSPs are young
males with a combination of factors, including a background of childhood sexual
or physical abuse, leaving school early, running away from home, and a dependence
on heroin.
PMID- 21902490
TI - Learning about a child's gay or lesbian sexual orientation: parental concerns
about societal rejection, loss of loved ones, and child well being.
AB - This article reports the results of a study examining heterosexual parents'
concerns upon learning about their children's gay or lesbian sexual orientations.
Three areas of parental concern are noted: (a) those about what society thinks of
them because they have gay or lesbian children, (b) those about being rejected by
loved ones, and (c) concerns for their child's physical and psychological well
being. Results indicate that parents' concerns about having gay or lesbian
children differ depending on the gender of the parent, gender of the child,
awareness of stigma, and perceptions of parents' own gender role attributes.
PMID- 21902491
TI - Creative work environments in sport organizations: the influence of sexual
orientation diversity and commitment to diversity.
AB - Drawing from creative capital theory, the purpose of this study was to examine
the degree to which sexual orientation diversity and commitment to diversity were
predictive of workplaces that fostered creativity. Data were collected from 653
senior level athletic administrators and aggregated to the athletic department
level of analysis (n = 199). Moderated regression indicated that sexual
orientation diversity did not influence the presence of a creative work
environment. There was however, a significant sexual orientation diversity *
commitment to diversity interaction. When commitment to diversity was high, there
was a positive association between sexual orientation diversity and a creative
work environment; on the other hand, when commitment to diversity was low, the
aforementioned relationship was negative. Results provide support for the notion
that all diversity forms can be a source of enrichment and understanding, thereby
benefiting the workplace.
PMID- 21902492
TI - A paradise for LGBT rights? The paradox of Belgium.
AB - How is it that a small country such as Belgium, with its reputation of relative
conservatism, has jumped to the forefront of LGBT-friendly nations when it comes
to the extension of rights to, and implementation of government policies for, its
LGBT population? The analysis offered here focuses on a combination of six
causes: the impact of wider secularization processes; the political history and
culture of the country; the organization of especially the Flemish LGBT movement
and reasons for its political effectiveness; mainstream social trends in national
scapegoating hierarchies; the overall media environment; and the window of
opportunity opened by the political landslide of 1999.
PMID- 21902493
TI - Same-sex marriage and context-specific kinship terms.
AB - This study investigates whether married gays and lesbians in Massachusetts are
using the kinship terms commonly associated with marriage in referring to and
introducing their marriage partners and, if not, whether alternative terms are
being used in a variety of social contexts. We demonstrate through survey and
interview data that marriage-related terms are used discriminately, are
consciously chosen, and are context specific. Choices are dependent on a variety
of factors related to personal demographics, speech community associations,
intimacy, identity, and safety. A significant difference in the use of terms
after legal marriage has occurred suggesting a shift in attitude.
PMID- 21902494
TI - Individuals' beliefs about the etiology of same-sex sexual orientation.
AB - We examined the relationships between beliefs about the etiology of having a same
sex sexual orientation, sexual prejudice, and support for gay-relevant
legislation using the justification-suppression model of prejudice as our
theoretical foundation. Results indicated that more belief that a same-sex sexual
orientation was due to nurture factors predicted less support for gay-relevant
legislation, and that this relationship was mediated by levels of sexual
prejudice. The opposite pattern was found for belief that a same-sex sexual
orientation was due to nature factors. This suggests that beliefs about the
etiology of sexual orientation may serve as justification (or suppression)
factors in the expression of prejudice toward gay men and lesbians.
PMID- 21902495
TI - Intergroup dialogue courses on sexual orientation: lesbian, gay and bisexual
student experiences and outcomes.
AB - Intergroup dialogue is a method of social justice education. Most intergroup
dialogue research explores race and gender identities. Sexual orientation
dialogues are uncommon and not yet examined empirically. This qualitative study
explores sexual orientation dialogue courses from the perspective of lesbian,
gay, and bisexual (LGB) student participants. Understanding target, or
marginalized, group perspective of planned intergroup experiences is important
given concerns raised in the literature. We document student motivations for
participating in dialogues, core outcomes, and main challenges that arose in
dialogue. Core outcomes include learning about and accepting one's sexual
identity and empowerment. Challenges include those stemming from invisibility of
sexual orientation identity. Recommendations are made for intergroup dialogue
practice and research.
PMID- 21902499
TI - Pharmacogenetic analyses of cisplatin-induced nephrotoxicity indicate a
renoprotective effect of ERCC1 polymorphisms.
AB - AIM: We investigated whether genetic polymorphisms may contribute to the
interpatient variability of cisplatin-induced nephrotoxicity. PATIENTS & METHODS:
Polymorphisms in the candidate genes GSTM1, GSTT1, OCT1, OCT2, LARP2, ERCC1,
XRCC1 and EPO were analyzed for associations with nephrotoxicity in 79 cancer
patients receiving cisplatin-containing chemotherapy. RESULTS: Higher cisplatin
dose was associated with strongly decreased estimated glomerular filtration rates
(eGFR) (r(2) = 0.205). Two highly genetically linked polymorphisms in the ERCC1
gene, 8092C>A and Asn118Asn, were significantly associated with change in eGFR,
accounting for an additional 13% of interindividual variability. Homozygous
carriers of the 8092A allele in ERCC1 showed no reduction in eGFR, compared with
the 11.5% mean eGFR decrease in C allele carriers (p = 0.004). Homozygous
carriers of the C allele of Asn118Asn showed no reduction in eGFR, compared with
the 12.8% mean eGFR decrease seen in T allele carriers (p = 0.047). Polymorphisms
in the other candidate genes were not associated with cisplatin-induced
nephrotoxicity. CONCLUSION: Genetic polymorphisms in ERCC1 may be valuable
predictors of cisplatin-induced nephrotoxicity.
PMID- 21902500
TI - Impact of genetic polymorphisms in ABCB1, CYP2B6, OPRM1, ANKK1 and DRD2 genes on
methadone therapy in Han Chinese patients.
AB - AIM: The present study explored the integrative effect of genes encoding
methadone pharmacokinetic and pharmacodynamic pathways on methadone maintenance
doses in Han Chinese Patients. MATERIALS & METHODS: Genomic DNA was extracted
from 321 opioid-dependent patients and 202 healthy controls, and realtime-PCR and
PCR-RFLP were conducted to determine the genotypes. RESULTS: Pair-wise
comparisons revealed that carriers of the variants ABCB1 3435C>T or CYP2B6 516G>T
alleles were more likely to require a higher methadone dose than noncarriers
(both p < 0.0001). On the other hand, carriers of the variant DRD2 -214A>G or
939C>T allele had a twofold chance of requiring a lower methadone dose than
noncarriers (p = 0.001). Proportional odds regression with adjustment of
cofactors demonstrated that ABCB1, CYP2B6, OPRM1, ANKK1 and DRD2 genetic variants
were jointly correlated with optimal methadone dose (adjusted r(2) = 53%).
CONCLUSIONS: These findings provide new insight to the fact that the
interindividual variability of methadone dosage requirement is polygenetic and
cannot be explained by a single-gene effect.
PMID- 21902501
TI - Genetic polymorphisms in CYP3A4 are associated with withdrawal symptoms and
adverse reactions in methadone maintenance patients.
AB - AIM: Methadone maintenance therapy is one of the standard treatments for heroin
addiction. The isozyme CYP3A4 of the CYP system is one of the metabolic enzymes,
as well as CYP2B6, responsible for the metabolism of methadone. The aim of the
present study is to evaluate the potential use of genetic polymorphisms in CYP3A4
as biomarkers for the prediction of methadone treatment responses. MATERIALS &
METHODS: A total of 366 Han Chinese methadone maintenance treatment patients in
Taiwan were recruited in this study. Main clinical assessments included the
clinical opioid withdrawal scale (COWS), the treatment emergent symptom scale
(TESS) and the plasma concentrations of methadone and its metabolites. Genetic
associations of six SNPs in the CYP3A4 gene were calculated using a general
linear model. RESULTS: Genotypes and allele types of rs4646440 and rs2242480 were
found to be significantly associated with the severity of withdrawal symptoms
rated by COWS (p = 0.012, 0.0096, 0.017 and 0.012, respectively) as well as the
side effects rated by TESS (p = 0.0089, 0.028, 0.0027 and 0.0085, respectively).
The allele types associated with more severe withdrawal symptoms are also
associated with more severe side effects and less betel nut (Areca catechu) use
(p = 0.009 for rs4646440, p = 0.0063 for rs2242480). Further analyses on specific
withdrawal symptoms in COWS showed that the genetic variants in rs4646440 are
significantly associated with heart rate (allele type p = 0.0019). CONCLUSION:
These results suggested that genetic variants in the CYP3A4 gene may be useful
indicators for the severity of side effects and withdrawal symptoms for methadone
treatment.
PMID- 21902502
TI - Effect of a new functional CYP3A4 polymorphism on calcineurin inhibitors' dose
requirements and trough blood levels in stable renal transplant patients.
AB - AIMS: CYP3A4 is involved in the oxidative metabolism of many drugs and
xenobiotics including the immunosuppressants tacrolimus (Tac) and cyclosporine
(CsA). The objective of the study was to assess the potential influence of a new
functional SNP in CYP3A4 on the pharmacokinetic parameters assessed by dose
requirements and trough blood levels of both calcineurin inhibitors (CNI) in
stable renal transplant patients. PATIENTS & METHODS: A total of 99 stable renal
transplant patients receiving either Tac (n = 49) or CsA (n = 50) were genotyped
for the CYP3A4 intron 6 C>T (rs35599367) and CYP3A5*3 SNPs. Trough blood levels
([Tac](0) or [CsA](0) in ng/ml), dose-adjusted [Tac](0) or [CsA](0) (ng/ml per
mg/kg bodyweight) as well as doses (mg/kg bodyweight) required to achieve target
concentrations were compared among patients according to allelic status for
CYP3A4 and CYP3A5. RESULTS: Dose-adjusted concentrations were 2.0- and 1.6-fold
higher in T-variant allele carriers for the CYP3A4 intron 6 C>T SNP compared with
homozygous CC for Tac and CsA, respectively. When CYP3A4/CYP3A5 genotypes were
combined, the difference was even more striking as the so-defined CYP3A poor
metabolizer group presented dose-adjusted concentration 1.6- and 4.1-fold higher
for Tac, and 1.5- and 2.2-fold higher for CsA than the intermediate metabolizer
and extensive metabolizer groups, respectively. Multiple linear regression
analysis revealed that, taken together, both CYP3A4 intron 6 and CYP3A5*3 SNPs
explained more than 60 and 20% of the variability observed in dose-adjusted
[Tac](0) and [CsA](0), respectively. CONCLUSION: The CYP3A4 intron 6 C>T
polymorphism is associated with altered Tac and CsA metabolism. CYP3A4 intron 6
C>T along with CYP3A5*3 (especially for Tac) pharmacogenetic testing performed
just before transplantation may help identifying patients at risk of CNI
overexposure and contribute to limit CNI-related nephrotoxicity by refining the
starting dose according to their genotype. Original submitted 5 May 2011;
Revision submitted 29 June 2011.
PMID- 21902503
TI - ABCB1 polymorphisms and neuropsychiatric adverse events in oseltamivir-treated
children during influenza H1N1/09 pandemia.
AB - AIMS: To examine the safety profile of oseltamivir in children and evaluate the
impact of P-glycoprotein polymorphisms on the incidence of neuropsychiatric
adverse events (NPAE) in oseltamivir-treated children. SUBJECTS & METHODS: This
prospective cohort study was conducted in our tertiary care pediatric hospital
(University Hospitals of Geneva, Switzerland) during the H1N1 pandemia, between 1
October 2009 and 31 January 2010. All newborn to 18 year-old patients presenting
at the emergency department with a flu-like illness were eligible for inclusion.
Adverse events were systematically recorded by pediatricians and/or by parents at
home using a diary card, with a 30-day follow-up period. The causality assessment
of oseltamivir in NPAE was performed by two clinical pharmacologists. After
informed consent, enrolled patients were also genotyped for ABCB1 3435C>T
(rs1045642) and 2677G>T/A (rs2032582) polymorphisms. RESULTS: Among the 42 H1N1
infected, oseltamivir-treated children who were genotyped for ABCB1 3435C>T and
2677G>T/A variants, 36% presented NPAE. When examining the association between
the diplotype and the development of NPAE, we observed that the frequency of NPAE
displayed a 'genotype-trend effect' with the variant and the wild-type subgroups
at the two far ends. A total of 11% of the 2677GG-3435CC individuals (wild-type
homozygous) presented NPAE, compared with 39% of the individuals being
heterozygous for at least one variant allele and 67% of the 2677TT-3435TT
individuals (homozygous variants) (p = 0.149, nonsignificant). CONCLUSION: These
observations suggest a potential influence of ABCB1 polymorphisms in oseltamivir
related NPAE, maybe as a result of an enhanced permeability of the blood-brain
barrier to oseltamivir
PMID- 21902505
TI - FRONTLINE: secure attachment and traumatic life events.
PMID- 21902506
TI - The psychoanalysis and death of George Gershwin: an American tragedy.
AB - The story of the noted composer George Gershwin's psychoanalysis and death
resulting from an undiagnosed brain tumor 70 years ago are known today only in a
garbled, incomplete form through biography and legend rather than history among
psychoanalysts, neurologists, and neurosurgeons. This article examines his
psychoanalysis with Gregory Zilboorg and the events and course of his final
illness to the extent possible with the historical material now available. It
provides an account of the behavior of his psychoanalyst in a variety of contexts
as well as the actions of the other physicians attending him. We cannot know, but
can only infer, what went on in his psychoanalytic sessions or his medical
examinations; about this the reader will have to draw his or her own conclusions.
PMID- 21902508
TI - On emergence: a neo-psychoanalytic essay on change and science.
AB - The neo-psychoanalytic paradigm re-establishes the connection between
psychodynamics and evolution. This allows us to transcend the limitations of
dualistic metapsychology, and to make seminal contributions to traditional
science. The new paradigm employs the concept of emergence, the potential for
change in the evolutionary and clinical process. Emergence is described as
originating with the Big Bang, but also is reflected at much higher levels, for
example, biochemistry, or the capacity of the evolved mind to produce insights in
psychotherapy. The constraints of dualistic theories are examined. A neuron-based
view of change illustrates the evolution of traditional science as well as the
neuron, itself. The new mind paradigm recognizes individual, familial,
communitarian, and global reciprocal influences mediated by culture and
illustrated by the extended mind and the democratic spirit. Thus both traditional
and psychodynamic sciences are undergoing revolutionary changes in their common
efforts to better understand the mechanisms of knowledge, relationship and
consciousness. The boundaries of the self and the consultation suite are also
expanded in this view. Following a survey of invagination, the work is concluded
by an application of emergence theory to the creationist controversy and Freud's
views of religion.
PMID- 21902507
TI - The impact of cultural evolution on the ego ideal, depression, psychosis, and
suicide: a South India community study of the widow.
AB - Cultural factors have a significant impact on the manifestation of psychiatric
illness and the development of the ego ideal. The evolution of the widow's
cultural role in a South India village provides insight on the ego ideal through
several generations. As treatment of widows changed so that their appearance
became indistinguishable from other women, they no longer became objects of
revulsion. A case study approach documents the interrelationship of changes in
the cultural ego ideal on psychiatric illness among widows in a South India
village over a period of more than four decades.
PMID- 21902509
TI - The partnership of psychoanalysis and psychiatry in the treatment of psychosis
and borderline states: its evolution in North America.
AB - The history of psychiatry is about two hundred years old and that of
psychoanalysis more than a hundred, with an important anniversary of the latter
in 2011. Freud renewed and humanized psychiatry by enriching its static
descriptive method with the new dynamic and interpretive discoveries of
psychoanalysis. Freud's innovations, while developed in Europe, were integrated
into psychiatry briefly by the Swiss School but mainly in the United States.
After many years of fruitful collaboration psychiatry and psychoanalysis seemed
to part company in the U.S. in the last few decades. However, the tradition of
combining psychiatric care with dynamic principles is still considered valid in
the treatment of psychoses and severe personality disorders.
PMID- 21902510
TI - The study of psychic trauma.
AB - This article starts from the DSM definition of psychic trauma. A central source
in this field is the 1992 book by Judith Herman. One line of investigation is the
sexual abuse of women and children. In an early phase, both Janet and Freud
described dissociation as a reaction to trauma. In 1897, Freud disputed the
reality of sexual trauma, a position countered later by Ferenczi. In a later
phase, this subject was investigated by the American feminist movement. Studies
of physical abuse are then described, followed by mental abuse and neglect.
Another line of investigation is combat neurosis. The two lines converged in the
definition of PTSD and its incorporation into the DSM in 1980. The views on
trauma of John Bowlby and Alice Miller are also discussed. The integration of the
relational model in psychoanalysis with the trauma literature is presented. The
most recent advances are located in neurobiology. The discussion makes a
preliminary investigation of the remote causes of war and sexual violence.
PMID- 21902511
TI - The role of identification in dynamic psychiatry and psychotherapy.
AB - Identification-a psychic process in which a person takes on characteristics of
another-is a concept important to the understanding of human nature. It plays an
important role in how our personalities develop, in our ability to deal with
life's stresses, and in how we interact with other people. Knowledge of its
manifestations is essential to dynamic psychiatry and to its applications in
psychotherapy. This article defines identification and reviews its role in
development and as a defense. It discusses its role in the psychopathology of
disorders commonly encountered in psychotherapy practice-depression and anxiety
states reactive to losses in life, and borderline states. Clinical vignettes
illustrate how identification functions in these conditions, and also how
identifications reveal themselves in the transference and are utilized in
psychotherapy. A teaching vignette illustrates how important it is that residents
learning the art of psychotherapy appreciate the therapeutic potential of
identification. The article maintains that, although it often goes unrecognized,
identification with the therapist is one of the most effective therapeutic
devices in the transference.
PMID- 21902512
TI - Aspects of psychodynamic neuropsychiatry III: magic spells, the placebo effect,
and neurobiology.
AB - Through a case study, the importance of supporting the positive transference is
stressed-from both a psychological and neurobiological perspective. The article
argues that the neurobiology of expectation underlies transference. This
neurobiology has been investigated particularly over the past several decades in
work concerning the placebo effect. By understanding the neurobiology of
expectation, one gains a better understanding of the neurobiology of the
transference. This enables clinical predictions-and decisions-that are informed
not just by the teachings of psychology but also by the science of biology.
PMID- 21902515
TI - News from Clinical Research Office of the Endourological Society (CROES).
PMID- 21902516
TI - Welcome to the World Congress of Endourology Highlights edition.
PMID- 21902517
TI - Urologic robot-assisted laparoendoscopic single-site surgery using a homemade
single-port device: a single-center experience of 68 cases.
AB - PURPOSE: To describe our experience with robot-assisted laparoendoscopic single
site (R-LESS) surgeries and evaluate a homemade port system as an effective
access technique. PATIENTS AND METHODS: Between May 2009 and April 2010, 68
consecutive R- LESS urologic operations were performed in our institution. A 4 to
5 cm long incision was made over the umbilicus. After the inner ring of the
Alexis wound retractor was placed into the peritoneum, a common size 7 surgical
glove was then applied over the external side of the wound retractor. A homemade
single port was established by inserting two 12-mm trocars and two 8-mm trocars
through fingers of a surgical glove and securing it to the port. RESULTS: Sixty
eight patients underwent R-LESS, including partial nephrectomy in 51,
nephroureterectomy in 12, radical nephrectomy and adrenalectomy in 2 each, and
simple nephrectomy in 1. Mean patient age was 56 years (range 16-81 y). Mean body
mass index was 23.9 kg/m(2) (range 17.2-32.9 kg/m(2)). The mean operative time
was 219 minutes (range 109-382 min). Mean estimated blood loss 319 mL (range 50
1550 mL), and change in hematocrit was 5.2 % (range 0.0-14.8%). At a mean follow
up of 8 months, there were no port-related complications, and cosmesis was
excellent. CONCLUSIONS: R-LESS is feasible and can be safely applied to a variety
of urologic operations, considering the low intraoperative complication rate. Our
homemade single-port device provides adequate range of motion and is more
flexible in port placement for R-LESS than the current multichannel port.
PMID- 21902518
TI - Selective renal parenchymal clamping in robot-assisted laparoscopic partial
nephrectomy: a multi-institutional experience.
AB - PURPOSE: We describe our multi-institutional experience using a laparoscopic
clamp to induce selective regional ischemia during robot-assisted laparoscopic
partial nephrectomy (RALPN) without hilar occlusion. PATIENTS AND METHODS: A
retrospective review of Institutional Revew Board-approved databases of patients
who underwent selective regional clamping during RALPN at four institutions was
performed. RESULTS: In 20 patients who were treated for elective indications,
RALPN with parenchymal clamping was successful in 17 (85%). Mean age was 63 years
(24-78 y). Median tumor diameter was 2.2 cm (1.1-7.2 cm). Mass location was polar
in 13 (76%) and interpolar in 4 (24%). Median R.E.N.A.L. nephrometry score was 6
(4-10). Median overall operative time was 190 minutes (129-309 min), while
selective clamp time was 26 minutes (19-52 min). Collecting system repair
occurred in 8 (47%) patients. No patients needed a blood transfusion. There was
no significant difference in preoperative (median 86 mL/min/1.73 m(2)) and
immediate postoperative glomerular filtration rate (GFR) (median 78 mL/min/1.73
m(2), P=0.33) or with the most recent GFR (median 78 mL/min/1.73 m(2), P=0.54) at
a mean follow-up of 6.1 months (1.2-11.9 mos). Final pathology determination
revealed renal-cell carcinoma in 71% with no positive margins on frozen or final
evaluation. In three additional patients who were undergoing RALPN, bleeding
because of incomplete distal clamp compression necessitated subsequent central
hilar clamping for the completion of the procedure. CONCLUSIONS: In our
preliminary multi-institutional experience, regional ischemia using a
laparoscopic parenchymal clamp is feasible during RALPN for hemostasis. Careful
preoperative selection of patients is needed to determine ideal patient and tumor
characteristics. Further comparison studies are necessary to determine the true
utility of this technique.
PMID- 21902519
TI - An objective scoring system for laparoscopic nephrectomy.
AB - BACKGROUND AND PURPOSE: The current first-line recommended modality for
nephrectomy is by the laparoscopic approach. This is one of the most frequent
laparoscopic interventions conducted in urology. From a skills acquisition and
delivery perspective in minimally invasive urologic surgery, there is a paucity
of objective scoring systems for advanced laparoscopic urologic procedures. We
developed a system of direct observation with structured criteria to evaluate the
surgical conduction of laparoscopic nephrectomy (LN). We tested the application
and preliminary validity of the scoring system. METHODS: Sixty cases of
prerecorded LN performed in four teaching hospitals were each analyzed by four
mentors. Each mentor scored each case based on a 100-point scoring systemthat
comprised 20 key steps for LN (each step ranging 0 to 5). Steps included port
placement and safety checks in addition to the actual case. In addition, a
negative marking system based on a 50-point index scoring system was deployed
such that technically unsound techniques were penalized. The sum of the two
resulted in the final score. The final scores independently submitted for each
recorded case were analyzed and compared. The system was then used to predict the
experience of a surgeon for 10 pilot cases. The cases included a mix of five
fellows and five experienced laparoscopic urologic surgeons. The cases were
blinded to the independent assessors. A further 20 cases involving 10 cases
performed by a trainee who sufficiently completed training (as deemed by the
recent award of a certificate of specialist training in urology) vs one who is
not ready were reviewed. RESULTS: There was no significant difference in the
scores submitted by each of the four mentors for each of the cases observed.
There was a strong correlation between overall score and seniority/experience of
the performing surgeon of each case; ie, it was able to predict whether an
experienced surgeon or laparoscopic fellow performed the case. It was able to
predict accurately between a trainee who sufficiently completed training vs one
who is "not ready." CONCLUSION: The scoring system was a reliable tool for
assessing the performance of LN and accurately predicts the level of experience
of the surgeon. This system could be a useful supplementary tool for assessing
the baseline skill and progress of trainees.
PMID- 21902524
TI - Genome diagnostics: next-generation sequencing, new genome-wide association
studies and clinical challenges.
PMID- 21902525
TI - Oncologic theranostics: recognition of this concept in antigen-directed cancer
therapy for colorectal cancer with anti-TAG-72 monoclonal antibodies.
PMID- 21902526
TI - Progress and challenges in developing a molecular diagnostic test for
neurofibromatosis type 1.
PMID- 21902528
TI - APTIMA(r) Trichomonas vaginalis, a transcription-mediated amplification assay for
detection of Trichomonas vaginalis in urogenital specimens.
AB - The APTIMA((r)) Trichomonas vaginalis (APTIMA TV; Gen-Probe Inc.) assay is the
only amplification-based assay for T. vaginalis (TV) currently cleared by the US
FDA. The assay was cleared in April 2011. APTIMA TV utilizes target capture
specimen processing, transcription-mediated amplification and chemiluminescent
probe hybridization for the qualitative detection of TV ribosomal RNA. The assay
is used for the screening/diagnosis of trichomoniasis in women. Specimen types
that can be used include physician-collected endocervical swabs, vaginal swabs,
endocervical specimens collected in PreservCyt((r)) (Thin Prep, Hologic
Incorporated, MA, USA) solution and female urine specimens. The APTIMA TV assay
has shown superior performance in side-by-side comparisons with other diagnostic
methods in all patient populations and specimen types tested. Clinical
sensitivity and specificity are >95 and 98%, respectively. The APTIMA TV assay
fills a significant void in sexually transmitted infection diagnostics.
PMID- 21902529
TI - Biomarkers research in Europe: focus on personalized medicine.
AB - The sixth annual European Biomarkers Summit took place in London, UK, on 18-19
May 2011. It was part of a larger event, organized by Select Biosciences, with
meetings on molecular diagnostics, single cell analysis and theranostics for
personalized medicine. The Biomarkers Summit featured 17 invited talks from
academics and industry researchers, a number of poster presentations and
exhibitions from several companies marketing biomarker-related technologies and
consumables. The focus was broad, covering various aspects of biomarker
discovery, qualification, and applications, and a variety of diseases including
cancer, neurodegenerative conditions and infectious diseases. Gene-based, as well
as protein-based, platforms for biomarkers identification and analysis were
discussed.
PMID- 21902530
TI - Epigenetic alteration of microRNAs in feces of colorectal cancer and its clinical
significance.
AB - MicroRNAs regulate target gene expression through translation repression or mRNA
decay, and they are emerging as important modulators in cellular pathways.
Previous studies have shown the occurrence of epigenetically modified miRNAs in
colorectal cancer (CRC), identifying these miRNA methylation signatures may
provide candidate markers for the detection of malignant colonocytes. Fecal-based
tests are widely adopted as noninvasive methods for CRC diagnosis, thus several
studies have attempted to use miRNAs from feces as CRC markers. This article
evaluates a recently published study investigating the usefulness of
epigenetically silenced miRNAs in fecal specimens, including miR-34b/c and miR
148a, as potential markers for CRC screening and prognosis.
PMID- 21902531
TI - Critical role of laser microdissection for genetic, epigenetic and proteomic
analyses in pancreatic cancer.
AB - Pancreatic ductal adenocarcinoma (PDAC) is a lethal disease, and molecular
studies to unravel novel biomarkers and therapeutic targets are warranted.
However, PDAC is characterized by different precursor lesions, as well as by an
intense desmoplastic reaction, with islet of neoplastic cells often representing
a minor population. Moreover, normal ductal cells, which are considered to be the
normal counterpart of pancreatic adenocarcinoma cells, comprise approximately 5%
of the total population of cells making up this organ. For all these reasons,
molecular techniques to identify critical mutations, as well as the pattern of
altered mRNA/microRNA/protein expression should be performed on selected
pancreatic cell subpopulations. Therefore, the use of the newest laser
microdissection techniques is critical for the analysis of PDAC biological
characteristics. This article highlights the most recent and clinically relevant
aspects of genetic, epigenetic and proteomic analyses of PDAC from the
perspective of the application of laser microdissection.
PMID- 21902532
TI - Expanding DNA diagnostic panel testing: is more better?
AB - During the last 25 years, a small number of meaningful DNA-based diagnostic tests
have been available to aid in the diagnosis and subsequent treatment of heritable
disorders. These tests have targeted a limited number of genes and are often
ordered in serial testing strategies in which results from one preliminary test
dictate the subsequent test orders. This approach can be both time and resource
intensive when a patient requires several genes to be sequenced. Recently, there
has been much discussion regarding how 'massively parallel' or 'next-generation'
DNA sequencing will impact clinical care. While the technology promises to reduce
the cost of sequencing an entire human genome to less than US$1000, one must
question the diagnostic utility of complete genome sequencing for routine
clinical testing, given the many interpretive challenges posed by this approach.
At present, it appears next-generation DNA sequencing may provide the greatest
benefit to routine clinical testing by enabling comprehensive multigene panel
sequencing. This should provide an advantage over traditional Sanger-based
sequencing strategies while limiting the total test output to sets to genes with
known diagnostic value. This article will discuss the current and near future
state of clinical testing approaches and explore what challenges must be
addressed in order to extract diagnostic value from whole-exome sequencing and
whole-genome sequencing, using hereditary colon cancer as an example.
PMID- 21902533
TI - Microfluidic platforms for performing surface-based clinical assays.
AB - The need for fast, specific and portable diagnostic systems for clinical assays
has, in recent years, led to an explosion of research into microfluidic chip
based immunoassays towards rapid point-of-care analysis. Such devices exploit
small dimensions, superior fluidic control and low reagent volumes to allow a
number of clinically important procedures to be achieved with improvements on
conventional methods, many of which rely on the surface-based binding of antigens
to antibodies. Here, we discuss recent developments and innovations in the area
of on-chip surface-based immunoassays and provide an outlook on the potential of
such platforms for future diagnostics.
PMID- 21902534
TI - Translating potential biomarker candidates for schizophrenia and depression to
animal models of psychiatric disorders.
AB - Schizophrenia and major depressive disorder are severe mental illnesses, which
are diagnosed based on patient interviews. Despite many years of extensive
research, scientists have not yet fully deciphered how genetic and environmental
factors interact to cause these illnesses. Biomarker tests that can confirm
diagnoses of schizophrenia or depression are only now beginning to emerge, and
could result in a paradigm shift in this field. These tests will help to evaluate
the validity of animal models of psychiatric disorders, which are currently
characterized based on behavioral measures. In this article, we explore the
utility of translating both behavioral and molecular phenotypes of such models to
the corresponding human disorders. This approach may help to provide construct
validity to animal models and could lead to the identification of models
corresponding to defined subtypes of neuropsychiatric disorders based on
molecular profiles. Here, we review the molecular and biological pathway
alterations that have been found in animal models of schizophrenia and depression
and focus on those that are mirrored by similar abnormalities in human patients.
Such parallels may provide insight into the validity of specific animal models
and therefore help to provide more valuable and accurate tools for the discovery
and development of improved psychiatric medications.
PMID- 21902535
TI - Single-cell gene-expression profiling and its potential diagnostic applications.
AB - Gene-expression profiling has been successfully applied in various diagnostic
applications, but its full capacity is yet to be realized. Samples are generally
prepared from a mixture of different cells that are present in unknown
proportions. Cells are, in many aspects, unique in their characteristics and this
heterogeneity confounds the expression profile. The development of new and robust
techniques to measure gene expression in single cells opens new avenues in
molecular medicine. Today, gene-expression profiles of individual cells can be
measured with high precision and accuracy, identifying different cell types as
well as revealing heterogeneity among cells of the same kind. Here, we review
practical aspects of single-cell gene-expression profiling using reverse
transcription quantitative real-time PCR and its potential use in diagnostics.
PMID- 21902536
TI - Molecular diagnostics: harmonization through reference materials, documentary
standards and proficiency testing.
AB - There is a great need for harmonization in nucleic acid testing for infectious
disease and clinical genetics. The proliferation of assay methods, the number of
targets for molecular diagnostics and the absence of standard reference materials
contribute to variability in test results among laboratories. This article
provides a comprehensive overview of reference materials, related documentary
standards and proficiency testing programs. The article explores the
relationships among these resources and provides necessary information for people
practicing in this area that is not taught in formal courses and frequently is
obtained on an ad hoc basis. The aim of this article is to provide helpful tools
for molecular diagnostic laboratories.
PMID- 21902538
TI - Gene therapy for traumatic central nervous system injury and stroke using an
engineered zinc finger protein that upregulates VEGF-A.
AB - Recent studies have identified anti-apoptotic functions for vascular endothelial
growth factor (VEGF) in the central nervous system (CNS). However, VEGF therapy
has been hampered by a tendency to promote vascular permeability, edema, and
inflammation. Recently, engineered zinc finger proteins (ZFPs) that upregulate
multiple forms of VEGF in their natural biological ratios, have been developed to
overcome these negative side effects. We used retinal trauma and ischemia models,
and a cortical pial strip ischemia model to determine if VEGF upregulating ZFPs
are neuroprotective in the adult CNS. Optic nerve transection and ophthalmic
artery ligation lead to the apoptotic degeneration of retinal ganglion cells
(RGCs) and are, respectively, two highly reproducible models for CNS trauma or
ischemia. Adeno-associated vectors (AAV) vectors encoding VEGF-ZFPs (AAV-VEGF
ZFP) significantly increased RGC survival by ~twofold at 14 days after optic
nerve transection or ophthalmic artery ligation. Furthermore, AAV-VEGF-ZFP
enhanced recovery of the pupillary light reflex. RECA-1 immunostaining
demonstrated no appreciable differences between retinas treated with AAV-VEGF-ZFP
and controls, suggesting that AAV-VEGF-ZFP treatment did not affect retinal
vasculature. Following pial strip of the forelimb motor cortex, brains treated
with an adenovirus encoding VEGF ZFPs (AdV-ZFP) showed higher neuronal survival,
accelerated wound contraction, and reduced lesion volume between 1 and 6 weeks
after injury. Behavioral testing using the cylinder test for vertical exploration
showed that AdV-VEGF-ZFP treatment enhanced contralateral forelimb function
within the first 2 weeks after injury. Our results indicate that VEGF ZFP therapy
is neuroprotective following traumatic injury or stroke in the adult mammalian
CNS.
PMID- 21902539
TI - Ethanol intoxication is associated with a lower incidence of admission
coagulopathy in severe traumatic brain injury patients.
AB - The aim of this study was to determine the impact of ethanol (ETOH) on the
incidence of severe traumatic brain injury (sTBI)-associated coagulopathy and to
examine the effect of ETOH on in-hospital outcomes in patients sustaining sTBI.
Patients admitted to the surgical intensive care unit from June 2005 through
December 2008 following sTBI, defined as a head Abbreviated Injury Scale (AIS)
score >=3, were retrospectively identified. Patients with a chest, abdomen, or
extremity AIS score >3 were excluded to minimize the impact of extracranial
injuries. Criteria for sTBI-associated coagulopathy included thrombocytopenia
and/or elevated International Normalized Ratio (INR) and/or prolonged activated
partial thromboplastin time (aPTT). The incidence of admission coagulopathy, in
hospital complications, and mortality were compared between patients who were
ETOH positive [ETOH (+)] and ETOH negative [ETOH (-)]. During the study period,
there were 439 patients with ETOH levels available for analysis. Overall, 46.5%
(n=204) of these patients were ETOH (+), while 53.5% (n=235) were ETOH (-).
Coagulopathy was significantly less frequent in the ETOH (+) patients compared to
their ETOH (-) counterparts (5.4% versus 15.3%; adjusted p<0.001). In the forward
logistic regression analysis, a positive ETOH level proved to be an independent
protective factor for admission coagulopathy [OR (95% CI)=0.24 (0.10,0.54;
p=0.001]. ETOH (+) patients had a significantly lower in-hospital mortality rate
than ETOH (-) patients [9.8% versus 16.6%; adjusted p=0.011; adjusted OR (95%
CI)=0.39 (0.19,0.81)]. For brain-injured patients arriving alive to the hospital,
ETOH intoxication is associated with a significantly lower incidence of early
coagulopathy and in-hospital mortality. Further research to establish the
pathophysiologic mechanisms underlying any potential beneficial effect of ETOH on
the coagulation system following sTBI is warranted.
PMID- 21902540
TI - Laparoscopic partial nephrectomy versus laparoscopic ablative therapy: a
comparison of surgical and functional outcomes in a matched control study.
AB - BACKGROUND AND PURPOSE: Patients who are undergoing laparoscopic ablative therapy
(LAT) are often older with more comorbidities in comparison with patients who are
undergoing laparoscopic partial nephrectomy (LPN). A matched control study was
performed to compare the surgical and functional outcomes of LPN and LAT.
PATIENTS AND METHODS: A prospectively maintained database of 250 patients who
underwent nephron-sparing surgery was explored. Fifty-one LAT patients (21 and 30
laparoscopic radiofrequency and cryoablation, respectively) were matched with 51
LPN patients. A comparison of preoperative, operative, and postoperative outcomes
was performed. RESULTS: The groups were similar in age, sex, body mass index,
preoperative estimated glomerular filtration rate (eGFR), number of comorbidities
and tumor size. Patients who were undergoing LAT had a lower incidence of
endophytic tumor and higher incidence of upper pole and midpolar tumors. Hilar
vessels clamping was performed in LPN (47/51 patients). Mean estimated blood loss
and operative time were higher in those undergoing LPN (P<0.01). There was no
significant difference in transfusion rate and hospital stay, however. Mean
follow-up was 27 and 18 months in LAT and LPN, respectively (P<0.01). The mean
percent decline of eGFR at the last follow-up was 10 (95% confidence interval
[CI]: 4-15) and 7.5 (95% CI: 4-11), respectively (P<0.43). In comparison with
baseline, eGFR declined significantly (P<0. 01), but there was no difference
between the groups. CONCLUSION: Despite renal ischemia, longer operative time,
and higher blood loss associated with LPN, the hospital stay and long-term
functional outcomes are similar to those of LAT in a matched control study.
PMID- 21902541
TI - Re: reduced radiation exposure with the use of an air retrograde pyelogram during
fluoroscopic access for percutaneous nephrolithotomy (from: Lipkin ME, Mancini
JG, Zilberman DE, et al. J Endourol 2011;25:563-567).
PMID- 21902543
TI - Red blood cell engineering in stroma and serum/plasma-free conditions and long
term storage.
AB - In vitro generation of artificial red blood cells (RBCs) is very important to
overcome insufficient and unsafe blood supply. Despite recent progresses in RBCs
engineering from several stem cell sources, none of them could succeed in
generation of functional RBCs in the absence of serum/plasma and feeder cells.
Without the elimination of serum and plasma, human RBC engineering in a large
scale is impossible, especially for the future bioreactor system. Using an
appropriate combination of cost-effective and safe reagents, the present study
demonstrated the terminal maturation of hematopoietic stem cells into enucleated
RBCs, which were functional comparable to donated human RBCs. Surprisingly, the
viability of erythroid cells was higher in our serum- and feeder-free culture
condition than in the previous serum-added condition. This was possible by
supplementation with vitamin C in media and hypothermic conditions. Also, our
report firstly presents the storability of artificial RBCs, which possibility is
essential for clinical application. In summary, our report demonstrates
engineering of human applicable RBCs with a dramatically enhanced viability and
shelf-life in both serum- and stroma-free conditions. This innovative culture
technology could contribute to the realization of the large-scale pharming of
human RBCs using bioreactor systems.
PMID- 21902542
TI - Adjuvant hormonal therapy use among women with ductal carcinoma in situ.
AB - OBJECTIVE: In the absence of consistent guidelines for the use of adjuvant
hormonal therapy (HT) in treating ductal carcinoma in situ (DCIS), our purpose
was to explore a variety of factors associated with discussion, use, and
discontinuation of this therapy for DCIS, including patient, tumor, and treatment
related characteristics and physician-patient communication factors. METHODS: We
identified women from eight California Cancer Registry regions diagnosed with
DCIS from 2002 through 2005, aged >=18 years, of Latina or non-Latina white
race/ethnicity. A total of 744 women were interviewed an average of 24 months
postdiagnosis about whether they had (1) discussed with a physician, (2) used,
and (3) discontinued adjuvant HT. RESULTS: Although 83% of women discussed
adjuvant HT with a physician, 47% used adjuvant HT, and 23% of users reported
discontinuation by a median of 11 months. In multivariable adjusted analyses,
Latina Spanish speakers were less likely than white women to discuss therapy
(odds ratio [OR] 0.36, 95% confidence interval [CI] 0.18-0.69) and more likely to
discontinue therapy (OR 2.67, 95% CI 1.05-6.81). Seeing an oncologist for follow
up care was associated with discussion (OR 5.10, 95% CI 3.14-8.28) and use of
therapy (OR 4.20, 95% CI 2.05-8.61). Similarly, physician recommendation that
treatment was necessary vs. optional was positively associated with use (OR 11.2,
95% CI 6.50-19.4) and inversely associated with discontinuation (OR 0.38, 95% CI
0.19-0.73). CONCLUSIONS: Physician recommendation is an important factor
associated with use and discontinuation of adjuvant HT for DCIS. Differences in
discussion and discontinuation of therapy according to patient characteristics,
particularly ethnicity/language, suggest challenges to physician-patient
communication about adjuvant HT across a language barrier.
PMID- 21902544
TI - RNA interference-mediated vascular endothelial growth factor-C reduction
suppresses malignant progression and enhances mitomycin C sensitivity of bladder
cancer T24 cells.
AB - Vascular endothelial growth factor-C (VEGF-C) has been found to be significantly
associated with lymphangiogenesis and regional lymph node metastasis in various
human tumors. The present work was aimed to explore the role of VEGF-C in
malignant progression of human bladder cancer T24 cell line. First, the
expression of VEGF-C in T24 cells was detected by western blotting. The 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay was employed to
measure the cellular proliferation after treatment with various concentrations of
recombinant human VEGF-C (rhVEGF-C). Then, lentivirus vector-based RNA
interference (RNAi) was used to inhibit VEGF-C expression of T24 cells. The
alterations of T24 cells regarding proliferation, invasiveness, and the apoptosis
induced by mitomycin C (MMC) were evaluated. The results showed that the
proliferation rate of T24 cells rose from 27.3% to 65.0%, with increasing rhVEGF
C concentration. T24 cells stably transfected with VEGF-C small interference RNA
showed 85% reduction in VEGF-C mRNA expression (p < 0.05). The VEGF-C protein
level was significantly downregulated (p < 0.05) and the growth and invasiveness
were also inhibited (p < 0.05) compared with the control group. Further, the
inhibition of VEGF-C expression markedly enhanced the apoptosis of T24 cells
induced by MMC (p < 0.05). These were associated with the decreased ratio of Bcl
2/Bax, activation of Caspase-3, decreased expression of MMP-9, as well as the
downregulation of phosphorylated p38 MAPK and Akt. The present study suggests
that VEGF-C can enhance the proliferation and invasiveness of bladder cancer T24
cells, which is due to suppression of apoptosis and facilitation of migration,
accompanied with upregulation of p38 MAPK and Akt phosphorylation. RNAi targeting
VEGF-C could effectively suppress malignant progression and enhance
chemosensitivity of T24 cells. Thus, inhibition of VEGF-C expression is a
potential and promising therapeutic strategy for bladder cancer.
PMID- 21902545
TI - Potent antitumor effect elicited by RGD-mda-7, an mda-7/IL-24 mutant, via
targeting the integrin receptor of tumor cells.
AB - The melanoma differentiation-associated gene-7/interleukin-24 gene (mda-7/IL-24)
is a novel tumor-suppressor/cytokine gene that exhibits potent tumor-suppressive
activity without damaging normal cells. To enhance the antitumor effect, an mda
7/IL-24 mutant, RGD-mda-7, which includes the cell adhesive sequence 164Arg
165Gly-166Asp (RGD motif), was constructed and evaluated for bioactivity. RGD
peptide binds to integrins alpha(V)beta(3) and alpha(V)beta(5), which are
selectively expressed in tumor neovasculature and in the surface of some tumor
cells. The wtmda-7/IL-24 and RGD-mda-7 were expressed in Escherichia coli and
then purified and renatured. The immunostimulatory activity of RGD-mda-7 was
assayed by stimulating peripheral blood mononuclear cells. The results suggested
that the abilities of RGD-mda-7 to induce IL-6, TNF-alpha, and IFN-gamma
production were higher than wtmda-7/IL-24. Tumor targeting of RGD-mda-7 was
assayed using cell adhesion experiments. The antitumor effect of the purified RGD
mda-7 on cell proliferation in vitro was evaluated using 3-(4,5-dimethylthiazol-2
yl)-2,5-diphenyltetrazolium bromide (MTT) uptake, cell apoptosis by staining with
fluorescent probes of FITC-annexin V and DAPI, and caspase-3 expression and
activity. The in vitro results showed that RGD-mda-7 inhibited the proliferation
of multiple tumor cell lines (Hela, ACHN, HepG2, and A549). Staining with
fluorescent probes of FITC-annexin V and DAPI indicated that RGD-mda-7 could
induce apoptosis more effectively in four tumor cell lines than wtmda-7/IL-24,
but has no effect on normal cell line NHLF. Western blotting showed that
treatment of tumor cells with RGD-mda-7 could activate apoptotic pathway by
cleavage of caspase-3 as same as wtmda-7/IL-24. Further, RGD-mda-7 group showed a
higher cleaved level of caspase-3, but not in NHLF cells. These results
demonstrate that RGD-MDA-7 possesses more potent antitumor effects than wtmda
7/IL-24 and therefore merits further investigation in preclinical and clinical
studies.
PMID- 21902546
TI - The use of 125I seed strands for intraluminal brachytherapy of malignant
obstructive jaundice.
AB - This study is sought to evaluate the feasibility and safety of using 125I seed
strands for intraluminal brachytherapy (ILBT) in the treatment of malignant
obstructive jaundice (MOJ), and its clinical effect on stent patency. A total of
34 patients found to have MOJ were randomly assigned to an ILBT treatment group
or a control group before biliary stent insertion. For the ILBT group, 125I seed
strands were implanted into the obstructive segment of the bile duct after stent
insertion. For the control group, only the biliary stent was inserted. Alimentary
and hematologic complications were examined for patients in the ILBT group. The
stent patency of the two groups were compared. In the ILBT group, the number of
125I seeds per strand varied from 6 to 16 (mean, 10.9), and were successfully
implanted in 17 patients. Serum levels of bilirubin, alanine aminotransferase,
granulocytes, and platelets assayed 2 and 4 weeks following the procedure
demonstrated no significant difference between the ILBT group and the control
group. The mean stent patency for ILBT group (10.2 months) was significantly
longer than that of the control group (7.2 months, p=0.032). 125I seed strands
for ILBT is a feasible and safe palliative therapy for the treatment of MOJ, and
may prolong stent patency.
PMID- 21902547
TI - The effect of loss of occlusal support on mandibular morphology in growing rats.
AB - OBJECTIVE: To evaluate the effects of unilateral and bilateral premature loss of
posterior occlusal support on mandibular bone dimensions in growing rats.
MATERIALS AND METHODS: Thirty female Wistar rats (5 weeks old) were randomized
into three groups: control, unilateral mandibular molar teeth extraction, and
bilateral mandibular molar teeth extraction. After 8 weeks, animals were
sacrificed and acrylic rapid-prototyped templates of the mandibles were
constructed. Mandibular length, ramus height, intercondylar distance, and body
weight were measured and analyzed by one-way analysis of variance (Tukey test as
post hoc test; alpha = .05). RESULTS: Mandibular length and intercondylar
distance were significantly shorter in experimental animals, while no difference
was observed for ramus height and body weight. CONCLUSIONS: Unilateral and
bilateral premature loss of posterior occlusal support in growing rats results in
a smaller mandible at skeletal maturity.
PMID- 21902549
TI - Therapeutic application of 6-Hz-primed low-frequency rTMS combined with intensive
speech therapy for post-stroke aphasia.
AB - OBJECTIVE: To clarify the safety, feasibility and efficacy of 6-Hz-primed low
frequency repetitive transcranial magnetic stimulation (rTMS) combined with
intensive speech therapy (ST) for post-stroke aphasic patients. METHODS: Four
adult patients with history of left hemispheric stroke and with motor-dominant
aphasia were studied. During 11-day hospitalization, each patient received 18
treatment sessions consisting of 10-min 6-Hz priming stimulation followed by 20
min 1-Hz low-frequency rTMS and intensive ST for 60 min daily. Both the priming
stimulation and low-frequency rTMS were applied to the right frontal lobe. The
intensive ST was provided on one-to-one basis. Language function was assessed by
Standard Language Test of Aphasia (SLTA), Supplementary Test of SLTA (SLTA-ST),
and the Japanese version of Western Aphasia Battery (WAB) within 2 weeks prior to
the admission and on the day of discharge. RESULTS: The in-patient protocol was
successfully completed by all patients without any adverse effects. Each patient
showed an increase in the correct answer rate after the intervention. Improvement
was found in both expressive and recessive language modalities in all patients.
CONCLUSIONS: The protocol of 6-Hz-primed low-frequency rTMS and intensive ST for
post-stroke aphasia was safe and feasible, suggesting its potential usefulness in
the treatment of this population.
PMID- 21902548
TI - Emerging roles for the interferon-inducible p200-family proteins in sex bias in
systemic lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is a complex autoimmune disease involving
multiple organs. The disease is characterized by the production of pathogenic
autoantibodies to DNA and certain nuclear antigens, chronic inflammation, and
immune dysregulation. Genetic studies involving SLE patients and mouse models
have indicated that multiple lupus susceptible genes contribute to the disease
phenotype. Notably, the development of SLE in patients and in certain mouse
models exhibits a strong sex bias. In addition, several lines of evidence
indicates that activation of interferon-alpha (IFN-alpha) signaling in immune
cells and alterations in the expression of certain immunomodulatory cytokines
contribute to lupus pathogenesis. Studies have implicated factors, such as the X
chromosomal gene dosage effect and the sex hormones, in gender bias in SLE.
However, the molecular mechanisms remain unclear. Additionally, it remains
unclear whether these factors influence the "IFN-signature," which is associated
with SLE. In this regard, a mutually positive regulatory feedback loop between
IFNs and estrogen receptor-alpha (ERalpha) has been identified in immune cells.
Moreover, studies indicate that the expression of certain IFN-inducible p200
family proteins that act as innate immune sensors for cytosolic DNA is
differentially regulated by sex hormones. In this review, we discuss how the
modulation of the expression of the p200-family proteins in immune cells by sex
hormones and IFNs contributes to sex bias in SLE. An improved understanding of
the regulation and roles of the p200-family proteins in immune cells is critical
to understand lupus pathogenesis as well as response (or the lack of it) to
various therapies.
PMID- 21902550
TI - A prospective study of early versus late craniectomy after traumatic brain
injury.
AB - BACKGROUND: Decompressive craniectomy is an important method for managing
traumatic brain injury (TBI). At present, controversies about this procedure
exist, especially about the optimum operative time for patients with TBI.
METHODS: A prospective study was performed at the First Affiliated Hospital,
College of Medicine, Zhejiang University. From January 2008 to December 2009, 25
patients who underwent early decompressive craniectomy were included in the study
group, and 19 patients who underwent "late" decompressive craniectomy as a second
tier therapy for intracranial hypertension were included as a comparison group.
RESULTS: The 30-day mortality after the operation was 16% in the study group. The
overall mortality rate was 20% at the 6-month follow-up. A total of 52% of the
patients (13 patients) had good outcomes, and 7 patients remained in a severely
disabled or vegetative state. In the comparison group, 4 patients died, and 12
had good outcomes at the 6-month follow-up. The remaining 3 patients had poor
outcomes. The study group was well matched with the comparison group. However,
the outcomes in the study group were not better than those in the comparison
group, as evaluated by the 6-month GOS score. CONCLUSION: Early decompressive
craniectomy as a first-tier therapy for intracranial hypertension did not improve
patient outcome when compared with "late" decompressive craniectomy for managing
TBI.
PMID- 21902551
TI - Validation of the Abbreviated Westmead Post-traumatic Amnesia Scale: a brief
measure to identify acute cognitive impairment in mild traumatic brain injury.
AB - OBJECTIVE: To validate the use of the Abbreviated Westmead Post-traumatic Amnesia
Scale (A-WPTAS) in the assessment of acute cognitive impairment in mild traumatic
brain injury (mTBI). METHODS: Data previously collected from 82 mTBI and 88
control participants using the Revised Westmead Post-traumatic Amnesia Scale (R
WPTAS) was converted to A-WPTAS scores and pass/fail classifications were
calculated for both scales. RESULTS: The proportion of failures on the R-WPTAS
and the A-WPTAS did not differ and a similar number of mTBIs were classified on
each. For mTBIs the relationship between the independent memory test and a
pass/fail classification was the same for both scales. Bivariate logistic
regressions revealed that mTBIs, relative to controls, were around 8 times more
likely to fail the assessment (R-WPTAS: 95% CI: 3.70-18.87; A-WPTAS: 95% CI: 3.70
20.14). As verbal learning improved the likelihood of failure was reduced.
Greater education was associated with a decreased likelihood of failure. The
relationship between education and a fail performance was not sustained when
education was adjusted for the effect of age, prior mTBI, blood alcohol level,
injury status, verbal learning, and morphine administration. CONCLUSIONS: The A
WPTAS is a valid measure. The A-WPTAS may reduce the risk of failing to classify
patients with mTBI by identifying and documenting acute cognitive impairment.
PMID- 21902552
TI - Relationship of soccer heading to computerized neurocognitive performance and
symptoms among female and male youth soccer players.
AB - PRIMARY OBJECTIVE: The purpose of this study was to investigate the relationship
between soccer heading and computerized neurocognitive performance and symptoms
in female and male youth soccer players. RESEARCH DESIGN: Cross-sectional and
prospective design. METHODS AND PROCEDURES: A total of 63 (27 females, 36 males)
youth soccer players aged 13-18 years (M = 15.89, SD = 1.17) participated in the
study. Participants completed the Immediate Post-concussion Assessment and
Cognitive Test (ImPACT) and symptom report. MAIN OUTCOMES: Computerized
neurocognitive performance (e.g., verbal and visual memory, motor processing, and
reaction time) and symptoms. RESULTS: There were no differences in neurocognitive
performance or symptoms among low-, moderate-, and high-exposure header groups.
The current sample outperformed the 10th percentile norms for neurocognitive and
symptom scores. Males headed the ball more frequently and reported lower verbal
and visual memory and motor processing speed scores than females. CONCLUSION: The
current findings did not support a relationship between soccer heading and
computerized neurocognitive performance and symptoms. The researchers suggest
that any purported effects of soccer heading in youth are subtle and may affect
only a small number of athletes. The reported sex differences in heading exposure
warrant further attention.
PMID- 21902554
TI - Simultaneous analysis of free and sulfo-conjugated steroid estrogens in
artificial urine solution and agricultural soils by high-performance liquid
chromatography.
AB - A simple and robust analytical method was developed to simultaneously detect and
quantify 17beta-estradiol (E2), estrone (E1), 17beta-estradiol-3-sulphate (E2
3S), and estrone-3-sulphate (E1-3S) in aqueous solutions (calcium chloride and
artificial urine solutions) and agricultural soils using high performance liquid
chromatography and UV detection. The standards for all four compounds were linear
in the range of 0.01 to 1.0 MUg mL(-1) (n = 6) and 1.0 to 20 MUg mL(-1) (n = 6),
respectively, with correlation coefficients > 0.999. The on-column limits of
detection at an injection volume of 50 MUL and S/N (signal: noise) ratio of 3
were: 9.0 ng mL(-1), 10 ng mL(-1), 5.0 ng mL(-1), and 7.0 ng mL(-1) for E2-3S, E1
3S, E2 and E1, respectively. The limit of detection and quantification in
artificial urine solution and CaCl(2) solution was 1.0 ng mL(-1) for all four
compounds. Method detection limits for the compounds in the 3 soils ranged from 2
to 2.4 ng g(-1) (E2-3S and E1-3S), and 1.0 to 2.9 ng g(-1) (E2 and E1),
respectively.
PMID- 21902555
TI - Does malaoxon play a role in the geno- and cytotoxic effects of malathion on
human choriocarcinoma cells?
AB - This investigation was undertaken to elucidate whether the active metabolite of
malathion, malaoxon, has any role in exerting cyto- and genotoxic effects for
human choriocarcinoma (JAR) cell line which is an acceptable model for human
placental cells. Gas chromatography-mass spectrometry (GC-MS) analysis were
separately performed on the cell compartment and supernatant cell culture medium
after subjecting the cell line to different malathion concentrations (10-400
MUg/mL) and for various incubation periods (0.5 to 24 hours). GC-MS analysis
showed that the sonication performed for the disruption of the cells did not
cause the chemical change of malathion. The uptake of malathion by the cells was
relatively fast. However, the presence of malaoxon, even in trace amounts, could
not be confirmed either in samples originating from disrupted cells or in the
cell culture medium. Although the hydrolysis of malaoxon occurred in the culture
medium, this degradation process could not be counted as a reason for the absence
of malaoxon. Since both malathion and malaoxon standard compounds could be
accurately detected and distinguished by the applied liquid-liquid extraction and
GC-MS methods, one can conclude that, in the case of JAR cells, the parent
compound, (i.e. malathion itself) is responsible for the observed in vitro cyto-
and genotoxic effects. Our results indicate that the direct toxicity of malathion
contributes to the complications of pregnancy observed for environmental
malathion exposure.
PMID- 21902556
TI - Pesticide exposure and serum organochlorine residuals among testicular cancer
patients and healthy controls.
AB - The incidence of testicular cancer (TC) has been increasing worldwide during the
last decades. The reasons of the increase remains unknown, but recent findings
suggest that organochlorine pesticides (OPs) could influence the development of
TC. A hospital-based case-control study of 50 cases and 48 controls was conducted
to determine whether environmental exposure to OPs is associated with the risk of
TC, and by measuring serum concentrations of OPs, including p,p'
dichlorodiphenyldichloroethylene (p,p'-DDE) isomer and hexachlorobenzene (HCB) in
participants. A significant association was observed between TC and household
insecticide use (odds ratio [OR] = 3.01, 95 % CI: 1.11-8.14; OR(adjusted) = 3.23,
95 % CI: 1.15-9.11). Crude and adjusted ORs for TC were also significantly
associated with higher serum concentrations of total OPs (OR = 3.15, 95 % CI:
1.00-9.91; OR(adjusted) = 3.34, 95 % CI: 1.09-10.17) in cases compared with
controls. These findings give additional support to the results of previous
research that suggest that some environmental exposures to OPs may be implicated
in the pathogenesis of TC.
PMID- 21902557
TI - Structural alterations in rabbit spleen after bendiocarb administration.
AB - The histological structure of rabbit spleen after bendiocarb administration was
studied. Bendiocarb was perorally administered for 30 days. At day 10, 20 and 30
morphometric analysis was realized. Quantitative evaluation showed that in the
control group the relative spleen volume of white pulp ranged from 35.03 +/-
10.94 % and the relative volume of red pulp 64.97 +/- 10.94 %. In all
experimental groups were detected significantly higher relative volume of red
pulp and the lower relative volume of white pulp, except on day 30. The
experimental groups showed a significant increase in the number of lymphocytes in
comparison with the control group. On day 10 we observed a significant increase
in diameter of investigated lymphocytes. The results of our study determined
structural alterations in spleen structure after bendiocarb administration, which
probably causes alteration in the immune system.
PMID- 21902558
TI - Development and initial validation of the Therapeutic Misunderstanding Scale for
use with clinical trials research participants.
AB - Therapeutic misconception is evident when clinical trials participants conflate
research and treatment, erroneously believing that every aspect of the research
is intended to be for their direct benefit. We developed the 20-item Therapeutic
Misunderstanding Scale (TMU) based on responses from 464 community-dwelling
adults 50+ years of age (Study 1). A three-factor solution based on Horng and
Grady's (2003) three-facets definition was identified using both exploratory and
confirmatory factor analyses (EFA and CFA; these analyses were performed on
separate samples). CFA results point to a second-order solution where each of
Horng and Grady's three facets contribute significantly to the measurement of a
higher-order therapeutic misunderstanding latent construct. Internal consistency
of TMU responses (full scale) as well as the therapeutic misconception,
misestimation, and optimism subscales were calculated as alpha = 0.88, alpha =
0.83, alpha = 0.79, and alpha = 0.75, respectively. These results were
subsequently supported with responses from former clinical trials participants
(Study 2). This TMU provides applied researchers a brief measure for use in
future studies as well as a screening instrument for clinicians to more fully
assess informed consent for participation in clinical trials research.
PMID- 21902559
TI - Individual and community-level tolerance of spouse abuse and the association with
the circumstances of first sex among youth from six sub-Saharan African
countries.
AB - Youth who engage in early and premarital sex are at risk of HIV and sexually
transmitted infections. Most prevention programs ignore the mediating influence
of the threat and experience of violence on these outcomes. Using nationally
representative data from Lesotho, Malawi, Zimbabwe, Kenya, Tanzania, and Uganda,
multivariate analyses examined the association between individual- and community
level tolerance of spouse abuse on the age and circumstances of sexual debut
among female youth. The youth sample sizes ranged from a high of 5007 in Malawi
to a low of 3050 in Lesotho. In the study countries, there were between 521 and
367 communities included in the analysis. Youth who approved of spouse abuse were
more likely to have sexually debuted at each age. In Kenya, youth from
communities with high female spouse abuse tolerance were more likely to have
initiated sex at each age. In Malawi and Zimbabwe, youth from high tolerance
communities were less likely to have sexually debuted at each age or to have had
premarital sex; the same effect on premarital sex was found for men's tolerance
in Kenya and Tanzania. Programs are needed to reduce violence risk and increase
youth negotiating power and delayed sexual debut, with the objective of reducing
young people's risk of negative outcomes.
PMID- 21902560
TI - Chronic depressive symptoms and Framingham coronary risk in HIV-infected and HIV
uninfected women.
AB - Depression is common in people with cardiovascular diseases (CVD) and those with
HIV, and is a risk factor for CVD-related mortality. However, little is known
about whether HIV influences the relationship between depression and
cardiovascular risk. A total of 526 HIV-infected and 132 uninfected women from
the Women's Interagency HIV Study were included in an analysis of women who
completed twice-yearly study visits over 9.5 years. CVD risk was calculated at
baseline and approximately 9.5 years later using the Framingham Risk Score (FRS).
Chronic depressive symptoms were defined as Center for Epidemiologic Studies
Depression Scale scores of 16 or greater at >=75% of study visits. Over the
follow-up period, 22.8% of HIV-infected women and 15.9% of HIV-uninfected women
had chronic depressive symptoms (p=0.08). Baseline FRS was similar between HIV
infected and uninfected women (M=-5.70 +/- SE=0.30 vs. M=-6.90 +/- SE=0.60,
p=0.07) as was follow-up FRS (M=0.82 +/- SE=0.30 vs. M=-0.44 +/- SE=0.73,
p=0.11). Among HIV-infected and HIV-uninfected women, together, follow-up FRS was
higher among women with chronic depressive symptoms as compared to those without
(M=1.3 +/- SE=0.6 vs. M=-0.3 +/- SE=0.40, p<0.01), after adjusting for baseline
FRS and other covariates. HIV status did not modify the relationship between
chronic depressive symptoms and FRS. Chronic depressive symptoms accelerated CVD
risk scores to a similar extent in both HIV-infected and-uninfected women. This
implies that the diagnosis and treatment of depression may be an important
consideration in CV risk reduction in the setting of HIV-infection. The
determination of factors that mediate the depression/CVD relationship merits
further study.
PMID- 21902561
TI - Prevalence and correlates of alcohol use among a sample of general MSM and money
boys in Shanghai, China.
AB - The use and misuse of alcohol and their relation to risky sex have received
modest scholarly attention in China. This type of research in high HIV/STI groups
such as men who have sex with men (general MSM) and men who sell sex to other men
("money boys") (MB) in China is essentially absent. Therefore, the primary
purpose of this exploratory study was to describe the types of alcohol consumed
and levels of daily alcohol consumption among Chinese general MSM and MB in
Shanghai, China. A secondary purpose was to explore demographic, lifetime sexual
behavior, and psychosocial correlates of daily consumption levels. The sample
consisted of 404 MSM (200 MB) who live in Shanghai, China. Participants were
recruited using respondent-driven sampling and filled out a cross-sectional
behavioral survey. Where appropriate, comparisons were made between MB and
general MSM. Over 73% of participants reported drinking at least moderately every
day. MB reported drinking Western red wine and other Western hard liquors (e.g.,
vodka) more frequently than general MSM. Conversely, general MSM consumed Chinese
white wine more frequently than MB. Results from the regression analyses showed
that MSM having higher lifetime sexual risks were more likely to drink in excess;
though MB with greater social provisions were less likely to drink in excess.
These results begin to highlight high levels of drinking and drinking-sexual risk
relationships among groups of general MSM and MB and are useful for guiding
intervention efforts among high-risk groups in China.
PMID- 21902562
TI - Allicin induces apoptosis in EL-4 cells in vitro by activation of expression of
caspase-3 and -12 and up-regulation of the ratio of Bax/Bcl-2.
AB - Garlic (Allium sativum L.; Liliaceae) has been widely demonstrated in the role of
cancer prevention, but the specific compound in garlic corresponding to this
effect and its mechanisms are not clearly known. Allicin is one of the organic
sulphur compounds derived from garlic. In the present study we investigated the
anti-proliferative and pro-apoptotic activities of allicin in murine T
lymphocytes (EL-4) and the mechanism of inducing apoptosis in vitro. The results
showed that allicin was effective in inhibiting the proliferation of EL-4 cells
in vitro in a concentration-dependent manner. Further, allicin could induce the
formation of apoptotic bodies, nuclear condensation, DNA spallation, and even
activated the expression of caspase-3, -12 and cytochrome C (cyt C). Finally,
allicin up-regulated the ratio of Bax/Bcl-2 and induced a mitochondrion membrane
potential (MMP) decrease. Allicin induced apoptosis in EL-4 cells in a time- and
concentration-dependent manner, in which the mitochondrial pathway might play a
central role.
PMID- 21902563
TI - Antifungal activity and chemical composition of essential oils from Smyrnium
olusatrum L. (Apiaceae) from Italy and Portugal.
AB - The essential oils and supercritical CO2 extracts of wild Smyrnium olusatrum L.
growing in Sardinia (Italy) and in Portugal were investigated. For the study,
oils were isolated from total plant aerial part (umbels containing seeds). The
content of beta-phellandrene (67.3% vs. 42.7%) and alpha-pinene (31.9% vs. 1.2%),
respectively, the main components of Portuguese and Italian essential oils,
declined during the maturation stage of the umbels. Contrarily, some other
important components, particularly curzerene, germacrene B, germacrone,
alexandrofuran, 1-beta-acetoxyfurano-4(15)-eudesmene and 1-beta-acetoxyfurano-3
eudesmene, increased in fruiting umbels. The chemical composition of the
Sardinian oil is rather different from those of other origin. The composition of
the supercritical extracts and the essential oils is markedly different,
particularly due to the high amount of furanosesquiterpenoids in the
supercritical fluid extraction. The minimal inhibitory concentration (MIC) and
the minimal lethal concentration were used to evaluate the antifungal activity of
the oils against Candida albicans, Candida tropicalis, Candida krusei, Candida
guillermondii, Candida parapsilosis, Cryptococcus neoformans, Trichophyton
rubrum, Trichophyton mentagrophytes, Microsporum canis, Microsporum gypseum,
Epidermophyton floccosum, Aspergillus niger, Aspergillus fumigatus and
Aspergillus flavus. The oils were particularly active against dermatophyte
strains and C. neoformans, with MIC values in the range of 0.32-0.64 uL mL-1.
PMID- 21902565
TI - A comparison of selected MMPI-2 and MMPI-2-RF validity scales in assessing effort
on cognitive tests in a military sample.
AB - Using a relatively new statistical paradigm, Optimal Data Analysis (ODA; Yarnold
& Soltysik, 2005), this research demonstrated that newly developed scales for the
Minnesota Multiphasic Personality Inventory-2 (MMPI-2) and MMPI-2 Restructured
Form (MMPI-2-RF) specifically designed to assess over-reporting of cognitive
and/or somatic symptoms were more effective than the MMPI-2 F-family of scales in
predicting effort status on tests of cognitive functioning in a sample of 288
military members. ODA demonstrated that when all scales were performing at their
theoretical maximum possible level of classification accuracy, the Henry
Heilbronner Index (HHI), Response Bias Scale (RBS), Fake Bad Scale (FBS), and the
Symptom Validity Scale (FBS-r) outperformed the F-family of scales on a variety
of ODA indexes of classification accuracy, including an omnibus measure (effect
strength total, EST) of the descriptive and prognostic utility of ODA models
developed for each scale. Based on the guidelines suggested by Yarnold and
Soltysik for evaluating effect strengths for ODA models, the newly developed
scales had effects sizes that were moderate in size (37.66 to 45.68), whereas the
F-family scales had effects strengths that ranged from weak to moderate (15.42 to
32.80). In addition, traditional analysis demonstrated that HHI, RBS, FBS, and
FBS-R had large effect sizes (0.98 to 1.16) based on Cohen's (1988) suggested
categorization of effect size when comparing mean scores for adequate versus
inadequate effort groups, whereas F-family of scales had small to medium effect
sizes (0.25 to 0.76). The MMPI-2-RF Infrequent Somatic Responses Scale (F(S))
tended to perform in a fashion similar to F, the best performing F-family scale.
PMID- 21902564
TI - Challenges and opportunities for genomic developmental neuropsychology: examples
from the Penn-Drexel collaborative battery.
AB - Genomics has been revolutionizing medicine over the past decade by offering
mechanistic insights into disease processes and engendering the age of
"individualized medicine." Because of the sheer number of measures generated by
gene sequencing methods, genomics requires "Big Science" where large datasets on
genes are analyzed in reference to electronic medical record data. This
revolution has largely bypassed the behavioral neurosciences, mainly because of
the paucity of behavioral data in medical records and the labor-intensity of
available neuropsychological assessment methods. We describe the development and
implementation of an efficient neuroscience-based computerized battery, coupled
with a computerized clinical assessment procedure. This assessment package has
been applied to a genomic study of 10,000 children aged 8-21, of whom 1000 also
undergo neuroimaging. Results from the first 3000 participants indicate
sensitivity to neurodevelopmental trajectories. Sex differences were evident,
with females outperforming males in memory and social cognition domains, while
for spatial processing males were more accurate and faster, and they were faster
on simple motor tasks. The study illustrates what will hopefully become a major
component of the work of clinical and research neuropsychologists as invaluable
participants in the dawning age of Big Science neuropsychological genomics.
PMID- 21902566
TI - Neurocognitive decline in Alexander disease.
AB - Alexander disease is a neurological condition associated with prominent white
matter deterioration. Its rarity and relatively rapid disease course have
provided limited understanding into the cognitive effects of the illness. We
report the serial neuropsychological findings of a 21-year-old with normal
development and no medical history until age 9, when he experienced refractory
sinusitis, stabbing headaches with vertigo, disorientation, and decline in
academic and social settings. An MRI scan of the brain found acute demyelinating
encephalomyelitis, with a preponderance of white matter degeneration in the
bilateral frontal lobes. Interval MRIs showed continued degeneration.
Confirmation of Alexander disease was made at age 20 through genetic testing.
Four evaluations completed from ages 15 to 21 showed impairment across all
cognitive domains. Cognitive deficits were most prominent in new learning and
recent memory, executive functions, and fine motor dexterity, and less apparent
in information processing and visual scanning speed. These results present
evidence for a particular cognitive pattern in individuals with juvenile-onset
Alexander disease. Despite extensive white matter degeneration in the frontal
lobes, certain tasks associated with frontal lobe integrity were relatively
preserved. Further research into the neuropsychological presentation of the
subtypes of Alexander disease can enhance diagnostic clarity and treatment
planning.
PMID- 21902567
TI - Emotion regulation through listening to music in everyday situations.
AB - Music is a stimulus capable of triggering an array of basic and complex emotions.
We investigated whether and how individuals employ music to induce specific
emotional states in everyday situations for the purpose of emotion regulation.
Furthermore, we wanted to examine whether specific emotion-regulation styles
influence music selection in specific situations. Participants indicated how
likely it would be that they would want to listen to various pieces of music
(which are known to elicit specific emotions) in various emotional situations.
Data analyses by means of non-metric multidimensional scaling revealed a clear
preference for pieces of music that were emotionally congruent with an emotional
situation. In addition, we found that specific emotion-regulation styles might
influence the selection of pieces of music characterised by specific emotions.
Our findings demonstrate emotion-congruent music selection and highlight the
important role of specific emotion-regulation styles in the selection of music in
everyday situations.
PMID- 21902568
TI - Neuropsychological and behavioral measures of attention assess different
constructs in children with traumatic brain injury.
AB - Neuropsychological and behavioral measures are used to assess attention, but
little convergence has been found between these two assessment methods. However,
many prior studies have not considered attention as a multicomponent system,
which may contribute to this lack of agreement between neuropsychological and
behavioral measures. To address this the current study examined the relationship
between the neuropsychological measures that comprise a four-component model of
attention and parent-report behavioral ratings of attention problems and
hyperactivity. A total of 65 children and adolescents who had sustained a
traumatic brain injury (TBI) were included in the study. Principal components
analysis identified the four attention components in this sample, which accounted
for 80.9% of the variance. However, correlations between the neuropsychological
measures of attention and behavioral ratings of attention and hyperactivity were
low and non-significant. This minimal correspondence suggests that
neuropsychological and behavioral measures assess different aspects of
attentional disturbances in children with TBI.
PMID- 21902569
TI - Antimicrobial activity and a comparative essential oil analysis of Centaurea
pulcherrima Willd. var. pulcherrima extracted by hydrodistillation and microwave
distillation.
AB - The essential oils of Centaurea pulcherrima Willd. var. pulcherrima (Asteraceae)
were isolated by hydrodistillation (HD) and a microwave distillation (MD), than
characterised by GC-FID and GC-MS. A total of 58 and 57 compounds were
identified, constituting over 93.7%, and 91.6% of volatile oil composition of C.
pulcherrima var. pulcherrima, respectively. Sesquiterpene hydrocarbons were shown
to be the main group of constituents (HD: 42.4% versus MD: 51.5%). The major
component of the oils of C. pulcherrima var. pulcherrima was germacrene D (HD,
17.8% versus MD, 23.2%). The antimicrobial activity of the isolated essential
oils of the plant was also investigated, and they showed good antibacterial
activity against to tested gram-positive bacteria, especially to M. smegmatis and
a yeast-like fungus C. albicans.
PMID- 21902570
TI - HIV disclosure patterns, predictors, and psychosocial correlates among HIV
positive women in Zimbabwe.
AB - Disclosure of positive HIV status in Sub-Saharan Africa has been associated with
safer sexual practices and better antiretroviral therapy (ART) adherence, but
associations with psychosocial function are unclear. We examined patterns and
psychosocial correlates of disclosure in a Zimbabwean community. Two hundred HIV
positive women at different stages of initiating ART participated in a cross
sectional study examining actual disclosures, disclosure beliefs, perceived
stigma, self-esteem, depression, and quality of life. Ninety-seven percent of the
women disclosed to at least one person, 78% disclosed to their current
husband/partner, with an average disclosure of four persons per woman. The
majority (85-98%) of disclosures occurred in a positive manner and 72-95% of the
individuals reacted positively. Factors significantly correlated with HIV
disclosure to partners included being married, later age at menses, longer
duration of HIV since diagnosis, being on ART, being more symptomatic at
baseline, ever having used condoms, and greater number of partners in the last
year. In multivariate analysis, being married and age at menses predicted
disclosure to partners. Positive disclosure beliefs, but not the total number of
disclosures, significantly correlated with lower perceived stigma (rho = 0.44 for
personalized subscale and rho = 0.51 for public subscale, both p<0.0001), higher
self-esteem (rho = 0.15, p=0.04), and fewer depressive symptoms (rho = -0.14,
p=0.05). In conclusion, disclosure of positive HIV status among Zimbabwean women
is common and is frequently met with positive reactions. Moreover, positive
disclosure beliefs correlate significantly with psychosocial measures, including
lower perceived stigma, higher self-esteem, and lower depression.
PMID- 21902571
TI - Factors associated with HIV among female sex workers in a high HIV prevalent
state of India.
AB - The study was carried out to assess the factors associated with HIV
seropositivity among female sex workers (FSWs) in Dimapur, Nagaland, a high HIV
prevalence state of India. A total of 426 FSWs were recruited into the study
using respondent driven sampling (RDS). Data on demographic characteristics,
sexual and injecting risk behaviours were collected from them and were tested for
HIV, Syphilis, Neisseria gonorrhoeae and Chlamydia trachomatis. RDS-weighted
univariate and multivariate logistic regression analysis was performed to assess
the factors associated with HIV seropositivity. Consistent condom use with
regular and occasional sexual clients was 9% and 16.4%, respectively. About 25%
of the participants ever used and 5.7% ever injected illicit drugs. RDS adjusted
HIV prevalence was 11.6%. In the univariate analysis, factors associated with HIV
were initiating sexual intercourse before the age of 15 years, >=2 years duration
of sex work, serving clients at lodge/hotel, positive test result for one or more
sexually transmitted infections (STIs), lifetime history of injecting drug use,
lifetime history of consuming illicit drugs, ever having exchanged sex for drugs,
having sexual partners who engaged in risky injecting practices and having been
widowed or divorced. In multivariate analysis, factors found to be independently
associated with HIV included lifetime injecting drug use, initiating sexual
intercourse before the age of 15 years, positive test result for one or more STIs
and having been widowed. Injecting drug use was found to be most potent
independent risk factor for HIV (OR: 3.17, CI: 1.02-9.89). Because of lower
consistent condom use among them, FSWs may act as bridge for HIV transmission to
general population from injecting drug users (IDU) through their sexual clients.
The informations from this study may be useful for enriching the HIV preventions
effort for FSWs in this region.
PMID- 21902572
TI - Measuring the speed of recognising facially expressed emotions.
AB - Faces provide identity- and emotion-related information-basic cues for mastering
social interactions. Traditional models of face recognition suggest that
following a very first initial stage the processing streams for facial identity
and expression depart. In the present study we extended our previous multivariate
investigations of face identity processing abilities to the speed of recognising
facially expressed emotions. Analyses are based on a sample of N=151 young
adults. First, we established a measurement model with a higher order factor for
the speed of recognising facially expressed emotions (SRE). This model has
acceptable fit without specifying emotion-specific relations between indicators.
Next, we assessed whether SRE can be reliably distinguished from the speed of
recognising facial identity (SRI) and found latent factors for SRE and SRI to be
perfectly correlated. In contrast, SRE and SRI were both only moderately related
to a latent factor for the speed of recognising non-face stimuli (SRNF). We
conclude that the processing of facial stimuli-and not the processing of facially
expressed basic emotions-is the critical component of SRE. These findings are at
variance with suggestions of separate routes for processing facial identity and
emotional facial expressions and suggest much more communality between these
streams as far as the aspect of processing speed is concerned.
PMID- 21902573
TI - Simultaneous determination of cyclophosphamide and 4-hydroxycyclophosphamide in
human plasma by high-performance liquid chromatography coupled with electrospray
ionization tandem mass spectrometry - application to Chinese systemic lupus
erythematosus patients.
AB - BACKGROUND: A pharmacogenomics study of cyclophosphamide in systemic lupus
erythematosus patients is being conducted in our laboratory in which the plasma
concentrations of cyclophosphamide and its active metabolite 4
hydroxycyclophosphamide should be assayed rapidly and sensitively. METHODS: A
rapid, stable and sensitive liquid chromato-graphy/electrospray ionization tandem
mass spectrometry method was developed to simultaneously determine
cyclophosphamide and 4-hydroxycyclophosphamide in human plasma with ifosfomide as
an internal standard. After a protein precipitation with cold acetonitrile and
stabilization of 4-hydroxycyclophosphamide by ansyldrazine and extraction with
ethyl acetate, separation was performed on a C18 3.5 MUm 2.1 * 50 mm column with
mobile phase of acetonitrile and water (50:50, v/v) with 0.1% formic acid at 200
MUL/min. The chromatographic run time was 3 min. RESULTS: The linear calibration
curves ranged from 5 to 5000 ng/mL for cyclophosphamide and 5-500 ng/mL for 4
hydroxycyclophosphamide. The recoveries of the liquid extraction were 54.5%-58.5%
for cyclophosphamide and 103.5%-105.5% for 4-hydroxycyclophosphamide. The lower
limit of quantification was 5 ng/mL for both analytes. The intra- and inter-day
precision was <15% for quality control samples at 4000, 500, 50 ng/mL for
cyclophosphamide and 4-hydroxycyclophosphamide at 400, 100, 20 ng/mL. The method
was applied in this pharmacogenomics study in Chinese systemic lupus
erythematosus patients treated with low-dose cyclophosphamide. CONCLUSIONS: The
method was efficient with shorter running time and lower limit of quantification
compared to previous reports and has been successfully applied in this
pharmacogenomics study.
PMID- 21902574
TI - Correlation of cortisol in 1-cm hair segment with salivary cortisol in human:
hair cortisol as an endogenous biomarker.
AB - BACKGROUND: Cortisol level in human hair would be an endogenous biomarker for the
retrospective assessment of long-term central hypothalamo-pituitary-adrenal
activity. However, no direct evidence supports that blood-related diffusion is a
biologically endogenous source of hair cortisol in humans. The present study aims
to validate the direct correlation between cortisol in 1-cm hair segments and
salivary cortisol in healthy humans. METHODS: We collected three saliva samples
from the same participant at Time 1, Time 2 (1 week later) and Time 3 (2 weeks
later), and hair 4 weeks later. Cortisol levels in 1-cm hair segments and saliva
were determined with high performance liquid chromatography tandem mass
spectrometry. RESULTS: Salivary cortisol at Time 1 was significantly associated
with that at Time 2 (r=0.514, p=0.003), but not with that at Time 3 (r=0.187,
p=0.305); and the one at Time 2 was significantly associated with that at Time 3
(r=0.380, p=0.032). Hair cortisol was significantly correlated with salivary
cortisol at Time 2 (r=0.389, p<0.05) and average salivary cortisol (r=0.383,
p<0.05) from three sampling. CONCLUSIONS: Our results confirmed that blood
related diffusion mechanism is a biologically endogenous source of hair cortisol.
PMID- 21902575
TI - Genetic variants in miR-146a, miR-149, miR-196a2, miR-499 and their influence on
relative expression in lung cancers.
AB - BACKGROUND: The presence of sequence variants in miRNA genes may influence their
processing, expression and binding to target mRNAs. Since single miRNA can have a
large number of potential mRNA targets, even minor variations in its expression
can have influences on hundreds of putative mRNAs. METHODS: Here, we evaluated
101 paired samples (cancer and normal tissues) from non-small cell lung carcinoma
(NSCLC) patients to study the genotype distribution of single nucleotide
polymorphisms (SNPs) in miR-146a (rs2910164 C-G), miR-149 (rs2292832 C-T), miR
196a2 (rs11614913 C-T) and miR-499 (rs3746444 G-A) and their influence on the
expression of respective miRNAs. RESULTS: Relative expression of miR-146a, miR
149 and miR-499 were comparable in NSCLC and in paired control tissues. On the
contrary, we clearly detected a significant increase (p<0.001) of miR-196a2
expression in NSCLC. In particular we found a significant association between miR
196a2 CC genotype and high expression, whereas TT geno-type showed a very low
expression in comparison to both CT (p<0.005) and CC patients (p<0.01). We did
not find any association between miR-149, miR-196a2 and miR-499 genotype and risk
of NSCLC. Conversely, CG genotype of miR-146a appeared associated to an increased
risk for NSCLC (p=0.042 and 1.77 OR). CONCLUSIONS: Our results seem to
demonstrate that sequence variants of miR-196a2 can have an influence on its
expression, while miR-146a can have a role in increasing the risk of NSCLC.
PMID- 21902576
TI - Genetic alterations in members of the Wnt pathway in acute leukemia.
PMID- 21902577
TI - Lenalidomide: a new treatment option for Castleman disease.
PMID- 21902579
TI - Mercaptopurine-induced hypersensitivity febrile reaction in patient with acute
promyelocytic leukemia.
PMID- 21902578
TI - Interleukin-6 plasma levels are modulated by a polymorphism in the NF-kappaB1
gene and are associated with outcome following rituximab-combined chemotherapy in
diffuse large B-cell non-Hodgkin lymphoma.
AB - Peripheral blood cytokines are known prognostic parameters in diffuse large B
cell lymphoma (DLBCL) treated with chemotherapy, but their role after the
introduction of rituximab is unknown. Seven polymorphisms in the promoter regions
of IL-6, IL-10 and NF-kappaB1 genes were assessed in 167 patients with DLBCL and
99 controls and correlated with interleukin-6 (IL-6) and IL-10 plasma levels.
Outcome was analyzed in 137 patients treated with rituximab-based chemotherapy.
The NF-kappaB1 - 94ATTG deletion was associated with increased IL-6 and IL-10 in
DLBCL. High IL-6 concentration correlated with unfavorable prognostic factors
included in the international prognostic index (IPI) and predicted for inferior
progression-free (p = 0.007) and overall survival (p = 0.02). IL-6 levels
remained a significant outcome predictor also including IPI as a covariate (p =
0.006 for progression-free survival). Our data suggest that the NF-kappaB1
genetic background influences IL-6 production in DLBCL, and that high IL-6
concentration is an independent prognostic factor also in the "rituximab era."
PMID- 21902580
TI - Expression of interleukin-15 and interleukin-15Ralpha in monocytes of HIV type 1
infected patients with different courses of disease progression.
AB - Interleukin-15 (IL-15) enhances the effector mechanisms of anti-HIV immune
responses and thus is considered a potential adjuvant of HIV-1 vaccine. However,
there are a lack of data concerning the relationships between IL-15 expression
and regulation in HIV-1-infected patients and the course of disease progression.
We found that IL-15, but not IL-15Ralpha, is expressed at significantly higher
levels in the CD14(+) monocytes [stimulated or not with interferon (IFN)-gamma]
of long-term nonprogressors (LTNP) than in those of HIV-1 progressors or healthy
controls. There was no between-group difference in the amounts of soluble IL-15
released from the cells. We also found that like the healthy controls, the LTNP
expressed the IL-15 and IL-15Ralpha genes in a more coordinated manner than the
progressors. Our findings show that there are significant differences in IL-15
expression between patients with different courses of HIV infection, and that the
coordinated expression of the IL-15 and IL-15Ralpha genes is dysregulated in
patients with progressive disease. They also provide important information
concerning the mechanisms of infection and the potential use of IL-15 as a
therapeutic agent.
PMID- 21902582
TI - Imbalanced production of cytokines by T cells associates with the
activation/exhaustion status of memory T cells in chronic HIV type 1 infection.
AB - Chronic HIV-1 infection is characterized by immune cell dysfunctions driven by
chronic immune activation. Plasma HIV-1 viral load (VL) is closely correlated
with disease progression and the level of immune activation. However, the
mechanism by which the persistent presence of HIV-1 damages immune cells is still
not fully understood. To evaluate how HIV-1 affects disruption of T cell-mediated
immune responses during chronic HIV-1 infection we determined the functional
profiles of T cells from subjects with chronic HIV-1 infection. We measured the
capacity of peripheral blood mononuclear cells (PBMCs) to produce 25 specific
cytokines in response to nonspecific T cell stimulation, and found that the
capacity to produce Th-1-related cytokines (MIP-1alpha, MIP-1beta, RANTES, IFN
gamma, and MIG), sIL-2R, and IL-17, but not Th-2-related cytokines, was inversely
correlated with plasma VL. The capacities to produce these cytokines were
interrelated; notably, IL-17 production had a strong direct correlation with
production of MIP-1alpha, MIP-1beta, RANTES, and IFN-gamma. In both CD4(+) and
CD8(+) T cells, dysfunctional production of cytokines was associated with T cell
activation (CD38 expression) and exhaustion (PD-1 and/or CTLA-4 expression)
status of memory subsets. Although the capacity to produce these cytokines was
recovered soon after multiple log(10) reduction of plasma viral levels by
antiretroviral therapy, memory CD8(+) T cells remained activated and exhausted
after prolonged virus suppression. Our data suggest that HIV-1 levels directly
affect the ability of memory T cells to produce specifically Th1- and Th17
related cytokines during chronic HIV-1 infection.
PMID- 21902581
TI - Opportunistic and other infections in HIV-infected children in Latin America
compared to a similar cohort in the United States.
AB - Opportunistic and other infections have declined since the introduction of highly
active antiretroviral therapy (HAART) in developed countries but few studies have
addressed the impact of HAART in HIV-infected children from developing countries.
This study examines the prevalence and incidence of opportunistic and other
infections in Latin America during the HAART era. Vertically HIV-infected
children enrolled in a cohort study between 2002 and 2007 were followed for the
occurrence of 29 targeted infections. Cross-sectional and longitudinal analyses
were performed to calculate the prevalence of infections before enrollment and
the incidence rates of opportunistic and other infections after enrollment.
Comparisons were made with data from a U.S. cohort (PACTG 219C). Of the 731
vertically HIV-infected children 568 (78%) had at least one opportunistic or
other infection prior to enrollment. The most prevalent infections were bacterial
pneumonia, oral candidiasis, varicella, tuberculosis, herpes zoster, and
Pneumocystis jiroveci pneumonia. After enrollment, the overall incidence was 23.5
per 100 person-years; the most common infections (per 100 person-years) were
bacterial pneumonia (7.8), varicella (3.0), dermatophyte infections (2.9), herpes
simplex (2.5), and herpes zoster (1.8). All of these incidence rates were higher
than those reported in PACTG 219C. The types and relative distribution of
infections among HIV-infected children in Latin America in this study are similar
to those seen in the United States but the incidence rates are higher. Further
research is necessary to determine the reasons for these higher rates.
PMID- 21902584
TI - HLA-dependent hypersensitivity reaction to nevirapine in Chinese Han HIV-infected
patients.
AB - In this study, one hundred and three HIV-positive Chinese Han patients treated
with a nevirapine (NVP)-based regimens were investigated for the association
between nevirapine hypersensitivity reaction (NVP HSR) and human leukocyte
antigen (HLA) allele. HLA-Cw, -DRB1 alleles were determined in 32 NVP HSR cases
and 71 NVP-tolerant patients. We found that considerable overlap was observed for
the clinical and demographic characteristics of the 32 hypersensitive patients
and 71 tolerant patients. Twelve out of 32 NVP HSR cases developed allergic
hepatotoxicity. More HLA-Cw*04 alleles were observed in NVP HSR cases than in NVP
tolerant cases (p=0.029). The frequency of HLA-DRB1*15 in NVP-tolerant cases was
significant higher than that in NVP HSR cases ( p=0.018). Multivariate logistic
regression identified that HLA-Cw*04 presence was a risk factor related to NVP
HSR (p=0.030, OR=3.611, 95% CI of OR: 1.135-11.489). To clearly understanding its
value in clinical practice, further studies involving larger cohorts of patients
from different races with different levels of immune suppression are needed.
PMID- 21902583
TI - C868T single nucleotide polymorphism and HIV type 1 disease progression among
postpartum women in Kenya.
AB - The C868T single nucleotide polymorphism in the CD4 receptor encodes an amino
acid substitution of tryptophan for arginine in the third domain. Previous
studies suggest that C868T increases the risk of HIV-1 acquisition; however, the
influence of this single nucleotide polymorphism (SNP) on disease progression has
not been established. The presence of the C868T polymorphism was not
statistically significantly associated with HIV-1 disease progression outcomes in
a cohort of postpartum Kenyan women.
PMID- 21902585
TI - Epidemiology of HIV-related neuropathy: a systematic literature review.
AB - We sought to identify and summarize the incidence and prevalence of neuropathy
among HIV patients and subgroups. A systematic search of the literature was
performed using MEDLINE and EMBASE. The relevant literature was identified based
on predefined criteria. Prevalence data were collected from cross-sectional and
cohort studies. Incidence data were collected from cohort and case-control
studies. Thirty-seven studies were included of which there were 23 cohort
studies, 13 cross-sectional studies, and one case-control study. The prevalence
of neuropathy among HIV patients derived from 25 studies varied from 1.2% to
69.4%. Regarding the development of neuropathy among HIV-positive patients,
standardized by study duration, the rates per 100 person-years ranged from 0.7 to
39.7. Among older patients there is a greater risk of neuropathy. The same seems
to be the case for patients with more severe disease. Currently available studies
providing information on the incidence and prevalence of neuropathy among HIV
patients suggest a significant burden, but there is a great variation in results
across studies. There is no definitive explanation for the variation. However, it
underscores the fact that complexity of the disease, along with absence of
standardized diagnostic criteria, has considerably influenced the methodologies
and outcomes of the studies.
PMID- 21902586
TI - Susceptibility of HIV type 2 primary isolates to CCR5 and CXCR4 monoclonal
antibodies, ligands, and small molecule inhibitors.
AB - Human immunodeficiency virus (HIV) entry into susceptible cells involves the
interaction between viral envelope glycoproteins with CD4 and a chemokine
receptor (coreceptor), namely CCR5 and CXCR4. This interaction has been studied
to enable the discovery of a new class of antiretroviral drugs that targets the
envelope glycoprotein-coreceptor interaction. However, very few data exist
regarding HIV-2 susceptibility to these coreceptor inhibitors. With this work we
aimed to identify this susceptibility in order to assess the potential use of
these molecules to treat HIV-2-infected patients and to further understand the
molecular basis of HIV-2 envelope glycoprotein interactions with CCR5 and CXCR4.
We found that CCR5-using HIV-2 isolates are readily inhibited by maraviroc, TAK
779, and PF-227153, while monoclonal antibody 2D7 shows only residual or no
inhibitory effects. The anti-HIV-2 activity of CXCR4-targeted molecules reveals
that SDF-1alpha/CXCL12 inhibited all HIV-2 tested except one, while mAb 12G5
inhibited the replication of only two isolates, showing residual inhibitory
effects with all the other CXCR4-using viruses. A major conclusion from our
results is that infection by HIV-2 primary isolates is readily blocked in vitro
by maraviroc, at concentrations similar to those required for HIV-1. The
susceptibility to maraviroc was independent of CD4(+) T cell counts or clinical
stage of the patient from which the virus was obtained. These findings indicate
that maraviroc could constitute a reliable therapeutic alternative for HIV-2
infected patients, as long as they are infected with CCR5-using variants, and
this may have direct implications for the clinical management of HIV-2-infected
patients.
PMID- 21902587
TI - HIV type 1 genetic variation in foreskin and blood from subjects in Rakai,
Uganda.
AB - The foreskin contains a subset of dendritic cells, macrophages, and CD4(+) and
CD8(+) T cells that may be targets for initial HIV infection in female-to-male
sexual transmission of HIV-1. We present analyses comparing HIV-1 sequences
isolated from foreskin DNA and serum RNA in 12 heterosexual men enrolled in an
adult male circumcision trial performed in Rakai, Uganda. Phylogenetic analysis
demonstrated three topologies: (1) little divergence between foreskin and serum,
(2) multiple genetic bottlenecks occurring in both foreskin and serum, and (3)
complete separation of foreskin and serum populations. The latter tree topology
provided evidence that foreskin may serve as a reservoir for distinct HIV-1
strains. Distance and recombination analysis also demonstrated that viral
genotypes in the foreskin might segregate independently from the circulating pool
of viruses.
PMID- 21902588
TI - Identification of new CRF51_01B in Singapore using full genome analysis of three
HIV type 1 isolates.
AB - A recent HIV-1 molecular epidemiology survey in Singapore identified a novel
CRF01_AE/B recombinant form, which accounted for 13 (11.9%) of 109 patient
samples. Peripheral blood mononuclear cell DNA from three of these 13 patients
was used to generate near full-length sequences to characterize the novel
CRF01_AE/B recombinant form. The three isolates had a recombinant structure
composed of CRF01_AE and subtype B, and shared identical breakpoints. As the
three patients were not epidemiologically linked, this recombinant form has been
designated CRF51_01B. Identification of the novel recombinant forms indicates
ongoing active HIV-1 transmission in Singapore.
PMID- 21902589
TI - Near full-length sequence analysis of two new HIV type 1 unique (CRF01_AE/B)
recombinant forms among men who have sex with men in China.
AB - Recombination contributes substantially to the genetic diversity of HIV-1, and is
likely to occur in populations in which multiple subtypes circulate. Molecular
epidemiological studies showed that subtype B, CRF01_AE, and CRF07_BC are
currently circulating in parallel among men who have sex with men (MSM) in China,
suggesting the possible emergence of new recombinants. In the present study, we
identified two new HIV Type 1 unique (CRF01_AE /B) recombinant forms in this
population by near full-length genomic analysis. Our data provided the first
description of the near full-length genomes of these new CRF01_AE/B recombinants
as well as important insights into the complexity of HIV-1 recombinant strains
currently in circulation among MSM in China. These data highlight the importance
of continuous surveillance of the dynamic change of HIV-1 subtypes and new
recombinants among the MSM population.
PMID- 21902591
TI - Nonrandom distribution of cryptic repeating triplets of purines and pyrimidines
(RNY)(n) in gp120 of HIV Type1.
AB - We have analyzed purine (R) and pyrimidine (Y) codon patterns in variable and
constant regions of HIV-1 gp120 in seven patients infected with different HIV-1
subtypes and naive to antiretroviral therapy. We have calculated the relative
frequency of each in-frame codon RNY, YNR, RNR, and YNY (N=any nucleotide) in
variable and constant regions of gp120, in the sequence within indels and at
indels' flanking sites. Our data show that hypervariable regions V1, V2, V4, and
V5 are characterized by the presence of long stretches of RNY codons constituting
the majority of the sequence portion within insertions/deletions. In full-length
gp120 and within inserted/deleted fragments the number of AVT (V=A, C, G) codons
did not exceed 50% of the total RNY codons. RNY strings in variable regions
spanned up to 21 codons and were always in frame. In contrast, RNY strings in
constant regions were mostly out of frame and their length was limited to five
codons. The frequency of the codon RNY was found to be significantly higher in
variable regions (p<0.0001; t-test), within indels, and at indels' flanking sites
(p<0.0001; chi(2) test). Analysis of the distribution of RNY strings equal to or
longer than five codons in the full genome of HXB2 also shows that these
sequences are mostly out of frame, unless they contain a potential N
glycosylation site or an asparagine. These data suggest that cryptic repeats of
RNY may play a role in the genesis of multiple base insertions and deletions in
hypervariable regions of gp120.
PMID- 21902590
TI - Diversity of HIV type 1 envelope (V3-V5) sequence in HIV type 1-infected Indian
children.
AB - Abstract We assessed the viral envelope (V3-V5 region) sequence diversity from
13 HIV-1-infected Indian children from north India. All of the 13 children were
found to be infected with subtype C viruses. One of the viral sequences exhibited
usage of the CXCR4 coreceptor predicted by Web PSSM and Geno2pheno tools. This
virus also had a longer V3 sequence with 37 amino acids, a GRGQ motif, and a
methionine residue before it (AIIMS_307). A unique finding was the complete
deletion of the V4 region of another virus (AIIMS_363). High sequence diversity
was observed in the envelope of the HIV-1-infected Indian children.
PMID- 21902592
TI - Genetic diversity and drug resistance profiles in HIV type 1- and HIV type 2
infected patients from Cape Verde Islands.
AB - Our aim was to characterize for the first time the genetic diversity of HIV in
Cape Verde Islands as well as the drug resistance profiles in treated and
untreated patients. Blood specimens were collected from 41 HIV-1 and 14 HIV-2
patients living in Santiago Island. Half of the patients were on antiretroviral
treatment (ART). Pol and env gene sequences were obtained using in-house methods.
Phylogenetic analysis was used for viral subtyping and the Stanford Algorithm was
used for resistance genotyping. For HIV-1, the amplification of pol and env was
possible in 27 patients (66%). HIV-1 patients were infected with subtypes G (13,
48%), B (2, 7%), F1 (2, 7%), and CRF02_AG (2, 7%), and complex recombinant forms
including a new C/G variant (n=8, 30%). Drug resistance mutations were detected
in the PR and RT of three (10%) treated patients. M41L and K103N transmitted drug
resistance mutations were found in 2 of 17 (12%) untreated patients. All 14 HIV-2
isolates belonged to group A. The origin of 12 strains was impossible to
determine whereas two strains were closely related to the historic ROD strain. In
conclusion, in Cape Verde there is a long-standing HIV-2 epidemic rooted in ROD
like strains and a more recent epidemic of unknown origin. The HIV-1 epidemic is
caused by multiple subtypes and complex recombinant forms. Drug resistance HIV-1
strains are present at moderate levels in both treated and untreated patients.
Close surveillance in these two populations is crucial to prevent further
transmission of drug-resistant strains.
PMID- 21902593
TI - Evaluating immune correlates in HIV type 1 vaccine efficacy trials: what RV144
may provide.
AB - Since the RV144 vaccine combination showed efficacy in a Phase III trial, it
provides an opportunity to generate hypotheses about the immune responses
necessary for protection against HIV-1 infection, and these results could help
devise vaccine candidates with higher efficacy. Here we describe how researchers
can determine the correlates of immune protection for an HIV/AIDS vaccine,
particularly in the context of the RV144 trial, and we discuss the terminology
used to describe correlates and surrogates.
PMID- 21902594
TI - The MHC Class I heavy chain structurally conserved cysteines 101 and 164
participate in HLA-B27 dimer formation.
AB - AIMS: The human leukocyte antigen (HLA)-B27 is strongly associated with a group
of inflammatory arthritic disorders known as the spondyloarthropathies (SpAs).
The unusual biochemistry of HLA-B27 has been proposed to participate in disease
development, especially the enhanced ability of HLA-B27 to form several heavy
chain-dimer populations. HLA-B27 possesses three unpaired cysteine (C) residues
at position 67, 308, and 325, in addition to the four conserved cysteine residues
at p101, 164, 203, and 259. C67 was proposed to participate in dimer formation of
recombinant HLA-B27 protein and in vivo heavy chain-dimers. However, the
structurally conserved C164 was demonstrated to participate in endoplasmic
reticulum (ER) resident heavy chain-dimer formation. We therefore wanted to
determine whether these aggregates involve cysteines other than C164 and the
basis for the difference between the observed heavy chain-dimer species. RESULTS:
We determined that C164 and C101 can form distinct dimer structures and that the
heterogenous nature of heavy chain-dimer species is due to differences in both
redox status and conformation. Different HLA-B27 dimer populations can be found
in physiologically relevant cell types derived from HLA-B27-positive patients
with inflammatory arthritis. In addition, HLA-B27 dimer formation can be
correlated with cellular stress induction. INNOVATION: The use of both
mutagenesis and manipulating cellular redox environments demonstrates that HLA
B27 dimerization requires both specific cysteine?cysteine interactions and
conformations with differing redox states. CONCLUSION: HLA-B27 heavy chain
dimerization is a complex process and these findings provide an insight into HLA
B27 misfolding and a potential contribution to inflammatory disease development.
PMID- 21902595
TI - Crucial role of nuclear Ago2 for hUCB-MSCs differentiation and self-renewal via
stemness control.
AB - AIMS: Argonaute2 (Ago2) has intrinsic endonuclease activity in microRNA
processing that plays a fundamental role in gene regulation. In this study, we
demonstrate novel functions and molecular mechanisms of nuclear Ago2 in the self
renewal and plasticity of human umbilical cord blood-derived mesenchymal stem
cells (hUCB-MSCs). RESULTS: Nuclear Ago2 binds to a set of regulatory genes,
including Ago2 itself, Oct4, Sox2, Nanog, GATA, STAT3, and beta-catenin, that
potentially target fundamental functions of stem cells. Direct regulation of the
stemness genes by nuclear Ago2 was also crucial for cell self-renewal, survival,
and differentiation into various types of tissues or cells, including neural
cells and beta-cells. Moreover, regulation of Oct4 by Ago2 directly controls the
stem cell plasticity-determining signal mediators JAK2/STAT3 and Wnt5A/beta
catenin and positively regulates cell proliferation and differentiation via
blockage of ROS generation and P38/JNK inactivation. Nuclear Ago2 or stemness
expression lead increased stem cell identity and decreased differentiation into a
mesodermal lineage but also led to increased neural differentiation and beta-cell
differentiation in hUCB-MSCs. Nuclear Ago2-mediated stemness expression in hUCB
MSCs is also involved in cell survival, helping cells escape apoptotic cell death
via inactivation of P38/JNK, caspase-3, and Bax. INNOVATION AND CONCLUSION: This
study reveals that nuclear Ago2 globally controls stem cell self-renewal and
differentiation through direct regulation of stemness genes and important signal
mediator activation following inactivation of ROS/P38/JNK and activation of the
JAK/STAT3 and Wnt/ beta-catenin signal pathways.
PMID- 21902596
TI - Oxidative stress in chronic pancreatitis: pathophysiological relevance and
management.
AB - SIGNIFICANCE: Chronic pancreatitis (CP) is a progressive, inflammatory disease of
the pancreas leading to slow destruction of pancreatic parenchyma and progressive
fibrosis. The pathophysiological mechanism of CP is not well understood. RECENT
ADVANCES: A pathophysiologic role of oxidative stress in CP has, however, been
suggested in recent years. Pancreatic acinar cells contain phase I cytochrome
P450 (CYP 450) biotransforming enzymes and phase II conjugation reactions for the
metabolism of xenobiotics. The oxidative stress in the acinar cell may result
from generation of free radicals through CYP induction, concurrent exposure to a
chemical that undergoes bioactivation, and insufficiency of micronutrients that
are required to sustain antioxidant (AO) capacity. CRITICAL ISSUES: Studies have
shown that there is indeed a state of oxidative stress as evidenced by increased
levels of products of oxidative stress and reduced AO capacity in patients with
CP. A recent randomized, controlled trial has shown beneficial effect of AO
therapy in CP; a combination of AOs (0.54 g ascorbic acid, 9000 IU beta-carotene,
270 IU alpha-tocopherol, 600 MUg organic selenium, and 2 g methionine per day in
divided doses) led to significant reductions in pain and oxidative stress in
patients with CP. FUTURE DIRECTIONS: Similar studies from other centers and
multicenter studies should confirm that oxidative stress plays an important role
in the pathophysiology of CP and supplementation with AOs leads to significant
pain relief in patients with this disease.
PMID- 21902598
TI - Cognitive function in prepubertal children with obstructive sleep apnea: a
modifying role for NADPH oxidase p22 subunit gene polymorphisms?
AB - Pediatric obstructive sleep apnea (OSA) may lead to neurocognitive dysfunction,
but not in everyone affected. The frequencies of NADPH oxidase (NOX)
polymorphisms in the p22phox subunit were similar between children with OSA and
controls, except for rs6520785 and rs4673, the latter being significantly more
frequent among the OSA children without deficits than with deficits (p<0.02).
Similarly, 8-hydroxydeoxyguanine urine levels and NOX activity were lower among
children without cognitive deficits and particularly among those with the rs4673
polymorphism. Thus, polymorphisms within the NOX gene or its functional subunits
may account for important components of the variance in cognitive function
deficits associated with OSA in children.
PMID- 21902600
TI - Factors related to pulse wave velocity and augmentation index in chronic
hemodialysis patients.
AB - BACKGROUND: Augmentation index (AIx) and pulse wave velocity (PWV) are early
markers of atherosclerotic vascular changes and also have been shown to be
predictive of cardiovascular disease and total mortality. The aim of our study
was to evaluate the relationship between PWV and AIx-HR75, which is the corrected
form of AIx according to a heart rate of 75 beats/min, echocardiographic
parameters and biochemical parameters in chronic hemodialysis (HD) patients.
SUBJECTS AND METHODS: AIx-HR75 and PWV were measured in 556 HD patients by
applanation tonometry using the SphygmoCor device. RESULTS: The mean PWV and AIx
HR75 values of the study group were 10.2 +/- 2.4 and 28.4 +/- 10.2 m/s. A
positive correlation was found between PWV and AIx-HR75 (r = 0.214, p = 0.000).
AIx-HR75 correlated with age (r = 0.093, p = 0.028), body surface area (BSA) (r =
-0.194, p = 0.000), mean arterial pressure (MAP) (r = 0.335, p = 0.000), pulse
pressure (PP) (r = 0.212, p = 0.000), cardiothoracic index (r = 0.155, p =
0.016), and presence of left ventricular hypertrophy (r = 0.152, p = 0.001). PWV
correlated with MAP (r = 0.208, p = 0.000), PP (r = 0.098, r = 0.021), left
ventricular mass (r = 0.105, p = 0.023), and predialysis sodium level (r =
0.105, p = 0.023). In the multivariate analyses, PWV was associated with MAP (t =
3.78, p = 0.000), presence of diabetes (t = 3.20, p = 0.001), and predialysis
sodium level (t = -2.06, p = 0.040), and AIx-HR75 was associated with age (t =
2.48, p = 0.014), female sex (t = 3.98, p = 0.000), BSA (t = -2.15, p = 0.033),
and MAP (t = 7.02, p = 0.000). CONCLUSION: There is a strong association between
MAP and arterial stiffness parameters in HD patients. We feel that efficient
control of blood pressure could lead to reduced arterial stiffness in HD
patients.
PMID- 21902601
TI - Low oxygen concentrations impair tissue development in tissue-engineered
cardiovascular constructs.
AB - Cardiovascular tissue engineering has shown considerable progress, but in vitro
tissue conditioning to stimulate the development of a functional extracellular
matrix still needs improvement. We investigated the environmental factor oxygen
concentration for its potential to increase the amount of collagen and collagen
cross-links, and therefore improve tissue quality. Cardiovascular tissue
engineered (TE) constructs, made of rapidly degrading PGA/P4HB scaffold seeded
with human vascular-derived cells, were cultured at 7%, 4%, 2%, 0.5% O(2) for 4
weeks and compared to control cultures at 21% O(2). Tissue properties were
evaluated by measuring the extracellular matrix production and mechanical
behavior. The culture environment was monitored closely and the oxygen gradient
throughout the constructs was simulated with a theoretical model. TE constructs
cultured at 21%, 7% and 4% O(2) showed dense and homogeneous tissue formation
with comparable strength, stiffness, collagen and collagen cross-link content. At
2% O(2), collagen content and stiffness decreased, whereas at 0.5% O(2), hardly
any tissue was formed. Overall, tissue properties deteriorated at the lowest
oxygen concentrations, opposing our hypothesis that was based on previous culture
at low oxygen concentrations. Further research will focus on establishing the
balance between applied oxygen conditions (concentration and exposure time) and
optimal tissue outcome.
PMID- 21902599
TI - Mitochondrially targeted alpha-tocopheryl succinate is antiangiogenic: potential
benefit against tumor angiogenesis but caution against wound healing.
AB - AIMS: A plausible strategy to reduce tumor progress is the inhibition of
angiogenesis. Therefore, agents that efficiently suppress angiogenesis can be
used for tumor suppression. We tested the antiangiogenic potential of a
mitochondrially targeted analog of alpha-tocopheryl succinate (MitoVES), a
compound with high propensity to induce apoptosis. RESULTS: MitoVES was found to
efficiently kill proliferating endothelial cells (ECs) but not contact-arrested
ECs or ECs deficient in mitochondrial DNA, and suppressed angiogenesis in vitro
by inducing accumulation of reactive oxygen species and induction of apoptosis in
proliferating/angiogenic ECs. Resistance of arrested ECs was ascribed, at least
in part, to the lower mitochondrial inner transmembrane potential compared with
the proliferating ECs, thus resulting in the lower level of mitochondrial uptake
of MitoVES. Shorter-chain homologs of MitoVES were less efficient in angiogenesis
inhibition, thus suggesting a molecular mechanism of its activity. Finally,
MitoVES was found to suppress HER2-positive breast carcinomas in a transgenic
mouse as well as inhibit tumor angiogenesis. The antiangiogenic efficacy of
MitoVES was corroborated by its inhibitory activity on wound healing in vivo.
INNOVATION AND CONCLUSION: We conclude that MitoVES, a mitochondrially targeted
analog of alpha-tocopheryl succinate, is an efficient antiangiogenic agent of
potential clinical relevance, exerting considerably higher activity than its
untargeted counterpart. MitoVES may be helpful against cancer but may compromise
wound healing.
PMID- 21902597
TI - Mitochondria and cell bioenergetics: increasingly recognized components and a
possible etiologic cause of Alzheimer's disease.
AB - SIGNIFICANCE: Mitochondria and brain bioenergetics are increasingly thought to
play an important role in Alzheimer's disease (AD). RECENT ADVANCES: Data that
support this view are discussed from the perspective of the amyloid cascade
hypothesis, which assumes beta-amyloid perturbs mitochondrial function, and from
an opposite perspective that assumes mitochondrial dysfunction promotes brain
amyloidosis. A detailed review of cytoplasmic hybrid (cybrid) studies, which
argue mitochondrial DNA (mtDNA) contributes to sporadic AD, is provided. Recent
AD endophenotype data that further suggest an mtDNA contribution are also
summarized. CRITICAL ISSUES AND FUTURE DIRECTIONS: Biochemical, molecular,
cybrid, biomarker, and clinical data pertinent to the mitochondria-bioenergetics
AD nexus are synthesized and the mitochondrial cascade hypothesis, which
represents a mitochondria-centric attempt to conceptualize sporadic AD, is
discussed.
PMID- 21902602
TI - Human adipose tissue extract induces angiogenesis and adipogenesis in vitro.
AB - The induction of adequate vascularization, a major challenge in tissue
engineering, has been tried with numerous methods but with unsatisfactory
results. Adipose tissue, an active endocrine organ with dense vasculature,
secretes a wide number of angiogenic and adipogenic factors and seems an
attractive source for these bioactive factors. We produced a novel cell-free
extract from mature human adipose tissue (adipose tissue extract [ATE]) and
analyzed the ability of this extract to induce angiogenesis and adipogenesis in
vitro and studied the cytokine and growth factor composition of ATE with ELISA
and cytokine array. We demonstrate that ATE, when added as cell culture
supplement, effectively induced triglyceride accumulation in human adipose stem
cells at concentrations from 200 MUg/mL upward in less than a week and caused
elevated levels of adipocyte differentiation markers (proliferator-activated
receptor gamma and acyl-CoA-binding protein) when treated with at least 350
MUg/mL of ATE. ATE induced angiogenesis from 450 MUg/mL upward after a week in
vitro. ATE contained numerous angiogenic and adipogenic factors, for example,
vascular endothelial growth factor, basic fibroblast growth factor, interleukin
6, adiponectin, angiogenin, leptin, and insulin-like growth factor-I, as well as
lower levels of a wide variety of other cytokines. We here present a novel cell
free angiogenesis- and adipogenesis-inducing agent that is cell-free and easy to
produce, and its effect is dose dependent and its composition can be easily
modified. Therefore, ATE is a promising novel agent to be used for angiogenesis
induction to overcome the challenge of vascularization and for adipogenesis
induction in a wide variety of tissue engineering applications in vitro and in
vivo. ATE is also efficient for reproduction and modeling of natural adipogenesis
in vitro for, for example, obesity and diabetes studies.
PMID- 21902603
TI - Renal tissue engineering with decellularized rhesus monkey kidneys: age-related
differences.
AB - New therapies for severely damaged kidneys are needed due to limited regenerative
capacity and organ donor shortages. The goal of this study was to repopulate
decellularized kidney sections in vitro and to determine the impact of donor age
on recellularization. This was addressed by generating decellularized kidney
scaffolds from fetal, juvenile, and adult rhesus monkey kidney sections using a
procedure that removes cellular components while preserving the structural and
functional properties of the native extracellular matrix (ECM). Kidney scaffolds
were recellularized using explants from different age groups (fetal, juvenile,
adult) and fetal renal cell fractions. Results showed vimentin+ cytokeratin+
calbindin+ cell infiltration and organization around the scaffold ECM. The extent
of cellular repopulation was greatest with scaffolds from the youngest donors,
and with seeding of mixed fetal renal aggregates that formed tubular structures
within the kidney scaffolds. These findings suggest that decellularized kidney
sections from different age groups can be effectively repopulated with donor
cells and the age of the donor is a critical factor in repopulation efficiency.
PMID- 21902604
TI - Isolation of cardiovascular precursor cells from the human fetal heart.
AB - Weakening of cardiac function in patients with heart failure results from a loss
of cardiomyocytes in the damaged heart. Cell replacement therapies as a way to
induce myocardial regeneration in humans could represent attractive alternatives
to classical drug-based approaches. However, a suitable source of precursor
cells, which could produce a functional myocardium after transplantation, remains
to be identified. In the present study, we isolated cardiovascular precursor
cells from ventricles of human fetal hearts at 12 weeks of gestation. These cells
expressed Nkx2.5 but not late cardiac markers such as alpha-actinin and troponin
I. In addition, proliferating cells expressed the mesenchymal stem cell markers
CD73, CD90, and CD105. Evidence for functional cardiogenic differentiation in
vitro was demonstrated by the upregulation of cardiac gene expression as well as
the appearance of cells with organized sarcomeric structures. Importantly,
differentiated cells presented spontaneous and triggered calcium signals.
Differentiation into smooth muscle cells was also detected. In contrast,
precursor cells did not produce endothelial cells. The engraftment and
differentiation capacity of green fluorescent protein (GFP)-labeled cardiac
precursor cells were then tested in vivo after transfer into the heart of
immunodeficient severe combined immunodeficient mice. Engrafted human cells were
readily detected in the mouse myocardium. These cells retained their cardiac
commitment and differentiated into alpha-actinin-positive cardiomyocytes.
Expression of connexin-43 at the interface between GFP-labeled and endogenous
cardiomyocytes indicated that precursor-derived cells connected to the mouse
myocardium. Together, these results suggest that human ventricular nonmyocyte
cells isolated from fetal hearts represent a suitable source of precursors for
cell replacement therapies.
PMID- 21902606
TI - Differentiation of human Wharton's jelly cells toward nucleus pulposus-like cells
after coculture with nucleus pulposus cells in vitro.
AB - The aim of this study was to evaluate whether human Wharton's jelly cells (WJCs)
could be differentiated into nucleus pulposus (NP)-like cells by coculturing with
NP cells (NPCs) in vitro. WJCs were isolated from the human umbilical cord, and
NPCs were isolated from healthy human intervertebral disc. After coculturing WJCs
with NPCs in a monolayer environment with or without cell-cell contact for 7
days, the real-time polymerase chain reaction showed the relative gene
expressions of NP-marker genes (aggrecan, type II collagens, and SRY-type HMG box
9) were significantly increased (p<0.05) in all groups, and the increase in the
group of 25:75/WJCs:NPCs was the largest (p<0.05). The increases of relative gene
expression in WJCs cocultured with cell-cell contact were larger than those
cocultured without contact in all ratios (p<0.05). WJCs were positive for
telomerase expression. Flow cytometry analyses showed that WJCs expressed CD73,
CD105, CD90, CD29, CD166, and human leukocyte antigen (HLA)-ABC while being
negative for the expression of CD34, CD45, and HLA-DR. The results of this study
indicated that the WJCs had the feature of the mesenchymal stem cell and might be
induced to differentiate to NP-like cells by coculturing with NPCs.
PMID- 21902605
TI - NELL-1 promotes cartilage regeneration in an in vivo rabbit model.
AB - Repair of cartilage due to joint trauma remains challenging due to the poor
healing capacity of cartilage and adverse effects related to current growth
factor-based strategies. NELL-1 (Nel-like molecule-1; Nel [a protein strongly
expressed in neural tissue encoding epidermal growth factor like domain]), a
protein first characterized in the context of premature cranial suture fusion, is
believed to accelerate differentiation along the osteochondral lineage. We
previously demonstrated the ability of NELL-1 protein to maintain the
cartilaginous phenotype of explanted rabbit chondrocytes in vitro. Our objective
in the current study is to determine whether NELL-1 can affect endogenous
chondrocytes in an in vivo cartilage defect model. To generate the implant, NELL
1 was incorporated into chitosan nanoparticles and embedded into alginate
hydrogels. These implants were press fit into 3-mm circular osteochondral defects
created in the femoral condylar cartilage of 3-month-old New Zealand White
rabbits (n=10). Controls included unfilled defects (n=8) and defects filled with
phosphate-buffered saline-loaded chitosan nanoparticles embedded in alginate
hydrogels (n=8). Rabbits were sacrificed 3 months postimplantation for
histological analysis. Defects filled with alginate containing NELL-1
demonstrated significantly improved cartilage regeneration. Remarkably, histology
of NELL-1-treated defects closely resembled that of native cartilage, including
stronger Alcian blue and Safranin-O staining and increased deposition of type II
collagen and absence of the bone markers type I collagen and Runt-related
transcription factor 2 (Runx2) as demonstrated by immunohistochemistry. Our
results suggest that NELL-1 may produce functional cartilage with properties
similar to native cartilage, and is an exciting candidate for tissue engineering
based approaches for treating diverse pathologies of cartilage defects and
degeneration.
PMID- 21902607
TI - Injectable biodegradable polycaprolactone-sebacic acid gels for bone tissue
engineering.
AB - Tissue engineering constitutes a promising alternative technology to
transplantation medicine by creating viable substitutes for failing tissues or
organs. The ability to manipulate and reconstitute tissue function has tremendous
clinical implications and will most likely play a key role in cell and gene
therapies in the coming years. In the present work, a novel injectable and
biodegradable biomaterial is reported that could be injected on the human body
with a surgical syringe. The material prepared is a blend of polycaprolactone
(PCL), a biodegradable and elastic biomedical polymer, and sebacic acid, a
natural polymer part of castor oil with low molecular weight to accelerate the
slow degradation rate of PCL. The biocompatibility of the blend was evaluated in
vitro and its in vivo behavior was also assessed through subcutaneous and bone
implantation in rats to evaluate its tissue-forming ability and degradation rate.
The results allowed the conclusion that the gel is biocompatible, promotes the
differentiation of mesenchymal stem cells, and presents an adequate degradation
rate for use in bone tissue engineering. In vivo the gel blends promoted tissue
regeneration and adverse reactions were not observed on subcutaneous and bone
implants.
PMID- 21902608
TI - Three-dimensional engineered bone-ligament-bone constructs for anterior cruciate
ligament replacement.
AB - The anterior cruciate ligament (ACL), a major stabilizer of the knee, is commonly
injured. Because of its intrinsic poor healing ability, a torn ACL is usually
reconstructed by a graft. We developed a multi-phasic, or bone-ligament-bone,
tissue-engineered construct for ACL grafts using bone marrow stromal cells and
sheep as a model system. After 6 months in vivo, the constructs increased in
cross section and exhibited a well-organized microstructure, native bone
integration, a functional enthesis, vascularization, innervation, increased
collagen content, and structural alignment. The constructs increased in stiffness
to 52% of the tangent modulus and 95% of the geometric stiffness of native ACL.
The viscoelastic response of the explants was virtually indistinguishable from
that of adult ACL. These results suggest that our constructs after implantation
can obtain physiologically relevant structural and functional characteristics
comparable to those of adult ACL. They present a viable option for ACL
replacement.
PMID- 21902609
TI - Evaluation of angiogenesis and osteogenesis.
AB - Bone regeneration has long been a major focus for tissue engineers and the
importance of vascularization to the bone regeneration process has been well
documented. Over the past decade, technological advances in the areas of stem
cell biology, scaffold fabrication, and protein engineering have significantly
enhanced our understanding of the interplay between vascularization and bone
growth. This review, therefore, describes the commonly used models for
investigating the complex interactions between osteoblastic cells and endothelial
cells, evaluates the different tools utilized to investigate the relationship
between vascularization and bone growth in vivo, and finally, summarizes possible
areas of research related to therapeutic development.
PMID- 21902610
TI - The niche as a target for hematopoietic manipulation and regeneration.
AB - Hematopoietic stem cells (HSCs), rare primitive cells capable of reconstituting
all blood cell lineages, are the only stem cells currently routinely used for
therapeutic purposes. Clinical experience has shown that HSC number is an
important limiting factor in treatment success. Strategies to expand HSCs are of
great clinical appeal, as they would improve therapeutic use of these cells in
stem cell transplantation and in conditions of bone marrow failure. The
microenvironment in which HSCs reside, known as the niche, has long been
considered a critical regulator of HSCs. Data accumulated over the past decade
strongly confirm the importance of the niche in HSC behavior. A number of niche
components as well as signaling pathways, such as Notch, have been implicated in
the interaction of the microenvironment with HSCs and continue to be genetically
evaluated in the hope of defining the critical elements that are required and
which, if modified, can initiate HSC behaviors. In this review, we highlight the
known characteristics of HSCs, challenges in their expansion, the niche
phenomenon, and explain why niche stimulated HSC expansion is of utmost interest
in the field, while beginning to bring to the fore potential caveats of niche
manipulation. Lastly, the potential pitfalls of avoiding malignancy and
controlling self-renewal versus differentiation will be briefly reviewed.
PMID- 21902611
TI - Improved mesenchymal stem cells attachment and in vitro cartilage tissue
formation on chitosan-modified poly(L-lactide-co-epsilon-caprolactone) scaffold.
AB - Considering the load-bearing physiological requirement of articular cartilage,
scaffold for cartilage tissue engineering should exhibit appropriate mechanical
responses as natural cartilage undergoing temporary deformation on loading with
little structural collapse, and recovering to the original geometry on unloading.
A porous elastomeric poly l-lactide-co-E-caprolactone (PLCL) was generated and
crosslinked at the surface to chitosan to improve its wettability. Human bone
marrow derived mesenchymal stem cells (MSC) attachment, morphological change,
proliferation and in vitro cartilage tissue formation on the chitosan-modified
PLCL scaffold were compared with the unmodified PLCL scaffold. Chitosan surface
promoted more consistent and even distribution of the seeded MSC within the
scaffold. MSC rapidly adopted a distinct spread-up morphology on attachment on
the chitosan-modified PLCL scaffold with the formation of F-actin stress fiber
which proceeded to cell aggregation; an event much delayed in the unmodified
PLCL. Enhanced cartilage formation on the chitosan-modified PLCL was shown by
real-time PCR analysis, histological and immunochemistry staining and biochemical
assays of the cartilage extracellular matrix components. The Young's modulus of
the derived cartilage tissues on the chitosan-modified PLCL scaffold was
significantly increased and doubled that of the unmodified PLCL. Our results show
that chitosan modification of the PLCL scaffold improved the cell compatibility
of the PLCL scaffold without significant alteration of the physical elastomeric
properties of PLCL and resulted in the formation of cartilage tissue of better
quality.
PMID- 21902612
TI - Cell sources for bone tissue engineering: insights from basic science.
AB - One of the goals of bone tissue engineering is to design delivery methods for
skeletal stem/progenitor cells to repair or replace bone. Although the materials
used to retain cells play a central role in the quality of the constructs, the
source of cells is key for bone regeneration. Bone marrow is the most common cell
source, but other tissues are now being explored, such as the periosteum, fat,
muscle, cord blood, and embryonic or induced pluripotent stem cells. The
therapeutic effect of exogenous stem/progenitor cells is accepted, yet their
contribution to bone repair is not well defined. The in vitro osteo- and/or
chondrogenic potential of these skeletal progenitors do not necessarily predict
their differentiation potential in vivo and their function may be affected by
their ability to home correctly to bone. This review provides an overview of
animal models used to test the efficacy of cell-based approaches. We examine the
mechanisms of endogenous cell recruitment during bone repair and compare the role
of local versus systemic cell recruitment. We discuss how the normal repair
process can help define efficacious cell sources for bone tissue engineering and
improve their methods of delivery.
PMID- 21902614
TI - Bone tissue engineering and regeneration: from discovery to the clinic--an
overview.
AB - A National Institutes of Health sponsored workshop "Bone Tissue Engineering and
Regeneration: From Discovery to the Clinic" gathered thought leaders from
medicine, science, and industry to determine the state of art in the field and to
define the barriers to translating new technologies to novel therapies to treat
bone defects. Tissue engineering holds enormous promise to improve human health
through prevention of disease and the restoration of healthy tissue functions.
Bone tissue engineering, similar to that for other tissues and organs, requires
integration of multiple disciplines such as cell biology, stem cells,
developmental and molecular biology, biomechanics, biomaterials science, and
immunology and transplantation science. Although each of the research areas has
undergone enormous advances in last decade, the translation to clinical care and
the development of tissue engineering composites to replace human tissues has
been limited. Bone, similar to other tissue and organs, has complex structure and
functions and requires exquisite interactions between cells, matrices,
biomechanical forces, and gene and protein regulatory factors for sustained
function. The process of engineering bone, thus, requires a comprehensive
approach with broad expertise. Although in vitro and preclinical animal studies
have been pursued with a large and diverse collection of scaffolds, cells, and
biomolecules, the field of bone tissue engineering remains fragmented up to the
point that a clear translational roadmap has yet to emerge. Translation is
particularly important for unmet clinical needs such as large segmental defects
and medically compromised conditions such as tumor removal and infection sites.
Collectively, manuscripts in this volume provide luminary examples toward
identification of barriers and strategies for translation of fundamental
discoveries into clinical therapeutics.
PMID- 21902616
TI - Harnessing the parathyroid hormone, Wnt, and bone morphogenetic protein signaling
cascades for successful bone tissue engineering.
AB - Tissue engineering holds great promise as a way of enhancing the normal
regenerative potential of bone. By deconstructing the skeleton into its
components and examining how each component influences the reparative response,
it is clear that cells resident in bone, bioactive molecules produced by these
cells and those brought into bone via the circulation and the unique
extracellular matrix that makes up the bone itself are involved in a continuous
and ever-changing set of reciprocal interactions during regeneration. Reviewed
here is current information regarding the efficacy of 3 prominent signaling
cascades that orchestrate bone formation, parathyroid hormone, Wnt and bone
morphogenetic proteins, in enhancing bone repair. I suggest how we might
successfully generate new bone in increasingly complex clinical situations by
modulating the availability of these signals to cells already present within bone
tissue.
PMID- 21902615
TI - A novel method for the fabrication of fibrin-based electrospun nanofibrous
scaffold for tissue-engineering applications.
AB - In this study, fibrin, which is superior to fibrinogen in both structural and
functional properties, has for the first time been electrospun successfully into
uniform nano fibers resembling the extracellular matrix (ECM). The methods of
fabrication and characterization of this unique scaffold are presented. Using
poly (vinyl) alcohol as an "electrospinning-driving" polymer, we have developed a
novel method for the fabrication of fibrin into a nanofibrous scaffold for
various tissue-engineering applications starting from human-plasma-derived
fibrinogen and thrombin and combining these ingredients within the syringe of an
electrospinning setup under high voltage. In this fashion, fibrin nanofibrous
scaffold is produced in a one-step approach without the need for subsequent cross
linking by synthetic agents that compromise the biological properties of the
scaffold. Characterization of the electrospun membrane was done by scanning
electron microscopy (SEM), Fourier transform infrared spectroscopy, and fibrin
specific phosphotungstic acid hematoxylin staining. SEM data revealed the
formation of bead-free fibers with a dimension ranging from 50-500 nm, which
exactly mimics the fiber diameter of native ECM. Cell attachment and
proliferation studies revealed that the scaffold supports the attachment,
spreading, and proliferation of human umbilical cord blood-derived mesenchymal
stem cells.
PMID- 21902613
TI - Scaffold translation: barriers between concept and clinic.
AB - Translation of scaffold-based bone tissue engineering (BTE) therapies to clinical
use remains, bluntly, a failure. This dearth of translated tissue engineering
therapies (including scaffolds) remains despite 25 years of research, research
funding totaling hundreds of millions of dollars, over 12,000 papers on BTE and
over 2000 papers on BTE scaffolds alone in the past 10 years (PubMed search).
Enabling scaffold translation requires first an understanding of the challenges,
and second, addressing the complete range of these challenges. There are the
obvious technical challenges of designing, manufacturing, and functionalizing
scaffolds to fill the Form, Fixation, Function, and Formation needs of bone
defect repair. However, these technical solutions should be targeted to specific
clinical indications (e.g., mandibular defects, spine fusion, long bone defects,
etc.). Further, technical solutions should also address business challenges,
including the need to obtain regulatory approval, meet specific market needs, and
obtain private investment to develop products, again for specific clinical
indications. Finally, these business and technical challenges present a much
different model than the typical research paradigm, presenting the field with
philosophical challenges in terms of publishing and funding priorities that
should be addressed as well. In this article, we review in detail the technical,
business, and philosophical barriers of translating scaffolds from Concept to
Clinic. We argue that envisioning and engineering scaffolds as modular systems
with a sliding scale of complexity offers the best path to addressing these
translational challenges.
PMID- 21902617
TI - Unraveling barrier properties of three different in-house human skin equivalents.
AB - Human skin equivalents (HSEs) are three-dimensional culture models that are used
as a model for native human skin. In this study the barrier properties of two
novel HSEs, the fibroblast-derived matrix model (FDM) and the Leiden epidermal
model (LEM), were compared with the full-thickness collagen model (FTM) and human
skin. Since the main skin barrier is located in the lipid regions of the upper
layer of the skin, the stratum corneum (SC), we investigated the epidermal
morphology, expression of differentiation markers, SC permeability, lipid
composition, and lipid organization of all HSEs and native human skin. Our
results demonstrate that the barrier function of the FDM and LEM improved
compared with that of the FTM, but all HSEs are more permeable than human skin.
Further, the FDM and LEM have a relatively lower free fatty acid content than the
FTM and human skin. Several similarities between the FDM, LEM and FTM were
observed: (1) the morphology and the expression of the investigated
differentiation markers were similar to those observed in native human skin,
except for the observed expression of keratin 16 and premature expression of
involucrin that were detected in all HSEs, (2) the lipids in the SC of all HSEs
were arranged in lipid lamellae, similar to human skin, but show an increase in
the number of lipid lamellae in the intercellular regions and (3) the SC lipids
of all HSEs show a less densely packed lateral lipid organization compared with
human SC. These findings indicate that the HSEs mimic many aspects of native
human skin, but differ in their barrier properties.
PMID- 21902618
TI - Establishment of novel detection system for embryonic stem cell-derived
hepatocyte-like cells based on nongenetic manipulation with indocyanine green.
AB - Hepatocytes derived from embryonic stem cells (ESCs) are expected to be useful
for basic research and clinical applications. However, in several studies,
genetic methods used to detect and obtain them are difficult and pose major
safety problems. Therefore, in this study, we established a novel detection
system for hepatocytes by using indocyanine green (ICG), which is selectively
taken up by hepatocytes, based on nongenetic manipulation. ICG has maximum light
absorption near 780 nm, and it fluoresces between 800 and 900 nm. Making use of
these properties, we developed flow cytometry equipped with an excitation lazer
of 785 nm and specific bandpass filters and successfully detected ESC-derived ICG
positive cells that were periodic acid-Schiff positive and expressed hepatocyte
phenotypic mRNAs. These results demonstrate that this detection system based on
nongenetic manipulation with ICG will lead to isolate hepatocytes generated from
ESCs and provide the appropriate levels of stability, quality, and safety
required for cell source for cell-based therapy and pharmaceutical studies such
as toxicology.
PMID- 21902620
TI - Gut flora may offer new therapeutic targets for the traditional Chinese medicine
enteric dialysis.
PMID- 21902619
TI - Evaluation of a thin and mechanically stable collagen cell carrier.
AB - The biological function of adherent cell populations strongly depends on the
physical and biochemical properties of extracellular matrix molecules. Therefore,
numerous biocompatible cell carriers have been developed to specifically
influence cell attachment, proliferation, cellular differentiation, and tissue
formation for diverse cell culture applications and cell-based therapies. In the
present study, we evaluated the mechanical and the cell biological properties of
a novel, thin, and planar collagen scaffold. The cell carrier is based on
fibrillar bovine collagen type I and exhibits a low material thickness coupled
with a high mechanical stability as measured by tensile tests. The influence of
this new biomaterial on cell viability, proliferation, and cell differentiation
was analyzed using 5-bromo-2-deoxyuridine (BrdU) proliferation assay,
immunocytochemistry, water-soluble tetrazolium salt-1 assay (WST-1), live cell
imaging, and electron microscopy. Cell culture experiments with the human
osteosarcoma cell line Saos-2, human mesenchymal stem cells, and rodent
cardiomyocytes demonstrated the in vitro biocompatibility of this chemically
noncrosslinked scaffold. Both the mechanical characteristics and the in vitro
biocompatibility of this collagen type I carrier facilitate the engineering of
thin transferable tissue constructs and offer new possibilities in the fields of
cell culture techniques, tissue engineering, and regenerative medicine.
PMID- 21902621
TI - Long-term efficacy, safety, and tolerability of rilpivirine (RPV, TMC278) in HIV
type 1-infected antiretroviral-naive patients: week 192 results from a phase IIb
randomized trial.
AB - TMC278-C204 (NCT00110305), a 96-week trial of the nonnucleoside reverse
transcription inhibitor (NNRTI) rilpivirine (RPV, TMC278) in 368 HIV-1-infected,
treatment-naive patients, was extended to investigate long-term safety and
efficacy. Week 192 analysis results are presented. This was a long-term follow-up
of a Phase IIb, randomized trial. No significant RPV dose-response relationships
with respect to the primary endpoint (composite ITT-TLOVR algorithm) were
observed at week 48 or 96. All RPV-treated patients were switched to open-label
75 mg qd at week 96 and then to 25 mg qd, the Phase III dose, at approximately
week 144 as it gave the best benefit-risk balance. All control patients continued
receiving open-label efavirenz (EFV) 600 mg qd. At week 192, 59% of RPV- and 61%
of EFV-treated patients maintained confirmed viral load <50 copies/ml (ITT-TLOVR
algorithm). The mean changes from baseline in CD4 cell count were similar in both
groups (RPV: 210 cells/mm(3) vs. EFV: 225 cells/mm(3)). No new safety concerns
were noted between week 48 and 192. In the week 192 analysis, RPV compared with
EFV was associated with a lower overall incidence of grade 2-4 adverse events
(AEs) at least possibly related to treatment, including rash (p<0.001) and
neurologic AEs (p<0.05 Fisher's exact test, post hoc analyses) Incidences of
serious AEs, grade 3 or 4 AEs, and discontinuations due to AEs were similar
across groups. Increases in total cholesterol, LDL-cholesterol, HDL-cholesterol,
and triglycerides were significantly lower with RPV than with EFV. RPV continued
to show sustained efficacy similar to EFV at week 192 with a generally more
favorable safety profile.
PMID- 21902623
TI - Fabrication of large pores in electrospun nanofibrous scaffolds for cellular
infiltration: a review.
AB - In the past decade, considerable effort has been made to construct biomimetic
scaffolds from electrospun nanofibers for engineering different tissues. However,
one of the major concerns with electrospun nanofibrous scaffolds is that the
densely arranged architecture of fibers and small pores or voids between fibers
hinder efficient cellular infiltration or prevent three dimensional (3D) cellular
integration with host tissue in vivo after implantation. To overcome this
problem, many concepts or strategies applicable during the electrospinning or
post-electrospinning procedures have been proposed to enlarge pore size of
electrospun scaffolds. This article addresses the issues of pore geometry and
cellular infiltration of electrospun scaffolds, and first reviews the fabrication
solutions/approaches applied to achieve larger micropores in electrospun mats.
The evidence and potential for fostering cellular infiltration using these
improved porous scaffolds are then discussed. Finally, it is hoped that this will
enable us to better exploit viable technologies or develop new ones for
constructing ideal nanofibrous architecture for fulfilling specific tissue
engineering needs.
PMID- 21902622
TI - Bone tissue engineering bioreactors: a role in the clinic?
AB - Tissue engineered bone grafts have the potential to be used to treat large bone
defects due to congenital abnormalities, cancer resections, or traumatic
incidents. Recent studies have shown that perfusion bioreactors can be used to
generate grafts of clinically relevant sizes and shapes. Despite these scientific
and technological successes, there is uncertainty regarding the translational
utility of bioreactor-based approaches due to the perceived high costs associated
with these procedures. In fact, experiences over the past two decades have
demonstrated that the widespread application of cell-based therapies is heavily
dependent on the commercial viability. In this article, we directly address the
question of whether bioreactors used to create bone grafts have the potential to
be implemented in clinical approaches to bone repair and regeneration. We provide
a brief review of tissue engineering approaches to bone repair, clinical trials
that have employed cell-based methods, and advances in bioreactor technologies
over the past two decades. These analyses are combined to provide a perspective
on what is missing from the scientific literature that would enable an objective
baseline for weighing the benefit of extended in vitro cultivation of cells into
functional bone grafts against the cost of additional cultivation. In our
estimation, the cost of bioreactor-based bone grafts may range from $10,000 to
$15,000, placing it within the range of other widely used cell-based therapies.
Therefore, in situations where a clear advantage can be established for
engineered grafts comprising patient-specific, autologous cells, engineered bone
grafts may be a clinically feasible option.
PMID- 21902624
TI - ATP-adenosine-glutathione cross-linked hemoglobin as clinically useful oxygen
carrier.
AB - To attenuate hemoglobin's (Hb) intrinsic toxicity, Texas Tech University
scientists developed a novel concept of "pharmacologic cross-linking" to
formulate an effective oxygen carrier, HemoTech, which consists of purified
bovine Hb cross-linked intramolecularly with ATP and intermolecularly with
adenosine, and conjugated with reduced glutathione (GSH). In this composition,
while ATP prevents Hb dimerization, adenosine permits the formation of
homogeneous polymers. ATP also serves as a regulator of blood vessel tone via
activation of the P2Y receptor, whereas adenosine counteracts the
vasoconstrictive and pro-inflammatory properties of Hb via stimulation of
adenosine A2 and A3 receptors. GSH introduces electronegative charge onto the Hb
surface that blocks Hb's transglomerular and transendothelial passage. Besides,
GSH shields heme from nitric oxide and reactive oxygen species, thus enhancing
vasodilation and lowering Hb prooxidative potential. HemoTech underwent favorable
initial pre-clinical testing and proof of medical concept, and is under
commercial development by HemoBioTech Inc. HemoTech has entered the regulatory
process in the US. Several mandated requirements have already been met, including
viral/transmissible spongiform encephalopathy (TSE) clearance validation studies
and various pre-clinical pharmacological, pharmacokinetic, toxicological,
genotoxicity and efficacy tests. These studies provided further evidence that
"pharmacologic cross-linking" of the Hb molecule with ATP, adenosine and GSH, is
useful for designing a viable Hb-based oxygen carrier.
PMID- 21902625
TI - Searching for artemisinin production improvement in plants and microorganisms.
AB - The endoperoxide sesquiterpene lactone artemisinin which is isolated from the
plant Artemisia annua, and its semi-synthetic derivatives, are potent, novel,
antimalarial drugs. They are effective against multidrug-resistant Plasmodium
strains and have become essential components of the so-called Artemisinin-based
Combination Therapy, that is recommended by the World Health Organization as the
treatment of choice for malaria tropica. Moreover, artemisinin and its
derivatives show additional anti-parasite, antitumor, and anti-viral properties.
The plants, however, are very poor resources for the drug, as the content of
artemisinin is low (from 0,1 to 1,5 % of dried leaves) and dependent on seasonal
and somatic variations as well as the infestation of bacteria, fungi and insects.
A chemical synthesis of the compound is complex and uneconomic. Therefore,
artemisinin is in short supply and remains unaffordable for most people in
malaria-endemic countries. Thus, many researchers have focused on enhancing the
production of artemisinin, first, through traditional breeding and in in vitro
plant tissue cultures and, then, by heterologous expression systems (a semi
synthetic approach) with the use of genetically-modified or transgenic microbes.
In this review, we summarize the progress made in the production of artemisinin
by the biotechnological approach.
PMID- 21902626
TI - Substrate reduction therapies for mucopolysaccharidoses.
AB - Mucopolysaccharidoses (MPS) are inherited metabolic disorders, caused by
mutations leading to dysfunction of one of enzymes involved in degradation of
glycosaminoglycans (GAGs) in lysosomes. Due to their impaired degradation, GAGs
accumulate in cells of patients, which results in dysfunction of tissues and
organs, including the heart, respiratory system, bones, joints and central
nervous system. Depending on the kind of deficient enzyme, 11 types and subtypes
of MPS are currently recognized. Although enzyme replacement therapy has been
developed for 3 types of MPS (types I, II and VI), this treatment was found to be
effective only in management of somatic symptoms. Since all MPS types except IVA,
IVB and VI are characterized by various problems with functioning of the central
nervous system (CNS), a search for effective treatment of this system is highly
desirable. Recent discoveries suggested that substrate reduction therapy may be
an efficient method for treatment of MPS patients, including their CNS. In this
review, different variants of this therapy will be discussed in the light of
recently published reports.
PMID- 21902627
TI - Targeting stenosis with nucleotide-hydrolyzing enzymes.
AB - Well-established evidence links extracellular nucleotides to numerous vascular
pathologies, including restenosis associated with angioplasty, atherosclerosis
and transplant arteriosclerosis. Through activation of purinergic P2 receptors,
extracellular nucleotides contribute to the pathogenesis of occlusive vascular
diseases by mediating thrombosis, and vascular smooth muscle proliferation and
migration. Therefore, there is a growing interest in the enzymes that hydrolyze
nucleotides for their capability to modulate nucleotide-triggered pathologies. In
this review, we present the current data addressing the therapeutic potential of
nucleoside triphosphate diphosphohydrolases (NTPDases) to prevent intimal
hyperplasia and treat vascular intimal disease. In addition, we discuss the
mechanisms by which NTPDases exert protective effects in vascular function.
PMID- 21902628
TI - O-aminoacylation of bacterial glycoconjugates: from native structure to vaccine
design.
AB - The aminoacylation of bacterial polysaccharide antigens and its biological role
are poorly understood, although it might be relevant in infection and immunity.
Due to the lability of ester-linked substituents on glycoconjugate antigens, such
groups usually escape detection during routine structural investigation. Of the
few data available, those on the occurrence of glycine in the endotoxic
lipopolysaccharides of Gram-negative bacteria are well documented. This article
summarizes these data on glycine as an integral constituent of bacterial LPS and
also some other amino-acid esters in the teichoic acids and phosphatidylglycerol
of Gram-positive bacteria. The possible functions of such noncarbohydrate ester
linked substituents in bacterial antigens are discussed. Because glycine, an
inherent component of bacterial lipopolysaccharides in the core region, is
supposed to participate in epitope formation, such a structure may be considered
for potential use in the construction of a vaccine with broad specificity.
PMID- 21902629
TI - Advanced trans-epithelial drug delivery devices.
AB - The presented paper describes the drug delivery devices which can be considered
as advanced or potentially "intelligent". Due to the current development state
and the legal problems of implantable drug releasing electronic devices the
review is limited to the systems which delivers drugs through the skin or mucosa.
The article shows the principle of operation and some construction details of
such devices. It also discusses the possible methods of sampling body fluids
across the drug delivery barriers to introduce a feedback loop which is necessary
to react on the metabolic process in the human body and their malfunctioning. In
the near future presented devices will evolve towards the highly sophisticated
systems which will monitor our metabolism and deliver necessary drugs and
hormones in the precisely calculated doses to regulate our body functions without
absorbing our attention.
PMID- 21902630
TI - Progress in nanoparticulate systems for peptide, proteins and nucleic acid drug
delivery.
AB - Progress in many therapies, in particular in the therapies based on peptides,
proteins and nucleic acids used as bioactive compounds, strongly depends on
development of appropriate carriers which would be suitable for controlled
delivery of the intact abovementioned compounds to required tissues, cells and
intracellular compartments. This review presents last ten years' achievements and
problems in development and application of synthetic polymer nanoparticulate
carriers for oral, pulmonary and nasal delivery routes of oligopeptides and
proteins. Whereas some traditional synthetic polymer carriers are only briefly
recalled the main attention is concentrated on nanoparticles produced from
functional copolymers mostly with hydroxyl, carboxyl and amino groups, suitable
for immobilization of targeting moieties and for assuring prolonged circulation
of nanoparticles in blood. Formulations of various nanoparticulate systems are
described, including solid particles, polymer micelles, nanovesicles and
nanogels, especially systems allowing drug release induced by external stimuli.
Discussed are properties of these species, in particular stability in buffers and
models of body fluids, loading with drugs and with drug models, drug release
processes and results of biological studies. There are also discussed systems for
gene delivery with special attention devoted to polymers suitable for compacting
nucleic acids into nanoparticles as well as the relations between chemical
structure of polymer carriers and ability of the latter for crossing cell
membranes and for endosomal escape.
PMID- 21902631
TI - Mechanisms involved in the development of chronic hepatitis C as potential
targets of antiviral therapy.
AB - At present, about 3% of the human population are infected with hepatitis C virus
(HCV). The first, acute stage of the disease is usually asymptomatic. However,
only 15-25% of the infected eliminate the virus, while the remaining patients
develop chronic hepatitis C (CHC). After 10-30 years of CHC, cirrhosis occurs in
20-30% of patients; 5-10% of this group eventually suffer from hepatocellular
carcinoma. Unfortunately, up till now no effective methods protecting against HCV
or allowing for efficient CHC treatment have been elaborated. This is primarily
because not much is known about the mechanism of CHC emergence and the factors
affecting anti-HCV therapy. There are several lines of evidence that some
specific features of the virus, especially its high genetic variability might be
responsible for the maintenance of HCV infection. Moreover, a few mechanisms
which affect host-virus interactions and can additionally support CHC development
have recently been identified. Hybridization between the host-encoded, liver
specific microRNA (miR-122) and the 5'-untranslated region of HCV genome was
shown to be required for effective viral RNA replication. It was also postulated
that HCV proteins mimic some of the human ones; that is why the virus is not
eliminated. Another hypothesis assumes that interactions between HCV E2
glycoprotein and CD81 receptor modulate various cellular pathways, thus
supporting viral propagation. There is no doubt that a better understanding of
the mechanisms described above is of great importance for designing new
therapeutic strategies and anti-HCV drugs.
PMID- 21902632
TI - Nucleic acid-based technologies in therapy of malignant gliomas.
AB - Malignant gliomas are the deadliest brain tumors, which are characterized by
highly invasive growth, a rampant genetic instability and intense resistance to
apoptosis. Such an aggressive behavior of malignant gliomas is reflected in the
resistance to chemo- and radiotherapy and weak prognosis in spite of
cytoreduction through surgery. Brain tumors preferentially express a number of
specific protein and RNA markers, that may be exploited as potential therapeutic
targets in design of the new treatment modalities based on nucleic acids. For
almost three decades, a possibility to apply DNA and RNA molecules as anticancer
therapeutics have been studied. A variety of antisense oligonucleotides,
ribozymes, DNAzymes, and aptamers can be designed to trigger the sequence
specific inhibition of particular mRNA of interest. RNA interference (RNAi) is
the latest and the most promising technique in the long line of nucleic acid
based therapeutic technologies. Recently, we designed and implemented the
experimental therapy of patients suffering from malignant brain tumors based on
application of double-stranded RNA (dsRNA) specific for tenascin-C (TN-C) mRNA.
That therapeutic agent, called ATN-RNA, induces RNAi pathway to inhibit the
synthesis of TN-C, the extracellular matrix protein which is highly overexpressed
in brain tumor tissue. In the chapter specific problems of application of nucleic
acid-based technologies in glioma tumors treatment will be discussed.
PMID- 21902633
TI - Bone tissue engineering - a field for new medicinal products?
AB - It was only in December 2008 that the European Union regulated the approval
procedure for tissue engineered products (TEPs). Due to this regulation, TEP is
classified as an advanced therapy medicinal product and as such may be recognized
as a tool in pharmaceutical biotechnology. This paper gives a short review of the
concept, the experimental evaluation and the clinical potency of tissue
engineering (TE), with a particular focus on bone tissue engineered products.
After a period of great enthusiasm about TE at the end of the 20th century a
slight disappointment followed in the early 2000s. The review refers also to the
continuously growing scientific interest, accompanied by the still modest
representation of TEPs on the medical market. Some remarks are given on a bench
to-clinic road, including criticism concerning data originating from animal
experiments. An attempt is made to foresee the still promising future of bone
tissue engineered products (BTEPs) in practical use.
PMID- 21902634
TI - Tailoring small proteins towards biomedical applications.
AB - Over the last two decades proteins have become increasingly important in human
therapy and diagnosis. Engineering therapeutic proteins through improving their
biological activity and stability has been a major interest in our group. In this
mini-review we summarize our research on three proteins with pharmaceutical
potential - serine protease inhibitor from squash seeds (CMTI), bovine pancreatic
trypsin inhibitor (BPTI), and human fibroblast growth factor 1 (FGF1). To improve
the functional properties of these proteins we used multiple techniques such as
homology approach, rational design, total chemical synthesis, site-directed
mutagenesis and phage display. The physicochemical properties of the obtained
protein variants were evaluated using protein crystallography, spectroscopic
techniques, enzymatic assays, stability measurements as well as numerous
biological tests.
PMID- 21902635
TI - Stem cells in pharmaceutical biotechnology.
AB - Multiple populations of stem cells have been indicated to potentially participate
in regeneration of injured organs. Especially, embryonic stem cells (ESC) and
recently inducible pluripotent stem cells (iPS) receive a marked attention from
scientists and clinicians for regenerative medicine because of their high
proliferative and differentiation capacities. Despite that ESC and iPS cells are
expected to give rise into multiple regenerative applications when their side
effects are overcame during appropriate preparation procedures, in fact their
most recent application of human ESC may, however, reside in their use as a tool
in drug development and disease modeling. This review focuses on the applications
of stem cells in pharmaceutical biotechnology. We discuss possible relevance of
pluripotent cell stem populations in developing physiological models for any
human tissue cell type useful for pharmacological, metabolic and toxicity
evaluation necessary in the earliest steps of drug development. The present
models applied for preclinical drug testing consist of primary cells or
immortalized cell lines that show limitations in terms of accessibility or
relevance to their in vivo counterparts. The availability of renewable human
cells with functional similarities to their in vivo counterparts is the first
landmark for a new generation of cell-based assays. We discuss the approaches for
using stem cells as valuable physiological targets of drug activity which may
increase the strength of target validation and efficacy potentially resulting in
introducing new safer remedies into clinical trials and the marketplace.
Moreover, we discuss the possible applications of stem cells for elucidating
mechanisms of disease pathogenesis. The knowledge about the mechanisms governing
the development and progression of multitude disorders which would come from the
cellular models established based on stem cells, may give rise to new
therapeutical strategies for such diseases. All together, the applications of
various cell types derived from patient specific pluripotent stem cells may lead
to targeted drug and cellular therapies for certain individuals.
PMID- 21902636
TI - Application of baculovirus-insect cell expression system for human therapy.
AB - A major advantage of recombinant DNA technology is its flexibility allowing for
"on demand" production of specific proteins with theurapeutic value in
heterologous expression systems. Gene expression vectors based on baculovirus,
insect virus attacking mostly lepidopteran species, are frequently used for
relatively inexpensive and fast production of such proteins. This expression
system is recognized as one of the most powerful technologies for commercial
synthesis of glycoproteins originating from vertebrate themselves or from
vertebrate viruses. Glycosylation pathways utilized by insects are not identical,
though they are similar to vertebrate glycosylation pathways. In the review
special attention is given to the development of new virus-like particles (VLPs)
potential vaccines which represent a novel class of subunit vaccines that are
able to stimulate efficiently cellular and humoral immune responses against viral
agents. Apart from production of vertebrate proteins or VLPs "on demand " in
insect cells, a new exciting field of using baculovirus as gene delivery system
to vertebrate cells was recently open which has a great potential for future uses
of baculovirus as effective gene therapy vector.
PMID- 21902637
TI - Polish.
PMID- 21902638
TI - High throughput applications in green chemistry.
PMID- 21902639
TI - Implementation of high throughput experimentation techniques for kinetic reaction
testing.
AB - Successful implementation of High throughput Experimentation (EE) tools has
resulted in their increased acceptance as essential tools in chemical,
petrochemical and polymer R&D laboratories. This article provides a number of
concrete examples of EE systems, which have been designed and successfully
implemented in studies, which focus on deriving reaction kinetic data. The
implementation of high throughput EE tools for performing kinetic studies of both
catalytic and non-catalytic systems results in a significantly faster acquisition
of high-quality kinetic modeling data, required to quantitatively predict the
behavior of complex, multistep reactions.
PMID- 21902640
TI - Accelerating research into bio-based FDCA-polyesters by using small scale
parallel film reactors.
AB - High Throughput experimentation has been well established as a tool in early
stage catalyst development and catalyst and process scale-up today. One of the
more challenging areas of catalytic research is polymer catalysis. The main
difference with most non-polymer catalytic conversions is the fact that the
product is not a well defined molecule and the catalytic performance cannot be
easily expressed only in terms of catalyst activity and selectivity. In
polymerization reactions, polymer chains are formed that can have various lengths
(resulting in a molecular weight distribution rather than a defined molecular
weight), that can have different compositions (when random or block co-polymers
are produced), that can have cross-linking (often significantly affecting
physical properties), that can have different endgroups (often affecting
subsequent processing steps) and several other variations. In addition, for
polyolefins, mass and heat transfer, oxygen and moisture sensitivity,
stereoregularity and many other intrinsic features make relevant high throughput
screening in this field an incredible challenge. For polycondensation reactions
performed in the melt often the viscosity becomes already high at modest
molecular weights, which greatly influences mass transfer of the condensation
product (often water or methanol). When reactions become mass transfer limited,
catalyst performance comparison is often no longer relevant. This however does
not mean that relevant experiments for these application areas cannot be
performed on small scale. Relevant catalyst screening experiments for
polycondensation reactions can be performed in very efficient small scale
parallel equipment. Both transesterification and polycondensation as well as post
condensation through solid-stating in parallel equipment have been developed.
Next to polymer synthesis, polymer characterization also needs to be accelerated
without making concessions to quality in order to draw relevant conclusions.
PMID- 21902641
TI - Oxidative homocoupling of alkynes using supported ionic liquid phase (SILP)
catalysts--systematic investigation of the support influence.
AB - Supported Ionic Liquid Phase (SILP) catalysts have been prepared by effective
immobilization of [Cu(TMEDA)(OH)]Cl in a nano-metric film of an ionic liquid on
various oxidic support materials. The catalysts were tested for the oxidative
homocoupling of 1-alkynes to the corresponding diynes in in a combined high
throughput and conventional batch reaction approach. Among the screened support
materials silica based materials performed best. The results indicate that for
the specific reaction the thickness of the ionic liquids layer and therefore the
mobility of the homogeneous copper complex within the ionic liquid layer as
deduced from solid state nmr measurements have major impact on the catalytic
performance. The optimized catalysts could be recycled up to four times without
any loss of activity.
PMID- 21902642
TI - Combinatorial synthesis and characterization of metal-open frameworks in mild and
friendly conditions: application to CO2 adsorption.
AB - Combinatorial screening using precipitation methods at room temperature can lead
to a great diversity of carboxylate based Metal Organic Frameworks (MOFs)
including already known or original porous solids. The investigation of the
synthesis of MOFs in different solvent and solvent mixtures includes the use of
solvents such as alcohols and tetrahydrofuran (THF) which would greatly
facilitate large scale production. We also show the application of Principal
Component Analysis (PCA) and clustering techniques on large libraries of XRD
diffraction files in order to identify classes of similar phases and peculiar
phases. The combinatorial screening of 105 samples in the La/btc system has led
to the identification of two phases which are solvent depending. On the La(btc)
compound, the CO2 adsorption measurements reveal a guest-host interactions as
supported by XRD phase transformation upon thermal treatment. The mass transport
can be assigned to a "single file diffusion" regime due to the one dimensional
channel porous structure associated to small pore size.
PMID- 21902643
TI - Transition-metal-free catalysts for the sustainable epoxidation of alkenes: from
discovery to optimisation by means of high throughput experimentation.
AB - Transition-metal-free oxides were studied as heterogeneous catalysts for the
sustainable epoxidation of alkenes with aqueous H2O2 by means of high throughput
experimentation (HTE) techniques. A full-factorial HTE approach was applied in
the various stages of the development of the catalysts: the synthesis of the
materials, their screening as heterogeneous catalysts in liquid-phase epoxidation
and the optimisation of the reaction conditions. Initially, the chemical
composition of transition-metal-free oxides was screened, leading to the
discovery of gallium oxide as a novel, active and selective epoxidation catalyst.
On the basis of these results, the research line was continued with the study of
structured porous aluminosilicates, gallosilicates and silica-gallia composites.
In general, the gallium-based materials showed the best catalytic performances.
This family of materials represents a promising class of heterogeneous catalysts
for the sustainable epoxidation of alkenes and offers a valid alternative to the
transition-metal heterogeneous catalysts commonly used in epoxidation. High
throughput experimentation played an important role in promoting the development
of these catalytic systems.
PMID- 21902644
TI - Catalyst optimization strategy: selective oxidation of o-xylene to phthalic
anhydride.
AB - The oxidation of o-xylene and/or naphthalene to phthalic anhydride is one of the
important industrial processes based on catalytic selective oxidation reactions.
Vanadia--titania catalysts have been used in the industrial phthalic anyhdride
process for the last 50 years. The operation parameters like the temperature
range of operation, reactor inlet pressures, contact times, o-xylene loadings,
etc. were constantly improved during this period of continuous process
optimization so as to optimize catalyst performance and increase its life time.
However, a fundamental understanding of the mutual interaction of the rather
complex reaction network and the catalyst formulation is still missing. Recently,
a detailed study of by-product formation as function of process conditions
allowed us to develop a novel, improved reaction scheme for the catalytic
oxidation of o-xylene. Based on this understanding, a detailed investigation was
conducted for the first time of the by-product formation under varying operation
conditions and as a function of the active mass variation exploiting high
throughput, as well as bench scales reactors. This high-throughput testing
allowed us to relate reaction kinetics to novel catalyst formulations.
PMID- 21902645
TI - Valorisation of glycerol as renewable feedstock: comparison of the exploration of
chemical transformation methods aided by high throughput experimentation.
AB - Renewable feedstocks have been in the spotlight of intensive research activities
over the past 10 years. Glycerol is one of the feedstock molecules which has been
the target of numerous research efforts, for a number of reasons. First of all
glycerol is currently readily available due to the fact that it is a couple
product of the first generation biodiesel production. Secondly glycerol can be
taken as a representative model substrate to explore the options of selective
conversion of sugar alcohols to products of value. In our paper we discuss
potential routes for the valorisation of glycerol which lead to intermediates
already established within the petrochemical value chain and illustrate what
impact high throughput experimentation may have as a success factor on research
and development for this field. As illustrative examples we have chosen the
oxidative transformation of glycerol to acrolein and acrylic acid and the
carbonylation of glycerol to C4-acids.
PMID- 21902646
TI - High throughput development of selective oxidation catalysts at Sud-Chemie.
AB - The Temkin reactor concept was successfully extended to the high throughput
operation mode and it could be considerably improved as compared to the original
design with respect to an optimized gas flow pattern over the full size beads.
This improved parallel reactor design was successfully used for the high
throughput optimization of an innovative new class of physically coated VAM shell
catalysts. Exploiting this novel, improved Temkin reactor concept allowed Sud
Chemie not only to optimize the multiparameter compositional space of noble metal
and promoter loadings on the support spheres but for the first time to combine
this "chemical optimization" with the high throughput improvement of
catalytically decisive parameters as the active shell thickness, the metal
distribution cross the shell, the pore diameters, and the pore volumes. This new
class of physically impregnated VA catalysts, called VAM2ax, impress by its
exceptionally high VA selectivity of above 94% at 50% oxygen conversion and the
very high space time yields of > 1000 g VAM/l*h which easily can be reached over
these shell catalysts with optimized mass and heat transport properties.
PMID- 21902647
TI - Synergism between constituents of multicomponent catalysts designed for ethanol
steam reforming using partial least squares regression and artificial neural
networks.
AB - Effects of different catalyst components on the catalytic performance in steam
reforming of ethanol have been investigated by means of Artificial Neural
Networks (ANNs) and Partial Least Square regression (PLSR). The data base
consisted of ca. 400 items (catalysts with varied composition), which were
obtained from a former catalyst optimization procedure. Marten's uncertainty
(jackknife) test showed that simultaneous addition of Ni and Co has crucial
effect on the hydrogen production. The catalyst containing both Ni and Co
provided remarkable hydrogen production at 450 degrees C. The addition of Ceas
modifier to the bimetallic NiCo catalyst has high importance at lower
temperatures: the hydrogen concentration is doubled at 350 degrees C. Addition of
Pt had only little effect on the product distribution. The outliers in the data
set have been investigated by means of Hotelling T2 control chart. Compositions
containing high amount of Cu or Ce have been identified as outliers, which points
to the nonlinear effect of Cu and Ce on the catalytic performance. ANNs were used
for analysis of the non-linear effects: an optimum was found with increasing
amount of Cu and Ce in the catalyst composition. Hydrogen production can be
improved by Ce only in the absence of Zn. Additionally, negative cross-effect was
evidenced between Ni and Cu. The above relationships have been visualized in
Holographic Maps, too. Although predictive ability of PLSR is somewhat worse than
that of ANN, PLSR provided indirect evidence that ANNs were trained adequately.
PMID- 21902648
TI - Cytogenetic and molecular abnormalities in myelodysplastic syndrome.
AB - Myelodysplastic syndrome (MDS) is a heterogeneous group of clonal hematological
disorders characterized by ineffective hematopoiesis which causes peripheral
cytopenias and a risk of progression to acute myeloid leukemia. Although various
forms of chromosomal abnormalities have been detected in approximately 50-60% of
patients with de novo MDS and in up to 80% of patients with therapy-related MDS,
their molecular significance for pathogenesis and disease progression is not yet
fully understood. Recent technical advances in molecular biology have disclosed
more accurately details of pathological chromosomal and molecular aberrations in
MDS. Such details could not be identified with conventional cytogenetical
techniques, including G-banding. In particular, with recent technical advances in
comparative genome hybridization or single nucleotide polymorphism array
technology, several candidate genes for the pathogenesis of MDS have been
identified, which are located in minimally deleted or uniparental disomy
segments. Moreover, epigenetic deregulation of gene expression is also likely to
be involved in the pathogenesis of MDS. Accordingly, in addition to classical
oncogenic abnormalities, such as p53 abnormalities, or NRAS mutation, various
molecular abnormalities, such as TET2, RPS14, or c-CBL, have been identified
and/or proposed as the novel candidates for molecular basis of the development
and progression of MDS. A better understanding of the causative molecular events
underlying MDS pathogenesis is essential for the development and establishment of
a more effective treatment resulting in a complete cure for MDS. We here review
current knowledge regarding the molecular significance of chromosomal and genetic
aberrations in MDS and the proposed molecular mechanisms of action of new agents
for MDS, such as lenalidomide or azacitidine.
PMID- 21902649
TI - Gastrointestinal immune system and brain dialogue implicated in neuroinflammatory
and neurodegenerative diseases.
AB - A common characteristic of the central nervous system (CNS) neurodegenerative
disorders is neuroinflammation, marked by augmented numbers of activated and
primed microglia, increased inflammatory cytokines and decreased anti
inflammatory molecules. CNS neuroinflammation is a critical component in the
progression of several neurodegenerative diseases which sensitize the brain to
produce an exaggerated response to immune stimuli in the periphery.
Neuroinflammation might initiate from the periphery and peripheral conditions
through disrupted blood-brain barrier powerfully influence various brain
pathologies. Gastrointestinal tract (GIT) represents a vulnerable area through
which pathogens influence the brain and induce CNS neuroinflammation. The
pathogens may access the CNS through blood, the nasal olfactory pathways and the
GIT. Potential GI pathogens, such as Helicobacter pylori, induce humoral and
cellular immune responses that, owing to the sharing of homologous epitopes
(molecular mimicry), cross-react with CNS components thereby contributing and
possibly perpetuating neural tissue damage. GIT is strictly connected to the CNS
and a bi-directional communication exists between them. The brain is involved in
regulating the immune and gut system. Conversely, limited attention has been paid
on the GIT role in the development and regulation of the CNS autoimmune diseases.
The GIT is the primary immune organ with specialized immunoregulatory and anti
inflammatory functions, represented by the gastrointestinal immune system (GIS).
This review focuses on the potential GIS and brain dialogue implicated in
neurodegenerative diseases. Gaining a better understanding of the relationship
between GIS and CNS could provide an insight on the pathogenesis and therapeutic
strategies of these disorders.
PMID- 21902650
TI - RAN GTPase as a target for cancer therapy: Ran binding proteins.
AB - The identification of a relevant effector of Ran GTPase (Ran) signaling and its
pathways could provide a novel approach to cancer therapeutics. With recent
research highlighting the significant relationship between Ran expression and the
occurrence and progression of cancer, the development of a small molecule
compound that would decrease the endogenous levels of Ran in the cell would have
anti-mitotic effects and could lead to the development of new types of cancer
therapeutics. In the absence of Ran binding proteins, Ran is expected to remain
locked up in non-productive complexes with importins and is effectively removed
from the system. Thus, Ran binding proteins present as a logical molecular target
for the inhibition of Ran signaling within the cancer cell. Moreover, this family
of proteins has been shown to have various other functions within the cell, some
of which are also anti-neoplastic. The purpose of this review is to discuss Ran
binding proteins and how their pathways may be exploited to provide an effective
cancer treatment.
PMID- 21902651
TI - Xanthones from mangosteen extracts as natural chemopreventive agents: potential
anticancer drugs.
AB - Despite decades of research, the treatment and management of malignant tumors
still remain a formidable challenge for public health. New strategies for cancer
treatment are being developed, and one of the most promising treatment strategies
involves the application of chemopreventive agents. The search for novel and
effective cancer chemopreventive agents has led to the identification of various
naturally occurring compounds. Xanthones, from the pericarp, whole fruit,
heartwood, and leaf of mangosteen (Garcinia mangostana Linn., GML), are known to
possess a wide spectrum of pharmacologic properties, including antioxidant, anti-
tumor, anti-allergic, anti-inflammatory, anti-bacterial, anti-fungal, and anti
viral activities. The potential chemopreventive and chemotherapeutic activities
of xanthones have been demonstrated in different stages of carcinogenesis
(initiation, promotion, and progression) and are known to control cell division
and growth, apoptosis, inflammation, and metastasis. Multiple lines of evidence
from numerous in vitro and in vivo studies have confirmed that xanthones inhibit
proliferation of a wide range of human tumor cell types by modulating various
targets and signaling transduction pathways. Here we provide a concise and
comprehensive review of preclinical data and assess the observed anticancer
effects of xanthones, supporting its remarkable potential as an anticancer agent.
PMID- 21902652
TI - Molecular genetics and mechanisms of disease in distal hereditary motor
neuropathies: insights directing future genetic studies.
AB - The distal hereditary motor neuropathies (dHMNs) are a clinically and genetically
heterogeneous group of disorders that primarily affect motor neurons, without
significant sensory involvement. New dHMN genes continue to be identified. There
are now 11 causative genes described for dHMN, and an additional five genetic
loci with unidentified genes. This genetic heterogeneity has further delineated
the classification of dHMN, which was previously classified according to mode of
inheritance, age at onset, and additional complicating features. Some overlap
between phenotypically distinct forms of dHMN is also apparent. The mutated genes
identified to-date in dHMN include HSPB1, HSPB8, HSPB3, DCTN1, GARS, PLEKHG5,
BSCL2, SETX, IGHMBP2, ATP7A and TRPV4. The pathogenesis of mutations remains to
be fully elucidated, however common pathogenic mechanisms are emerging. These
include disruption of axonal transport, RNA processing defects, protein
aggregation and inclusion body formation, disrupted calcium channel activity, and
loss of neuroprotective signalling. Some of these dHMN genes are also mutated in
Charcot-Marie-Tooth (CMT) disease and spinal muscular atrophy (SMA). This review
examines the growing number of identified dHMN genes, discusses recent insights
into the functions of these genes and possible pathogenic mechanisms, and looks
at the increasing overlap between dHMN and the other neuropathies CMT2 and SMA.
PMID- 21902653
TI - Inhibitors of apoptosis proteins (IAPs) as potential molecular targets for
therapy of hematological malignancies.
AB - Apoptosis, a programmed cell death, plays a key role in the regulation of tissue
homeostasis. However, impairment of its regulation may promote formation and
progression of malignancy. An important part of the apoptotic machinery are the
inhibitor of apoptosis protein (IAP) family, regulating caspase activity, cell
division or cell survival pathways through binding to their baculovirus AIP
repeat (BIR) domains and/or by their ubiquitin-ligase RING zinc finger (RZF)
activity. The following IAPs have been described so far: NAIP (neuronal apoptosis
inhibitory protein; BIRC1), cIAP1 and cIAP2 (cellular inhibitor of apoptosis 1
and 2; BIRC2 and BIRC3, respectively), XIAP (X-chromosome binding IAP; BIRC4),
survivin (BIRC5), BRUCE (Apollon; BIRC6), livin (BIRC7) and Ts-IAP (testis
specific IAP; BIRC8). Several studies suggested a potential contribution of IAPs
to oncogenesis and resistance to anti-tumor treatment. Increased IAP expression
was found in variety of human cancers, including hematological malignancies, such
as leukemias and B-cell lymphomas. A correlation between the progression of those
diseases and high levels of survivin or XIAP has been reported. Overexpression of
XIAP in acute myeloid leukemia or survivin in acute lymphoblastic leukemia and
diffuse large B-cell lymphoma have been indicated as an unfavorable prognostic
factors. Elevated cellular levels of cIAP1, cIAP2, XIAP and survivin correlated
with a progressive course of chronic lymphocytic leukemia. Thus, targeting IAPs
with small-molecule inhibitors by their antisense approaches or natural IAP
antagonist mimetics, may be an attractive strategy of anti-cancer treatment. Such
agents can either directly induce apoptosis of tumor cells or sensitize them to
other cytotoxic agents, hence overcoming drug-resistance. This review
demonstrates the current knowledge on IAP molecular biology, as well as the
mechanisms of action and the development of IAP-targeting agents for treatment of
hematological malignancies.
PMID- 21902654
TI - Molecular pathogenesis of non muscle-invasive bladder cancer: implications for
novel targeted therapies.
AB - Approximately 70% to 80% of patients with urothelial carcinomas of the bladder
are initially diagnosed with non-muscle invasive disease. Superficial, non-muscle
invasive bladder cancers (NMIBCs) are managed with cystoscopic transurethral
resection of all visible lesions followed by intravesical chemotherapy and/or
immunotherapy. Despite this treatment, up to 70% of these tumors will recur
within five years and 15% will ultimately progress to muscle-invasive disease,
suggesting that novel therapeutic strategies are necessary. Recent studies have
greatly advanced our understanding of urothelial carcinogenesis and have
highlighted the distinct molecular pathogenesis of NMIBCs versus muscle-invasive
bladder tumors. It is now clear that diverse genetic and epigenetic events are
driving the oncogenesis of NMIBCs, thereby attesting to their potential as
therapeutic targets for these tumors. This article reviews the molecular
pathogenesis of NMIBCs, discusses recently completed and ongoing clinical trials
and anticipates the future direction of molecular targeted agents in this
disease.
PMID- 21902655
TI - Optimal medical therapy for coronary artery disease in 2011 - perspectives from
the STICH Trial.
AB - Medical, percutaneous interventional, and surgical treatments for the management
of coronary heart disease have progressed markedly during the past decade. There
is evidence to suggest that for patients with stable coronary heart disease
optimal medical therapy is equal in effectiveness for lowering the risk of major
cardiovascular events, such as cardiovascular death, myocardial infarction, and
stroke, as are revascularization procedures, such as coronary artery bypass
grafting or percutaneous coronary intervention. The landmark Surgical Treatment
for Ischemic Heart Failure (STICH) trial found no significant difference between
medical therapy alone and medical therapy plus coronary artery bypass grafting
with respect to the primary end point of death from any cause (all-cause
mortality). However, secondary outcomes showed fewer deaths from cardiovascular
causes in the surgical group versus the medical group. Medical therapy has
improved over time, as have surgical techniques including myocardial
preservation, and both approaches have their place, especially since chest pain
relief and quality of life may benefit more in some cases by revascularization.
Certainly, coronary artery bypass grafting has general acceptance for three
vessel coronary heart disease, and percutaneous coronary artery intervention is
the standard of care for the involved artery in acute ST-segment elevation
myocardial infarction when the intervention can be accomplished rapidly. Medical
management includes lifestyle changes that benefit coronary heart disease, drug
therapy to improve prognosis, and drug therapy to improve symptoms. The key to
clinical management is the selection of the procedure and/or medical management
strategy that is in the best interest of the individual cardiovascular patient.
In addition, discussing with patients their options and considering what best
fits their wishes is especially critical when there is no clear-cut best
strategy. Continued collaboration between cardiologists concentrating on medical
approaches with interventionists and cardiac surgeons (heart team approach) is
essential for optimal management for each individual patient.
PMID- 21902656
TI - Current approaches to prevention of contrast induced acute kidney injury.
AB - Contrast-induced acute kidney injury is one of the leading causes of hospital
acquired acute kidney injury. Thus far, no strategies have been clearly shown to
be effective in preventing contrast-induced acute kidney injury beyond thorough
patient selection, meticulous hydration of the patient, and minimizing the amount
of contrast used. Additional studies are needed to define the optimal means of
hydration, role of commonly advocated prophylaxis strategies such as N
acetylcysteine and develop newer more novel effective therapies to prevent or
minimize the risk of kidney injury.
PMID- 21902657
TI - A review of oxidative stress related genes and new antioxidant therapy in
diabetic nephropathy.
AB - A genetic component of diabetes and its complications (including diabetic
nephropathy (DN)) is obvious, but the causative genes and mechanisms have not yet
been satisfactorily identified. Oxidative stress is a single mechanism relating
all major pathways responsible for diabetic damage. Numerous oxidative stress
related genes are positional candidates (determined by GWAS) and candidate genes
studies confirm the association of their polymorphisms with DN. We present here
their overview and connection to the "new antioxidant" therapy principle.
PMID- 21902658
TI - The effect of chronic co-administration of morphine and verapamil on
isoproterenol-induced heart injury.
AB - OBJECTIVE: Long-term co-administration of morphine and calcium channel
antagonists (CCAs) is likely in some clinical conditions. Reciprocal interactions
during chronic concomitant use of these agents are confirmed in central nervous
system studies. However, there is little information regarding their chronic
combination effects on the cardiovascular system. Present study was designed to
assess the effects of chronic co-administration of morphine plus verapamil on
some cardiovascular indices of rats with / without myocardial damage. METHODS:
Animals were divided to control, morphine, verapamil and morphine plus verapamil
groups each consisted of two subgroups, with and without heart injury. Rats were
treated with increasing doses of morphine (10-20mg/kg, i.p.) or morphine plus
verapamil (10mg/kg, i.p.) daily for 7 days. Heart injury was induced by
isoproterenol (50 mg/kg, i.p.), then cardiac Troponin I was measured and on day
8, blood pressure and heart rate was recorded and then the hearts were
histopathologically examined. RESULTS: The results indicated that co
administration of morphine with verapamil has stronger cardioprotective effect
than morphine or verapamil alone as confirmed by the lower Troponin I level and
myocardial lesion grades. However, no additional effects on mean arterial
pressure and Rate-Pressure product were observed in combined use of these drugs.
CONCLUSION: These findings suggest chronic co-administration of morphine and
verapamil induced additive protective effects on rat heart exposed to myocardial
injury comparing with each of them alone.
PMID- 21902659
TI - Evaluation of a standardized protocol using lepirudin or argatroban for heparin
induced thrombocytopenia.
AB - STUDY OBJECTIVE: To evaluate the effectiveness of our institutions heparin
induced thrombocytopenia (HIT) protocol in achieving a therapeutic activated
partial thromboplastin time (aPTT) and to evaluate patient outcomes related to
bleeding and thrombotic events before and after protocol implementation. DESIGN:
Retrospective, single-center, pre- and post- assessment of a protocol previously
approved at our institution. SETTING: 400-bed community hospital serving
surrounding rural populations with emphasis in cardiothoracic surgery. PATIENTS:
Retrospective chart review based on drug charge data identified 29 patients that
received either argatroban or lepirudin for greater than 24 hours. Nineteen
patients received either argatroban or lepirudin prior to HIT-protocol
implementation, while the remaining ten received either drug after the HIT
protocol was implemented. INTERVENTION: Implementation of HIT protocol occurred
in March 2009. Patients were divided into pre-protocol and post-protocol groups.
RESULTS: Primary outcome was to evaluate the number of therapeutic,
subtherapeutic, and supratherapeutic aPTTs between two groups. In the pre
protocol group, aPTTs were therapeutic, subtherapeutic, and supratherapeutic
48.5% (164/338), 14.2% (48/338), and 37.2% (126/338) of the time, respectively.
Meanwhile aPTTs in the post-protocol group were therapeutic, subtherapeutic, and
supratherapeutic 46.6% (89/191), 22% (42/191), and 31.4% (60/191) of the time,
respectively. The number of subtherapeutic aPTTs was statistically higher in the
post-protocol group compared to the pre-protocol group. Secondary endpoints
included the number of bleeding events and number of thrombotic events. None of
the secondary endpoints reached statistical significance. Time to therapeutic
aPTT was also evaluated: in the pre-protocol group median time (range) was 15
hours (2-108.6) compared to 8.1 hours (2.3-94.2) in the post-protocol group.
CONCLUSIONS: Adoption and implementation of HIT protocol at our institution
resulted in significantly more subtherapeutic aPTTs as compared to time prior to
protocol. Although not statistically significant, the time required to obtain
therapeutic aPTT was reduced by almost 50% after protocol implementation, which
could be of clinical importance. Larger studies are needed to continue to assess
if standardized protocols are effective in treatment of HIT.
PMID- 21902660
TI - Diabetic cardiomyopathy and oxidative stress: role of antioxidants.
AB - Diabetes has emerged as a major threat to worldwide health. The increasing
incidence of diabetes in young individuals is particularly worrisome given that
the disease is likely to evolve over a period of years. In 1972, the existence of
a diabetic cardiomyopathy was proposed based on the experience with four adult
diabetic patients who suffered from congestive heart failure in the absence of
discernible coronary artery disease, valvular or congenital heart disease,
hypertension, or alcoholism. The exact mechanisms underlying the disease are
unknown; however, there is growing evidence that excess generation of highly
reactive free radicals, largely due to hyperglycemia, causes oxidative stress,
which further exacerbates the development and progression of diabetes and its
complications. Hyperglycemiainduced oxidative stress is a major risk factor for
the development of micro-vascular pathogenesis in the diabetic myocardium, which
results in myocardial cell death, hypertrophy, fibrosis, abnormalities of calcium
homeostasis and endothelial dysfunction. In this review, we provide the emergence
of experimental evidence supporting antioxidant supplementation as a
cardioprotective intervention in the setting of diabetic cardiomyopathy.
PMID- 21902661
TI - Promising pharmacological, molecular and cellular treatments of autoimmune
hepatitis.
AB - Current corticosteroid regimens are effective in autoimmune hepatitis, but
therapy can be complicated by side effects, disease progression, incomplete
response, and relapse after drug withdrawal. The aims of this review are to
describe the promising pharmacological, molecular and cellular interventions for
autoimmune hepatitis and to stimulate further investigations that can refresh or
replace current treatments. Murine models that introduce pertinent human disease
related antigens by vaccination or viral infection promise a resource by which to
evaluate new treatments. Promising new drug therapies include the calcineurin
inhibitors (cyclosporine, tacrolimus), next generation purine antagonists
(mycophenolate mofetil, 6-thioguanine nucleotides), next generation
glucocorticoids (budesonide, deflazacort), and inhibitors of the mammalian target
of rapamycin (rapamycin). Feasible molecular interventions are recombinant
molecules that affect immune regulatory pathways (cytotoxic T lymphocyte antigen
4, recombinant interleukin 10), monoclonal antibodies that disrupt activation
pathways (antibodies to CD3, CD28, CD 20, or tumor necrosis factor-alpha), and
synthetic peptides that block antigen display or promote antigen desensitization
(oral tolerance). New methods to stimulate or replenish regulatory T cell
populations (adoptive transfer, mesenchymal stem cell or autologous bone marrow
transplantation) are feasible as are genetic manipulations (gene silencing) and
gene supplementations (gene replacement therapy). The emergence of new therapies
for autoimmune hepatitis requires a standardized and universalized animal model
of the human disease, consensus regarding the most promising modality to be
tested, and formation of a cooperative international network of committed
clinical investigators to evaluate new therapies in a pre-designed rigorous yet
expeditious fashion.
PMID- 21902663
TI - Importance of iron chelation in free radical-induced oxidative stress and human
disease.
AB - Iron is a redox active metal involved in the oxidation-reduction reactions and
regulation of cell growth and differentiation. Iron is an integral part of many
proteins and enzymes that maintains various physiological functions. Most of the
human body's iron is contained in red blood cells. Despite iron being an abundant
trace metal in food, millions of people worldwide suffer from anemia. Iron
deficiency results in impaired production of iron-containing proteins and
inhibition of cell growth. In contrast, abnormal iron uptake has been related to
the most common hereditary disease hemochromatosis, leading to tissue damage
derived from free radical toxicity. In addition, disruption of iron regulation
plays a key role in the etiology of Alzheimer's disease, Parkinson's disease,
Huntington's disease, Friedreich's ataxia and other neurological disorders,
cancer (lung cancer, breast cancer, colon cancer), Fanconi anemia, stroke and
ageing. Thus the control of this necessary but potentially toxic substance is an
important part of many aspects of human health and disease. The most frequent is
the toxic role of iron linked with the catalytic decomposition of hydrogen
peroxide (Fenton reaction) leading to the formation of reactive oxygen species
(ROS) causing damage to biomolecules, including lipids, proteins and DNA. The
binding of iron-designed chelators via nitrogen, oxygen or sulphur donor atoms
blocks iron s ability to catalyze the formation of free radicals. Thus the design
of various metal chelators to prevent free radical reactions is an important
approach in the treatment of many iron-related diseases. The development of
effective dual functioning antioxidants, possessing both metal-chelating and free
radical-scavenging properties is awaited. The aim of this review is to discuss
the role of iron and importance of iron-chelation in human disease and ageing.
PMID- 21902662
TI - Targeting mitochondrial bioenergetics for Alzheimer's prevention and treatment.
AB - Alzheimer's is a neurodegenerative disease with a complex and progressive
pathological phenotype characterized first by hypometabolism and impaired
mitochondrial bioenergetics followed by pathological burden. The progressive and
multifaceted degenerative phenotype of Alzheimer's suggests that successful
treatment strategies necessarily will be equally multi-faceted and disease stage
specific. Traditional therapeutic strategies based on the pathological aspect of
the disease have achieved success in preclinical models which has not translated
into clinical therapeutic efficacy. Meanwhile, increasing evidence indicates an
antecedent and potentially causal role of mitochondrial bioenergetic deficits and
brain hypometabolism coupled with increased mitochondrial oxidative stress in AD
pathogenesis. The essential role of mitochondrial bioenergetics and the unique
trajectory of alterations in brain metabolic capacity enable a bioenergetic-
centric strategy that targets disease-stage specific pattern of brain metabolism
for disease prevention and treatment. A combination of nutraceutical and
pharmaceutical intervention that enhances glucose-driven metabolic activity and
potentiates mitochondrial bioenergetic function could prevent the antecedent
decline in brain glucose metabolism, promote healthy aging and prevent AD.
Alternatively, during the prodromal incipient phase of AD, sustained activation
of ketogenic metabolic pathways coupled with supplement of the alternative fuel
source, ketone bodies, could sustain mitochondrial bioenergetic function to
prevent or delay further progression of the disease.
PMID- 21902664
TI - Therapeutic intervention at cellular quality control systems in Alzheimer's and
Parkinson's diseases.
AB - Cellular homeostasis relies on quality control systems so that damaged biologic
structures are either repaired or degraded and entirely replaced by newly formed
proteins or even organelles. The clearance of dysfunctional cellular structures
in long-lived postmitotic cells, like neurons, is essential to eliminate, per
example, defective mitochondria, lipofuscin-loaded lysosomes and oxidized
proteins. Short-lived proteins are degraded mainly by proteases and proteasomes
whether most long-lived proteins and all organelles are digested by autophagy in
the lysosomes. Recently, it an interplay was established between the ubiquitin
proteasome system and macroautophagy, so that both degradative mechanisms
compensate for each other. In this article we describe each of these clearance
systems and their contribution to neuronal quality control. We will highlight
some of the findings that provide evidence for the dysfunction of these systems
in Alzheimer's and Parkinson's diseases. Ultimately, we provide an outline on
potential therapeutic interventions based on the modulation of cellular
degradative systems.
PMID- 21902665
TI - Gene therapy for Parkinson's and Alzheimer's diseases: from the bench to clinical
trials.
AB - Alzheimer's and Parkinson's diseases represent the most prevalent
neurodegenerative disorders worldwide. Current pharmacological or surgical
treatments provide symptomatic benefits, particularly in the early stages, but
none can delay or stop the progression of these diseases. There is an urgent need
for new therapies able to modify disease progression. Gene therapy, mainly based
on viral vectors, is presently being assumed as an important alternative to
conventional treatments. After decades of preclinical developments, we are now
facing an important period, in which several viral vector-mediated gene therapies
are being tested in Phase 1 and Phase 2 clinical trials, with some of them
showing promising results. This review intends to present an overview of the
current efforts in the field for the treatment of Alzheimer's and Parkinson's
diseases.
PMID- 21902666
TI - Role of sirtuins and calorie restriction in neuroprotection: implications in
Alzheimer's and Parkinson's diseases.
AB - Aging is the major known risk factor for the onset of neurodegenerative diseases
such as Alzheimer's disease (AD) and Parkinson's disease (PD). Mitochondria play
a central role in aging as mitochondrial dysfunction increases with age and
produces harmful levels of reactive oxygen species which leads to cellular
oxidative stress (free-radical theory of aging). Oxidative stress is highly
damaging to cellular macromolecules and is also a major cause of the loss and
impairment of neurons in neurodegenerative disorders. A growing body of evidence
suggests that modulation of sirtuin activity and restricting calorie intake has a
strong neuroprotective effect. SIRT1 induction by the use of pharmacological
activators or by calorie restriction (CR) diet regimen has been shown to protect
against neuronal loss and impairment in the cellular and animal models of AD and
PD. Here, we review the current knowledge and recent data related to the role of
sirtuins and CR in neurodegeneration and discuss the potential underlying
signaling pathways of neuroprotection that might serve as attractive targets for
the future therapeutic intervention of these age-related neurodegenerative
diseases.
PMID- 21902667
TI - Microtubules (tau) as an emerging therapeutic target: NAP (davunetide).
AB - This review focuses on the discovery of activity-dependent neuroprotective
protein (ADNP) and the ensuing discovery of NAP (davunetide) toward clinical
development with emphasis on microtubule protection. ADNP immunoreactivity was
shown to occasionally decorate microtubules and ADNP silencing inhibited neurite
outgrowth as measured by microtubule associated protein 2 (MAP2) labeling. ADNP
knockout is lethal, while 50% reduction in ADNP (ADNP haploinsufficiency)
resulted in the microtubule associated protein tau pathology coupled to cognitive
dysfunction and neurodegeneration. NAP (davunetide), an eight amino acid peptide
derived from ADNP partly ameliorated deficits associated with ADNP deficiency.
NAP (davunetide) interacted with microtubules, protected against microtubule
toxicity associated with zinc, nocodazole and oxidative stress in vitro and
against tau pathology and MAP6 (stable tubuleonly polypeptide - STOP) pathology
in vivo. NAP (davunetide) provided neurotrophic functions promoting neurite
outgrowth as measured by increases in MAP2 immunoreactivity and synapse formation
by increasing synaptophysin expression. NAP (davunetide) protection against
neurodegeneration has recently been shown to extend to katanin-related
microtubule disruption under conditions of tau deficiencies. In conclusion, NAP
(davunetide) provided potent neuroprotection in a broad range of
neurodegenerative models, protecting the neuroglial cytoskeleton in vitro and
inhibiting tau pathology (tauopathy) in vivo. Based on these extensive
preclinical results, davunetide (NAP) is now being evaluated in a Phase II/III
study of the tauopathy, progressive supranuclear palsy (PSP); (Allon Therapeutics
Inc.).
PMID- 21902668
TI - Epigenetics in Alzheimer's disease: a focus on DNA modifications.
AB - Epigenetic alterations have been associated with several human diseases including
Alzheimer's disease (AD). AD is a complex neurodegenerative disease characterized
by a progressive decline in cognitive functions, neuronal cell loss and by the
presence of beta amyloid (Abeta) plaques and neurofibrillary tangles (NFTs) in
the cortex. Mutations in specific genes have been identified but can only explain
a small percentage of the AD cases. The origins of the sporadic cases of AD are
still not known but there is evidence for a role of epigenetics in the etiology
of the disease. In this review we focus on discussing the roles of DNA
methylation and hydroxymethylation in the development and potential treatment of
AD. We discuss papers showing that there are alterations in methylated cytosine
(5mC) levels in AD and also highlight the potential role of hydroxylated
methylcytosine (5hmC) in the epigenetic regulation of brain gene expression and
perhaps in AD. We discuss the potential influence of environmental factors,
working through epigenetic mechanisms, on increasing the risk of developing AD
and their potential in treating this major neurodegenerative disorder.
PMID- 21902669
TI - The mitochondrial cascade hypothesis for Parkinson's disease.
AB - During the past decades, we have witnessed significant advances in our
understanding of the molecular etiology of Parkinson's disease (PD). The
unearthing of the causative genes for hereditary PD accelerated not only the
studies of the molecular mechanisms underlying this pathology, but also set
mitochondria at the center of PD pathology. In this review we revisit
mitochondrial key role and propose a hypothesis for PD, that allows the
unification of both sporadic and familial PD forms. In light of this we also
discuss new promising disease-modified therapies.
PMID- 21902670
TI - New insights into the mechanisms of mitochondrial preconditioning-triggered
neuroprotection.
AB - Mitochondria fulfill a number of essential cellular functions, being recognized
that the strict regulation of the structure, function and turnover of these
organelles is an immutable control node for the maintenance of neuronal integrity
and homeostasis. Many lines of evidence posit that mitochondria constitute a
convergence point of preconditioning - a paradigm that affords robust brain
tolerance in the face of neurodegenerative insults. Indeed, it has been described
that preconditioning activates an adaptive reprogramming of mitochondrial biology
in response to a noxious stress-stimulus, which in turn will contribute to
augment both mitochondrial and neuronal tolerance. Mitochondrial reactive species
(ROS), mitochondrial ATP-sensitive potassium (mitoK(ATP)) channels and
mitochondrial permeability transition pore have been identified as specific
mitochondrial mediators and targets of the adaptive program underlying
preconditioning. Recent studies further link mitochondrial biogenesis, dynamics
and mitophagy to preconditioning, thereby representing novel mechanisms by which
preconditioning may mediate brain tolerance. The present review summarizes the
current views on how mitochondrial biology is linked to preconditioning-induced
neuroprotection. A better understanding of the mitochondrial mechanisms
underlying preconditioning will help in the development of novel therapeutic
approaches with the primary goal of modulating mitochondria to enhance brain
tolerance against neurodegenerative events.
PMID- 21902673
TI - (-)-Epigallocatechin gallate suppresses adipocyte differentiation through the
MEK/ERK and PI3K/Akt pathways.
AB - EGCG [(-)-epigallocatechin gallate], tea catechin, is one of the compounds that
has been reported to act against obesity and diabetes. To determine the effect of
EGCG on adipocyte differentiation, we treated 3T3-L1 preadipocytes with different
catechins. Oil Red O staining showed significantly reduced intracellular lipid
accumulation, especially with EGCG. Cell cycle analysis showed that EGCG
inhibited cell proliferation by disturbing the cell cycle during the clonal
expansion of 3T3-L1. RT-PCR (real-time PCR) demonstrated that EGCG noticeably
reduced mRNA expression of PPARgamma (peroxisome proliferator-activated receptor
gamma), C/EBPalpha (CCAAT/enhancer-binding protein alpha) and FoxO1 (forkhead box
class O1). EGCG also caused a significant decrease in the transcription of FoxO1
the forkhead transcription factor class O1 involved in adipocyte differentiation
- via the PI3K (phosphoinositide 3-kinase)/Akt and MEK [MAPK (mitogen-activated
protein kinase)/ERK (extracellular-signal-regulated kinase) kinase] pathways.
These results suggest that EGCG suppresses the clonal expansion of adipocytes by
inactivating FoxO1 via insulin signalling and stress-dependent MAPK pathways.
PMID- 21902671
TI - The mitochondrial dynamics of Alzheimer's disease and Parkinson's disease offer
important opportunities for therapeutic intervention.
AB - Mitochondrial dynamics play a crucial role in the pathobiology underlying
Alzheimer's disease (AD) and Parkinson's disease (PD). Although a complete
scientific understanding of these devastating conditions has yet to be realized,
alterations in mitochondrial fission and fusion, and in the protein complexes
that orchestrate mitochondrial fission and fusion, have been well established in
AD- and PD-related neurodegeneration. Whether fission/fusion disruption in the
brain is a causal agent in neuronal demise or a product of some other upstream
disturbance is still a matter of debate; however, in both AD and PD, the
potential for successful therapeutic amelioration of degeneration via
mitochondrial protection is high. We here discuss the role of mitochondrial
dynamics in AD and PD and assess the need for their therapeutic exploitation.
PMID- 21902674
TI - Development of a disability scale for myotonic dystrophy type 1.
AB - OBJECTIVES: Myotonic dystrophy type 1 (DM1) is a multisystem disorder. Many tests
in the literature have evaluated single aspects of DM1 patients, mainly focusing
on muscular impairment, without an overall quantification of the different
disease-specific neurological features. We developed and validated a new
functional scale for DM1 patients based on neuromuscular impairment (NI) and
disability. MATERIALS AND METHODS: Thirty-three patients were tested in basal
condition, 18 were re-evaluated after therapeutic intervention with mexiletine,
and 13 at one year follow-up without treatment. The scale includes 21 ordinal
items in four areas: neuropsychology, motricity, myotonia and daily life
activities. We evaluated inter- and intra-observer reliability (intraclass
correlation coefficient, ICC and Spearman correlations, respectively), internal
consistency (Cronbach's alpha), external validity (Spearman correlations between
each area and other clinical and objective measurements and scales), and
sensitivity to clinical changes after treatment or at follow-up. RESULTS: Our
analysis provided good results for inter-observer agreement (ICC = 0.72-0.97),
intra-observer reliability, and internal consistency for all areas (Cronbach's
alpha > 0.73). Total score and single area subscores were significantly
correlated to objective measurements, disease duration and multisystem
involvement. Finally, the scale was sensitive to clinical changes disclosing a
significant improvement after treatment in the items assessing myotonia, and also
to disease progression showing a significant worsening in all areas but myotonia
in untreated patients. DISCUSSION: Our scale provides a new practical measure to
evaluate NI and disability of DM1 patients. Further longitudinal studies are
warranted to confirm its reliability in tracking disease progression and severity
over a longer period of time.
PMID- 21902675
TI - Craniotomy for brain metastases: a consecutive series of 316 patients.
AB - OBJECTIVE: To assess the incidence of craniotomy for brain metastases, overall
survival (OS), surgical mortality, and prognostic factors in a large,
contemporary, consecutive series from a well-defined catchment area. MATERIAL AND
METHODS: All patients >= 18 years who underwent craniotomies for intracranial
metastases at Oslo University Hospital, Rikshospitalet and Ulleval, between 2005
and June 30, 2009 were included (n = 316). Patients were identified from our
prospectively collected database and a thorough review of all charts to validate
the entered data was performed. RESULTS: The annual incidence of first-time
craniotomy for a brain metastasis was 2.6/100,000 inhabitants. Patient age ranged
from 25 to 87 years (median 64 years). The 30-day mortality rate was 3.8%. Median
OS was 9.2 months. Recursive partitioning analysis was class I in 19.6%, class II
in 59.2%, and class III in 21.2% with median OS of 16.2, 8.9, and 5.6 months,
respectively (P < 0.001). Lung cancer and melanoma were associated with a higher
risk (>1% per year) of developing brain metastases. Significant negative
prognostic factors were age >= 65, a poor performance score, unstable
extracranial disease, presence of extracranial metastases, multiplicity,
metastasis in eloquent area, and no post-operative radiotherapy. CONCLUSIONS: In
this population study, the annual incidence of a first-time craniotomy for a
brain metastasis was 2.6/100,000, the 30-day mortality rate was 3.8%, and median
OS was 9.2 months. The well-known prognostic factors were confirmed.
PMID- 21902676
TI - A systematic review of the literature about competence and poor insight.
AB - OBJECTIVE: Formally, incompetence implies that a patient cannot meet the legal
requirements for informed consent. Our aim was to review the scientific
literature on the relationship between competence and insight in patients with
psychiatric disorders, how competence and insight are connected in these patients
and whether there are differences in competence and insight among patients with
different disorders. METHOD: A search in PubMed/Medline was performed. Articles
were assessed on relevance criteria by two independent reviewers. Study design,
population, variables, and outcomes were extracted. RESULTS: Seven articles
were included on studies of psychiatric inpatients and outpatients and of
psychotic and non-psychotic patients. All studies used the MacArthur Competence
Assessment Tool (MacCAT). All studies but one found a strong correlation between
poor insight and incompetence. Psychotic patients with poor insight are very
likely to be incompetent, and psychotic patients with adequate insight are
generally competent. One well-executed study showed that in non-psychotic
disorders, however, another relationship emerges; competence and insight do not
completely overlap in these patients. CONCLUSION: Most incompetent psychotic
patients have poor insight, but non-psychotic patients with adequate insight were
incompetent in a substantial number of cases. In sum: non-psychotic patients with
adequate insight can be incompetent.
PMID- 21902678
TI - Efficient genomewide selection of PCA-correlated tSNPs for genotype imputation.
AB - The linkage disequilibrium structure of the human genome allows identification of
small sets of single nucleotide polymorphisms (SNPs) (tSNPs) that efficiently
represent dense sets of markers. This structure can be translated into linear
algebraic terms as evidenced by the well documented principal components analysis
(PCA)-based methods. Here we apply, for the first time, PCA-based methodology for
efficient genomewide tSNP selection; and explore the linear algebraic structure
of the human genome. Our algorithm divides the genome into contiguous
nonoverlapping windows of high linear structure. Coupling this novel window
definition with a PCA-based tSNP selection method, we analyze 2.5 million SNPs
from the HapMap phase 2 dataset. We show that 10-25% of these SNPs suffice to
predict the remaining genotypes with over 95% accuracy. A comparison with other
popular methods in the ENCODE regions indicates significant genotyping savings.
We evaluate the portability of genome-wide tSNPs across a diverse set of
populations (HapMap phase 3 dataset). Interestingly, African populations are good
reference populations for the rest of the world. Finally, we demonstrate the
applicability of our approach in a real genome-wide disease association study.
The chosen tSNP panels can be used toward genotype imputation using either a
simple regression-based algorithm or more sophisticated genotype imputation
methods.
PMID- 21902677
TI - Maternal smokeless tobacco use in Alaska Native women and singleton infant birth
size.
AB - OBJECTIVE: To examine the effects of maternal prenatal smokeless tobacco use on
infant birth size. DESIGN: A retrospective medical record review of 502 randomly
selected deliveries. POPULATION AND SETTING: Singleton deliveries to Alaska
Native women residing in a defined geographical region in western Alaska, 1997
2005. METHODS: A regional medical center's electronic records were used to
identify singleton deliveries. Data on maternal tobacco exposure and pregnancy
outcomes were abstracted from medical records. Logistic models were used to
estimate adjusted mean birthweight, length and head circumference for deliveries
to women who used no tobacco (n=121), used smokeless tobacco (n=237) or smoked
cigarettes (n=59). Differences in mean birthweight, length and head
circumference, 95% confidence intervals and p-values were calculated using non
users as the reference group. MAIN OUTCOME MEASURES: Infant birthweight, crown
heel length and head circumference. RESULTS: After adjustment for gestational age
and other potential confounders, the mean birthweight of infants of smokeless
tobacco users was reduced by 78 g compared with that of infants of non-users
(p=0.18) and by 331 g in infants of smokers (p<0.01). No association was found
between maternal smokeless tobacco use and infant length or infant head
circumference. CONCLUSIONS: We found a modest but non-significant reduction in
the birthweight of infants of smokeless tobacco users compared with infants of
tobacco non-users. Because smokeless tobacco contains many toxic compounds that
could affect other pregnancy outcomes, results of this study should not be
construed to mean that smokeless tobacco use is safe during pregnancy.
PMID- 21902672
TI - Role and treatment of mitochondrial DNA-related mitochondrial dysfunction in
sporadic neurodegenerative diseases.
AB - Several sporadic neurodegenerative diseases display phenomena that directly or
indirectly relate to mitochondrial function. Data suggesting altered
mitochondrial function in these diseases could arise from mitochondrial DNA
(mtDNA) are reviewed. Approaches for manipulating mitochondrial function and
minimizing the downstream consequences of mitochondrial dysfunction are
discussed.
PMID- 21902679
TI - The role of genetic variability in the SLC6A4, BDNF and GABRA6 genes in anxiety
related traits.
AB - OBJECTIVE: The aims of this study were to test the individual association of the
serotonin transporter gene (SLC6A4), the brain-derived neurotrophic factor gene
(BDNF) and the GABA(A) alpha(6) receptor subunit gene (GABRA6) with anxiety
related traits and to explore putative gene-gene interactions in a Spanish
healthy sample. METHOD: A sample of 937 individuals from the general population
completed the Temperament and Character Inventory questionnaire to explore Harm
Avoidance (HA) dimension; a subsample of 553 individuals also filled in the Big
Five Questionnaire to explore the Neuroticism dimension. The whole sample was
genotyped for the 5-HTTLPR polymorphism (SLC6A4 gene), the Val66Met polymorphism
(BDNF gene) and the T1521C polymorphism (GABRA6 gene). RESULTS: Homozygous
individuals for the T allele of the T1512C polymorphism presented slightly higher
scores for HA than C allele carriers (F = 2.96, P = 0.019). In addition, there
was a significant gene-gene interaction on HA between the 5-HTTLPR and Val66Met
polymorphisms (F = 3.4, P = 0.009). CONCLUSION: GABRA6 emerges as a candidate
gene involved in the variability of HA. The effect of a significant gene-gene
interaction between the SLC6A4 and BDNF genes on HA could explain part of the
genetic basis underlying anxiety-related traits.
PMID- 21902680
TI - Paediatric B-cell precursor acute lymphoblastic leukaemia with t(1;19)(q23;p13):
clinical and cytogenetic characteristics of 47 cases from the Nordic countries
treated according to NOPHO protocols.
AB - The translocation t(1;19)(q23;p13)/der(19)t(1;19) is a risk stratifying
aberration in childhood B-cell precursor acute lymphoblastic leukaemia (BCP ALL)
in the Nordic countries. We have identified 47 children/adolescents with
t(1;19)/der(19)t(1;19)-positive BCP ALL treated on two successive Nordic Society
of Paediatric Haematology and Oncology (NOPHO) protocols between 1992 and 2007
and have reviewed the clinical and cytogenetic characteristics of these cases,
comprising 1.8% of all cases. The translocation was balanced in 15 cases (32%)
and unbalanced in 29 cases (62%). The most common additional chromosome
abnormalities were del(9p), i(9q), del(6q), and del(13q). The median age was 7
years, the median white blood cell (WBC) count was 16 * 10(9)/l, and the
female/male ratio was 1.2. The predicted event-free survival (EFS) at 5 and 10
years was 0.79, whereas the predicted overall survival (OS) at 5 and 10 years was
0.85 and 0.82, respectively. Nine patients had a bone marrow relapse after a
median of 23 months; no patient had a central nervous system relapse. Additional
cytogenetic abnormalities, age, gender, WBC count or whether the t(1;19) was
balanced or unbalanced did not influence EFS or OS. Compared to cases with
t(12,21) and high hyperdiploidy, EFS was similar, but overall survival was worse
in patients with t(1;19)/der(19)t(1;19) (P = 0.004).
PMID- 21902681
TI - KRN5500, a spicamycin derivative, exerts anti-myeloma effects through impairing
both myeloma cells and osteoclasts.
AB - The spicamycin analogue KRN5500 alters glycoprotein processing and induces damage
in the endoplasmic reticulum (ER)-Golgi apparatus in cancer cells. In the present
study, we explored the cytotoxic effects of KRN5500 on multiple myeloma (MM)
cells and the bone marrow microenvironment with special reference to ER stress.
Cell proliferation assay showed that KRN5500 induced G1 arrest and apoptosis in
MM cells in a time- and dose-dependent manner. KRN5500 enhanced ER stress
independently of caspase activation in MM cells. This cell death was observed
even in the presence of bone marrow stroma cells or osteoclasts. Notably, KRN5500
induced cell death also in osteoclasts. In vivo effects of KRN5500 were evaluated
using two xenograft models established in severe combined immunodeficient (SCID)
mice by either subcutaneous injection of RPMI 8226 cells or intra-bone injection
of INA-6 cells to subcutaneously implanted rabbit bones (SCID-rab model). KRN5500
significantly inhibited tumour growth in both animal models, and decreased the
number of osteoclasts, which resulted in prevention of bone destruction in the
SCID-rab model. These results suggest that KRN5500 exerts anti-MM effects through
impairing both MM cells and osteoclasts. Therefore, this unique mechanism of
KRN5500 might be a useful therapeutic option in patients with MM.
PMID- 21902683
TI - MicroRNA expression profile in splenic marginal zone lymphoma.
PMID- 21902682
TI - Fibroproliferative activity in patients with immune thrombocytopenia (ITP)
treated with thrombopoietic agents.
AB - This study assessed the grade of bone marrow (BM) fibrosis and its association
with a seromarker for collagen-III formation and fibrosis-related cytokines in 25
immune thrombocytopenia (ITP) patients treated with thrombopoietin receptor
agonists (Tpo-RA) who had at least one BM biopsy. Assessment of 8 pre- and on
treatment BM biopsies revealed statistically significant increases in reticulin.
Reticulin in biopsies performed after a median of 1.4 years of treatment was
graded: MF-0 in 3 (12%), MF-1 in 19 (76%), MF-2 in 2 (8%) and MF-3 in 1 (4%). No
cytogenetic or flow-cytometric abnormalities were detected. Median pretreatment
Procollagen III N-propeptide (PIIINP) (6.6 MUg/l) was significantly higher than
on-treatment levels (5.6 MUg/l); both were higher than controls (3.4 MUg/l; P <
0.001). PIIINP was negatively correlated with treatment duration (r = -0.49)
suggesting a decelerated reticulin production over time. There was a trend
towards an association between grade of reticulin and PIIINP. Transforming growth
factor (GF)-beta and basic-Fibroblast GF were not different between patients and
controls but Hepatocyte GF (HGF), an anti-fibrotic cytokine, was significantly
elevated in patients. In conclusion, low-grade BM reticulin fibrosis is seen in
most ITP patients on Tpo-RA. The novel findings of decreasing PIIINP and elevated
HGF need further investigation to explore their significance in BM fibrogenesis.
PMID- 21902684
TI - Impact of high-risk classification by FISH: an eastern cooperative oncology group
(ECOG) study E4A03.
AB - Lenalidomide with dexamethasone is a standard induction treatment regimen for
newly diagnosed myeloma (although a Federal Drug Administration indication is
still absent). In the context of the Phase 3 clinical trial E4A03 (lenalidomide
plus dexamethasone in low or high doses), we queried whether a fluorescence in
situ hybridization (FISH)-based genetic classification into high risk (HR) and
standard risk (SR) multiple myeloma (MM) would remain clinically significant. Of
445 E4A03 patients, 126 had FISH analysis; 21 were classified HR with t(4;14),
t(14;16), or 17p13 deletions. Median survival follow-up approached 3 years.
Patients with FISH data tended to be younger and healthier compared to the rest
of the study population and, consequently, had superior overall survival (OS)
results. Within the FISH cohort, shorter OS in the HR versus SR group (P = 0.004)
corresponded to a hazard ratio of 3.48 [95% confidence interval: (1.42-8.53)], an
effect also observed in multivariate analysis. Two-year OS rates were 91% for SR
MM and 76% for HR MM. There was also evidence of interaction between risk status
and treatment (P = 0.026). HR patients were less likely to attain good partial
response (SR 46% and HR 30%, Odds Ratio = 2.0 [0.7-5.6]), but overall response
rates were not different. FISH-based risk classification retained prognostic
significance in patients receiving lenalidomide-based induction.
PMID- 21902685
TI - Novel epitope evoking CD138 antigen-specific cytotoxic T lymphocytes targeting
multiple myeloma and other plasma cell disorders.
AB - The development of an immunotherapeutic strategy targeting CD138 antigen could
potentially represent a new treatment option for multiple myeloma (MM). This
study evaluated the immune function of CD138 peptide-specific cytotoxic T
lymphocytes (CTL), generated ex vivo using an HLA-A2-specific CD138 epitope
against MM cells. A novel immunogenic HLA-A2-specific CD138(260-268) (GLVGLIFAV)
peptide was identified from the full-length protein sequence of the CD138
antigen, which induced CTL specific to primary CD138(+) MM cells. The peptide
induced CD138-CTL contained a high percentage of CD8(+) activated/memory T cells
with a low percentage of CD4(+) T cell and naive CD8(+) T cell subsets. The CTL
displayed HLA-A2-restricted and CD138 antigen-specific cytotoxicity against MM
cell lines. In addition, CD138-CTL demonstrated increased degranulation,
proliferation and gamma-interferon secretion to HLA-A2(+) /CD138(+) myeloma
cells, but not HLA-A2(-) /CD138(+) or HLA-A2(+) /CD138(-) cells. The immune
functional properties of the CD138-CTL were also demonstrated using primary HLA
A2(+) /CD138(+) cells isolated from myeloma patients. In conclusion, a novel
immunogenic CD138(260-268) (GLVGLIFAV) peptide can induce antigen-specific CTL,
which might be useful for the treatment of MM patients with peptide-based vaccine
or cellular immunotherapy strategies.
PMID- 21902687
TI - Sickle cell nephropathy - a practical approach.
AB - Despite its apparently simple molecular aetiology, sickle cell disease (SCD) has
long been known to have a remarkably variable clinical course, with complications
involving many organs including the kidneys. Whilst many affected individuals
show no evidence of renal involvement into late adulthood, others develop renal
dysfunction in childhood or early adult life with a significant proportion
eventually requiring renal replacement therapy. This review explores the
pathophysiology and clinical manifestations of sickle cell nephropathy (SCN) and
discusses how each complication can be investigated, monitored and managed in the
outpatient setting. We summarize current knowledge of genetic modulation of
sickle-related renal dysfunction. We outline the evidence for various treatment
options and discuss others for which little evidence currently exists.
PMID- 21902688
TI - A glycoengineered anti-CD19 antibody with potent antibody-dependent cellular
cytotoxicity activity in vitro and lymphoma growth inhibition in vivo.
AB - Human cluster of differentiation (CD) antigen 19 is a B cell-specific surface
antigen and an attractive target for therapeutic monoclonal antibody (mAb)
approaches to treat malignancies of B cell origin. MEDI-551 is an affinity
optimized and afucosylated CD19 mAb with enhanced antibody-dependent cellular
cytotoxicity (ADCC). The results from in vitro ADCC assays with Natural Killer
cells as effector cells, demonstrate that MEDI-551 is effective at lower mAb
doses than rituximab with multiple cell lines as well as primary chronic
lymphocytic leukaemia and acute lymphoblastic leukaemia samples. Targeting CD19
with MEDI-551 was also effective in several severe combined immunodeficiency
lymphoma models. Furthermore, the combination of MEDI-551 with rituximab resulted
in prolonged suppression of tumour growth, demonstrating that therapeutic mAbs
with overlapping effector function can be combined for greater tumour growth
inhibition. Together, the data demonstrate that MEDI-551 has potent antitumour
activity in preclinical models of B cell malignancies. The results also suggest
that the combination of the ADCC-enhanced CD19 mAb with an anti-CD20 mAb could be
a novel approach for the treatment of B cell lymphomas.
PMID- 21902686
TI - Results of a randomized trial in children with Acute Myeloid Leukaemia: medical
research council AML12 trial.
AB - The Medical Research Council Acute Myeloid Leukaemia 12 (MRC AML12) trial
(children) addressed the optimal anthracenedione/anthracycline in induction and
the optimal number of courses of consolidation chemotherapy. 504 children (<16
years) with AML were randomized between mitoxantrone/cytarabine/etoposide or
daunorubicin/cytarabine/etoposide as induction chemotherapy and 270 entered a
second randomization between a total of four or five courses of treatment. Ten
year event-free (EFS) and overall survival (OS) was 54% and 63% respectively; the
relapse rate was 35%. There was no difference in complete remission rate between
the induction regimens, but there was a benefit for mitoxantrone with regard to
relapse rate [32% vs. 39%; Hazard ratio (HR) 0.73; 95% confidence interval (CI)
0.54, 1.00] and disease-free survival (DFS; 63% vs. 55%; HR 0.72; 95% CI 0.54,
0.96). However, this did not translate into a better EFS or OS (HR 0.84; 95% CI
0.63, 1.12). Results of the second randomization did not show a survival benefit
for a fifth course of treatment (HR 1.01; 95% CI 0.63, 1.62), suggesting a
ceiling of benefit for conventional chemotherapy and demonstrating the need for
new agents. EFS was superior compared to the preceding trial AML10, partly due to
fewer deaths in remission, highlighting the importance of supportive care.
PMID- 21902689
TI - The vascular endothelium: still amazing us 30 years on.
PMID- 21902690
TI - Bacteria and spontaneous experimental colitis: immunological changes.
AB - BACKGROUND: Intestinal commensal flora seems to be a requisite for both human and
experimental intestinal inflammation. Our aim was to assess the immunological
changes in the colon of IL-10(-/-) mice depending on the environmental
conditions. MATERIALS AND METHODS: Twelve wild-type (WT) and 24 IL-10(-/-) 4-week
old mice were kept under specific pathogen-free (SPF) conditions for 4 weeks.
Half of them were transferred to a conventional environment. Mice were sacrificed
at 12 weeks of age, and the incidence and severity of colitis was assessed.
Intraepithelial (IEL) and lamina propria (LPL) lymphocytes were assessed for
phenotype and apoptosis by flow cytometry. Toll-like receptors 2 (TLR2) and TLR9
expression was assessed by real-time PCR. Immunohistochemical analyses for cell
apoptosis, TLR2 and MyD88 were also performed. RESULTS: IL-10(-/-) mice shifted
to conventional conditions showed a greater incidence (66% vs. 50%) and severity
of colitis than animals kept under SPF conditions (P = 0.009). The number of CD3+
IEL was higher and their apoptosis rate lower in IL-10(-/-) than in their WT
counterparts, regardless of the environment. In LPL, however, these differences
were only observed in mice shifted to conventional conditions. TLR2 expression
was significantly increased in SPF-housed IL-10(-/-) mice when compared to WT
controls. Immunohistochemistry demonstrated the loss of TLR2 and MyD88 in damaged
areas. CONCLUSIONS: In SPF conditions, IL-10 deficiency appears to be compensated
by an increased epithelial TLR2 expression, thus resulting in a milder colonic
damage. However, in conventional conditions, this compensatory mechanism would be
exceeded inducing a more severe colonic damage with activation of LPL immune
cells.
PMID- 21902691
TI - Six-year prognosis of diabetic patients with coronary artery disease.
AB - BACKGROUND: Diabetes is associated with increased cardiovascular mortality. The
aim of our study was to determine the prognostic factors for mortality in
patients with type 2 diabetes (T2DM) and coronary artery disease (CAD) who
underwent coronary angiography and percutaneous coronary intervention. MATERIALS
AND METHODS: Four hundred and forty-five consecutive T2DM patients with
significant CAD (>= 75% stenosis) were included in our analysis. All patients
underwent standard clinical examination, laboratory tests and transthoracic
echocardiography with measurement of the left ventricular ejection fraction.
Severity of CAD at the coronary angiography was evaluated using the Gensini
score. Clinical follow-up was completed at 1, 3 and 6 years. RESULTS: During a
mean follow-up of 73.3 +/- 22.1 months, 109 patients died (24.5%). Significant
determinants of an increased risk of death at multivariable analysis were age (p
< 0.001), serum creatinine (p = 0.001), peripheral vascular disease (p = 0.002),
serum glucose (p = 0.004), serum fibrinogen (p = 0.011) and history of heart
failure (HF, p = 0.011). When all the variables were entered as categorical
variables, with continuous variables split at their median value, only history of
HF, estimated glomerular filtration rate, serum glucose, serum fibrinogen (all p
< 0.0001) and beta-blocker therapy at discharge (p = 0.027) were selected.
CONCLUSIONS: Our study shows a relatively good prognosis of patients with T2DM.
Comorbidities, namely HF and renal impairment, are main determinants of survival.
PMID- 21902692
TI - Justification of 150 mg clopidogrel in patients with high on-clopidogrel platelet
reactivity.
AB - BACKGROUND: The GRAVITAS trial showed that 150 mg clopidogrel did not improve
outcome in patients with high on-clopidogrel platelet reactivity (HPR) screened
by the VerifyNow assay. We aimed to determine the impact of 150 mg clopidogrel in
stable angina patients with HPR identified with conventional aggregometry (LTA).
MATERIALS AND METHODS: Clopidogrel-naive stable angina patients before ad hoc
percutaneous coronary intervention were recruited into a randomized, double
blind, placebo-controlled trial (NCT00638326). Twelve to 24 h after the 600-mg
loading dose of clopidogrel, ADP(5MUM)-stimulated maximal (AGGmax), late platelet
aggregation (AGGlate) and vasodilator-stimulated phosphoprotein phosphorylation
(VASP-PRI) were evaluated. Patients with HPR (AGGmax >= 34%) were randomly
allocated to 75 or 150 mg clopidogrel after 4 weeks. After control platelet
function measurements at day 28, 75 mg clopidogrel was administered to all
patients until 1 year. RESULTS: The study was prematurely terminated at the stage
of 200 enroled patients. Administration of 150 mg clopidogrel significantly
reduced platelet aggregation (AGGmax: 45.0 +/- 6.8 vs. 33.8 +/- 15.1, P < 0.01;
AGGlate: 27.1 +/- 14.7 vs. 13.8 +/- 18.0, P < 0.01) and VASP-PRI (57.5 +/- 15.2
vs. 37.2 +/- 17.1; P < 0.01), while platelet reactivity remained unchanged in
patients with HPR receiving 75 mg clopidogrel. The higher maintenance dose of
clopidogrel was associated with a significant reduction in cardiovascular (CV)
death and myocardial infarction (MI) (0% vs. 11.4%, P = 0.04) and in CV death, MI
or target vessel revascularization (24.6% vs. 3.1%; P = 0.01) during 1 year.
CONCLUSIONS: One-month administration of 150 mg maintenance dose of clopidogrel
reduces platelet reactivity and might decrease the risk of thrombo-ischaemic
complications in stable angina patients with HPR identified by LTA.
PMID- 21902693
TI - Restoration of distorted colour microscopic images from transverse chromatic
aberration of imperfect lenses.
AB - An algorithm is presented for restoration of colour microscopic images with
distortions from imperfect microscope lenses having transverse chromatic
aberrations, resulting in a magnification that slightly varies with wavelengths
or colours. The differential of each colour component image is computed as the
difference between the component image and its slightly magnified version. The
absolute values in the differential component images are generally higher at the
edges where greater discontinuities occur. The two cross-correlation functions of
the absolute differentials between red and green colours and between red and blue
colours are then computed. The maximum in the two cross-correlation functions
were sought, respectively, and the cross-correlation delays were then calculated.
The two cross-correlation delays were used to determine dispersions and to
realign the three colour components. Results of real microscopic images are
provided. The restored image and the original are compared both visually and
quantitatively in terms of the estimated entropies measured for the degree of
concentrations using vector distributions.
PMID- 21902694
TI - The forgotten science: reviving morphology.
AB - Are modern science and clinicians forgetting or ignoring the importance of
morphology and microscopy in studying disease and disease patterns? Here we
ponder that current science research over-emphasizes the value of molecules and
disease modelling, or rather under-estimate the usefulness of microscopy and
morphology. We debate the usefulness of morphology in contemporary research and
wonder whether our techniques are too old-fashioned or whether our field is seen
as redundant.
PMID- 21902695
TI - Functional diversification of the GALA type III effector family contributes to
Ralstonia solanacearum adaptation on different plant hosts.
AB - Type III effectors from phytopathogenic bacteria exhibit a high degree of
functional redundancy, hampering the evaluation of their precise contribution to
pathogenicity. This is illustrated by the GALA type III effectors from Ralstonia
solanacearum, which have been shown to be collectively, but not individually,
required for disease on Arabidopsis thaliana and tomato. We investigated
evolution, redundancy and diversification of this family in order to understand
the individual contribution of the GALA effectors to pathogenicity. From
sequences available, we reconstructed GALA phylogeny and performed selection
studies. We then focused on the GALAs from the reference strain GMI1000 to
examine their ability to suppress plant defense responses and contribution to
pathogenicity on three different host plants: A. thaliana, tomato (Lycopersicum
esculentum) and eggplant (Solanum melongena). The GALA family is well conserved
within R. solanacearum species. Patterns of selection detected on some GALA
family members, together with experimental results, show that GALAs underwent
functional diversification. We conclude that functional divergence of the GALA
family likely accounts for its remarkable conservation during R. solanacearum
evolution and could contribute to R. solanacearum's adaptation on several host
plants.
PMID- 21902696
TI - The spatial distribution of acid phosphatase activity in ectomycorrhizal tissues
depends on soil fertility and morphotype, and relates to host plant phosphorus
uptake.
AB - Acid phosphatase (ACP) enzymes are involved in the mobilization of soil
phosphorus (P) and polyphosphate accumulated in the fungal tissues of
ectomycorrhizal roots, thereby influencing the amounts of P that are stored in
the fungus and transferred to the host plant. This study evaluated the effects of
ectomycorrhizal morphotype and soil fertility on ACP activity in the extraradical
mycelium (ACP(myc)), the mantle (ACP(mantle)) and the Hartig net region
(ACP(Hartig)) of ectomycorrhizal Nothofagus obliqua seedlings. ACP activity was
quantified in vivo using enzyme-labelled fluorescence-97 (ELF-97) substrate,
confocal laser microscopy and digital image processing routines. There was a
significant effect of ectomycorrhizal morphotype on ACP(myc), ACP(mantle) and
ACP(Hartig), while soil fertility had a significant effect on ACP(myc) and
ACP(Hartig). The relative contribution of the mantle and the Hartig net region to
the ACP activity on the ectomycorrhizal root was significantly affected by
ectomycorrhizal morphotype and soil fertility. A positive correlation between
ACP(Hartig) and the shoot P concentration was found, providing evidence that ACP
activity at the fungus:root interface is involved in P transfer from the fungus
to the host. It is concluded that the spatial distribution of ACP in
ectomycorrhizas varies as a function of soil fertility and colonizing fungus.
PMID- 21902697
TI - Comparative metabolomics of drought acclimation in model and forage legumes.
AB - Water limitation has become a major concern for agriculture. Such constraints
reinforce the urgent need to understand mechanisms by which plants cope with
water deprivation. We used a non-targeted metabolomic approach to explore plastic
systems responses to non-lethal drought in model and forage legume species of the
Lotus genus. In the model legume Lotus. japonicus, increased water stress caused
gradual increases of most of the soluble small molecules profiled, reflecting a
global and progressive reprogramming of metabolic pathways. The comparative
metabolomic approach between Lotus species revealed conserved and unique
metabolic responses to drought stress. Importantly, only few drought-responsive
metabolites were conserved among all species. Thus we highlight a potential
impediment to translational approaches that aim to engineer traits linked to the
accumulation of compatible solutes. Finally, a broad comparison of the metabolic
changes elicited by drought and salt acclimation revealed partial conservation of
these metabolic stress responses within each of the Lotus species, but only few
salt- and drought-responsive metabolites were shared between all. The
implications of these results are discussed with regard to the current insights
into legume water stress physiology.
PMID- 21902698
TI - Could rapid diameter changes be facilitated by a variable hydraulic conductance?
AB - Adequate radial water transport between elastic bark tissue and xylem is crucial
in trees, because it smoothens abrupt changes in xylem water potential, greatly
reducing the likelihood of suffering dangerous levels of embolism. The radial
hydraulic conductance involved is generally thought to be constant. Evidence
collected about variable root and leaf hydraulic conductance led us to speculate
that radial hydraulic conductance in stem/branches might also be variable and
possibly modulated by putative aquaporins. We therefore correlated diameter
changes in walnut (Juglans regia L.) with changes in water potential, altered by
perfusion of twig samples with D-mannitol solutions having different osmotic
potentials. Temperature and cycloheximide (CHX; a protein synthesis inhibitor)
treatments were performed. The temperature response and diameter change
inhibition found in CHX-treated twigs underpinned our hypothesis that radial
hydraulic conductance is variable and likely mediated by a putative aquaporin
abundance and/or activity. Our data demonstrate that radial water transport in
stem/branches can take two routes in parallel: an apoplastic and a cell-to-cell
route. The contribution of either route depends on the hydraulic demand and is
closely linked to a boost of putative aquaporins, causing radial conductance to
be variable. This variability should be considered when interpreting and
modelling diameter changes.
PMID- 21902699
TI - Intentional re-plantation of a vertically fractured tooth repaired with an
adhesive resin.
AB - AIM: To present the successful treatment of a vertically fractured tooth by
intentional re-plantation after root canal treatment and repair with an adhesive
resin. SUMMARY: Vertical root fracture is a challenging problem in respect of
diagnosis and management options. In this case, a vertically fractured maxillary
premolar was treated by intentional re-plantation after repairing it with 4
Methacryloxyethyl trimellitate anhyride/methacrylate-tri-n-butyl borane (4
META/MMA-TBB) resin cement. At the 36-month follow-up, the tooth was
asymptomatic, radiographically sound with reduced deep periodontal pockets and
vertical bone loss. KEY LEARNING POINTS: * Intentional replantation after
repairing fractured fragments with an adhesive resin extraorally is a treatment
option. * Long-term follow-up is necessary to evaluate the outcome of this
technique.
PMID- 21902700
TI - Anti-adhesive and pro-apoptotic effects of 2-hydroxyethyl methacrylate on human
gingival fibroblasts co-cultured with Streptococcus mitis strains.
AB - AIM: To evaluate and observe the cellular reactions that occur during the
interaction/integration between 2-hydroxyethyl methacrylate/host tissue/microbial
environment, in a co-culture of human gingival fibroblasts (HGF) and
Streptococcus mitis strains. METHODOLOGY: Streptococcus mitis were cultured with
strains in the presence of 3 mmol L(-1) HEMA for 48 h and 72 h. Cytotoxicity was
evaluated by the trypan blue dye exclusion test. Apoptosis was evaluated by TUNEL
analysis. Adhesion was evaluated by immunofluorescence and western blot analyses.
Quantitative analyses of the results were acquired by Qwin Plus 3.5 and
QuantityOne I-D analysis software, respectively. The statistical significance of
the results was evaluated using t-tests and linear regression tests. RESULTS: The
trypan blue dye test revealed 47.3% and 46.5% of dead fibroblasts after 48 and 72
h HEMA treatment, respectively, while bacterial viability was not influenced by
the presence of HEMA and fibroblasts. The expression of pro-collagen I, involved
in fibroblast adhesion, in untreated samples ranged from 12.49% to 6.91% of the
positive area after 48 and 72 h, respectively, dropping to below 2% of the
positive area in the other experimental conditions. Unlike the trypan blue test,
co-cultured samples treated with HEMA showed 20% and 25% versus 17% and 21%
(after 48 and 72 h, respectively) of apoptotic cells. CONCLUSIONS: The evidence
for HEMA toxicity and anti-adhesive effects against eukaryotic cells was reduced
in the presence of bacteria, suggesting that dental resins should be well
polymerized to avoid the spread of toxic monomers within the mouth.
PMID- 21902701
TI - The effect of calcium hydroxide on the steroid component of Ledermix and
Odontopaste.
AB - AIM: To investigate the chemical interaction of calcium hydroxide with the
corticosteroid triamcinolone acetonide in Ledermix Paste and in Odontopaste, a
new steroid/antibiotic paste. METHODOLOGY: Validated methods were developed to
analyse the interaction of calcium hydroxide in two forms, Pulpdent Paste and
calcium hydroxide powder, with triamcinolone acetonide within Odontopaste and
Ledermix Paste. High-performance liquid chromatography (HPLC) was used to analyse
the mixed samples of the pastes and calcium hydroxide. The concentration of
triamcinolone acetonide within the pastes was determined over 0, 2, 6, 24 and 72
h time-points. All tests with the HPLC involved the testing of the standard with
triplicate injections alongside the samples. All samples were tested in duplicate
with each injected twice; therefore, four tests were performed for each
investigation. Linearity, precision and specificity of the testing procedures and
apparatus were validated. Descriptive statistics are provided. RESULTS: In both
pastes, there was a marked rapid destruction of the triamcinolone acetonide
steroid upon mixing with calcium hydroxide. Odontopaste suffered a lower rate of
destruction of the triamcinolone acetonide component than Ledermix Paste, but
both pastes showed very similar degrees of steroid destruction after 72 h. When
using calcium hydroxide powder with Ledermix Paste, the triamcinolone was
destroyed entirely and immediately. CONCLUSION: The addition of calcium hydroxide
to Odontopaste or Ledermix Paste results in the rapid destruction of the steroid.
PMID- 21902702
TI - A multiparametric assay to compare the cytotoxicity of endodontic sealers with
primary human osteoblasts.
AB - AIM: To compare the cytotoxicity of four endodontic sealers (Sealapex, Pulp
Canal Sealer EWT, Real Seal and MTA Fillapex) either 1 or 7 days after mixing,
when assessed through a multiparametric analysis employing human primary cells
closely related to periapical tissues. METHODOLOGY: Extracts of each sealer
were prepared following 24-h exposure to culture media, at either 24 h or 7 days
after mixing. Primary human osteoblasts were exposed to extracts for 24 h, at 37
degrees C with 5% CO(2) , and cell viability was evaluated by a multiparametric
assay assessing sequentially, on the same cells, mitochondrial activity (XTT),
membrane integrity (neutral red test) and total cell density (crystal violet dye
exclusion test). Results from each test and experimental time were compared by 2
way analysis of variance (anova). RESULTS: All endodontic sealers had strong
cytotoxicity 24 h after mixing, according to all parameters evaluated. At a
longer setting period (7 days), viability for Sealapex was significantly
increased (P < 0.05) and Pulp Canal Sealer achieved levels of cytocompatibility
similar to the control group. The anova indicated a general correlation between
the cytotoxicity of the materials and the time after mixing, with some level of
dependence on the cell viability assay employed. CONCLUSIONS: All materials had
high cytotoxic levels for human primary cells, mostly on a time-dependent basis,
as shown by three different cell viability tests.
PMID- 21902703
TI - Effect of white mineral trioxide aggregate compared with biomimetic carbonated
apatite on dentine bridge formation and inflammatory response in a dental pulp
model.
AB - AIM: To evaluate the effects of apatite precipitation on the biocompatibility
and hard tissue induction properties of white mineral trioxide aggregate (WMTA)
in a dental pulp model. METHODOLOGY: Pulp exposures were created on the axial
walls of 32 sound canine teeth of eight dogs. Four additional sound teeth served
as controls. The pulps were capped either with WMTA or apatite derivatives
[biomimetic carbonated apatite (BCAp)] in the interaction of WMTA with a
synthetic tissue fluid and restored with zinc oxide-eugenol cement. After 7 and
70 days, the animals were killed, and the histological specimens taken from the
teeth were stained with haematoxylin and eosin for histomorphological evaluation.
The Brown and Brenn technique was employed to stain bacteria. The data were
subjected to nonparametric Kruskall-Wallis analysis and Mann-Whitney U_tests.
RESULTS: Biomimetic carbonated apatite did not induce hard tissue bridge
formation. WMTA performed significantly better than BCAp in this respect at both
periods (P < 0.05). BCAp was associated with a significantly greater inflammatory
response as compared with WMTA after 7 days (P < 0.05). Both materials were
associated with similar reactions after 70 days (P >0.05). CONCLUSIONS: White
mineral trioxide aggregate induced hard tissue formation via a mechanism other
than that postulated via apatite formation.
PMID- 21902705
TI - Alteration in the inherent metallic and surface properties of nickel-titanium
root canal instruments to enhance performance, durability and safety: a focused
review.
AB - The expanded use of nickel-titanium (NiTi) rotary instruments in root canal
procedures has led to the development of a wide variety of shapes, designs and
applications. Root canal anatomy has not changed, however, and the same
challenges exist in both initial treatment and the revision of unacceptable
treatment. These challenges include application with high levels of achievement
and low to no levels of adverse effects, such as instrument fracture, root canal
wall ledging, dentine wall perforation and so forth. To that end, many
manufacturers have been seeking ways to alter the presently available and wide
range of root canal instrument designs, with a focus on altering the surface of
the alloy or altering the alloy microstructure with post-machining or post
twisting heat treatment. This focused review will address the impact that these
modifications have had on instrument flexibility, resistance to cyclic fatigue
and cutting efficiency.
PMID- 21902704
TI - Effects of three oral analgesics on postoperative pain following root canal
preparation: a controlled clinical trial.
AB - AIM: To compare the effects of single doses of three oral medications on
postoperative pain following instrumentation of root canals in teeth with
irreversible pulpitis. METHODOLOGY: In this double-blind clinical trial, 100
patients who had anterior or premolar teeth with irreversible pulpitis without
any signs and symptoms of acute or chronic apical periodontitis and moderate to
severe pain were divided by balanced block random allocation into four groups of
25 each, a control group receiving a placebo medication, and three experimental
groups receiving a single dose of either Tramadol (100 mg), Novafen (325 mg of
paracetamol, 200 mg ibuprofen and 40 mg caffeine anhydrous) or Naproxen (500 mg)
immediately after the first appointment where the pulp was removed, and the
canals were fully prepared. The intensity of pain was scored based on 10-point
VAS before and after treatment for up to 24 h postoperatively. Data were
submitted to repeated analysis of variance. RESULTS: At the 6, 12 and 24 h
postoperative intervals after drug administration, the intensity of pain was
significantly lower in the experimental groups than in the placebo group (P <
0.01). Tramadol was significantly less effective (P < 0.05) than Naproxen, and
Novafen that were similar to each other (P > 0.05). CONCLUSION: A single oral
dose of Naproxen, Novafen and Tramadol taken immediately after treatment reduced
postoperative pain following pulpectomy and root canal preparation of teeth with
irreversible pulpitis.
PMID- 21902706
TI - The prevalence of dentine hypersensitivity in Chinese adults.
AB - Dentine hypersensitivity is a common oral problem. This study investigated the
prevalence of dentine hypersensitivity in the adult population of Shanghai,
China. A multi-stage, stratified, random sampling method was used to investigate
the study population. A total of 2120 subjects were examined at 10 investigation
points in Shanghai City. Subjects were divided into age groups (10 years per age
group) and included the same number of male and female subjects in each group.
Participants completed a dentine hypersensitivity questionnaire and underwent
clinical examination. The diagnosis of dentine hypersensitivity was confirmed
clinically as a sharp well-localised pain in response to administration of a
blast of cold air from a triple syringe. Gingival recession of sensitive teeth
was measured by a Williams periodontal probe. Among 2120 participants, 723 were
diagnosed as having dentine hypersensitivity, indicating a prevalence of 34.1%.
The male to female ratio of dentine hypersensitivity was 1:1.5. The prevalence of
dentine hypersensitivity was highest in the 40- to 49-year age group at 43.9%.
The number of teeth with dentine hypersensitivity was 3.2 per patient. Dentine
hypersensitivity occurred predominantly in the premolars (49.6%), followed by the
anterior teeth (30.5%). A total of 84.3% of dentine hypersensitive patients had
gingival recession. The prevalence of dentine hypersensitivity in Shanghai adults
was 34.1%, indicating that it is a common condition. Therefore, public education
about the condition and effective treatment of dentine hypersensitivity are
required.
PMID- 21902707
TI - Periapical and endodontic status of permanent teeth in patients with
hypophosphatemic rickets.
AB - Hypophosphatemic rickets (HR) is a rare hereditary disease in which dental
problems in terms of spontaneous periapical infections are frequently reported.
Most previous reports have been based on a small number of HR patients and have
been published before the disease could be confirmed genetically. The aim of the
present study was to describe the periapical and endodontic status of permanent
teeth in patients with genetically and/or biochemically confirmed HR. The
patients were recruited from a medical study on HR patients. The patients
underwent a dental examination including a digital panoramic radiograph, which
was scored for endodontically affected teeth (i.e. teeth with periapical
radiolucencies and/or endodontically treated teeth). A total of 52 patients (age
range: 5.7-74.5 years; 17 males and 35 females) were included. HR patients were
characterised by a high number of endodontically affected teeth (mean: 4.2; s.d.:
5.0). The number of affected teeth rose significantly with age (P < 0.01), and no
statistically significant gender difference was found. The relative distribution
of endodontically affected teeth in the three tooth groups (incisors and canines,
premolars, and molars) varied according to age. In the youngest age group, only
incisors and canines were affected, while the relative proportion of affected
premolars and molars increased with age. Endodontically affected teeth are common
in HR patients, and the number of affected teeth increased significantly with
age. Hence, the need for endodontic treatment among HR patients is comprehensive.
PMID- 21902708
TI - Changes in molar position associated with missing opposed and/or adjacent tooth:
a 12-year study in women.
AB - The aim of this study was to radiographically analyse long-term changes in (i)
overeruption of unopposed molars and (ii) tipping of molars with a mesial
edentulous space, and whether there is an interaction between the two events. A
further aim was to analyse if loss of alveolar bone height might influence
overeruption and tipping. The sample consisted of panoramic radiographs taken at
an interval of 12 years of 292 subjects from a prospective population study of
women. The panoramic radiographs were scanned and analysed. Changes in tipping,
overeruption and alveolar bone height of molars and control teeth were measured.
The results showed that unopposed molars were more commonly found in the upper
jaw and that unopposed molars showed 4.9 times higher risk of overeruption of >=2
mm (95% CI 1.5-15.3) than opposed molars during the 12-year observation period.
The average overeruption for the unopposed molars was 4.5% (s.d. 7.6), which
corresponds to approximately 0.9 mm. The degree of overeruption increased with
decreased bone support. Molars with a mesial edentulous space were most prevalent
in the lower jaw, but neither an edentulous space nor alveolar bone level/bone
level change were found to have a significant effect on tipping of the molars.
The average mesial tipping was 0.8 degrees (s.d. 5.6). In conclusion, unopposed
molars showed a significantly increased risk for overeruption. Molars facing a
mesial edentulous space showed a low risk for mesial tipping, but a significant
interaction between overeruption and tipping was identified.
PMID- 21902709
TI - Perceived environmental restrictions for the participation of children with mild
developmental disabilities.
AB - AIM: In light of the International Classification of Functioning, and Health
(ICF) model, to assess whether parents of children with mild developmental
disabilities perceived various environmental factors as barriers to their child's
participation, and whether these factors have a unique contribution to the total
explained variance of participation, beyond personal factors. METHODS: Seventy
nine kindergarten children (mean age 5.20 +/- 0.52 years old) with mild
developmental disabilities and their parents participated in the study. Three
questionnaires measuring the child's participation, performance skills and
environmental factors were completed by the parents. RESULTS: Parents perceived
environmental factors as slightly restricting to their child's participation.
Associations were found between home and education factors and the dimensions of
child participation - independence, enjoyment and parental satisfaction. Although
parents perceived human environmental factors as more restricting than physical
factors at home, regression analysis revealed that the latter was found to affect
the child participation dimension of independence beyond the contribution of
personal factors. INTERPRETATION: These findings are the first, to our knowledge,
to support the contribution of environmental factors to the participation of
young children with mild developmental disabilities. The results show that
environmental factors have significant slight contribution to child's
independence in participation beyond other predictors (i.e. personal factors).
Therefore, it is recommended to include environmental restrictions measurement in
the child evaluation process to facilitate effective intervention programs.
PMID- 21902710
TI - The influence of the absence of fathers and the timing of separation on anxiety
and self-esteem of adolescents: a cross-sectional survey.
AB - BACKGROUND: Many rural children in China have been experiencing life without
fathers since the 1990s, when their fathers left the rural areas for the urban
areas to find a job that would allow them to continue to support their family.
However, to date, knowledge and understanding of the effects of the absence of
fathers and timing of separation on the mental health of adolescents are limited.
METHODS: A total of 2233 students, ranging in age from 11 to 23 years, from five
provinces of China, including 1024 adolescents who experienced the absence of
their fathers, were recruited for this study. The data were collected using State
Trait Anxiety Inventory and Rosenberg Self-Esteem Scale, which were self-report
questionnaires. RESULTS: Findings from a structured questionnaire showed that the
subjects who experienced life without fathers have increased state-anxiety (t=
5.80, P < 0.001) and lower self-esteem (t= 39.54, P < 0.001) when compared with
other subjects, and the above results could be influenced by gender and grade. A
limited effect of the timing of separation was found on the mental health of
students whose fathers were absent. A significant timing group effect existed for
state-anxiety scores [F(3,992) = 2.26, P= 0.05], and post test also revealed that
the self-esteem of female scores in the 0-2 timing group was higher than other
timing group's [F(3,992) = 4.58, P= 0.004]. CONCLUSION: The influence of the
absence of fathers on the anxiety and self-esteem of adolescents seems to be more
serious than our expectation, and the influence will be different according to
the timing of father absence.
PMID- 21902712
TI - Living-related donation: a challenge to adolescent transplant recipients who
transit from parental care to self-managed care.
PMID- 21902711
TI - Two sides of the mirror: parents' and service providers' view on the family
centredness of care for children with cerebral palsy.
AB - BACKGROUND: In order to best meet the needs of both families and their children
with cerebral palsy, many rehabilitation service providers have adopted a family
centred service (FCS) approach. In FCS parents are seen as experts on their
child's needs, and the family and professionals collaborate in the rehabilitation
process. However, parents and service providers might look at FCS from different
points of view, i.e. look into the mirror from two different sides. The objective
of this study was to explore the degree to which parents experience the service
as being family-centred and to which extent the service providers experience
their service provision as family-centred. METHODS: A translated version of The
Measure of Processes of Care 20 (MPOC-20) questionnaire was used to evaluate
parents' experience of FCS, and a Measures of Processes of Care for Service
Providers (MPOC-SP) questionnaire was used to evaluate the FCS provided by
professionals. Parents visiting two university hospital neuropediatric wards (n=
67) during a 2-month period and who were willing to participate received the
questionnaire. Also the service providers working on the same wards (n= 49) were
invited to participate. RESULTS: A total of 53 families and 29 service providers
completed the questionnaires. Both parents and professionals generally rated the
FCS positively. General information was rated lowest and respectful treatment the
highest by both parents and professionals. The results revealed that written
information about the child's condition, the possibility to choose when to
receive information, and contact with other families in the same situation are
areas in need of improvement. CONCLUSIONS: The possibility to regularly evaluate
services both from the families' and the professionals' perspectives should be
part of quality development. Providing general information is a challenge for all
service providers. The MPOC questionnaires can be used to highlight important
areas of improvement in FCS.
PMID- 21902713
TI - Stimulus-dependent release of tissue-regenerating factors by equine platelets.
AB - REASONS FOR PERFORMING THE STUDY: Platelet-rich plasma (PRP) is increasingly used
for treatment of orthopaedic injuries. However, the effects of different stimuli
on the release pattern of regenerative and proinflammatory factors from equine
platelets are largely unknown and an optimal treatment protocol remains to be
established. OBJECTIVES: The aim of this study was to identify a stimulus that
enhanced release of histopromotive factors (platelet-derived growth factor BB
[PDGF] and transforming growth factor 1beta[TGF]) without causing concurrent
release of a proinflammatory mediator (CCL5). METHODS: Washed platelets were
prepared from 6 healthy ponies and release of growth factors and CCL5 measured
using commercially available ELISAs for human proteins following incubation with
or without thrombin, chitosan or equine recombinant tumour necrosis factor
(erTNF) over 24 h and subsequently over 96 h. Additionally, noncoagulated samples
were analysed. RESULTS: Regardless of whether a stimulus was present or what
stimulus was used, PDGF and TGF release was maximal by 0.5-1 h when clot
formation took place and very little release was observed after 24 h. Growth
factor release was minimal in noncoagulated samples. In contrast, CCL5 release
was not associated with coagulation and appeared to persist for much longer. High
concentrations of erTNF caused significantly greater release of CCL5 at 6 h than
any other stimulus tested. CONCLUSIONS: Growth factor release from equine
platelets is dependent on coagulation but independent of the initiating stimulus,
and is accompanied by more sustained release of proinflammatory mediators.
POTENTIAL RELEVANCE: Supernatants collected from coagulated platelets could be an
alternative treatment to PRP.
PMID- 21902716
TI - Going back to the land in the age of entitlement.
PMID- 21902717
TI - Managing for caribou and ecological integrity: reply to Serrouya and Wittmer
2010.
PMID- 21902718
TI - Extirpation of macroalgae (Sargassum spp.) on the subtropical east Australian
coast.
AB - Populations of large brown algae of the Laminariales and Fucales (Phaeophyta)
have declined or been extirpated from many locations on temperate coasts
worldwide. We conducted field surveys and a literature review, and examined
herbarium specimens, through which we discovered previously unreported
extirpations of large brown algal species from a tropical and subtropical
coastline. Sargassum amaliae, S. aquifolium, S. carpophyllum, S. polycystum, and
S. spinifex were common habitat-forming macroalgae that supported diverse
assemblages of invertebrates and smaller algae before urbanization began in 1970
along the 45-km length of Sunshine Coast in Queensland, Australia. Causes of
these extirpations are not known, but are consistent with losses of other large
brown algal species from coastal areas undergoing urbanization or eutrophication.
Sargassum spp. do not have the characteristics thought to protect marine species
from extinction (large geographical ranges, occurrence on many different
substrata, long-distance dispersal). Some local Sargassum spp. are endemic to
eastern Australia. Abundance of Sargassum is limited by suitable substrata on the
sandy southern Queensland coast (370 km). These substrata are 12 rocky headlands
separated by long (5-105 km) sandy beaches. Most multicellular propagules (the
only motile stage in Sargassum) settle within 1-3 m of parental thalli, which
restricts long-distance dispersal needed to maintain connectivity among
populations and to recolonize areas of the headlands from which populations have
been extirpated. Local Sargassum spp. could be categorized as data deficient by
the International Union for Conservation of Nature (IUCN), but the IUCN
vulnerable category is more accurate given extirpations, limited habitat, and the
lack of connectivity among populations.
PMID- 21902719
TI - Environmental refuge from disease-driven amphibian extinction.
AB - Species that are tolerant of broad environmental gradients may be less vulnerable
to epizootic outbreaks of disease. Chytridriomycosis, caused by the fungus
Batrachochytrium dendrobatidis, has been linked to extirpations and extinctions
of amphibian species in many regions. The pathogen thrives in cool, moist
environments, and high amphibian mortality rates have commonly occurred during
chytridiomycosis outbreaks in amphibian populations in high-elevation tropical
rainforests. In Australia several high-elevation species, including the armored
mist frog (Litoria lorica), which is designated as critically endangered by the
International Union for the Conservation of Nature (IUCN), were believed to have
gone extinct during chytridiomycosis outbreaks in the 1980s and early 1990s.
Species with greater elevational ranges disappeared from higher elevations, but
remained common in the lowlands. In June 2008, we surveyed a stream in a high
elevation dry sclerophyll forest and discovered a previously unknown population
of L. lorica and a population of the waterfall frog (Litoria nannotis). We
conducted 6 additional surveys in June 2008, September 2008, March 2009, and
August 2009. Prevalences of B. dendrobatidis infection (number infected per total
sampled) were consistently high in frogs (mean 82.5%, minimum 69%) of both
species and in tadpoles (100%) during both winter (starting July) and summer
(starting February). However, no individuals of either species showed clinical
signs of disease, and they remained abundant (3.25 - 8.75 individuals of L.
lorica and 6.5-12.5 individuals of L. nannotis found/person/100 m over 13
months). The high-elevation dry sclerophyll site had little canopy cover, low
annual precipitation, and a more defined dry season than a nearby rainforest
site, where L. nannotis was more negatively affected by chytridiomycosis. We
hypothesize this lack of canopy cover allowed the rocks on which frogs perched to
warm up, thereby slowing growth and reproduction of the pathogen on the hosts. In
addition, we suggest surveys for apparently extinct or rare species should not be
limited to core environments.
PMID- 21902720
TI - A spatially explicit estimate of avoided forest loss.
AB - With the potential expansion of forest conservation programs spurred by climate
change agreements, there is a need to measure the extent to which such programs
achieve their intended results. Conventional methods for evaluating conservation
impact tend to be biased because they do not compare like areas or account for
spatial relations. We assessed the effect of a conservation initiative that
combined designation of protected areas with payments for environmental services
to conserve over wintering habitat for the monarch butterfly (Danaus plexippus)
in Mexico. To do so, we used a spatial-matching estimator that matches covariates
among polygons and their neighbors. We measured avoided forest loss (avoided
disturbance and deforestation) by comparing forest cover on protected and
unprotected lands that were similar in terms of accessibility, governance, and
forest type. Whereas conventional estimates of avoided forest loss suggest that
conservation initiatives did not protect forest cover, we found evidence that the
conservation measures are preserving forest cover. We found that the conservation
measures protected between 200 ha and 710 ha (3-16%) of forest that is high
quality habitat for monarch butterflies, but had a smaller effect on total forest
cover, preserving between 0 ha and 200 ha (0-2.5%) of forest with canopy cover
>70%. We suggest that future estimates of avoided forest loss be analyzed
spatially to account for how forest loss occurs across the landscape. Given the
forthcoming demand from donors and carbon financiers for estimates of avoided
forest loss, we anticipate our methods and results will contribute to future
studies that estimate the outcome of conservation efforts.
PMID- 21902722
TI - Oral squamous cell carcinoma grading systems--analysis of the best survival
predictor.
AB - BACKGROUND: The TNM system has been used for decades in an attempt to predict
clinical behavior and appropriate therapy for oral squamous cell carcinomas.
Histopathologic classifications can be useful as an additional predictive tool.
The purpose of this study was to apply four grading systems (Multiparameter
Grading System, Malignancy Grading of the Deep Invasive Margins, World Health
Organization grading system, and Histologic Risk Assessment) to oral squamous
cell carncinomas and evaluate each system based on clinicopathologic parameters
and patient survival. METHODS: The files of 53 patients diagnosed with primary
oral squamous cell carcinoma at the Brazilian National Cancer Institute were
evaluated. All hematoxylin and eosin-stained slides were reviewed to confirm the
original diagnosis and to determine histopathologic grading. Clinicopathologic
information was obtained from medical records and tumor registries. Statistical
analysis was performed using Fisher's exact test or the chi-square test, the
Kaplan-Meier method, and the log-rank test. RESULTS: The Multiparameter Grading
System was statistically associated with pathologic staging (P = 0.02) and lymph
node involvement (P = 0.0009). Differences in overall 5-year survival were
significant for Histologic Risk Assessment (P = 0.015), pathologic staging (P =
0.001), lymph node status (P < 0.0001), and recurrence (P = 0.0001). Differences
in cancer-specific 5-year survival were significant for Histologic Risk
Assessment (P = 0.029), pathologic staging (P = 0.002), lymph node involvement (P
< 0.0001), and recurrence (P < 0.0001). Poorly differentiated tumors were
associated with the worst disease-free survival (P = 0.031) and recurrence (P =
0.043). CONCLUSION: Of the grading systems evaluated, Histologic Risk Assessment
demonstrated the best results for survival prediction in oral squamous cell
carcinoma.
PMID- 21902723
TI - Long-term administration of prazosin improves bladder storage function: results
from a study in spontaneously hypertensive rats.
AB - OBJECTIVES: To investigate the effects of long-term administration of the
alpha(1) -adrenoceptor antagonist prazosin on afferent inputs from the lower
urinary tract (LUT). METHODS: Twenty female spontaneously hypertensive rats (SHR)
were randomized to receive a 4-week course of prazosin (0.12 mg/kg per day) or
vehicle; 10 female Wistar-Kyoto (WKY) rats were given vehicle. Prazosin or
vehicle was administered via an osmotic pump. The effect of prazosin on
urodynamic parameters was determined by continuous cystometry in conscious
animals. After cystometry, rats were killed and c-fos expression in the dorsal
horn of the L6 spinal cord was measured by immunohistochemistry. RESULTS: The
bladder contraction interval was significantly shorter in untreated SHR compared
with WKY rats (2.36 +/- 0 vs 4.27 +/- 0.12 min, respectively; P < 0.05) and
cystometric capacity was decreased significantly in SHR compared with WKY rats.
L6 spinal cord c-Fos expression was also significantly greater in SHR than WKY
rats. The administration of prazosin significantly increased the micturition
interval (4.07 +/- 0.58 min; P < 0.05) and bladder capacity, but it did not
affect micturition pressure. In SHR, the number of c-Fos-positive neurons was
significantly lower following the administration of prazosin compared with
vehicle. CONCLUSIONS: Increased afferent input from the LUT may induce an
increase in urinary frequency in SHR. Furthermore, long-term administration of
prazosin can exert inhibitory effects on afferent pathways from the LUT during
the storage phase. Reductions of afferent input can result in increased bladder
capacity and increased micturition interval.
PMID- 21902724
TI - Inhibitory effect of sodium hypochlorite and chlorhexidine digluconate in
clinical isolates of Sporothrix schenckii.
AB - The susceptibility of Sporothrix schenckii isolates from clinical cases of
canine, feline and human sporotrichosis, and from the environment, was evaluated
with 4% sodium hypochlorite and 6.6% chlorhexidine digluconate using the broth
microdilution, agar diffusion and direct exposure techniques. The minimal
inhibitory concentration was smaller than 0.8% for chlorhexidine digluconate and
between 8% and 4% for sodium hypochlorite. Inhibition zones were not found in
agar diffusion for sodium hypochlorite, and zones averaging 1.9 mm were found for
chlorhexidine digluconate. In the direct exposure test, sodium hypochlorite
demonstrated best performance at 20 min of contact, as chlorhexidine digluconate
presented little antimicrobial activity.
PMID- 21902725
TI - The risk of cytomegalovirus recurrence after kidney transplantation.
AB - Recurrent cytomegalovirus (CMV) infections commonly occur after kidney
transplantation. We studied the impact of secondary prophylaxis and other factors
on the risk of CMV recurrence. All kidney transplant recipients between 2004 and
2009 in our institution were analyzed (N = 254). Patients with CMV infection were
included (N = 62). CMV infections were diagnosed with quantitative PCR. CMV D+/R-
recipients received 6 months valganciclovir prophylaxis, after which DNAemia was
monitored. After treatment, secondary prophylaxis with valganciclovir was given
at the clinician's discretion for 2-26 weeks and CMV DNAemia was monitored.
Altogether 43 reactivations and 19 primary infections occurred. Antiviral
treatment with valganciclovir or ganciclovir was given to 45 patients; 34/62
(55%) patients received secondary prophylaxis for mean 62 days (range 14-180
days). CMV recurrence occurred in 14/43 (33%) seropositive patients and in 4/19
(21%) patients after primary infection. In logistic regression, delayed graft
function (OR 3.4) and high viral load (>100 000 copies/ml) at initial diagnosis
(OR 5.9) predicted recurrence. Use or length of secondary prophylaxis, CMV
serostatus, level of immunosuppression, HLA mismatch, antiviral treatment, or
time to clearance of viremia during treatment did not predict recurrence of CMV.
CMV recurrences occur commonly despite secondary prophylaxis. High viral load at
diagnosis predicted the risk of recurrent CMV infection.
PMID- 21902726
TI - Dental care and oral health in solid organ transplant recipients: a single center
cross-sectional study and survey of German transplant centers.
AB - Aim of this study was to collect information about oral health of patients before
and after SOT as well as information about center-based recommendations for
dental care. In a single center cross-sectional study, the oral situation of 20
patients before and 20 after SOT were examined including dental (DMF-T),
periodontal (PSR((r))/PSI), and oral hygiene findings (modified QHI). In a second
project, a survey among 50 transplant centers in Germany was questioned regarding
their recommendations for dental care of SOT recipients. Patients before and
after SOT showed similar quality of dental findings (DMF-T), but worse compared
to the general population. In addition, most patients in both groups showed
pronounced periodontal treatment need (PSR((r))/PSI score 3 or 4). Oral hygiene
findings (modified QHI) after SOT were significantly worse than in patients on
the waiting list (P = 0.032). In a second project, the questionnaire was returned
by 28 of 50 centers. Interpretation of data showed that 89% carry out a dental
examination before SOT and 67% contacted the patients' dentists. After SOT, 83%
of the transplant centers recommend antibiotic cover before dental measures. The
results of our study revealed lacks in the dental care of SOT recipients.
Consistent recommendations regarding the dental care of patients before and after
SOT should be determined.
PMID- 21902727
TI - Appointing 'trained donation practitioners' results in a higher family consent
rate in the Netherlands: a multicenter study.
AB - The consent process for organ and tissue donation is complex, both for families
and professionals. To help professionals in broaching this subject we performed a
multicenter study. We compared family consent to donation in three hospitals
between December 2007 and December 2009. In the intervention hospital, trained
donation practitioners (TDP) guided 66 families throughout the time in the ICU
until a decision regarding donation had been reached. In the first control
hospital, without any family guidance or training, 107 families were approached.
In the second control hospital 'hostesses', who were not trained in donation
questions, supported 99 families during admittance. A total of 272 families were
requested to donate. We primarily compared consent rates, but also asked families
about their experiences through a questionnaire. Family consent rate was
significantly higher in the intervention hospital: 57.6% (38/66), than in the
control hospitals: 34.6% (37/107) and 39.4% (39/99). The 69% response rate to the
questionnaire -~5 months after death - showed no confounding variables that could
have influenced the consent rate. Appointing TDPs in the intervention hospital to
guide families during admittance and the donation decision-making process,
results in higher family consent rates.
PMID- 21902728
TI - Rationing life-saving resources--how should allocation policies be assessed in
solid organ transplantation.
AB - Because the demand for solid organ transplantation exceeds the availability of
donated grafts, there needs to be rationing for this life-saving procedures.
Criteria for selection of patients to a national transplant list and allocation
of donated organs should be transparent yet there is no consistent approach to
the development of such guidelines. It is suggested that selection and allocation
policies should comply with minimum standards including defining of aims of the
allocation process and desired outcome (whether maximizing benefit or utility or
ensuring equity of access), inclusion and exclusion criteria, criteria for
futility and suspension and removal from the transplant list, appeals processes,
arrangements for monitoring and auditing outcomes and processes for dealing with
noncompliance. Furthermore, guidelines must be consistent with legislation even
though this may compete with public preference. Guidelines must be supported by
all stakeholders (including health-care professionals, donor families and
potential transplant candidates). However, there must also be flexibility to
allow for exceptions and to support innovation and development.
PMID- 21902729
TI - Pyoderma gangrenosum in a renal transplantation patient having immunosuppressive
treatment for 5 years.
PMID- 21902730
TI - Stimulating beta cell replication and improving islet graft function by GPR119
agonists.
AB - G protein-coupled receptor 119 (GPR119) is predominantly expressed in beta cells
and intestinal L cells. In this study, we investigated whether oleoylethanolamide
(OEA), a GPR119 endogenous ligand, and PSN632408, a GPR119 synthetic agonist, can
stimulate beta-cell replication in vitro and in vivo and improve islet graft
function in diabetic mice. We found that OEA and PSN632408 significantly
increased numbers of insulin(+)/5-bromo-2'-deoxyuridine (BrdU)(+) beta cells in
cultured mouse islets in a dose-dependent manner. All diabetic recipient mice,
given marginal syngeneic islet transplants with OEA or PSN632408 or vehicle,
achieved normoglycemia at 4 weeks after transplantation. However, normoglycemia
was achieved significantly faster in OEA- or PSN632408-treated diabetic mice than
in vehicle-treated diabetic mice (P < 0.05). The percentage of insulin(+)/BrdU(+)
beta cells in islet grafts in OEA- and PSN632408-treated mice was significantly
higher than in vehicle-treated mice (P < 0.01). Our data demonstrated that OEA
and PSN632408 can stimulate beta-cell replication in vitro and in vivo and
improve islet graft function. Targeting GPR119 is a novel therapeutic approach to
increase beta-cell mass and to improve islet graft function by stimulating beta
cell replication.
PMID- 21902731
TI - Chronic rejection related to hepatitis B immunoglobulin discontinuation in a
liver transplant recipient.
PMID- 21902732
TI - Interaction between the reductase Tah18 and highly conserved Fe-S containing Dre2
C-terminus is essential for yeast viability.
AB - Tah18-Dre2 is a recently identified yeast protein complex, which is highly
conserved in human and has been implicated in the regulation of oxidative stress
induced cell death and in cytosolic Fe-S proteins synthesis. Tah18 is a diflavin
oxido-reductase with binding sites for flavin mononucleotide, flavin adenine
dinucleotide and nicotinamide adenine dinucleotide phosphate, which is able to
transfer electrons to Dre2 Fe-S clusters. In this work we characterized in
details the interaction between Tah18 and Dre2, and analysed how it conditions
yeast viability. We show that Dre2 C-terminus interacts in vivo and in vitro with
the flavin mononucleotide- and flavin adenine dinucleotide-binding sites of
Tah18. Neither the absence of the electron donor nicotinamide adenine
dinucleotide phosphate-binding domain in purified Tah18 nor the absence of Fe-S
in aerobically purified Dre2 prevents the binding in vitro. In vivo, when this
interaction is affected in a dre2 mutant, yeast viability is reduced. Conversely,
enhancing artificially the interaction between mutated Dre2 and Tah18 restores
cellular viability despite still reduced cytosolic Fe-S cluster biosynthesis. We
conclude that Tah18-Dre2 interaction in vivo is essential for yeast viability.
Our study may provide new insight into the survival/death switch involving this
complex in yeast and in human cells.
PMID- 21902733
TI - Dysregulation of serine biosynthesis contributes to the growth defect of a
Mycobacterium tuberculosis crp mutant.
AB - Mycobacterium tuberculosis CRP(Mt), encoded by Rv3676 (crp), is a CRP-like
transcription factor that binds with the serC-Rv0885 intergenic region. In the
present study, we evaluated CRP(Mt) 's regulation of serC and Rv0885 in M.
tuberculosis and M. bovis BCG, using site-specific mutagenesis, promoter fusions
and reverse-transcriptase PCR (RT-PCR). The CRP(Mt) binding site was required for
full expression of serC and Rv0885, and expression of both genes was reduced in
M. tuberculosis and M. bovis BCG crp mutants. These data show that CRP(Mt)
binding directly activates both serC and Rv0885 expression. M. tuberculosis serC
restored the ability of an Escherichia coli serC mutant to grow in serine-dropout
medium, demonstrating that M. tuberculosis serC encodes a phosphoserine
aminotransferase. Serine supplementation, or overexpression of serC, accelerated
the growth of M. tuberculosis and M. bovis BCG crp mutants in mycomedium, but not
within macrophages. These results establish a role for CRP(Mt) in the regulation
of amino acid biosynthesis, and show that reduced serine production contributes
to the slow-growth phenotype of M. tuberculosis and M. bovis BCG crp mutants in
vitro. Restoration of serine biosynthesis by serC expression will facilitate
identification of additional CRP(Mt)-regulated factors required by M.
tuberculosis during macrophage and host infection.
PMID- 21902734
TI - Arginine catabolic mobile element encoded speG abrogates the unique
hypersensitivity of Staphylococcus aureus to exogenous polyamines.
AB - Polyamines, including spermine (Spm) and spermidine (Spd), are aliphatic cations
that are reportedly synthesized by all living organisms. They exert pleiotropic
effects on cells and are required for efficient nucleic acid and protein
synthesis. Here, we report that the human pathogen Staphylococcus aureus lacks
identifiable polyamine biosynthetic genes, and consequently produces no Spm/Spd
or their precursor compounds putrescine and agmatine. Moreover, while
supplementing defined medium with polyamines generally enhances bacterial growth,
Spm and Spd exert bactericidal effects on S. aureus at physiological
concentrations. Small colony variants specifically lacking menaquinone
biosynthesis arose after prolonged Spm exposure and exhibited reduced polyamine
sensitivity. However, other respiratory-defective mutants were no less
susceptible to Spm implying menaquinone itself rather than general respiration is
required for full Spm toxicity. Polyamine hypersensitivity distinguishes S.
aureus from other bacteria and is exhibited by all tested strains save those
belonging to the USA-300 group of community-associated methicillin-resistant S.
aureus (CA-MRSA). We identified one gene within the USA-300-specific arginine
catabolic mobile element (ACME) encoding a Spm/Spd N-acetyltransferase that is
necessary and sufficient for polyamine resistance. S. aureus encounters
significant polyamine levels during infection; however, the acquisition of ACME
encoded speG allows USA-300 clones to circumvent polyamine hypersensitivity, a
peculiar trait of S. aureus.
PMID- 21902735
TI - Practitioner and lay perspectives of the service provision of nutrition
information leaflets in primary care.
AB - BACKGROUND: In primary care, leaflets are often used to communicate health
information. Increasingly, primary healthcare practitioners need to provide
dietary advice. There is limited research exploring how nutrition information
leaflets are used in primary care. The present study explored practitioner and
lay experiences with respect to providing and receiving nutrition information in
primary care, focusing in particular on the use of leaflets for nutrition
information. METHODS: A qualitative design was used incorporating focus groups
with 57 practitioners based at seven general practitioner practices and a
purposive sample of 30 lay participants attending six Consumer Health
Organisations within one primary care trust. Focus groups were taped and
transcribed verbatim and data were analysed thematically, assisted by computer
software n6(r) (QSR International Pty Ltd, Melbourne, Australia). RESULTS:
Practitioners discussed barriers to giving nutritional advice, access to
leaflets, lay receptiveness to advice and their perceptions about the value of
leaflets to lay people. Food was not considered in terms of its nutritional
components by lay participants and the need for nutritional information was not
perceived to be relevant until they had received a medical diagnosis. Lay
participants discussed the importance of receiving nutritional advice relating to
their medical diagnosis and the altered status of written information that was
delivered personally. Practitioner and lay groups suggested improvements to
ensure that nutritional advice be supported by relevant and appropriate written
information. CONCLUSIONS: This research has underlined the continuing importance
of nutrition information leaflets and concludes that there is particular value in
involving lay participants in the development of nutrition information leaflets.
PMID- 21902736
TI - Medical, demographic and social cognitive correlates of physical activity in a
population-based sample of colorectal cancer survivors.
AB - Physical activity (PA) improves quality of life in colorectal cancer survivors
(CRC) and may reduce the risk of disease recurrence and early death. Few studies,
however, have examined the correlates of PA in CRC survivors. Using the Alberta
Cancer Registry, 2000 randomly selected CRC survivors were mailed a self-reported
questionnaire assessing medical, demographic, behavioural and social cognitive
variables from the theory of planned behaviour (TPB). Of the 600 survivors who
responded, 33% were meeting public health PA guidelines and almost half were
completely sedentary. Higher PA was reported by survivors who were younger,
unmarried, better educated, wealthier, employed, non-smokers, social drinkers,
not treated with radiation therapy, disease-free, in better health and less
comorbidity. In multivariate path analysis, these variables were not directly
associated with PA after controlling for the TPB variables. The TPB explained 34%
(P < 0.001) of the variance in PA behaviour with direct associations for
intention (beta= 0.22; P= 0.015) and planning (beta= 0.18; P= 0.001). Intention,
in turn, had 62% (P < 0.001) of its variance explained by perceived behavioural
control (beta= 0.43; P < 0.001), affective attitude (beta= 0.25; P < 0.001) and
instrumental attitude (beta= 0.15; P < 0.001). The TPB may be a useful framework
for developing population-based interventions to increase PA in CRC survivors.
PMID- 21902740
TI - Development of a psychosocial adaptation questionnaire for Chinese patients with
visual impairments.
AB - AIM: To develop a psychosocial adaptation questionnaire for Chinese patients with
visual impairments and to examine its reliability and validity. BACKGROUND:
Psychosocial adaptation with disease has been studied, however, there have been
few reports on the impact of visual impairment on psychosocial adaptation. An
instrument has not been developed to assess psychosocial adaptation with visual
impairment specifically for patients in China. METHODS: Both qualitative and
quantitative research methods were used. A questionnaire was developed based on
the concept of psychosocial adaptation with visual impairment. Items for the
questionnaire were developed by reviewing the literature and carrying out a semi
structured interview with 12 visually impaired patients. Five ophthalmologists
and ten patients evaluated the content validity and face validity of the
questionnaire, respectively. The method of convenient sampling was used to select
213 visually impaired patients in the Ophthalmology Department of the First
Affiliated Hospital of China Medical University to participate in the study.
Discriminative index and item-total correlation analyses were used to delete
items that were lower than a set criterion. Regarding construct validity, factor
analysis was performed. The Self-rating Anxiety Scale (SAS), General Self
Efficacy Scale (GSES) and Self Acceptance Questionnaire (SAQ) were used to
evaluate criterion validity. Cronbach's alpha coefficient was used as an index of
internal consistency. To evaluate test-retest reliability, 50 patients were re
evaluated after 24 hours. RESULTS: A total of 204 questionnaire items were
created. 22 items were deleted by discriminative index and item-total correlation
before factor analysis; 38 items were entered into the model for factor analysis.
Seven factors were extracted by using principal factor analysis and varimax
rotation, with a cumulative contribution of 59.18%. The correlation coefficients
between the psychosocial adaptation questionnaire for visual impairment and the
SAS, GSES and SAQ were -0.771, 0.754 and 0.722, respectively (p < 0.01). The
Cronbach's alpha coefficient for the psychosocial adaptation questionnaire was
0.948. The alpha coefficients of seven sub-questionnaires ranged from 0.56-0.89.
The correlation coefficients for the total questionnaire and seven sub
questionnaires ranged from 0.97-0.99 (p < 0.01). CONCLUSION: The results of this
study confirmed the reliability and validity of the 38-item psychosocial
adaptation questionnaire for the visually impaired in China. It therefore can be
used as a measurement tool for widespread, cost-effective clinical assessment and
further research. RELEVANCE TO CLINICAL PRACTICE: The new tool may help nurses
and other clinical personnel to evaluate the psychosocial adaptation of visually
impaired patients and provide a suitable basis for assisting with adaptation to
visual impairment and enhancing quality of life.
PMID- 21902741
TI - Commentary on Smith GD (2011) editorial: Evaluation of CAM interventions. Journal
of Clinical Nursing 20, 921-922.
PMID- 21902742
TI - Commentary on Lin MF, Hsieh YJ, Fetzer S & Hsu MC (2011) A randomised controlled
trial of the effect of music therapy and verbal relaxation on chemotherapy
induced anxiety. Journal of Clinical Nursing 20, 988-999.
PMID- 21902743
TI - Tracing the recombination and colonization history of hybrid species in space and
time.
AB - Hybrid speciation has long fascinated evolutionary biologists and laymen alike,
presumably because it challenges our classical view of evolution as a 'one-way
street' leading to strictly tree-like patterns of ancestry and descent. Homoploid
hybrid speciation (HHS) has been a particularly interesting puzzle, as it appears
to occur extremely rapidly, perhaps within less than 50 generations (McCarthy et
al. 1995; Buerkle et al. 2000). Nevertheless, HHS may sometimes involve extended
or repeated periods of recombination and gene exchange between populations
subject to strong divergent natural selection (Buerkle & Rieseberg 2008). Thus,
HHS provides a highly interesting setting for understanding the drivers and tempo
of adaptive divergence and speciation in the face of gene flow (Arnold 2006;
Rieseberg & Willis 2007; Nolte & Tautz 2009). In the present issue of Molecular
Ecology, Wang et al. (2011) explore a particularly challenging issue connected to
HHS: they attempt to trace the colonization and recombination history of an
ancient (several MYA) hybrid species, from admixture and recombination in the
ancestral hybrid zone to subsequent range shifts triggered by tectonic events
(uplift of the Tibetan plateau) and climatic shifts (Pleistocene ice ages). This
work is important because it addresses key issues related to the origin of the
standing genetic variation available for adaptive responses (e.g. to climate
change) and speciation in temperate species, which are topics of great current
interest (Rieseberg et al. 2003; Barrett & Schluter 2008; de Carvalho et al.
2010).
PMID- 21902744
TI - Hybrid speciation in birds: allopatry more important than ecology?
AB - Hybrid speciation was once thought to be rare in animals, but over the past
decade, improved molecular analysis techniques and increased research attention
have allowed scientists to uncover many examples. In this issue, two papers
(Elgvin et al. 2011; Hermansen et al. 2011) present compelling evidence for the
hybrid origin of the Italian sparrow based on nuclear and mitochondrial DNA
sequences, microsatellites, and plumage coloration. These studies point to an
important role for geographic isolation in the process of hybrid speciation, and
provide a starting point for closer examination of the genetic and behavioural
mechanisms involved.
PMID- 21902745
TI - Fungal farmers or algal escorts: lichen adaptation from the algal perspective.
AB - Domestication of algae by lichen-forming fungi describes the symbiotic
relationship between the photosynthetic (green alga or cyanobacterium;
photobiont) and fungal (mycobiont) partnership in lichen associations (Goward
1992). The algal domestication implies that the mycobiont cultivates the alga as
a monoculture within its thallus, analogous to a farmer cultivating a food crop.
However, the initial photobiont 'selection' by the mycobiont may be predetermined
by the habitat rather than by the farmer. When the mycobiont selects a photobiont
from the available photobionts within a habitat, the mycobiont may influence
photobiont growth and reproduction (Ahmadjian & Jacobs 1981) only after the
interaction has been initiated. The theory of ecological guilds (Rikkinen et al.
2002) proposes that habitat limits the variety of photobionts available to the
fungal partner. While some studies provide evidence to support the theory of
ecological guilds in cyanobacterial lichens (Rikkinen et al. 2002), other studies
propose models to explain variation in symbiont combinations in green algal
lichens (Ohmura et al. 2006; Piercey-Normore 2006; Yahr et al. 2006)
hypothesizing the existence of such guilds. In this issue of Molecular Ecology,
Peksa & Skaloud (2011) test the theory of ecological guilds and suggest a
relationship between algal habitat requirements and lichen adaptation in green
algal lichens of the genus Lepraria. The environmental parameters examined in
this study, exposure to rainfall, altitude and substratum type, are integral to
lichen biology. Lichens have a poikilohydric nature, relying on the availability
of atmospheric moisture for metabolic processes. Having no known active mechanism
to preserve metabolic thallus moisture in times of drought, one would expect a
strong influence of the environment on symbiont adaptation to specific habitats.
Adaptation to changes in substrata and its properties would be expected with the
intimate contact between crustose lichens in the genus Lepraria. Altitude has
been suggested to influence species distributions in a wide range of taxonomic
groups. This is one of the first studies to illustrate an ecological guild,
mainly for exposure to rainfall (ombrophiles and ombrophobes), with green algal
lichens.
PMID- 21902746
TI - Genetic architecture of life history traits and environment-specific trade-offs.
AB - Life history theory predicts the evolution of trait combinations that enhance
fitness, and the occurrence of trade-offs depends in part on the magnitude of
variation in growth rate or acquisition. Using recombinant inbred lines, we
examined the genetic architecture of age and size at reproduction across abiotic
conditions encountered by cultivars and naturalized populations of Brassica rapa.
We found that genotypes are plastic to seasonal setting, such that reproduction
was accelerated under conditions encountered by summer annual populations and
genetic variances for age at reproduction varied across simulated seasonal
settings. Using an acquisition-allocation model, we predicted the likelihood of
trade-offs. Consistent with predicted relationships, we observed a trade-off
where early maturity is associated with small size at maturity under simulated
summer and fall annual conditions but not under winter annual conditions. The
trade-off in the summer annual setting was observed despite significant genotypic
variation in growth rate, which is often expected to decouple age and size at
reproduction because rapidly growing genotypes could mature early and attain a
larger size relative to slowly growing genotypes that mature later. The absence
of a trade-off in the winter setting is presumably attributable to the absence of
genotypic differences in age at reproduction. We observed QTL for age at
reproduction that jointly regulated size at reproduction in both the summer and
fall annual settings, but these QTL were environment-specific (i.e. different QTL
contributed to the trade-off in the fall vs. summer annual settings). Thus, at
least some of the genetic mechanisms underlying observed trade-offs differed
across environments.
PMID- 21902748
TI - Genome-wide analysis of alternative reproductive phenotypes in honeybee workers.
AB - A defining feature of social insects is the reproductive division of labour, in
which workers usually forego all reproduction to help their mother queen to
reproduce. However, little is known about the molecular basis of this spectacular
form of altruism. Here, we compared gene expression patterns between
nonreproductive, altruistic workers and reproductive, non-altruistic workers in
queenless honeybee colonies using a whole-genome microarray analysis. Our results
demonstrate massive differences in gene expression patterns between these two
sets of workers, with a total of 1292 genes being differentially expressed. In
nonreproductive workers, genes associated with energy metabolism and respiration,
flight and foraging behaviour, detection of visible light, flight and heart
muscle contraction and synaptic transmission were overexpressed relative to
reproductive workers. This implies they probably had a higher whole-body energy
metabolism and activity rate and were most likely actively foraging, whereas same
aged reproductive workers were not. This pattern is predicted from evolutionary
theory, given that reproductive workers should be less willing to compromise
their reproductive futures by carrying out high-risk tasks such as foraging or
other energetically expensive tasks. By contrast, reproductive workers mainly
overexpressed oogenesis-related genes compared to nonreproductive ones. With
respect to key switches for ovary activation, several genes involved in steroid
biosynthesis were upregulated in reproductive workers, as well as genes known to
respond to queen and brood pheromones, genes involved in TOR and insulin
signalling pathways and genes located within quantitative trait loci associated
with reproductive capacity in honeybees. Overall, our results provide unique
insight into the molecular mechanisms underlying alternative reproductive
phenotypes in honeybee workers.
PMID- 21902747
TI - Female influence on pre- and post-copulatory sexual selection and its genetic
basis in Drosophila melanogaster.
AB - Genetic variation among females is likely to influence the outcome of both pre-
and post-copulatory sexual selection in Drosophila melanogaster. Here we use
association testing to survey natural variation in 10 candidate female genes for
their effects on female reproduction. Females from 91 chromosome two substitution
lines were scored for phenotypes affecting pre- and post-copulatory sexual
selection such as mating and remating rate, propensity to use sperm from the
second male to mate, and measures of fertility. There were significant genetic
contributions to phenotypic variation for all the traits measured. Resequencing
of the 10 candidate genes in the 91 lines yielded 68 non-synonymous polymorphisms
which were tested for associations with the measured phenotypes. Twelve
significant associations (markerwise P<0.01) were identified. Polymorphisms in
the putative serine protease homolog CG9897 and the putative odorant binding
protein CG11797 associated with female propensity to remate and met an
experimentwise significance of P<0.05. Several other associations, including
those impacting both fertility and female remating rate suggest that sperm
storage might be an important factor mitigating female influence on sexual
selection.
PMID- 21902749
TI - Costs and benefits of polyandry in a placental poeciliid fish Heterandria formosa
are in accordance with the parent-offspring conflict theory of placentation.
AB - In viviparous species, a conflict over maternal resource allocation may arise
between mothers and embryos, between siblings, and between maternal and paternal
genes within an embryo due to relatedness asymmetries. We performed two
experiments to study the effects of polyandry and brood relatedness on offspring
growth in a placental fish (Heterandria formosa). Polyandry was beneficial as it
increased the probability of pregnancy, possibly to avoid genetic
incompatibility. However, females mated to four males produced offspring that had
a longer maturation time than those of monandrous females. When within-brood
relatedness was manipulated, the size of the newborn offspring decreased with
time in low-relatedness treatment, whereas in highly related broods, offspring
size was constant. Low within-brood relatedness may lead to less cooperative
offspring in terms of resource extraction from the mother, which may lead to
impaired development during gestation. Offspring conflict may thus reduce the
benefits of polyandry in viviparous species.
PMID- 21902750
TI - Pulsed-resource dynamics increase the asymmetry of antagonistic coevolution
between a predatory protist and a prey bacterium.
AB - Temporal resource fluctuations could affect the strength of antagonistic
coevolution through population dynamics and costs of adaptation. We studied this
by coevolving the prey bacterium Serratia marcescens with the predatory protozoa
Tetrahymena thermophila in constant and pulsed-resource environments for
approximately 1300 prey generations. Consistent with arms race theory, the prey
evolved to be more defended, whereas the predator evolved to be more efficient in
consuming the bacteria. Coevolutionary adaptations were costly in terms of
reduced prey growth in resource-limited conditions and less efficient predator
growth on nonliving resource medium. However, no differences in mean
coevolutionary changes or adaptive costs were observed between environments, even
though resource pulses increased fluctuations and mean densities of coevolving
predator populations. Interestingly, a surface-associated prey defence mechanism
(bacterial biofilm), to which predators were probably unable to counter-adapt,
evolved to be stronger in pulsed-resource environment. These results suggest that
temporal resource fluctuations can increase the asymmetry of antagonistic
coevolution by imposing stronger selection on one of the interacting species.
PMID- 21902751
TI - Resolving current disagreements and ambiguities in the terminology of animal
communication.
AB - Communication is central to most interactions between organisms. There is
currently considerable controversy about the evolution, function and even about
the most basic definition of communication. The controversy is linked to
definitional ambiguities and disagreements. Here we discuss how some recent
disagreements can be resolved and offer a clear set of definitions. Central to
our approach is a definition of communication as being a trade between one
organism (the informer) and another (the perceiver). The informer exerts
influence on the perceiver through the communication process, and the perceiver
experiences a change in its informational state (that is, gains information) as a
consequence of detecting the communication. We define both influence and
information explicitly and delineate between signalling, deceptive communication,
and situations where perceivers respond to cues rather than signals. We
demonstrate how our definitions allow resolution of conflicts arising in recent
publications on the definitions on communication and related terms.
PMID- 21902752
TI - Use of deferiprone for iron chelation in patients with transfusion-dependent
thalassaemia.
AB - AIM: To conduct a retrospective case analysis of the clinical efficacy and
adverse effects of deferiprone in our population. METHODS: All patients with
transfusion-dependent thalassaemia at KK Hospital who have been on deferiprone
were included in the study. Outcomes measured include the change in ferritin
levels and cardiac T2* values during deferiprone therapy, and incidence of side
effects. RESULTS: Thirty-three (47.1%) of the total cohort of 70 patients have
been on deferiprone, out of which 26 were on combination therapy with
desferrioxamine. Majority of the patients (76%) had stable cardiac iron load
during deferiprone therapy, and four patients with moderate to severe cardiac
iron load showed improvement. Ten patients (30.3%) had improvement in their
ferritin levels. Three patients (9.1%) developed mild neutropenia at 3, 18 and 26
months, respectively, and two patients (6.1%) had agranulocytosis at 4 and 10
months, respectively. Their neutrophil counts improved spontaneously after
cessation of deferiprone. Thrombocytopenia developed in 27.3% of the patients and
was transient in majority (77.8%) of the patients. Five patients (15.2%)
developed arthritis that improved after cessation of deferiprone therapy, and one
patient had transient arthralgia that resolved spontaneously. Three patients
(9.1%) had nausea and abdominal pain. CONCLUSION: Deferiprone effectively reduced
or stabilised cardiac iron load in our patients. Thrombocytopenia, arthropathy,
neutropenia and agranulocytosis are the most important side effects. It is
recommended that patients on deferiprone have their full blood counts monitored
weekly for the first year of therapy and subsequently fortnightly as long as they
are on deferiprone.
PMID- 21902753
TI - High incidence of obesity co-morbidities in young children: a cross-sectional
study.
AB - AIM: The prevalence of overweight and obesity in children is a public health
problem because of future morbidity. However, the prevalence of medical
complications in overweight and obese primary school children in Australia is not
well documented. As part of the larger, prospective cohort Growth and Development
Study, this report aimed to identify the medical complications of obesity in a
population-based community sample of primary school-aged children. METHODS: Two
groups of primary school children were studied: a random community sample of
overweight/obese children (not seeking treatment) and a matched community sample
of normal weight children. Demographics, medical history, family history and
symptoms of complications of overweight were collected. Children had a physical
examination, oral glucose tolerance tests with insulins, fasting lipid profiles
and liver function tests. RESULTS: Data from 283 children are presented (6.1-13.4
years, mean 9.8 years). There were no differences in birth data, family
composition, parental age or socio-economic status between groups. Overweight and
obese children were more likely to complain of musculoskeletal pain, depression,
anxiety and bullying, and had more adverse examination findings than control
children. They also had more abnormal investigations: overweight children:
impaired glucose tolerance (IGT) 1.3%, hyperinsulinism 19.5%, dyslipidaemia
63.8%, raised alanine transaminase (ALT) 9.0%; obese children: IGT 5.3%,
hyperinsulinism 38.9%, dyslipidaemia 73.7%, raised ALT 31.6%. CONCLUSION:
Overweight and obese primary school-aged children have significant medical
complications of their weight status. Overweight children, in addition to obese
children, should be screened for complications. A secondary finding is a high
proportion of normal weight children with lipid levels outside desirable healthy
ranges.
PMID- 21902754
TI - Challenging Ronald: McDonald versus McDonald's.
AB - Obesity is the most prevalent health condition affecting first world children in
2011. This article recounts a campaign that opposed the construction of a fast
food outlet in close proximity to a large school complex. The epidemiologic
evidence that there is a negative health impact on children that attend schools
close to fast food outlets is reiterated. There is an urgent need for planning
laws to be modernised to reflect that evidence.
PMID- 21902755
TI - Author's reply: most HIV Transmission in sub-Saharan Africa occurs through sex.
PMID- 21902756
TI - Vascular endothelial growth factor gene polymorphisms in spontaneously aborted
fetuses.
AB - PROBLEMS: The VEGF-1154G>A polymorphism has been reported to be a genetic risk
factor for recurrent spontaneous abortion in various studies; however, these
studies have focused on genetic analyses of pregnant women rather than aborted
fetuses. To evaluate and confirm the association between the VEGF-1154G>A
polymorphism and spontaneous abortion, we focused on the relationship between
four polymorphisms in the VEGF gene (-2578C>A, -1154G>A, -634G>C, and 936C>T) and
spontaneously aborted fetuses (SAFs). METHOD OF STUDY: The subjects included 118
SAFs at <20 weeks gestation and 380 normal controls consisting of children and
adults. The polymorphisms were genotyped by polymerase chain reaction-restriction
fragment length polymorphism analysis. RESULTS: Spontaneously aborted fetuses
exhibited significantly different frequencies of the -2578CA+AA/-634CC and
1154GA+AA/-634CC combined genotypes compared with control subjects. The frequency
of the -2578A/-1154A/-634C/936C haplotype was significantly higher in SAFs.
CONCLUSIONS: VEGF genes -2578CA+AA/-634CC and -1154GA+AA/-634CC in the fetus are
possible risk factors for spontaneous abortion.
PMID- 21902765
TI - Mechanism of onset and exacerbation of chronic glomerulonephritis and its
treatment.
AB - Immunoglobulin A nephropathy (IgAN) is one of the most common causes of chronic
glomerulonephritis (CGN) in the world. The proliferative and crescentic forms of
IgA are found in up to 30% of cases and are associated with nephritic-range
proteinuria, accelerated hypertension, and accelerated decline toward end-stage
renal disease. On the other hand, Henoch-Schonlein purpura (HSP) is a systemic
disorder characterized by leukocytoclastic vasculitis involving the capillaries
and the deposition of IgA immune complexes. Renal involvement is the principal
cause of morbidity and mortality in children with HSP. Two entity diseases are
important as renal diseases in childhood. We herein review the mechanism of the
onset and exacerbation of IgAN and HSP nephritis (HSPN) and its treatment. As to
the pathogenesis, we found that CB4 provoked exacerbation of renal pathologic
findings in hyper IgA mice via endothelial injury, gamma-interferon production,
and dysfunction of the mesangial pathway and could possibly become one of the
factors involved in the mechanism of the onset or evolution of human IgAN. As to
the treatment of IgAN and HSPN, we evaluated the efficacy of multidrug
combination therapy (prednisolone, warfarin, and dipyridamole, including
mizoribine) for diffuse IgAN and the efficacy of methylprednisolone and urokinase
pulse therapy plus immunosuppressive drugs for severe HSPN in children. These
therapies were effective in ameliorating the proteinuria and histologic severity
of patients with IgAN or HSPN. In future, detailed investigations into the
pathogenesis of CGN and double-blind randomized control studies on children with
IgAN or HSPN will be necessary.
PMID- 21902766
TI - G-protein beta3 subunit 825CC genotype is associated with postprandial distress
syndrome with impaired gastric emptying and with the feeling of hunger in
Japanese.
AB - BACKGROUND: G-protein dysfunction related alteration of intracellular signal
transduction might be linked to various abnormalities of functional
gastrointestinal (GI) disorders. Serotonin (5-hydroxytryptamine; 5-HT) as well as
G-protein is also key signaling molecule sensorimotor functions in the GI tract.
Thus, this study aims to evaluate the correlation between gastric emptying and
GNbeta3 and 5-HTs polymorphisms in functional dyspepsia (FD) as defined by Rome
III classification. METHODS: Seventy-four patients presenting with typical
symptoms of FD (epigastric pain syndrome: EPS, n=24; postprandial distress
syndrome: PDS, n = 51) and sixty-four healthy volunteers were enrolled. Gastric
motility was evaluated with the T(max) value using the (13) C-acetate breath
test. We used Rome III criteria to evaluate upper abdominal symptoms and SRQ-D
scores to determine depression status. GNbeta3-C825T, 5-HT(1A) -C1019G, 5-HT(2A)
G1438A, 5-HT(3A) -C42T, and 5-HT(4A) -G353+6A polymorphisms were analyzed in DNA
from blood samples of enrolled subjects. Genotyping was performed by polymerase
chain reaction. KEY RESULTS: There was a significant relationship (P=0.045)
between GNbeta3 825CC genotype and PDS patients without gastro-esophageal reflux
symptoms with impaired gastric emptying. In Japanese, GNbeta3 825CC genotype in
FD patients was significantly associated (P=0.0485) with the feeling of hunger
compared with GNbeta3 825CT and TT genotypes. CONCLUSIONS & INFERENCES: Our
results suggest that the GNbeta3 825CC genotype is significantly associated with
PDS patients without gastro-esophageal reflux with impairments of gastric
emptying and also with the feeling of hunger in patients with FD. Further studies
are needed to clarify whether the GNbeta3 825CC genotype is linked to
disturbances of gastric emptying via altered signal transduction responses.
PMID- 21902767
TI - Helicobacter pylori and autoimmune diseases.
AB - Helicobacter pylori (H. pylori) is a widely prevalent microbe, with between 50
and 80% of the population infected worldwide. Clinically, infection with H.
pylori is commonly associated with peptic ulcer disease, but many of those
infected remain asymptomatic. H. pylori has evolved a number of means to affect
the host immune response and has been implicated in many diseases mitigated by
immune dysregulation, such as immune thrombocytopenic purpura (ITP), atrophic
gastritis, and mucosa associated lymphoid tissue (MALT) lymphoma. Autoimmune
diseases, such as systemic lupus erythematosus, rheumatoid arthritis, and
Sjogren's syndrome, are the result of a dysregulated host immune system which
targets otherwise healthy tissues. The exact etiology of autoimmune diseases is
unclear, but it has long been suggested that exposure to certain environmental
agents, such as viral and bacterial infection or chemical exposures, in
genetically susceptible individuals may be the catalyst for the initiation of
autoimmune processes. Because of its prevalence and ability to affect human
immune function, many researchers have hypothesized that H. pylori might
contribute to the development of autoimmune diseases. In this article, we review
the available literature regarding the role of chronic H. pylori infection in
various autoimmune disease states.
PMID- 21902768
TI - Marathon of eponyms: 21 Urbach-Wiethe disease (Lipoid proteinosis).
AB - The use of eponyms has long been contentious, but many remain in common use, as
discussed elsewhere (Editorial: Oral Diseases. 2009: 15; 185). The use of eponyms
in diseases of the head and neck is found mainly in specialties dealing with
medically compromised individuals (paediatric dentistry, special care dentistry,
oral and maxillofacial medicine, oral and maxillofacial pathology, oral and
maxillofacial radiology and oral and maxillofacial surgery) and particularly by
hospital-centred practitioners. This series has selected some of the more
recognized relevant eponymous conditions and presents them alphabetically. The
information is based largely on data available from MEDLINE and a number of
internet websites as noted below: the authors would welcome any corrections. This
document summarizes data about Urbach-Wiethe disease.
PMID- 21902769
TI - The oral microbiome in health and disease and the potential impact on
personalized dental medicine.
AB - Every human body contains a personalized microbiome that is essential to
maintaining health but capable of eliciting disease. The oral microbiome is
particularly imperative to health because it can cause both oral and systemic
disease. The oral microbiome rests within biofilms throughout the oral cavity,
forming an ecosystem that maintains health when in equilibrium. However, certain
ecological shifts in the microbiome allow pathogens to manifest and cause
disease. Severe forms of oral disease may result in systemic disease at different
body sites. Microbiomics and metagenomics are two fields of research that have
emerged to identify the presence of specific microbes in the body and understand
the nature of the microbiome activity during both health and disease. The
analysis of the microbiome and its genomes will pave the way for more effective
therapeutic and diagnostic techniques and, ultimately, contribute to the
development of personalized medicine and personalized dental medicine.
PMID- 21902770
TI - On the suitability of fast and frugal heuristics for designing values
clarification methods in patient decision aids: a critical analysis.
AB - BACKGROUND: Increasingly, patient decision aids and values clarification methods
(VCMs) are being developed to support patients in making preference-sensitive
health-care decisions. Many VCMs encourage extensive deliberation about options,
without solid theoretical or empirical evidence showing that deliberation is
advantageous. Research suggests that simple, fast and frugal heuristic decision
strategies sometimes result in better judgments and decisions. Durand et al. have
developed two fast and frugal heuristic-based VCMs. OBJECTIVE: To critically
analyse the suitability of the 'take the best' (TTB) and 'tallying' fast and
frugal heuristics in the context of patient decision making. STRATEGY: Analysis
of the structural similarities between the environments in which the TTB and
tallying heuristics have been proven successful and the context of patient
decision making and of the potential of these heuristic decision processes to
support patient decision making. CONCLUSION: The specific nature of patient
preference-sensitive decision making does not seem to resemble environments in
which the TTB and tallying heuristics have proven successful. Encouraging
patients to consider less rather than more relevant information potentially even
deteriorates their values clarification process. Values clarification methods
promoting the use of more intuitive decision strategies may sometimes be more
effective. Nevertheless, we strongly recommend further theoretical thinking about
the expected value of such heuristics and of other more intuitive decision
strategies in this context, as well as empirical assessments of the mechanisms by
which inducing such decision strategies may impact the quality and outcome of
values clarification.
PMID- 21902771
TI - The use of formal and informal knowledge sources in patients' treatment decisions
in secondary stroke prevention: qualitative study.
AB - BACKGROUND: There is robust empirical evidence to support clinical decision
making in secondary stroke prevention after transient ischaemic attack (TIA) or
recovered stroke. However, little attention has been paid to patients'
utilization of this evidence in coming to decisions about their treatment
choices. OBJECTIVE: To examine the use of formal and informal knowledge by
patients in making decisions about carotid endarterectomy (CEA) and medical
treatment after TIA/recovered stroke. SETTING AND PARTICIPANTS: Twenty
participants were recruited from an outpatient vascular surgical assessment
clinic in England. Ten were receiving medical treatment alone, and 10 were
undergoing CEA after TIA or recovered stroke. METHOD: Twenty-eight in-depth
qualitative interviews were conducted. An iterative approach was used whereby
emergent themes were further explored in later interviews. Interviews were
audiotaped, transcribed and coded. RESULTS: Participants gathered and utilized
several types of knowledge in the process of making treatment decisions:
Empirical knowledge (e.g. clinical trial findings); Pathophysiologic findings
(e.g., results of clinical investigations); Experiential knowledge (e.g.,
personal experience of stroke); Goals and values (e.g., potential impact on
family); System features (e.g., apparent urgency of treatment). CONCLUSIONS: In
addition to formal evidence, patients use other sources of informal or 'non
evidentiary' knowledge to support their decisions about treatment after TIA or
recovered stroke. To enable evidence-based patient choice, health professionals
need to appreciate the diverse types of evidence which patients use, to help them
to access relevant and high-quality evidence, to balance evidence from different
sources and to make choices which are congruent with their values and
expectations.
PMID- 21902772
TI - The impact of patient and public involvement in the work of the Dementias &
Neurodegenerative Diseases Research Network (DeNDRoN): case studies.
AB - AIMS: (i) To describe patient and public involvement (PPI) in a network promoting
research in dementia and neurodegenerative diseases, in terms of activity at the
different stages of the research cycle and within the different levels of the
research network. (ii) To use case studies to try and answer the question: what
benefits (if any) does PPI in research bring to the research process? BACKGROUND:
PPI in health research is a central part of government policy, but the evidence
base underpinning it needs strengthening. PPI allows exploration of feasibility,
acceptability and relevance of hypotheses, assists in the precise definition of
research questions and increases accrual to studies. However, the measurement of
outcomes is methodologically difficult, because the impact of lay researchers may
occur through team interactions and be difficult to untangle from the efforts of
professional researchers. Opportunities for PPI in rapidly progressive diseases
may be limited, and involvement of people with marked cognitive impairment is
particularly challenging. DESIGN: (i) Description of PPI within the DeNDRoN
network. (ii) Case studies of three research projects which asked for extra help
from centrally organized PPI. RESULTS: PPI in research projects on the DeNDRoN
portfolio may function at different levels, occurring at project, local research
network and national level. Case studies of three research projects show
different roles for PPI in research and different functions for centrally
organized PPI, including contribution to remedial action in studies that are not
recruiting to target, solving problems because of the complexity and sensitivity
of the research topic, and linking researchers to PPI resources. DISCUSSION: The
case studies suggest that centrally organized PPI can have 'diagnostic' and
remedial functions in studies that are struggling to recruit and serve as
reinforcement for study-level PPI in the complex and sensitive research topics
that are typical in neurodegenerative diseases research. PPI may be actively
sought by researchers, but the infrastructure of PPI is not yet so widespread in
the research community that lay researchers are easy to find; a centrally
organized PPI resource can assist in this situation.
PMID- 21902773
TI - Provider perspectives on the utility of a colorectal cancer screening decision
aid for facilitating shared decision making.
AB - BACKGROUND: Decision aids for colorectal cancer (CRC) screening have been shown
to enable patients to identify a preferred screening option, but the extent to
which such tools facilitate shared decision making (SDM) from the perspective of
the provider is less well established. OBJECTIVE: Our goal was to elicit provider
feedback regarding the impact of a CRC screening decision aid on SDM in the
primary care setting. METHODS: Cross-sectional survey. PARTICIPANTS: Primary care
providers participating in a clinical trial evaluating the impact of a novel CRC
screening decision aid on SDM and adherence. MAIN OUTCOMES: Perceptions of the
impact of the tool on decision-making and implementation issues. RESULTS: Twenty
nine of 42 (71%) eligible providers responded, including 27 internists and two
nurse practitioners. The majority (>60%) felt that use of the tool complimented
their usual approach, increased patient knowledge, helped patients identify a
preferred screening option, improved the quality of decision making, saved time
and increased patients' desire to get screened. Respondents were more neutral is
their assessment of whether the tool improved the overall quality of the patient
visit or patient satisfaction. Fewer than 50% felt that the tool would be easy to
implement into their practices or that it would be widely used by their
colleagues. CONCLUSION: Decision aids for CRC screening can improve the quality
and efficiency of SDM from the provider perspective but future use is likely to
depend on the extent to which barriers to implementation can be addressed.
PMID- 21902774
TI - The process of social participation in primary health care: the case of Palencia,
Guatemala.
AB - BACKGROUND: In 2008, the World Health Organization issued a callback to the
principles of primary health care, which renewed interests in social
participation in health. In Guatemala, social participation has been the main
policy for the decentralization process since the late 1990s and the social
development council scheme has been the main means for participation for the
country's population since 2002. AIM: The aim of this study was to explore the
process of social participation at a municipal-level health commission in the
municipality of Palencia, Guatemala. METHODS: Analysis of legal and policy
documents and in-depth interviews with institutional and community-level
stakeholders of the commission. RESULTS: The lack of clear guidelines and
regulations means that the stakeholders own motivations, agendas and power
resources play an important part in defining the roles of the participants.
Institutional stakeholders have the human and financial power to make policies.
The community-level stakeholders are token participants with little power
resources. Their main role is to identify the needs of their communities and seek
help from the authorities. Satisfaction and the perceived benefits that the
stakeholders obtain from the process play an important part in maintaining the
commission's dynamic, which is unlikely to change unless the stakeholders
perceive that the benefit they obtain does not outweigh the effort their role
entails. CONCLUSION: Without more uniformed mechanisms and incentives for
municipalities to work towards the national goal of equitable involvement in the
development process, the achievements will be fragmented and will depend on the
individual stakeholder's good will.
PMID- 21902776
TI - Music as a nursing intervention: effects of music listening on blood pressure,
heart rate, and respiratory rate in abdominal surgery patients.
AB - Contradictory results have been presented on how music listening affects
patients' blood pressure, heart rate, and respiratory rate. The aim of the
present study was to evaluate the effects of music listening on blood pressure,
heart rate, and respiratory rate on operation day, and on the first, second, and
third postoperative days in abdominal surgery patients. Using a quasi
experimental pretest-post-test design, 168 abdominal surgery patients were
assigned every second week to the music group (n=83) or to the control group
(n=85) for 25 months. In the music group, the respiratory rate was significantly
lower after intervention on both the first and second postoperative days compared
with the control group. A significant reduction in systolic blood pressure was
demonstrated in the group that received music compared with the control group on
both the first and second postoperative days. Evaluation of the long-term effects
of music on physiological factors showed that the respiratory rate in the music
group was significantly lower compared with the control group. Nurses should
offer music listening to surgery patients because of its potential benefit.
PMID- 21902775
TI - HIV-1 Vpu antagonizes BST-2 by interfering mainly with the trafficking of newly
synthesized BST-2 to the cell surface.
AB - Bone marrow stromal cell antigen-2 (BST-2) inhibits human immunodeficiency virus
type 1 (HIV-1) release by cross-linking nascent virions on infected cell surface.
HIV-1 Vpu is thought to antagonize BST-2 by downregulating its surface levels via
a mechanism that involves intracellular sequestration and lysosomal degradation.
Here, we investigated the functional importance of cell-surface BST-2
downregulation and the BST-2 pools targeted by Vpu using an inducible proviral
expression system. Vpu established a surface BST-2 equilibrium at ~60% of its
initial levels within 6 h, a condition that coincided with detection of viral
release. Analysis of BST-2 post-endocytic trafficking revealed that the protein
is engaged in a late endosomal pathway independent of Vpu. While Vpu moderately
enhanced cell-surface BST-2 clearance, it strongly affected the protein resupply
to the plasma membrane via newly synthesized proteins. Noticeably, Vpu affected
clearance of surface BST-2 more substantially in Jurkat T cells than in HeLa
cells, suggesting a cell-dependent impact of Vpu on the pool of surface BST-2.
Collectively, our data reveal that Vpu imposes a new BST-2 equilibrium,
incompatible with efficient restriction of HIV-1 release, by combining an
acceleration of surface BST-2 natural clearance, whose degree might be cell-type
dependent, to a severe impairment of the protein resupply to the plasma membrane.
PMID- 21902777
TI - Attitudes, beliefs, and practices of Sri Lankan nurses toward cancer pain
management: an ethnographic study.
AB - Cancer pain is a serious problem that requires specialized nursing knowledge. In
the present ethnographic study, we sought to explore the experiences and cancer
pain management practices of nurses working at a government hospital in Sri
Lanka. Data were collected from October 2007 to January 2008, and were obtained
by observing the nurses in a cancer ward, conducting semistructured interviews
with 10 participants, and maintaining a research diary. To analyze the data, the
data were coded, and an integrative process was implemented to develop
categories. The results suggested that Sri Lankan nurses perform poor cancer pain
management practices due to a lack of resources, a shortage of nurses, and poor
workload allocation within the hospital. Additionally, the nurses are not
autonomous, and are required to refer to medical staff for cancer pain management
strategies. The nurses work in a task-oriented system that rarely acknowledges
cancer patients' pain management needs. This study might improve nursing pain
management practices for cancer patients and lead to changes in the curriculum of
nursing courses in Sri Lanka.
PMID- 21902778
TI - Organizational features of workplace and job engagement among Swiss healthcare
workers.
AB - The aim of this study was to determine the engagement level among healthcare
workers in a Swiss hospital, identifying organizational predictors that could
affect it. A four-part survey (a demographic questionnaire, Maslach Burnout
Inventory-General Survey, Areas of Worklife Scale, and the General Health
Questionnaire) was completed by 206 nurses and physicians. With regards to
organizational predictors of job engagement, energy was primarily influenced by
workload, involvement by values, and efficacy by reward. Moreover, we found that
engagement might affect psychophysical health conditions: better health generally
corresponds to higher levels of engagement. These results confirm that engagement
is influenced by organizational variables and that engaged employees are
generally more healthy and efficient. Therefore, interventions to minimize the
impact of work stressors and to improve engagement are needed. Effective
management of excessive workload, higher levels of autonomy, and greater job
support are vital to limit psychological problems of healthcare workers.
PMID- 21902779
TI - Descemet's membrane substrate from human donor lens anterior capsule.
AB - BACKGROUND: To study the potential use of human donor anterior lens capsule as a
Descemet's membrane substrate. METHODS: Anterior lens capsules were recovered
from the lenses of 30 cornea donors. Human corneal endothelial cells were
recovered from the remaining corneal sclera rims of 15 donor corneas used for
penetrating keratoplasty. Samples were sorted into three groups. Group 1
consisted of 10 samples in which the endothelial cells were allowed to grow on
anterior lens capsules. In Group 2 human corneal endothelial cells grew on a
collagen membrane and in Group 3 on polystyrene culture plates. Cell density,
morphology and adherence of the cell-capsule complex were evaluated at 1, 4, 7
and 14days with a phase-contrast microscope, a scanning electron microscope and
by histology. Cell viability was quantified by a microscopic live-dead assay.
Expression of zonula occludens-1, Na(+) /K(+) -adenosine triphosphatase, tissue
transglutaminase and vimentin were investigated by immunohistochemistry. RESULTS:
A mean diameter of 10.05+/-0.13mm of anterior capsule was obtained as a substrate
for cell culture. Endothelial cell density of Group 1 was measured at 2455.4+/
283.8cells/mm(2) , which was also comparable with the cell density of the control
group. Cell viability was 95% or superior in all groups and multiple cellular
interconnections developed between growing cells. Immunohistochemical analysis
demonstrated strongly positive staining for all investigated proteins. Electron
microscopy confirmed the adherence and monolayer growth of the endothelial cells.
CONCLUSIONS: Human donor anterior lens capsule might therefore be a potential
scaffold for the ex vivo expansion of human corneal endothelial cells.
PMID- 21902780
TI - Ocular infections caused by non-tuberculous mycobacteria: update on epidemiology
and management.
AB - BACKGROUND: To provide an update on the frequency, distribution, risk factors
and in vitro susceptibility of ocular infections caused by non-tuberculous
mycobacteria. DESIGN: Retrospective study of university clinic patients.
PARTICIPANTS: One hundred thirty-nine patients with culture confirmed non
tuberculous mycobacteria infections seen at Bascom Palmer Eye Institute from
January 1980 to July 2007. METHODS: Chart review of data collected included
patients' demographics, risk factors, microbiological profiles and clinical
outcomes. MAIN OUTCOME MEASURES: Frequency, distribution, risk factors and in
vitro susceptibility of ocular infections caused by non-tuberculous mycobacteria.
RESULTS: A total of 183 non-tuberculous mycobacteria isolates from 142 eyes
were identified, with a fourfold increase in the number of eyes infected with non
tuberculous mycobacteria from 1980-1989 (13.4%) to 2000-2007 (56.3%). Eighty
three percent of non-tuberculous mycobacteria isolates were identified as M.
abscessus/chelonae. The majority (91%) of isolates were recovered within 10 days.
Common diagnoses included keratitis (36.6%), scleral buckle infections (14.8%)
and socket/implant infections (14.8%). Identifiable risk factors were presence of
biomaterials (63.1%), ocular surgery (24.1%) and steroid exposure (77%). The
median time from diagnosis of culture positive non-tuberculous mycobacteria
infection to resolution was 13 to 24 weeks. Combination therapy was used to treat
80% of infected eyes. In vitro susceptibility of non-tuberculous mycobacteria
isolates were: amikacin, 81%; clarithromycin, 93%; and moxifloxacin, 21%.
CONCLUSIONS: The incidence of ocular infections caused by non-tuberculous
mycobacteria has increased within the last 8 years, with a high number of
biomaterial associated infections among this group. Clinical diagnosis and
microbiological confirmation of non-tuberculous mycobacteria infections remains
challenging. Patient outcomes may be improved by early diagnosis, appropriate
therapy and removal of biomaterials.
PMID- 21902781
TI - Examination of the performance of different pointwise linear regression
progression criteria to detect glaucomatous visual field change.
AB - BACKGROUND: We aimed to investigate the performance of five different trend
analysis criteria for the detection of glaucomatous progression and to determine
the most frequently and rapidly progressing locations of the visual field.
DESIGN: Retrospective cohort. PARTICIPANTS OR SAMPLES: Treated glaucoma patients
with >= 8 Swedish Interactive Thresholding Algorithm (SITA)-standard 24-2 visual
field tests. METHODS: Progression was determined using trend analysis. Five
different criteria were used: (A) >= 1 significantly progressing point; (B) >= 2
significantly progressing points; (C) >= 2 progressing points located in the same
hemifield; (D) at least two adjacent progressing points located in the same
hemifield; (E) >= 2 progressing points in the same Garway-Heath map sector. MAIN
OUTCOME MEASURES: Number of progressing eyes and false-positive results. RESULTS:
We included 587 patients. The number of eyes reaching a progression end-point
using each criterion was: A = 300 (51%); B = 212 (36%); C = 194 (33%); D = 170
(29%); and E = 186 (31%) (P <= 0.03). The numbers of eyes with positive slopes
were: A = 13 (4.3%); B = 3 (1.4%); C = 3 (1.5%); D = 2 (1.1%); and E = 3 (1.6%)
(P = 0.06). The global slopes for progressing eyes were more negative in Groups
B, C and D than in Group A (P = 0.004). The visual field locations that
progressed more often were those in the nasal field adjacent to the horizontal
midline. CONCLUSIONS: Pointwise linear regression criteria that take into account
the retinal nerve fibre layer anatomy enhances the specificity of trend analysis
for the detection glaucomatous visual field progression.
PMID- 21902782
TI - Trends in the distribution of donor corneal tissue and indications for corneal
transplantation: the New Zealand National Eye Bank Study 2000-2009.
AB - BACKGROUND: To investigate the indications for corneal transplantation and the
distribution of donor corneal tissue in New Zealand. DESIGN: Analysis of the
prospective database of the New Zealand National Eye Bank. PARTICIPANTS: A total
of 2205 corneal transplants were assessed. METHODS: New Zealand National Eye Bank
records were analysed for the decade 2000-2009. MAIN OUTCOME MEASURES: Variables
analysed included donor corneal tissue distribution (including public and private
sectors), indications for transplantation, donor corneal tissue recipient
demographics (age and gender) and corneal transplantation type. RESULTS: An
average of 220 corneal transplants were performed each year over the 10-year
period (n=2205). The median recipient age was 45years (range 3 to 102years) and
54.0% of recipients were male. In total 71.8% of transplants were performed in
the public health sector. Surgeons in the Auckland metropolitan area performed
47.2% of all corneal transplants. The most common indications for corneal
transplantation were: keratoconus (41.1%), repeat transplant (17.0%),
aphakic/pseudophakic bullous keratopathy (13.9%), corneal dystrophy (10.7%),
keratitis (7.9%) and trauma (3.7%). Overall, penetrating keratoplasty accounted
for 90.7% of all corneal transplants, however, during the latter half of the
study there was a progressive shift in transplantation type, with deep anterior
lamellar keratoplasty and Descemet's stripping endothelial keratoplasty combined
accounting for 32.3% of all transplants in the final year of the study period.
CONCLUSIONS: This New Zealand National Eye Bank study provides valuable data
regarding the indications for corneal transplantation, transplant recipient
demographics and changes in transplantation type in New Zealand over the past
decade.
PMID- 21902783
TI - Prevalence of blindness and low vision: a study in the rural Heilongjiang
Province of China.
AB - BACKGROUND: The prevalence of blind individuals in the north of China is
unknown. The study aimed to investigate the prevalence and causes of blindness
and low vision in rural areas in Heilongjiang province of China in 2008-2009.
DESIGN: Cross-sectional study. PARTICIPANTS OR SAMPLES: A cluster random
sampling method was used to recruit participants of all ages in rural areas of
Heilongjiang. METHODS: Trained professionals performed interviews and clinical
examinations to measure visual acuity. The relationships between blindness or low
vision and age, gender and education level were analysed. MAIN OUTCOME MEASURES:
The main outcome measure was prevalence rates of bilateral blindness and
bilateral low vision. RESULTS: Of the 11 787 subjects, 10 384 (88.1%) were
surveyed. The overall age-adjusted prevalence rates were 0.7% (95% confidence
interval: 0.5-0.8%) for bilateral blindness and 1.7% (95% confidence interval:
1.4-1.9%) for bilateral low vision. The prevalence rates of blindness and low
vision were higher in the elderly and uneducated population (P < 0.05). The main
causes for blindness and low vision were cataracts (44.1 and 46.0%, respectively)
and refractive errors (17.7 and 42.5%, respectively). CONCLUSION: Blindness and
low vision are highly prevalent among people with cataracts and refractive
errors. Eye care planning must focus on treating the avoidable and curable forms
of blindness.
PMID- 21902784
TI - Insulin-like growth factor-2 antisense oligonucleotides inhibits myopia by
expression blocking of retinal insulin-like growth factor-2 in guinea pig.
AB - OBJECTIVE: To clarify the role of IGF-2 on the development of myopia, the
dynamic expression of IGF-2 was investigated in the FD eyes' retina, and the
effects of intravitreous injection with IGF-2 ASON was studied on the diopter and
axial eye length of FD eyes. METHODS: 64 guinea pigs were divided into 2
groups. In group A (n = 24), the right eyes were covered. On days 7, 14 and 21,
the diopter, axial eye length and level of IGF-2 of both eyes were measured in
every 8 guinea pigs. In group B (n = 40), the right eyes were covered. On day 1,
the right eyes were received intravitreal injection with 40 ug IGF-2SON, 10 ug,
20 ug or 40 ug IGF-2 ASON. The diopter, axial eye length and level of IGF-2 were
measured on day 14. RESULTS: FD eyes showed myopic shift, axial length
enlongation, and up-regulation in retinal IGF-2 from day 7 to day 21. The level
of retinal IGF-2 in FD eyes was higher than that in non-FD eyes. Compare with FD
eyes without injection, the myopia diopter of FD eyes decreased in received
intravitreous injection with IGF-2 ASON, axial length shortened, and down
regulated with retinal IGF-2. With the increase dose of IGF-2 ASON, the change of
myopic diopter, axial length, and level of retinal IGF-2 were showed more and
more significant. CONCLUSIONS: FD is effective to up-regulate the level of
retinal IGF-2 expression in guinea pig. Intravitreous injection with IGF-2 ASON
can inhibit the development of myopia.
PMID- 21902785
TI - Anti-vascular endothelial growth factor for myopic choroidal neovascularization.
AB - Myopic choroidal neovascularization (CNV) is a vision-threatening complication in
the eyes with pathological myopia, which is particularly prevalent among young
and middle-aged Asians globally. To date, the verteporfin in photodynamic therapy
study is the only randomized-controlled study in treatment of subfoveal myopic
CNV. However, its long-term benefit is controversial. Recently, intravitreal
injections of anti-vascular endothelial growth factors have shown promising
results. In the absence of randomized-controlled trial comparing the efficacy of
anti-vascular endothelial growth factors with photodynamic therapy and placebo,
the purpose of this article is to review the current studies on functional and
anatomical outcomes in both subfoveal and juxtafoveal myopic CNV. Furthermore,
the influences of various doses and frequencies, as well as age and previous
photodynamic therapy treatment on its effect are described.
PMID- 21902786
TI - Effect of lipoic acid on expression of angiogenic factors in diabetic rat retina.
AB - BACKGROUND: This study evaluated the effect of a lipoic acid on reactive oxygen
species formation and the simultaneous changes of several angiogenic factors in
an experimental diabetic rat retina. METHODS: Diabetes was induced chemically by
intraperitoneal injection of streptozotocin in 30 Sprague-Dawley rats. After
inducing diabetes, lipoic acid (10 mg/kg) was administered to 10 rats orally. The
rats were divided into normal, diabetes mellitus, and lipoic acid-treated groups
(each group n = 10). The eyeballs were harvested 8 weeks after inducing diabetes.
The expression of vascular endothelial growth factor, erythropoietin,
angiopoietin 1 and 2 and NADPH oxidase was examined in the rat retina using
reverse transcription-polymerase chain reaction and Western blot. Superoxide
formation was examined using dihydroethidium stain. RESULTS: Dihydroethidium
analyses showed increased superoxide formation in the retina of the diabetic
group. The superoxide formation was suppressed with lipoic acid treatment.
Western blot analysis showed that NADPH oxidase was decreased in the diabetic
group and returned to normal level in the lipoic acid-treated group. Treatment
with lipoic acid blocked hyperglycaemia induced increases of vascular endothelial
growth factor, angiopoietin 2 and erythropoietin shown by reverse transcription
polymerase chain reaction and Western blot analysis. CONCLUSIONS: Lipoic acid
treatment suppressed expression of vascular endothelial growth factor,
angiopoietin 2 and erythropoietin via blockade of superoxide formation.
Antioxidant treatment is suspected to have an antiangiogenic effect.
PMID- 21902787
TI - Prevalence of pseudoexfoliation syndrome in indigenous Australians within central
Australia: The Central Australian Ocular Health Study.
AB - BACKGROUND: Pseudoexfoliation syndrome (XFS) has been found to occur more
commonly among indigenous Australians. This paper was designed to determine the
prevalence of XFS within the indigenous Australian population living in central
Australia. DESIGN: Clinic-based cross-sectional study. PARTICIPANTS: One
thousand eight hundred eighty-four individuals living in one of 30 remote
communities within the statistical local area of 'Central Australia' were
recruited. This equated to 36% of those aged 20 years or older and 67% of those
aged 40 years or older within this district. METHODS: Participants aged 20
years or over were recruited as they presented to the eye clinic at each remote
community. Slit-lamp examination was performed, and the presence of XFS in each
eye was recorded and presented. MAIN OUTCOME MEASURE: Prevalence and
associations of XFS. RESULTS: XFS was present in one or both eyes of 4.7% of
the individuals recruited into the study. Prevalence increased with age (5.9% of
those >=40 years and 12.7% >= 60 years). There was a significant association
between the presence of XFS and climatic keratopathy (chi(2) = 240.13; P <
0.00001). Notably, none of those with XFS had ocular hypertension or glaucoma.
CONCLUSION: XFS was present in a significantly higher proportion of indigenous
Australians compared with previously reported prevalence estimates among non
indigenous Australians. The association found between XFS and climatic
keratopathy may represent a common causal link between the two conditions. The
lack of association of XFS with ocular hypertension and glaucoma appears to be a
unique feature of the indigenous Australian population, and this merits further
investigation.
PMID- 21902788
TI - Methodology and early findings of the Diabetes Management Project: a cohort study
investigating the barriers to optimal diabetes care in diabetic patients with and
without diabetic retinopathy.
AB - BACKGROUND: The Diabetes Management Project is investigating the clinical,
behavioural and psychosocial barriers to optimal diabetes care in individuals
with and without diabetic retinopathy. DESIGN: Prospective cohort. PARTICIPANTS:
Two hundred and twenty-three and 374 patients without and with diabetic
retinopathy, respectively. METHODS: All individuals underwent a comprehensive
dilated eye test, anthropometric measurements, blood and urine samples, and
psychosocial questionnaires. MAIN OUTCOME MEASURES: Good glycaemic control was
defined as glycosylated haemoglobin < 7%, good blood pressure control as systolic
and diastolic values <=130 and 80 mmHg, respectively, and good diabetes control
as glycosylated haemoglobin < 7% and blood pressure values <=130 and 80 mmHg.
RESULTS: Four hundred and one males (65.4%) and 212 females (34.6%) aged 26-90
years (mean age +/- standard deviation = 64.6 +/- 11.6) were examined. The median
glycosylated haemoglobin for all participants was 7.5% (interquartile range =
1.7%). Average systolic and diastolic blood pressure values were 139.7 mmHg
(standard deviation = 18.8) and 92.7 mmHg (standard deviation = 30.9),
respectively. Initial data analyses indicate that over two-thirds of participants
with diabetes have poor glycaemic control, which was worse in those with diabetic
retinopathy compared with those without (76.3% vs. 49.3%; P < 0.001). Blood
pressure control was similar for those with and without diabetic retinopathy,
with almost a third (28.5%) of the total sample having poor blood pressure
control. Overall, those with diabetic retinopathy had poorer diabetes control
than those without (24.3% vs. 13.7%; P = 0.002). CONCLUSIONS: Our findings
substantiate the implementation of the Diabetes Management Project, developed to
assess factors associated with suboptimal diabetes care.
PMID- 21902789
TI - Intrastromal corneal ring segments: visual outcomes from a large case series.
AB - BACKGROUND: To evaluate the clinical safety and efficacy of implanted Ferrara
intrastromal corneal ring segments in a large sample of patients with ectatic
corneal disease. DESIGN: Retrospective, consecutive case series. SAMPLES: A
total of 1073 eyes of 810 patients consecutively operated from January 2006 to
July 2008 were evaluated. METHODS: Two groups were created according to the
type of ring implanted: Group 1 - patients implanted with the 160 degrees of arc
ring - and Group 2 - patients implanted with the 210 degrees of arc ring. MAIN
OUTCOME MEASURES: Uncorrected visual acuity, best-corrected visual acuity,
keratometry, asphericity and pachymetry at the thinnest point of the cornea. All
patients were evaluated using a corneal tomography (Pentacam, Oculus, Inc.,
Lynnwood, WA, USA). RESULTS: For Group 1 patients, uncorrected visual acuity
increased to 20/80, best-corrected visual acuity increased to 20/40, asphericity
decreased to -0.35, spherical equivalent decreased to -2.26 D and keratometry
decreased to 45.72 D (P < 0.001 for each compared with preoperative values). For
Group 2 patients, uncorrected visual acuity increased to 20/130, best-corrected
visual acuity increased to 20/60, asphericity decreased to -0.56, spherical
equivalent decreased to -4.14 D and keratometry decreased to 48.10 D (P < 0.001
for each compared with preoperative values). The 210 degrees intrastromal
corneal ring segments reduced keratometry and asphericity more than the 160
degrees intrastromal corneal ring segments did. The complication rate was 3.82%.
CONCLUSIONS: Ferrara intrastromal corneal ring segments implantation is safe
and effective and has a low complication rate. It can effectively reduce the
corneal steepening and improve uncorrected visual acuity and best-corrected
visual acuity in patients with keratoconus.
PMID- 21902790
TI - Scanning laser ophthalmoscope retro-mode imaging of foveal schisis in eyes with X
linked retinoschisis.
PMID- 21902791
TI - Pharmaceutical advertising in ophthalmology.
PMID- 21902792
TI - The Eye Institute of Thrace glaucoma progression diagram (EIT-GPD): incorporating
the relationship between visual ability and visual fields.
PMID- 21902793
TI - Carnitine palmitoyl transferase II deficiency: a possible association with
progression of normal pressure glaucoma.
PMID- 21902794
TI - Expression of biomineralization-related ion transport genes in Emiliania huxleyi.
AB - Biomineralization in the marine phytoplankton Emiliania huxleyi is a stringently
controlled intracellular process. The molecular basis of coccolith production is
still relatively unknown although its importance in global biogeochemical cycles
and varying sensitivity to increased pCO2 levels has been well documented. This
study looks into the role of several candidate Ca2+, H+ and inorganic carbon
transport genes in E. huxleyi, using quantitative reverse transcriptase PCR.
Differential gene expression analysis was investigated in two isogenic pairs of
calcifying and non-calcifying strains of E. huxleyi and cultures grown at various
Ca2+ concentrations to alter calcite production. We show that calcification
correlated to the consistent upregulation of a putative HCO3- transporter
belonging to the solute carrier 4 (SLC4) family, a Ca2+/H+ exchanger belonging to
the CAX family of exchangers and a vacuolar H+-ATPase. We also show that the
coccolith-associated protein, GPA is downregulated in calcifying cells. The data
provide strong evidence that these genes play key roles in E. huxleyi
biomineralization. Based on the gene expression data and the current literature a
working model for biomineralization-related ion transport in coccolithophores is
presented.
PMID- 21902795
TI - Francisella infection triggers activation of the AIM2 inflammasome in murine
dendritic cells.
AB - The intracellular bacterium Francisella tularensis is the causative agent of
tularemia, a potentially fatal disease. In macrophages, Francisella escapes the
initial phagosome and replicates in the cytosol, where it is detected by the
cytosolic DNA sensor AIM2 leading to activation of the AIM2 inflammasome.
However, during aerosol infection, Francisella is also taken up by dendritic
cells. In this study, we show that Francisella novicida escapes into the cytosol
of bone marrow-derived dendritic cells (BMDC) where it undergoes rapid
replication. We show that F. novicida activates the AIM2 inflammasome in BMDC,
causing release of large amounts of IL-1beta and rapid host cell death. The
Francisella Pathogenicity Island is required for bacterial escape and replication
and for inflammasome activation in dendritic cells. In addition, we show that
bacterial DNA is bound by AIM2, which leads to inflammasome assembly in infected
dendritic cells. IFN-beta is upregulated in BMDC following Francisella infection,
and the IFN-beta signalling pathway is partially required for inflammasome
activation in this cell type. Taken together, our results demonstrate that F.
novicida induces inflammasome activation in dendritic cells. The resulting
inflammatory cell death may be beneficial to remove the bacterial replicative
niche and protect the host.
PMID- 21902797
TI - Ultra-low gossypol cottonseed: generational stability of the seed-specific, RNAi
mediated phenotype and resumption of terpenoid profile following seed
germination.
AB - Cottonseed, containing 22.5% protein, remains an under-utilized and under-valued
resource because of the presence of toxic gossypol. RNAi-knockdown of delta
cadinene synthase gene(s) was used to engineer plants that produced ultra-low
gossypol cottonseed (ULGCS). In the original study, we observed that RNAi plants,
a month or older, maintain normal complement of gossypol and related terpenoids
in the roots, foliage, floral organs, and young bolls. However, the terpenoid
levels and profile of the RNAi lines during the early stages of germination,
under normal conditions and in response to pathogen exposure, had not been
examined. Results obtained in this study show that during the early stages of
seed germination/seedling growth, in both non-transgenic and RNAi lines, the
tissues derived directly from bulk of the seed kernel (cotyledon and hypocotyl)
synthesize little, if any new terpenoids. However, the growing root tissue and
the emerging true leaves of RNAi seedlings showed normal, wild-type terpenoid
levels. Biochemical and molecular analyses showed that pathogen-challenged parts
of RNAi seedlings are capable of launching a terpenoid-based defence response.
Nine different RNAi lines were monitored for five generations. The results show
that, unlike the unstable nature of antisense-mediated low seed-gossypol
phenotype, the RNAi-mediated ULGCS trait exhibited multi-generational stability.
PMID- 21902798
TI - The role of Delta6-desaturase acyl-carrier specificity in the efficient synthesis
of long-chain polyunsaturated fatty acids in transgenic plants.
AB - The role of acyl-CoA-dependent Delta6-desaturation in the heterologous synthesis
of omega-3 long-chain polyunsaturated fatty acids was systematically evaluated in
transgenic yeast and Arabidopsis thaliana. The acyl-CoA Delta6-desaturase from
the picoalga Ostreococcus tauri and orthologous activities from mouse (Mus
musculus) and salmon (Salmo salar) were shown to generate substantial levels of
Delta6-desaturated acyl-CoAs, in contrast to the phospholipid-dependent Delta6
desaturases from higher plants that failed to modify this metabolic pool.
Transgenic plants expressing the acyl-CoA Delta6-desaturases from either O. tauri
or salmon, in conjunction with the two additional activities required for the
synthesis of C20 polyunsaturated fatty acids, contained higher levels of
eicosapentaenoic acid compared with plants expressing the borage phospholipid
dependent Delta6-desaturase. The use of acyl-CoA-dependent Delta6-desaturases
almost completely abolished the accumulation of unwanted biosynthetic
intermediates such as gamma-linolenic acid in total seed lipids. Expression of
acyl-CoA Delta6-desaturases resulted in increased distribution of long-chain
polyunsaturated fatty acids in the polar lipids of transgenic plants, reflecting
the larger substrate pool available for acylation by enzymes of the Kennedy
pathway. Expression of the O. tauriDelta6-desaturase in transgenic Camelina
sativa plants also resulted in the accumulation of high levels of Delta6
desaturated fatty acids. This study provides evidence for the efficacy of using
acyl-CoA-dependent Delta6-desaturases in the efficient metabolic engineering of
transgenic plants with high value traits such as the synthesis of omega-3 LC
PUFAs.
PMID- 21902796
TI - Salmonella effectors: important players modulating host cell function during
infection.
AB - Salmonella enterica serovar Typhimurium (S. Typhimurium) is a Gram-negative
facultative food-borne pathogen that causes gastroenteritis in humans. This
bacterium has evolved a sophisticated machinery to alter host cell function
critical to its virulence capabilities. Central to S. Typhimurium pathogenesis
are two Type III secretion systems (T3SS) encoded within pathogenicity islands
SPI-1 and SPI-2 that are responsible for the secretion and translocation of a set
of bacterial proteins termed effectors into host cells with the intention of
altering host cell physiology for bacterial entry and survival. Thus, once
delivered by the T3SS, the secreted effectors play critical roles in manipulating
the host cell to allow for bacteria invasion, induction of inflammatory
responses, and the assembly of an intracellular protective niche created for
bacterial survival and replication. Emerging evidence indicates that these
effectors are modular proteins consisting of distinct functional domains/motifs
that are utilized by the bacteria to activate intracellular signalling pathways
modifying host cell function. Also, recently reported are the dual functionality
of secreted effectors and the concept of 'terminal reassortment'. Herein, we
highlight some of the nascent concepts regarding Salmonella effectors in the
context of infection.
PMID- 21902799
TI - In planta production of the highly potent resveratrol analogue pterostilbene via
stilbene synthase and O-methyltransferase co-expression.
AB - Resveratrol and related stilbenes are thought to play important roles in defence
responses in several plant species and have also generated considerable interest
as nutraceuticals owing to their diverse health-promoting properties.
Pterostilbene, a 3,5-dimethylether derivative of resveratrol, possesses
properties similar to its parent compound and, additionally, exhibits
significantly higher fungicidal activity in vitro and superior pharmacokinetic
properties in vivo. Recombinant enzyme studies carried out using a previously
characterized O-methyltransferase sequence from Sorghum bicolor (SbOMT3)
demonstrated its ability to catalyse the A ring-specific 3,5-bis-O-methylation of
resveratrol, yielding pterostilbene. A binary vector was constructed for the
constitutive co-expression of SbOMT3 with a stilbene synthase sequence from
peanut (AhSTS3) and used for the generation of stably transformed tobacco and
Arabidopsis plants, resulting in the accumulation of pterostilbene in both
species. A reduced floral pigmentation phenotype observed in multiple tobacco
transformants was further investigated by reversed-phase HPLC analysis, revealing
substantial decreases in both dihydroquercetin-derived flavonoids and
phenylpropanoid-conjugated polyamines in pterostilbene-producing SbOMT3/AhSTS3
events. These results demonstrate the potential utility of this strategy for the
generation of pterostilbene-producing crops and also underscore the need for the
development of additional approaches for minimizing concomitant reductions in key
phenylpropanoid-derived metabolites.
PMID- 21902801
TI - Sex differences in telomeres and lifespan.
AB - Males and females often age at different rates resulting in longevity 'gender
gaps', where one sex outlives the other. Why the sexes have different lifespans
is an age-old question, still fiercely debated today. One cellular process
related to lifespan, which is known to differ according to sex, is the rate at
which the protective telomere chromosome caps are lost. In humans, men have
shorter lifespans and greater telomere shortening. This has led to speculation in
the medical literature that sex-specific telomere shortening is one cause of sex
specific mortality. However, telomere shortening may be a cause for and/or a
consequence of the processes that govern survival, and to infer general
principles from single-taxon studies may be misleading. Here, we review recent
work on telomeres in a variety of animal taxa, including those with reverse
sexual lifespan dimorphism (i.e., where males live longer), to establish whether
sex-specific survival is generally associated with sex differences in telomere
dynamics. By doing this, we attempt to tease apart the potential underlying
causes for sex differences in telomere lengths in humans and highlight targets
for future research across all taxa.
PMID- 21902800
TI - Neuroimaging and obesity: current knowledge and future directions.
AB - Neuroimaging is becoming increasingly common in obesity research as investigators
try to understand the neurological underpinnings of appetite and body weight in
humans. Positron emission tomography (PET), functional magnetic resonance imaging
(fMRI) and magnetic resonance imaging (MRI) studies examining responses to food
intake and food cues, dopamine function and brain volume in lean vs. obese
individuals are now beginning to coalesce in identifying irregularities in a
range of regions implicated in reward (e.g. striatum, orbitofrontal cortex,
insula), emotion and memory (e.g. amygdala, hippocampus), homeostatic regulation
of intake (e.g. hypothalamus), sensory and motor processing (e.g. insula,
precentral gyrus), and cognitive control and attention (e.g. prefrontal cortex,
cingulate). Studies of weight change in children and adolescents, and those at
high genetic risk for obesity, promise to illuminate causal processes. Studies
examining specific eating behaviours (e.g. external eating, emotional eating,
dietary restraint) are teaching us about the distinct neural networks that drive
components of appetite, and contribute to the phenotype of body weight. Finally,
innovative investigations of appetite-related hormones, including studies of
abnormalities (e.g. leptin deficiency) and interventions (e.g. leptin
replacement, bariatric surgery), are shedding light on the interactive
relationship between gut and brain. The dynamic distributed vulnerability model
of eating behaviour in obesity that we propose has scientific and practical
implications.
PMID- 21902802
TI - Sir2 deletion prevents lifespan extension in 32 long-lived mutants.
AB - Activation of Sir2 orthologs is proposed to increase lifespan downstream of
dietary restriction. Here, we describe an examination of the effect of 32
different lifespan-extending mutations and four methods of DR on replicative
lifespan (RLS) in the short-lived sir2Delta yeast strain. In every case, deletion
of SIR2 prevented RLS extension; however, RLS extension was restored when both
SIR2 and FOB1 were deleted in several cases, demonstrating that SIR2 is not
directly required for RLS extension. These findings indicate that suppression of
the sir2Delta lifespan defect is a rare phenotype among longevity interventions
and suggest that sir2Delta cells senesce rapidly by a mechanism distinct from
that of wild-type cells. They also demonstrate that failure to observe lifespan
extension in a short-lived background, such as cells or animals lacking sirtuins,
should be interpreted with caution.
PMID- 21902803
TI - Stem cell depletion in Hutchinson-Gilford progeria syndrome.
AB - Hutchinson-Gilford progeria syndrome (HGPS or progeria) is a very rare genetic
disorder with clinical features suggestive of premature aging. Here, we show that
induced expression of the most common HGPS mutation (LMNA c.1824C>T, p.G608G)
results in a decreased epidermal population of adult stem cells and impaired
wound healing in mice. Isolation and growth of primary keratinocytes from these
mice demonstrated a reduced proliferative potential and ability to form colonies.
Downregulation of the epidermal stem cell maintenance protein p63 with
accompanying activation of DNA repair and premature senescence was the probable
cause of this loss of adult stem cells. Additionally, upregulation of multiple
genes in major inflammatory pathways indicated an activated inflammatory
response. This response has also been associated with normal aging, emphasizing
the importance of studying progeria to increase the understanding of the normal
aging process.
PMID- 21902804
TI - Petal Development in Lotus japonicus.
AB - Previous studies have demonstrated that petal shape and size in legume flowers
are determined by two separate mechanisms, dorsoventral (DV) and organ internal
(IN) asymmetric mechanisms, respectively. However, little is known about the
molecular mechanisms controlling petal development in legumes. To address this
question, we investigated petal development along the floral DV axis in Lotus
japonicus with respect to cell and developmental biology by comparing wild-type
legumes to mutants. Based on morphological markers, the entire course of petal
development, from initiation to maturity, was grouped to define 3 phases or 13
stages. In terms of epidermal micromorphology from adaxial surface, mature petals
were divided into several distinct domains, and characteristic epidermal cells of
each petal differentiated at stage 9, while epidermal cells of all domains were
observed until stage 12. TCP and MIXTA-like genes were found to be differentially
expressed in various domains of petals at stages 9 and 12. Our results suggest
that DV and IN mechanisms interplay at different stages of petal development, and
their interaction at the cellular and molecular level guides the elaboration of
domains within petals to achieve their ideal shape, and further suggest that TCP
genes determine petal identity along the DV axis by regulating MIXTA-like gene
expression.
PMID- 21902805
TI - The scandal of unpublished research.
PMID- 21902806
TI - ESPGHAN's 2008 recommendation for early introduction of complementary foods: how
good is the evidence?
AB - Since 2002, the World Health Organization and many governments and professional
associations have recommended exclusive breastfeeding for 6 months followed by
complementary feeding (giving solid foods alongside breast milk) as optimal
infant feeding practice. Several articles have been published challenging this
recommendation. Arguably, the most influential has been the 2008 commentary of
the European Society for Pediatric Gastroenterology, Hepatology and Nutrition
(ESPGHAN) Committee on Nutrition, which recommended that complementary foods
should be introduced to all infants between 17 and 26 weeks. We challenge the
validity of ESPGHAN's position, questioning the adequacy of the literature
search, the interpretation and evidence used to reach their conclusions and the
balance of an approach that focuses on disease prevention, with scant
consideration of growth and neuromotor development. We contend that ESPGHAN's
position should be understood as an expert opinion that may be influenced by
conflicts of interest. In our view, the ESPGHAN position paper is not evidence
based and does not justify a change of the current public health recommendation
for 6 months of exclusive breastfeeding. At an individual level, health
professionals should understand that developmental readiness for starting solid
foods has an age range like other developmental milestones; that fewer infants
will probably be ready to start complementary feeding before, rather than after,
6 months; and that their role is to equip parents with the confidence and skills
to recognise the signs of developmental readiness. This empowerment process for
infants and parents should be preferred over the prescriptive ESPGHAN approach.
PMID- 21902807
TI - Impact of early and concurrent stunting on cognition.
AB - Undernutrition is associated with poor cognitive development, late entry into
school, decreased years of schooling, reduced productivity and smaller adult
stature. We use longitudinal data from 1674 Peruvian children participating in
the Young Lives study to assess the relative impact of early stunting (stunted at
6-18 months of age) and concurrent stunting (stunted at 4.5-6 years of age) on
cognitive ability. Anthropometric data were longitudinally collected for children
at 6-18 months of age and 4.5-6 years of age at which time verbal and
quantitative ability were also assessed. We estimate that an increase in
concurrent height-for-age z-scores (HAZ) by one standard deviation was associated
with an increase in a child's score on the Peabody Picture Vocabulary Test (PPVT)
by 2.35 points [confidence interval (CI): 1.55-3.15] and a 0.16 point increase on
the cognitive development assessment (CDA) (CI: 0.05-0.27). Furthermore, we
report that the estimate for concurrent HAZ and PPVT is significantly higher than
the estimate for early stunting and PPVT. We found no significant difference
between early and concurrent estimates for HAZ and CDA. Children from older
mothers, children whose mothers had higher education levels, children living in
urban areas, children who attended pre-school, children with fewer siblings and
children from wealthier backgrounds scored higher on both assessments. Cognitive
skills of children entering school were associated with early stunting but the
strongest association was found with concurrent stunting suggesting that
interventions preventing linear growth faltering should not only focus on the
under 2s but include children up to 5 years of age.
PMID- 21902808
TI - Beverage consumption and anthropometric outcomes among schoolchildren in
Guatemala.
AB - This analysis explores the clustering of beverage patterns in a single day in
private vs. public school children in urban Guatemala. This study is based on
measurements taken from 356 third- and fourth-grade pupils from the highland city
of Quetzaltenango. Height, weight and body mass index were assessed, and one
day's intake of all foods and beverages using a pictorial workbook and dietician
assisted recall. Mean differences in beverage consumption were compared for
private vs. public school children and by anthropometric outcomes (stunting,
overweight and obesity). Plain water was consumed by 30.9% of the children on the
day intakes were measured, with higher proportions of water drinkers among
private school children. Children having reported water intake on that day
consumed 154 fewer kcal (-7.7%) compared with the energy intake of children not
having reported water intake (P = 0.02). Significantly more children of high
socio-economic status (SES) consumed dairy, fruit juice, commercial fruit juice,
fruit drink and soda whereas low SES children consumed thin gruels and infusions.
A key result from this study is the finding of a lower energy intake shown by
children reporting water intake.
PMID- 21902809
TI - Protein transamidation by transglutaminase 2 in cells: a disputed Ca2+-dependent
action of a multifunctional protein.
AB - Transglutaminase 2 (TG2) is the first described cellular member of an enzyme
family catalyzing Ca(2+)-dependent transamidation of proteins. During the last
two decades its additional enzymatic (GTP binding and hydrolysis, protein
disulfide isomerase, protein kinase) and non-enzymatic (multiple interactions in
protein scaffolds) activities, which do not require Ca(2+) , have been
recognized. It became a prevailing view that TG2 is silent as a transamidase,
except in extreme stress conditions, in the intracellular environment
characterized by low Ca(2+) and high GTP concentrations. To counter this
presumption a critical review of the experimental evidence supporting the role of
this enzymatic activity in cellular processes is provided. It includes the
structural basis of TG2 regulation through non-canonical Ca(2+) binding sites,
mechanisms making it sensitive to low Ca(2+) concentrations, techniques developed
for the detection of protein transamidation in cells and examples of basic
cellular phenomena as well as pathological conditions influenced by this
irreversible post-translational protein modification.
PMID- 21902810
TI - Extracellular TG2: emerging functions and regulation.
AB - Tissue transglutaminase (TG2) is a ubiquitously expressed member of the
transglutaminase family of Ca(2+)-dependent crosslinking enzymes. Unlike other
family members, TG2 is a multifunctional protein, which has several other well
documented enzymatic and non-enzymatic functions. A significant body of evidence
accumulated over the last decade reveals multiple and complex activities of this
protein on the cell surface and in the extracellular matrix (ECM), including its
role in the regulation of cell-ECM interactions and outside-in signaling by
several types of transmembrane receptors. Moreover, recent findings indicate a
dynamic regulation of the levels and functions of extracellular TG2 by several
complementary mechanisms. This review summarizes and assesses recent research
into the emerging functions and regulation of extracellular TG2.
PMID- 21902811
TI - Expression and inducibility of UDP-glucuronosyltransferase 1As in MCF-7 human
breast carcinoma cells.
AB - UDP-glucuronosyltransferases (UGTs) are conjugation enzymes, which are regulated
in a tissue-specific manner by endogenous and environmental factors. In this
study, we focused on UGT1A isoforms broadly expressed in hepatic and extrahepatic
tissues and examined the expression and inducibility of UGT1As (UGT1A1 and UGT1A3
1A10) in MCF-7 cells (human breast carcinoma cell line). Reverse transcription
polymerase chain reaction (RT-PCR) analysis demonstrated that UGT1A1, UGT1A6 and
UGT1A9 mRNAs as well as the mRNAs of transcriptional regulators (AhR, aryl
hydrocarbon receptor; Arnt, AhR nuclear translocator; ERalpha, oestrogen receptor
alpha; ERbeta, oestrogen receptor beta; and GR, glucocorticoid receptor) are
expressed in MCF-7 cells. UGT1A6 mRNA level in MCF-7 cells was significantly
increased to 1.9 times by beta-naphthoflavone (BNF), whereas UGT1A1 and UGT1A9
mRNA levels were not affected by BNF. There were no significant changes in the
mRNAs of UGT1A1, UGT1A6 and UGT1A9 in MCF-7 cells by treatment with phenobarbital
(PB) and dexamethasone (DEX) in MCF-7 cells. The kinetics of 7-ethyl-10
hydroxycamptothecin (SN-38), 5-hydroxytryptamine (5-HT) and 4-methylumbelliferone
(4-MU) glucuronidation by microsomes from control and BNF-treated MCF-7 cells
fitted with the Michaelis-Menten model, and the V(max) and CL(int) values were
significantly increased to 7.5-8.5 times and 5.9-10.4 times by BNF treatment,
respectively. These findings suggest that BNF induces UGT1A6 in MCF-7 cells and
that the increase may be mediated by AhR but not pregnane X receptor
(PXR)/constitutive androstane receptor (CAR). The information gained in this
study should help predict and assess the toxicity of environmental chemicals.
PMID- 21902812
TI - Attenuation of liver pro-inflammatory responses by Zingiber officinale via
inhibition of NF-kappa B activation in high-fat diet-fed rats.
AB - The aim of this study was to investigate whether treatment with a ginger
(Zingiber officinale) extract of high-fat diet (HFD)-fed rats suppresses Nuclear
factor-kappa B (NF-kappaB)-driven hepatic inflammation and to subsequently
explore the molecular mechanisms in vitro. Adult male Sprague-Dawley rats were
treated with an ethanolic extract of Zingiber officinale (400 mg/kg) along with a
HFD for 6 weeks. Hepatic cytokine mRNA levels, cytokine protein levels and NF
kappaB activation were measured by real-time PCR, Western blot and an NF-kappaB
nuclear translocation assay, respectively. In vitro, cell culture studies were
carried out in human hepatocyte (HuH-7) cells by treatment with Zingiber
officinale (100 MUg/mL) for 24 hr prior to interleukin-1beta (IL-1beta, 8 ng/mL)
induced inflammation. We showed that Zingiber officinale treatment decreased
cytokine gene TNFalpha and IL-6 expression in HFD-fed rats, which was associated
with suppression of NF-kappaB activation. In vitro, Zingiber officinale treatment
decreased NF-kappaB-target inflammatory gene expression of IL-6, IL-8 and serum
amyloid A1 (SAA1), while it suppressed NF-kappaB activity, IkappaBalpha
degradation and IkappaB kinase (IKK) activity. In conclusion, Zingiber officinale
suppressed markers of hepatic inflammation in HFD-fed rats, as demonstrated by
decreased hepatic cytokine gene expression and decreased NF-kappaB activation.
The study demonstrates that the anti-inflammatory effect of Zingiber officinale
occurs at least in part through the NF-kappaB signalling pathway.
PMID- 21902813
TI - Gender, but not CYP7A1 or SLCO1B1 polymorphism, affects the fasting plasma
concentrations of bile acids in human beings.
AB - Cholesterol 7alpha-hydroxylase (CYP7A1) is the rate-limiting enzyme of bile acid
production in human beings, and organic anion-transporting polypeptide 1B1
(OATP1B1) may influence bile acid hepatic uptake and cholesterol and bile acid
synthesis rate. Our purpose was to investigate the effects of gender and CYP7A1
and SLCO1B1 polymorphisms on the fasting plasma concentrations of bile acids,
bile acid synthesis marker and total cholesterol in a Finnish population. Fasting
plasma concentrations of 16 endogenous bile acids, their synthesis marker (7alpha
hydroxy-4-cholesten-3-one) and total cholesterol were measured in 243 samples
from 143 healthy volunteers. The volunteers were genotyped for 6 haplotype
tagging single-nucleotide polymorphisms (SNPs) of CYP7A1 and two functionally
relevant SNPs in SLCO1B1. The mean plasma concentrations of chenodeoxycholic
acid, glycochenodeoxycholic acid, ursodeoxycholic acid and glycoursodeoxycholic
acid were 61-111% higher in men than in women (P <= 0.001). Accordingly, the mean
concentration of total bile acids was 51% higher in men than in women (P =
0.001). The CYP7A1 rs8192879 and rs1023652 SNPs were associated with deoxycholic
acid and hyodeoxycholic acid concentrations, respectively, but the associations
were not significant after correction for multiple testing. None of the six
CYP7A1 SNPs was associated with the plasma concentrations of cholesterol or
7alpha-hydroxy-4-cholesten-3-one. SLCO1B1 genotype was associated with total
plasma cholesterol concentration only, but the association was not significant
after correction for multiple testing. In general, the gender contributes
substantially more to variation in fasting plasma bile acid concentrations than
CYP7A1 or SLCO1B1 polymorphism do. Common genetic variability in CYP7A1 is
unlikely to play a significant role in cholesterol metabolism and bile acid
homeostasis under normal physiological conditions.
PMID- 21902814
TI - Physiological remodelling of the maternal uterine circulation during pregnancy.
AB - Sufficient uteroplacental blood flow is essential for normal pregnancy outcome
and is accomplished by the coordinated growth and remodelling of the entire
maternal uterine vasculature. The main focus of this MiniReview is to provide
information on upstream (pre-placental) maternal uterine vascular remodelling
that facilitates gestational increases in uterine blood flow. Consideration of
the three-dimensional pattern of remodelling (circumferential enlargement versus
axial elongation), changes in vessel biomechanical properties, and underlying
mechanisms [shear stress, nitric oxide, vascular endothelial growth factor
(VEGF)/placental growth factor (PlGF), the renin-angiotensin system] and pathways
(local versus systemic; venoarterial exchange) are provided using the rat as the
principal animal model, although findings from other species are incorporated
wherever possible to provide a comparative perspective. The process of maternal
gestational uterine vascular remodelling involves a number of cellular processes
and mechanisms, including trophoblast invasion, hyperplasia and hypertrophy, and
changes in extracellular matrix composition. In addition, changes in cellular
function, e.g. the secretory and contractile properties of smooth muscle and an
up-regulation of endothelial vasodilatory influences may contribute to
uteroplacental blood flow increases through changes in tone as well as in
structure. Future studies aimed at better understanding the inter-relationship
between changes in vessel structure (remodelling) and function (reactivity) would
likely generate new mechanistic insights into the fascinating process of maternal
gestational uterine vascular adaptation and provide a more physiological
perspective of the underlying cellular processes involved in its regulation.
PMID- 21902815
TI - Smooth muscle biomechanics and plasticity: relevance for vascular calibre and
remodelling.
AB - Blood vessel structure and calibre are not static. Rather, vessels remodel
continuously in response to their biomechanical environment. Vascular calibre is
dictated by the amount, composition and organization of the elastic extracellular
matrix. In addition, the amount and organization of contractile smooth muscle
cell (SMC) also need to be regulated. The SMCs are organized such that maximum
contractile force generally occurs at diameters slightly below the diameter at
full dilation and physiological pressure. Thus, in a remodelling vessel, not only
the matrix but also the SMCs need to undergo structural adaptation. Surprisingly
little is known on the adaptation of SMC contractile properties in the
vasculature. The purpose of this review is to explore this SMC plasticity in the
context of vascular remodelling. While not much work on this has been carried out
on blood vessels, SMC plasticity is more extensively studied on other hollow
structures such as airway and bladder. We therefore include studies on bladder
and airway SMCs because of their possible relevance for vascular SMC behaviour.
Here, plasticity is thought to form an adaptation allowing maintained function
despite large volume changes. In blood vessels, the general match of active and
passive diameter-tension relations suggests that SMC plasticity is part of normal
vascular physiological adaptation. Vascular SMCs display similar processes and
forms of adaptation as seen in nonvascular SMCs. This may become particularly
relevant under strong vasoconstriction, when inward cytoskeletal adaptation
possibly prevents immediate full dilation. This may contribute to structural
inward remodelling as seen in hypertension and flow reduction.
PMID- 21902816
TI - Low frequency of Toll-like receptors 2 and 4 gene polymorphisms in Mexican
patients and their association with type 2 diabetes.
AB - Type 2 diabetes (T2D) is characterized by a chronic low-grade inflammatory state.
SNP in Toll-like receptor (TLR) genes has been associated with impaired
inflammatory response. We genotyped the TLR4/D299G, TLR4/T399I and TLR2/R753Q
polymorphisms. Low frequency was found with no association with T2D, nevertheless
the TLR2 SNP was associated with lower levels in HDL-cholesterol values.
PMID- 21902817
TI - Determination of H5N1 vaccine potency using reference antisera from heterologous
strains of influenza.
AB - BACKGROUND: Standardization of inactivated influenza vaccines by hemagglutinin
(HA) content is performed by the single radial immunodiffusion (SRID) method.
Regulatory agencies prepare, calibrate, and distribute SRID reagent standards
necessary for testing of seasonal influenza vaccines, and a similar process is
used to produce potency reagents for candidate pandemic influenza vaccines that
are manufactured for emergency stockpiles. OBJECTIVES: Because of the concerns in
generating a timely strain-specific potency antiserum for an emerging pandemic
virus, we evaluated the feasibility of using heterologous potency reference
antiserum as a replacement for a strain-specific (homologous) antiserum in the
SRID potency assay for stockpiled H5N1 vaccines. RESULTS: The results indicate
that a heterologous H5N1 antiserum can be used to determine the accurate potency
of inactivated H5N1 influenza vaccines. Additionally, when H5N1 vaccine was
subjected to an accelerated stability protocol, both homologous and heterologous
antisera provided similar measurements of vaccine potency decline. Limitations to
the heterologous antiserum approach to potency determination were shown by the
inability of antiserum to recent seasonal H1N1 viruses to work in an SRID assay
with the 2009 pandemic H1N1 A/California/07/2009 antigen. CONCLUSIONS: The data
demonstrate the feasibility of using heterologous antiserum for potency
determination of at least some candidate vaccines in case of a shortage or delay
of homologous antiserum. Further, the results suggest the prudence of stockpiling
a broad library of potency reagents including many strains of influenza viruses
with pandemic potential to provide an added measure of assurance that reagent
production would not be a bottleneck to vaccine production during a pandemic.
PMID- 21902818
TI - The increased prevalence of myopia in Finland.
AB - PURPOSE: To study change in the prevalence of myopia in Finland during the 20th
century. METHODS: A review of the literature on the prevalence of myopia in
Finland. RESULTS: During the 20th century, the prevalence of myopia among 7- to 8
year-old schoolchildren did not increase in Finland and varied between 0.5% and
1.9%. Among 14- to 15-year-old school children, myopia doubled to about 21%.
Among adults born during the first three decades of the 20th century, the
prevalence of myopia was <10%, whereas among those born during the second half of
the 20th century, the prevalence rose to 21-30%. The change in mean refraction
was towards myopia. CONCLUSIONS: During the course of the 20th century, myopia
among teenagers and adults in Finland significantly increased and the mean change
in refraction was towards myopia. Increased education is proposed as the main
reason behind these changes.
PMID- 21902819
TI - Atypical hemolytic uremic syndrome.
AB - Hemolytic uremic syndrome (HUS) is defined by the triad of mechanical hemolytic
anemia, thrombocytopenia and renal impairment. Atypical HUS (aHUS) defines non
Shiga-toxin-HUS and even if some authors include secondary aHUS due to
Streptococcus pneumoniae or other causes, aHUS designates a primary disease due
to a disorder in complement alternative pathway regulation. Atypical HUS
represents 5 -10% of HUS in children, but the majority of HUS in adults. The
incidence of complement-aHUS is not known precisely. However, more than 1000 aHUS
patients investigated for complement abnormalities have been reported. Onset is
from the neonatal period to the adult age. Most patients present with hemolytic
anemia, thrombocytopenia and renal failure and 20% have extra renal
manifestations. Two to 10% die and one third progress to end-stage renal failure
at first episode. Half of patients have relapses. Mutations in the genes encoding
complement regulatory proteins factor H, membrane cofactor protein (MCP), factor
I or thrombomodulin have been demonstrated in 20-30%, 5-15%, 4-10% and 3-5% of
patients respectively, and mutations in the genes of C3 convertase proteins, C3
and factor B, in 2-10% and 1-4%. In addition, 6-10% of patients have anti-factor
H antibodies. Diagnosis of aHUS relies on 1) No associated disease 2) No criteria
for Shigatoxin-HUS (stool culture and PCR for Shiga-toxins; serology for anti
lipopolysaccharides antibodies) 3) No criteria for thrombotic thrombocytopenic
purpura (serum ADAMTS 13 activity > 10%). Investigation of the complement system
is required (C3, C4, factor H and factor I plasma concentration, MCP expression
on leukocytes and anti-factor H antibodies; genetic screening to identify risk
factors). The disease is familial in approximately 20% of pedigrees, with an
autosomal recessive or dominant mode of transmission. As penetrance of the
disease is 50%, genetic counseling is difficult. Plasmatherapy has been first
line treatment until presently, without unquestionable demonstration of
efficiency. There is a high risk of post-transplant recurrence, except in MCP
HUS. Case reports and two phase II trials show an impressive efficacy of the
complement C5 blocker eculizumab, suggesting it will be the next standard of
care. Except for patients treated by intensive plasmatherapy or eculizumab, the
worst prognosis is in factor H-HUS, as mortality can reach 20% and 50% of
survivors do not recover renal function. Half of factor I-HUS progress to end
stage renal failure. Conversely, most patients with MCP-HUS have preserved renal
function. Anti-factor H antibodies-HUS has favourable outcome if treated early.
PMID- 21902820
TI - Developing risk prediction models for type 2 diabetes: a systematic review of
methodology and reporting.
AB - BACKGROUND: The World Health Organisation estimates that by 2030 there will be
approximately 350 million people with type 2 diabetes. Associated with renal
complications, heart disease, stroke and peripheral vascular disease, early
identification of patients with undiagnosed type 2 diabetes or those at an
increased risk of developing type 2 diabetes is an important challenge. We sought
to systematically review and critically assess the conduct and reporting of
methods used to develop risk prediction models for predicting the risk of having
undiagnosed (prevalent) or future risk of developing (incident) type 2 diabetes
in adults. METHODS: We conducted a systematic search of PubMed and EMBASE
databases to identify studies published before May 2011 that describe the
development of models combining two or more variables to predict the risk of
prevalent or incident type 2 diabetes. We extracted key information that
describes aspects of developing a prediction model including study design, sample
size and number of events, outcome definition, risk predictor selection and
coding, missing data, model-building strategies and aspects of performance.
RESULTS: Thirty-nine studies comprising 43 risk prediction models were included.
Seventeen studies (44%) reported the development of models to predict incident
type 2 diabetes, whilst 15 studies (38%) described the derivation of models to
predict prevalent type 2 diabetes. In nine studies (23%), the number of events
per variable was less than ten, whilst in fourteen studies there was insufficient
information reported for this measure to be calculated. The number of candidate
risk predictors ranged from four to sixty-four, and in seven studies it was
unclear how many risk predictors were considered. A method, not recommended to
select risk predictors for inclusion in the multivariate model, using statistical
significance from univariate screening was carried out in eight studies (21%),
whilst the selection procedure was unclear in ten studies (26%). Twenty-one risk
prediction models (49%) were developed by categorising all continuous risk
predictors. The treatment and handling of missing data were not reported in 16
studies (41%). CONCLUSIONS: We found widespread use of poor methods that could
jeopardise model development, including univariate pre-screening of variables,
categorisation of continuous risk predictors and poor handling of missing data.
The use of poor methods affects the reliability of the prediction model and
ultimately compromises the accuracy of the probability estimates of having
undiagnosed type 2 diabetes or the predicted risk of developing type 2 diabetes.
In addition, many studies were characterised by a generally poor level of
reporting, with many key details to objectively judge the usefulness of the
models often omitted.
PMID- 21902821
TI - Distribution of sialic acid receptors and influenza A virus of avian and swine
origin in experimentally infected pigs.
AB - BACKGROUND: Pigs are considered susceptible to influenza A virus infections from
different host origins because earlier studies have shown that they have
receptors for both avian (sialic acid-alpha-2,3-terminal saccharides (SA-alpha
2,3)) and swine/human (SA-alpha-2,6) influenza viruses in the upper respiratory
tract. Furthermore, experimental and natural infections in pigs have been
reported with influenza A virus from avian and human sources. METHODS: This study
investigated the receptor distribution in the entire respiratory tract of pigs
using specific lectins Maackia Amurensis (MAA) I, and II, and Sambucus Nigra
(SNA). Furthermore, the predilection sites of swine influenza virus (SIV)
subtypes H1N1 and H1N2 as well as avian influenza virus (AIV) subtype H4N6 were
investigated in the respiratory tract of experimentally infected pigs using
immunohistochemical methods. RESULTS: SIV antigen was widely distributed in
bronchi, but was also present in epithelial cells of the nose, trachea,
bronchioles, and alveolar type I and II epithelial cells in severely affected
animals. AIV was found in the lower respiratory tract, especially in alveolar
type II epithelial cells and occasionally in bronchiolar epithelial cells. SA
alpha-2,6 was the predominant receptor in all areas of the respiratory tract with
an average of 80-100% lining at the epithelial cells. On the contrary, the SA
alpha-2,3 was not present (0%) at epithelial cells of nose, trachea, and most
bronchi, but was found in small amounts in bronchioles, and in alveoli reaching
an average of 20-40% at the epithelial cells. Interestingly, the receptor
expression of both SA-alpha-2,3 and 2,6 was markedly diminished in influenza
infected areas compared to non-infected areas. CONCLUSIONS: A difference in
predilection sites between SIV and AIV virus was found, and this difference was
in accordance with the distribution of the SA-alpha-2,6 and SA-alpha-2,3
receptor, respectively. The results indicated that the distribution of influenza
A virus receptors in pigs are similar to that of humans and therefore challenge
the theory that the pig acts as a mixing vessel between human and avian influenza
viruses. Furthermore, it was shown that AIV prefers to infect alveolar type II
epithelial cells in pigs. This corresponds with findings in humans emphasising
the resemblance between the two species.
PMID- 21902822
TI - Hepatitis C virus genotypes in Pakistan: a systemic review.
AB - BACKGROUND AND AIM: Phylogenetic analysis has led to the classification of
hepatitis C virus (HCV) into 1-6 major genotypes. HCV genotypes have different
biological properties, clinical outcome and response to antiviral treatment and
provide important clues for studying the epidemiology, transmission and
pathogenesis. This article deepens the current molecular information about the
geographical distribution of HCV genotypes and subgenotypes in population of four
provinces of Pakistan. 34 published papers (1996-2011) related to prevalence of
HCV genotypes/serotypes and subgenotypes in Pakistan were searched. RESULT: HCV
genotype/s distribution from all 34 studies was observed in 28,400 HCV infected
individuals in the following pattern: 1,999 (7.03%) cases of genotype 1; 1,085
(3.81%) cases of genotype 2; 22,429 (78.96%) cases of genotype 3; 453 (1.59%)
cases of genotype 4; 29 (0.10%) cases of genotype 5; 37 (0.13%) cases of genotype
6; 1,429 (5.03%) cases of mixed genotypes, and 939 (3.30%) cases of untypeable
genotypes. Overall, genotype 3a was the predominant genotype with a rate of
55.10%, followed by genotype 1a, 3b and mixed genotype with a rate of 10.25%,
8.20%, and 5.08%, respectively; and genotypes 4, 5 and 6 were rare. Genotype 3
occurred predominately in all the provinces of Pakistan. Second more frequently
genotype was genotype 1 in Punjab province and untypeable genotypes in Sindh,
Khyber Pakhtunkhwa and Balochistan provinces.
PMID- 21902823
TI - Managing patients with dengue fever during an epidemic: the importance of a
hydration tent and of a multidisciplinary approach.
AB - BACKGROUND: Dengue fever is one of the most common tropical diseases worldwide.
Early detection of the disease, followed by intravenous fluid therapy in patients
with dengue hemorrhagic fever (DHF) or with warning signs of dengue has a major
impact on the prognosis. The purpose of this study is to describe the care
provided in a hydration tent, including early detection, treatment, and serial
follow-up of patients with dengue fever. FINDINGS: The analysis included all
patients treated in the hydration tent from April 8 to May 9, 2008. The tent was
set up inside the premises of the 2nd Military Firemen Group, located in Meier, a
neighborhood in Rio de Janeiro, Brazil. The case form data were stored in a
computerized database for subsequent assessment. Patients were referred to the
tent from primary care units and from secondary city and state hospitals. The
routine procedure consisted of an initial screening including vital signs
(temperature, blood pressure, heart rate, and respiratory rate), tourniquet test
and blood sampling for complete blood count. Over a 31-day period, 3,393 case
recordings were seen at the hydration tent. The mean was 109 patients per day. A
total of 2,102 initial visits and 1,291 return visits were conducted. Of the
patients who returned to the hydration tent for reevaluation, 850 returned once,
230 returned twice, 114 returned three times, and 97 returned four times or more.
Overall, 93 (5.3%) patients with DHF seen at the tent were transferred to a
tertiary hospital. There were no deaths among these patients. DISCUSSION: As the
epidemics were already widespread and there were no technical conditions for
routine serology, all cases of suspected dengue fever were treated as such.
Implementing hydration tents decrease the number of dengue fever
hospitalizations.
PMID- 21902824
TI - Implications of controlled short-wavelength light exposure for sleep in older
adults.
AB - BACKGROUND: Environmental and physiological conditions make older adults more
likely to lose synchronization to their local time and experience sleep
disturbances. A regular, 24-hour light/dark cycle promotes synchronization. It is
now well established that the circadian system is maximally sensitive to short
wavelength (blue) light. The purpose of the present study was to measure dose
effectiveness (amounts and durations) of short-wavelength (blue) light for
stimulating the circadian systems of older adults. We investigated the impact of
six corneal irradiances (0.7 to 72 MUW/cm2) of 470-nm light on nocturnal
melatonin production. Nine participants, each over 50 years of age completed a
within-subjects study. Each week, participants were exposed to one of the six
irradiances of 470-nm light for 90 minutes. FINDINGS: A two-factor (6 corneal
irradiances * 10 exposure durations), within-subjects analysis of variance
(ANOVA) was conducted using the melatonin suppression levels. The ANOVA revealed
a significant main effect of corneal irradiance (F5, 30 = 9.131, p < 0.0001), a
significant main effect of exposure duration (F9, 54 = 5.731, p < 0.0001), and a
significant interaction between these two variables (F45,270 = 1.927, p < 0.001).
Post hoc t-tests revealed that corneal irradiances as low as 2 MUW/cm2 reliably
suppressed melatonin after 90-minute exposure whereas 0.7 MUW/cm2 did not.
CONCLUSIONS: Sleep disorders are common and a serious problem for millions of
older adults. The present results showed that comfortable, precise and effective
doses of light can be prescribed to older adults to reliably stimulate the
circadian system that presumably would promote entrainment and, thus, regular
sleep. Field studies on the impact of short-wavelength-light doses on sleep
efficiency in older adults should be performed.
PMID- 21902825
TI - SNIT: SNP identification for strain typing.
AB - With ever-increasing numbers of microbial genomes being sequenced, efficient
tools are needed to perform strain-level identification of any newly sequenced
genome. Here, we present the SNP identification for strain typing (SNIT)
pipeline, a fast and accurate software system that compares a newly sequenced
bacterial genome with other genomes of the same species to identify single
nucleotide polymorphisms (SNPs) and small insertions/deletions (indels). Based on
this information, the pipeline analyzes the polymorphic loci present in all input
genomes to identify the genome that has the fewest differences with the newly
sequenced genome. Similarly, for each of the other genomes, SNIT identifies the
input genome with the fewest differences. Results from five bacterial species
show that the SNIT pipeline identifies the correct closest neighbor with 75% to
100% accuracy. The SNIT pipeline is available for download at
http://www.bhsai.org/snit.html.
PMID- 21902826
TI - Isolated adult hypoganglionosis presenting as sigmoid volvulus: a case report.
AB - INTRODUCTION: Isolated hypoganglionosis is a rare cause of intestinal innervation
defects. It is characterized by sparse and small myenteric ganglia, absent or low
acetylcholinesterase activity in the lamina propria and hypertrophy of the
muscularis mucosae, principally in the region of the colon and rectum. It
accounts for 5% of all intestinal neuronal malformations. To the best of our
knowledge, only 92 cases of isolated hypoganglionosis were reported from 1978 to
2009. Isolated hypoganglionosis usually manifests as enterocolitis or poor bowel
function, and is diagnosed in infancy or childhood. We report the first case of
isolated hypoganglionosis presenting with sigmoid volvulus in a 34-year-old
woman. CASE PRESENTATION: A 34-year-old Asian woman had progressively increasing
abdominal pain and had not passed stool or flatus for two days. A physical
examination revealed a distended abdomen with sluggish gut sounds. A computerized
tomography (CT) scan demonstrated gross dilatation of the sigmoid colon (maximal
diameter 14.3 cm) suggestive of sigmoid volvulus. During emergency laparotomy,
sigmoidectomy with a side-to-side colorectal anastomosis was performed.
Histopathology of the resected specimen showed occasional ganglion cells and
hypertrophied nerve bundles in the muscle layers, suggesting hypoganglionosis.
Colonoscopy was performed, and multiple full-thickness biopsies were taken that
showed hypoganglionosis of the entire large bowel. Our patient underwent total
colectomy with an ileorectal anastomosis. Subsequently our patient reported a
dramatic improvement in her bowel function. CONCLUSIONS: Isolated
hypoganglionosis is a rare cause of intestinal dysganglionosis and cannot be
differentiated from Hirschsprung's disease based on clinical presentation. This
case report describes an atypical presentation of the disease. A definitive
diagnosis requires histopathological analysis of full-thickness intestinal
biopsies. Treatment should be tailored to the extent of hypoganglionosis.
PMID- 21902827
TI - Challenges in clinical and laboratory diagnosis of androgen insensitivity
syndrome: a case report.
AB - INTRODUCTION: Androgen is a generic term usually applied to describe a group of
sex steroid hormones. Androgens are responsible for male sex differentiation
during embryogenesis at the sixth or seventh week of gestation, triggering the
development of the testes and penis in male fetuses, and are directed by the
testicular determining factor: the gene SRY (sex determining region on Y
chromosome) located on the short arm of chromosome Y. The differentiation of male
external genitalia (penis, scrotum and penile urethra) occurs between the 9th and
13th weeks of pregnancy and requires adequate concentration of testosterone and
the conversion of this to another more potent androgen, dihydrotestosterone,
through the action of 5alpha-reductase in target tissues. CASE PRESENTATION: This
report describes the case of a teenage girl presenting with a male karyotype, and
aims to determine the extension of the mutation that affected the AR gene. A
Caucasian girl aged 15 was referred to our laboratory for genetic testing due to
primary amenorrhea. Physical examination, karyotype testing and molecular
analysis of the androgen receptor were critical in making the correct diagnosis
of complete androgen insensitivity syndrome. CONCLUSIONS: Sex determination and
differentiation depend on a cascade of events that begins with the establishment
of chromosomal sex at fertilization and ends with sexual maturation at puberty,
subsequently leading to fertility. Mutations affecting the AR gene may cause
either complete or partial androgen insensitivity syndrome. The case reported
here is consistent with complete androgen insensitivity syndrome, misdiagnosed at
birth, and consequently our patient was raised both socially and educationally as
a female. It is critical that health care providers understand the importance of
properly diagnosing a newborn manifesting ambiguous genitalia. Furthermore, a
child with a pseudohermaphrodite phenotype should always undergo adequate
endocrine and genetic testing to reach a conclusive diagnosis before gender is
assigned and surgical interventions are carried out. Our results show that
extreme care must be taken in selecting the genetic tools that are utilized for
the diagnosis for androgen insensitivity syndrome.
PMID- 21902828
TI - Dysphagia as a manifestation of esophageal tuberculosis: a report of two cases.
AB - INTRODUCTION: Esophageal involvement by Mycobacterium tuberculosis is rare and
the diagnosis is frequently made by means of an esophageal biopsy during the
evaluation of dysphagia. There are few cases reported in the literature. CASE
PRESENTATION: We present two cases of esophageal tuberculosis in 85- and 65-year
old male Caucasian patients with initial complaints of dysphagia and epigastric
pain. Upper gastrointestinal endoscopy resulted in the diagnosis of esophageal
tuberculosis following the biopsy of lesions of irregular mucosa in one case and
a sessile polyp in the other. Pulmonary tuberculosis was detected in one patient.
In one patient esophageal stricture developed as a complication. Antituberculous
therapy was curative in both patients. CONCLUSION: Although rare, esophageal
tuberculosis has to be kept in mind in the differential diagnosis of dysphagia.
Pulmonary involvement has important implications for contact screening.
PMID- 21902829
TI - Engineering strategy of yeast metabolism for higher alcohol production.
AB - BACKGROUND: While Saccharomyces cerevisiae is a promising host for cost-effective
biorefinary processes due to its tolerance to various stresses during
fermentation, the metabolically engineered S. cerevisiae strains exhibited rather
limited production of higher alcohols than that of Escherichia coli. Since the
structure of the central metabolism of S. cerevisiae is distinct from that of E.
coli, there might be a problem in the structure of the central metabolism of S.
cerevisiae. In this study, the potential production of higher alcohols by S.
cerevisiae is compared to that of E. coli by employing metabolic simulation
techniques. Based on the simulation results, novel metabolic engineering
strategies for improving higher alcohol production by S. cerevisiae were
investigated by in silico modifications of the metabolic models of S. cerevisiae.
RESULTS: The metabolic simulations confirmed that the high production of butanols
and propanols by the metabolically engineered E. coli strains is derived from the
flexible behavior of their central metabolism. Reducing this flexibility by gene
deletion is an effective strategy to restrict the metabolic states for producing
target alcohols. In contrast, the lower yield using S. cerevisiae originates from
the structurally limited flexibility of its central metabolism in which gene
deletions severely reduced cell growth. CONCLUSIONS: The metabolic simulation
demonstrated that the poor productivity of S. cerevisiae was improved by the
introduction of E. coli genes to compensate the structural difference. This
suggested that gene supplementation is a promising strategy for the metabolic
engineering of S. cerevisiae to produce higher alcohols which should be the next
challenge for the synthetic bioengineering of S. cerevisiae for the efficient
production of higher alcohols.
PMID- 21902830
TI - A male case of an undifferentiated carcinoma with osteoclast-like giant cells
originating in an indeterminate mucin-producing cystic neoplasm of the pancreas.
A case report and review of the literature.
AB - We report a rare male case of an undifferentiated carcinoma with osteoclast-like
giant cells originating in an indeterminate mucin-producing cystic neoplasm of
the pancreas. A 59-year-old Japanese man with diabetes visited our hospital,
complaining of fullness in the upper abdomen. A laboratory analysis revealed
anemia (Hemoglobin; 9.7 g/dl) and elevated C-reactive protein (3.01 mg/dl).
Carbohydrate antigen 19-9 was 274 U/ml and Carcinoembryonic antigen was 29.6
ng/ml. A computed tomography scan of the abdomen revealed a 14-cm cystic mass in
the upper left quadrant of the abdomen that appeared to originate from the
pancreatic tail. The patient underwent distal pancreatectomy/splenectomy/total
gastrectomy/cholecystectomy. The mass consisted of a multilocular cystic lesion.
Microscopically, the cyst was lined by cuboidal or columnar epithelium, including
mucinous epithelium. Sarcomatous mononuclear cells and multinucleated osteoclast
like giant cells were found in the stroma. Ovarian-type stroma was not seen. We
made a diagnosis of osteoclast-like giant cell tumor originating in an
indeterminate mucin-producing cystic neoplasm of the pancreas. All surgical
margins were negative, however, two peripancreatic lymph nodes were positive. The
patient recovered uneventfully. Two months after the operation, multiple
metastases occurred in the liver. He died 4 months after the operation.
PMID- 21902832
TI - Why do GPs hesitate to refer diabetes patients to a self-management education
program: a qualitative study.
AB - BACKGROUND: Self-management support is seen as a cornerstone of good diabetes
care and many countries are currently engaged in initiatives to integrate self
management support in primary care. Concerning the organisation of these
programs, evidence is growing that engagement of health care professionals, in
particular of GPs, is critical for successful application. This paper reports on
a study exploring why a substantial number of GPs was (initially) reluctant to
refer patients to a self-management education program in Belgium. METHODS:
Qualitative analysis of semi-structured face-to-face interviews with a purposive
sample of 20 GPs who were not regular users of the service. The Greenhalgh
diffusion of innovation framework was used as background and organising
framework. RESULTS: Several barriers, linked to different components of the
Greenhalgh model, emerged from the interview data. One of the most striking ones
was the limited readiness for innovation among GPs. Feelings of fear of further
fragmentation of diabetes care and frustration and insecurity regarding their own
role in diabetes care prevented them from engaging in the innovation process. GPs
needed time to be reassured that the program respects their role and has an added
value to usual care. Once GPs considered referring patients, it was not clear
enough which of their patients would benefit from the program. Some GPs expressed
the need for training in motivational skills, so that they could better motivate
their patients to participate. A practical but often mentioned barrier was the
distance to the centre where the program was delivered. Further, uncertainty
about continuity interfered with the uptake of the offer. CONCLUSIONS: The study
results contribute to a better understanding of the reasons why GPs hesitate to
refer patients to a self-management education program. First of all, the role of
GPs and other health care providers in diabetes care needs to be clarified before
introducing new functions. Feelings of security and a basic trust of providers in
the health system are a prerequisite for participation in care innovation.
Moreover, some important lessons regarding the implementation of an education
program in primary care have been learned from the study.
PMID- 21902833
TI - Investigation of an Escherichia coli O145 outbreak in a child day-care centre-
extensive sampling and characterization of eae- and stx1-positive E. coli yields
epidemiological and socioeconomic insight.
AB - BACKGROUND: On October 29th 2009 the health authorities in the city of Trondheim,
Norway were alerted about a case of Shiga toxin-positive E. coli (STEC) O145 in a
child with bloody diarrhoea attending a day-care centre. Symptomatic children in
this day-care centre were sampled, thereby identifying three more cases. This
initiated an outbreak investigation. METHODS: A case was defined as a child
attending the day-care centre, in whom eae- and stx1- but not stx2-positive E.
coli O145:H28 was diagnosed from a faecal sample, with multilocus variable number
of tandem repeat analysis (MLVA) profile identical to the index isolate. All 61
children, a staff of 14 in the day-care centre, and 74 close contacts submitted
faecal samples. Staff and parents were interviewed about cases' exposure to foods
and animals. Faecal samples from 31 ewes from a sheep herd to which the children
were exposed were analyzed for E. coli O145. RESULTS: Sixteen cases were
identified, from which nine presented diarrhoea but not haemolytic uremic
syndrome (HUS). The attack rate was 0.26, and varied between age groups (0.13
0.40) and between the three day-care centre departments (0.20-0.50), and was
significantly higher amongst the youngest children. Median duration of shedding
was 20 days (0-71 days). Children were excluded from the day-care centre during
shedding, requiring parents to take compassionate leave, estimated to be a
minimum total of 406 days for all cases. Atypical enteropathogenic E. coli
(aEPEC) were detected among 14 children other than cases. These isolates were
genotypically different from the outbreak strain. Children in the day-care centre
were exposed to faecal pollution from a sheep herd, but E. coli O145 was not
detected in the sheep. CONCLUSIONS: We report an outbreak of stx1- and eae
positive STEC O145:H28 infection with mild symptoms among children in a day-care
centre. Extensive sampling showed occurrence of the outbreak strain as well as
other STEC and aEPEC strains in the outbreak population. MLVA-typing of the STEC
isolates strongly indicates a common source of infection. The study describes
epidemiological aspects and socioeconomic consequences of a non-O157 STEC
outbreak, which are less commonly reported than O157 outbreaks.
PMID- 21902831
TI - The myogenic kinome: protein kinases critical to mammalian skeletal myogenesis.
AB - Myogenesis is a complex and tightly regulated process, the end result of which
is the formation of a multinucleated myofibre with contractile capability.
Typically, this process is described as being regulated by a coordinated
transcriptional hierarchy. However, like any cellular process, myogenesis is also
controlled by members of the protein kinase family, which transmit and execute
signals initiated by promyogenic stimuli. In this review, we describe the various
kinases involved in mammalian skeletal myogenesis: which step of myogenesis a
particular kinase regulates, how it is activated (if known) and what its
downstream effects are. We present a scheme of protein kinase activity, similar
to that which exists for the myogenic transcription factors, to better clarify
the complex signalling that underlies muscle development.
PMID- 21902835
TI - Effect of heme oxygenase-1 polymorphisms on lung function and gene expression.
AB - BACKGROUND: Oxidative stress induced by smoking is considered to be important in
the pathogenesis of Chronic Obstructive Pulmonary Disease (COPD). Heme oxygenase
1 (HMOX1) is an essential enzyme in heme catabolism that is induced by oxidative
stress and may play a protective role as an antioxidant in the lung. We
determined whether HMOX1 polymorphisms were associated with lung function in COPD
patients and whether the variants had functional effects. METHODS: We genotyped
five single nucleotide polymorphisms (SNPs) in the HMOX1 gene in Caucasians who
had the fastest (n = 278) and the slowest (n = 304) decline of FEV1 % predicted,
selected from smokers in the NHLBI Lung Health Study. These SNPs were also
studied in Caucasians with the lowest (n = 535) or the highest (n = 533) baseline
lung function. Reporter genes were constructed containing three HMOX1 promoter
polymorphisms and the effect of these polymorphisms on H2O2 and hemin-stimulated
gene expression was determined. The effect of the HMOX1 rs2071749 SNP on gene
expression in alveolar macrophages was investigated. RESULTS: We found a nominal
association (p = 0.015) between one intronic HMOX1 SNP (rs2071749) and lung
function decline but this did not survive correction for multiple comparisons.
This SNP was in perfect linkage disequilibrium with rs3761439, located in the
promoter of HMOX1. We tested rs3761439 and two other putatively functional
polymorphisms (rs2071746 and the (GT)n polymorphism) in reporter gene assays but
no significant effects on gene expression were found. There was also no effect of
rs2071749 on HMOX1 gene expression in alveolar macrophages. CONCLUSIONS: We found
no association of the five HMOX1 tag SNPs with lung function decline and no
evidence that the three promoter polymorphisms affected the regulation of the
HMOX1 gene.
PMID- 21902834
TI - Genetic diagnosis of X-linked dominant Hypophosphatemic Rickets in a cohort
study: tubular reabsorption of phosphate and 1,25(OH)2D serum levels are
associated with PHEX mutation type.
AB - BACKGROUND: Genetic Hypophosphatemic Rickets (HR) is a group of diseases
characterized by renal phosphate wasting with inappropriately low or normal 1,25
dihydroxyvitamin D3 (1,25(OH)2D) serum levels. The most common form of HR is X
linked dominant HR (XLHR) which is caused by inactivating mutations in the PHEX
gene. The purpose of this study was to perform genetic diagnosis in a cohort of
patients with clinical diagnosis of HR, to perform genotype-phenotype
correlations of those patients and to compare our data with other HR cohort
studies. METHODS: Forty three affected individuals from 36 non related families
were analyzed. For the genetic analysis, the PHEX gene was sequenced in all of
the patients and in 13 cases the study was complemented by mRNA sequencing and
Multiple Ligation Probe Assay. For the genotype-phenotype correlation study, the
clinical and biochemical phenotype of the patients was compared with the type of
mutation, which was grouped into clearly deleterious or likely causative, using
the Mann-Whitney and Fisher's exact test. RESULTS: Mutations in the PHEX gene
were identified in all the patients thus confirming an XLHR. Thirty four
different mutations were found distributed throughout the gene with higher
density at the 3' end. The majority of the mutations were novel (69.4%), most of
them resulted in a truncated PHEX protein (83.3%) and were family specific
(88.9%). Tubular reabsorption of phosphate (TRP) and 1,25(OH)2D serum levels were
significantly lower in patients carrying clearly deleterious mutations than in
patients carrying likely causative ones (61.39 +/- 19.76 vs. 80.14 +/- 8.80%, p =
0.028 and 40.93 +/- 30.73 vs. 78.46 +/- 36.27 pg/ml, p = 0.013). CONCLUSIONS:
PHEX gene mutations were found in all the HR cases analyzed, which was in
contrast with other cohort studies. Patients with clearly deleterious PHEX
mutations had lower TRP and 1,25(OH)2D levels suggesting that the PHEX type of
mutation might predict the XLHR phenotype severity.
PMID- 21902836
TI - Chikungunya triggers an autophagic process which promotes viral replication.
AB - BACKGROUND: Chikungunya Virus (ChikV) surprised by a massive re-emerging outbreak
in Indian Ocean in 2006, reaching Europe in 2007 and exhibited exceptional severe
physiopathology in infants and elderly patients. In this context, it is important
to analyze the innate immune host responses triggered against ChikV. Autophagy
has been shown to be an important component of the innate immune response and is
involved in host defense elimination of different pathogens. However, the
autophagic process was recently observed to be hijacked by virus for their own
replication. Here we provide the first evidence that hallmarks of autophagy are
specifically found in HEK.293 infected cells and are involved in ChikV
replication. METHODS: To test the capacity of ChikV to mobilize the autophagic
machinery, we performed fluorescence microscopy experiments on HEK.GFP.LC3 stable
cells, and followed the LC3 distribution during the time course of ChikV
infection. To confirm this, we performed electron microscopy on HEK.293 infected
cells. To test the effect of ChikV-induced-autophagy on viral replication, we
blocked the autophagic process, either by pharmacological (3-MA) or genetic
inhibition (siRNA against the transcript of Beclin 1, an autophagic protein), and
analyzed the percentage of infected cells and the viral RNA load released in the
supernatant. Moreover, the effect of induction of autophagy by Rapamycin on viral
replication was tested. RESULTS: The increasing number of GFP-LC3 positive cells
with a punctate staining together with the enhanced number of GFP-LC3 dots per
cell showed that ChikV triggered an autophagic process in HEK.293 infected cells.
Those results were confirmed by electron microscopy analysis since numerous
membrane-bound vacuoles characteristic of autophagosomes were observed in
infected cells. Moreover, we found that inhibition of autophagy, either by
biochemical reagent and RNA interference, dramatically decreases ChikV
replication. CONCLUSIONS: Taken together, our results suggest that autophagy may
play a promoting role in ChikV replication. Investigating in details the
relationship between autophagy and viral replication will greatly improve our
knowledge of the pathogenesis of ChikV and provide insight for the design of
candidate antiviral therapeutics.
PMID- 21902839
TI - Trials of large group teaching in Malaysian private universities: a cross
sectional study of teaching medicine and other disciplines.
AB - BACKGROUND: This is a pilot cross sectional study using both quantitative and
qualitative approach towards tutors teaching large classes in private
universities in the Klang Valley (comprising Kuala Lumpur, its suburbs, adjoining
towns in the State of Selangor) and the State of Negeri Sembilan, Malaysia. The
general aim of this study is to determine the difficulties faced by tutors when
teaching large group of students and to outline appropriate recommendations in
overcoming them. FINDINGS: Thirty-two academics from six private universities
from different faculties such as Medical Sciences, Business, Information
Technology, and Engineering disciplines participated in this study. SPSS software
was used to analyse the data. The results in general indicate that the
conventional instructor-student approach has its shortcoming and requires
changes. Interestingly, tutors from Medicine and IT less often faced difficulties
and had positive experience in teaching large group of students. CONCLUSION:
However several suggestions were proposed to overcome these difficulties ranging
from breaking into smaller classes, adopting innovative teaching, use of
interactive learning methods incorporating interactive assessment and creative
technology which enhanced students learning. Furthermore the study provides
insights on the trials of large group teaching which are clearly identified to
help tutors realise its impact on teaching. The suggestions to overcome these
difficulties and to maximize student learning can serve as a guideline for tutors
who face these challenges.
PMID- 21902838
TI - Comparing the accuracy of the three popular clinical dehydration scales in
children with diarrhea.
AB - BACKGROUND: Dehydration due to acute gastroenteritis is one of the leading causes
of mortality in children worldwide. The World Health Organization (WHO) scale,
the Gorelick scale, and the Clinical Dehydration Scale (CDS) were created to
estimate percentage dehydration in children with gastroenteritis based on
clinical signs. Of these, only the CDS has been prospectively validated against a
valid gold standard, though never in low- and middle-income countries. The
purpose of this study is to determine whether these clinical scales can
accurately assess dehydration status in children when performed by nurses or
general physicians in a low-income country. METHODS: We prospectively enrolled a
non-consecutive sample of children presenting to three Rwandan hospitals with
diarrhea and/or vomiting. A health care provider documented clinical signs on
arrival and weighed the patient using a standard scale. Once admitted, the
patient received rehydration according to standard hospital protocol and was
weighed again at hospital discharge. Receiver operating characteristic (ROC)
curves were created for each of the three scales compared to the gold standard,
percent weight change with rehydration. Sensitivity, specificity, and likelihood
ratios were calculated based on the best cutoff points of the ROC curves.
RESULTS: We enrolled 73 children, and 49 children met eligibility criteria. Based
on our gold standard, the children had a mean percent dehydration of 5% on
arrival. The WHO scale, Gorelick scale, and CDS did not have an area under the
ROC curve statistically different from the reference line. The WHO scale had
sensitivities of 79% and 50% and specificities of 43% and 61% for severe and
moderate dehydration, respectively; the 4- and 10-point Gorelick scale had
sensitivities of 64% and 21% and specificities of 69% and 89%, respectively, for
severe dehydration, while the same scales had sensitivities of 68% and 82% and
specificities of 41% and 35% for moderate dehydration; the CDS had a sensitivity
of 68% and specificity of 45% for moderate dehydration. CONCLUSION: In this
sample of children, the WHO scale, Gorelick scale, and CDS did not provide an
accurate assessment of dehydration status when used by general physicians and
nurses in a developing world setting.
PMID- 21902837
TI - Mesenchymal stem cells: from experiment to clinic.
AB - There is currently much interest in adult mesenchymal stem cells (MSCs) and
their ability to differentiate into other cell types, and to partake in the
anatomy and physiology of remote organs. It is now clear these cells may be
purified from several organs in the body besides bone marrow. MSCs take part in
wound healing by contributing to myofibroblast and possibly fibroblast
populations, and may be involved in epithelial tissue regeneration in certain
organs, although this remains more controversial. In this review, we examine the
ability of MSCs to modulate liver, kidney, heart and intestinal repair, and we
update their opposing qualities of being less immunogenic and therefore tolerated
in a transplant situation, yet being able to contribute to xenograft models of
human tumour formation in other contexts. However, such observations have not
been replicated in the clinic. Recent studies showing the clinical safety of MSC
in several pathologies are discussed. The possible opposing powers of MSC need
careful understanding and control if their clinical potential is to be realised
with long-term safety for patients.
PMID- 21902840
TI - The prevalence of Early Childhood Caries in 1-2 yrs olds in a semi-urban area of
Sri Lanka.
AB - BACKGROUND: ECC remains a problem in both developed and developing countries and
ECC has been considered to be present in epidemic proportions in the developing
countries. The aetiology and associated factors of ECC should be studied
adequately to overcome this health hazard. The objective of this study is to
determine the prevalence of ECC in 1 to 2 years old children in some selected MOH
areas (semi-urban) in the district of Colombo, Sri Lanka. METHODS: This study was
conducted as a cross sectional study. A total of 422 children aged 1-2 years were
selected using systematic sampling technique in Maharagama, Piliyandala, Nugegoda
and Boralesgamuwa MOH areas in Colombo district, Western province, Sri Lanka. The
pre-test was done initially with 10 children aged 1 1/2 year olds.Prior to the
clinical examination of each child, a questionnaire consisting questions
regarding tooth brushing, dietary habits, breast and bottle feeding, long term
medications(Sweetened medications taken more than 3 months), attending a dental
clinic during pregnancy of mother and socio-economical status of the family was
administered to mothers of those children. Sterile dental mouth mirrors were used
to detect ECC in children. RESULTS: The prevalence of ECC of the whole sample of
410 children aged 1-2 years was 32.19% and the mean dmft was 2.01 and the mean
dmfs was 3.83. From the children who had ECC 95% were untreated. There were
significant relationships between dmft and long term use of medications (p <
0.000), intake of sugar with milk (p = 0.013), sweet consumption (p = 0.013),
employment of mothers (p < 0.000) and visiting a dental clinic during pregnancy
(p < 0.000). CONCLUSIONS: This study documents high prevalence and severity of
ECC among 1-2 years old children in four selected MOH areas of Colombo district
and caries in most of the children with ECC (95%) were untreated. Results reveal
an urgent need to increase awareness among the public about ECC and institute
preventive strategies.
PMID- 21902841
TI - Epidemiological characteristics of Candida species colonizing oral and rectal
sites of Jordanian infants.
AB - BACKGROUND: There is evidence that Candida colonization contributes to increasing
invasion of candidiasis in hospitalized neonates. Few studies investigated the
epidemiology and risk factors of Candida colonization among hospitalized and non
hospitalized infants. This prospective study investigated the major
epidemiological characteristics of Candida species colonizing oral and rectal
sites of Jordanian infants. METHODS: Infants aged one year or less who were
examined at the pediatrics outpatient clinic or hospitalized at the Jordan
University Hospital, Amman, Jordan, were included in this study. Culture swabs
were collected from oral and rectal sites and inoculated on Sabouraud dextrose
agar. All Candida isolates were confirmed by the Remel RapID yeast plus system,
and further investigated for specific virulence factors and antifungal
susceptibility MIC using E-test. Genotyping of C. albicans isolates was
determined using random amplified polymorphic DNA (RAPD) analysis method.
RESULTS: A total of 61/492 (12.4%) infants were colonized with Candida species by
either their oral/rectal sites or both. Rectal colonization was significantly
more detected than oral colonization (64.6% verses 35.4%), particularly among
hospitalized infants aged more than one month. The pattern and rates of
colonization were as follows: C. albicans was the commonest species isolated from
both sites and accounted for 67.1% of all isolates, followed by C.kefyr (11.4%),
each C. tropicalis and C. glabrata (8.9%) and C. parapsilosis (3.8%). A various
rates of Candida isolates proved to secrete putative virulence factors in vitro;
asparatyl proteinase, phospholipase and hemolysin. C. albicans were associated
significantly (P < 0.05) with these enzymes than other Candida species. All
Candida isolates were susceptible to amphotericin B and caspofungin, whereas 97%
of Candida species isolates were susceptible to fluconazole using E-test. The
genetic similarity of 53 C. albicans isolates as demonstrated by dendrogram
revealed the presence of 29 genotypes, and of these one genotype accounted for
22% of the isolates. CONCLUSION: This study presents important epidemiological
features of Candida colonization of Jordanian infants.
PMID- 21902842
TI - MiR-206-mediated dynamic mechanism of the mammalian circadian clock.
AB - BACKGROUND: As a group of highly conserved small non-coding RNAs with a length of
21~23 nucleotides, microRNAs (miRNAs) regulate the gene expression post
transcriptionally by base pairing with the partial or full complementary
sequences in target mRNAs, thus resulting in the repression of mRNA translation
and the acceleration of mRNA degradation. Recent work has revealed that miRNAs
are essential for the development and functioning of the skeletal muscles where
they are. In particular, miR-206 has not only been identified as the only miRNA
expressed in skeletal muscles, but also exhibited crucial roles in regulation of
the muscle development. Although miRNAs are known to regulate various biological
processes ranging from development to cancer, much less is known about their role
in the dynamic regulation of the mammalian circadian clock. RESULTS: A detailed
dynamic model of miR-206-mediated mammalian circadian clock system was developed
presently by using Hill-type terms, Michaelis-Menten type and mass action
kinetics. Based on a system-theoretic approach, the model accurately predicts
both the periodicity and the entrainment of the circadian clock. It also explores
the dynamics properties of the oscillations mediated by miR-206 by means of
sensitivity analysis and alterations of parameters. Our results show that miR-206
is an important regulator of the circadian clock in skeletal muscle, and thus by
study of miR-206 the main features of its mediation on the clock may be captured.
Simulations of these processes display that the amplitude and frequency of the
oscillation can be significantly altered through the miR-206-mediated control.
CONCLUSIONS: MiR-206 has a profound effect on the dynamic mechanism of the
mammalian circadian clock, both by control of the amplitude and control or
alteration of the frequency to affect the level of the gene expression and to
interfere with the temporal sequence of the gene production or delivery. This
undoubtedly uncovers a new mechanism for regulation of the circadian clock at a
post-transcriptional level and provides important insights into the normal
development as well as the pathological conditions of skeletal muscles, such as
the aging, chronic disease and cancer.
PMID- 21902843
TI - A combined functional and structural genomics approach identified an EST-SSR
marker with complete linkage to the Ligon lintless-2 genetic locus in cotton
(Gossypium hirsutum L.).
AB - BACKGROUND: Cotton fiber length is an important quality attribute to the textile
industry and longer fibers can be more efficiently spun into yarns to produce
superior fabrics. There is typically a negative correlation between yield and
fiber quality traits such as length. An understanding of the regulatory
mechanisms controlling fiber length can potentially provide a valuable tool for
cotton breeders to improve fiber length while maintaining high yields. The cotton
(Gossypium hirsutum L.) fiber mutation Ligon lintless-2 is controlled by a single
dominant gene (Li2) that results in significantly shorter fibers than a wild
type. In a near-isogenic state with a wild-type cotton line, Li2 is a model
system with which to study fiber elongation. RESULTS: Two near-isogenic lines of
Ligon lintless-2 (Li2) cotton, one mutant and one wild-type, were developed
through five generations of backcrosses (BC5). An F2 population was developed
from a cross between the two Li2 near-isogenic lines and used to develop a
linkage map of the Li2 locus on chromosome 18. Five simple sequence repeat (SSR)
markers were closely mapped around the Li2 locus region with two of the markers
flanking the Li2 locus at 0.87 and 0.52 centimorgan. No apparent differences in
fiber initiation and early fiber elongation were observed between the mutant
ovules and the wild-type ones. Gene expression profiling using microarrays
suggested roles of reactive oxygen species (ROS) homeostasis and cytokinin
regulation in the Li2 mutant phenotype. Microarray gene expression data led to
successful identification of an EST-SSR marker (NAU3991) that displayed complete
linkage to the Li2 locus. CONCLUSIONS: In the field of cotton genomics, we report
the first successful conversion of gene expression data into an SSR marker that
is associated with a genomic region harboring a gene responsible for a fiber
trait. The EST-derived SSR marker NAU3991 displayed complete linkage to the Li2
locus on chromosome 18 and resided in a gene with similarity to a putative
plectin-related protein. The complete linkage suggests that this expressed
sequence may be the Li2 gene.
PMID- 21902845
TI - [A man with a swollen scrotum].
AB - A 32-year-old man was referred to our hospital with a painless left-sided scrotal
mass present since infancy. Physical examination showed 2 small ovoid masses in
the left hemiscrotum and 1 testis on the right side. Ultrasound showed a
supernumerary testis with fusion of epididymis. The diagnosis was: polyorchidism.
PMID- 21902844
TI - How to do a grounded theory study: a worked example of a study of dental
practices.
AB - BACKGROUND: Qualitative methodologies are increasingly popular in medical
research. Grounded theory is the methodology most-often cited by authors of
qualitative studies in medicine, but it has been suggested that many 'grounded
theory' studies are not concordant with the methodology. In this paper we provide
a worked example of a grounded theory project. Our aim is to provide a model for
practice, to connect medical researchers with a useful methodology, and to
increase the quality of 'grounded theory' research published in the medical
literature. METHODS: We documented a worked example of using grounded theory
methodology in practice. RESULTS: We describe our sampling, data collection, data
analysis and interpretation. We explain how these steps were consistent with
grounded theory methodology, and show how they related to one another. Grounded
theory methodology assisted us to develop a detailed model of the process of
adapting preventive protocols into dental practice, and to analyse variation in
this process in different dental practices. CONCLUSIONS: By employing grounded
theory methodology rigorously, medical researchers can better design and justify
their methods, and produce high-quality findings that will be more useful to
patients, professionals and the research community.
PMID- 21902846
TI - [Jaundice and a pancreatic tumour caused by auto-immune pancreatitis].
AB - Three male patients aged between 50 and 70 years were referred with jaundice and
weight loss. Imaging showed a pancreatic mass and changes in the calibre of the
choledochal or pancreatic duct, suggestive of malignancy. Two patients were
operated on. One patient was considered to have an unresectable carcinoma but
showed remarkable clinical improvement after steroids were given for his poor
condition. In the other patient a resection was performed. Histology showed IgG4
positive plasma cell infiltration without signs of malignancy. Eventually these
patients were diagnosed with auto-immune pancreatitis (AIP). In the third patient
AIP was considered beforehand and this patient was treated with steroids. He
responded quickly both clinically and radiologically. CT imaging showed complete
remission of the mass. AIP is a benign inflammatory process which can mimic
pancreatic carcinoma. In doubtful cases, a short trial of steroids might be
considered.
PMID- 21902847
TI - [Jelgersma and his clinic].
AB - Gerbrandus Jelgersma (1859-1942) became in 1899 the first professor of psychiatry
at Leiden University. Until then he had been employed in psychiatric asylums,
first as pathologist and later as managing director. His scientific work was at
first exclusively devoted to the microscopic structure of the brain, by which he
attempted to find correlations between structure and function. In addition he had
a wide knowledge of psychopathology. Gradually he incorporated Freud's
psychoanalytical doctrine in his teaching and in his therapeutic methods, but as
a scientist he remained faithful to the morphological approach. At the age of 72
he published a monumental atlas of the brain. In 1950 the municipal hospital in
Leiden for short-term psychiatric treatment was named after him.
PMID- 21902848
TI - [Complaints and illnesses in children in the Netherlands].
AB - AIM: To provide insight into the nature, prevalence and consequences of common
chronic health problems in children (0-18 years) in the Netherlands. DESIGN:
Survey based on general practitioner records, population surveys and a literature
search. METHODS: "Disorders" comprises not only illness, but also complaints,
symptoms and health problems. The survey was restricted to chronic health
problems (present for at least 3 months) with a prevalence of at least 1% in
children in the Netherlands. RESULTS: The chronic diseases most frequently
registered by general practitioners are asthma and eczema (1-year prevalence ca.
4%), followed by abdominal pain and constipation (2%-3%) in children under the
age of 11 years and by headache, fatigue, back pain and abdominal pain (2%-3%) in
older children. The conditions most frequently reported in population surveys by
children themselves or their parents are headache, fatigue and sleeping problems,
followed by hay fever, depressive symptoms and back pain. The prevalence of such
conditions is especially high in teenage girls: over 20% for fatigue, headache
and sleeping problems and 5-15% for depressive symptoms, back pain and abdominal
pain. 2%-3% of all children experience serious limitations in daily functioning
due to a chronic health condition. In addition, 8%-9% of young children and 14%
20% of the older children experience mild limitations. CONCLUSION: A substantial
number of children experience limitations in daily functioning due to a chronic
condition. Allergy-related diseases, pain and malaise complaints constitute a
major part of childhood chronic health problems. Pain and malaise complaints
especially remain largely invisible for health care professionals and policy
makers. A perspective of the consequences of these ailments in the long term is
lacking.
PMID- 21902849
TI - [Outbreak of Shiga toxin-producing Escherichia coli and haemolytic uraemic
syndrome].
AB - Enterohaemorrhagic Escherichia coli (EHEC) is a group of pathogenic Shiga toxin
producing E. coli that can cause haemorrhagic colitis and haemolytic uraemic
syndrome (HUS). The disease usually occurs sporadically, but sometimes also
occurs in large outbreaks such as that which recently occurred in northern
Germany. EHEC infection is a zoonosis and its reservoir is in ruminant farm
animals (cattle, sheep and goats). EHEC infection should be considered in
patients with bloody diarrhoea, but in the course of many severe EHEC infections
a picture resembling HUS may also occur. Antibiotic treatment is contraindicated
because it does not reduce the duration of the disease and may have negative
complications. Patients with EHEC infection may spread the bacteria and their
care includes contact isolation measures with their own toilet facilities. The E.
coli type O104:H4 that occurred in Germany has a combination of specific
virulence characteristics. This outbreak affected many people who developed HUS
and neurological symptoms following bloody diarrhoea.
PMID- 21902850
TI - [Population-based breast cancer screening is not worthwhile. Screening has little
effect on mortality].
AB - Comparison of breast cancer mortality between pairs of similar countries (Sweden
and Norway, Northern Ireland and the Irish Republic, the Netherlands and Belgium
or Flanders), each of which had implemented its population-wide breast cancer
screening programme at a different point in time, demonstrated little effect of
screening on mortality. In the Netherlands, a well-organised population-wide
screening programme was started in the early nineties, ten years before such a
programme was introduced in Flanders. We used the 1989-1992 period as a baseline
and compared breast cancer mortality in the Netherlands with that in Flanders
during the 2005-2008 period. The added value of organised screening was low: 11%
in the target age group of 55-79 years, or 180 prevented breast-cancer deaths
annually. A total of 5000 screening mammograms were needed to prevent one death
from breast cancer. Breast cancer screening is not a public health priority.
Impartial and transparent information on the disadvantages and benefits of breast
cancer screening is urgently needed.
PMID- 21902851
TI - [Patient with haemolytic uremic syndrome and Escherichia coli (EHEC) infection].
AB - BACKGROUND: In infection with the enterohaemorrhagic Escherichia coli (EHEC),
toxins produced by the bacteria can induce the potentially lethal disease
haemolytic uremic syndrome (HUS). CASE DESCRIPTION: A 71-year-old woman was seen
at the Emergency Department with abdominal cramps and bloody diarrhoea.
Diagnostic tests revealed ischaemia of the intestinal mucosa. A few days after
admission the patient developed acute malfunction of the kidneys and
thrombocytopenia. HUS was diagnosed, which was later shown to be caused by
infection with EHEC. The patient was treated with plasmapheresis and
haemodialysis, which brought her kidney function back to normal. History taking
revealed that the patient had visited northern Germany where the EHEC epidemic
was raging. CONCLUSION: In cases of bloody diarrhoea, clinicians should consider
infection with EHEC - a bacterium for which antibiotics are not appropriate
treatment. If the infection becomes complicated by the development of HUS, then
the treatment of choice should be administration of fluids, and haemodialysis
possibly combined with plasmapheresis.
PMID- 21902852
TI - [Balancing between hope and hype in biomarker research].
AB - Biomarkers have become a popular topic in medicine, and investigations of
putative molecular indicators of a specific biological state have started to
occupy a considerable part of health research. Yet only a small number of
biomarkers are being used in routine clinical practice. Many biomarker studies
have major methodological shortcomings, in particular in the selection of
appropriate study groups. Strong associations cannot always be replicated in
later research.
PMID- 21902853
TI - Translating CBT for voices into a program for carers: a pilot study.
AB - BACKGROUND: The treatment of persisting psychotic symptoms with cognitive
behavioural therapy (CBTp) is now established as an evidence-based treatment;
however its availability remains limited. We piloted a novel CBTp skills-based
group training program for carers. The aim was to reduce service users' auditory
hallucinations severity through carers' use of basic CBTp methods in their
regular interactions. METHOD: Eight carer-service user dyads and one carer-carer
service user triad participated. Carers attended the 10-week (25-hour) program,
and completed measures of subjective burden and expressed emotion (EE) over a 30
week period that included a baseline phase prior to the training and
implementation phase. Service users completed weekly interviews assessing voice
symptomatology. Analyses were conducted for each dyad using time-series methods.
RESULTS: The training program was rated highly. Improvements in symptoms and
carer burden ranged from none to clinically significant across different dyads.
Carer implementation of strategies was related to reduced symptoms in one dyad;
reductions in EE were related to symptom improvements in two dyads. CONCLUSIONS:
There may be benefits in training carers in behavioural and cognitive management
of persisting hallucinations. Possible mechanisms for improvements in voice
symptomatology include reduction in carers' EE, and carer implementation of
strategies taught.
PMID- 21902854
TI - Applying mindfulness therapy in a group of psychotic individuals: a controlled
study.
AB - BACKGROUND: There are already several existing studies that show the
effectiveness of mindfulness-based approaches in varying types of disorders. Only
a few studies, however, have analyzed the effectiveness of this intervention in
psychosis, and without finding, up to now, significant differences from the
control group. AIMS: The aim of this study is two-fold: to replicate previous
studies, and to focus on analyzing the feasibility and effectiveness of applying
mindfulness in a group of people with psychosis. METHOD: Eighteen patients with
psychosis were randomly assigned to experimental and control groups. The
experimental group received eight 1-hour sessions of Mindfulness-Based Cognitive
Therapy (MBCT), while the control group was relegated to a waiting list to
receive MBCT therapy. RESULTS: The experimental group scored significantly higher
than the control group in their ability to respond mindfully to stressful
internal events. CONCLUSIONS: Both the usefulness and effectiveness of
implementing a mindfulness-based program have been replicated in a controlled
manner in patients with psychosis.
PMID- 21902855
TI - Novel unsupported and empirically supported therapies: patterns of usage among
licensed clinical social workers.
AB - BACKGROUND: While considerable attention has been focused in recent years on
evidence-based practice, less attention has been placed on clinical social
workers' choice to use ineffective or harmful interventions, referred to in the
present paper as Novel Unsupported Therapies (NUSTs). METHOD: The present study
surveyed 400 Licensed Clinical Social Workers (LCSWs) across the United States in
order to determine the extent of their usage of NUSTs, as well as their usage of
conventional therapies that lacked support and empirically supported therapies
(ESTs). Reasons for selecting interventions were also assessed. RESULTS: While
the vast majority (97.5%) reported using some form of EST, 75% of our sample also
reported using at least one NUST. Logistic regression analysis revealed that NUST
usage was related to female gender and trauma specialization. A split plot ANOVA
revealed that respondents rated positive clinical experience higher than
published research as a reason for selecting an intervention. LCSWs with a CBT
theoretical orientation rated research evidence more highly than those of other
theoretical orientations. However, even within the group of LCSWs with a CBT
orientation, clinical experience was rated more highly than research evidence.
CONCLUSIONS: Implications for practice are discussed.
PMID- 21902856
TI - Refeeding with a high-protein diet after a 48 h fast causes acute hepatocellular
injury in mice.
AB - Elucidating the effects of refeeding a high-protein diet after fasting on disease
development is of interest in relation to excessive protein ingestion and
irregular eating habits in developed countries. The objective of the present
study was to address the hepatic effects of refeeding a high-protein diet after
fasting. Mice were fasted for 48 h and then refed with a test diet containing 3,
15, 35, 40, 45 or 50 % casein. Serum alanine aminotransferase (ALT) and aspartate
aminotransferase (AST) activities and liver immediate-early gene expression
levels were sequentially measured for the first 24 h after initiation of
refeeding. Refeeding with a 50 % casein diet after 48 h of fasting led to a rapid
(within 2-3 h) and abnormal elevation in serum ALT (P = 0.006) and AST (P =
0.001) activities and a marked increase in liver Finkel-Biskis-Jinkins (FBJ)
osteosarcoma oncogene (P = 0.007) and nuclear receptor subfamily 4, group A,
member 1 (P = 0.002) mRNA levels. In contrast, refeeding of the 3, 15 or 35 %
casein diets produced no substantial increases in serum ALT and AST activities in
mice. Refeeding of 40, 45 or 50 % casein increased serum ALT and AST activities
in proportion to this dietary casein content. In mice refed the 3, 15 or 35, but
not 50 %, casein diets, liver heat shock protein 72 transcript levels greatly
increased. We conclude from these data that the consumption of a high-protein
diet after fasting causes acute hepatocellular injury in healthy animals, and
propose that careful attention should be paid to the use of such diets.
PMID- 21902857
TI - Effect of lean red meat from lamb v. lean white meat from chicken on the serum
lipid profile: a randomised, cross-over study in women.
AB - The main dietary guidelines recommend restricting total and saturated fat intake
in the management of high blood cholesterol levels for cardiovascular risk. These
recommendations are usually oversimplified by considering that all red meats
should be limited and replaced by white meats. However, lean red meat can be as
low in fat as white meat. We examined the effects of red meat (lean breed lamb)
and lean white meat (chicken) intake on the lipid profile of a group of women
with stable life conditions (nuns living in convents). An open-label, randomised,
cross-over study was carried out in thirty-six nuns who consumed either lamb or
chicken three times per week for 5-week periods with their usual diet. Clinical,
dietary and biochemical variables were evaluated at baseline and the end of each
diet period. A validated FFQ was used to assess nutrient intake and monitor
compliance. The results showed neither between-diet differences in lipid
responses nor differences from baseline in total cholesterol, LDL-cholesterol or
TAG for any diet period. In conclusion, consumption of lean red meat (lamb) or
lean white meat (chicken) as part of the usual diet is associated with a similar
lipid response. These two foods can be exchanged in a healthy diet to increase
palatability.
PMID- 21902858
TI - Characteristics and nutrient intake of Taiwanese elderly vegetarians: evidence
from a national survey.
PMID- 21902859
TI - The proportion of lycopene isomers in human plasma is modulated by lycopene
isomer profile in the meal but not by lycopene preparation.
AB - Dietary lycopene consists mostly of the (all-E) isomer. Upon absorption, (all-E)
lycopene undergoes isomerisation into various (Z)-isomers. Because these isomers
offer potentially better health benefits than the (all-E) isomer, the aim of the
present study was to investigate if the profile of lycopene isomers in intestinal
lipoproteins is affected by the profile of lycopene isomers in the meal and by
the tomato preparation. Six postprandial, crossover tests were performed in
healthy men. Three meals provided about 70 % of the lycopene as (Z)-isomers,
either mainly as 5-(Z) or 13-(Z), or as a mixture of 9-(Z) and 13-(Z) lycopene,
while three tomato preparations provided lycopene mainly as the (all-E) isomer.
Consumption of the 5-(Z) lycopene-rich meal led to a high (60 %) proportion of
this isomer in TAG-rich lipoproteins (TRL), indicating a good absorption and/or a
low intestinal conversion of this isomer. By contrast, consumption of meals rich
in 9-(Z) and 13-(Z) lycopene isomers resulted in a low level of these isomers but
high amounts of the 5-(Z) and (all-E) isomers in TRL. This indicates that the 9
(Z) and 13-(Z) isomers were less absorbed or were converted into 5-(Z) and (all
E) isomers. Dietary (Z)-lycopene isomers were, therefore, differently isomerised
and released in TRL during their intestinal absorption in men. Consuming the
three meals rich in (all-E) lycopene resulted in similar proportions of lycopene
isomers in TRL: 60 % (all-E), 20 % 5-(Z), 9 % 13-(Z), 2 % 9-(Z) and 9 %
unidentified (Z)-isomers. These results show that the tomato preparation has no
impact on the lycopene isomerisation occurring during absorption in humans.
PMID- 21902860
TI - Lactate and the GPR81 receptor in metabolic regulation: implications for adipose
tissue function and fatty acid utilisation by muscle during exercise.
AB - Lactate is increasingly recognised to be more than a simple end product of
anaerobic glycolysis. Skeletal muscle and white adipose tissue are considered to
be the main sites of lactate production and release. Recent studies have
demonstrated that there is a specific G-protein coupled receptor for lactate,
GPR81, which is expressed primarily in adipose tissue, and also in muscle.
Lactate inhibits lipolysis in adipose tissue by mediating, through GPR81, the
anti-lipolytic action of insulin. A high proportion (50 % or more) of the glucose
utilised by white adipose tissue is converted to lactate and lactate production
by the tissue increases markedly in obesity; this is likely to reflect a switch
towards anaerobic metabolism with the development of hypoxia in the tissue.
During exercise, there is a shift in fuel utilisation by muscle from lipid to
carbohydrate, but this does not appear to be a result of the inhibition of
lipolysis in the main adipose tissue depots by muscle-derived lactate. It is
suggested instead that a putative autocrine lactate loop in myocytes may regulate
fuel utilisation by muscle during exercise, operating via a muscle GPR81
receptor. In addition to being an important substrate, lactate is a key signal in
metabolic regulation.
PMID- 21902861
TI - The Hospital Dementia Services Project: age differences in hospital stays for
older people with and without dementia.
AB - BACKGROUND: People with dementia may have adverse outcomes following periods of
acute hospitalization. This study aimed to explore the effects of age upon
hospitalization outcomes for patients with dementia in comparison to patients
without dementia. METHODS: Data extracted from the New South Wales Admitted
Patient Care Database for people aged 50 years and over for the period July 2006
to June 2007 were linked to create person-based records relating to both single
and multiple periods of hospitalization. This yielded nearly 409,000 multi-day
periods of hospitalization relating to almost 253,000 persons. Using ICD-10-AM
codes for dementia and other principal diagnoses, the relationship between age
and hospitalization characteristics were examined for people with and without
dementia. RESULTS: Dementia was age-related, with 25% of patients aged 85 years
and over having dementia compared with 0.9% of patients aged 50-54 years. People
with dementia were more likely to be admitted for fractured femurs, lower
respiratory tract infections, urinary tract infections and head injuries than
people without dementia. Mean length of stay for admissions for people with
dementia was 16.4 days and 8.9 days for those without dementia. People with
dementia were more likely than those without to be re-admitted within three
months for another multi-day stay. Mortality rates and transfers to nursing home
care were higher for people with dementia than for people without dementia. These
outcomes were more pronounced in younger people with dementia. CONCLUSION:
Outcomes of hospitalization vary substantially for patients with dementia
compared with patients without dementia and these differences are frequently most
marked among patients aged under 65 years.
PMID- 21902862
TI - Comparison of behavioral and psychological symptoms of dementia and psychotropic
drug treatments among old people in geriatric care in 2000 and 2007.
AB - BACKGROUND: Behavioral and psychological symptoms, such as verbal or physical
aggression, aberrant motor behaviors, psychotic symptoms, anxiety, depressive
symptoms and apathy are common among people with dementia. The aim of the present
study was to compare the one-week prevalence of behavioral and psychological
symptoms and psychotropic drug treatment among people with cognitive impairment
living in institutional care, in two large, comparable samples from 2000 and
2007. METHODS: A comparison was made between two cross-sectional samples,
collected in 2000 and 2007, comprising 4054 participants with cognitive
impairment living in geriatric care units in the county of Vasterbotten, Sweden.
The Multi-Dimensional Dementia Assessment Scale (MDDAS) was used to assess
cognitive impairment and behavioral and psychological symptoms. The use of
psychotropic drugs was recorded. RESULTS: Between 2000 and 2007, 15 out of 39
behavioral or psychological symptoms had become less common and no symptoms had
become more common, after controlling for demographic changes. Four out of six
behaviors within the cluster of aggressive behaviors had declined in prevalence.
Patients prescribed anti-dementia drugs increased from 5.1% to 18.0% and
antidepressant drug use increased from 43.2% to 49.1%, while anxiolytic,
hypnotic, sedative and antipsychotic drug use remained largely unchanged.
CONCLUSION: The prevalence of many behavioral symptoms had declined from 2000 to
2007, and among these changes, the decline in aggressive behaviors probably has
the greatest clinical impact.
PMID- 21902863
TI - Continuous use of antipsychotics and its association with mortality and
hospitalization in institutionalized Chinese older adults: an 18-month
prospective cohort study.
AB - BACKGROUND: Previous meta-analyses have suggested that antipsychotics are
associated with increased mortality in dementia patients with behavioral and
psychological symptoms (BPSD). Subsequent observational studies, however, have
produced conflicting results. In view of this controversy and the lack of any
suitable pharmacological alternative for BPSD, this study aimed to investigate
the relationship between continuous use of antipsychotics and mortality as well
as hospitalizations in Chinese older adults with BPSD residing in nursing homes.
METHODS: This was a prospective cohort study conducted in nursing homes in the
Central & Western and Southern Districts of Hong Kong from July 2009 to December
2010. Older adults were stratified into the exposed group (current users of
antipsychotics) and control group (non-users). Demographics, comorbidity
according to the Charlson Comorbidity Index (CCI), Barthel Index (BI(20)),
Abbreviated Mental Test (AMT), and vaccination status for pandemic Influenza A
(H1N1) 2009, seasonal influenza and pneumococcus were collected at baseline.
Subjects were followed up at 18 months. All-cause mortality and all-cause
hospitalizations were recorded. RESULTS: 599 older adults with dementia from nine
nursing homes were recruited. The 18-month mortality rate for the exposed group
was 24.1% while that for control group was 27.5% (P = 0.38). The exposed group
also had a lower median rate of hospitalizations (56 (0-111) per 1000 person
months vs 111 (0-222) per 1000 person-months, median (interquartile range),
p<0.001). CONCLUSIONS: The continuous use of antipsychotics for BPSD does not
increase mortality among Chinese older adults with dementia living in nursing
homes. Furthermore, our results show that the use of antipsychotics can lead to
decreased hospitalizations.
PMID- 21902864
TI - Anemia and dementia among the elderly: the Sao Paulo Ageing & Health Study.
AB - BACKGROUND: Anemia and dementia are common diseases among the elderly, but
conflicting data are available regarding an association between these two
conditions. We analyzed data from the Sao Paulo Ageing & Health Study to address
the relationship between anemia and dementia. METHODS: This cross-sectional
observational study included participants aged 65 years and older from a deprived
area of the borough of Butantan, Sao Paulo, Brazil. Data about demographics,
education, income, and cognitive and daily life function were collected, as well
as blood samples. Anemia and dementia were defined according to WHO and DSM-IV
criteria, respectively. RESULTS: Of the 2267 subjects meeting the inclusion
criteria, 2072 agreed to participate in the study; of whom 1948 had a valid total
blood count and were included in the analysis. Anemia was diagnosed in 203
(10.2%) participants and dementia in 99 (5.1%). The frequency of anemia was
higher in patients with dementia according to univariate analysis (odds ratio
(OR) = 2.00, 95% confidence interval (CI) = 1.17-3.41, p = 0.01), but this
association was not present after adjusting for age (OR = 1.33, 95% CI = 0.76
2.33, p = 0.32). Further multivariate adjustment did not change the results.
CONCLUSION: Although anemia and dementia are frequent disorders in older people,
we found their relationship to be mediated exclusively by aging in this low
income population from Sao Paulo.
PMID- 21902865
TI - Which categories of social and lifestyle activities moderate the association
between negative life events and depressive symptoms among community-dwelling
older adults in Japan?
AB - BACKGROUND: Social and lifestyle activities may serve as potential moderators of
the association between negative life events (NLEs) and depressive symptoms among
older adults. In this study, we examined whether social and lifestyle activities
moderate the association between NLEs and depressive symptoms among older adults,
and which activities are significant moderators. METHODS: The data came from a
community-based sample of non-institutionalized adults aged 65 years or older. Of
the 731 eligible older adults, 682 completed the Japanese version of the 30-item
Geriatric Depression Scale. We measured 15 specific negative life events as well
as 17 social and lifestyle activities which were grouped into four categories.
RESULTS: Specific NLEs pertaining to human relationships, physical condition and
financial status were all or were mostly associated with depressive symptoms.
Significant moderating roles of social and lifestyle activities on the
association of NLEs with depressive symptoms were observed between "loss of a
significant other" and "contact with family members and friends" (beta = -0.282,
SE = 0.091, p = 0.002); "change in human relationships" and "contact with family
members and friends" (beta = -0.270, SE = 0.137, p = 0.048); and "change in human
relationships" and "community involvement" (beta = -0.344, SE = 0.133, p =
0.010). CONCLUSIONS: The most statistically significant variable moderating the
associations between negative life events and depressive symptoms was "having
frequent contact with family members". Depressive symptoms arising from
troublesome interpersonal relationships in one's proximal network might be
moderated by positive interpersonal relationships.
PMID- 21902866
TI - Month of birth, socioeconomic background and height in rural Chinese men.
AB - This study examines the effects of birth month and socioeconomic factors on
height in rural Chinese men. The analysis of sample data of 833 adult men, 18-52
years of age, collected from 600 families in rural Hebei in 2005, shows that
adult men born in winter months (November to January) are, on average, 1.04 cm
shorter (p<0.01) than those born during the rest of the year. In addition to the
conventional OLS regression models, the household fixed and random effects models
also indicate that the month-of-birth effect exists when socioeconomic variables
are controlled for. The birth-month effect on height is, however, smaller than
effects of socioeconomic variables, including the household registration status,
household economy and father's class status.
PMID- 21902867
TI - Application of colloidal palladium nanoparticles for labeling in electron
microscopy.
AB - The application of palladium nanoparticles as electron-dense markers for labeling
in both transmission and scanning electron microscopy requires their conjugation
to a specific protein. The conjugation protocol described here includes the
dihydrolipoic acid (DHLA) capping of Pd nanoparticles (8 nm equivalent diameter)
and their subsequent covalent attachment to functional protein molecules such as
streptavidin, protein A, or avidin. The single-step reaction was mediated using
the cross-linking agent ethyl-3-(3-dimethylaminopropyl)carbodiimide (EDC). The
final Pd conjugates were fully functional, as demonstrated by labeling of
ultrathin resin sections of either bovine serum albumin or secretory granules of
the salivary gland isolated from the partially fed female Ixodes ricinus tick.
The results of bovine serum labeling were quantified, statistically evaluated,
and compared with results obtained using commercially available gold particle
conjugates (10 nm diameter). The highest values of labeling density were achieved
using both streptavidin-Pd (106 +/- 7 particles/MUm2) and protein A-Au conjugates
(130 +/- 18 particles/MUm2) compared to a commercial streptavidin-Au (66 +/- 16
particles/MUm2) and protein A-Pd conjugates (70 +/- 11 particles/MUm2). The
concentrations of both DHLA and EDC, pH during conjugation, and finally thorough
washing away of unbound proteins crucially influenced conjugation.
PMID- 21902868
TI - The interplay between Leishmania promastigotes and human Natural Killer cells in
vitro leads to direct lysis of Leishmania by NK cells and modulation of NK cell
activity by Leishmania promastigotes.
AB - NK cells represent one of the first lines of defence in the immune reaction after
invasion of Leishmania parasites. Depletion of mouse natural killer (NK) cells
dramatically enhances susceptibility of normally resistant mice. In this study we
evaluated the fate of NK cells and parasites after contact formation. The
hydrophilic fluorescent dye CMFDA (chloro-methylfluorescin diacetate) that allows
analysis of cytotoxicity in flow cytometry and microscopy was used. Furthermore,
these findings were confirmed with scanning and transmission electron microscopy.
Direct contact points were found between Leishmania promastigotes and naive human
NK cells. These contacts were associated with transfer of cytosol by membrane
bridges and cytotoxicity of NK cells against Leishmania. However, in contrast to
other target cells which allow repeated exocytosis of lytic granules, contact
with Leishmania causes immediate destruction of NK cells in a non-apoptotic way.
Our results give a reasonable explanation for ex vivo observations of reduced NK
cell numbers and impaired NK response in patients with acute cutaneous
leishmaniasis. Animal models have clearly shown that NK cells play a key role in
the induction and direction of the immune response. Thus inhibition of NK cells
at the onset of infection would be advantageous for the survival of the parasite.
PMID- 21902869
TI - The efficacy of novel arylimidamides against Trypanosoma cruzi in vitro.
AB - The present study aimed to determine the in vitro biological efficacy and
selectivity of 7 novel AIAs upon bloodstream trypomastigotes and intracellular
amastigotes of Trypanosoma cruzi. The biological activity of these aromatic
compounds was assayed for 48 and 24 h against intracellular parasites and
bloodstream forms of T. cruzi (Y strain), respectively. Additional assays were
also performed to determine their potential use in blood banks by treating the
bloodstream parasites with the compounds diluted in mouse blood for 24 h at 4
degrees C. Toxicity against mammalian cells was evaluated using primary cultures
of cardiac cells incubated for 24 and 48 h with the AIAs and then cellular death
rates were determined by MTT colorimetric assays. Our data demonstrated the
outstanding trypanocidal effect of AIAs against T. cruzi, especially DB1853,
DB1862, DB1867 and DB1868, giving IC50 values ranging between 16 and 70 nanomolar
against both parasite forms. All AIAs presented superior efficacy to benznidazole
and some, such as DB1868, also demonstrated promising activity as a candidate
agent for blood prophylaxis. The excellent anti-trypanosomal efficacy of these
novel AIAs against T. cruzi stimulates further in vivo studies and justifies the
screening of new analogues with the goal of establishing a useful alternative
therapy for Chagas disease.
PMID- 21902870
TI - Diversity, distribution and biogeographical origins of Plasmodium parasites from
the New Zealand bellbird (Anthornis melanura).
AB - Understanding the origin of invasive parasites and ecological transmission
barriers on the distribution of mosquito-borne pathogens is enriched by molecular
phylogenetic approaches now that large databases are becoming available. Here we
assess the biogeographical relationships among haemosporidian blood parasites and
an avian host, the New Zealand bellbird (Meliphagidae, Anthornis melanura). Four
Plasmodium haplotypes were identified among 93 infected bellbirds (693 screened)
using nested PCR of a mitochondrial DNA cytochrome b gene fragment. The most
common lineage, LIN1 (11%), is confined to northern New Zealand and falls within
a known clade of Plasmodium (subgenus Novyella) sp. infecting Australian
meliphagids. LIN1 differs within that clade by 4 9% sequence divergence
suggestive of an endemic lineage to New Zealand. The most widespread lineage,
LIN2 (2%), is an exact match with a global cosmopolitan (P. elongatum GRW06). Two
rare lineages, LIN3 and LIN4 are less abundant, geographically restricted within
New Zealand and have <1% sequence divergence with P. (Novyella) sp. (AFTRU08) and
P. relictum (LINOLI01) documented from Africa. For the first time, we provide
invaluable information on possible rates of entry of invading parasites in New
Zealand and their distribution from temperate to cold environments.
PMID- 21902871
TI - Involvement of sulfated glycosaminoglycans on the development and attachment of
Trypanosoma cruzi to the luminal midgut surface in the vector, Rhodnius prolixus.
AB - In the present study, we investigated the involvement of sulfated
glycosaminoglycans in both the in vivo development and adhesion of T. cruzi
epimastigotes to the luminal surface of the digestive tract of the insect vector,
Rhodnius prolixus. Pre-incubation of T. cruzi, Dm 28c epimastigotes with heparin,
chondroitin 4-sulfate, chondroitin 6-sulfate or protamine chloridrate inhibited
in vitro attachment of parasites to the insect midgut. Enzymatic removal of
heparan sulfate moieties by heparinase I or of chondroitin sulfate moieties by
chondroitinase AC from the insect posterior midgut abolished epimastigote
attachment in vitro. These treatments also reduced the labelling of anionic sites
exposed at the luminal surface of the perimicrovillar membranes in the triatomine
midgut epithelial cells. Inclusion of chondroitin 4-sulfate or chondroitin 6
sulfate and to a lesser extent, heparin, in the T. cruzi-infected bloodmeal
inhibited the establishment of parasites in R. prolixus. These observations
indicate that sulfated glycosaminoglycans are one of the determinants for both
adhesion of the T. cruzi epimastigotes to the posterior midgut epithelial cells
of the triatomine and the parasite infection in the insect vector, R. prolixus.
PMID- 21902872
TI - Toxoplasma gondii: the changing paradigm of congenital toxoplasmosis.
AB - Researchers have learned much concerning the population biology of Toxoplasma
gondii over the past 2 decades. It is now apparent that many atypical genotypes
exist besides the typical 3 genotypes (type I, type II and type III) first
described from samples from Europe and the United States. These genotypes can
differ in pathogenicity and transmissibility from the typical genotypes that have
been used in the majority of scientific research over the past 70 years. These
differences impact much of what we used to believe as facts about congenital
toxoplasmosis (CT) and will be important in developing new recommendations for
prevention of CT and the monitoring of women at risk for developing CT. The
present review highlights new information on T. gondii genotypes and how this
information will change the way we convey information about CT to pregnant women,
physicians and students.
PMID- 21902873
TI - Emergence of ageing-related changes in insulin secretion by pancreatic islets of
male rat offspring of mothers fed a low-protein diet.
AB - Maternal low-protein (LP) diets programme beta-cell secretion, potentially
altering the emergence of ageing of offspring pancreatic function. We
hypothesised that isolated pancreatic islet beta-cell secretory responses are
blunted in offspring exposed to LP during development and age-related reduction
is influenced by the developmental stage of exposure to decreased nutrition. We
studied male offspring of rats fed control (C) or LP protein (R) diets in
pregnancy, first letter and/or lactation second letter of CC, RR, CR or RC
groups. Serum glucose, insulin and homeostatic model assessment (HOMA) were
measured. Pancreatic islets were isolated and in vitro insulin secretion
quantified in low (LG - 5 mM) or high glucose (HG - 11 mM). Body weight and serum
values between groups were similar at all ages. Insulin and HOMA rose with age
and were highest at postnatal day (PND) 450 in all groups. At PND 36, insulin
secretion was greatest in RR and RC. Only CC increased insulin secretion to HG.
By PND 110, restricted groups responded less to LG but increased secretion to HG.
By PND 450, CC offspring alone increased secretion to HG. Despite minimal
differences in circulating insulin and glucose, reduced maternal protein intake
affected insulin secretion at all ages. In addition, ageing reduced function in
all R groups compared with CC by PND 110 and further by PND 450 most markedly in
RC. We conclude that maternal LP diet during pregnancy and/or lactation impairs
offspring insulin secretory response to a glucose challenge and alters the
trajectory of ageing of pancreatic insulin secretion.
PMID- 21902874
TI - In vitro culture and developmental cycle of the parasitic dinoflagellate
Hematodinium sp. from the blue crab Callinectes sapidus.
AB - Hematodinium is a genus of parasitic dinoflagellates whose species have caused
significant mortalities in marine crustacean fisheries worldwide. A species of
Hematodinium infects the blue crab, Callinectes sapidus on the eastern seaboard
of the USA. The mode of transmission of the parasite in blue crabs is unknown. We
established several continuous in vitro cultures of Hematodinium sp. isolated
from the haemolymph of infected blue crabs. One isolate has been continuously
maintained in our laboratory through serial subcultivation for over 12 months,
and is capable of infecting new hosts when inoculated into healthy crabs. Cells
of the parasite undergo characteristic developmental changes in vitro consistent
with the identifiable stages of Hematodinium sp.: filamentous trophonts, amoeboid
trophonts, arachnoid trophonts and sporonts, sporoblasts, prespores and
dinospores (macrospores and microspores). Additionally, we describe an unusual
shunt in the life cycle wherein presumptive schizonts derived from arachnoid
sporonts developed into filamentous and arachnoid trophonts that can then
initiate arachnoid sporonts in new cultures. This may explain the rapid
proliferation of the parasite in blue crab hosts. We also found that temperature
and light intensity affected the growth and development of the parasite in vitro.
PMID- 21902875
TI - The Hybrid II assay: a sensitive and specific real-time hybridization assay for
the diagnosis of Theileria parva infection in Cape buffalo (Syncerus caffer) and
cattle.
AB - Corridor disease is an acute, fatal disease of cattle caused by buffalo-adapted
Theileria parva. This is a nationally controlled disease in South Africa and
strict control measures apply for the movement of buffalo, which includes
mandatory testing for the presence of T. parva and other controlled diseases.
Accurate diagnosis of the T. parva carrier state in buffalo using the official
real-time hybridization PCR assay (Sibeko et al. 2008), has been shown to be
affected by concurrent infection with T. sp. (buffalo)-like parasites. We
describe the Hybrid II assay, a real-time hybridization PCR method, which
compares well with the official hybridization assay in terms of specificity and
sensitivity. It is, however, not influenced by mixed infections of T. sp.
(buffalo)-like parasites and is as such a significant improvement on the current
hybridization assay.
PMID- 21902876
TI - The looming epidemic of diabetes-associated tuberculosis: learning lessons from
HIV-associated tuberculosis.
AB - The prevalence of diabetes mellitus is increasing at a dramatic rate, and
countries in Asia, particularly India and China, will bear the brunt of this
epidemic. Persons with diabetes have a significantly increased risk of active
tuberculosis (TB), which is two to three times higher than in persons without
diabetes. In this article, we argue that the epidemiological interactions and the
effects on clinical presentation and treatment resulting from the interaction
between diabetes and TB are similar to those observed for human immunodeficiency
virus (HIV) and TB. The lessons learned from approaches to reduce the dual
burden of HIV and TB, and especially the modes of screening for the two diseases,
can be adapted and applied to the screening, diagnosis, treatment and prevention
of diabetes and TB. The new World Health Organization (WHO) and The Union
Collaborative Framework for care and control of TB and diabetes has many
similarities to the WHO Policy on Collaborative Activities to reduce the dual
burden of TB and HIV, and aims to guide policy makers and implementers on how to
move forward and combat this looming dual epidemic. The response to the growing
HIV-associated TB epidemic in the 1980s and 1990s was slow and uncoordinated,
despite clearly articulated warnings about the scale of the forthcoming problem.
We must not make the same mistake with diabetes and TB. The Framework provides a
template for action, and it is now up to donors, policy makers and implementers
to apply the recommendations in the field and to 'learn by doing'.
PMID- 21902877
TI - Shading, a view from the inside.
AB - Shape from shading arose from artistic practice, and later experimental
psychology, but its formal structure has only been established recently by
computer vision. Some of its algorithms have led to useful applications.
Psychology has reversely borrowed these formalisms in attempts to come to grips
with shading as a depth cue. Results have been less than spectacular. The reason
might well be that these formalisms are all based on Euclidean geometry and
physics (radiometry), which, are the right tools in third person accounts, but
have little relevance to first person accounts, and thus are biologically (and
consequently psychologically) of minor interest. We propose a formal theory of
the shading cue in the first person account, 'a view from the inside'. Such a
perspective is also required for autonomous robots in AI. This formalism cannot
be based on Euclidean geometry, nor on radiometry, but on the structure of
pictorial space, and the structure of brightness space. The formalism, though
different in kind, has a simple relation to the computer vision accounts. It has
great robustness, is free from calibration issues, and allows purely local shape
inferences. It is especially suited to biological (and thus AI) implementation.
We consider a number of predictions and confront them with available empirical
evidence.
PMID- 21902878
TI - Colour constancy as measured by least dissimilar matching.
AB - Although asymmetric colour matching has been widely used in experiments on colour
constancy, an exact colour match between objects lit by different chromatic
lights is impossible to achieve. We used a modification of this technique,
instructing our observers to establish the least dissimilar pair of differently
illuminated coloured papers. The stimulus display consisted of two identical sets
of 22 Munsell papers illuminated independently by neutral, yellow, blue, green
and red lights. The lights produced approximately the same illuminance. Four
trichromatic observers participated in the experiment. The proportion of exact
matches was evaluated. When both sets of papers were lit by the same light, the
exact match rate was 0.92, 0.93, 0.84, 0.78 and 0.76 for the neutral, yellow,
blue, green and red lights, respectively. When one illumination was neutral and
the other chromatic, the exact match rate was 0.80, 0.40, 0.56 and 0.32 for the
yellow, blue, green and red lights, respectively. When both lights were
chromatic, the exact match rate was found to be even poorer (0.30 on average).
Yet, least dissimilar matching was found to be rather systematic. Particularly,
a statistical test showed it was symmetric and transitive. The exact match rate
was found to be different for different papers, varying from 0.99 (black paper)
to 0.12 (purple paper). Such a variation can hardly be expected if observers'
judgements were based on an illuminant estimate. We argue that colour constancy
cannot be achieved for all the reflecting objects because of mismatching of
metamers. We conjecture that the visual system might have evolved to have colour
constant perception for some ecologically valid objects at a cost of colour
inconstancy for other types of objects.
PMID- 21902879
TI - Insight into sight, touch, taste and smell by multiple discriminations from norm.
AB - The paper presents an innovative theory of perception of multiple features across
and within modalities. Each step is illustrated by an aspect of data from diverse
experiments. The theory is that a template or norm of previously configurated
features is used to perceive an object in a situation, such as consuming an item
of food or drink. A mouthful usually stimulates sight first and then touch, taste
and smell, with thermal, irritative, kinaesthetic and auditory patterns often
also involved. The visual information also typically includes meanings of words,
numbers and pictures. Attended sensory and symbolic features of the situation
are integrated by the individual into a multidimensional distance from the norm.
Dimensions are calibrated in units of the response's discrimination between
levels of each stimulus feature. This approach to perceptual performance is
expounded for sensed and/or conceived visual features of drinks and foods, and
their tasted or smelt constituents, or felt and heard cracking during a bite. In
addition, the conceptual process that informs an analytical judgment can
influence another judgment. Applying the concept to a stimulus forms a
descriptive process. A concept may also be applied to another concept or to a
description, giving greater depth of meaning to an integrative judgment.
Furthermore, a description can be applied to an environmental source of
stimulation, creating a percept that presumably is conscious, whereas
unconceptualised stimulation may be subconscious.
PMID- 21902880
TI - Visual and auditory influence on perceptual stability in visual competition.
AB - In visual competition, the perception of ambiguous visual patterns changes
spontaneously. Although the process causing this perceptual alternation remains
unclear, recent evidence suggests various types of non-visual influences in
resolving visual ambiguity. In the present study, we investigated cross-modal
modulation of a transient stimulus on visual perceptual stability (i.e.,
alternation frequency). Participants observed an ambiguous visual figure and
reported their perceptual alternations. Concurrently, we presented visual and
auditory transient events. The results revealed that the auditory as well as
visual transient events destabilize the current perception (i.e., they increase
alternation frequency) around 0.5-1.5 s after the event. In addition, the
magnitudes of auditory and visual effects were comparable and positively
correlated within participants. These results suggest that the visual perceptual
stability can be under the influence of processes that are shared by different
senses.
PMID- 21902881
TI - Professor Leon Cintra McGlone, 1947-2009.
PMID- 21902882
TI - Leon Cintra's contribution to the field of protein malnutrition effects on sleep
and the brain.
AB - On June 19 2009, everyone who knew Leon Cintra was shocked by the terrible news
of the automobile accident that took his life. The feeling within the scientific
community was that his passing was not only a great loss for Mexican science but
also the loss of a beloved friend. He will be missed and forever remembered for
his brilliant mind and noble heart. His scientific career was focused, since the
beginning, on the study of protein malnutrition effects on brain morphometry,
somato-sensory transmission, sleep, circadian rhythms and behavior. His findings
showed that malnutrition has long lasting adverse effects on morphometry of
systems involved in sleep regulation such as locus coeruleus, nucleus raphe
dorsalis and susprachiasmatic nucleus, and on hippocampal circuit implicated in
theta activity generation. His results on spectral analysis of electrical field
potential at every 4 sec from 24-h baseline recording and 72-h of recovery sleep
after total sleep deprivation or selective REM sleep deprivation demonstrated
that protein malnutrition induced alterations on homeostatic as well as on
circadian sleep regulation; brain oscillations and theta coherent activity
between left and right hemisphere and between hippocampus and cerebral cortex are
also affected by malnutrition.
PMID- 21902883
TI - Mice undernourished before, but not after, weaning perform better in motor
coordination and spatial learning tasks than well-fed controls.
AB - Undernutrition of rodents has been claimed to result in long-term behavioural
deficits in motor coordination and spatial learning ability, although the
literature on this is somewhat conflicting. We have recently been engaged in a
study of the effects of either pre- or post-weaning undernutrition on longevity
in mice. As part of this ageing study, we have also assessed the effects of such
nutritional regimes on motor coordination and spatial learning ability of mice.
Motor coordination was tested in 21-week-old control and previously
undernourished mice by assessing their ability to remain on a revolving drum. We
have found that mice previously undernourished either during the pre- or post
weaning period performed better than controls during some, but not all, of the
test days. Spatial learning was tested in 50-58-week-old mice using the Morris
water maze. In this instance we found that mice previously undernourished during
the pre-weaning period performed better at this task than either controls or mice
undernourished for a period after weaning. It seems that undernutrition during
the pre-weaning period may, paradoxically, improve the performance of mice in
these behavioural tasks compared to controls. Undernutrition after weaning had
little or no effect on these behavioural measures. The exact mechanisms involved
in causing the observed long-term changes in functional capacity due to a period
of undernutrition from conception until weaning age of the mice in our study
remain unknown.
PMID- 21902884
TI - Early malnutrition predicts parent reports of externalizing behaviors at ages 9
17.
AB - OBJECTIVE: To determine whether externalizing behaviors are more prevalent in
youth who have experienced an episode of malnutrition in the first year of life
than in healthy comparison youth. METHOD: Parents of previously malnourished
youth and a matched healthy comparison group completed a behavior rating scale
when the youth were 9-15 years of age and again, 2 years later, when they were 11
17 years of age. Longitudinal multiple regression analysis was applied to
evaluate group differences adjusted for baseline age, sex, household standard of
living, and maternal depressive symptoms. RESULTS: Early childhood malnutrition
was associated with problems in executive functioning at both occasions.
Malnutrition also predicted discernibly higher parent-reported levels of
aggression toward peers at 9-15 years than at 11-17 years. These findings were
independent of baseline age, sex, household standard of living, and maternal
depressive symptoms. Problem behaviors in general decreased during follow-up.
CONCLUSION: Parents report persisting problems with executive functioning through
adolescence in youth who suffered an episode of moderate-to-severe protein-energy
malnutrition in the first year of life, while reports of aggression, although
more common when this cohort were younger, did not persist at follow-up.
PMID- 21902885
TI - Time-place learning is altered by perinatal low-protein malnutrition in the adult
rat.
AB - Malnutrition produces changes in the central nervous system (CNS) of mammals
during development, related to the intensity and timing of the malnutrition
insult during the pre- or postnatal period. Protein malnutrition produces
irreversible changes in hippocampal formation and some brain stem nuclei. The
suprachiasmatic nucleus (SCN) is dramatically altered by low-protein diets during
the gestational and perinatal periods. Also, it is known that circadian
oscillators regulate physiological, behavioral, and cognitive processes and there
is evidence that the time-place learning process exhibits a daily temporal
distribution. The aim of this study was to determine the effects of chronic,
prenatal, or postnatal malnutrition on daily patterns of the time-place learning
process in the adult rat. Forty Sprague-Dawley male 90-day-old rats, were divided
into four groups: 10 well nourished controls (Co), 10 chronically (CM), 10
prenatally malnourished (PrM), and 10 postnatally malnourished (PtM) rats.
Efficiency in time-place learning was tested by using a behavioral T-maze. Each
rat was assayed for 10 trials before considering the final probe of efficiency.
Each trial was 60 seconds long, final efficiency was measured by the amount of
time the rat took to reach the end of an arm containing a water pot. Each rat was
tested in 2-hour spans until completion of a full 24-hour cycle. A Cosinor
analysis was used to evaluate acrophase and percentage of rhythmicity. The
obtained results suggest that time-place learning process is influenced by the
circadian clock. The severity and timing of prenatal or chronic protein
malnutrition modifies the acrophase and rhythmicity of the learning circadian
pattern, which can impact important cognitive functions.
PMID- 21902886
TI - Lithium/nutrition interaction in the brain: a single lithium administration
impairs spreading depression in malnourished, but not in well-nourished rats.
AB - Lithium salts exert electrophysiological and behavioral effects in animals and
humans and have been used clinically in the treatment of bipolar disorders.
Little is known about the lithium/nutrition interaction in the developing brain.
This work aimed to determine, in adult rats, whether treatment with a single dose
of lithium chloride (LiCl) would influence the propagation of the brain
excitability-related phenomenon known as cortical spreading depression (CSD).
Male well-nourished (W; fed a lab chow diet with 22% protein; n=22) and
previously protein-malnourished rats (M; fed a low-quality 8% protein diet;
proteins mostly from vegetable source; n=20) were treated at 75-80 days of age
with a single intraperitoneal injection of either 50 mg/kg LiCl (n=9 W and 10 M
rats) or saline (n=13 W and 10 M rats). When the pups were 90-110 days, CSD was
elicited at the frontal cortex and recorded during 4 hours at two cortical
parietal points. In malnourished, but not in well-nourished rats, lithium
treatment lowered CSD velocities (P < 0.05), in comparison with saline-injected
animals. In a third group (n=23), in which the low-protein diet was
quantitatively corrected to 22%, the lithium effect disappeared (n=12), compared
to saline (n=11). Our results demonstrate a facilitating effect of malnutrition
on the CSD-impairing action of a single lithium administration, suggesting a
lithium/nutrition interaction.
PMID- 21902888
TI - Effect on haematological and anthropometric parameters of iron supplementation in
the first 2 years of life. Risks and benefits.
AB - Effects of iron supplementation (IS) on haematological and anthropometric
parameters in a cohort of 121 healthy children, followed from 6 to 24 months of
life, in the Paediatric Department, Second University of Naples, were evaluated.
Children were randomly segregated in four groups: (1) exclusively breast feeding
(BF) weaned with non-iron fortified (NIF) foods, (2) BF and iron fortified
formulas (IFF) and foods, (3) exclusively IFF and foods, (4) BF and oral iron
supplementation (OIS). Haematological parameters (Hb, MCV, Serum ferritin and
transferrin saturation) in addition to anthropometric measurements (length and
weight) were obtained. Results from the study at 6, 12, 18 and 24 months showed
significantly lower values of haematological parameters in BF infants than other
groups and in IFF infants than in those with OIS. In contrast children with OIS
showed significant lower length. It appears that IS may be of limited or no
benefit for growth in non-iron deficient children.
PMID- 21902887
TI - Prenatal protein malnutrition alters the proportion but not numbers of
parvalbumin-immunoreactive interneurons in the hippocampus of the adult Sprague
Dawley rat.
AB - Prenatal protein malnutrition alters the structure and function of the adult rat
hippocampal formation. The current study examines the effect of prenatal protein
malnutrition on numbers of parvalbumin-immunoreactive (PV-IR) GABAergic
interneurons, which are important for perisomatic inhibition of hippocampal
pyramidal neurons. Brain sections from prenatally protein malnourished and
normally nourished rats were stained for parvalbumin and PV-IR neurons were
quantified using stereology in the dentate gyrus, CA3/2 and CA1 subfields, and
the subiculum for both cerebral hemispheres. Results demonstrated that prenatal
malnutrition did not affect the number of PV-IR interneurons in the hippocampus.
Since prenatal protein malnutrition reduces total neuron numbers in the CA1
subfield (1), this results in an altered ratio of PV-IR interneurons to total
neuronal numbers (from 1:22.9 in controls to 1:20.5 in malnourished rats).
Additionally, there was no hemispheric asymmetry of either PV-IR neuron numbers
or ratio of PV-IR:total neuron numbers.
PMID- 21902889
TI - Retrospective epidemiological study of Latin American patients with transfusional
hemosiderosis: the first Latin American epidemiological study in iron overload-
the RELATH study.
AB - The retrospective epidemiological study of Latin Americans with transfusional
hemosiderosis is the first regional patient registry to gather data regarding the
burden of transfusional hemosiderosis and patterns of care in these patients.
Retrospective and cross-sectional data were collected on patients >=2 years with
selected chronic anemias and minimum 20 transfusions. In the 960 patients
analyzed, sickle-cell disease (48.3%) and thalassemias (24.0%) were the most
frequent underlying diagnoses. The registry enrolled 355 pediatric patients (187
with sickle-cell disease/94 with thalassemia). Serum ferritin was the most
frequent method used to detect iron overload. Complications from transfusional
hemosiderosis were reported in ~80% of patients; hepatic (65.3%), endocrine
(27.5%), and cardiac (18.2%) being the most frequent. These data indicate that
hemoglobinopathies and complications due to transfusional hemosiderosis are a
significant clinical problem in the Latin American population with iron overload.
Chelation therapy is used insufficiently and has a high rate of discontinuation.
PMID- 21902890
TI - Reduced corticosteroid use in adult patients with primary immune thrombocytopenia
receiving romiplostim.
AB - Adult patients with primary immune thrombocytopenia requiring first-line
treatment typically receive corticosteroids, which are associated with low
response rates and many potential side effects. In a retrospective analysis of
two 6-month, placebo-controlled, phase III trials, corticosteroid use decreased
from 30 to 26% among patients treated with the novel thrombopoietin-mimetic
romiplostim (n = 83) and remained above 30% for placebo-treated patients (n =
42). Moreover, compared to placebo, patients were spared 7 weeks of
corticosteroid treatment for every 100 weeks of romiplostim treatment.
Thereafter, corticosteroid use continued to decrease significantly, from 35 to
20%, in patients treated with romiplostim for up to 3 years in an open-label
extension study (n = 101), and patients were spared a further 8 weeks of
corticosteroid treatment for each additional 100 weeks of romiplostim treatment.
Such reductions in corticosteroids may improve health-related quality of life in
patients with primary immune thrombocytopenia.
PMID- 21902891
TI - Serum 25-hydroxyvitamin D levels are associated with prognosis in hematological
malignancies.
AB - It has been proposed that Vitamin D has a significant influence on disease
progression in malignancy. This study aims to investigate whether serum levels of
25-hydroxyvitamin D [25(OH)D] are associated with prognosis in patients with
hematological malignancies. This study is based on 105 patients with
hematological disease (acute and chronic leukemias, myelodysplastic syndromes,
monoclonal gammapathies, and chronic lymphoid disorders), seen over a 6-months
period. 25(OH)D deficiency (<20 ng/ml) appeared very common and an inverse
relationship was observed between 25(OH)D levels and the response to therapy:
lower levels being related to poorer response. In acute leukemias, a significant
difference was noted between patients with long-term disease-free survival in
those tested at diagnosis (P=0.001) or in those tested at the time of relapse
(P=0.05). Similarly in patients with Philadelphia-positive leukemias, there was a
correlation between molecular response and levels of 25(OH)D (P=0.01). Previously
identified factors, such as age, season, gender, or nutritional index, were not
related to circulating 25(OH)D levels. Lower levels of circulating 25(OH)D
appeared related to a progressive stage of the disease and poor response to
therapy, and, therefore, to the aggressiveness of the disease. It is a potential
marker of prognosis in patients with leukemia.
PMID- 21902892
TI - Impact of prohepcidin levels and iron parameters on early post-transplantation
toxicities.
AB - OBJECTIVE: Recent reports show the adverse impact of pre-transplantation iron
overload on the outcome of haematopoietic stem cell transplantation (HSCT). We
studied the pre-transplantation serum iron (SI) parameters including prohepcidin
levels - a regulatory peptide of systemic iron homeostasis - and their role in
early post-transplantation toxicities in allogeneic HSCT recipients. PATIENTS AND
METHODS: One hundred consecutive patients [36 women and 64 men; median age 27.5
years (range 16-63 years)] who underwent allogeneic HSCT between September 2003
and October 2007 at Gazi University were included in the study. RESULTS: Pre
transplantation serum prohepcidin levels did not show correlation with SI
parameters and interleukin-6 levels (P>0.05). Prohepcidin levels were inversely
correlated with the National Cancer Institute grade of mucositis (P=0.060),
neutropenic fever (P<0.001), and the number of days with febrile neutropenia
(P=0.003). SI levels were correlated with the severity of hepatotoxicity
(P=0.015) while pre-transplantation transferrin saturation levels were positively
correlated with the severity of hepatotoxicity (P=0.055), pulmonary toxicity
(P=0.032), and sinusoidal obstruction syndrome (P=0.049). Pre-transplantation
serum ferritin levels were positively correlated with the development of
sinusoidal obstruction syndrome (P=0.010) and inversely correlated with the day
of neutrophil engraftment (P=0.012). Overall survival was 41.26% with a median
follow-up time of 13 months (range 0.0-60 months). Pre-transplantation serum
prohepcidin levels and iron overload were not associated with survival in Cox
regression analysis. CONCLUSION: Our results suggest that pre-transplantation
iron parameters and prohepcidin levels might predict some of the early post
transplantation toxicities, however, without an impact on overall survival.
PMID- 21902893
TI - A clinical analysis of primary testicular diffuse large B-cell lymphoma in China.
AB - The objective of this study was to analyze the clinical behavior and treatment
policy of patients with primary testicular diffuse large B-cell lymphoma by
retrospective analysis of 32 patients at our institute. All patients underwent
orchidectomy. Anthracycline-based chemotherapy was administered to 27 patients
(84.38%), six of whom also received rituximab; prophylactic intrathecal
chemotherapy was given to seven patients (21.88%); and eight patients (25%)
received prophylactic scrotal radiotherapy. Thirteen patients had relapse, among
whom 12 cases were extranodal recurrences. Seven patients had central nervous
system involvement, and four patients relapsed in the contralateral testis. The
presence of B symptoms, poor Eastern Cooperative Oncology Group performance
status, left testicular involvement, central nervous system involvement, and
first relapse within 1 year were associated with worse progression-free survival
using univariate analysis. Poor Eastern Cooperative Oncology Group performance
status, left testicular involvement, and surgery alone were negative prognostic
factors for overall survival.
PMID- 21902894
TI - Bypassing the maturation arrest in myeloid cell line U937 by over-expression of
microRNA-424.
AB - Micro RNAs are a class of small non-coding RNAs which has been recently shown to
play a crucial role in major cellular processes such as development and
differentiation through post-transcriptional regulation. The role of these
epigenetic elements has also been demonstrated in hematopoietic lineage
differentiation and there is a large body of evidence that miR-424 is responsible
for monocyte differentiation. Our goal was to examine the effect of miR-424 over
expression on defeating the maturation blockage in monoblastic cell line U937.
The permanent over-expression of miR-424 was established using a retroviral
vector construct containing the precursor of miR-424 sequence. Induction of
differentiation process was monitored by assaying changes in cell morphology, and
expression of cell surface markers using light microscopy, quantitative RT-PCR,
and flow cytometry for monocyte markers such as CD11b and CD14. The cells showed
monocytic characteristics 14 days after transduction, and CD11b and CD14
expression were significantly increased, confirmed by flow cytometry QRT-PCR and
RT-PCR results. In conclusion, miR-424 over-expression is an effective factor in
maturation of the monoblastic U937 cells and it has the ability of directing them
into cells, expressing monocyte/macrophage characteristics.
PMID- 21902895
TI - Quercetin-induced apoptosis involves increased hTERT enzyme activity of leukemic
cells.
AB - We aimed to examine the growth suppressive effects of quercetin on acute
promyelocytic and lymphoblastic leukemia and chronic myeloid leukemia, and to
find out whether the growth suppression is related to the blocking of telomerase
enzyme activity. Cytotoxic effects of quercetin were shown by trypan blue
analyses. Apoptotic effects of quercetin were examined by acridine orange and
ethidium bromide staining by fluorescence microscopy. The effects of quercetin on
telomerase enzyme activity were shown by hTERT Quantification Kit. Our results
demonstrated that quercetin has antiproliferative and apoptotic effects on T-cell
acute lymphoblastic leukemia (ALL), acute promyelocytic leukemia, and chronic
myeloid leukemia (CML) cells. We also showed for the first time by this study
that quercetin suppresses the activity of telomerase in ALL and CML cells. The
results of this study show the importance of quercetin for its therapeutic
potential in treatment of leukemias.
PMID- 21902896
TI - Activated FVII levels in factor VII Padua (Arg304Gln) coagulation disorder and in
true factor VII deficiency: a study in homozygotes and heterozygotes.
AB - Congenital FVII deficiency is usually subdivided into two forms: type I and type
II. Type I is characterized by a concomitant deficiency of FVII activity and FVII
antigen (true deficiency). Type II is characterized by a discrepancy between FVII
activity which is always low and FVII antigen which may be normal, near normal,
or reduced. Thromboplastins of different origins may show a discrepant behaviour
towards type II FVII deficiencies. The abnormal factor VII present in these forms
may, in fact show, different levels of activity, according to the thromboplastin
used in the assay system. Typical of these variants is the Arg304Gln mutation
(know as FVII Padua). In this variant, FVII level is low when rabbit brain
thromboplastin is used, whereas the level is perfectly normal when ox-brain
thromboplastin is employed. Intermediate levels are obtained if human placenta or
human recombinant is used. Since ox-brain thromboplastin is very sensitive to
activated FVII, the normal FVII levels obtained in FVII Padua could be due to
abnormally high circulating levels of activated FVII. The purpose of the present
paper was to investigate the level of activated FVII present in homozygotes and
heterozygotes with FVII Padua. For comparison, a group of patients with type I or
'true' deficiency was also investigated. A group of 21 normal patients served as
controls. The activated FVII level found in FVII Padua was 8.4 and 41.0 mU/ml for
homozygotes and heterozygotes, respectively. The level found in homozygous true
deficiency was unassayable, whereas that found in heterozygotes was 36.2 mU/ml.
The level found in the control population was 64.9 mU/ml in agreement with other
reports. The low levels of activated FVIIa found in homozygotes with FVII Padua
indicate that the normal FVII activity found with ox-brain thromboplastin cannot
be attributed to higher than normal circulating levels of FVIIa.
PMID- 21902897
TI - Adipogenic differentiation alters the immunoregulatory property of mesenchymal
stem cells through BAFF secretion.
AB - Although it has been widely demonstrated that mesenchymal stem cells (MSCs) exert
potent immunosuppressive effect, there is little information as to whether
adipogenic-differentiated MSCs (adi-MSCs) share the same property. Here, adi-MSCs
enhanced alloantigen or mitogen-stimulated lymphocyte proliferation, whereas
undifferentiated MSCs (ud-MSCs) inhibited the proliferation. Transwell experiment
showed that the stimulatory effect of adi-MSCs was cell-cell contact-independent,
and required soluble factors. Furthermore, the supernatant of cultured adi-MSCs
could effectively costimulate T and B-lymphocyte proliferation and activation in
the presence of anti-CD3 and anti-mu chain treatment, respectively. Production of
cytokines interferon-gamma and tumor necrosis factor-alpha by T cells, and Ig
secretion by B cells also were increased by the supernatant of cultured adi-MSCs.
Mechanism conducted showed that the mRNA and protein expression of costimulatory
molecule B-cell activating factor (BAFF) was upregulated, and soluble BAFF was
secreted in MSCs after adipogenic differentiation. By blocking the BAFF molecule
with specific monoclonal antibody in the culture, T and B-lymphocyte
proliferation and activation was stimulated by adi-MSCs or the supernatants were
greatly reduced. In conclusion, adipogenic differentiation may alter the
immunoregulatory property of MSCs, leading to stimulation of lymphocytes
response. The BAFF molecule secreted by the adi-MSCs was responsible for this
event.
PMID- 21902898
TI - Quality improvement projects for appraisal and revalidation of general
practitioners.
PMID- 21902899
TI - Reinventing the doctor.
PMID- 21902900
TI - Quality service delivery in cardiac rehabilitation: cross-cultural challenges in
an Australian setting.
AB - BACKGROUND: Cardiac rehabilitation is an evidence-based health service model for
providing secondary prevention strategies following an acute cardiac event. In
spite of the benefits of cardiac rehabilitation, there are striking cultural and
ethnic disparities with regard to access to and usage of these programmes.
OBJECTIVE: To investigate the challenges in providing cardiac rehabilitation to
culturally diverse populations in Australia to inform culturally competent care.
METHOD: This was a qualitative study using interviews with 25 health
professionals from diverse professional and language backgrounds working in
cardiac rehabilitation and participant observation of educational and counselling
sessions in four cardiac rehabilitation programmes in metropolitan Sydney,
Australia. RESULTS: Providing cardiac rehabilitation to patients from culturally
and linguistically diverse backgrounds presented greater challenges than did
provision to the mainstream population. These challenges resulted from the
interaction of multiple and complex factors such as patients, providers,
structural and organisational characteristics within the treatment setting.
Communication issues, reconciling health messages with culturally specific issues
such as diet, social and family structure and implementation of self-management
strategies are significant challenges. CONCLUSION: Strategies are needed to
overcome cross-cultural challenges and ensure effective and equitable cardiac
rehabilitation service delivery.
PMID- 21902901
TI - Calcium channel blocker associated lower urinary tract symptoms in males: an
Australian retrospective observational study.
AB - BACKGROUND: Lower urinary tract symptoms (LUTS) are common and prevalence
increases with age. In men, voiding symptoms are more commonly encountered than
storage symptoms. LUTS are often associated with chronic diseases but their
pathophysiology requires further study. We aimed to determine whether calcium
channel blockers (CCBs) worsened, improved or did not alter symptoms of urinary
obstruction in males aged 45 years and above with medical conditions associated
with urinary obstruction. METHODS: A cohort retrospective observational study was
undertaken to identify the effect of the use of CCBs on LUTS in males over 45
years of age. Participants were recruited from four community pharmacies and a
general practitioner surgery. Eligible participants provided informed consent and
were administered a standardised questionnaire (IPSS) to obtain information on
LUTS and quality of life (QOL) prior to and after commencement of CCB therapy.
RESULTS: Thirty-eight males were enrolled in the study, and their ages of ranged
from 46.2 to 88.7 years, with the mean age being 66.9 years (95% CI: 63.9-69.9).
The mean IPPS score prior to commencing a CCB was 3.13 (95% CI: 2.09-4.17)
compared to 9.82 (95% CI: 7.83-11.80) whilst on the drug (P<0.001). After
adjusting for other medications and conditions which may contribute to LUTS, and
for the natural progression of LUTS with ageing, the change remained significant.
The increase in IPPS score was associated with a significant decline in the
respondents' QOL. CONCLUSION: The results of this study suggest that in middle
aged males the introduction of a CCB may be associated with worsening of LUTS,
and an associated decline in QOL, although this will need to be confirmed in
large prospective studies. However, given the common use of these agents in males
to treat a range of cardiovascular conditions, we would suggest that men
prescribed a CCB should be questioned about urinary symptoms before and after
commencing treatment.
PMID- 21902902
TI - A preliminary report on the medical profile of disabled persons living in Zhabei
District, Shanghai, Mainland China.
AB - BACKGROUND: The prevalence of chronic diseases and their risk factors in
different general populations are well documented, but little is known about
disabled populations in China. AIMS: The purpose of this study is to report
available data on the medical profile of disabled persons living in Zhabei
District, Shanghai, Mainland China. It also aimed to explore the association
between any medical conditions, types and severity of disabilities and socio
demographic factors. METHODS: Nine hundred and sixty-one disabled persons
underwent physical medical examination to identify predisposing conditions for
chronic diseases (hypertension and elevated glucose, lipids and triglyceride
levels) and to diagnose two common chronic diseases - heart disease and diabetes.
Logistic regression was used to assess the influence of age, gender, disability
type, disability severity, education level, employment status, socio-economic
status or marital status on chronic diseases and predisposing factors. RESULTS:
The mean age of the participants was 53 (+/-12.5) years. The most prevalent types
of disability were physical disability (44.9%), visual impairment (28.8%) and
intellectual disability (12.5%). Hyperlipidemia was most prevalent (17.1%),
followed by hypertension (15.3%), heart disease (7.2%) and diabetes (6.0%). These
conditions were not associated with the type or the severity of disability in
this sample. Males were more likely to have hypertension (OR=1.75; 95% CI: 1.05,
2.93) and elevated triglycerides (OR=1.84; 95% CI: 1.05, 3.20). The unemployed
(OR=4.80, 95% CI: 1.45, 15.76) and older participants (OR=1.04, 95% CI: 1.02,
1.07) were more likely to have elevated blood glucose levels. Divorced
individuals were more likely to be diagnosed with heart disease (OR=3.72; 95% CI:
1.37, 10.09) and those with better socio-economic backgrounds (OR=3.09; 95% CI:
1.05, 9.15) and the older disabled (OR=1.08, 95% CI: 1.04, 1.12) were more likely
to have diabetes. CONCLUSION: This preliminary study found that abnormal clinical
findings were not related to the type or the severity of disability. Most
abnormal findings were, however, related to increasing age, consistent with
previous findings in the general Chinese population.
PMID- 21902903
TI - How good is the quality of antenatal care in the Colombo district of Sri Lanka in
diagnosing and treating anaemia?
AB - BACKGROUND: Sri Lanka has shown relatively good maternal outcome indicators for a
developing country. However, high maternal deaths from haemorrhage and anaemia
raise questions about the quality of detection and treatment of anaemia at field
antenatal clinics, which is the primary care setting for pregnant women. AIM: The
aim of the study was to assess the quality of facilities and services and how
satisfactory antenatal care is with regard to diagnosis and treatment of anaemia.
METHODS: This study was set in field antenatal clinics and conducted in two
stages based on the Lot Quality Assurance Sampling method. In the first stage 55
antenatal clinics were selected, and in the second stage 275 pregnant women were
recruited from these 55 clinics. Quality of services and quality of facilities
were assessed using observation, and an interviewer administered questionnaire
was used to measure client satisfaction. The validity of haemoglobin colour scale
results was investigated by comparing them with results from a quality assured
laboratory. RESULTS: Eleven health areas, other than the seven areas which had
the haemoglobin investigated, were unacceptable as regards the quality of
services. The quality of facilities was better than the quality of services in
the Colombo district. Information and counselling was provided for only 4% of
women in the clinics. The sensitivity and the specificity for the haemoglobin
colour scale was 62% (95% CI: 52.9%-71.1%) and 86% (95% CI: 79.6%-93.0%)
respectively. CONCLUSION: Urgent steps should be taken to improve the quality of
care in the health areas where care is substandard, in order to reduce morbidity
and mortality due to anaemia.
PMID- 21902904
TI - Aspirin bleeding in perspective.
AB - Aspirin therapy should be an adjunct to the medical management of patients who
have had a vascular event but the role of aspirin prophylaxis in the primary
prevention of vascular events is less clear. This benefit-versus-risk balance
may, however, be influenced by evidence that aspirin reduces bowel cancer risk.
Wider aspirin use could lead to more advice being sought on its use from
community pharmacists and general practitioners. Yet 10% of those taking aspirin
experience symptoms that negatively affect their daily quality of life. These
symptoms, such as heartburn, may discourage more individuals from taking aspirin
than would the risk of bleeding.
PMID- 21902905
TI - European differences in cancer survival: report of an international symposium of
general practitioners from three countries exploring primary and secondary care
delay.
AB - BACKGROUND: There are several potential delays in the cancer diagnostic pathway:
patient delay, primary care delay and secondary care delay. People in the UK have
poorer five-year survival from many cancers compared with people in European
countries with similar healthcare systems. The reasons for this are not clear,
although it has been postulated that UK patients may present with cancer at a
later stage. We are conducting a study to investigate the feasibility of
comparing diagnostic delays in different European countries. METHODS: (conduct of
the symposium): A half-day, round-table symposium was convened with seven general
practitioners (GPs) and single primary care researchers from Sweden (Malmo), the
Netherlands (Maastricht) and Scotland (Aberdeen). In Session One the group had a
broad-ranging discussion comparing and contrasting their different working
contexts and how these might impact on the cancer diagnostic pathway. In Session
Two the group considered two case studies from Scotland, applying their own local
experience and the insights generated in Session One to identify common and
divergent issues. When it had finished the facilitator drafted a detailed report
of the symposium which was supported by reference to the individual participants'
notes which had been collected at the end of the symposium. RESULTS: (consensus
views reached): Sweden, the Netherlands and Scotland have strong traditions of
primary care acting in a gate-keeping role. People with cancer in the three
countries following a broadly similar cancer diagnostic pathway. In Sweden and
the Netherlands GPs have direct unscreened access to a greater range of
investigations than in Scotland. In Scotland there is a greater reliance on
specialist guidelines than in the Netherlands or Sweden. Future research in the
UK could explore the potential contribution of increased GP access to
investigations and revised referral guidelines.
PMID- 21902906
TI - Reducing variation in general practitioner referral rates through clinical
engagement and peer review of referrals: a service improvement project.
AB - BACKGROUND: General practitioner (GP) referral rates to hospital services vary
widely, without clearly identified explanatory factors, introducing important
quality and patient safety issues. Referrals are rising everywhere year on year;
some of these may be more appropriately redirected to lower technology services.
AIM: To use peer review with consultant engagement to influence GPs to improve
the quality and effectiveness of their referrals. DESIGN: Service development
project. SETTING: Ten out of 13 GP practices in Torfaen, Gwent; consultants from
seven specialties in Gwent Healthcare NHS Trust; project designed and managed
within Torfaen Local Health Board between 2008 and 2009. METHODS: GPs discussed
the appropriateness of referrals in selected specialties, including referral
information and compatibility with local guidelines, usually on a weekly basis
and were provided with regular feedback of 'benchmarked' referral rates. Six
weekly 'cluster groups', involving GPs, hospital specialists and community health
practitioners discussed referral pathways and appropriate management in community
based services. RESULTS: Overall there was a reduction in variation in individual
GP referral rates (from 2.6-7.7 to 3.0-6.5 per 1000 patients per quarter) and a
related reduction in overall referral rate (from 5.5 to 4.3 per 1000 patients per
quarter). Both reductions appeared sustainable whilst the intervention continued,
and referral rates rose in keeping with local trends once the intervention
finished. CONCLUSION: This intervention appeared acceptable to GPs because of its
emphasis on reviewing appropriateness and quality of referrals and was effective
and sustainable while the investment in resources continued. Consultant
involvement in discussions appeared important. The intervention's cost
effectiveness requires evaluation for consideration of future referral management
strategies.
PMID- 21902907
TI - Effect of modification manner on the photodynamic antitumor activity of C60
modified with pullulan.
AB - To design a novel cytospecific photosensitizer for photodynamic antitumor
therapy, a fullerene (C(60)) was chemically modified with pullulan, a water
soluble polysaccharide with a high affinity for asialoglycoprotein receptors
(ASGPRs). The effect of the molecular weight of pullulan and the modification
manner to C(60) on the photodynamic antitumor activity of C(60) modified with
pullulan was evaluated. In this study, two modification manners were selected.
First, ethylene diamine was chemically introduced to the hydroxyl groups of
pullulan with different molecular weights. Then, C(60) was coupled to pullulan
through the amino groups introduced (pendant type). Second, ethylene diamine was
introduced to the terminal aldehyde groups of pullulan by a reductive amination
reaction, and then the pullulan with the terminal amino groups was coupled to
C(60) (terminal type). Irrespective of the pullulan molecular and the
modification manner, the C(60)-pullulan conjugates exhibited a similar ability to
generate superoxide anions upon light irradiation. Comparing the C(60)-pullulan
conjugates of pendant and terminal types, a high lectin affinity was observed for
the latter conjugates. The conjugates showed a high affinity for HepG2 cells with
ASGPRs and, consequently, a strong in vitro antitumor activity on the cells. It
is concluded that the manner of pullulan modification is a key factor
contributing to the photodynamic antitumor activity of modified C(60).
PMID- 21902908
TI - A novel poisson distribution-based approach for testing boundaries of real-time
PCR assays for food pathogen quantification.
AB - The validation of quantitative real-time PCR systems and above all, proof of the
detection limit of this method, is a frequently and intensively discussed topic
in food pathogen detection. Among proper sample collection, assay design, careful
experimental design, execution of real-time PCR, and data analysis, the
validation of the method per se ensuring reliable quantification data is of prime
importance. The purpose of this study was to evaluate a novel validation tool for
real-time PCR assays, based on the theoretical possibility of the amplification
of a single DNA target. The underlying mathematical basis for the work is Poisson
distribution, which describes patterns of low particle numbers in a volume. In
this context, we focused on the quantitative aspect of real-time PCR for the
first time. This allowed for demonstration of the reliable amplification of a
lone target DNA molecule and the demonstration of the distinct discrimination
between integer molecular numbers when using low initial copy numbers. A real
time PCR assay amplifying a 274-bp fragment of the positive regulatory protein A
locus of Listeria monocytogenes was used for this work. Evidence for a linear
range of quantification from a single target copy to 10 ng of target DNA was
experimentally demonstrated, and evidence for the significance of this novel
validation approach is presented here.
PMID- 21902909
TI - Prevalence and molecular characterization of Escherichia coli O157:H7 by multiple
locus variable-number tandem repeat analysis and pulsed-field gel electrophoresis
in three sheep farming operations in California.
AB - A year-long study was conducted to determine the fecal prevalence of Escherichia
coli O157:H7 in three sheep ranches. Strain diversity and persistence were
compared with multiple-locus variable-number tandem repeat analysis and pulsed
field gel electrophoresis. Ranch C, a feedlot, consisted of young sheep raised
predominantly on a high-grain diet. The other two sites consisted of sheep raised
on native pasture and a combination of native and irrigated pasture. Forty fecal
samples were collected every month from each ranch. Samples were examined for E.
coli O157:H7 by immunomagnetic separation and culture of the magnetic beads onto
selective media. Detection of virulence markers in positive isolates was
determined by PCR. E. coli O157:H7 was isolated from 100 (22.7%) of 440 fecal
samples collected from ranch C. On ranch B, 9 (1.9%) of the 480 fecal samples
were positive for the pathogen, while none of the samples from ranch A were
positive. On ranch C, the odds of detecting E. coli O157:H7 was 3.2 times greater
during the warmer months compared with the cooler months of the year. There was
no association between days spent in the feedlot and fecal prevalence of the
pathogen (P = 0.62). Most multiple-locus variable-number tandem repeat analysis
types were isolated only once from ranch C (14 of 23), but several strains were
isolated over 4 to 6 months, often in many intervening negative months. This
study revealed that the prevalence of E. coli O157:H7 can be high in some sheep
ranches in California, especially in feedlots where young sheep are fed
predominantly high-grain rations.
PMID- 21902910
TI - Modeling preharvest and harvest interventions for Escherichia coli O157
contamination of beef cattle carcasses.
AB - Field studies evaluating the effects of multiple concurrent preharvest
interventions for Escherichia coli O157 are logistically and economically
challenging; however, modeling techniques may provide useful information on these
effects while also identifying crucial information gaps that can guide future
research. We constructed a risk assessment model with data obtained from a
systematic search of scientific literature. Parameter distributions were
incorporated into a stochastic Monte Carlo modeling framework to examine the
impacts of different combinations of preharvest and harvest interventions for E.
coli O157 on the risk of beef carcass contamination. We estimated the risk of E.
coli O157 carcass contamination conditional on preharvest fecal prevalence
estimates, inclusion of feed additive(s) in the diet, vaccination for E. coli
O157, transport and lairage effects, hide intervention(s), and carcass
intervention(s). Prevalence parameters for E. coli O157 were assumed to encompass
potential effects of concentration; therefore, concentration effects were not
specifically evaluated in this study. Sensitivity analyses revealed that fecal
prevalence, fecal-to-hide transfer, hide-to-carcass transfer, and carcass
intervention efficacy significantly affected the risk of carcass contamination
(correlation coefficients of 0.37, 0.56, 0.58, and -0.29, respectively). The
results indicated that combinations of preharvest interventions may be
particularly important for supplementing harvest interventions during periods of
higher variability in fecal shedding prevalence (i.e., summer). Further
assessments of the relationships among fecal prevalence and concentration, hide
contamination, and subsequent carcass contamination are needed to further define
risks and intervention impacts for E. coli O157 contamination of beef.
PMID- 21902911
TI - Specific expression of adherence-related genes in Escherichia coli O157:H7 strain
EDL933 after heat treatment in ground beef.
AB - In this study, the expression of particular stress- and virulence-associated
genes of Escherichia coli O157:H7 strain EDL933 in ground beef was investigated
using real-time PCR. Specific gene expression in the food matrix was found in
combination with heat treatment. In contrast to a treatment at 37 degrees C,
treatment at 48 degrees C for 10 min resulted in increased expression of the
genes eae, hcpA, iha, lpfA, and toxB. Adherence to human intestinal HT-29 cells
was enhanced in bacterial cells inoculated and heat treated in ground beef. The
expression of gadE, which encodes a main regulator of the glutamate system of the
acid response, was reduced under these conditions. However, expression of rpoS
and recA, which are involved in the establishment of stress responses, and Shiga
toxin genes was not significantly different under the same conditions.
PMID- 21902912
TI - Inactivation of Salmonella on pecan nutmeats by hot air treatment and oil
roasting.
AB - Studies were done to determine the effectiveness of hot air drying, dry roasting,
and oil roasting in killing Salmonella on pecan nutmeats. Pecan halves and pieces
were inoculated by immersion in a five-serotype suspension of Salmonella or by
surface application of powdered chalk containing the pathogen. Hot air treatment
of low-moisture (2.8 to 4.1%) and high-moisture (10.5 to 11.2%) immersion
inoculated nutmeats (initial population, 6.18 to 7.16 log CFU/g) at 120 degrees C
for 20 min reduced the number of Salmonella by 1.18 to 1.26 and 1.89 to 2.04 log
CFU/g, respectively. However, regardless of the moisture content, hot air
treatment of pecan halves containing 0.77 log CFU/g at 120 degrees C for 20 min
failed to eliminate Salmonella. Reductions were >7 log CFU/g when dry pieces were
dry roasted at 160 degrees C for 15 min. Treatment of halves at 140 degrees C for
20 min, 150 degrees C for 15 min, or 170 degrees C for 10 min reduced Salmonella
by 5 log CFU/g. The pathogen was slightly more heat resistant in immersion
inoculated nutmeats than on surface-inoculated nutmeats. Exposure of immersion
inoculated pieces to peanut oil at 127 degrees C for 1.5 min or 132 degrees C for
1.0 min reduced the number of Salmonella by 5 log CFU/g. Treatment of halves at
138 degrees C for 2.0 min reduced Salmonella by 5 log CFU/g; treatment at 132
degrees C for 2.5 to 4.0 min did not always achieve this reduction. Hot air
treatment cannot be relied upon to reduce Salmonella by 5 log CFU/g of raw pecan
nutmeats without changing sensory qualities. Treatment temperatures and times
typically used to oil roast nutmeats appear to be sufficient to reduce Salmonella
by 5 log CFU/g.
PMID- 21902913
TI - Prevalence and antimicrobial susceptibility of major foodborne pathogens in
imported seafood.
AB - Seafood is a leading commodity implicated in foodborne disease outbreaks in the
United States. Seafood importation rose dramatically in the past 3 decades and
now contributes to more than 80% of the total U.S. seafood supply. However,
limited data are available on the microbiological safety of imported seafood. In
this study, we obtained a total of 171 salmon, shrimp, and tilapia samples
imported from 12 countries in three retail stores in Baton Rouge, LA. The total
microbial population and the prevalence and antimicrobial susceptibilities of six
major foodborne-pathogen genera (Campylobacter, Escherichia coli, Listeria,
Salmonella, Shigella, and Vibrio) were determined. The aerobic plate counts (APC)
for the 171 samples averaged 4.96 log CFU/g, with samples from Chile carrying the
highest mean APC of 6.53 log CFU/g and fresh samples having a significantly
higher mean APC than frozen ones (P < 0.0001). There were 27 samples (15.8%) with
unacceptable microbiological quality (APC > 7 log CFU/g). By culture, no sample
tested positive for Campylobacter coli, Shigella, or Vibrio vulnificus.
Campylobacter jejuni and Salmonella enterica serovar Typhimurium were each
recovered once from farm-raised tilapia from China. By PCR, 17.5 and 32.2% of the
samples were positive for Salmonella and Shigella, respectively. The overall
prevalence rates of other target bacteria were low, ranging from 4.1% for
Listeria monocytogenes to 9.4% for E. coli. All of the Vibrio parahaemolyticus
isolates recovered were from shrimp, and 63.3% showed intermediate resistance to
ampicillin. Both C. jejuni isolates possessed a rare resistance to gentamicin,
while 75% of L. monocytogenes isolates were resistant to nitrofurantoin. Taken
together, these findings suggest potential food safety hazards associated with
imported seafood and warrant further large-scale studies.
PMID- 21902914
TI - Development and evaluation of a loop-mediated isothermal amplification assay for
rapid and sensitive detection of Vibrio parahaemolyticus.
AB - Loop-mediated isothermal amplification (LAMP) assays targeting the rpoD and toxR
genes were developed to detect Vibrio parahaemolyticus. All 78 tested V.
parahaemolyticus strains yielded positive results within 40 min, while negative
results were obtained for 69 strains of other organisms even at 60 min. For V.
parahaemolyticus ATCC 17802 in pure culture, the detection limits of LAMP assays
targeting rpoD and toxR were 3.7 and 450 CFU per test, respectively. Due to the
higher sensitivity of rpoD-LAMP, it was further evaluated for the ability to
detect V. parahaemolyticus in seafood samples. V. parahaemolyticus populations
spiked in short-necked clams were enumerated by the most-probable-number (MPN)
method combined with the rpoD-LAMP assay and the MPN method with a culture method
using agar medium. The MPN-rpoD-LAMP method had better sensitivity and was more
rapid than the conventional method. These results indicate that the MPN-LAMP
assay targeting the rpoD gene is a specific, sensitive, and rapid method to
enumerate V. parahaemolyticus organisms.
PMID- 21902915
TI - Efficacy of a novel sanitizer composed of lactic acid and peroxyacetic acid
against single strains of nonpathogenic Escherichia coli K-12, Listeria innocua,
and Lactobacillus plantarum in aqueous solution and on surfaces of romaine
lettuce and spinach.
AB - A novel sanitizer composed of lactic acid and peroxyacetic acid (LA-PAA) was
developed as an alternative to chlorinated water (CW) for fresh produce
processing. Single strains of Lactobacillus plantarum, nonpathogenic Escherichia
coli K-12, and Listeria innocua were used to demonstrate the microbial efficacy
of LA-PAA. LA-PAA achieved a >7.8-log reduction of L. innocua and L. plantarum
suspended in water at 4 degrees C for 20 s, and LA, PAA, and CW achieved
reductions of 0.4, 4.8, and 2.7 log, respectively. LA-PAA, when compared with LA,
PAA, and CW, enhanced the reduction of L. innocua attached to romaine leaves by
>2.2 log, and improved the removal of E. coli attached to spinach leaves by >2.4
log. The exponential improvement in the microbial efficacy of LA-PAA showed
synergism between LA and PAA. LA-PAA microbial efficacy was inversely
proportional to pH value and directly correlated with residence time and
concentration. Despite an improvement in microbial reduction through the addition
of surfactant to LA-PAA, the usage of surfactant in washing fresh produce was
impeded by excessive foaming during actual processing. Effects of organic matter
on the performance of LA-PAA were minimal. External sensory evaluations showed
that LA-PAA had no negative effects on the quality of lettuce and tender leaves.
Temperature-abuse studies demonstrated that LA-PAA reduced decay by ~50% when
compared with CW. Overall, these results support the premise that LA-PAA has
significant potential to be an alternative to CW for fresh produce processing.
PMID- 21902916
TI - Inhibition of growth of pathogenic bacteria in raw milk by legume protein esters.
AB - Protein isolates from soybean and chickpea, as well as their methylated esters,
were tested for their inhibitory action against the propagation of pathogenic
bacteria in raw milk during its storage either at room temperature or under
refrigeration. Raw milk was inoculated with a mixed culture of Listeria
monocytogenes Scott A and Salmonella enterica serovar Enteritidis strain PT4 at
ca. 2 log CFU ml-1. Aerobic plate count, coliform count, and presumptive E. coli
in raw milk treated with esterified legume proteins were inhibited by 2 to 3 log
relative to a control after 6 to 8 days of storage at 4 degrees C. At room
temperature, bacterial populations (aerobic plate count, coliform count, and
presumptive E. coli) in raw milk treated with esterified legume proteins were
inhibited by ca. 1.5 to 1.6 log relative to the control after 12 h.
Supplementation of raw milk with esterified soybean protein could significantly
inhibit the counts of the two inoculated pathogens (L. monocytogenes Scott A and
Salmonella Enteritidis PT4), which were initially inoculated at ca. 2 log CFU ml
1, by ca. 2.4 log and 1.6 log CFU ml-1, respectively, on day 8 of storage under
cold conditions. Corresponding reductions amounting to 2.7 and 1.8 log CFU ml-1
were observed after 12 h of storage at room temperature. Supplementation of raw
milk with esterified soybean protein (0.5%) reduced the maximum level of
titratable acidity to 0.21 and maintained the pH level at 6.4 after 8 days of
storage under cold conditions as compared with 4 days for untreated raw milk.
Similar results were observed when raw milk was stored at room temperature for 10
h.
PMID- 21902917
TI - In vitro evaluation of Lactobacillus gasseri strains of infant origin on adhesion
and aggregation of specific pathogens.
AB - Numerous Lactobacillus species are members of the normal healthy human intestinal
microbiota, and members of the Lactobacillus family predominate among the current
marketed probiotic strains. Most of the current commercial probiotic strains have
not been selected for specific applications but rather have been chosen based on
their technological properties. Often the ability of such strains to temporarily
colonize the gastrointestinal tract may be lacking, and the interactions with
intestinal microbiota are few. Furthermore, the competitive exclusion properties
of potential probiotic bacteria are strain specific and vary greatly. Thus, it is
highly desirable that new candidate probiotic isolates originate from the healthy
target population. In this study, seven newly isolated strains of Lactobacillus
gasseri originating from feces of a healthy newborn child were evaluated for
their ability to adhere to intestinal mucus, to autoaggregate and coaggregate
with the model pathogens Cronobacter sakazakii (ATCC 29544) and Clostridium
difficile (1296). All the bacterial strains, single or in combination, in viable
and nonviable forms, were able to autoaggregate. The coaggregation with C.
sakazakii or C. difficile was higher (P < 0.05) in nonviable than in the viable
forms. Single L. gasseri strains showed similar adhesion abilities to intestinal
colon mucus. The seven L. gasseri strains when combined were also able to
significantly compete with, displace, and inhibit the adhesion of C. sakazakii
and C. difficile in the mucus model. This study demonstrates that the studied L.
gasseri strains fulfill the basic adhesion and aggregation properties for
probiotics and could be considered for potential future use in children.
PMID- 21902918
TI - Quantitative analysis of mycoflora on commercial domestic fruits in Japan.
AB - A comprehensive and quantitative analysis of the mycoflora on the surface of
commercial fruit was performed. Nine kinds of fruits grown in Japan were tested.
Overall fungal counts on the fruits ranged from 3.1 to 6.5 log CFU/g. The mean
percentages of the total yeast counts were higher than those of molds in samples
of apples, Japanese pears, and strawberries, ranging from 58.5 to 67.0%, and were
lower than those of molds in samples of the other six fruits, ranging from 9.8 to
48.3%. Cladosporium was the most frequent fungus and was found in samples of all
nine types of fruits, followed by Penicillium found in eight types of fruits. The
fungi with the highest total counts in samples of the various fruits were
Acremonium in cantaloupe melons (47.6% of the total fungal count), Aspergillus in
grapes (32.2%), Aureobasidium in apples (21.3%), blueberries (63.6%), and peaches
(33.6%), Cladosporium in strawberries (38.4%), Cryptococcus in Japanese pears
(37.6%), Penicillium in mandarins (22.3%), and Sporobolomyces in lemons (26.9%).
These results demonstrated that the mycoflora on the surfaces of these fruits
mainly consists of common pre- and postharvest inhabitants of the plants or in
the environment; fungi that produce mycotoxins or cause market diseases were not
prominent in the mycoflora of healthy fruits. These findings suggest fruits
should be handled carefully with consideration given to fungal contaminants,
including nonpathogenic fungi, to control the quality of fruits and processed
fruit products.
PMID- 21902919
TI - Inactivation of feline calicivirus as a surrogate for norovirus on lettuce by
electron beam irradiation.
AB - Caliciviridae, including norovirus, are considered important sources of human
gastroenteritis. As leafy green vegetables are commonly consumed without
additional processing, it is important to evaluate interventions to reduce the
presence of human pathogens in these products. Feline calicivirus was used as a
model for small round structured viruses on lettuce. The lettuce was inoculated
by immersion to simulate contamination from irrigation or wash water. The
inoculated lettuce was then exposed to electron beam irradiation at various dose
levels to determine survival. The D10-value of the calicivirus on lettuce was
determined to be 2.95 kGy. Irradiation to reduce bacterial pathogens on cut
lettuce could also reduce the risk associated with small round structured viruses
on lettuce.
PMID- 21902920
TI - Evaluation of food handler practices and microbiological status of ready-to-eat
foods in long-term care facilities in the Andalusia region of Spain.
AB - Food safety measures in long-term care facilities (LTCFs) are being improved by
the introduction of quality control management systems during food production and
by the implementation of good manufacturing practices. This study was conducted
in LTCFs (geriatric homes) in Andalusia, Spain, during 2008 and 2009 to evaluate
sanitary conditions and the microbiological quality and safety of salads and
cooked meat products served. A regulation-based checklist was applied to the
evaluated centers. Samples of ready-to-eat foods (n = 60) were examined for
mesophilic aerobic bacteria (MAB), total coliforms, coagulase-positive
staphylococci (CPS), Escherichia coli, Listeria spp., and Salmonella. In
parallel, food contact surfaces (working tables, cutting boards, sinks, and
faucets) were swabbed and analyzed for MAB and Enterobacteriaceae. The air
quality in processing rooms, near sinks, and in canteens also was measured
through an active air sampling method for MAB and Staphyloccocus spp. The results
obtained revealed some deficiencies regarding handling practices and sanitary
conditions tested (i.e., use and change of gloves, hand washing, and cleanliness
of work surfaces). The microbial safety of foods examined indicated the absence
of pathogens. Average levels of coagulase-positive staphylococci were below 102
CFU/g, and prevalence of E. coli was 6.3% in samples collected. Surface counts
were higher on cutting boards and faucets, indicating insufficient cleanliness
procedures. This study provides a descriptive analysis of the sanitary conditions
of food service systems in LTCF, and this information can help risk managers to
better define control measures needed to prevent foodborne infections.
PMID- 21902922
TI - Use of geographic information systems technology to track critical health code
violations in retail facilities available to populations of different
socioeconomic status and demographics.
AB - Research shows that community socioeconomic status (SES) predicts, based on food
service types available, whether a population has access to healthy food. It is
not known, however, if a relationship exists between SES and risk for foodborne
illness (FBI) at the community level. Geographic information systems (GIS) give
researchers the ability to pinpoint health indicators to specific geographic
locations and detect resulting environmental gradients. It has been used
extensively to characterize the food environment, with respect to access to
healthy foods. This research investigated the utility of GIS in determining
whether community SES and/or demographics relate to access to safe food, as
measured by food service critical health code violations (CHV) as a proxy for
risk for FBI. Health inspection records documenting CHV for 10,859 food service
facilities collected between 2005 and 2008 in Philadelphia, PA, were accessed.
Using an overlay analysis through GIS, CHV were plotted over census tracts of the
corresponding area. Census tracts (n = 368) were categorized into quintiles,
based on poverty level. Overall, food service facilities in higher poverty areas
had a greater number of facilities (with at least one CHV) and had more frequent
inspections than facilities in lower poverty areas. The facilities in lower
poverty areas, however, had a higher average number of CHV per inspection.
Analysis of CHV rates in census tracts with high concentrations of minority
populations found Hispanic facilities had more CHV than other demographics, and
Hispanic and African American facilities had fewer days between inspections. This
research demonstrates the potential for utilization of GIS mapping for tracking
risks for FBI. Conversely, it sheds light on the subjective nature of health
inspections, and indicates that underlying factors might be affecting inspection
frequency and identification of CHV, such that CHV might not be a true proxy for
risk for FBI.
PMID- 21902921
TI - Trends in U.S. consumers' safe handling and consumption of food and their risk
perceptions, 1988 through 2010.
AB - Although survey results measuring the safety of consumers' food handling and
risky food consumption practices have been published for over 20 years,
evaluation of trends is impossible because the designs of published studies are
not comparable. The Food Safety Surveys used comparable methods to interview U.S.
adults by telephone in 1988, 1993, 2001, 2006, and 2010 about food handling
(i.e., cross-contamination prevention) and risky consumption practices (eating
raw or undercooked foods from animals) and perceived risk from foodborne illness.
Sample sizes ranged from 1,620 to 4,547. Responses were analyzed descriptively,
and four indices measuring meat, chicken, and egg cross-contamination, fish cross
contamination, risky consumption, and risk perceptions were analyzed using
generalized linear models. The extent of media coverage of food safety issues was
also examined. We found a substantial improvement in food handling and
consumption practices and an increase in perceived risk from foodborne illness
between 1993 and 1998. All indices were stable or declined between 1998 and 2006.
Between 2006 and 2010, the two safe food handling practice indices increased
significantly, but risk perceptions did not change, and safe consumption
declined. Women had safer food handling and consumption practices than men. The
oldest and youngest respondents and those with the highest education had the
least safe food handling behaviors. Changes in safety of practices over the
survey years are consistent with the change in the number of media stories about
food safety in the periods between surveys. This finding suggests that increased
media attention to food safety issues may raise awareness of food safety hazards
and increase vigilance in food handling by consumers.
PMID- 21902923
TI - Microbiological quality of water immersion-chilled and air-chilled broilers.
AB - Carcass chilling during broiler processing is a critical step in preventing
growth of pathogenic and spoilage bacteria. The objective of this study was to
compare the microbiological quality of air- and water-chilled broiler carcasses
processed at the same commercial facility. For each of four replications, 15
broilers were collected from the same commercial processing line after
evisceration, after spraying with cetylpyridinium chloride (a cationic
disinfectant), and after air chilling or water immersion chilling (WIC). All
carcasses were quantitatively examined for mesophilic aerobic bacteria,
Escherichia coli, coliforms, and Campylobacter as well as for the presence of
Salmonella and Campylobacter. No significant differences (P > 0.05) were seen
between air and water chilling for E. coli or coliforms or for the incidence of
Salmonella and Campylobacter. Lower numbers of Campylobacter were recovered from
WIC than from air-chilled carcasses (P < 0.05), but the incidence of
Campylobacter on WIC carcasses was similar, suggesting that some Campylobacter
organisms were injured rather than killed during WIC. In-line spraying with the
disinfectant effectively decreased the incidence of Salmonella and Campylobacter
on prechilled carcasses; however, cells presumably injured by the sanitizer
recovered during chilling. Therefore, on-farm intervention strategies remain
critically important in minimizing the spread of microbial contaminants during
processing.
PMID- 21902924
TI - Comparison of two possible routes of pathogen contamination of spinach leaves in
a hydroponic cultivation system.
AB - The route of pathogen contamination (from roots versus from leaves) of spinach
leaves was investigated with a hydroponic cultivation system. Three major
bacterial pathogens, Escherichia coli O157:H7, Salmonella, and Listeria
monocytogenes, were inoculated into the hydroponic solution, in which the spinach
was grown to give concentrations of 106 and 103 CFU/ml. In parallel, the
pathogens were inoculated onto the growing leaf surface by pipetting, to give
concentrations of 106 and 103 CFU per leaf. Although contamination was observed
at a high rate through the root system by the higher inoculum (106 CFU) for all
the pathogens tested, the contamination was rare when the lower inoculum (103
CFU) was applied. In contrast, contamination through the leaf occurred at a very
low rate, even when the inoculum level was high. For all the pathogens tested in
the present study, the probability of contamination was promoted through the
roots and with higher inoculum levels. The probability of contamination was
analyzed with logistic regression. The logistic regression model showed that the
odds ratio of contamination from the roots versus from the leaves was 6.93, which
suggested that the risk of contamination from the roots was 6.93 times higher
than the risk of contamination from the leaves. In addition, the risk of
contamination by L. monocytogenes was about 0.3 times that of Salmonella enterica
subsp. enterica serovars Typhimurium and Enteritidis and E. coli O157:H7. The
results of the present study indicate that the principal route of pathogen
contamination of growing spinach leaves in a hydroponic system is from the
plant's roots, rather than from leaf contamination itself.
PMID- 21902925
TI - A survey of iceberg lettuce for the presence of Salmonella, Escherichia coli
O157:H7, and Listeria monocytogenes in Japan.
AB - No information has been available on the prevalence of pathogens in fresh produce
in Japan. In the present study, information was collected on the occurrence of
contamination by Salmonella, Escherichia coli O157:H7, and Listeria monocytogenes
in iceberg lettuce in a Japanese retail store. A total of 419 samples of lettuce
that had been harvested in different districts and/or by different producers from
July 2008 to March 2009 were examined. A multiplex PCR method was used to
simultaneously identify the three bacterial pathogens. No pathogenic bacteria,
including Salmonella, E. coli O157:H7, and L. monocytogenes, were detected from
any of the samples with this highly sensitive and validated procedure. The
aerobic bacteria plate counts and coliform bacteria counts in lettuce throughout
the examination period did not show any seasonal trends, and the numbers were
comparable to those reported by others from around the world. Based on the
results of this study, we concluded that none of the three major pathogens were
present in this limited survey of iceberg lettuce sold by a retailer in Japan.
PMID- 21902926
TI - Prevalence of Salmonella enterica and the hygienic indicator Escherichia coli in
raw meat at markets in Ouagadougou, Burkina Faso.
AB - This study investigated the hygienic status and prevalence of Salmonella and
Escherichia coli in retail meat sold at open markets in Ouagadougou, Burkina
Faso. A total of 150 samples of beef meat (n = 45), beef intestine (n = 45),
mutton (n = 30), and chicken (n = 30) were collected from four local markets for
investigation. The prevalence of Salmonella enterica subsp. enterica was 9.3%,
and six serotypes, all previously unreported in Burkina Faso, were identified:
Derby, Tilene, Hato, Bredeney, Agona, and Senftenberg. Most of the Salmonella
isolates were sensitive to the 12 antimicrobial drugs tested. The prevalence of
E. coli was 100% in all the meat types. An assessment of hygiene practices for
the production, transportation, display, and vending of the meat revealed
unhygienic conditions. Meat sellers had a low education level and poor knowledge
of foodborne pathogens and their transmission routes. The findings showed that
foodstuff handlers were in dire need of education about safe food handling
practices.
PMID- 21902927
TI - Reduction of Salmonella enterica, Escherichia coli O157:H7, and Listeria
monocytogenes with electrolyzed oxidizing water on inoculated hass avocados
(Persea americana var. Hass).
AB - This study was intended to evaluate the bactericidal effect of electrolyzed
oxidizing water (EOW) and chlorinated water on populations of Salmonella
enterica, Escherichia coli O157:H7, and Listeria monocytogenes inoculated on
avocados (Persea americana var. Hass). In the first experiment, inoculated
avocados were treated with a water wash applied by spraying tap water containing
1 mg/liter free chlorine for 15 s (WW); WW treatment and then spraying sodium
hypochlorite in water containing 75 mg/liter free chlorine for 15 s (Cl75); WW
treatment and then spraying alkaline EOW for 30 s (AkEW) and then spraying acid
EOW (AcEW) for 15 s; and spraying AkEW and then AcEW. In another experiment, the
inoculated avocados were treated by spraying AkEW and then AcEW for 15, 30, 60,
or 90 s. All three pathogen populations were lowered between 3.6 and 3.8 log
cycles after WW treatment. The application of Cl75 did not produce any further
reduction in counts, whereas AkEW and then AcEW treatment resulted in
significantly lower bacterial counts for L. monocytogenes and E. coli O157:H7 but
not for Salmonella. Treatments with AkEW and then AcEW produced a significant
decrease in L. monocytogenes, Salmonella, and E. coli O157:H7 populations, with
estimated log reductions of 3.9 to 5.2, 5.1 to 5.9, and 4.2 to 4.9 log CFU/cm2,
respectively. Spraying AcEW for more than 15 s did not produce any further
decrease in counts of Salmonella or E. coli O157:H7, whereas L. monocytogenes
counts were significantly lower after spraying AcEW for 60 s. Applying AkEW and
then AcEW for 15 or 30 s seems to be an effective alternative to reduce bacterial
pathogens on avocado surfaces.
PMID- 21902928
TI - Comparison of an automated most-probable-number technique with traditional
plating methods for estimating populations of total aerobes, coliforms, and
Escherichia coli associated with freshly processed broiler chickens.
AB - An instrument (TEMPO) has been developed to automate the most-probable-number
(MPN) technique and reduce the effort required to estimate some bacterial
populations. We compared the automated MPN technique with traditional
microbiological plating methods and Petrifilm methods for estimating the total
viable count of aerobic microorganisms (TVC), total coliforms (CC), and
Escherichia coli populations (EC) on freshly processed broiler chicken carcasses
(postchill whole carcass rinse [WCR] samples) and cumulative drip-line samples
from a commercial broiler processing facility. Overall, 120 broiler carcasses, 36
prechill drip-line samples, and 40 postchill drip-line samples were collected
over 5 days (representing five individual flocks) and analyzed by the automated
MPN and direct agar plating and Petrifilm methods. The TVC correlation
coefficient between the automated MPN and traditional methods was very high
(0.972) for the prechill drip samples, which had mean log-transformed values of
3.09 and 3.02, respectively. The TVC correlation coefficient was lower (0.710)
for the postchill WCR samples, which had lower mean log values of 1.53 and 1.31,
respectively. Correlations between the methods for the prechill CC and EC samples
were 0.812 and 0.880, respectively. The estimated number of total aerobes was
generally greater than the total number of coliforms or E. coli recovered for all
sample types (P < 2e-16). Significantly more bacteria were recovered from the
prechill samples than from the postchill WCR or cumulative drip samples (P < 9.5e
12 and P < 2e-16, respectively). When samples below the limit of detection were
excluded, 92.1% of the total responses were within a single log difference
between the traditional plating or Petrifilm methods and the automated MPN
method.
PMID- 21902929
TI - Microbial examination of nonheated foods served in feeding programs of elementary
schools, Iksan City, Jeonbuk Province, Korea.
AB - More than 90% of elementary school students in Korea have lunch provided by a
school feeding program. This study examined nonheated foods, foods in which final
ingredients were added after cooking ("heated/nonheated foods"), and desserts for
microbial contamination levels and the presence of foodborne pathogens. We
obtained a total of 77 food samples belonging to the above three groups from four
elementary schools located in Iksan, Jeonbuk, Korea, from June to July 2010.
Among the samples, 15% of nonheated foods and 9% of heated/nonheated foods
contained > 6 log CFU of aerobic bacteria per g. Unacceptable coliform counts
according to Korean national standards (3 log CFU/g) were also observed in 30,
4.5, and 26% of nonheated foods, heated/nonheated foods, and desserts,
respectively. The foodborne pathogens Escherichia coli O157:H7, Bacillus cereus,
and Cronobacter sakazakii were found in two, one, and two of the total samples,
respectively. Detection of E. coli O157:H7 indicates a low level of safety in the
school lunches served in Korean elementary schools. To improve food safety,
hazard analysis critical control point guidelines should be applied to school
food service establishments to lower the microbial risks in foods served to
children.
PMID- 21902930
TI - Food safety objectives for Listeria monocytogenes in Spanish food sampled in
cafeterias and restaurants.
AB - To gain more insight into the context of food safety management by public
administrations, food safety objectives must be studied. The Valencian
administration quantified the prevalence of Listeria monocytogenes in cafeterias
and restaurants in this region of Spain between 2002 and 2010. The results
obtained from this survey are presented here for 2,262 samples of fish, salad,
egg, cold meat, and mayonnaise dishes. Microbiological criteria defined for L.
monocytogenes were used to differentiate acceptable and unacceptable samples;
more than 99.9% of the samples were acceptable. These findings indicate that
established food safety objectives are achievable, consumer health at the time of
consumption can be safeguarded, and food safety management systems such as hazard
analysis critical control point plans or good manufacturing practices implemented
in food establishments are effective. Monitoring of foods and food safety is an
important task that must continue to reduce the current L. monocytogenes
prevalence of 0.1% in restaurant or cafeteria dishes, which could adversely
affect consumer health.
PMID- 21902931
TI - Investigations on the metabolism and potentially adverse effects of ethoxyquin
dimer, a major metabolite of the synthetic antioxidant ethoxyquin in salmon
muscle.
AB - The feed additive ethoxyquin (EQ) is a commonly used synthetic antioxidant
preservative in animal feeds. In farmed Atlantic salmon fillets, EQ residues are
present, both as the parent compound and as EQ derivatives. One of the main EQ
derivates in fish muscle is an ethoxyquin dimer (EQDM), and the potential
toxicity of this metabolite is not known. The aim of this study was to evaluate
the metabolism and potentially toxicological effects of EQDM. A 90-day subchronic
exposure study with repeated dietary exposure to EQDM at 12.5 mg/kg of body
weight per day was performed with male F344 rats. Hepatic Cyp1a1 mRNA was
significantly reduced to <3% of the control in rats fed EQDM, and hepatic Cyp2b1
mRNA was increased to 192%. EQDM increased Gstpi1 mRNA expression to 144% that of
the control, but the activity level of this phase II enzyme was reduced.
Biomarkers of liver and kidney function did indicate adverse effects of EQDM when
F344 rats were fed 12.5 mg/kg of body weight per day. The present study revealed
that EQDM produces responses that are comparable to those produced by the parent
compound (EQ) in terms of activating the same enzyme systems.
PMID- 21902932
TI - Vitamin A intoxication from reef fish liver consumption in Bermuda.
AB - We report three historical cases of severe vitamin A intoxication in anglers who
had consumed reef fish liver caught in Bermuda. The subsequent analyses of 35
fish livers from seven different fish species revealed that very high
concentrations of vitamin A exist in tropical fish liver, even in noncarnivorous
fish species. Large variations in concentrations were observed between specimens
and between species. The angling population and (especially) pregnant women
should be advised of this potential health threat.
PMID- 21902933
TI - Diagnostic laparoscopy and adhesiolysis: does it help with complex abdominal and
pelvic pain syndrome (CAPPS) in general surgery?
AB - Abdominal pains secondary to adhesions are a common complaint, but most surgeons
do not perform surgery for this complaint unless the patient suffers from a bowel
obstruction. The purpose of this evaluation was to determine if lysis of bowel
adhesions has a role in the surgical management of adhesions for helping treat
abdominal pain. The database of our patients with complex abdominal and pelvic
pain syndrome (CAPPS) was reviewed to identify patients who underwent a
laparoscopic lysis of adhesion without any organ removal and observe if they had
a decrease in the amount of abdominal pain after this procedure. Thirty-one
patients completed follow-up at 3, 6, 9, and 12 months. At 6, 9, and 12 months
postoperation, there were statistically significant decreases in patients' analog
pain scores. We concluded that laparoscopic lysis of adhesions can help decrease
adhesion-related pain. The pain from adhesions may involve a more complex pathway
toward pain resolution than a simple cutting of scar tissue, such as "phantom
pain" following amputation, which takes time to resolve after this type of
surgery.
PMID- 21902934
TI - Anterior vaginal wall tenderness (AVWT) as a physical symptom in chronic pelvic
pain.
AB - BACKGROUND AND OBJECTIVES: Chronic pelvic pain is often difficult to diagnose and
treat properly. Physicians called on to treat this problem may not be able to
give a specific diagnosis. The aim of this study was to see whether the physical
presence of anterior vaginal wall tenderness could help narrow down and elucidate
diagnoses in a practice focusing on diagnosis and treatment of chronic pelvic
pain. METHODS: The study cohort comprised 284 patients with chronic pelvic pain
limited to gynecologic and lower urinary problems. Histories, physical
examinations, and endoscopic procedures were performed on each patient. An
analysis of this information was conducted. RESULTS: Of the chronic pelvic pain
patients, 78% had endometriosis, 81% had interstitial cystitis, and 61% had both
concurrently. The sensitivity of anterior vaginal wall tenderness (AVWT) in
patients with interstitial cystitis was 95%, and in those with only endometriosis
and no interstitial cystitis, the sensitivity was 17%. The positive predictive
value for interstitial cystitis was 85%, and for endometriosis it was 67%.
CONCLUSIONS: Examination of the anterior vaginal wall with an empty bladder at
the initial examination can lead one to suspect interstitial cystitis and
possibly either concomitant or singular endometriosis and allow the physician to
approach the workup accordingly.
PMID- 21902935
TI - Robotic tubal anastomosis: technical aspects.
AB - OBJECTIVES: To describe the surgical technique of robotic tubal anastomosis.
METHODS: Retrospective chart and video review of the instrumentation and
methodology used for robotically assisted tubal anastomosis. RESULTS: All tubal
anastomoses were performed with the use of 3 or 4 robotic arms, 3 or 4 robotic
instruments, and 1 assistant trocar. CONCLUSIONS: Robotic technology facilitates
the performance of robotic tubal anastomosis.
PMID- 21902936
TI - Laparoscopic surgical management and clinical characteristics of ovarian
fibromas.
AB - OBJECTIVE: This study aims to analyze the clinical characteristics and diagnostic
features of ovarian fibromas and to evaluate the efficacy and safety of
laparoscopic surgery for ovarian fibromas. METHODS: We reviewed the records of 47
consecutive women who underwent laparoscopic or laparotomic surgeries and whose
final histopathological diagnoses were ovarian fibroma, cellular fibroma, or
fibrothecoma from January 1999 to August 2010. RESULTS: During the study period,
49 tumors were removed from 47 women including 27 ovarian fibromas, 19
fibrothecomas, and 3 cellular fibromas. The preoperative diagnoses were ovarian
fibroma in 25 women (53.2%) and uterine myoma in 16 women (34.0%). A high serum
CA 125 level (>35U/mL) was observed in 15 women, and serum CA 125 level was
significantly higher in women with ascites (P=<0.001). The tumors were removed
surgically in all women, using the laparotomic approach in 16 women (34.0%) and
the laparoscopic approach in 31 women (66.0%). The laparoscopic surgery had the
advantages of shorter hospital stay and faster return of bowel activities
compared to laparotomy. CONCLUSIONS: Ovarian fibromas are often misdiagnosed as
uterine myomas, and sometimes mistaken for a malignant tumor of the ovary
preoperatively. Laparoscopic surgery can be an effective and safe surgical
approach for managing ovarian fibromas.
PMID- 21902937
TI - Fundamentals of laparoscopic surgery: a surgical skills assessment tool in
gynecology.
AB - OBJECTIVE: To describe our experience with the Fundamentals of Laparoscopic
Surgery (FLS) program as a teaching and assessment tool for basic laparoscopic
competency among gynecology residents. METHODS: A prospective observational study
was conducted at a single academic institution. Before the FLS program was
introduced, baseline FLS testing was offered to residents and gynecology division
directors. Test scores were analyzed by training level and self-reported surgical
experience. After implementing a minimally invasive gynecologic surgical
curriculum, third-year residents were retested. RESULTS: The pass rates for
baseline FLS skills testing were 0% for first-year residents, 50% for second-year
residents, and 75% for third- and fourth-year residents. The pass rates for
baseline cognitive testing were 60% for first- and second-year residents, 67% for
third-year residents, and 40% for fourth-year residents. When comparing junior
and senior residents, there was a significant difference in pass rates for the
skills test (P=.007) but not the cognitive test (P=.068). Self-reported surgical
experience strongly correlated with skills scores (r-value=0.97, P=.0048), but
not cognitive scores (r-value=0.20, P=.6265). After implementing a curriculum,
100% of the third-year residents passed the skills test, and 92% passed the
cognitive examination. CONCLUSIONS: The FLS skills test may be a valuable
assessment tool for gynecology residents. The cognitive test may need further
adaptation for applicability to gynecologists.
PMID- 21902938
TI - Bilateral hand-assisted laparoscopic renal surgery in the supine position: the
spleen at risk.
AB - OBJECTIVE: We evaluated the safety of simultaneous bilateral renal procedures
performed using hand-assisted laparoscopy (HAL) with the patient in the supine
position. MATERIALS AND METHODS: After securely strapping the patient to the
table, a hand-port device is placed via a 7-cm supraumbilical or peri-umbilical
incision with two to four 5-mm to 12-mm trocars placed bilaterally. During a 3
year period, 8 bilateral HAL renal operations were initiated (upper pole partial
nephrectomies, 3 nephroureterectomies, 3 bilateral nephrectomies, and right
nephrectomy with left adrenalectomy). RESULTS: Mean patient age was 41 years. One
patient with ADPKD required conversion to open due to failure to progress
secondary to excessive perirenal fat and 22-cm kidneys. The other 7 were
completed successfully with a mean operative time of 417 minutes and mean EBL of
336cc. Two patients received transfusions. Two small splenic lacerations, managed
conservatively, were the only complications. CONCLUSIONS: Bilateral hand-assisted
laparoscopic renal surgery with the patient in the supine position (rolling the
table side to side) is feasible in the majority of patients. However, very large
kidneys (eg, ADPKD) may be better approached with the patient in the lateral
decubitus position or via an open subcostal incision. Importantly, the spleen
appears to be at increased risk for capsular injury due to apparent increased
difficulty of left colon mobilization in the "rolled" or "airplaned" supine
position.
PMID- 21902939
TI - Impact of obesity on early erectile function recovery after robotic radical
prostatectomy.
AB - BACKGROUND AND OBJECTIVE: Studies are limited regarding the impact of obesity on
early erectile functional outcomes after robotic radical prostatectomy. Our goal
was to determine this impact using patient-reported validated questionnaires.
METHODS: International Index of Erectile Function (IIEF-6) scores were
prospectively collected with institutional review board approval, for patients
who underwent robotic radical prostatectomy with bilateral nerve sparing from
February 2007 to October 2009. The data were categorized into nonobese and obese
groups and subsequently into 2 subgroups based on risk for postprostatectomy
erectile dysfunction. Low risk is preoperative IIEF-6 >=19 and high risk is IIEF
6 <19. The groups and subgroups were compared using chi-square analysis. RESULTS:
Of 190 consecutive patients, 67 were excluded for preoperative severe erectile
dysfunction (IIEF-6<7), or lack of IIEF-6 scores, or both. There were 69 nonobese
patients of which 88% were potent preoperatively and 20% regained potency at 12
months postoperatively. Of 54 obese patients, 85% were potent preoperatively and
25% at 12 months. There was no difference in erectile function recovery rates
between the groups (P=0.755). In both groups, patients with low risk of
postoperative erectile dysfunction had statistically similar postoperative mean
IIEF-6 scores at 6 and 12 months (P=0.580 and P=0.389, respectively), and no
difference in erectile function recovery rates existed at 12 months (P=0.735).
CONCLUSION: Obesity has no major contribution to the rate of early erectile
function recovery after robotic radical prostatectomy. Preoperative erectile
function remains the determining factor in postradical prostatectomy erectile
dysfunction.
PMID- 21902940
TI - Laparoscopic ventriculoperitoneal shunts: benefits to resident training and
patient safety.
AB - BACKGROUND AND OBJECTIVES: Symptomatic hydrocephalus is a surprisingly common
clinical condition. Neurosurgeons are expert at ventriculostomy, but minimally
invasive peritoneal access is outside the realm of their current training. We
have adopted a multidisciplinary approach, with general surgeons positioning the
distal shunt. Our objective was to review this recent experience. METHODS: All
distal shunts were placed by a single surgeon with resident assistance. After
ventriculostomy, the shunt tubing was tunneled onto the anterior abdominal wall.
A Veress needle was placed through the tunnel incision and the abdomen
insufflated. A 5-mm optical access trocar and camera were introduced via a
separate stab incision. The shunt tubing was then directed into the abdominal
cavity using a Hickman introducer kit, with flow confirmed visually. RESULTS:
Study patients who had between 0 and 10 previous abdominal operations received
111 consecutive shunts. There was one intraoperative complication, a colon injury
during trocar placement. In this case, the colotomy was repaired and the shunt
placed in the pleural space. There were no conversions to the open abdominal
approach. Postoperatively, there were no wound infections, no cases of shunt
malpositioning, and there were no deaths. CONCLUSIONS: Laparoscopic placement of
ventriculoperitoneal shunts is feasible, safe, and carries a low rate of
complications. The value to resident education in the practice of this procedure
has not been previously emphasized. In the era of increased awareness of patient
safety, laparoscopic VP shunting serves as a model for accomplishing both goals
of improved outcomes and quality surgical education.
PMID- 21902941
TI - Laparoscopic transhiatal esophagectomy at a low-volume center.
AB - BACKGROUND AND OBJECTIVES: Surgical treatment of esophageal cancer is associated
with a high rate of morbidity, even in specialized centers. Minimally invasive
esophageal resection has become increasingly feasible and is gaining popularity
in some high-volume institutions. This study assesses the short-term outcomes of
laparoscopic transhiatal esophagectomy performed by a single surgeon at a single
low-volume institution over a 20-month period. METHODS: Over the study period, 16
patients underwent laparoscopic transhiatal esophagectomy. All patients were men
with an average age of 70 years (range, 50 to 81). RESULTS: Two patients required
intraoperative conversion to alternative surgical techniques, 1 to an Ivor-Lewis
esophagectomy and 1 to an open transhiatal approach. Average operative time was
198 minutes (range, 147 to 303). Mean hospital stay was 16.7 days (range, 9 to
30). The average number of resected lymph nodes was 11.7, and 2 patients had
benign pathology. No deaths occurred in the 30-day postoperative period.
CONCLUSION: Laparoscopic transhiatal esophagectomy is an advanced laparoscopic
procedure that can be performed with equivalent morbidity and mortality by a low
volume surgeon in a low-volume center with results comparable to those of high
volume centers. While several authors have demonstrated a correlation between
lower mortality rates and high-volume esophagectomy hospitals, our results
support surgeon experience as more important than the absolute number of
procedures performed each year.
PMID- 21902942
TI - Safety and efficacy of single incision laparoscopic surgery for total
extraperitoneal inguinal hernia repair.
AB - Almost 20 years after the first laparoscopic inguinal hernia repair was
performed, single incision laparoscopic surgery (SILSTM) is set to revolutionize
minimally invasive surgery. However, the loss of triangulation must be overcome
before the technique can be popularized. This study reports the first 100
laparoscopic total extraperitoneal hernia repairs using a single incision. The
study cohort comprised 68 patients with a mean age of 44 (range, 18 to 83): 36
unilateral and 32 bilateral hernias. Twelve patients also underwent umbilical
hernia repair with the Ventralex patch requiring no additional incisions. A 2.5
cm to 3-cm crescentic incision within the confines of the umbilicus was
performed. Standard dissecting instruments and 52-cm/5.5-mm/30(0) laparoscope
were used. Operation times were 50 minutes for unilateral and 80 minutes for
bilateral. There was one conversion to conventional 3-port laparoscopic repair
and none to open surgery. Outpatient surgery was achieved in all (except one).
Analgesic requirements were minimal: 8 Dextropropoxyphene tablets (range, 0 to
20). There were no intraoperative or postoperative complications with a high
patient satisfaction score. Single-incision laparoscopic hernia repair is safe
and efficient simply by modifying dissection techniques (so-called "inline" and
"vertical"). Comparable success can be obtained while negating the risks of bowel
and vascular injuries from sharp trocars and achieving improved cosmetic results.
PMID- 21902943
TI - Transanal endoscopic video-assisted excision: application of single-port access.
AB - BACKGROUND: Transanal endoscopic microsurgery is a safe and efficacious surgical
approach for local excision of benign adenomas and early-stage rectal cancer.
However, utilization of the technique has been limited due to the unavailability
of high-priced specialized instrumentation at many institutions and the
technically demanding training required. To avoid these obstacles, we have
explored an alternative approach called Transanal Endoscopic Video-Assisted
excision, which combines the merits of singleport access and local transanal
excision. METHODS: A disposable single-incision port is inserted into the anal
canal for transanal access. The port contains 3 cannulae for introducing
instrumentation into the rectal lumen, and a supplementary cannula for carbon
dioxide insufflation. Pneumorectum results in rectal distention and optimizes the
visual field during the procedure. Standard laparoscopic instrumentation is
utilized for visualization and transanal excision of rectal pathologies.
CONCLUSIONS: Transanal endoscopic video-assisted excision is an innovative
approach to local excision of benign and malignant rectal lesions. The approach
averts several of the pitfalls commonly experienced with transanal endoscopic
microsurgery. Continued investigation and development of this novel modality will
be important in establishing its role in minimally invasive surgery.
PMID- 21902944
TI - Reduced-force closed trocar entry technique: analysis of trocar insertion force
using a mechanical force gauge.
AB - BACKGROUND AND OBJECTIVES: Trocar insertion injury has a high morbidity,
mortality, and cost. The purpose of this study was to compare standard trocar
entry with our reduced-force closed trocar entry technique by measuring trocar
insertion force using a mechanical force gauge. METHODS: In the operating room,
the force gauge was inserted into a sterile glove and connected to the proximal
portion of the trocar to measure insertion force. Through one incision, we used a
standard closed trocar entry, while through the other incision, we used our
reduced-force closed trocar entry technique. After making the skin incision and
before trocar entry, we spread and dilated the skin, subcutaneous tissue, fascia,
and muscle with a hemostat. RESULTS: Twenty-five patients entered the trial and
none were excluded. Median trocar insertion force was 3.3lb (range, 1.6 to 5.4)
with our reduced-force trocar entry technique versus 6.5lb (range, 2.0 to 14.0)
with the standard trocar entry (P=.001). No complications occurred with the
reduced-force trocar entry technique. CONCLUSION: Our reduced-force trocar entry
technique decreases trocar insertion force by 50%, requires no additional
instruments or cost and is fast and safe. Reduced-entry force pressure may
decrease the risk of trocar insertion injury.
PMID- 21902945
TI - UREKA: umbilical ring easy kannula access.
AB - BACKGROUND AND OBJECTIVES: Standard techniques of laparoscopic access involve
creating an abdominal wall defect and can result in complications. We describe
the umbilical ring easy kannula access (UREKA) technique, evaluating safety and a
decrease in complications related to port placement. METHODS: UREKA is performed
via a supra- or infraumbilical incision followed by circumferential dissection of
the umbilical stalk. The umbilical skin is dissected free from the fascia,
exposing the umbilical ring. Pneumoperitoneum is established either before or
after placement of a dilating port through the open ring. We reviewed all
laparoscopic procedures performed by one pediatric surgeon over 14 months using
UREKA. RESULTS: Ninety-four patients underwent laparoscopic surgery with initial
port placement via UREKA. Appendectomy (n=57) was the most common procedure,
followed by fundoplication (15) and cholecystectomy (10). No intestinal, solid
organ, vascular, or bladder injuries related to port placement occurred. The only
postoperative complication was a superficial wound infection in a 135-kg patient
following cholecystectomy, treated successfully with oral antibiotics alone.
CONCLUSION: The umbilical ring persists to some degree in all pediatric patients
and provides a safe portal of entry for laparoscopic surgery. UREKA has few
complications and is a straightforward, reproducible technique for gaining
initial laparoscopic access.
PMID- 21902946
TI - Routine testing of liver function before and after elective laparoscopic
cholecystectomy: is it necessary?
AB - BACKGROUND AND OBJECTIVES: Liver function tests (LFTs) include alanine
aminotransferase (ALT), aspartate aminotransferase (AST), gamma-glutamyl
transpeptidase (GGT), alkaline phosphatase (ALP), and bilirubin. The role of
routine testing before and after laparoscopic cholecystectomy was evaluated in
this study. PATIENTS AND METHODS: A total of 355 patients were retrospectively
analyzed by examining the LFTs the day before, the day after, and 3 weeks after
the surgery. The Wilcoxon signed-rank test and Student t test were performed to
determine statistical significance. RESULTS: Alterations in the serum AST, ALT,
and GGT were seen on the first postoperative day. Minor changes were seen in
bilirubin and ALP. An overall disturbance in the LFTs was seen in more than two
thirds of the cases. Repeat LFTs performed after 3 weeks on follow-up were found
to be within normal limits. CONCLUSION: Mild-to-moderate elevation in
preoperative LFTs may not be associated with any deleterious effect, and, in the
absence of clinical indications, routine preoperative or postoperative liver
function testing is unnecessary.
PMID- 21902947
TI - Tissue reaction to absorbable endoloop, nonabsorbable titanium staples, and
polymer Hem-o-lok clip after laparoscopic appendectomy.
AB - BACKGROUND AND OBJECTIVES: The standard technique for securing the base of the
appendix during laparoscopic appendectomy is by absorbable endoloop ligature,
although clinical reports favor the use of the stapler. Nonabsorbable Hem-o-lok
clips have been shown to be an alternative technique to this. However, it is
currently not clear whether nonabsorbable clips have any effects on the intestine
or promote infection in the surgical area. MATERIALS AND METHODS: Sixty Wistar
albino rats were randomized into 3 treatment groups: group I (n=20) the base of
the appendix was secured by endoloop 2-0 ligature; group II (n=20) dissection of
the appendix was performed by a 45-mm thick stapler; and group III (n=20) the
base of the appendix was secured by a Hem-o-lok plastic clip. The animals were
sacrificed on the 14th and 28th days after surgery. The secured stump was used
for histopathological examination. RESULTS: There were no significant differences
in histopathological changes observed on the 14th postoperative day between the
groups. On the 28th postoperative day, it was proved that mild and moderate
inflammation is more frequent in the endoloop and Hem-o-lok groups than in the
stapler group. Reaction to a foreign body is more frequent in the endoloop than
in stapler and Hem-o-lok groups. CONCLUSION: The mildest postoperative
inflammatory changes were seen in the stapler group, followed by the Hem-o-lok
group. However, because of the price of the plastic clip and the simplicity of
its application, its use is still favored during laparoscopic appendectomy.
PMID- 21902948
TI - A simple, effective technique for port-site closure after laparoscopy.
AB - BACKGROUND AND OBJECTIVES: Fascial closure of port sites represents a challenging
issue in laparoscopic surgery. The aim of this article is to introduce a
procedure that allows the safe suturing of the abdominal fascia in these wounds.
METHODS: We herein describe a simple technique for fascial closure after
laparoscopy using a transcutaneous approach and standard surgical instruments for
suture. RESULTS: The method was used in 34 patients with no intraoperative
incidents and no port-site hernias during a mean follow-up of 23.9 months (median
20.5, range 5 to 47). CONCLUSION: The procedure is easy to perform, safe, fast,
and inexpensive.
PMID- 21902949
TI - Laparoscopic repair of incidentally found Spigelian hernia.
AB - BACKGROUND AND OBJECTIVES: A Spigelian hernia is a rare type of hernia that
occurs through a defect in the anterior abdominal wall adjacent to the linea
semilunaris. Estimation of its incidence has been reported as 0.12% of all
abdominal wall hernias. Traditionally, the method of repair has been an open
approach. Herein, we discuss a series of laparoscopic repairs. METHODS: Case
series and review of the literature. CASES: Three patients are presented. All
were evaluated and taken to surgery initially for a different disease process,
and all were incidentally found to have a spigelian hernia. These patients
underwent laparoscopic repair of their hernias; 2 were repaired intraperitoneally
and one was repaired totally extraperitoneally. Two patients initially underwent
a mesh repair, while the third had an attempted primary repair. CONCLUSIONS:
There is evidence that supports the use of laparoscopy for both diagnosis and
repair of spigelian hernias. There are also reports of successful repairs both
primarily and with mesh. In our experience with the preceding 3 patients, we
found that laparoscopic repair of incidentally discovered spigelian hernias is a
viable option, and we also found that implantation of mesh, when possible,
resulted in satisfactory results and no recurrence.
PMID- 21902950
TI - Robotic liver resection as a bridge to liver transplantation.
AB - BACKGROUND: The surgical robotic system is superior to traditional laparoscopy in
regards to 3-dimensional images and better instrumentation. Robotic surgery for
hepatic resection has not yet been extensively reported. The aim of this article
is to report the first known case of liver resection with the use of a robot in
France. METHODS: A 61-year-old male with hepatitis C liver cirrhosis and
hepatocellular carcinoma was referred for surgical treatment. Preoperative
clinical evaluation and laboratory data disclosed a Child-Pugh class A5 patient.
Magnetic resonance imaging showed a 3.4-cm tumor in segment III. Liver size was
normal, and there were not signs of portal hypertension. Five trocars were used.
RESULTS: Liver transection was achieved with Harmonic scalpel and bipolar forceps
without pedicle clamping. Hemostasis of raw surface areas was accomplished with
interrupted stitches. Operative time was 180 minutes. Blood loss was minimal, and
the patient did not receive transfusion. The recovery was uneventful, and the
patient was discharged on the fifth postoperative day without ascites formation.
CONCLUSION: The robotic approach may enable liver resection in patients with
cirrhosis. The da Vinci robotic system allowed for technical refinements of
laparoscopic liver resection due to 3-dimensional visualization of the operative
field and instruments with wrist-type end-effectors.
PMID- 21902951
TI - Necrotizing fasciitis following endoscopic harvesting of the greater saphenous
vein for coronary artery bypass graft.
AB - The greater saphenous vein (GSV) remains the most commonly harvested conduit for
revascularization in coronary artery bypass grafting (CABG). Published literature
shows that minimally invasive vein harvesting techniques have a significantly
lower incidence of wound infection rates than conventional open vein harvesting
techniques have. We report a case of necrotizing fasciitis, an infection with a
mortality rate of 30% to 50%, after endoscopic harvesting of the greater
saphenous vein to be used as a conduit in a CABG procedure. Though minimally
invasive vein harvesting techniques have advantages of smaller incisions and a
decreased overall rate of wound infection, clinicians should be aware of this
potentially lethal infection that may occur.
PMID- 21902952
TI - Simultaneous bilateral single-port radical nephrectomies.
AB - The management of bilateral enhancing renal masses can be technically
challenging. Simultaneous bilateral laparoscopic nephrectomies in postrenal
transplant patients have been previously described, but these typically require
multiple port placements in addition to a hand port. Herein, we describe
simultaneous bilateral single-port laparoscopic radical nephrectomies in a
postrenal transplant patient.
PMID- 21902954
TI - Acute cholecystits leading to ischemic ECG changes in a patient with no
underlying cardiac disease.
AB - Although chest pain with ST-segment elevation is often indicative of cardiac
ischemia, it has also been described with surgical conditions such as acute
cholecystitis. We report the case of a 34-year-old Caucasian female who was
referred with symptoms consistent with acute cholecystitis. An electrocardiogram
(ECG) showed unexpected changes with inferolateral ST-segment elevation
indicative of an inferolateral myocardial infarct. Further investigations and
analysis of the results along with the clinical picture meant an acute cardiac
event was excluded. Gallstones were seen on ultrasound and an inflamed
gallbladder, consistent with acute cholecystitis, was confirmed at laparoscopic
cholecystectomy. This led to the resolution of her symptoms and a return to the
isoelectric baseline of the ST segments on the ECG. Five previous cases of
cholecystitis induced ECG changes have been described in the literature. This
case describes the youngest patient with no previous cardiac disease. We review
the literature and suggest the pathophysiological mechanism to explain these
findings. When the initial diagnostic interventions for chest pain with ST
segment elevation do not yield the expected results, an alternative diagnosis
such as cholecystitis should be considered.
PMID- 21902953
TI - Laparoscopic adrenalectomy for bilateral metachronous aldosteronomas.
AB - INTRODUCTION: Primary aldosteronism affects 5% to 13% of patients with
hypertension. Idiopathic bilateral hyperplasia (IHA) and unilateral aldosterone
producing adenoma (APA) are the most common types of primary aldosteronism.
Bilateral APA is a very rare entity with only a few reports in the literature. We
present the case of a patient with metachronous bilateral APA treated with
metachronous bilateral total and near total adrenalectomy. CASE REPORT: A 66-year
old female was evaluated for hypokalemia and hypertension refractory to medical
therapy 2 years after laparoscopic adrenalectomy for right APA. Follow-up
abdominal CT scan revealed a new 1.1-cm left adrenal mass. The patient underwent
a laparoscopic near total adrenalectomy for her new left adrenal mass. Pathology
examination revealed a new APA. The operation and the patient's postoperative
course were uneventful. Potassium levels were normalized and her hypertension
became well controlled. CONCLUSION: APA can present metachronously months to
years after adrenalectomy for APA in the contralateral adrenal gland.
Laparoscopic adrenalectomy remains the approach of choice for this pathology.
PMID- 21902955
TI - Using the StomaphyX(TM) endoplicator to treat a gastric bypass complication.
AB - BACKGROUND AND OBJECTIVES: As the number of bariatric operations performed
increases, the number of patients requiring reoperation for failed weight loss is
expected to proportionately increase. Natural orifice surgery is an alternative
approach to revisional gastric bypass surgery when postoperative complications,
such as dilatation of the gastrojejunostomy, gastrogastric fistula, and gastric
pouch, dilation occur. METHODS: The present article reports on the safe and
successful use of an endoscopic tissue plicating device in a patient found to
have a dilated gastric pouch and a gastrogastric fistula 12 years after an open,
nondivided RYGB. RESULTS: The procedure was performed without complications and
resulted in a reduced pouch size to approximately 30cc to 50cc and redirection of
the flow of gastric contents through her gastrojejunostomy. The patient's early
satiety returned and, 1 year postoperatively, she had incurred a 45-pound weight
loss. DISCUSSION: The morbidity and mortality of revision gastric bypass was
avoided while the patient's goal of moderate weight loss was achieved. Tissue
plicating devices offer an alternative for repair of some postbariatric
complications. With the rapid advances in endoluminal technology and increasing
experience with natural orifice surgery, the ability to successfully address
surgical problems through less invasive means will continue to improve.
PMID- 21902956
TI - Large subcapsular liver hematoma following single-incision laparoscopic
cholecystectomy.
AB - BACKGROUND AND OBJECTIVES: Many laparoscopic surgeons are now transitioning from
standard multiple-port laparoscopic cholecystectomy to single-incision
laparoscopic surgery (SILS) in an attempt to improve cosmetic outcomes and
decrease postoperative morbidity. However, little has been published regarding
the potential complications of SILS operations. METHODS: We report the case of a
patient undergoing SILS cholecystectomy who developed the complication of a large
hepatic hematoma, resulting in significant postoperative morbidity, blood
transfusion requirement, and reoperation. RESULTS: After an in-depth internal
review of the postoperative morbidity of this case, it appears that the causative
factor may be instrument shaft torque on the liver surface. CONCLUSION: Single
incision laparoscopic surgery may pose significant and unique risks that warrant
additional operative caution. Quantitative comparison of SILS to the gold
standard laparoscopic cholecystectomy is needed to further elucidate definitive
benefits and complications of this novel technique.
PMID- 21902957
TI - Retraction-related liver lobe necrosis after laparoscopic gastric surgery.
AB - BACKGROUND: Liver retraction is necessary for optimal exposure during
laparoscopic gastric surgery. Though transient venous congestion of the retracted
lobe of the liver is invariably seen during operations, major parenchymal injury
is rare. We describe a case of Nathanson liver retractor-induced left lobe liver
necrosis and review the pertinent literature. CASE REPORT: A 78-year-old man
underwent a laparoscopic-assisted total gastrectomy for gastric cancer. A
Nathanson liver retractor was used to retract a large fatty left liver lobe. The
operation was prolonged due to splenic bleeding requiring splenectomy. On the
second postoperative day, the patient deteriorated rapidly and developed multi
organ failure. A computerized tomogram confirmed necrosis of the left lobe of the
liver with gas in the liver parenchyma. The necrotic liver lobe was excised at
reoperation. The patient died from a postoperative myocardial infarction.
DISCUSSION: Though minor liver injuries, in the form of intraoperative trauma and
congestion, are common with laparoscopic liver retraction, major lacerations and
necrosis are rare. Prolonged surgery and enlarged fatty liver lobe increases the
risks of major injury. In our report, we discuss various types of retractor
related liver injuries and their management and highlight the importance of
intermittent release of retraction during prolonged surgery.
PMID- 21902958
TI - Laparoscopic 5-mm trocar site herniation and literature review.
AB - OBJECTIVE: To evaluate the evidence for fascial closure of 5-mm laparoscopic
trocar sites. METHODS: We conducted electronic database searches of PubMed and
the Cochrane Library for articles published between November 2008 and December
2010. We used the keywords trocar hernia, trocar-site hernia, laparoscopic
hernia, trocar port-site hernia, laparoscopic port-site hernia. Prospective and
retrospective case series, randomized trials, literature reviews, and randomized
animal studies of trocar hernias on abdominal wall defects from gynecologic,
urologic, and general surgery literature were reviewed. The Cochrane Database was
reviewed for pertinent studies. Metaanalysis was not possible due to the
significant heterogeneity between studies and lack of randomized trials large
enough to assess the incidence of this rare complication. RESULTS: Trocar-site
hernias are a rare but known complication of laparoscopic surgery. Trocar size
>=10mm is associated with an increased rate of hernia development. Currently, the
accepted gynecologic surgical practice is closure of fascial incisions >=10mm,
while incisions <10mm do not require closure. However, large prospective and
retrospective case series reports from general surgery and urology literature
support nonclosure of blunt or radially dilating trocars in paramedian sites.
Expert opinion and small case reports suggest that in cases of prolonged
manipulation of 5-mm trocar sites the surgeon should consider fascial closure,
because extension of the initial incision may have occurred. CONCLUSION: There is
no evidence to recommend routine closure of 5-mm trocar incisions; the choice
should continue to be left to the discretion of the individual surgeon.
PMID- 21902959
TI - The wandering Mirena: laparoscopic retrieval.
AB - Levonorgestrel-containing intrauterine contraceptive devices, marketed as Mirena
(Bayer HealthCare Pharmaceuticals, Inc. Australia) are widely used in
contemporary gynecology, primarily as an effective method for contraception and
for control of menstrual disorders like menorrhagia and dysmenorrhea. In this
article, the authors report 2 cases of Mirena migration following intrauterine
insertion by general practitioners (family physicians). In the first case, the
contraceptive device had moved to the patient's right iliac fossa just anterior
to the cecum and, in the second, within the peritoneal cavity close to the left
leaf of the diaphragm. Both patients underwent uneventful laparoscopic retrieval
of the devices.
PMID- 21902960
TI - "Do no harm"--ORReady initiative aims to improve safety and outcome for 6 million
patients.
PMID- 21902961
TI - Laparoscopy and natural orifice surgery: first entry safety surveillance step.
AB - BACKGROUND AND OBJECTIVE: We are sharing information regarding the surveillance
of the first entrance port in laparoscopic and natural orifice transvaginal
endoscopy surgeries. However, we are not analyzing techniques or other surgical
findings. METHOD: In this study, 160 women with previous abdominal pelvic
surgeries underwent laparoscopic surgery, 145 patients underwent transvaginal
Minilaparoscopy Assisted Natural Orifice Surgery (hybrid), and 3 patients
underwent pure natural orifice transvaginal endoscopic surgery (pure). For those
patients who had laparoscopy and hybrid procedures, the surveillance was from a
laparoscope or gastroscope placed in a secondary port. Surveillance in pure cases
was done using a gastroscopic retro view to see the pouch of Douglas. RESULTS:
The laparoscopic procedures were gynecological procedures. The hybrid procedures
included gynecological procedures as well as appendectomies and
cholecystectomies; the pure procedures were cholecystectomies. There were a few
minor vascular and bowel injuries in the laparoscopy group. There were no
injuries in the transvaginal hybrid or pure procedures groups. CONCLUSION: The
surveillance of the first entrance port can be an effective precautionary step.
The cumulative experience suggests that using such surveillance in cases
involving patients with prior surgery may assist in recognizing complications
that might otherwise be missed.
PMID- 21902962
TI - Single-port surgery: laboratory experience with the daVinci single-site platform.
AB - BACKGROUND AND OBJECTIVES: The purpose of this study was to evaluate the
feasibility and validity of a dedicated da Vinci single-port platform in the
porcine model in the performance of gynecologic surgery. METHODS: This pilot
study was conducted in 4 female pigs. All pigs had a general anesthetic and were
placed in the supine and flank position. A 2-cm umbilical incision was made,
through which a robotic single-port device was placed and pneumoperitoneum
obtained. A data set was collected for each procedure and included port placement
time, docking time, operative time, blood loss, and complications. Operative
times were compared between cases and procedures by use of the Student t test.
RESULTS: A total of 28 surgical procedures (8 oophorectomies, 4 hysterectomies, 8
pelvic lymph node dissections, 4 aorto-caval nodal dissections, 2 bladder
repairs, 1 uterine horn anastomosis, and 1 radical cystectomy) were performed.
There was no statistically significant difference in operating times for
symmetrical procedures among animals (P=0.3215). CONCLUSIONS: This animal study
demonstrates that singleport robotic surgery using a dedicated single-site
platform allows performing technically challenging procedures within acceptable
operative times and without complications or insertion of additional trocars.
PMID- 21902963
TI - Virtual reality uterine resectoscopic simulator: face and construct validation
and comparative evaluation in an educational environment.
AB - BACKGROUND AND OBJECTIVES: Recognizing that resectoscopic simulation may have an
educational role, this pilot study was designed to evaluate the face validity and
educational utility of a virtual reality uterine resectoscope training system.
METHODS: A pilot prospective comparative study of novice and expert
hysteroscopists' performance on a targeting exercise and myomectomy with the
virtual loop electrode. At baseline, expert and novice resectoscopists each
performed both exercises. Following instruction, novices practiced each exercise
a total of 9 times with the 10th recorded as the training outcome. Results were
compared both to baseline and to those of the experts. Data were analyzed with
the paired t and Wilcoxon rank sum tests as appropriate. RESULTS: At baseline,
all experts touched 4 targets in a mean of 33 seconds with no perforations,
compared to a mean of 2 for the 11 novices in a mean of 57 seconds (P=0.0034)
with one perforation. In 3 minutes, the experts removed a mean of 97.3% of the
virtual myoma, compared to 66.1% for the novices (P=0.0153). On the 10th "run,"
novices touched a mean of 4 targets in a mean of 23 seconds, an improvement from
baseline (P=0.0004) and improved to 89% on the myoma resection exercise
(P=0.0515) 36.3% over baseline. CONCLUSION: Although this pilot study has a
relatively small sample size and represents the results at one institution, it
demonstrates that virtual reality resectoscopic systems have the potential to
measure and improve the technical skills of novices before they operate on human
patients.
PMID- 21902965
TI - Defining "laparoscopy" through review of technical details in JSLS.
AB - BACKGROUND AND OBJECTIVES: The term "laparoscopy" has lost some precision in its
definition due to the proliferation in techniques of access to the abdominal
cavity. Currently, procedures performed with radical differences in port size,
placement, and even need for an extraction incision may be characterized as
"laparoscopic." However, the general public and many insurers divide procedures
in the simplified categories of laparoscopic or open. Our aim was to characterize
the typical laparoscopic operation through review of the technical details of a
year's worth of articles in JSLS. METHODS: We assembled and analyzed a database
of all articles in JSLS from 2008-2009 (4 issues starting with Volume 12, #4).
For comparison, we also reviewed articles from 1 decade ago (Volume 2). All
procedural details were compiled, including means of access, number and size of
ports, incision length, and conversion rates. RESULTS: In the most recent year,
there were 81 articles for analysis, compared to 39 in the earlier year. Few
articles listed all technical details, as only 58% of reports described mode of
access and 56% described the number of ports used. Access was nearly evenly
divided between Hasson and Veress techniques. The average number of ports in both
study periods was 4, although there was a trend toward smaller port sizes in the
current year. Among those studies specifying incision length, the average was
6.1cm in both groups. CONCLUSION: The technical operative details are lacking in
many reports. Based on review of published studies, most procedures are done with
4 ports, 3 of which are >=10mm in size. Until there is greater clarity in
technical description, the precise definition of laparoscopy will remain elusive.
PMID- 21902964
TI - A simple vacuum dressing reduces the wound infection rate of single-incision
pediatric endosurgical appendectomy.
AB - BACKGROUND AND OBJECTIVES: After introducing single-incision pediatric
endosurgical (SIPES) appendectomy at our institution, we noticed an increased
number of post-operative umbilical infections. This study evaluates the impact of
a simple, low-cost wound vacuum dressing on the wound infection rate. METHODS:
Umbilical wounds after single-incision laparoscopic appendectomy were covered
with standard dressing (approximating strips), or the new umbilical vacuum
dressing. A wound infection was defined as an infected umbilicus requiring
antibiotics, or incision and drainage. The wound infection rate was compared
between both groups. Statistical analysis was performed using Fischer's exact
test. Continuous variables were compared using the Student t test. RESULTS:
Included in this study were 183 children, 97 of whom were treated with the vacuum
dressing. The study populations were no different in terms of age, weight,
operative time, blood loss, length of stay, or proportion of acute versus
perforated appendicitis. A total of 7 (3.8%) wound infections occurred, 1 in the
vacuum dressing group (1%), versus 6 in the conventional dressing group (7%,
P=0.038). CONCLUSIONS: This simple, low-cost umbilical vacuum dressing decreased
the wound infection rate after SIPES appendectomy, possibly by absorbing
secretions from the base of the wound. It may be equally effective for other
indications.
PMID- 21902966
TI - Sutureless laparoscopic ventral hernia repair in obese patients.
AB - BACKGROUND AND OBJECTIVE: Transfascial sutures (TFS) are a standard component of
laparoscopic ventral herniorrhaphy (LVHR) that contribute to the durability of
repair, but also pain and, resultantly, hospital stay. We sought to examine LVHR
without TFS in obese patients with small abdominal wall hernias. METHODS: Between
September 2002 and December 2007, 174 patients underwent LVHR at Yale-New Haven
Hospital. Patients with BMI >30kg/m(2) and small primary abdominal wall hernias
were eligible for repair without TFS. Correlation between BMI, defect surface
area, operative time, and postoperative stay was assessed. RESULTS: Fourteen
patients underwent LVHR with no TFS, 2 with normal BMI and recurrent hernia after
open repair and 12 with BMI >30 kg/m(2) and primary small hernia. Mean age was
38.8 years. The average defect size was 5.3cm(2); mean operative time (OT) was 42
minutes. Eleven patients (92%) were discharged home the day of surgery. No
infectious or bleeding complications occurred. One patient required chronic pain
management, and 8 patients (67%) developed seromas that resorbed spontaneously.
There was no hernia recurrence at 7-month follow-up. CONCLUSION: LVHR is feasible
without TFS provided the hernia defect is small. Surgery can be performed on an
outpatient basis in obese individuals with minimal postoperative morbidity.
PMID- 21902967
TI - NiTiNol hernia device stability in inguinal hernioplasty without fixation.
AB - BACKGROUND AND OBJECTIVE: To determine whether the NiTiNol frame of a novel
hernia repair device utilizing polypropylene mesh for inguinal hernioplasty
remains stable and intransient without fixation after a minimum of 6 months.
METHODS: Twenty patients had 27 inguinal hernias repaired using a novel hernia
repair device that has a NiTiNol frame without any fixation. Initial single-view,
postoperative X-rays were compared with a second X-ray obtained at least 6 months
later. The NiTiNol frame, which can be easily visualized on a plain X-ray, was
measured in 2 dimensions, as were anatomic landmarks. The measurements obtained
and the appearances of the 2 X-rays were compared to determine the percentage of
change in device size and device stability with regard to device location and
shape. RESULTS: There were minimal changes noted between the 2 sets of
measurements obtained with an overall trend towards a slight increase in the size
of the hernia repair device. The devices demonstrated intransience of position
and stability of shape. CONCLUSIONS: The NiTiNol frame of a novel hernia repair
device utilizing polypropylene mesh exhibits radiographic evidence of size and
shape stability and intransience of position without fixation when used in
inguinal hernioplasty after a minimum follow-up of 6 months.
PMID- 21902968
TI - Single incision laparoscopic ventral hernia repair.
AB - BACKGROUND AND OBJECTIVES: Laparoscopic ventral hernia repair (LVH) requires
several skin incisions for trocar placement. We have developed a single incision
approach to LVH repair. The technique was introduced in clinical practice to any
consenting patients who were candidates for a standard multi-port laparoscopic
hernia repair. A consecutive series of patients was then followed to evaluate
feasibility. METHODS: Over an 8-month period, 14 patients (9 females, 5 males)
underwent LVH repair by an academic surgeon. One of 2 access methods was used in
each patient through a single 1.5-cm to 2-cm skin incision. One technique
utilized two 5-mm ports with a temporarily placed 11-mm port for mesh insertion.
The second technique utilized the SILS port (Covidien, Norwalk, CT). Standard or
roticulating laparoscopic instruments were used with both techniques. RESULTS:
Range (mean) BMI: 23 to 59 (38), Age: 26 to 73 years (53), DURATION: 37 to 87
minutes (57), Defect size: 1cm to 8cm (2), 3 with Swiss-cheese defect hernias.
The procedure was successfully performed in all patients. No conversions to a
multiple-port approach or to an open procedure were necessary. There were no
mortalities, major complications, or recurrences during the mean follow-up period
of 4 weeks. CONCLUSION: Single incision ventral hernia repair is technically
feasible, effective, and reproducible. The technique is easy to master, and safe
for any patient who is a candidate for laparoscopic ventral hernia repair.
Further data collection with long-term follow-up will be needed to ensure
equivalent outcomes. There will be demand for this approach by patients for
cosmetic reasons, and it may serve as a bridge to natural orifice techniques.
PMID- 21902969
TI - Laparoscopic gastrojejunostomy for the treatment of gastric outlet obstruction.
AB - BACKGROUND AND OBJECTIVES: Laparoscopic gastrojejunostomy (LGJ) has been proposed
as the technique preferred over open gastrojejunostomy for relieving gastric
outlet obstruction (GOO) due to malignant and benign disease. This study
investigates the feasibility and safety of LGJ for GOO. METHODS: A retrospective
review was performed of patients who underwent LGJ at Mount Sinai Medical Center
from 2004 to 2008. Patient's operative course and longterm outcomes were
collected. RESULTS: Twenty-eight patients were reviewed (16 had malignancy, 7 had
PUD, 3 had Crohn's disease, and one had obstruction of unclear cause). Average
operative time was 170 minutes, and estimated blood loss was 80cc. One case was
converted to open; another had stapler misfiring. Patients regained bowel
function at a median of 3 days and remained in the hospital for a median of 8
days. There were 4 major postoperative complications (14%): 1 anastomotic leak
and 1 trocar-site hemorrhage requiring reoperation and 2 gastrointestinal bleeds
requiring endoscopic intervention. There were 5 minor complications (18%),
including a partial small bowel obstruction, 1 patient developed bacteremia, and
3 patients had delayed gastric emptying. One patient had persistent GOO requiring
reoperation 3 months later. CONCLUSION: LGJ can be performed for GOO with
improved outcome and an acceptable complication rate compared to the open GJ
reported in the literature.
PMID- 21902970
TI - Contributing factors to postoperative length of stay in laparoscopic
cholecystectomy.
AB - BACKGROUND: Laparoscopic cholecystectomy for gallbladder disease is a common
surgical procedure performed in hospitals throughout the world. This study
evaluates the major factors that contribute to postoperative length of stay for
patients undergoing laparoscopic cholecystectomy. METHODS: We analyzed data for
patients undergoing laparoscopic cholecystectomy in a 5-hospital community health
system from December 1, 2008 to January 31, 2009. The natural logarithm of
postoperative length of stay was modeled to evaluate significant factors and
contributions. RESULTS: Included in the analysis were 232 patients. Three
preoperative patient factors were significant contributors: body mass index was
associated with decreased postoperative length of stay, while white blood cell
count and the presence of biliary pancreatitis were associated with increased
postoperative length of stay. The operative factors of fluids administered and
ASA class were significant contributors to increased postoperative length of
stay, with an increasing contribution with a higher ASA class. The utilization
factor of nonelective status was a significant contributor to increased
postoperative length of stay. CONCLUSION: Several factors were major contributors
to postoperative length of stay, with ASA class and nonelective status having the
most significant increased contribution. Efforts to optimize efficient elective
care delivery for patients with symptomatic gallbladder disease may demonstrate a
benefit of decreased hospital utilization.
PMID- 21902971
TI - Use of laparoscopy in trauma at a level II trauma center.
AB - BACKGROUND AND OBJECTIVES: Enthusiasm for the use of laparoscopy in trauma has
not rivaled that for general surgery. The purpose of this study was to evaluate
our experience with laparoscopy at a level II trauma center. METHODS: A
retrospective review of all trauma patients undergoing diagnostic or therapeutic
laparoscopy was performed from January 2004 to July 2010. RESULTS: Laparoscopy
was performed in 16 patients during the study period. The average age was 35
years. Injuries included left diaphragm in 4 patients, mesenteric injury in 2,
and vaginal laceration, liver laceration, small bowel injury, renal laceration,
urethral/pelvic, and colon injury in 1 patient each. Diagnostic laparoscopy was
performed in 11 patients (69%) with 3 patients requiring conversion to an open
procedure. Successful therapeutic laparoscopy was performed in 5 patients for
repair of isolated diaphragm injuries (2), a small bowel injury, a colon injury,
and placement of a suprapubic bladder catheter. Average length of stay was 5.6
days (range, 0 to 23), and 75% of patients were discharged home. Morbidity rate
was 13% with no mortalities or missed injuries. CONCLUSIONS: Laparoscopy is a
seldom-used modality at our trauma center; however, it may play a role in a
select subset of patients.
PMID- 21902972
TI - Impact of conversion on short and long-term outcome in laparoscopic resection of
curable colorectal cancer.
AB - INTRODUCTION: Long-term outcome of patients following conversion during
laparoscopic surgery for colorectal cancer is not often reported. Recent data
suggest a negative impact of conversion on long-term survival. This study aimed
to evaluate the impact of conversion on the perioperative outcome and on long
term survival in patients who underwent laparoscopic resection for curable
colorectal cancer. METHODS: Evaluation of our prospective in-hospital collected
data of patients who underwent laparoscopic surgery for curable colorectal cancer
over a 5-year period. Long-term data were collected from our outpatient's clinic
data and personal contact when necessary. RESULTS: During the study period, 175
patients were operated on laparoscopically for curable colon cancer (stage I
III). Mean follow-up was 33+/-18 months with a minimum follow-up of 12 months.
For various reasons, 25 patients (14.4%) had to be converted to open surgery.
Short-term outcome revealed a trend towards longer operations, a higher rate of
surgical complications, and a longer hospital stay in the converted group. Five
year, Kaplan-Meier, disease-free analysis was worse for converted patients.
Overall survival did not differ between the 2 groups. Cox proportional hazards
regression analysis revealed that conversion and AJCC stage were independent risk
factors for recurrence. CONCLUSIONS: Conversion in laparoscopic surgery for
curable colorectal cancer is associated with a worse perioperative outcome and
worse disease-free survival.
PMID- 21902973
TI - Combined treatment of symptomatic massive paraesophageal hernia in the morbidly
obese.
AB - INTRODUCTION: Repair of large paraesophageal hernias by itself is associated with
high failure rates in the morbidly obese. A surgical approach addressing both
giant paraesophageal hernia and morbid obesity has, to our knowledge, not been
explored in the surgical literature. METHODS: A retrospective review of a
bariatric surgery database identified patients who underwent simultaneous repair
of large type 3 paraesophageal hernias with primary crus closure and Roux-en-Y
gastric bypass (RYGB). Operative time, intraoperative and 30-day morbidity,
weight loss, resolution of comorbid conditions and use of antireflux medication
were outcome measures. Integrity of crural closure was studied with a barium
swallow. RESULTS: Three patients with a mean body mass index of 46kg/m(2) and
mean age of 46 years underwent repair of a large paraesophageal hernia, primary
crus closure, and RYGB. Mean operative time was 241 minutes and length of stay
was 4 days. There was no intraoperative or 30-day morbidity. One patient required
endoscopic balloon dilatation of the gastrojejunostomy. At 12 months, all
patients were asymptomatic with excellent weight loss and resolution of
comorbidities. Contrast studies showed no recurrence of the hiatal hernia.
CONCLUSION: Simultaneous laparoscopic repair of large paraesophageal hernias in
the morbidly obese is safe and effective.
PMID- 21902974
TI - Robotic radical prostatectomy at a teaching community hospital: outcomes and
safety.
AB - OBJECTIVES: This study describes the early experience of robotic prostatectomy
exclusively at a teaching community hospital. METHODS: This is a retrospective
report of 153 consecutive patients on whom 4 physicians were the operating
surgeon. RESULTS: The average hospital stay was 1.5 days, the mean operative time
was 175 minutes, and the estimated operative blood loss was <300mL. The
perioperative complication rate was 7.8% (12/153). The prostate-specific antigen
failure rate was 2% (2/114). Urinary continence was maintained in 98% of patients
9 months after surgery. Postoperative Gleason scores differed significantly from
preoperative biopsy results (P<0.001). Pathological records reported positive
margins in 35% (54/153) of specimens. T3 tumors had positive margins more than
twice as often as T2 tumors (P<0.002). Surgeon experience correlated with shorter
operative times (P=0.001), but not with positive margins. Increasing body mass
index was associated with increased operating time (P<0.001). CONCLUSIONS:
Robotic prostatectomy appears to be a safe and successful option for prostate
cancer treatment in a teaching community hospital.
PMID- 21902975
TI - Side docking the robot for robotic laparoscopic radical prostatectomy.
AB - BACKGROUND AND OBJECTIVES: Low lithotomy position with the robot between the legs
for docking is a standard position for robotic radical prostatectomy. Its
complications include occasional nerve injury and compartment syndrome. In some
patients with conditions that limit hip abduction, this position may be
infeasible. We report a docking technique that obviates stirrups and simplifies
setup without altering surgical technique. METHODS: A total of 100 consecutive
patients underwent robotic radical prostatectomy for localized prostate cancer.
Fifty patients (group 1) were in the standard lithotomy position, and the
remaining 50 patients (group 2) were in slight trendelenburg position with the
robot at the side of the bed - "side-docked." Setup and docking times were
recorded and both groups were compared for differences in operative variables.
RESULTS: Mean setup time for group 2 was 4.7 minutes shorter than for group 1 (p
= 0.02). Docking time and other operative variables were statistically similar
and not affected by the adoption of side-docking technique. However, overall
surgical time was longer due to modifications in other aspects of the technique
during the study period. CONCLUSION: Side-docking for robotic radical
prostatectomy is associated with small but significant improvement in setup time
and can be utilized in patients with limited hip abduction.
PMID- 21902976
TI - Hand-assisted laparoscopy confers equal efficacy in simple and radical
nephrectomy.
AB - BACKGROUND AND OBJECTIVES: To evaluate outcomes for simple hand-assisted
laparoscopic nephrectomy (HALSN). METHODS: A retrospective chart review was
performed at our institution for all patients who had undergone HALSN from
January 2002 to January 2009. Thirty-three patients underwent HALSN during this
time period and were matched with 33 patients who underwent radical handassisted
laparoscopic nephrectomy (HALRN). RESULTS: Operative times were similar between
both groups (301 vs 286 min for HALSN vs HALRN; P=.54). There were no
intraoperative or postoperative transfusions in either group. There was one
conversion to open nephrectomy in the HALSN group in a patient with
xanthogranulomatous pyelonephritis and no conversions in the HALRN group. The
mean opioid equivalence requirement was not statistically different between both
groups (110 vs 120 for HALSN vs HALRN, P=.70). Mean hospital stay was similar for
patients undergoing HALSN and HALRN (5.0+/-3.8 days vs 4.0+/-1.2 days, P=.63).
There was 1 major complication in the HALSN group (pulmonary embolus) and no
major complications in the HALRN group. Rates of minor complications were
comparable between the 2 groups (18% vs 24% for HALSN vs HALRN). CONCLUSIONS:
HALSN may be associated with similar operative times and length of postoperative
hospital stay as well as comparable complication rates compared to HALRN.
PMID- 21902977
TI - Evolution of minimally invasive surgery for donor nephrectomy and outcomes.
AB - BACKGROUND: Laparoscopic donor nephrectomy was introduced into Australia in 1997
by this unit. However, some donors may be considered unsuitable, and few
modifications to the existing technique can tailor this procedure for an
individual donor. Recently, further changes including clustering of ports and
single-port methods have been investigated. METHODS: The laparoscopic method was
offered to all but 3 donors from May 1997 to October 2009. Data were collected on
all 289 donors who underwent laparoscopic procedures. RESULTS: All but 5 donor
procedures were completed laparoscopically, and in 4 of them conversion to open
was necessary due to hemorrhage. The fifth was a planned conversion in our first
right LDN. Delayed graft function was seen in 7 recipients and 5 required
dialysis postoperatively. Two kidneys were lost due to arterial thrombosis, and 5
patients underwent segmental infarction with decreased renal function. Mean
hospital stay was 2.35+/-1.67 days. There were no donor deaths or serious
morbidity. CONCLUSIONS: Although the benefits to the donor of the laparoscopic
method are well recognized, our modifications will benefit those who may be
precluded from this method.
PMID- 21902978
TI - Radical hysterectomy for early stage cervical cancer: laparoscopy versus
laparotomy.
AB - OBJECTIVES: Gynecologic oncologists have recently begun using laparoscopic
techniques to treat early stage cervical cancer. We evaluated a single
institution's experience of laparoscopic radical hysterectomy and staging
compared with laparotomy. METHODS: A retrospective chart review identified stage
IA2 and IB1 cervical cancer patients who underwent laparoscopic radical
hysterectomy and pelvic lymph node dissection from July 2003 to April 2009. A 2:1
cohort of patients treated with laparotomy were matched by stage. RESULTS: Nine
laparoscopic patients (3 stage IA2, 6 stage IB1) with 18 matched controls (6 and
12) were identified. Demographics for each group were similar. None had positive
margins or lymph nodes. An average of 11.2 vs.13.9 pelvic lymph nodes (P=0.237)
were removed. Average operating time was 231.7 vs. 207.2 minutes (P=0.434), and
average estimated blood loss was 161.1 vs. 394.4mL (P=0.059). Average length of
stay was 2.9 vs. 5.5 days (P=0.012). No transfusions or operative complications
were noted in the laparoscopic group vs. 3 each in the open group (P=0.194). No
laparoscopic patients and 5 open patients had a postoperative wound infection
(P=0.079). No recurrences were noted. CONCLUSIONS: Laparoscopic radical
hysterectomy is a feasible alternative to laparotomy for early stage cervical
cancer. Similar surgical outcomes are achieved with significantly less morbidity.
PMID- 21902979
TI - Total laparoscopic hysterectomy and laparoscopy-assisted vaginal hysterectomy.
AB - BACKGROUND AND OBJECTIVES: To compare the feasibility of total laparoscopic
hysterectomy (TLH) and laparoscopy-assisted vaginal hysterectomy (LAVH) in the
treatment of benign gynecologic diseases and to determine the selection criteria
for each technique. METHODS: This was a retrospective medical records review of
168 patients who underwent TLH or LAVH performed by one surgeon. A chi-square
test was used to compare the difference between the TLH and LAVH groups.
Pearson's correlation coefficient was calculated for the relationship between the
clinico-demographic factors of the patients. RESULTS: There were no differences
between the 2 groups with respect to age, parity, history of abdominal delivery,
body mass index, and indication for hysterectomy. The operative time was similar
between the 2 groups (P>.99). The uterine weight was greater in the LAVH group
compared to the TLH group (P<.01). Ten patients were converted from TLH to LAVH,
because of a large uterus and/or a lower segmental mass on the uterus, making it
difficult to expose the Koh cup rim contour. CONCLUSIONS: TLH and LAVH are safe,
feasible methods by which to perform a hysterectomy. LAVH is preferred in
patients with a mass involving the lower segment or a relatively large uterus.
PMID- 21902980
TI - Single-port transumbilical laparoscopic-assisted adnexal surgery.
AB - OBJECTIVES: To evaluate the efficiency and feasibility of single-port access
transumbilical laparoscopic-assisted surgery in patients with large (>8cm)
adnexal tumors and to present our initial experience. METHODS: Twenty-two
patients with presumably benign adnexal tumors who have undergone single-port
access transumbilical laparoscopic-assisted surgery were enrolled. The procedure
was performed using the method of exteriorization and extracorporeal surgery of
adnexal tumors outside the abdominal cavity under laparoscopic guidance with
preservation of as much ovarian tissue as possible. In each case, a homemade
single-port device was inserted into the abdomen through a 2-cm umbilical
incision. The clinical characteristics and operative outcomes of these patients
were reviewed. RESULTS: Twenty of 22 cases were completed successfully. The one
failed case required an additional trocar for adequate adhesiolysis, and the
other case needed intraperitoneal drainage. The median operating time was 50
minutes (range, 35 to 120), and the estimated blood loss was 38mL (range, 10 to
300). Cyst rupture occurred in 2 cases, but there were no major postoperative
complications. CONCLUSION: Single-port access transumbilical laparoscopic
assisted surgery for benign and relatively large adnexal tumors is feasible and
could be an alternative to convention open laparotomy or laparoscopic surgery.
PMID- 21902981
TI - Combined endoscopic and laparoscopic approach to a gastroesophageal tumor.
AB - BACKGROUND AND OBJECTIVES: Smooth muscle tumors of the stomach, especially when
benign, are a common clinical entity and can represent a therapeutic challenge.
Classically the removal of such a tumor requires open laparotomy. We sought to
perform this surgery utilizing minimally invasive technology. METHODS: We
describe a minimally invasive technique combining laparoscopy with endoscopy to
remove a sub-mucosal leiomyoma at the gastroesophageal junction. RESULTS: A 3.3
cm smooth muscle tumor of the gastroesophageal junction was removed completely
and safely with the described procedure and thus saved the patient from requiring
a laparotomy. CONCLUSION: Minimally invasive techniques can be used in
combination to tackle difficult problems in general surgery leading to shorter
hospital stays and improved patient satisfaction.
PMID- 21902982
TI - Surgical relief of small bowel obstruction by migrated biliary stent: extraction
without enterotomy.
AB - BACKGROUND: Distal stent migration is a well-known complication following
insertion of biliary stents. Most such cases can be managed expectantly, because
the stents pass through the gastrointestinal tract. However, small bowel
obstruction as a result of the stent mandates surgical intervention. METHODS: We
report the case of a patient who had distal stent migration causing a small bowel
obstruction. We successfully retrieved the stent without an enterotomy, by using
a combination of laparoscopy, endoscopy, and fluoroscopy. Our unique technique
greatly decreased the risk of bacterial peritonitis in this patient with
decompensated cirrhosis and associated ascites, which in this patient population
results in a high mortality. RESULTS: Management of small bowel obstruction
secondary to biliary stent migration necessitates operative intervention.
Retrieval of a dislodged stent can be performed safely without subjecting the
patient to an enterotomy or a small bowel resection. Postoperative morbidity
should be significantly reduced by this approach. CONCLUSION: Retrieval of
biliary stents in cases of small bowel obstruction without perforation may be
successfully performed without enterotomy or bowel resection. A similar approach
may be applied to other foreign bodies dislodged in the small bowel.
PMID- 21902983
TI - Laparoscopic single site adrenalectomy using a conventional laparoscope and
instrumentation.
AB - BACKGROUND AND OBJECTIVES: We present a case of Laparoendoscopic Single Site
Surgery (LESS) left adrenalectomy performed with a conventional laparoscope and
instruments. METHODS: A 45-year-old male was diagnosed with hyperaldosteronism.
Computed tomography detected a left adrenal nodule. Bilateral adrenal vein
sampling was consistent with a left-sided source for hyperaldosteronism. RESULTS:
Total operative time for LESS left adrenalectomy was 120 minutes. The surgery was
performed with conventional instruments, a standard 5-mm laparoscope, and a SILS
port, with no additional incisions or trocars needed. No complications occurred,
and the patient reported an uneventful recovery. CONCLUSIONS: LESS adrenalectomy
is a feasible procedure. Although articulating instruments and laparoscopes may
offer advantages, LESS adrenalectomy can be done without these.
PMID- 21902984
TI - Single-incision laparoscopic cholecystectomy in situs inversus totalis.
AB - BACKGROUND AND OBJECTIVES: Situs inversus totalis (SIT) is a rare congenital
anomaly that can cause difficulties during standard laparoscopic cholecystectomy
due to its mirror-image anatomy. These cases require more technically demanding
procedures, and handedness of the surgeon may influence performance of these
operations. Single-incision laparoscopic surgery (SILS) has been proposed as a
less-invasive alternative to conventional laparoscopic surgery. We report the
first case of successful SILS cholecystectomy in a patient with SIT and discuss
technical aspects of the operation related to the handedness of the surgeon.
CASE: A 49-year-old man who was known to have situs inversus totalis presented
with symptomatic cholelithiasis. This patient was operated on by a right-handed
surgeon. The surgeon and camera assistant were positioned on the right and left
side respectively with the video monitor above the patient's left shoulder. The
SILS port (Covidien), which has 3 operating channels, was placed in the abdomen
via a 2-cm intraumbilical incision. SILS cholecystectomy was performed
successfully. Dissection of Calot's triangle and the gallbladder bed was
performed using a dissector and hook in the right hand without any technical
problems. CONCLUSION: SIT may confer an advantage over the orthotopic position
for right-handed surgeons. SILS cholecystectomy can be performed safely in SIT.
PMID- 21902985
TI - Excision of esophageal duplication cysts with robotic-assisted thoracoscopic
surgery.
AB - Esophageal duplication cysts are infrequent anomalies of the gastrointestinal
tract that are predominantly found in children. The conventional surgical
approach for removal of these cysts is an open surgery one with a posterolateral
thoracotomy incision. However, more recently, these cysts have been excised via
video-assisted thoracoscopic surgery (VATS). In this article, we present 2
pediatric patients treated with successful excision of an esophageal duplication
cyst via robotic-assisted thoracoscopic surgery (RATS) using the da Vinci
surgical system. With robotic technology, precise dissection and complete
resection of the thoracic mass was achieved without violating the esophageal
mucosa. There were no complications, and the patients did not require placement
of a postoperative chest tube. Pathological examination of the mass was
consistent with an esophageal (foregut) duplication cyst in both cases.
PMID- 21902987
TI - Prolapsed epiploica of the sigmoid colon after total laparoscopic hysterectomy.
AB - Prolapsed epiploica of the sigmoid colon through the vaginal cuff is a rare
finding that has never been reported after total laparoscopic hysterectomy. We
encountered a case of prolapsed epiploica of the sigmoid colon in a 40-year-old
female, 4 months status after total laparoscopic hysterectomy. Diagnostic
laparoscopy demonstrated prolapsed epiploica of the sigmoid colon through a
dehisced vaginal cuff.
PMID- 21902986
TI - The last place you would expect to find a gallstone.
AB - The increasing use of laparoscopic cholecystectomy has led to an increased
frequency of gallbladder perforation and subsequent gallstone spillage in the
abdominal cavity. Occasionally unretrieved gallstones can cause infection,
adhesions, and obstruction. Furthermore, spilt stones can cause erosion into
adjacent organs and can migrate to distant sites, causing a variety of
complications. We report the unusual case of a patient who presented with
spontaneous erosion of gallstones through Grynfeltt's triangle, 1 year after
laparoscopic cholecystectomy and review the current literature.
PMID- 21902988
TI - Laparoscopic hysteropexy in a patient with spina bifida and ventriculoperitoneal
shunt.
AB - Laparoscopic repair of pelvic organ prolapse in patients with
ventriculoperitoneal shunts has not been previously described. The optimum
management of patients with ventriculoperitoneal shunts undergoing laparoscopy is
uncertain. We describe the case of a 21-year-old female patient with spina bifida
and ventriculoperitoneal shunt who underwent laparoscopic hysteropexy for severe
pelvic organ prolapse. The implications of performing laparoscopy on patients
with ventriculoperitoneal shunts are reviewed along with strategies to reduce
potential intraoperative complications.
PMID- 21902989
TI - Removal of an intraperitoneal foreign body using a single port laparoscopic
procedure.
AB - BACKGROUND AND OBJECTIVES: To remove a foreign body from the peritoneal cavity in
laparoscopic surgery, 2 or 3 ports are usually used. We have recently performed
such a removal using a single 10-mm transumbilical port, a 0-degree laparoscope,
a Farabeuf retractor, and a laparoscopic grasping forceps. METHODS: Two patients
with ventriculoperitoneal shunt catheter (V-P shunt) were admitted to our unit
during the last year. They previously had a shunt catheter implanted for
hydrocephalus of unknown cause. The complete migration of the
ventriculoperitoneal shunt catheter into the peritoneal cavity was observed in
these patients 12 and 7 years after the implantation. The laparoscopic removal of
the migrated catheter was decided on. Its presence and location were confirmed by
the use of a 0-degree laparoscope, through a 10-mm trocar port. The catheter was
held and pulled out using a grasping forceps that was pushed in just beside the
trocar port. CONCLUSION: The laparoscopic approach enables safe removal of a
foreign body in the peritoneal cavity. The procedure can be performed using a
single port.
PMID- 21902990
TI - Large abdominal wall endometrioma following laparoscopic hysterectomy.
AB - BACKGROUND: Endometriosis is a common condition in women that affects up to 45%
of patients in the reproductive age group by causing pelvic pain. It is
characterized by the presence of endometrial tissue outside the uterine cavity
and is rarely found subcutaneously or in abdominal incisions, causing it to be
overlooked in patients with abdominal pain. METHODS: A 45-year-old woman
presented with lower abdominal pain 2 years following a laparoscopic
supracervical hysterectomy. She was found to have incidental cholelithiasis and a
large abdominal mass suggestive of a significant ventral hernia on CT scan.
RESULTS: Due to the peculiar presentation, surgical intervention took place that
revealed a large 9cm*7.6cm*6.2cm abdominal wall endometrioma. CONCLUSION:
Although extrapelvic endometriosis is rare, it should be entertained in the
differential diagnosis for the female patient who presents with an abdominal mass
and pain and has a previous surgical history.
PMID- 21902991
TI - Pre-pubertal presentation of peritoneal inclusion cyst associated with congenital
lower extremity venous valve agenesis.
AB - Peritoneal inclusion cysts are uncommon lesions that usually occur in the pelvis
of reproductive-age females. The case of a 7-year-old girl with an inflamed
peritoneal inclusion cyst with unusual right paracolic localization and
congenital lower extremity superficial and deep venous valve agenesis is
presented. Inflammation of the peritoneal inclusion cyst was responsible for the
signs of acute abdomen and subsequent presentation at our center. The cystic
structure was initially diagnosed using ultrasonography, and its complete extent
(8cm x 6.5cm x 4cm) was evident after magnetic resonance imaging. The minimal
access approach was opted for to resect the entire cyst from the lateral border
of the ascending colon. Afterwards, the cyst was punctured to reduce its size and
to retrieve the cyst wall using an endoscopic specimen retrieval bag. Minimal
access surgery precautions in this patient with congenital lower extremity venous
valve agenesis are discussed.
PMID- 21902992
TI - Postpartum ovarian vein thrombosis.
AB - BACKGROUND: Ovarian vein thrombosis (OVT) is a rare but potentially serious
postpartum complication, which occurs in 0.05% to 0.18% of pregnancies and is
diagnosed on the right side in 80% to 90% of the cases. CASE REPORT: A 32-year
old woman presented at 15 days postpartum to our emergency department with severe
abdominal pain, fever, and abdominal distension. Abdominal examination revealed
right lower quadrant pain with rebound tenderness. The plain abdominal
radiography evidenced a diffuse fecal stasis; abdominal ultrasound showed the
presence of free fluid in the Douglas' pouch and between small bowel loops.
Diagnosis of acute appendicitis was made. The patient immediately underwent
explorative laparoscopy; at surgery, a woody tumoration consistent with right
ovarian vein thrombosis was found. Laparoscopic ultrasound confirmed the
diagnosis. Anticoagulation therapy and antibiotics were instituted. CT-scan
confirmed the presence of thrombosis up to the vena cava. The patient was
discharged on postoperative day 4. At 1-month follow-up, she remained stable and
symptom free. DISCUSSION: Even though postpartum ovarian vein thrombosis is rare,
recognition and treatment is needed to institute adequate therapy and avoid
potential serious sequelae. The diagnosis can be established by ultrasound, CT
scan, and MRI examinations, although, as in the case described, the limitation of
ultrasound includes obscuration of the gonadic vein by overlying bowel gas.
CONCLUSION: OVT should be considered in any woman in the postpartum period with
lower abdominal pain, fever, and leucocytosis.
PMID- 21902993
TI - Inflammatory pseudotumor of the retroperitoneum removed via a
retroperitoneoscopic approach.
AB - BACKGROUND: Inflammatory pseudotumors were mostly encountered in the lung.
Retroperitoneal inflammatory pseudotumors are relatively rare. Although
laparoscopic surgery with a modified flank position is widely performed for
retroperitoneal tumors, we placed the patient in the supine position to maintain
the normal anatomical view of the retroperitoneal space. We report a case of
retroperitoneal inflammatory pseudotumor extracted via retroperitoneoscopic
surgery in the supine position. METHODS AND RESULTS: A 53-year-old man presented
with back pain and frequent urination. Ultrasonographic examination revealed a
solid tumor above the urinary bladder. This tumor was diagnosed as a primary
retroperitoneal tumor by computed tomography, magnetic resonance imaging, and
angiography. The retroperitoneoscopic procedure was adopted to remove this tumor.
Histopathologic examination revealed an inflammatory pseudotumor. There was no
evidence of recurrence 5 years after surgery. CONCLUSIONS: The diagnosis of the
inflammatory pseudotumor is rarely made before histologic examination. Only
pathologic examination allows the correct diagnosis. Consequently, most patients
undergo surgical treatment. A recurrence rate of 25% has been reported for
inflammatory pseudotumors. Thus, radical resection is needed. The retroperitoneal
laparoscopic approach with the patient in the supine position is useful for
surgically treating these primary retroperitoneal tumors located below the level
of the renal vessels.
PMID- 21902994
TI - What if the hand piece spring disassembles during robotic radical prostatectomy?
AB - BACKGROUND AND OBJECTIVES: Robot-assisted laparoscopic radical prostatectomy
(RALRP) is successfully being performed for treating prostate cancer (PCa).
However, instrumentation failure associated with robotic procedures represents a
unique new problem. METHODS: We report the successful completion of RALRP in
spite of a disassembled hand piece spring during the procedure. A PubMed/Medline
search was made concerning robotic malfunction and robot-assisted laparoscopic
radical prostatectomy to discuss our experience. RESULTS: We performed RALRP in a
60-year-old male patient with localized PCa. During the procedure, the spring of
the hand piece disassembled, and we were not able to reassemble it. We completed
the procedure successfully however without fixing the disassembled hand piece
spring. We were able to grasp tissue and needles when we brought our fingers
together. The only movement we needed to do was to move fingers apart to release
tissue or needles caught by robotic instrument. CONCLUSION: Although malfunction
risk related to the da Vinci Surgical System seems to be very low, it might still
occur. Sometimes, simple maneuvers may compensate for the failed function as
occurred in our case. However, patients should be informed before the operation
about the possibility of converting their procedure to laparoscopic or open due
to robotic malfunction.
PMID- 21902995
TI - A clinical study evaluating success of 2 commercially available preveneered
primary molar stainless steel crowns.
AB - PURPOSE: To evaluate the success of posterior NuSmile((r)) and KinderTMKrown and
to determine the level of parental satisfaction with this treatment option.
METHODS: Forty-eight crowns were placed in 18 children with a mean age of 5
years. A split mouth design was used. Each participant randomly received each
crown type on 2 or 4 pair matched molars. Two trained operators completed all
treatments. Two additional trained and calibrated clinicians blindly re-evaluated
crowns according to specified variables. A visual analogue scale was used to
determine parental satisfaction. Examiner reliability was determined by Cohen's
kappa scores and results were analysed statistically using Fisher's exact test.
RESULTS: All crowns were retained after 12 months with no statistical difference
in the clinical and radiographic success of posterior NuSmile((r)) and
KinderTMKrowns. Overall success was high with 81% of facings intact and 83% free
of gingival inflammation after 12 months. Radiographically, 81% were successful.
Veneer facing wear was significantly more likely to occur with opposing crowns
(P=.02). Parental satisfaction was excellent with a mean score of 9.3 out of 10.
CONCLUSIONS: These crowns combine the durability of conventional stainless steel
crowns with improved esthetics and are proposed as a suitable alternative where
esthetic demand is increased.
PMID- 21902996
TI - Antibacterial effects of chemomechanical instrumentation and calcium hydroxide in
primary teeth with pulp necrosis.
AB - PURPOSE: This study's purposes were to: evaluate the antibacterial effect of
chemomechanical instrumentation and a calcium hydroxide-based dressing in primary
teeth with pulp necrosis secondary to trauma; and detect the presence of
Fusobacterium nucleatum and black-pigmented rods in the canals of these teeth.
METHODS: Microbiological sample collections (MSCs) were obtained: after coronal
access to the canals of primary incisors (in 18 teeth; MSC 1); after
chemomechanical instrumentation (in 10 teeth; MSC 2); and 72 hours after removal
of intracanal medication (in 18 teeth; MSC 3). These samples were sent for
microbiological processing. The results were analyzed statistically via chi
square, analysis of variance, and Games-Howell tests (P<.05). RESULTS: Micro
organisms were isolated in approximately 94%, 10%, and 83% of canals,
respectively, in MSCs 1, 2, and 3. There was a statistically significant
difference only between MSCs 1 and 2 (P<.03). F nucleatum and black-pigmented
rods were detected in approximately 56% and 11% of canals in MSC 1, respectively.
CONCLUSION: Chemomechanical instrumentation and calcium hydroxide-based dressing
have an anti-bacterial effect by significantly reducing the number of micro
organisms in the main root canal. They showed a limited efficacy, however, and
did not prevent bacterial regrowth after endodontic therapy in primary teeth with
pulp necrosis secondary to trauma.
PMID- 21902997
TI - Radiographic evaluation of alveolar bone height in the primary dentition: a
retrospective follow-up study.
AB - PURPOSE: The aim of this retrospective follow-up study was to verify the distance
between the cementoenamel junction (CEJ) and the alveolar bone crest (ABC) in
bitewing radiographs. METHODS: Radiographs were digitized and divided into groups
by: age, proximal crown surface status (sound->sound and unsound->unsound),
tooth, and timing of radiograph. The CEJ-ABC distances were measured on the
distal surface of the first primary molar and/or the mesial surface of the second
lower primary molar, using the Image Tool software. RESULTS: The results revealed
interactions between the following variables: timing of radiograph-age, age
tooth, timing of radiograph - proximal crown surface status and age- proximal
crown surface status. It has been detected that CEJ-ABC distances have
significantly increased with time, particularly in terms of assessment of primary
lower first molars. However, this increase is greater in unsound when compared to
sound surfaces. CONCLUSION: This study suggests that an increase in the CEJ-ABC
distance is directly associated with aging in a normal periodontium in the
primary dentition and that the presence of unsound surfaces can be a risk
indicator for the development of alveolar bone loss.
PMID- 21902998
TI - Long-term outcomes of primary tooth pulpectomy with and without smear layer
removal: a randomized split-mouth clinical trial.
AB - PURPOSE: The aim of this study was to evaluate, via clinical and radiographic
assessment, pulpectomy outcomes performed on primary anterior teeth both with and
without a citric acid solution to enhance smear layer removal. METHODS: Patients
with a matched pair of primary incisors (split-mouth design) with irreversible
pulp changes were selected. A total of 36 teeth (18 children) received
pulpectomies and were followed for 36 months. Pulpectomies were performed using
sodium hypochlorite and saline solution as canal irrigants; during the last
irrigation, the tooth was randomly selected to receive ( Group 1) or not receive
(Group 2) the citric acid solution for smear layer removal. The roots were filled
with ZOE paste. RESULTS: Overall pulpectomy success was 90.6%. Cases with smear
layer removal were successful 82.3% of the time; those without smear layer
removal, 88.2%, and there were no statistical differences (P=1.00). CONCLUSION:
Pulpectomy with smear layer removal in primary incisors exhibited, after 36
months, a high success rate; however, comparable results were obtained when the
smear layer was not removed.
PMID- 21902999
TI - Factors related to postoperative discomfort in young children following dental
rehabilitation under general anesthesia.
AB - PURPOSE: This study, conducted in ambulatory surgical centers, was a response to
queries from pediatric dentists who wondered if postoperative discomfort in their
patients treated for early childhood caries under general anesthesia was related
to specific dental procedures. The purpose of this study was to identify factors
related to the occurrence and severity of postoperative discomfort. METHODS:
Subjects were children younger than 7 years old. The faces, legs, activity, cry,
consolability pain assessment tool measured discomfort immediately
postoperatively; the dental discomfort questionnaire (DDQ-8) measured discomfort
preoperatively and after treatment. Data was analyzed with bivariate tests and
hierarchical linear multiple regression. RESULTS: Of the 160 participants (52.9+/
15.0 months old), approximately 51% had preoperative dental discomfort (DDQ-8
score=>3). The proportion with discomfort had significantly decreased to 27% by
days 2 to 5. Immediate discomfort in recovery was influenced by number of crowns
and space maintainers and inversely by the length of postoperative sleep. Dental
discomfort in the first week postoperatively was predicted by amount of
preoperative discomfort, length of sleep in recovery, and not resuming a regular
diet on Day 1. CONCLUSION: In these children, discomfort after treatment was
mild, decreased over time, and, other than immediately postoperatively, was not
related to specific dental procedures.
PMID- 21903000
TI - Sodium hypochlorite pulpotomies in primary teeth: a retrospective assessment.
AB - PURPOSE: In 2007, the University of Iowa's advanced training program in pediatric
dentistry replaced the traditional formocresol vital pulpotomy technique with a
5% sodium hypochlorite (NaOCl) technique. The purpose of this study was to
evaluate the clinical/radiographic success over 21 months of 5% NaOCl as the
medicament in primary molar pulpotomies compared to published data for
formocresol and ferric sulfate pulpotomies. METHODS: A retrospective chart audit
was performed to evaluate results for all primary molar pulpotomies completed
during a 12-month period using NaOCl. Dental records were reviewed for clinical
and radiographic findings subsequent to pulp therapy. Clinical and radiographic
criteria used to determine pulpotomy success were based on scientific literature.
RESULTS: One hundred ninety-two NaOCl primary molar pulpotomies were completed in
118 patients; 131 (68%) primary molars from 77 children were available for follow
up examination (mean time since pulpotomy=10.5 months). NaOCl pulpotomies had a
95% clinical and 82% overall radiographic success rate. External root resorption
was the most common pathologic finding. Pulpotomy success diminished over time.
CONCLUSIONS: Clinical and radiographic success rates in this study on NaOCl
pulpotomies are comparable to formocresol and ferric sulfate pulpotomies reported
in the literature. Further study with longer observation periods is warranted.
PMID- 21903001
TI - Factors associated with sealant outcome in 2 pediatric dental clinics: a
multivariate hierarchical analysis.
AB - PURPOSE: This study's purpose was to determine whether one-time sealants placed
by pediatric dental residents vs dental students have different outcomes. The
effect of isolation technique, behavior, duration of follow-up, and caries
history was also examined. METHODS: Records from 2 inner-city pediatric dental
clinics were audited for 6- to 10-year-old patients with a permanent first molar
sealant with at least 2 years of follow-up. A successful sealant was a one-time
sealant that received no further treatment and was sealed or unsealed but not
carious or restored at the final audit. RESULTS: Charts from 203 children with
481 sealants were audited. Of these, 281 sealants were failures. Univariate
analysis revealed longer follow-up and younger age were associated with sealant
failure. Operator type, child behavior, and isolation technique were not
associated with sealant failure. After adjusting for follow-up duration,
increased age at treatment reduced the odds of sealant failure while a history of
caries reduced the protective effect of increased age. After adjusting for these
factors, practitioner type, behavior, and type of isolation were not associated
with sealant outcome in multivariate analysis. CONCLUSIONS: Age at sealant
placement, history of caries prior to placement, and longer duration of follow-up
are associated with sealant failure.
PMID- 21903002
TI - Treatment of anterior crossbite in the primary dentition with esthetic crowns:
report of 3 cases.
AB - Anterior crossbite is a sagittal malocclusion occurring in approximately 4 to 5%
of children with primary dentition. Although self-correction may occur, treating
an anterior crossbite as early as possible has been proposed to prevent problems
in the transitional and permanent dentitions. The purpose of this paper is to
present an optional restorative treatment used in 3 cases where an anterior
crossbite was treated by restoring the primary maxillary incisors with esthetic
pediatric strip crowns. In all 3 cases, the crossbite was successfully treated by
using the proposed technique over 1 to 2 weeks. A 6-month follow-up showed that
the occlusion stabilized into a sagittal normal relationship, permitting normal
dentofacial growth and development to continue. The technique presented here can
be added to the armamentarium of pediatric dentists and general practitioners to
correct anterior crossbites diagnosed in the primary dentition.
PMID- 21903003
TI - Assessment of noise exposures in a pediatric dentistry residency clinic.
AB - PURPOSE: In addition to sounds from dental equipment, pediatric dentists are
exposed to noise produced by precooperative and/or noncooperative children. The
purpose of this study was to evaluate the daily personal noise exposure of a
pediatric dentistry resident while treating children in a teaching clinic to
determine both comprehensive noise doses and peak noise occurrences as well as to
assess the risk for noise-induced hearing loss. METHODS: A noise dosimeter (Noise
Pro DLX) was used to measure the total personal noise exposure dose using the
Occupational Safety and Health Administration (OSHA) Hearing Conservation
Amendment criteria and the US National Institute for Occupational Safety and
Health (NIOSH) occupational noise exposure revised criteria. Comprehensive noise
doses for 31 days were obtained for a single resident. RESULTS: OSHA and NIOSH
allowable limits were not exceeded during any one day in the study period. Noise
levels during crying episodes, however, were higher than the reported noise
levels of dental instruments and reached maximum levels of 112.9 dBA.
CONCLUSIONS: Noise levels to which the pediatric dental resident was exposed fell
below the Occupational Safety and Health Administration's and National Institute
for Occupational Safety and Health's damage-risk thresholds for noise-induced
hearing loss.
PMID- 21903004
TI - Dental implications of osteogenesis imperfecta: treatment with IV bisphosphonate:
report of a case.
AB - Osteogenesis imperfect (OI) is a group of genetically diverse connective tissue
disorders. Bisphosphonates therapy to manage bone fragility, a now common medical
therapy for OI, can increase the risk of bisphosphonate-associated osteonecrosis
of the jaws. In this report, a 6 1/2 year child, who was receiving bisphosphonate
therapy for OI, underwent full mouth dental rehabilitation in the operating room
while under general anesthesia. The child had numerous teeth restored and
multiple primary molar extractions. The patient, who received prophylactic
antibiotics intraoperatively, demonstrated no clinical signs of bisphosphonate
associated osteonecrosis when seen at follow-up. Although bisphosphonate
osteonecrosis is a possible sequel in children who receive multiple extractions,
no clinical signs were manifested in our patient, who required multiple primary
tooth extractions along with restorative treatment under general anesthesia.
While no dental guidelines have been developed to manage OI children having been
treated with bisphosphonates, consent for extractions should include the risk of
bone necrosis and careful post-operative observation to monitor wound healing.
PMID- 21903007
TI - Letter to the editor. Re: SCIRehab series, J Spinal Cord Med.2011(Mar);34(2).
Rehabilitation of the diaphragm - an opportunity.
PMID- 21903005
TI - A survey study of sedation training in advanced pediatric dentistry programs:
thoughts of program directors and students.
AB - PURPOSE: The purpose of this study was to survey program directors and students
of advanced pediatric dentistry training programs in the United States on
sedation issues. METHODS: Surveys were sent to the target audiences. Questions
contained response categories ranging from fill-in-the-blank, Likert-order scale
style, and categorical. The surveys resided on SurveyMonkey. A cover letter
emphasizing such issues as anonymity of responses was sent via e-mail to
participants using the American Academy of Pediatric Dentistry listserv. The
responses were downloaded and subsequently analyzed using SPSS statistical
software. RESULTS: Data were obtained from 49% of program directors and 17% of
students. Experience with different routes of sedative administration varied from
"none" (even with the oral route) to "significant." Oral midazolam was the most
often used route and sedative. Restraint was reportedly used by the majority of
programs. CONCLUSIONS: Strategies should be developed to strengthen consistency
of competencies in sedation practices across academic training programs.
PMID- 21903009
TI - Disaster preparedness and response practices among providers from the Veterans
Health Administration and Veterans with spinal cord injuries and/or disorders.
AB - OBJECTIVES: Few empirical studies have examined the disaster preparedness and
response practices of individuals with spinal cord injuries and/or disorders
(SCI/D) and the healthcare providers who serve them. This study was conducted to
understand the experiences of Veterans Health Administration (VHA) providers and
Veterans with SCI/D in recent natural disasters, and to identify lessons learned
for disaster preparedness and response in the context of SCI/D. DESIGN: Semi
structured interviews were conducted with providers and Veterans recruited
through seven VHA facilities that had sustained a disaster since 2003. Audio
recordings of the interviews were transcribed; transcripts were analyzed using
constant comparative techniques. RESULTS: Forty participants completed an
interview, including 21 VHA SCI/D providers and 19 Veterans with SCI/D. Disasters
experienced by participants were weather related. While many Veterans were
evacuated or admitted to nearby VHA facilities, others chose to stay in their
communities. All facilities had formal disaster plans and engaged in related
training; however, participants explained that many aspects of a response take
shape 'in the moment,' and must address both provider and Veteran needs.
Dispersion of resources hindered well-coordinated care, but effective
communication, teamwork, advanced warnings, and VHA's electronic medical record
facilitated efforts. CONCLUSIONS: Even in the case of thorough planning, Veterans
with SCI/D and their healthcare providers are faced with pressing needs during
disasters, and identifying strategies to coordinate care is critical. The lessons
learned are intended to inform the efforts of healthcare providers who may be
involved in the care of individuals with SCI/D in future disasters.
PMID- 21903010
TI - Comparison of training methods to improve walking in persons with chronic spinal
cord injury: a randomized clinical trial.
AB - OBJECTIVE: To compare two forms of device-specific training - body-weight
supported (BWS) ambulation on a fixed track (TRK) and BWS ambulation on a
treadmill (TM) - to comprehensive physical therapy (PT) for improving walking
speed in persons with chronic, motor-incomplete spinal cord injury (SCI).
METHODS: Thirty-five adult subjects with a history of chronic SCI (>1 year; AIS
'C' or 'D') participated in a 13-week (1 hour/day; 3 days per week) training
program. Subjects were randomized into one of the three training groups. Subjects
in the two BWS groups trained without the benefit of additional input from a
physical therapist or gait expert. For each training session, performance values
and heart rate were monitored. Pre- and post-training maximal 10-m walking speed,
balance, muscle strength, fitness, and quality of life were assessed in each
subject. RESULTS: All three training groups showed significant improvement in
maximal walking speed, muscle strength, and psychological well-being. A
significant improvement in balance was seen for PT and TRK groups but not for
subjects in the TM group. In all groups, post-training measures of fitness,
functional independence, and perceived health and vitality were unchanged.
CONCLUSIONS: Our results demonstrate that persons with chronic, motor-incomplete
SCI can improve walking ability and psychological well-being following a
concentrated period of ambulation therapy, regardless of training method.
Improvement in walking speed was associated with improved balance and muscle
strength. In spite of the fact that we withheld any formal input of a physical
therapist or gait expert from subjects in the device-specific training groups,
these subjects did just as well as subjects receiving comprehensive PT for
improving walking speed and strength. It is likely that further modest benefits
would accrue to those subjects receiving a combination of device-specific
training with input from a physical therapist or gait expert to guide that
training.
PMID- 21903011
TI - Vertebral fracture secondary to suicide attempt: demographics and patient outcome
in a Scottish spinal rehabilitation unit.
AB - OBJECTIVE: To establish occurrence, method of injury, length of stay (LOS),
psychiatric diagnosis, rehabilitation outcome, and demographic data for those
admitted to a Scottish Spinal Injuries Rehabilitation Unit as a consequence of
deliberate self-harm (DSH). DESIGN: A retrospective audit of case-notes and
electronic databases of admissions and rehabilitation outcome in a spinal cord
injury (SCI) unit where the mechanism of injury was (DSH). RESULTS: Forty-six (44
having detailed data available) patients were identified with 95% of injuries
resulting from falls. Thirty-six people had pre-existing mental health problems
(82%) with 15 (34%) having this diagnosis established shortly after admission.
Seventy-five per cent received follow-up from mental health services. Ninety-five
per cent returned to their pre-injury (or similar) residence. LOS and functional
independence measure (FIM) for the DSH group were compared with a non-DSH group.
No differences were found in those with SCI. LOS was significantly longer in the
patients with vertebral fracture and no neurological impairment (32 versus 22
days). Sixty-four per cent of those who had self-harmed had substance dependence
problems. The predominance of falls (63%) occurred in a residential setting.
Annual admissions due to individuals self-harming were stable across the studied
period. CONCLUSIONS: Spinal column fracture in the DSH group is predominantly
caused by falls. High levels of mental health and substance abuse problems are
noted necessitating formal mental health assessment and follow-up. DSH as a
mechanism for injury appears to have a significant impact on LOS only if the
patient has fracture without SCI. Immediate rehabilitation outcomes are similar
to that of non-DSH group.
PMID- 21903012
TI - Epidemiological profile of 239 traumatic spinal cord injury cases over a period
of 12 years in Tianjin, China.
AB - STUDY DESIGN: Hospital-based retrospective review. OBJECTIVE: To describe the
epidemiological characteristics and trends of traumatic spinal cord injury in
Tianjin, China. SETTING: Tianjin Medical University General Hospital. METHODS:
Medical records of 239 patients with traumatic spinal cord injury admitted to a
general hospital from 1998 to 2009 were reviewed. Variables included gender, age,
marital status, occupation, etiology, time of injury, level, and severity of
injury. Epidemiological characteristics of different countries were compared.
RESULTS: Over this period, the mean age of patients with traumatic spinal cord
injury was 45.4 +/- 14.1 years, and the male/female ratio was 4.6:1. In all,
86.2% were married. The leading cause was fall (52.3%), followed by motor vehicle
collision (36.4%). The most common injury site was the cervical spinal cord,
accounting for 82.0%. Incomplete tetraplegia made up for 59.4%, followed by
complete tetraplegia (22.6%). Eight patients died after operation, six of whom
died from respiratory complications. CONCLUSION: The results of this study are in
accordance with that of most other developing countries; falls and motor vehicle
collisions were the two leading causes, but the mean age was older. Percentage of
the aged with traumatic spinal cord injury was increasing. The low-falls group
tended to expand over this period. All these data indicated that the preventive
programs should focus on the traffic accidents and falls, and more attention
should be paid to the aged for the vulnerability to low fall.
PMID- 21903014
TI - Use of on-demand video to provide patient education on spinal cord injury.
AB - BACKGROUND/OBJECTIVE: Persons with chronic spinal cord injury (SCI) have a high
lifetime need for ongoing patient education to reduce the risk of serious and
costly medical conditions. We have addressed this need through monthly in-person
public education programs called SCI Forums. More recently, we began videotaping
these programs for streaming on our website to reach a geographically diverse
audience of patients, caregivers, and providers. DESIGN/METHODS: We compared
information from the in-person forums to that of the same forums shown streaming
on our website during a 1-year period. RESULTS: Both the in-person and Internet
versions of the forums received high overall ratings from individuals who
completed evaluation forms. Eighty-eight percent of online evaluators and 96% of
in-person evaluators reported that they gained new information from the forum; 52
and 64% said they changed their attitude, and 61 and 68% said they would probably
change their behavior or take some kind of action based on information they
learned. Ninety-one percent of online evaluators reported that video is better
than text for presenting this kind of information. CONCLUSION: Online video is an
accessible, effective, and well-accepted way to present ongoing SCI education and
can reach a wider geographical audience than in-person presentations.
PMID- 21903013
TI - Comparison of 24-hour cardiovascular and autonomic function in paraplegia,
tetraplegia, and control groups: implications for cardiovascular risk.
AB - BACKGROUND: Fluctuations in 24-hour cardiovascular hemodynamics, specifically
heart rate (HR) and blood pressure (BP), are thought to reflect autonomic nervous
system (ANS) activity. Persons with spinal cord injury (SCI) represent a model of
ANS dysfunction, which may affect 24-hour hemodynamics and predispose these
individuals to increased cardiovascular disease risk. OBJECTIVE: To determine 24
hour cardiovascular and ANS function among individuals with tetraplegia (n=20;
TETRA: C4-C8), high paraplegia (n=10; HP: T2-T5), low paraplegia (n=9; LP: T7
T12), and non-SCI controls (n=10). Twenty-four-hour ANS function was assessed by
time domain parameters of heart rate variability (HRV); the standard deviation of
the 5-minute average R-R intervals (SDANN; milliseconds/ms), and the root-mean
square of the standard deviation of the R-R intervals (rMSSD; ms). Subjects wore
24-hour ambulatory monitors to record HR, HRV, and BP. Mixed analysis of variance
(ANOVA) revealed significantly lower 24-hour BP in the tetraplegic group;
however, BP did not differ between the HP, LP, and control groups. Mixed ANOVA
suggested significantly elevated 24-hour HR in the HP and LP groups compared to
the TETRA and control groups (P<0.05); daytime HR was higher in both paraplegic
groups compared to the TETRA and control groups (P<0.01) and nighttime HR was
significantly elevated in the LP group compared to the TETRA and control groups
(P<0.01). Twenty-four-hour SDANN was significantly increased in the HP group
compared to the LP and TETRA groups (P<0.05) and rMSSD was significantly lower in
the LP compared to the other three groups (P<0.05). Elevated 24-hour HR in
persons with paraplegia, in concert with altered HRV dynamics, may impart
significant adverse cardiovascular consequences, which are currently
unappreciated.
PMID- 21903015
TI - Comparison of rehabilitation outcomes following vascular-related and traumatic
spinal cord injury.
AB - BACKGROUND: Previous studies have noted similar outcomes between vascular-related
spinal cord injury (VR-SCI) and those with traumatic SCI (T-SCI), despite
significant difference in their demographics and clinical presentation (age,
level of injury (LOI), and degree of incompleteness). OBJECTIVES: To review
demographic and clinical presentation of VR-SCI and to compare outcomes with a
matched group with T-SCI. Design Analysis of 10-year prospective data collection
including 30 consecutive patients admitted to an SCI rehabilitation unit with VR
SCI and comparison with 573 patients with T-SCI. Outcomes were further analyzed
comparing VR-SCI to T-SCI (n=30), matched for age, LOI, and ASIA (American Spinal
Injury Association) Impairment Scale (AIS). SETTING: A level 1 tertiary
university trauma center. MAIN OUTCOME MEASURES: Functional independence measure
(FIM) score changes from admission to discharge. Secondary outcome measures
included admission and discharge FIM scores, FIM efficiency, rehabilitation
length of stay (LOS), and discharge disposition. RESULTS: Overall, individuals
with VR-SCI were more likely (P<0.0001) to be older (mean age 57.2 vs. 40.0
years) and have paraplegia (87 vs. 48%) than those with T-SCI. Common etiologies
for VR-SCI were post-surgical complication (43%), arteriovenous malformation
(17%), aortic dissection (13%), and systemic hypotension (13%). Common region of
injury and AIS classification in VR-SCI was thoracic (73%) and AIS C (33%).
Common SCI-related complications in VR-SCI included neurogenic bowel/bladder
(93%), urinary tract infection (73%), pain (67%), pressure ulcers (47%), and
spasticity (20%). Matched-group outcome comparisons did not reveal significant
differences in FIM change, FIM efficiency, LOS, or disposition between VR-SCI and
T-SCI. CONCLUSION: VR-SCI leads to significant disability and is associated with
common secondary SCI complications as well as medical co-morbidities. This study
notes differing demographic and injury characteristics between VR-SCI and T-SCI
groups. However, when matched for these differences, rehabilitation functional
outcomes were not significantly different between the two groups.
PMID- 21903016
TI - Clinical assessment of obesity in persons with spinal cord injury: validity of
waist circumference, body mass index, and anthropometric index.
AB - OBJECTIVE: To study the relationship of waist circumference (WC) and
bioelectrical impedance analysis (BIA) and degree of agreement between
anthropometric index (AI) and BIA, using BIA as a reference or 'gold standard'.
The second objective is to study the relationship between body mass index (BMI)
and BIA in subjects with spinal cord injury (SCI). STUDY DESIGN: Comparative
cross-sectional study. SETTING: Convenience sample at outpatient clinic of spinal
cord center. OUTCOME MEASURES: Estimation of obesity was made in 23 men with
motor complete paraplegia (>1 year post-injury). Bland and Altman statistics were
used to define level of agreement between AI and BIA, Pearson's r to describe
correlation between WC and BIA and BMI and BIA. RESULTS: Good agreement between
BIA and AI with a small systematic difference in fat mass (FM) (mean difference:
0.28%, Pearson's r: 0.91) was found. The correlation between WC and the BIA (%
FM) was very high (Pearson's r: 0.83). The correlation between WC and BMI (% FM)
was just over moderate (Pearson's r: 0.51). CONCLUSION: AI seems to be a valid
proxy measure to estimate obesity in males living with SCI. Measurement of
obesity in persons with SCI based on WC is promising. BMI showed not to be valid
to estimate obesity in persons with SCI.
PMID- 21903017
TI - Association between seeking oral health information online and knowledge in
adults with spinal cord injury: a pilot study.
AB - OBJECTIVE: To characterize adults with spinal cord injury (SCI) who seek oral
health information online, and investigate whether seeking oral health
information online is associated with oral health knowledge and behaviors.
METHODS: An online oral health survey was posted on the South Carolina Spinal
Cord Injury Association website. Respondents were 192 adult residents of the US
ages 19-83 years who identified themselves as having SCI occurring at least 1
year before the survey date. RESULTS: About 12% (n=23) of the respondents
searched oral health information online in the past 12 months. Significant
associations between the proportion of respondents who searched for oral health
information online and socio-demographic and the proportion of respondents who
engaged in various oral health behaviors were not detected. However,
multivariable logistic regression indicated that respondents who searched oral
health information online in the past 12 months have 3.4 times the odds of
possessing adequate oral health knowledge compared to respondents who did not
search oral health information online (adjusted odds ratio=3.41, 95% confidence
interval = 1.35, 8.62, P=0.01). CONCLUSIONS: Given the significant association
between seeking oral health information online and adequate oral health
knowledge, this study suggests that online oral health information may be a
potential avenue for dental health professionals to supplement oral health
education in adults with SCI.
PMID- 21903018
TI - Spontaneous epidural hematoma of thoracic spine presenting as Brown-Sequard
syndrome: report of a case with review of the literature.
AB - BACKGROUND: Spontaneous spinal epidural hematoma (SSEH) is an uncommon clinical
entity. It produces a severe neurological deficit and prompt decompression is
usually the first choice of treatment. Brown-Sequard syndrome is commonly seen in
the setting of spinal trauma or an extramedullary spinal neoplasm, but rarely
caused by SSEH. METHODS: Case report and literature review. FINDINGS: A
previously healthy man presented with Brown-Sequard syndrome below T5-T6 cord
segment secondary to spontaneous epidural hematoma. He opted for conservative
treatment, which was followed by rapid resolution. CONCLUSIONS: Although Brown
Sequard syndrome as a presenting feature of SSEH is rare, it does exist in
exceptional case, which should be taken into consideration for differential
diagnosis. Prompt surgical decompression is an absolute surgical indication
widely accepted for patient with progressive neurological deficit. However, SSEH
presenting with incomplete neurological insult such as Brown-Sequard syndrome
might have a benign course. Successful non-operative management of this problem
does not make it a standard of care, and surgical decompression remains the
standard treatment for SSEH.
PMID- 21903019
TI - A new vesicle-loaded hydrogel system suitable for topical applications:
preparation and characterization.
AB - PURPOSE. Aim of this research was to prepare and study drug release from a new
formulation consisting of non ionic surfactant vesicular structures, niosomes
(NSVs), loaded with model molecules calcein (CALC), nile red (NR), ibuprofen
(IBU) or caffeine (CAFF), and embedded in a hydrogel matrix. METHODS. The system
locust bean gum/xanthan (1:1), prepared at 60 degrees C, was used to entrap the
vesicles (Tween 20/cholesterol 1:1), loaded with guest molecules and the release
profiles were detected at 32 degrees C. The hydrogel systems were characterized
by means of scanning electron microscopy; niosomes were characterized by means of
size and ?-potential measurements. RESULTS. Size measurements showed that a
slight increase in vesicle dimensions occurs after inclusion of CALC or CAFF
(hydrophilic molecules) in the vesicular structures. ?-potential measurements
showed that the inclusion of these molecules did not significantly modify the
surface charge of empty vesicles. This was probably related to an almost
negligible drug adsorption on the vesicle surface. The release from the niosomes
gel systems of two probes (CALC and NR) showed that the diffusion of CALC through
the gel was not affected by the niosome entrapment while for NR, the presence of
vesicles was crucial. The release profiles from niosomes-gel systems and from the
hydrogel alone of model drugs, CAFF and IBU, showed an appreciable difference
between the two drugs: the more hydrophilic CAFF was released much faster than
IBU. In all release studies turbidity, dimension and ?-potential analyses
indicated that the loaded niosomes were released by the hydrogel matrix without
being damaged. CONCLUSIONS. The reported in vitro experiments show the capability
of the novel formulation to combine the qualities of both chosen single systems,
i.e. the niosomes and the polymeric network. The hydrogel shows a protective
effect on vesicle integrity and leads to a slow release of the loaded model
molecules from the polysaccharidic system. This article is open to POST
PUBLICATION REVIEW. Registered readers (see "For Readers") may comment by
clicking on ABSTRACT on the issue's contents page.
PMID- 21903020
TI - Bereavement and the diagnosis of major depressive episode in the National
Epidemiologic Survey on Alcohol and Related Conditions.
AB - OBJECTIVE: Bereavement-related depression is excluded from a diagnosis of major
depressive episode (MDE) in DSM-IV, unless the syndrome is prolonged or
complicated. The objective of this study is to assess the validity of the
bereavement exclusion by comparing characteristics of bereavement-related
episodes that are excluded from a diagnosis and bereavement-related episodes that
qualify for a diagnosis (complicated bereavement) to MDE. METHOD: We used data
from 2 waves of the National Epidemiologic Survey on Alcohol and Related
Conditions (n = 43,093) to compare bereavement-excluded depression and
complicated bereavement to MDE with respect to indicators of preexisting risk for
psychopathology (antecedent indicators) and indicators of disorder severity
measured at baseline and at the study's 3-year follow-up interview (consequent
indicators). The primary outcome measure was the Alcohol Use Disorder and
Associated Disabilities Interview Schedule-IV. RESULTS: Compared to individuals
with MDE, individuals with bereavement-excluded depression had lower risks of
preexisting psychiatric disorders (eg, 0.44 lower odds of social phobia, P =
.006), fewer depressive episodes (recurrence rate 0.37 times lower, P < .001),
less psychosocial impairment (P < .001), a 0.18 times lower odds of seeking
treatment (P < .001), and a lower risk of psychiatric disorders during a 3-year
follow-up period. Unexpectedly, this same pattern of differences was observed
between individuals with complicated bereavement and MDE. CONCLUSIONS: Despite
the presence of a clinically significant depressive episode, bereavement-excluded
depression is in many ways less indicative of psychopathology than MDE. However,
complicated bereavement was more similar to bereavement-excluded depression than
to MDE. We therefore question whether the DSM-IV criteria validly distinguish
between nondisordered loss reactions (bereavement-excluded depression),
pathological loss reactions (complicated bereavement), and nonloss-related MDE.
PMID- 21903022
TI - First-onset psychosis occurring in the postpartum period: a prospective cohort
study.
AB - OBJECTIVE: To prospectively characterize a cohort of patients for whom first
lifetime episode of psychosis occurs in the postpartum period. METHOD: Included
in the study were 51 women admitted to an inpatient facility for postpartum
psychosis and a population-based control group (n = 6,969). All patients received
naturalistic treatment using the sequential addition of benzodiazepines,
antipsychotics, and lithium. A clinician-administered questionnaire and parallel
history provided information about obstetric history, pregnancy, delivery,
breastfeeding, neonatal outcomes, and onset of the disease. Clinical remission
was defined as the absence of psychotic, manic, and depressive symptoms for at
least 1 week. The primary outcome measure was the Clinical Global Impressions
Severity scale. The study was conducted from 2005 to 2009. RESULTS: Compared to
the general population sample, women with postpartum psychosis had a
significantly higher incidence of primiparity (OR = 2.90; 95% CI, 1.49-5.67) but
had no significant differences in delivery-related, lactational, or neonatal
related risk factors. The median onset of psychiatric symptoms occurred at 8
days' postpartum (interquartile range [IQR], 5-14), and median duration of
episode was 40 days (IQR, 23-69). Patients with prominent depressive symptoms had
a significantly later onset (P = .01) of psychosis and a longer duration of
episode (P < .01) than patients without depressive symptoms. Psychotic symptoms
were mood-incongruent in 64.7% of patients. CONCLUSIONS: In contrast to other
findings related to postpartum psychosis in bipolar patients, no delivery
related, neonatal-related, or lactational risk factors could be identified.
Further, our findings of a delayed onset and mood incongruence of postpartum
psychotic symptoms markedly contrasts with that of patients with a previous
history of bipolar disorder. These results suggest that women with psychosis
limited to the postpartum period might have a distinct risk profile and
phenomenology.
PMID- 21903021
TI - Raloxifene as an adjunctive treatment for postmenopausal women with
schizophrenia: a double-blind, randomized, placebo-controlled trial.
AB - OBJECTIVE: The potential therapeutic utility of estrogens in schizophrenia is
increasingly being recognized. Raloxifene, a selective estrogen receptor
modulator, appears to act similarly to conjugated estrogens on dopamine and
serotonin brain systems and may be a better option since it lacks the possible
negative effects of estrogen on breast and uterine tissue. In this study, we
assess the utility of raloxifene as an adjunctive treatment for negative symptoms
and other psychotic symptoms in postmenopausal women with schizophrenia. METHOD:
This was a 12-week, double-blind, randomized, placebo-controlled study. Patients
were recruited from both the inpatient and outpatient departments of Parc
Sanitari Sant Joan de Deu, Barcelona, Spain, and Corporacio Sanitaria Parc Tauli,
Sabadell, Spain. Thirty-three postmenopausal women with schizophrenia (DSM-IV
criteria) who exhibited prominent negative symptoms were randomized to either
adjunctive raloxifene (16 women; mean age = 60.14 years, SD = 6.41 years) or
adjunctive placebo (17 women; mean age = 62.66 years, SD = 4.54 years) for 12
weeks. The period of recruitment lasted from January 2005 through June 2009.
Psychopathological symptoms were assessed at baseline and weeks 4, 8, and 12 by
means of the Positive and Negative Syndrome Scale. RESULTS: The addition of
raloxifene (60 mg/d) to regular antipsychotic treatment significantly reduced
negative (P = .044), positive (P = .031), and general psychopathological (P =
.045) symptoms during the 12-week trial as compared with women receiving placebo.
CONCLUSIONS: Raloxifene as an adjuvant treatment in postmenopausal women with
schizophrenia who exhibit prominent negative symptoms appears to be useful in
improving negative, positive, and general psychopathological symptoms. If more
extensive and longer-term studies confirm and expand upon these positive results,
the use of raloxifene could be recommended in postmenopausal patients with
schizophrenia. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT01041092.
PMID- 21903024
TI - The clinical process in psychiatry: a clinimetric approach.
AB - OBJECTIVE: The aim of this review was to examine the clinical process in
psychiatry, with special reference to clinimetrics, a domain concerned with the
measurement of clinical phenomena that do not find room in customary taxonomy.
DATA SOURCES: A MEDLINE search from inception to August 2010 was performed for
English-language articles using the keywords clinical judgment, clinimetric,
staging, comorbidity, sequential treatment, and subclinical symptoms in relation
to psychiatric illness. It was supplemented by a manual search of the literature.
STUDY SELECTION: Choice of assessment strategies was based on their established
or potential incremental increase in clinical information compared to use of
diagnostic criteria. DATA EXTRACTION: Contributions were evaluated according to
the principles of clinimetrics. RESULTS: Several innovative assessment strategies
were identified: the use of diagnostic transfer stations with repeated
assessments instead of diagnostic endpoints, subtyping versus integration of
different diagnostic categories, staging methods, and broadening of clinical
information through macroanalysis and microanalysis. The most representative
examples were selected. CONCLUSIONS: Current assessment strategies in psychiatric
research do not reflect the sophisticated thinking that underlies clinical
decisions in practice. The clinimetric perspective provides an intellectual home
for the reproduction and standardization of these clinical intuitions.
PMID- 21903023
TI - Decreased nocturnal oxytocin levels in anorexia nervosa are associated with low
bone mineral density and fat mass.
AB - OBJECTIVE: Anorexia nervosa is characterized by self-induced starvation and
associated with severe bone and fat loss. Oxytocin is a peptide hormone involved
in appetite and energy homeostasis. Recent data show that oxytocin has an
anabolic effect on bone and stimulates osteoblast function. There is limited
information about oxytocin levels or their relationship to decreased bone mineral
density in anorexia nervosa. Our objective was to investigate the relationship
between oxytocin levels, bone mineral density, and body composition in women with
anorexia nervosa. METHOD: We studied 36 women, mean +/- SEM age 27.6 +/- 1.3
years: 17 with DSM-IV anorexia nervosa and 19 healthy controls in a cross
sectional study. Oxytocin levels were determined from pooled serum samples
obtained every 20 minutes from 8 pm to 8 am during an inpatient overnight visit.
Fasting leptin levels were measured. Bone mineral density at the anterior
posterior and lateral spine and hip and body composition were assessed by dual
energy x-ray absorptiometry. The study was conducted from September 2004 to June
2008. RESULTS: Subjects with anorexia nervosa versus healthy controls had lower
mean +/- SEM oxytocin levels (14.3 +/- 1.5 vs 31.8 +/- 5.1 pg/mL, P = .003),
leptin levels (2.7 +/- 0.5 vs 11.4 +/- 1.1 ng/mL, P < .0001), bone mineral
density (anterior-posterior spine: 0.83 +/- 0.02 vs 1.04 +/- 0.03; lateral spine:
0.63 +/- 0.02 vs 0.81 +/- 0.02; total hip: 0.79 +/- 0.03 vs 0.97 +/- 0.03 g/cm2,
P < .0001), and fat mass (8.8 +/- 0.6 vs 19.7 +/- 0.9 kg, P < .0001). Oxytocin
levels were associated with bone mineral density at the anterior-posterior (r =
0.40, P = .02) and lateral (r = 0.36, P = .04) spine, fat mass (r = 0.42, P =
.01), and leptin levels (r = 0.55, P = .001). CONCLUSIONS: Overnight secretion of
oxytocin in women with anorexia nervosa is decreased compared with healthy women.
Low oxytocin levels are associated with decreased bone mineral density and body
fat and may contribute to anorexia nervosa-induced bone loss.
PMID- 21903025
TI - Omega-3 for bipolar disorder: meta-analyses of use in mania and bipolar
depression.
AB - OBJECTIVE: Studies using augmentation of pharmacotherapies with omega-3 in
bipolar disorder have been conducted; however, to date a specific meta-analysis
in this area has not been published. Thus, we present the significant findings
from meta-analyses of omega-3 in the treatment of bipolar depression and bipolar
mania. DATA SOURCES: PubMed, CINAHL, Web of Science, and Cochrane Library
databases were searched for clinical trials up to September 1, 2010, using the
search terms bipolar disorder OR bipolar depression OR bipolar mania OR mania OR
hypomania OR cyclothymia with the search terms omega 3 OR essential fatty acids
OR polyunsaturated fatty acids OR DHA OR EPA OR fish oil OR flax oil. Clinical
trial registries and gray literature (published or unpublished data not readily
accessible via main databases) were also searched. DATA SELECTION: The analysis
included randomized controlled studies 4 weeks or longer, with a sample size >
10, written in English, using omega-3 for diagnosed bipolar depression or mania.
No criteria were set for age, gender, or ethnicity. DATA EXTRACTION: A random
effects model was used. The model analyzed the standard mean difference between
treatment and placebo between baseline and endpoint, combining the effect size
(Hedges g) data. Funnel plot and heterogeneity analyses (I2) were also performed.
DATA SYNTHESIS: The findings of 5 pooled datasets (n = 291) on the outcome of
bipolar depression revealed a significant effect in favor of omega-3 (P = .029),
with a moderate effect size of 0.34. On the outcome of mania, 5 pooled datasets
(n = 291) revealed a nonsignificant effect in favor of omega-3 (P = .099), with
an effect size of 0.20. Minor heterogeneity between studies on the outcome of
bipolar depression was found (I2 = 30%; P = .213), which was not present on the
outcome of bipolar mania (I2 = 0%; P = .98). Funnel plot symmetry suggested no
significant likelihood of publication bias. Meta-regression analysis between
sample size and effect size, however, revealed that studies with smaller sample
sizes had larger effect sizes (P = .05). CONCLUSIONS: The meta-analytic findings
provide strong evidence that bipolar depressive symptoms may be improved by
adjunctive use of omega-3. The evidence, however, does not support its adjunctive
use in attenuating mania.
PMID- 21903026
TI - Predictors of psychiatric inpatient suicide: a national prospective register
based study.
AB - OBJECTIVE: To study the incidence and risk factors of psychiatric inpatient
suicide within a national cohort representing all psychiatric hospital
admissions. METHOD: This national prospective register-based study followed all
psychiatric hospital admissions in Denmark from the date of patient admission
until patient discharge or inpatient suicide over a 10-year study period from
1997 through 2006. By using survival analysis techniques, this study was the
first to take the inpatient time at risk into account in the estimation of the
suicide rate and the predictors of suicide among hospital-admitted psychiatric
patients. RESULTS: Among 126,382 psychiatric inpatients aged 14 years or older,
279 suicides occurred. The risk of inpatient suicide was high: 860 suicides per
100,000 inpatient years. Of those individuals who completed suicide, 50% died
within 18 days of admission. The inpatient suicide rate significantly decreased,
about 6% each year (HR = 0.94; 95% CI, 0.90-0.99), over this 10-year period.
Several significant predictors of suicide were found, including the following:
Patients with a bachelor's degree had a significantly higher hazard ratio (HR) of
suicide compared with those with a primary school education (HR = 0.41; 95% CI,
0.29-0.60) or those with vocational training (HR = 0.54; 95% CI, 0.39-0.77).
Having a personality disorder as a secondary diagnosis (all psychiatric diagnoses
were made according to ICD-10) raised the risk of suicide (HR = 1.60; 95% CI,
1.01-2.53), as did having recent contact (within the last year) with a private
psychologist (HR = 1.85; 95% CI, 1.05-3.28). Recent suicide attempt before
admission to the hospital was associated with the highest risk of inpatient
suicide (HR = 4.99; 95% CI, 3.57-6.96). CONCLUSIONS: This study demonstrated a
high risk of psychiatric inpatient suicide in Denmark of 860 per 100,000
inpatient years and also revealed several significant predictors of psychiatric
inpatient suicide. Furthermore, the inpatient suicide rate decreased from 1997
through 2006 in Denmark.
PMID- 21903027
TI - Obstructive sleep apnea/hypopnea syndrome and poor response to sertraline in
patients with coronary heart disease.
AB - OBJECTIVE: Evidence from several clinical trials in patients with coronary heart
disease suggests that depression that does not respond to treatment is associated
with a particularly high risk of adverse cardiac outcomes. The purpose of this
study was to determine whether obstructive sleep apnea/hypopnea syndrome (OSAHS)
is associated with a poor response to antidepressant medication in patients with
coronary heart disease. METHOD: This was a secondary analysis of data from a
randomized, double-blind, placebo-controlled clinical trial of omega-3 fatty-acid
augmentation of sertraline for depression in patients with coronary heart
disease. Patients with documented coronary heart disease were recruited between
May 2005 and December 2008 from cardiology practices in St Louis, Missouri, and
through cardiac diagnostic laboratories affiliated with Washington University
School of Medicine, St Louis, Missouri. One hundred five patients (mean age = 58
years) with coronary heart disease and current major depressive disorder (DSM-IV)
were randomized to receive sertraline plus either omega-3 or placebo for 10
weeks. Cyclical heart-rate patterns associated with OSAHS were detected via
ambulatory electrocardiography prior to treatment. Symptoms of depression were
measured at baseline and follow-up with the Beck Depression Inventory-II (BDI-II)
and the 17-item Hamilton Depression Rating Scale (HDRS-17). The primary endpoint
was the BDI-II score at 10 weeks. RESULTS: Thirty of the 105 patients (29%) were
classified as having probable moderate to severe OSAHS on the basis of nighttime
heart-rate patterns. These OSAHS patients had significantly higher scores on both
the BDI-II (t = -2.78, P = .01) and the HDRS-17 (t = -2.33, P = .02) at follow-up
as compared to the reference group. Adjustment for baseline depression score,
treatment arm (omega-3 vs placebo), body mass index, and inflammatory markers did
not change the results. Patients with OSAHS reported higher item scores at follow
up on all depressive symptoms measured with the BDI-II compared to those without
OSAHS. CONCLUSIONS: Obstructive sleep apnea/hypopnea syndrome is associated with
a relatively poor response to sertraline treatment for depression. Future
research should determine the contribution of OSAHS to the increased risk of
adverse cardiac outcome associated with treatment-resistant depression.
PMID- 21903029
TI - Suicide deaths of active-duty US military and omega-3 fatty-acid status: a case
control comparison.
AB - BACKGROUND: The recent escalation of US military suicide deaths to record numbers
has been a sentinel for impaired force efficacy and has accelerated the search
for reversible risk factors. OBJECTIVE: To determine whether deficiencies of
neuroactive, highly unsaturated omega-3 essential fatty acids (n-3 HUFAs), in
particular docosahexaenoic acid (DHA), are associated with increased risk of
suicide death among a large random sample of active-duty US military. METHOD: In
this retrospective case-control study, serum fatty acids were quantified as a
percentage of total fatty acids among US military suicide deaths (n = 800) and
controls (n = 800) matched for age, date of collection of sera, sex, rank, and
year of incident. Participants were active-duty US military personnel (2002
2008). For cases, age at death ranged from 17-59 years (mean = 27.3 years, SD =
7.3 years). Outcome measures included death by suicide, postdeployment health
assessment questionnaire (Department of Defense Form 2796), and ICD-9 mental
health diagnosis data. RESULTS: Risk of suicide death was 14% higher per SD of
lower DHA percentage (OR = 1.14; 95% CI, 1.02-1.27; P < .03) in adjusted logistic
regressions. Among men, risk of suicide death was 62% greater with low serum DHA
status (adjusted OR = 1.62; 95% CI, 1.12-2.34; P < .01, comparing DHA below 1.75%
[n = 1,389] to DHA of 1.75% and above [n = 141]). Risk of suicide death was 52%
greater in those who reported having seen wounded, dead, or killed coalition
personnel (OR = 1.52; 95% CI, 1.11-2.09; P < .01). CONCLUSION: This US military
population had a very low and narrow range of n-3 HUFA status. Although these
data suggest that low serum DHA may be a risk factor for suicide, well-designed
intervention trials are needed to evaluate causality.
PMID- 21903030
TI - Prevalence of mood disorders and service use among US mothers by race and
ethnicity: results from the National Survey of American Life.
AB - OBJECTIVE: To describe the rates of mood disorders, the social and demographic
correlates of mood disorders, and mental health services utilization among
African American, Caribbean black, and non-Hispanic white mothers. METHOD: Study
data were collected between February 2001 and June 2003 as part of the National
Survey of American Life: Coping With Stress in the 21st Century. National
household probability samples of African Americans and Caribbean blacks were
surveyed using a slightly modified World Mental Health version of the World
Health Organization Composite International Diagnostic Interview. Participants
included 2,019 African American, 799 Caribbean black, and 400 non-Hispanic white
mothers 18 years and older (N = 3,218). The main outcomes measured were lifetime
and 12-month diagnoses of DSM-IV mood disorders (major depressive episode,
dysthymic disorder, bipolar I and II disorders) and mental health services
utilization. RESULTS: The lifetime prevalence estimate of mood disorders is
higher for white mothers (21.67%) than for African American mothers (16.77%) and
Caribbean black mothers (16.42%); however, 12-month mood disorder estimates are
similar across groups. African American mothers have higher 12-month prevalence
estimates of bipolar disorder (2.48%) than white mothers (0.59%) and Caribbean
black mothers (1.16%). African American mothers with higher education levels and
white mothers who became parents as teenagers are more likely to have a lifetime
mood disorder. Less than half (45.8%) of black mothers with a past 12-month mood
disorder diagnosis utilized mental health services. Among black mothers with a 12
month diagnosis of bipolar disorder, Caribbean blacks utilized mental health
services at higher rates than African Americans. CONCLUSIONS: Demographic
correlates for mood disorders varied by race and ethnicity. The findings
illustrated underutilization of treatment by black mothers, especially African
American mothers with bipolar disorder.
PMID- 21903031
TI - Does DSM-IV already capture the dimensional nature of personality disorders?
AB - OBJECTIVE: Personality disorder research favors a dimensional representation of
the personality disorders over categorical classification, and this is one of the
central justifications for changing the diagnostic approach in DSM-5. However,
recent research has suggested that the most important loss of information in a
categorical system is the failure to account for subthreshold levels of
pathology. DSM-IV can be considered to already accommodate a quasi-dimensional
system insofar as individuals who do not meet the threshold for diagnosis can be
noted to have traits of the disorder. In the present report, we examined 2
questions related to dimensional scoring of the personality disorders and the
association between personality pathology and psychosocial morbidity: (1) Is the
DSM-IV 3-point dimensional convention (absent, subthreshold traits, present) more
strongly associated with indicators of psychosocial morbidity than a categorical
approach toward diagnosis? and (2) How does the 3-point dimensional scoring
convention compare to the 5-point system proposed for DSM-5 and to a criterion
count approach in which the dimensional score represents the sum of the number of
criteria present? METHOD: From September 1997 to June 2008, 2,150 psychiatric
outpatients were evaluated with semistructured diagnostic interviews for DSM-IV
Axis I and Axis II disorders and measures of psychosocial morbidity. RESULTS: The
DSM-IV 3-point dimensional convention was more strongly associated with measures
of psychosocial morbidity than was categorical diagnosis. There was no difference
between the 3-point, 5-point, and criterion count methods of scoring the DSM-IV
personality disorder dimensions. CONCLUSIONS: Dimensional scoring of the DSM-IV
personality disorders was more highly correlated with measures of psychosocial
morbidity than was categorical classification. The DSM-IV 3-point rating
convention was as valid as scoring methods using more finely graded levels of
severity. These findings argue against changing the current DSM-IV diagnostic
approach and instead advocate for the increased recognition that DSM-IV already
includes a valid dimensional rating.
PMID- 21903028
TI - Retinoic acid and affective disorders: the evidence for an association.
AB - OBJECTIVE: Isotretinoin (13-cis-retinoic acid), approved by the US Food and Drug
Administration for the treatment of acne, carries a black box warning related to
the risk of depression, suicide, and psychosis. Retinoic acid, the active form of
vitamin A, regulates gene expression in the brain, and isotretinoin is its 13-cis
isomer. Retinoids represent a group of compounds derived from vitamin A that
perform a large variety of functions in many systems, in particular the central
nervous system, and abnormal retinoid levels can have neurologic effects.
Although infrequent, proper recognition and treatment of psychiatric side effects
in acne patients is critical given the risk of death and disability. This article
reviews the evidence for isotretinoin's relationships with depression and
suicidality. DATA SOURCES: The PsycINFO, MEDLINE, and PubMed searchable database
indexes were searched for articles published in the English language from 1960 to
June 2010 using the key words isotretinoin, retinoids, retinoic acid, depression,
depressive disorders, and vitamin A. Evidence examined includes (1) case reports;
(2) temporal association between onset of depression and exposure to the drug;
(3) challenge-rechallenge cases; (4) class effect (other compounds in the same
class, like vitamin A, having similar neuropsychiatric effects); (5) dose
response; and (6) biologically plausible mechanisms. STUDY SELECTION: All
articles in the literature related to isotretinoin, depression, and suicide were
reviewed, as well as articles related to class effect, dose response, and
biologic plausibility. DATA EXTRACTION: Information from individual articles in
the literature was extracted, including number of episodes of depression,
suicidality, suicide, psychosis, violence and aggression, past psychiatric
history, time of onset in relation to isotretinoin usage, medication dosage,
duration of treatment, and dechallenge and challenge history. RESULTS: The
literature reviewed is consistent with associations of isotretinoin
administration with depression and with suicide in a subgroup of vulnerable
individuals. CONCLUSIONS: The relationship between isotretinoin and depression
may have implications for a greater understanding of the neurobiology of
affective disorders.
PMID- 21903032
TI - Successful pharmacologic treatment of major depressive disorder attenuates
amygdala activation to negative facial expressions: a functional magnetic
resonance imaging study.
AB - OBJECTIVE: Studies of the effects of pharmacotherapy for major depressive
disorder (MDD) on limbic-subcortical-prefrontal brain networks show variable
results. We quantified functional changes in the amygdala and the related limbic
subcortical-prefrontal structures after paroxetine treatment with functional
magnetic resonance imaging relative to clinical responder status. METHOD: We
scanned 22 patients with unipolar, DSM-IV-defined MDD (men and women aged 25-55
years; 17-item Hamilton Depression Rating Scale [HDRS(17)] score > 18) at study
entry and after 6 (T0) and 12 (T1) weeks of paroxetine treatment. Our paradigm
contrasted negative (fearful, angry), happy, and neutral faces relative to
scrambled faces. Twenty-one age-matched (+/- 2.5 y) and sex-matched controls were
scanned once. Patients received open-label paroxetine 20 mg/d for 6 weeks (T0).
Nonresponders at T0 were randomly assigned to receive double-blind true dose
escalation (paroxetine 30-50 mg/d) or placebo dose escalation for another 6 weeks
(T1). The study was conducted from July 2005 to February 2007. RESULTS: At study
entry, MDD patients showed increased ventral/limbic and decreased dorsal
prefrontal activations to negative faces. At T0 and T1, respectively, 5/20 and
13/20 patients responded to paroxetine. After 12 weeks (at T1), overall amygdala
activations remained unchanged relative to study entry. However, amygdala
activations were significantly lower in treatment responders versus nonresponders
(P = .001). Amygdala activations correlated with HDRS(17) scores (P < .04). Left
amygdala activation correlated inversely with pregenual anterior cingulate cortex
activation (P = .001). Dorsal cingulate gyrus and dorsolateral prefrontal
activations increased after 6 and 12 weeks of treatment, regardless of clinical
response. CONCLUSIONS: Successful paroxetine treatment decreases amygdala
activation, presumably by improved frontolimbic control, in line with selective
serotonin reuptake inhibitor-induced increased functional connectivity between
the pregenual anterior cingulated cortex, prefrontal cortex, and amygdala.
Changes in amygdala activation when processing negative faces might serve as an
indicator for improved frontolimbic control, which is required for clinical
response. TRIAL REGISTRATION: ISRCTN identifier: ISRCTN44111488.
PMID- 21903033
TI - Diagnostic consistency of major depression with psychosis across 10 years.
AB - OBJECTIVE: The present study investigates how consistently DSM-IV major
depressive disorder (MDD) with psychosis was diagnosed by research consensus
across 10 years and the association of clinical characteristics with diagnostic
consistency. METHOD: The sample included 146 participants, part of a larger first
admission cohort (N = 628) presenting to a psychiatric inpatient facility with
psychosis, who were diagnosed with psychotic depression at least once across 4
assessments spanning 10 years (after first admission and at 6-month, 24-month,
and 10-year follow-ups). The primary outcome of this prospective epidemiologic
study was retention of the best-estimate consensus diagnosis at each assessment.
Diagnoses at each assessment were determined from semistructured interviews,
medical records, and informant reports. The participants were recruited from 1989
to 1995. RESULTS: Fifty-five of the 146 participants (37.7%) were diagnosed with
psychotic depression at each available assessment; 13 (8.9%) switched from MDD to
bipolar disorder, 24 (16.4%) switched from MDD to schizophrenia or
schizoaffective disorder, and the remaining 54 (37.0%) had other patterns of
diagnostic change. Only 47 of 80 participants (58.8%) diagnosed with MDD at
baseline retained a mood disorder diagnosis 10 years later (36 [45.0%] had MDD
and 11 [13.8%] had bipolar disorder), while 16 of 52 participants (30.8%) who
ended the study with MDD were initially misdiagnosed. Compared to participants
who were consistently diagnosed with MDD, those switching from MDD to bipolar
disorder had better premorbid adjustment, more first-degree relatives with MDD,
better functioning, and fewer negative symptoms at baseline, whereas those
shifting to the schizophrenia spectrum had a more insidious onset, longer initial
hospital stays, worse functioning, and more negative symptoms (all P values <
.05). CONCLUSIONS: The diagnosis of MDD with psychosis among inpatients showed
poor long-term consistency. For clinicians, results indicate that the diagnosis
of MDD with psychosis based on a single assessment should be considered
provisional.
PMID- 21903035
TI - Hepatitis A and hepatitis A virus/HIV coinfection in men who have sex with men,
Warsaw, Poland, September 2008 to September 2009.
AB - We describe the epidemiology and characteristics of hepatitis A among men who
have sex with men (MSM)who had been hospitalised due to the infection in Warsaw,
Poland, from September 2008 to September 2009. A total of 50 men were analysed;
their median age was 28 years (range: 17-43). None had travelled to hepatitis A
endemic regions during the six months before hospitalisation nor had they been
vaccinated against hepatitis A. Of the 50 men, 40 had been tested before
hospitalisation or on admission for the presence of anti-HIV antibodies: six were
coinfected with HIV.The six HIV-positive MSM were significantly older than those
who were HIV negative - median age: 37 years(range: 26-43) versus 28 years
(range: 17-43); p=0.02.No difference in disease severity or the duration of
hospitalisation was observed, however, between the two groups. Our study
underlines the need to screen MSM for hepatitis A and to vaccinate them against
hepatitis A. Given the ages of the MSM in our study,we recommend that this be
carried out in Poland when the MSM are aged 20-35 years. This should apply not
only to MSM with multiple casual partners but also to those in monogamous
relationships.
PMID- 21903036
TI - Salmonellosis outbreak due to Salmonella enteritidis phage type 14b resistant to
nalidixic acid, Austria, September 2010.
AB - We report on a salmonellosis-outbreak due to Salmonella Enteritidis phage type
14b resistant to nalidixic acid (S. Enteritidis PT14b Nx) among residents and
employees of a student residence in Austria, September 2010. The outbreak was
described and analysed by a retrospective cohort study, and microbiological
environmental investigations were conducted to identify the outbreak source(s)
and the reservoir of the outbreak strain. A total of 66 persons fulfilled the
outbreak case definition including 14 laboratory-confirmed cases. Food specific
cohort-analyses by day revealed that consumption of potato salad (RR: 1.65,
95%CI: 1.35-2.01, p=0.001) and a cheese-sausage cold plate (RR: 2.24, 95%CI: 1.29
3.88, p=0.002) on 14 September was associated with being an outbreak case. We
hypothesised that cross-contamination with S. Enteritidis PT14b Nx positive eggs
had occurred during preparation of the potato salad and cold plate as a result of
preparing in parallel egg-containing breaded cutlets on 14 September. A traced
laying hen holding in eastern Austria was identified as the sole source of the
consumable eggs in the student residence. By applying the legally mandated
sampling method for epidemiological-related laying hen farms (one pooled dust
sample a 150g, two paired boot swabs cultured separately), the outbreak strain
could not be detected. Our findings, that legally required sampling methods for
laying hen farms failed to detect the causative pathogen in a laying hen holding,
despite an epidemiological link, underline the request stated by the European
Food Safety Authority Panel on Biological Hazards for a more sensitive sampling
plan in epidemiologically-associated laying hen flocks.
PMID- 21903037
TI - Ongoing outbreak of West Nile virus infection in humans, Greece, July to August
2011.
AB - Between 16 July and 21 August 2011, 31 cases of West Nile neuroinvasive disease
were reported from four regions in Greece. Of these, 17 occurred in districts
that had not been affected in 2010. The reoccurrence of human cases in two
consecutive years (following the large 2010 outbreak) and the spread of the virus
in new areas suggest that West Nile virus is established in Greece, and its
transmission may continue to occur in the future.
PMID- 21903040
TI - Meticillin-resistant Staphylococcus aureus (MRSA) bacteraemia in Tampere
University Hospital: a case-control study, Finland October 2002 to January 2010.
AB - Meticillin-resistant Staphylococcus aureus (MRSA) has emerged as a frequent
pathogen in blood cultures in Pirkanmaa Hospital District (HD), Finland. To study
risk factors for MRSA bacteraemia and the adequacy of empirical antimicrobial
treatment, we retrospectively reviewed the hospital records of 102 patients, 51
with MRSA, and 51 with meticillin-sensitive Staphylococcus aureus (MSSA)
bacteraemias respectively, who had been admitted to Tampere University Hospital
in Pirkanmaa HD, from October 2002 to January 2010. For each patient with MRSA
bacteraemia, one consecutively detected unmatched patient with MSSA bacteraemia
was chosen as control. Patients with MRSA bacteraemias were significantly older
(median age: 73 years vs 59 years, p=0.001), were more likely to have been
transferred directly from another healthcare facility or were already in the
hospital at the onset of bacteraemia (39/51 vs 26/51, p=0.007) and had a higher
McCabe class than patients with MSSA bacteraemia (p=0.005). Patients with MRSA
bacteraemia more seldom received adequate empirical antimicrobial therapy when
compared to those with MSSA bacteraemia (13/51 vs 43/51, p<0.001). Of previously
known MRSA carriers 10 of 29 received adequate empirical antimicrobial therapy
for their condition. The percentage of MRSA bacteraemias among all S. aureus
bacteraemias in Pirkanmaa HD is high compared to corresponding figures for the
whole of Finland.
PMID- 21903041
TI - Surveillance of hospitalised patients with influenza-like illness during pandemic
influenza A(H1N1) season in Sicily, April 2009-December 2010.
AB - This paper describes the epidemiology of hospitalised cases with influenza-like
illness (ILI) and laboratory-confirmed influenza A cases in Sicily (Italy) during
the 2009 influenza pandemic. The first ILI case diagnosed as infected with
pandemic influenza A(H1N1)2009 in Sicily was reported in June 2009 and it rapidly
became the dominant circulating strain. In the period from 30 April 2009 through
31 December 2010, a total of 2,636 people in Sicily were hospitalised for ILI and
1,193 were laboratory-confirmed for influenza A. Basic demographic and clinical
information for all hospitalised patients was collected and population mortality
rates (PMRs) and case fatality ratios (CFRs) were calculated. The median age of
hospitalised patients infected with pandemic influenza A(H1N1)2009 was
significantly lower than that of hospitalised ILI cases in general (18.0 vs. 32.1
years; p<0.0001). Among adults, women were more susceptible than men. The
majority of clinical presentations were mild, but 6.6% of hospitalised patients
required admission to an intensive care unit, of whom 26.3% had confirmed
influenza A. Twenty-four fatal cases were documented. The age group of 45-54 year
olds showed the highest PMRs once hospitalised, while CFRs were higher in elderly
patients of 65 years and older. All fatal cases were confirmed as influenza
A(H1N1)2009 and most of them had established risk factors for influenza
complications.
PMID- 21903042
TI - Ongoing large mumps outbreak in the Federation of Bosnia and Herzegovina, Bosnia
and Herzegovina, December 2010 to July 2011.
AB - From December 2010 until the end of July 2011, 5,261 mumps cases were recorded in
the Federation of Bosnia and Herzegovina, Bosnia and Herzegovina, leading to an
incidence of 225.8 per 100,000. Fifteen to 19 year-olds (43%) were most affected
and 62% of cases were male. Mumps-specific IgM antibodies were found in about 70%
of sera investigated, complications were reported in 41% of 81 hospitalised
patients. The outbreak affected mainly those unvaccinated or unaware of their
vaccination status and is probably due to vaccination failures during the war and
postwar period (1992-1998).
PMID- 21903043
TI - Plasmodium vivax malaria in a Romanian traveller returning from Greece, August
2011.
AB - In August 2011, a Plasmodium vivax malaria infection was diagnosed in a Romanian
traveller returning from Greece. This case together with several reports over the
past decade of autochthonous cases in Greece highlight that malaria should be
considered as differential diagnosis in symptomatic travellers returning from
this country. Travellers may serve as sentinels of emerging vector-borne
diseases.
PMID- 21903044
TI - Comparing imagery and verbal instructions for the experimental modification of
interpretation and judgmental bias in children.
AB - BACKGROUND AND OBJECTIVES: Using an interpretation training paradigm, previous
research has demonstrated that it is possible to modify interpretation biases in
socially anxious children and that trained interpretation bias affects important
aspects of social anxiety (Vassilopoulos, Banerjee, & Prantzalou, 2009). The
current experiment was designed to replicate and extend the results reported by
Vassilopoulos et al. (2009). METHODS: In a benign interpretation training
paradigm, descriptions of ambiguous hypothetical events were presented in a form
requiring participants to endorse the more benign of two interpretations. Ninety
four primary school children aged between 10 and 12 years were asked to either
imagine these hypothetical events or to read the same descriptions while thinking
about their verbal meaning. RESULTS: Participants in the verbal instructions
condition showed greater decreases in negative interpretations and negative
emotional consequences of ambiguous events from pre-training to post-training
than did those in the imagery instructions condition. Additionally, children in
the verbal instructions condition reported a significant decrease in trait social
anxiety as well as in their self-reported tendency to discount positive
information compared with children in the imagery instructions condition.
LIMITATIONS: The results should be considered in the light of the exclusive use
of self-report measures and the small effect sizes observed in some analyses.
CONCLUSIONS: These findings suggest that interpretation training in children can
be effective with verbal instructions and highlight the need for further
investigation of how to optimize the effectiveness of interpretation training in
children.
PMID- 21903045
TI - Biased number perception of schematic expressions in abstinent heroin abusers
compared to normal controls.
AB - BACKGROUND AND OBJECTIVES: Drug-dependent people exhibit biases when evaluating
discrete emotional facial expressions. Little is known about how drug abusers
process multiple expressions presented simultaneously. The present study
investigated the number perception of schematic emotional expressions by
abstinent heroin abusers. METHODS: Eighty-four heroin abstainers with varied
lengths of abstinence (short-term, mid-term, and long-term) and twenty healthy
controls were examined. A method of limits was deployed to obtain estimates
(points of subjective equality) of perceived numbers of schematic faces
(expressing positive, neutral, or negative emotion). RESULTS: Major results
include the following: 1) heroin-abstinent participants showed significantly
lower points of subjective equality for negative and neutral faces, but not for
positive faces, compared to control participants; 2) heroin-abstinent
participants showed lower points of subjective equality for negative faces and
higher ones for positive faces when compared to neutral faces, while no such
differences were found in control participants. CONCLUSION: Heroin abusers
demonstrate an exaggerated perception of number when exposed to negative
expressions, even after a period of abstinence as long as 10 months. In addition,
the current results could also reflect an underestimated perception of number
during exposure to positive expressions and a heightened baseline for neutral
expressions, or the attribution of negative valence to neutral expressions by
heroin abusers.
PMID- 21903046
TI - In vitro modulation of intracellular receptor signaling and cytotoxicity induced
by extracts of cyanobacteria, complex water blooms and their fractions.
AB - The biological activity of cyanobacteria and their chemical components have been
widely studied due to their blooms in eutrophic waters worldwide. The primary
goal of this study was to determine if individual cyanobacterial species and
mixtures of cyanobacteria collected from the environment contain compounds with
the potential for interaction with signaling pathways of the aryl hydrocarbon
receptor (AhR), androgen receptor (AR), estrogen receptor (ER), glucocorticoid
receptor (GR) and retinoid acid receptor (RAR). Cytotoxicity and specific toxic
potencies of products of freshwater cyanobacteria were determined by use of in
vitro reporter gene trans-activation assays. The testing included samples
prepared from five selected single cyanobacterial species cultivated in
laboratory and five complex cyanobacterial biomasses collected from blooms in
surface waters in the Czech Republic. The results demonstrate estrogenic
potencies of extracts of cyanobacterial biomasses. Among the laboratory single
species, the extract of Planktothrix agardhii (intracellular metabolites) had a
potency of estrogenic equivalents (EEQ) of 3.8 ng 17beta-estradiol/g dw. The
estimates of EEQs of samples prepared from complex cyanobacterial biomasses
collected from freshwaters in the Czech Republic ranged from 19 to 2200 ng 17beta
estradiol/g dw. Several samples prepared from the environmental cyanobacterial
biomasses potentiated the androgenic potency of dihydrotestosterone. There was no
dioxin-like, glucocorticoid or anti/retinoic activity observed for any of the
extracts studied. Extracts of natural complex cyanobacterial biomasses exhibited
greater and more frequent presence of compounds with specific modes of action,
mainly estrogenic, and also greater cytotoxicity than extracts of single
cyanobacterial species. The demonstrated estrogenic potency of the compounds
present in complex cyanobacterial biomasses is of environmental relevance, and
could potentially contribute to endocrine disruptive effects in aquatic
ecosystems in case of great bloom densities.
PMID- 21903047
TI - Social psychological theories of disordered eating in college women: review and
integration.
AB - Because peer interaction, weight/shape, and self-concept formation are
particularly salient to college women, the implications of social psychological
theories may be especially far-reaching during the college years. College women
may be particularly vulnerable to the effects of social comparison,
objectification, and uses and gratifications theories, which describe social
cognitive mechanisms that provide an individual with information regarding her
own view of her body and how she perceives that others perceive her body. The
current paper will review and integrate findings related to these three theories
of disordered eating in college women in an effort to present a more
comprehensive understanding of the social psychological mechanisms that play a
role in the development and maintenance of such pathology for this group of young
women. Limitations of and future directions for research on these theories will
be discussed, as will their potential integration with other factors that
contribute to disordered eating and implications for treatment and prevention.
PMID- 21903049
TI - A "small" defect with malignant effect.
PMID- 21903050
TI - The year in valvular heart disease.
PMID- 21903051
TI - Global cardiovascular health: urgent need for an intersectoral approach.
AB - Cardiovascular disease (CVD) is the leading cause of mortality worldwide, with
more than 80% of CVD deaths occurring in low- and middle-income countries
(LMICs). There have been several calls for action to address the global burden of
CVD, but there remains insufficient investment in and implementation of CVD
prevention and disease management efforts in LMICs. To catalyze the action needed
to control global CVD, the Institute of Medicine recently produced a report,
Promoting Cardiovascular Health in the Developing World: A Critical Challenge to
Achieve Global Health. This paper presents a commentary of the Institute of
Medicine's report, focusing specifically on the intersectoral nature of
intervention approaches required to promote global cardiovascular health. We
describe 3 primary domains of intervention to control global CVD: 1) policy
approaches; 2) health communication programs; and 3) healthcare delivery
interventions. We argue that the intersectoral nature of global CVD interventions
should ideally occur at 2 levels: first, all 3 domains of intervention must be
activated and engaged simultaneously, rather than only 1 domain at a time; and
second, within each domain, a synergistic combination of interventions must be
implemented. A diversity of public and private sector actors, representing
multiple sectors such as health, agriculture, urban planning, transportation,
finance, broadcasting, education, and the food and pharmaceutical industries,
will be required to collaborate for policies, programs, and interventions to be
optimally aligned. Improved control of global CVD is eminently possible but
requires an intersectoral approach involving a diversity of actors and
stakeholders.
PMID- 21903052
TI - Functional SYNTAX score for risk assessment in multivessel coronary artery
disease.
AB - OBJECTIVES: This study was aimed at investigating whether a fractional flow
reserve (FFR)-guided SYNTAX score (SS), termed "functional SYNTAX score" (FSS),
would predict clinical outcome better than the classic SS in patients with
multivessel coronary artery disease (CAD) undergoing percutaneous coronary
intervention (PCI). BACKGROUND: The SS is a purely anatomic score based on the
coronary angiogram and predicts outcome after PCI in patients with multivessel
CAD. FFR-guided PCI improves outcomes by adding functional information to the
anatomic information obtained from the angiogram. METHODS: The SS was
prospectively collected in 497 patients enrolled in the FAME (Fractional Flow
Reserve versus Angiography for Multivessel Evaluation) study. FSS was determined
by only counting ischemia-producing lesions (FFR <= 0.80). The ability of each
score to predict major adverse cardiac events (MACE) at 1 year was compared.
RESULTS: The 497 patients were divided into tertiles of risk based on the SS.
After determining the FSS for each patient, 32% moved to a lower-risk group as
follows. MACE occurred in 9.0%, 11.3%, and 26.7% of patients in the low-, medium
, and high-FSS groups, respectively (p < 0.001). Only FSS and procedure time were
independent predictors of 1-year MACE. FSS demonstrated a better predictive
accuracy for MACE compared with SS (Harrell's C of FSS, 0.677 vs. SS, 0.630, p =
0.02; integrated discrimination improvement of 1.94%, p < 0.001). CONCLUSIONS:
Recalculating SS by only incorporating ischemia-producing lesions as determined
by FFR decreases the number of higher-risk patients and better discriminates risk
for adverse events in patients with multivessel CAD undergoing PCI.
PMID- 21903053
TI - Bringing it all together: integration of physiology with anatomy during cardiac
catheterization.
PMID- 21903055
TI - Hostility and cardiovascular disease.
PMID- 21903054
TI - Observed hostility and the risk of incident ischemic heart disease: a prospective
population study from the 1995 Canadian Nova Scotia Health Survey.
AB - OBJECTIVES: The aim of this study was to examine the relation between hostility
and incident ischemic heart disease (IHD) and to determine whether observed
hostility is superior to patient-reported hostility for the prediction of IHD in
a large, prospective observational study. BACKGROUND: Some studies have found
that hostile patients have an increased risk of incident IHD. However, no studies
have compared methods of hostility assessment or considered important
psychosocial and cardiovascular risk factors as confounders. Furthermore, it is
unknown whether all expressions of hostility carry equal risk or whether certain
manifestations are more cardiotoxic. METHODS: We assessed the independent
relationship between baseline observed hostility and 10-year incident IHD in
1,749 adults of the population-based Canadian Nova Scotia Health Survey. RESULTS:
There were 149 (8.5%) incident IHD events (140 nonfatal, 9 fatal) during the
15,295 person-years of observation (9.74 events/1,000 person-years). Participants
with any observed hostility had a greater risk of incident IHD than those without
(p = 0.02); no such relation was found for patient-reported hostility. Those with
any observed hostility had a significantly greater risk of incident IHD (hazard
ratio: 2.06, 95% confidence interval: 1.04 to 4.08, p = 0.04), after adjusting
for cardiovascular (age, sex, Framingham Risk Score) and psychosocial
(depression, positive affect, patient-reported hostility, and anger) risk
factors. CONCLUSIONS: The presence of any observed hostility at baseline was
associated with a 2-fold increased risk of incident IHD over 10 years of follow
up. Compared with patient-reported measures, observed hostility is a superior
predictor of IHD.
PMID- 21903056
TI - Enhanced Rho-kinase activity in circulating neutrophils of patients with
vasospastic angina: a possible biomarker for diagnosis and disease activity
assessment.
AB - OBJECTIVES: The aim of this study was to examine whether Rho-kinase activity is
systemically enhanced in patients with vasospastic angina (VSA) and, if so,
whether a noninvasive diagnostic method could be developed to improve practice.
BACKGROUND: The activated Rho-kinase pathway plays a central role in the
molecular mechanism of coronary vasospasm in animal models and patients with VSA.
Recently, it has been reported that Rho-kinase activity in circulating leukocytes
is associated with various diseases. METHODS: Fifty-three consecutive patients
with chest pain who underwent acetylcholine provocation testing for coronary
spasm were examined. Patients were divided into 2 groups depending on their
response to the test: VSA (n = 33) and non-VSA (n = 20) groups. Venous blood
samples were collected to measure Rho-kinase activity in circulating neutrophils,
determined by the extent of phosphorylation of myosin-binding subunit (MBS), a
substrate of Rho-kinase. RESULTS: Rho-kinase activity was significantly higher in
the VSA group than in the non-VSA group (phosphorylated MBS/total MBS ratio 1.33
+/- 0.37 vs. 0.95 +/- 0.22, p < 0.001). In the VSA group, no correlation was
noted between Rho-kinase activity and high-sensitivity C-reactive protein,
smoking, or accumulated number of coronary risk factors. After the 3-month
medical treatment, Rho-kinase activity in the VSA group was significantly
decreased to 1.08 +/- 0.31 (p < 0.001). On receiver-operating characteristic
curve analysis, a phosphorylated MBS ratio of 1.18 was identified as the best
cutoff level to predict the diagnosis of VSA. CONCLUSIONS: These results indicate
that Rho-kinase activity in circulating neutrophils is enhanced in patients with
VSA and may be a useful biomarker for diagnosis and disease activity assessment
of the vasospastic disorder.
PMID- 21903057
TI - New light on a forgotten disease: vasospastic angina.
PMID- 21903059
TI - Iron metabolism, anemia, and heart failure.
PMID- 21903058
TI - Disordered iron homeostasis in chronic heart failure: prevalence, predictors, and
relation to anemia, exercise capacity, and survival.
AB - OBJECTIVES: The aim of this study was to comprehensively delineate iron
metabolism and its implications in patients with chronic heart failure (CHF).
BACKGROUND: Iron deficiency is an emerging therapeutic target in CHF. METHODS:
Iron and clinical indexes were quantified in 157 patients with CHF. RESULTS:
Several observations were made. First, iron homeostasis was deranged in anemic
and nonanemic subjects and characterized by diminished circulating (transferrin
saturation) and functional (mean cell hemoglobin concentration) iron status in
the face of seemingly adequate stores (ferritin). Second, while iron overload and
elevated iron stores were rare (1%), iron deficiency (transferrin saturation
<20%) was evident in 43% of patients. Third, disordered iron homeostasis related
closely to worsening inflammation and disease severity and strongly predicted
lower hemoglobin levels independently of age, sex, erythrocyte sedimentation
rate, New York Heart Association (NYHA) functional class, and creatinine. Fourth,
the etiologies of anemia varied with disease severity, with an iron-deficient
substrate (anemia of chronic disease and/or iron-deficiency anemia) evident in
16%, 72%, and 100% of anemic NYHA functional class I or II, III, and IV patients,
respectively. Although anemia of chronic disease was more prevalent than iron
deficiency anemia, both conditions coexisted in 17% of subjects. Fifth, iron
deficiency was associated with lower peak oxygen consumption and higher ratios of
ventilation to carbon dioxide production and identified those at enhanced risk
for death (hazard ratio: 3.38; 95% confidence interval: 1.48 to 7.72; p = 0.004)
independently of hemoglobin. Nonanemic iron-deficient patients had a 2-fold
greater risk for death than anemic iron-replete subjects. CONCLUSIONS: Disordered
iron homeostasis in patients with CHF relates to impaired exercise capacity and
survival and appears prognostically more ominous than anemia.
PMID- 21903060
TI - Sudden death in young adults: an autopsy-based series of a population undergoing
active surveillance.
AB - OBJECTIVES: The purpose of this study was to define the incidence and
characterization of cardiovascular cause of sudden death in the young.
BACKGROUND: The epidemiology of sudden cardiac death (SCD) in young adults is
based on small studies and uncontrolled observations. Identifying causes of
sudden death in this population is important for guiding approaches to
prevention. METHODS: We performed a retrospective cohort study using demographic
and autopsy data from the Department of Defense Cardiovascular Death Registry
over a 10-year period comprising 15.2 million person-years of active
surveillance. RESULTS: We reviewed all nontraumatic sudden deaths in persons 18
years of age and over. We identified 902 subjects in whom the adjudicated cause
of death was of potential cardiac etiology, with a mean age of 38 +/- 11 years.
The mortality rate for SCD per 100,000 person-years for the study period was 6.7
for males and 1.4 for females (p < 0.0001). Sudden death was attributed to a
cardiac condition in 715 (79.3%) and was unexplained in 187 (20.7%). The
incidence of sudden unexplained death (SUD) was 1.2 per 100,000 person-years for
persons <35 years of age, and 2.0 per 100,000 person-years for those >= 35 years
of age (p < 0.001). The incidence of fatal atherosclerotic coronary artery
disease was 0.7 per 100,000 person-years for those <35 years of age, and 13.7 per
100,000 person-years for those >= 35 years of age (p < 0.001). CONCLUSIONS:
Prevention of sudden death in the young adult should focus on evaluation for
causes known to be associated with SUD (e.g., primary arrhythmia) among persons
<35 years of age, with an emphasis on atherosclerotic coronary disease in those
>= 35 years of age.
PMID- 21903061
TI - Age-related changes in aortic arch geometry: relationship with proximal aortic
function and left ventricular mass and remodeling.
AB - OBJECTIVES: We sought to define age-related geometric changes of the aortic arch
and determine their relationship to central aortic stiffness and left ventricular
(LV) remodeling. BACKGROUND: The proximal aorta has been shown to thicken,
enlarge in diameter, and lengthen with aging in humans. However, no systematic
study has described age-related longitudinal and transversal remodeling of the
aortic arch and their relationship with LV mass and remodeling. METHODS: We
studied 100 subjects (55 women, 45 men, average age 46 +/- 16 years) free of
overt cardiovascular disease using magnetic resonance imaging to determine aortic
arch geometry (length, diameters, height, width, and curvature), aortic arch
function (local aortic distensibility and arch pulse wave velocity [PWV]), and LV
volumes and mass. Radial tonometry was used to calculate central blood pressure.
RESULTS: Aortic diameters and arch length increased significantly with age. The
ascending aorta length increased most, with age leading to aortic arch widening
and decreased curvature. These geometric changes of the aortic arch were
significantly related to decreased ascending aortic distensibility, increased
aortic arch PWV (p < 0.001), and increased central blood pressures (p < 0.001).
Increased ascending aortic diameter, lengthening, and decreased curvature of the
aortic arch (unfolding) were all significantly associated with increased LV mass
and concentric remodeling independently of age, sex, body size, and central blood
pressure (p < 0.01). CONCLUSIONS: Age-related unfolding of the aortic arch is
related to increased proximal aortic stiffness in individuals without
cardiovascular disease and associated with increased LV mass and mass-to-volume
ratio independent of age, body size, central pressure, and cardiovascular risk
factors.
PMID- 21903062
TI - Midwall fibrosis is an independent predictor of mortality in patients with aortic
stenosis.
AB - OBJECTIVES: The goal of this study was to assess the prognostic significance of
midwall and infarct patterns of late gadolinium enhancement (LGE) in aortic
stenosis. BACKGROUND: Myocardial fibrosis occurs in aortic stenosis as part of
the hypertrophic response. It can be detected by LGE, which is associated with an
adverse prognosis in a range of other cardiac conditions. METHODS: Between
January 2003 and October 2008, consecutive patients with moderate or severe
aortic stenosis undergoing cardiovascular magnetic resonance with administration
of gadolinium contrast were enrolled into a registry. Patients were categorized
into absent, midwall, or infarct patterns of LGE by blinded independent
observers. Patient follow-up was completed using patient questionnaires, source
record data, and the National Strategic Tracing Service. RESULTS: A total of 143
patients (age 68 +/- 14 years; 97 male) were followed up for 2.0 +/- 1.4 years.
Seventy-two underwent aortic valve replacement, and 27 died (24 cardiac, 3 sudden
cardiac deaths). Compared with those with no LGE (n = 49), univariate analysis
revealed that patients with midwall fibrosis (n = 54) had an 8-fold increase in
all-cause mortality despite similar aortic stenosis severity and coronary artery
disease burden. Patients with an infarct pattern (n = 40) had a 6-fold increase.
Midwall fibrosis (hazard ratio: 5.35; 95% confidence interval: 1.16 to 24.56; p =
0.03) and ejection fraction (hazard ratio: 0.96; 95% confidence interval: 0.94 to
0.99; p = 0.01) were independent predictors of all-cause mortality by
multivariate analysis. CONCLUSIONS: Midwall fibrosis was an independent predictor
of mortality in patients with moderate and severe aortic stenosis. It has
incremental prognostic value to ejection fraction and may provide a useful method
of risk stratification.
PMID- 21903063
TI - Is ventricular arrhythmia a possible mediator of the association between aortic
stenosis-related midwall fibrosis and mortality?
PMID- 21903064
TI - Successful placement of left atrial appendage closure device is heavily dependent
on 3-dimensional transesophageal imaging.
PMID- 21903066
TI - Is cardiorespiratory fitness a unique cardiovascular disease risk factor?
PMID- 21903068
TI - Sex-specific outcomes for HeartMate II.
PMID- 21903069
TI - DEG/ENaCs lead by a nose: mechanotransduction in a polymodal sensory neuron.
AB - Degenerin/epithelial sodium channels (DEG/ENaCs) are luminaries of gentle touch
in Caenorhabditis elegans. In this issue of Neuron, Geffeney et al. demonstrate
that eponymous DEG-1 channels carry mechanotransduction currents in a polymodal
neuron, where they act upstream of transient receptor potential (TRP) channels.
PMID- 21903070
TI - Reorganization of striatal inhibitory microcircuits leads to pathological
synchrony in the Basal Ganglia.
AB - Neural synchronization plays an important role in information flow in the nervous
system under healthy and pathological conditions. In this issue of Neuron, Gittis
et al. show that reorganization of striatal microcircuits promotes synchronous
activity and may underlie the pathological network oscillations at the root of
motor symptoms described in Parkinson's disease.
PMID- 21903071
TI - Life and death decision in adult neurogenesis: in praise of napping.
AB - Among the thousands of new neurons that integrate into the adult olfactory bulb
each day, 50% are eliminated through apoptosis. In this issue of Neuron, Yokoyama
et al. take steps toward deciphering the behavioral contexts that regulate
newborn cell elimination.
PMID- 21903072
TI - Dendritic spines and distributed circuits.
AB - Dendritic spines receive most excitatory connections in pyramidal cells and many
other principal neurons. But why do neurons use spines, when they could
accommodate excitatory contacts directly on their dendritic shafts? One
suggestion is that spines serve to connect with passing axons, thus increasing
the connectivity of the dendrites. Another hypothesis is that spines are
biochemical compartments that enable input-specific synaptic plasticity. A third
possibility is that spines have an electrical role, filtering synaptic potentials
and electrically isolating inputs from each other. In this review, I argue that,
when viewed from the perspective of the circuit function, these three functions
dovetail with one another to achieve a single overarching goal: to implement a
distributed circuit with widespread connectivity. Spines would endow these
circuits with nonsaturating, linear integration and input-specific learning
rules, which would enable them to function as neural networks, with emergent
encoding and processing of information.
PMID- 21903073
TI - Role of astrocytes in neurovascular coupling.
AB - Neural activity is intimately tied to blood flow in the brain. This coupling is
specific enough in space and time that modern imaging methods use local
hemodynamics as a measure of brain activity. In this review, we discuss recent
evidence indicating that neuronal activity is coupled to local blood flow changes
through an intermediary, the astrocyte. We highlight unresolved issues regarding
the role of astrocytes and propose ways to address them using novel techniques.
Our focus is on cellular level analysis in vivo, but we also relate mechanistic
insights gained from ex vivo experiments to native tissue. We also review some
strategies to harness advances in optical and genetic methods to study
neurovascular coupling in the intact brain.
PMID- 21903074
TI - Development of a method for the purification and culture of rodent astrocytes.
AB - The inability to purify and culture astrocytes has long hindered studies of their
function. Whereas astrocyte progenitor cells can be cultured from neonatal brain,
culture of mature astrocytes from postnatal brain has not been possible. Here, we
report a new method to prospectively purify astrocytes by immunopanning. These
astrocytes undergo apoptosis in culture, but vascular cells and HBEGF promote
their survival in serum-free culture. We found that some developing astrocytes
normally undergo apoptosis in vivo and that the vast majority of astrocytes
contact blood vessels, suggesting that astrocytes are matched to blood vessels by
competing for vascular-derived trophic factors such as HBEGF. Compared to
traditional astrocyte cultures, the gene profiles of the cultured purified
postnatal astrocytes much more closely resemble those of in vivo astrocytes.
Although these astrocytes strongly promote synapse formation and function, they
do not secrete glutamate in response to stimulation.
PMID- 21903075
TI - Rapid plasticity of visual responses in the adult lateral geniculate nucleus.
AB - Compared to the developing visual system, where neuronal plasticity has been well
characterized at multiple levels, little is known about plasticity in the adult,
particularly within subcortical structures. We made intraocular injections of 2
amino-4-phosphonobutyric acid (APB) in adult cats to block visual responses in On
center retinal ganglion cells and examined the consequences on visual responses
in the lateral geniculate nucleus (LGN) of the thalamus. In contrast to current
views of retinogeniculate organization, which hold that On-center LGN neurons
should become silent with APB, we find that ~50% of On-center neurons rapidly
develop Off-center responses. The time course of these emergent responses and the
actions of APB in the retina indicate the plasticity occurs within the LGN. These
results suggest there is greater divergence of retinogeniculate connections than
previously recognized and that functionally silent, nonspecific retinal inputs
can serve as a substrate for rapid plasticity in the adult.
PMID- 21903077
TI - Nitration of tyrosine 10 critically enhances amyloid beta aggregation and plaque
formation.
AB - Part of the inflammatory response in Alzheimer's disease (AD) is the upregulation
of the inducible nitric oxide synthase (NOS2) resulting in increased NO
production. NO contributes to cell signaling by inducing posttranslational
protein modifications. Under pathological conditions there is a shift from the
signal transducing actions to the formation of protein tyrosine nitration by
secondary products like peroxynitrite and nitrogen dioxide. We identified amyloid
beta (Abeta) as an NO target, which is nitrated at tyrosine 10 (3NTyr(10)-Abeta).
Nitration of Abeta accelerated its aggregation and was detected in the core of
Abeta plaques of APP/PS1 mice and AD brains. NOS2 deficiency or oral treatment
with the NOS2 inhibitor L-NIL strongly decreased 3NTyr(10)-Abeta, overall Abeta
deposition and cognitive dysfunction in APP/PS1 mice. Further, injection of
3NTyr(10)-Abeta into the brain of young APP/PS1 mice induced beta-amyloidosis.
This suggests a disease modifying role for NOS2 in AD and therefore represents a
potential therapeutic target.
PMID- 21903076
TI - Control of neuronal morphology by the atypical cadherin Fat3.
AB - Neurons receive signals through dendrites that vary widely in number and
organization, ranging from one primary dendrite to multiple complex dendritic
trees. For example, retinal amacrine cells (ACs) project primary dendrites into a
discrete synaptic layer called the inner plexiform layer (IPL) and only rarely
extend processes into other retinal layers. Here, we show that the atypical
cadherin Fat3 ensures that ACs develop this unipolar morphology. AC precursors
are initially multipolar but lose neurites as they migrate through the
neuroblastic layer. In fat3 mutants, pruning is unreliable and ACs elaborate two
dendritic trees: one in the IPL and a second projecting away from the IPL that
stratifies to form an additional synaptic layer. Since complex nervous systems
are characterized by the addition of layers, these results demonstrate that
mutations in a single gene can cause fundamental changes in circuit organization
that may drive nervous system evolution.
PMID- 21903078
TI - DEG/ENaC but not TRP channels are the major mechanoelectrical transduction
channels in a C. elegans nociceptor.
AB - Many nociceptors detect mechanical cues, but the ion channels responsible for
mechanotransduction in these sensory neurons remain obscure. Using in vivo
recordings and genetic dissection, we identified the DEG/ENaC protein, DEG-1, as
the major mechanotransduction channel in ASH, a polymodal nociceptor in
Caenorhabditis elegans. But DEG-1 is not the only mechanotransduction channel in
ASH: loss of deg-1 revealed a minor current whose properties differ from those
expected of DEG/ENaC channels. This current was independent of two TRPV channels
expressed in ASH. Although loss of these TRPV channels inhibits behavioral
responses to noxious stimuli, we found that both mechanoreceptor currents and
potentials were essentially wild-type in TRPV mutants. We propose that ASH
nociceptors rely on two genetically distinct mechanotransduction channels and
that TRPV channels contribute to encoding and transmitting information. Because
mammalian and insect nociceptors also coexpress DEG/ENaCs and TRPVs, the cellular
functions elaborated here for these ion channels may be conserved.
PMID- 21903079
TI - Rapid target-specific remodeling of fast-spiking inhibitory circuits after loss
of dopamine.
AB - In Parkinson's disease (PD), dopamine depletion alters neuronal activity in the
direct and indirect pathways and leads to increased synchrony in the basal
ganglia network. However, the origins of these changes remain elusive. Because
GABAergic interneurons regulate activity of projection neurons and promote
neuronal synchrony, we recorded from pairs of striatal fast-spiking (FS)
interneurons and direct- or indirect-pathway MSNs after dopamine depletion with 6
OHDA. Synaptic properties of FS-MSN connections remained similar, yet within 3
days of dopamine depletion, individual FS cells doubled their connectivity to
indirect-pathway MSNs, whereas connections to direct-pathway MSNs remained
unchanged. A model of the striatal microcircuit revealed that such increases in
FS innervation were effective at enhancing synchrony within targeted cell
populations. These data suggest that after dopamine depletion, rapid target
specific microcircuit organization in the striatum may lead to increased
synchrony of indirect-pathway MSNs that contributes to pathological network
oscillations and motor symptoms of PD.
PMID- 21903080
TI - Loss of sensory input causes rapid structural changes of inhibitory neurons in
adult mouse visual cortex.
AB - A fundamental property of neuronal circuits is the ability to adapt to altered
sensory inputs. It is well established that the functional synaptic changes
underlying this adaptation are reflected by structural modifications in
excitatory neurons. In contrast, the degree to which structural plasticity in
inhibitory neurons accompanies functional changes is less clear. Here, we use two
photon imaging to monitor the fine structure of inhibitory neurons in mouse
visual cortex after deprivation induced by retinal lesions. We find that a subset
of inhibitory neurons carry dendritic spines, which form glutamatergic synapses.
Removal of visual input correlates with a rapid and lasting reduction in the
number of inhibitory cell spines. Similar to the effects seen for dendritic
spines, the number of inhibitory neuron boutons dropped sharply after retinal
lesions. Together, these data suggest that structural changes in inhibitory
neurons may precede structural changes in excitatory circuitry, which ultimately
result in functional adaptation following sensory deprivation.
PMID- 21903081
TI - Elimination of adult-born neurons in the olfactory bulb is promoted during the
postprandial period.
AB - Granule cells (GCs) in the mouse olfactory bulb (OB) continue to be generated in
adulthood, with nearly half incorporated and the remainder eliminated. Here, we
show that elimination of adult-born GCs is promoted during a short time window in
the postprandial period. Under restricted feeding, the number of apoptotic GCs
specifically increased within a few hours after the start of feeding. This
enhanced GC apoptosis occurred in association with postprandial behaviors that
included grooming, resting, and sleeping, and was particularly correlated with
the length of postprandial sleep. Further, deprivation of olfactory sensory
experience in the local OB area potentiated the extent of GC elimination in that
area during the postprandial period. Sensory experience-dependent enhancement of
GC elimination also occurred during postprandial period under natural feeding
condition. These results suggest that extensive structural reorganization of
bulbar circuitry occurs during the postprandial period, reflecting sensory
experience during preceding waking period.
PMID- 21903082
TI - Single units in the medial prefrontal cortex with anxiety-related firing patterns
are preferentially influenced by ventral hippocampal activity.
AB - The medial prefrontal cortex (mPFC) and ventral hippocampus (vHPC) functionally
interact during innate anxiety tasks. To explore the consequences of this
interaction, we examined task-related firing of single units from the mPFC of
mice exploring standard and modified versions of the elevated plus maze (EPM), an
innate anxiety paradigm. Hippocampal local field potentials (LFPs) were
simultaneously monitored. The population of mPFC units distinguished between safe
and aversive locations within the maze, regardless of the nature of the
anxiogenic stimulus. Strikingly, mPFC units with stronger task-related activity
were more strongly coupled to theta-frequency activity in the vHPC LFP. Lastly,
task-related activity was inversely correlated with behavioral measures of
anxiety. These results clarify the role of the vHPC-mPFC circuit in innate
anxiety and underscore how specific inputs may be involved in the generation of
behaviorally relevant neural activity within the mPFC.
PMID- 21903083
TI - The sound of silence: ionic mechanisms encoding sound termination.
AB - Offset responses upon termination of a stimulus are crucial for perceptual
grouping and gap detection. These gaps are key features of vocal communication,
but an ionic mechanism capable of generating fast offsets from auditory stimuli
has proven elusive. Offset firing arises in the brainstem superior paraolivary
nucleus (SPN), which receives powerful inhibition during sound and converts this
into precise action potential (AP) firing upon sound termination. Whole-cell
patch recording in vitro showed that offset firing was triggered by IPSPs rather
than EPSPs. We show that AP firing can emerge from inhibition through integration
of large IPSPs, driven by an extremely negative chloride reversal potential
(E(Cl)), combined with a large hyperpolarization-activated nonspecific cationic
current (I(H)), with a secondary contribution from a T-type calcium conductance
(I(TCa)). On activation by the IPSP, I(H) potently accelerates the membrane time
constant, so when the sound ceases, a rapid repolarization triggers multiple
offset APs that match onset timing accuracy.
PMID- 21903084
TI - Sound texture perception via statistics of the auditory periphery: evidence from
sound synthesis.
AB - Rainstorms, insect swarms, and galloping horses produce "sound textures"--the
collective result of many similar acoustic events. Sound textures are
distinguished by temporal homogeneity, suggesting they could be recognized with
time-averaged statistics. To test this hypothesis, we processed real-world
textures with an auditory model containing filters tuned for sound frequencies
and their modulations, and measured statistics of the resulting decomposition. We
then assessed the realism and recognizability of novel sounds synthesized to have
matching statistics. Statistics of individual frequency channels, capturing
spectral power and sparsity, generally failed to produce compelling synthetic
textures; however, combining them with correlations between channels produced
identifiable and natural-sounding textures. Synthesis quality declined if
statistics were computed from biologically implausible auditory models. The
results suggest that sound texture perception is mediated by relatively simple
statistics of early auditory representations, presumably computed by downstream
neural populations. The synthesis methodology offers a powerful tool for their
further investigation.
PMID- 21903086
TI - The challenge of sustaining and accelerating clinical epilepsy research.
PMID- 21903085
TI - Visual feature-tolerance in the reading network.
AB - A century of neurology and neuroscience shows that seeing words depends on
ventral occipital-temporal (VOT) circuitry. Typically, reading is learned using
high-contrast line-contour words. We explored whether a specific VOT region, the
visual word form area (VWFA), learns to see only these words or recognizes words
independent of the specific shape-defining visual features. Word forms were
created using atypical features (motion-dots, luminance-dots) whose statistical
properties control word-visibility. We measured fMRI responses as word form
visibility varied, and we used TMS to interfere with neural processing in
specific cortical circuits, while subjects performed a lexical decision task. For
all features, VWFA responses increased with word-visibility and correlated with
performance. TMS applied to motion-specialized area hMT+ disrupted reading
performance for motion-dots, but not line-contours or luminance-dots. A
quantitative model describes feature-convergence in the VWFA and relates VWFA
responses to behavioral performance. These findings suggest how visual feature
tolerance in the reading network arises through signal convergence from feature
specialized cortical areas.
PMID- 21903087
TI - Do you know it when you see it? Tips for recognizing good studies in medical
education.
PMID- 21903088
TI - Pediatric mental health services: complex problems demand comprehensive
solutions.
PMID- 21903089
TI - Statement of principles: APA-industry relationship.
PMID- 21903090
TI - The heme uptake process in Trypanosoma cruzi epimastigotes is inhibited by heme
analogues and by inhibitors of ABC transporters.
AB - Heme (iron protoporphyrin IX) is an important molecule involved in many
biological reactions, including oxygen transport, respiration, photosynthesis and
drug detoxification. Trypanosoma cruzi parasites, the etiological agent of
Chagas' disease, take up heme from the environment to supply their nutritional
needs because they do not synthesize this cofactor. However, the mechanisms
involved in heme transport across biological membranes are poorly understood.
Indeed, in T. cruzi, no heme transporter has yet been characterized. In the
present work, we evaluate the heme uptake processes by T. cruzi epimastigotes
using fluorescent heme-analogues. Heme uptake decreased significantly when cells
were pretreated with different concentrations of SnPPIX, PdMPIX or ZnMPIX, this
observed competition suggests that they are taken up by the same transport
system. We studied the growth behavior of epimastigotes using the same heme
analogues and the treatments with SnPPIX or PdMPIX impaired cell growth but when
heme was added to the culture medium the observed inhibition was partially
reversed. In addition, we tested how the heme uptake processes are affected by
the presence of different transporter inhibitors. When the cells were treated
with inhibitors and then incubated with heme, heme uptake decreased significantly
for all treatments. These results constitute a strong indication for the
existence of a protein associated with porphyrin transport in T. cruzi, possibly
ATP-binding cassette transporters (ABC-transporter).
PMID- 21903091
TI - Mesenchymal stem cell marker Stro-1 is a 75 kd endothelial antigen.
AB - Stro-1 is the best-known mesenchymal stem cell (MSC) marker. However, previous
studies have observed its expression in the endothelium. In the present study we
performed immunofluorescence (IF) staining for Stro-1, using endothelial marker
vWF as reference. In the liver, both proteins were expressed in the endothelium
of the central veins and hepatic sinusoids. In the lung, both were expressed in
the endothelium of pulmonary blood vessels, but while vWF was absent in the
alveolar capillaries, Stro-1 was present. In the kidney, both were expressed in
the endothelium of renal arterial branches, but while vWF was strongly expressed
in the glomeruli, Stro-1 only scantly. IF staining in cultured endothelial cells
also showed extensive overlaps between Stro-1 and vWF. Western blot analysis with
Stro-1 antibody detected a single protein band of 75 kd in endothelial cells but
not smooth muscle cells, fibroblasts, or B cells. Cancer cell lines PC3, DU145,
MCF7, and K562 were also positive. Adipose-derived stem cells (ADSCs) expressed
higher levels of Stro-1 when cultured beyond the first passage or when induced to
differentiate into endothelial cells. These data, together with previous studies,
indicate that Stro-1 is intrinsically an endothelial antigen, and its expression
in MSC is probably an induced event.
PMID- 21903092
TI - Silencing of the SNARE protein NAPA sensitizes cancer cells to cisplatin by
inducing ERK1/2 signaling, synoviolin ubiquitination and p53 accumulation.
AB - We found earlier that NAPA represents an anti-apoptotic protein that promotes
resistance to cisplatin in cancer cells by inducing the degradation of the tumor
suppressor p53. In the present study, we investigated the cellular mechanism
underlying the degradation of p53 by NAPA. Knockdown of NAPA using short-hairpin
RNA was shown to induce p53 accumulation and to sensitize HEK293 cells to
cisplatin. On the other hand, this sensitization effect was not found in H1299
lung carcinoma cells which lack p53. Expression of exogenous p53 in H1299 cells
was increased following knockdown of NAPA and these cells showed increased
sensitivity to cisplatin-induced apoptosis. Notably, knockdown of NAPA induced
the ubiquitination and degradation of the E3 ubiquitin ligase synoviolin and the
accumulation of p53 in unstressed HEK293 cells. Conversely, NAPA overexpression
decreased the ubiquitination and degradation of synoviolin, and reduced p53
protein level. Knockdown of NAPA disrupted the interaction between synoviolin and
proteins that form the endoplasmic reticulum-associated degradation (ERAD)
complex and in turn decreased the ability of this complex to ubiquitinate p53. In
addition, knockdown of NAPA induced the activation of the MAPK kinases ERK, JNK
and p38, but only inhibition of ERK reduced synoviolin ubiquitination and p53
accumulation. These results indicate that NAPA promotes resistance to cisplatin
through synoviolin and the ERAD complex which together induce the degradation of
p53 and thus prevent apoptosis. Based on these findings, we propose that the
combination of cisplatin and knockdown of NAPA represents a novel and attractive
strategy to eradicate p53-sensitive cancer cells.
PMID- 21903093
TI - Guggulsterone sensitizes hepatoma cells to TRAIL-induced apoptosis through the
induction of CHOP-dependent DR5: involvement of ROS-dependent ER-stress.
AB - Guggulsterone (GGS) has anti-tumor and anti-angiogenesis potential by suppressing
nuclear factor-kappaB and STAT3 activity. Although GGS has been suggested as a
potential therapeutic agent for treating various cancers, the underlying
molecular mechanisms are unknown. Therefore, we investigated whether GGS
sensitizes hepatocellular carcinoma cells (HCC) to apoptosis mediated by tumor
necrosis factor-related apoptosis inducing ligand (TRAIL). The apoptotic
mechanism induced by treatment with a GGS/TRAIL combination involved the loss of
mitochondrial transmembrane potential and consequent activation of caspases. GGS
also induced upregulation of the death receptor DR5 for TRAIL. The effects seemed
to be associated with eIF2alpha and CHOP activation, which are related to the
endoplasmic reticulum (ER) stress response and apoptosis. This relationship was
suggested by the observation that CHOP downregulation by specific siRNA
attenuated both GGS-mediated DR5 upregulation and the cytotoxicity induced by
GGS/TRAIL co-treatment. Moreover, salubrinal, a specific eIF-2alpha
phosphorylation-inducing agent, enhanced the expression of CHOP and DR5 induced
by GGS and sensitized cells to GGS/TRAIL-induced apoptosis. Thus, GGS-induced
eIF2alpha phosphorylation seems to be important for CHOP and DR5 upregulation.
Furthermore, these events were accompanied by an increase in the generation of
reactive oxygen species. Pretreatment with N-acetyl-L-cysteine and glutathione
inhibited GGS-induced ER-stress, and CHOP and DR5 upregulation and almost
completely blocked GGS/TRAIL-induced apoptosis. These results collectively
indicate that DR5 induction via eIF-2alpha and CHOP is crucial for the marked
synergistic effects induced by TRAIL and GGS. Taken together, these results
indicate that a GGS/TRAIL combination could represent a novel important tool for
cancer therapy.
PMID- 21903094
TI - Caspase-8, c-FLIP, and caspase-9 in c-Myc-induced apoptosis of fibroblasts.
AB - c-Myc is known to induce or potentiate apoptotic processes predominantly by
triggering or enhancing the activity of caspases, but the activation mechanisms
of caspases by c-Myc remain still poorly understood. Here we found that in
MycERTM rat fibroblasts the activation of c-Myc led to an early activation and
cleavage of the initiator caspase-8, and concurrent processing and activation of
the effector caspases 3 and 7. Interestingly, the expression of cellular FLICE
inhibitory protein (c-FLIP) mRNA and the encoded protein, c-FLIP(L), a
catalytically inactive homologue of caspase-8, were down-regulated prior to or
coincidently with the activation of caspase-8. Of the other known initiators,
caspase-9, involved in the mitochondrial pathway, was activated/processed
surprisingly late, only after the effector caspases 3/7. Further, we studied the
potential involvement of the Fas- and tumor necrosis factor receptor (TNFR)
mediated signaling in the activation of caspase-8 by c-Myc. Blocking of the
function of these death receptors by neutralizing antibodies against Fas ligand
and TNF-alpha did not prevent the processing of caspase-8 or cell death. c-Myc
was neither found to induce any changes in the expression of TNF-related
apoptosis inducing ligand (TRAIL) or its receptor. These data suggest that
caspase-8 does not become activated through an extrinsic but an
"intrinsic/intracellular" apoptotic pathway unleashed by the down-regulation of c
FLIP by c-Myc. Moreover, ectopic expression of c-FLIP(L) inhibited the c-Myc
induced apoptosis.
PMID- 21903096
TI - RGK family G-domain:GTP analog complex structures and nucleotide-binding
properties.
AB - The RGK family of small G-proteins, including Rad, Gem, Rem1, and Rem2, is
inducibly expressed in various mammalian tissues and interacts with voltage
dependent calcium channels and Rho kinase. Many questions remain regarding their
physiological roles and molecular mechanism. Previous crystallographic studies
reported RGK G-domain:guanosine di-phosphate structures. To test whether RGK
proteins undergo a nucleotide-induced conformational change, we determined the
crystallographic structures of Rad:GppNHp and Rem2:GppNHp to 1.7 and 1.8 A
resolutions, respectively. Also, we characterized the nucleotide-binding
properties and conformations for Gem, Rad, and several structure-based mutants
using fluorescence spectroscopy. The results suggest that RGK G-proteins may not
behave as Ras-like canonical nucleotide-induced molecular switches. Further, the
RGK proteins have differing structures and nucleotide-binding properties, which
may have implications for their varied action on effectors.
PMID- 21903095
TI - Development and validation of a standardized ELISA for the detection of soluble
Fc-epsilon-RI in human serum.
AB - The aim of this study was to develop a standardized enzyme-linked immunosorbent
assay (ELISA) for detection of human soluble Fc-epsilon-RI (sFcepsilonRI), a
serum isoform of the high affinity IgE receptor. A recombinant version of
sFcepsilonRI was produced in baculovirus and used as standard. ELISA plates were
coated with anti-mouse IgG followed by incubation with the monoclonal capture
antibody CRA1. This FcepsilonRI-alpha-specific antibody binds to the stalk region
of the protein and does not inhibit IgE-binding. After incubation with standards
or serum samples, plates were incubated with chimeric IgE followed by detection
with horseradish peroxidase conjugated anti-human IgE. Enzymatic activity was
visualized with (3,3',5,5')-tetramethylbenzidine. Specificity was demonstrated by
omission of capture or detection reagents. Units (U) of detection were
established and the dynamic range of the assay was defined as 10-640 U/ml for a
1/5 serum dilution. Parameters of linearity (R(2)>0.999), matrix interference
test (recovery of 70-110%), intra-assay variability (coefficient of variation
(CV) <20%) and inter-assay variability (CV <20%) met acceptance criteria for
immunoassay validation. Correlation analysis of serum units of sFcepsilonRI
measured with the new ELISA and serum IgE levels confirmed earlier published data
describing a weak correlation of the two parameters in patients with elevated
serum IgE while no correlation in patients with normal serum IgE or the total
patient group was found. In summary, we established and validated a standardized
ELISA for the detection of sFcepsilonRI. This novel method now allows for
comparative analysis of sFcepsilonRI levels in health and disease.
PMID- 21903097
TI - Inhibition of cullin RING ligases by cycle inhibiting factor: evidence for
interference with Nedd8-induced conformational control.
AB - Cycle inhibiting factor (Cif) is produced by pathogenic intracellular bacteria
and injected into the host cells via a type III secretion system. Cif is known to
interfere with the eukaryotic cell cycle by inhibiting the function of cullin
RING E3 ubiquitin ligases (CRLs). Cullin proteins form the scaffold protein of
CRLs and are modified with the ubiquitin-like protein Nedd8, which exerts
important conformational control required for CRL activity. Cif has recently been
shown to catalyze the deamidation of Gln40 in Nedd8 to Glu. Here, we addressed
how Nedd8 deamidation inhibits CRL activity. Our results indicate that
Burkholderia pseudomallei Cif (also known as CHBP) inhibits the deconjugation of
Nedd8 in vivo by inhibiting binding of the deneddylating COP9 signalosome (CSN)
complex. We provide evidence that the reduced binding of CSN and the inhibition
of CRL activity by Cif are due to interference with Nedd8-induced conformational
control, which is dependent on the interaction between the Nedd8 hydrophobic
patch and the cullin winged-helix B subdomain. Of note, mutation of Gln40 to Glu
in ubiquitin, an additional target of Cif, inhibits the interaction between the
hydrophobic surface of ubiquitin and the ubiquitin-binding protein p62/SQSTM1,
showing conceptually that Cif activity can impair ubiquitin/ubiquitin-like
protein non-covalent interactions. Our results also suggest that Cif may exert
additional cellular effects by interfering with the association between ubiquitin
and ubiquitin-binding proteins.
PMID- 21903099
TI - Morphological changes in early melanoma development: influence of nutrients,
growth inhibitors and cell-adhesion mechanisms.
AB - Current diagnostic methods for skin cancers are based on some morphological
characteristics of the pigmented skin lesions, including the geometry of their
contour. The aim of this article is to model the early growth of melanoma
accounting for the biomechanical characteristics of the tumor micro-environment,
and evaluating their influence on the tumor morphology and its evolution. The
spatial distribution of tumor cells and diffusing molecules are explicitly
described in a three-dimensional multiphase model, which incorporates general
cell-to-cell mechanical interactions, a dependence of cell proliferation on
contact inhibition, as well as a local diffusion of nutrients and inhibiting
molecules. A two-dimensional model is derived in a lubrication limit accounting
for the thin geometry of the epidermis. First, the dynamical and spatial
properties of planar and circular tumor fronts are studied, with both numerical
and analytical techniques. A WKB method is then developed in order to analyze the
solution of the governing partial differential equations and to derive the
threshold conditions for a contour instability of the growing tumor. A control
parameter and a critical wavelength are identified, showing that high cell
proliferation, high cell adhesion, large tumor radius and slow tumor growth
correlate with the occurrence of a contour instability. Finally, comparing the
theoretical results with a large amount of clinical data we show that our
predictions describe accurately both the morphology of melanoma observed in vivo
and its variations with the tumor growth rate. This study represents a
fundamental step to understand more complex microstructural patterns observed
during skin tumor growth. Its results have important implications for the
improvement of the diagnostic methods for melanoma, possibly driving progress
towards a personalized screening.
PMID- 21903098
TI - On unsatisfied hydrogen bonds in the N-terminal subdomain of villin headpiece.
AB - Villin headpiece is a small autonomously folding protein that has emerged as a
model system for understanding the fundamental tenets governing protein folding.
In this communication, we employ NMR and X-ray crystallography to characterize a
point mutant, H41F, which retains actin-binding activity, is more thermostable
but, interestingly, does not exhibit the partially folded intermediate observed
of either wild-type or other similar point mutants.
PMID- 21903100
TI - Evolution of altruistic punishment in heterogeneous populations.
AB - Evolutionary models for altruistic behavior typically make the assumption of
homogeneity: each individual has the same costs and benefits associated with
cooperating with each other and punishing for selfish behavior. In this paper, we
relax this assumption by separating the population into heterogeneous classes,
such that individuals from different classes differ in their ability to punish
for selfishness. We compare the effects of introducing heterogeneity this way
across two population models, that each represents a different type of
population: the infinite and well-mixed population describes the way workers of
social insects such as ants are organized, while a spatially structured
population is more related to the way social norms evolve and are maintained in a
social network. We find that heterogeneity in the effectiveness of punishment by
itself has little to no effect on whether or not altruistic behavior will
stabilize in a population. In contrast, heterogeneity in the cost that
individuals pay to punish for selfish behavior allows altruistic behavior to be
maintained more easily. Fewer punishers are needed to deter selfish behavior, and
the individuals that punish will mostly belong to the class that pays a lower
cost to do so. This effect is amplified when individuals that pay a lower cost
for punishing inflict a higher punishment. The two population models differ when
individuals that pay a low cost for punishing also inflict a lower punishment. In
this situation, altruistic behavior becomes harder to maintain in an infinite and
well-mixed population. However, this effect does not occur when the population is
spatially structured.
PMID- 21903101
TI - Invasion of infectious diseases in finite homogeneous populations.
AB - We consider the initial invasion of an infectious disease in a finite,
homogeneous population. Methodology for evaluating the basic reproduction number,
R(0), and the probability mass function of secondary infections is presented. The
impact of finite population size, and infectious period distribution (between
exponential, two-phase gamma, and constant), is assessed. Implications for
infectious disease invasion and estimation of infectious disease model and
parameters from data of secondary infections by initially infected individuals in
naive, finite, homogeneous populations are reported. As any individual interacts
with a finite number of contacts during their infectious period, these results
are important to the study of infectious disease dynamics.
PMID- 21903102
TI - Mathematical modeling on obligate mutualism: Interactions between leaf-cutter
ants and their fungus garden.
AB - We propose a simple mathematical model by applying Michaelis-Menton equations of
enzyme kinetics to study the mutualistic interaction between the leaf cutter ant
and its fungus garden at the early stage of colony expansion. We derive
sufficient conditions on the extinction and coexistence of these two species. In
addition, we give a region of initial condition that leads to the extinction of
two species when the model has an interior attractor. Our global analysis
indicates that the division of labor by worker ants and initial conditions are
two important factors that determine whether leaf cutter ants' colonies and their
fungus garden can survive and grow or not. We validate the model by comparing
model simulations and data on fungal and ant colony growth rates under laboratory
conditions. We perform sensitive analysis of the model based on the experimental
data to gain more biological insights on ecological interactions between leaf
cutter ants and their fungus garden. Finally, we give conclusions and discuss
potential future work.
PMID- 21903103
TI - The roles of the Moran effect and dispersal in synchronizing oscillating
populations.
AB - Patterns of spatial synchrony of population dynamics are dramatic phenomena that
provide the potential for unraveling forces controlling the dynamics of natural
populations in time and space. Prior ecological research has focused on attention
to either deterministic or stochastic forces acting separately, with an emphasis
on long term behavior, or on small systems consisting often of two interacting
spatial locations. Using ideas from the dynamics of weakly coupled oscillators
with an emphasis on the temporal dynamics of synchrony we develop a synthetic
approach that explains patterns of synchrony as the result of an interplay
between deterministic and stochastic forces. The temporal scale of convergence
can provide a useful tool for determining the relative importance of
deterministic and stochastic influences. Our approach applies both to specific
systems that have been previously analyzed such as disease dynamics, and to a
range of exploiter victim systems.
PMID- 21903104
TI - A model for Vibrio cholerae colonization of the human intestine.
AB - Vibrio cholerae is a strict human pathogen that causes the disease cholera. It is
an old-world pathogen that has re-emerged as a new threat since the early 1990s.
V. cholerae colonizes the upper, small intestine where it produces a toxin that
leads to watery diarrhea, characterizing the disease (Kahn et al., 1988). The
dynamics of colonization by the bacteria of the intestines are largely unknown.
Although a large initial infectious dose is required for infection, data suggests
that only a smaller sub-population colonizes a portion of the small bowel leading
to disease. There are many barriers to colonization in the intestines including
peristalsis, fluid wash-out, viscosity of the mucus layer, and pH. We are
interested in identifying the mechanisms that allow this sub-population of
bacteria to survive and colonize the intestines when faced with these barriers.
To elaborate the dynamics of V. cholerae infection, we have developed a
mathematical model based on a convection-diffusion-reaction-swimming equation
capturing bacterial dynamics coupled with Stokes equations governing fluid
velocity where we developed a novel non-local boundary condition. Our results
indicate that both host and bacterial factors contribute to bacterial density in
the gut. Host factors include intestinal diffusion and convection rates while
bacterial factors include adherence, motility and growth rates. This model can
ultimately be used to test therapeutic strategies against V. cholerae.
PMID- 21903105
TI - Anxiety in Parkinson's disease: a critical review of experimental and clinical
studies.
AB - Parkinson's disease (PD) is the second most common neurodegenerative disorder
affecting about 1% of the population older than 60 years. Classically, PD is
considered as a movement disorder, and its diagnosis is based on the presence of
a set of cardinal motor signs that are the consequence of a pronounced death of
dopaminergic neurons in the substantia nigra pars compacta. There is now
considerable evidence showing that the neurodegenerative processes leading to
sporadic PD begin many years before the appearance of the characteristic motor
symptoms, and that additional neuronal fields and neurotransmitter systems are
also involved in PD, including olfactory structures, amygdala, caudal raphe
nuclei, locus coeruleus, and hippocampus. Accordingly, adrenergic and
serotonergic neurons are also lost, which seems to contribute to the anxiety in
PD. Non-motor features of PD usually do not respond to dopaminergic medication
and probably form the major current challenge in the clinical management of PD.
Additionally, most studies performed with animal models of PD have investigated
their ability to induce motor alterations associated with advanced phases of PD,
and some studies begin to assess non-motor behavioral features of the disease.
The present review attempts to examine results obtained from clinical and
experimental studies to provide a comprehensive picture of the neurobiology and
current and potential treatments for anxiety in PD. The data reviewed here
indicate that, despite their high prevalence and impact on the quality of life,
anxiety disorders are often under-diagnosed and under-treated in PD patients.
Moreover, there are currently few clinical and pre-clinical studies underway to
investigate new pharmacological agents for relieving these symptoms, and we hope
that this article may inspire clinicians and researchers devote to the studies on
anxiety in PD to change this scenario. This article is part of a Special Issue
entitled 'Anxiety and Depression'.
PMID- 21903106
TI - Scopolamine impairs behavioural function and arginine metabolism in the rat
dentate gyrus.
AB - Alzheimer's disease (AD) is a neurodegenerative disorder with progressive memory
loss. It has been shown that the cholinergic neurotransmission deficit is one of
the neurochemical characteristics of AD, and that L-arginine and its metabolites
also play a prominent role in AD pathogenesis. Scopolamine, a non-selective
muscarinic receptor antagonist, blocks cholinergic neurotransmission and impairs
behavioural function, including learning and memory. This study investigated the
effects of scopolamine on animals' behavioural performance and L-arginine
metabolism in the hippocampus and prefrontal cortex. Rats were given
intraperitoneal injections of scopolamine (0.8 mg/kg) or saline (1 ml/kg) and
tested in the Y-maze, open field, water maze and elevated plus maze 30 min post
treatment. After completion of the behavioural testing, the CA1, CA2/3 and
dentate gyrus (DG) sub-regions of the hippocampus and the prefrontal cortex were
harvested to measure the activity and protein expression of nitric oxide synthase
(NOS) and arginase, and the levels of L-arginine, L-citrulline, L-ornithine,
agmatine, putrescine, spermidine, spermine, glutamate and GABA. Scopolamine
treated rats displayed reduced alternation and exploratory behaviour, increased
swimming speed and impaired spatial learning and memory. There were significantly
decreased NOS activity, increased arginase activity, and increased L-ornithine
and putrescine levels in the DG, but not other regions examined, in the
scopolamine treated rats as compared to the controls. These findings suggest that
scopolamine impairs behavioural function and alters L-arginine metabolism in the
DG sub-region of the hippocampus specifically. The underlying mechanisms of it
remain to be explored further.
PMID- 21903107
TI - alphaCaMKII autophosphorylation controls exploratory activity to threatening
novel stimuli.
AB - Autophosphorylation of alphaCaMKII is regarded as a 'molecular memory' for Ca(2+)
transients and a crucial mechanism in aversely, but less so in appetitively,
motivated learning and memory. While there is a growing body of research
implicating alphaCaMKII in general in behavioral responses to threat or fearful
stimuli, little is known about the contribution of the autophosphorylation. The
present study asked how alphaCaMKII autophosphorylation controls anxiety-like
behavioral responses toward novel, potentially threatening stimuli. We tested
homozygous and heterozygous T286A alphaCaMKII autophosphorylation deficient mice
and wild types in a systematic series of behavioral tests. Homozygous mutants
were more active in the open field test and showed reduced anxiety-related
behavior in the light/dark test, but these findings were confounded by a
hyperlocomotor phenotype. The analysis of elevated plus maze showed significantly
reduced anxiety-related behavior in the alphaCaMKII autophosphorylation-deficient
mice which appeared to mediate a hyperlocomotor response. An analysis of home
cage behavior, where neither novel nor threatening stimuli were present, showed
no differences in locomotor activity between genotypes. Increased locomotion was
not observed in the novel object exploration test in the alphaCaMKII
autophosphorylation-deficient mice, implying that hyperactivity does not occur in
response to discrete novel stimuli. The present data suggest that the behavior of
alphaCaMKII autophosphorylation-deficient mice cannot simply be described as a
low anxiety phenotype. Instead it is suggested that alphaCaMKII
autophosphorylation influences locomotor reactivity to novel environments that
are potentially, but not necessarily threatening.
PMID- 21903108
TI - Cognitive dysfunctions induced by a cholinergic blockade and Abeta 25-35 peptide
are attenuated by salvianolic acid B.
AB - Alzheimer's disease (AD) is a neurodegenerative disorder associated with
progressive cognitive and memory loss and neuronal cell death. Current
therapeutic strategies for AD are very limited; thus, traditional herbal
medicines or their active constituents receive much attention. The aim of this
study was to investigate the cognitive enhancing effects of salvianolic acid B
(SalB) isolated from Salvia miltiorrhiza and its ameliorating effects on various
drug-induced amnesic models using the passive avoidance, Y-maze, and Morris water
maze tasks. Drug-induced amnesia was induced by administering scopolamine,
diazepam, muscimol, or amyloid-beta (Abeta)(25-35) peptide. SalB (10 mg/kg, p.o.)
was found to significantly reverse the cognitive impairments induced by
scopolamine (1 mg/kg, i.p.) or Abeta(25-35) (10 nmol/5 MUl, i.c.v.) injection.
This ameliorating effect of SalB was antagonized by the GABA(A) receptor
agonists, muscimol or diazepam, respectively. In addition, SalB alone was capable
of improving cognitive performances. Furthermore, SalB (100 MUM) was found to
inhibit GABA-induced outward Cl(-) currents in single hippocampal CA1 neuron.
These results suggest that the observed ameliorations of cholinergic dysfunction-
or Abeta(25-35)-induced memory impairment by SalB were mediated, in part, via the
GABAergic neurotransmitter system after a single administration.
PMID- 21903110
TI - Ethanol protects cultured neurons against amyloid-beta and alpha-synuclein
induced synapse damage.
AB - The loss of synapses and a corresponding reduction in synaptic proteins are
histopathological features of Alzheimer's disease that correlate strongly with
dementia. Here we report that stable Abeta oligomers secreted by 7PA2 cells
reduced the amount of synaptophysin, a protein used as an indicator of synapse
density, in cultured cortical and hippocampal neurons. Pre-treatment with
physiologically relevant concentrations of ethanol (0.02-0.08%) protected neurons
against Abeta-induced synapse damage. Ethanol also protected neurons against
synapse damage induced by alpha-synuclein (alphaSN), pre-synaptic aggregates of
which are characteristic of Parkinson's disease and dementia with Lewy bodies.
Exposure of neurons to ethanol did not affect the accumulation of Abeta at
synapses, rather it reduced the Abeta and alphaSN-induced activation of
cytoplasmic phospholipase A(2) (cPLA(2)) within synapses. Ethanol did not affect
synapse damage caused by platelet-activating factor or prostaglandin E(2),
bioactive lipids that are formed following the activation of cPLA(2). These
results may help explain epidemiological reports that moderate alcohol
consumption protects against the development of dementia in Alzheimer's and
Parkinson's diseases.
PMID- 21903109
TI - The dynamic role of beta-catenin in synaptic plasticity.
AB - In addition to its role in development and cell proliferation, beta-catenin has
been implicated in neuronal synapse regulation and remodeling. Here we review
basic molecular and structural mechanisms of synaptic plasticity, followed by a
description of the structure and function of beta-catenin. We then describe a
role for beta-catenin in the cellular processes underlying synaptic plasticity.
We also review recent data demonstrating that beta-catenin mRNA and protein
phosphorylation are dynamically regulated during fear memory consolidation in
adult animals. Such alterations are correlated with a change in the association
of beta-catenin with cadherin, and deletion of the beta-catenin gene prevents
fear learning. Overall, the extant data suggest that beta-catenin may function in
mediating the structural changes associated with memory formation. This suggests
a general role for beta-catenin in synaptic remodeling and stabilization
underlying long-term memory in adults, and possible roles for dysfunction in the
beta-catenin pathway in disorders of memory impairment (e.g. Alzheimer's Disease)
and in disturbances in which emotional memories are too strong or resistant to
inhibition (e.g. fear learning in Posttraumatic Stress Disorder). Further
understanding of the beta-catenin pathway may lead to better appreciation for the
structural mechanisms underlying learning and memory as well as provide novel
therapeutic approaches in memory related disorders. This article is part of a
Special Issue entitled 'Anxiety and Depression'.
PMID- 21903111
TI - A time-dependent role of midline thalamic nuclei in the retrieval of fear memory.
AB - Increasing evidence indicates that the medial prefrontal cortex (mPFC) and the
amygdala mediate expression and extinction of conditioned fear, but few studies
have examined the inputs to these structures. The dorsal part of the midline
thalamus (dMT) contains structures such as the mediodorsal nucleus,
paraventricular nucleus, and paratenial nucleus that project prominently to mPFC,
as well as to basal (BA) and central (Ce) nuclei of the amygdala. Using temporary
inactivation with GABA agonist muscimol, we found that dMT was necessary for
retrieving auditory fear memory that was 24 h old, but not 2-8 h old. However,
pre-training infusions did not impair fear acquisition or extinction. To
determine the possible targets of dMT that might modulate fear retrieval, we
combined dMT inactivation with Fos immunohistochemistry. Rats with inactivation
induced impairment in fear retrieval showed increased Fos in the lateral division
of Ce (CeL), and decreased Fos in the medial division of Ce. No differences in
Fos expression were observed in the mPFC or BA. We suggest that the projections
from the paraventricular nucleus to CeL are involved in retrieval of well
consolidated fear memories. This article is part of a Special Issue entitled
'Anxiety and Depression'.
PMID- 21903112
TI - Intact attentional processing but abnormal responding in M1 muscarinic receptor
deficient mice using an automated touchscreen method.
AB - Cholinergic receptors have been implicated in schizophrenia, Alzheimer's disease,
Parkinson's disease, and Huntington's disease. However, to better target
therapeutically the appropriate receptor subsystems, we need to understand more
about the functions of those subsystems. In the current series of experiments, we
assessed the functional role of M(1) receptors in cognition by testing M(1)
receptor-deficient mice (M1R(-/-)) on the five-choice serial reaction time test
of attentional and response functions, carried out using a computer-automated
touchscreen test system. In addition, we tested these mice on several tasks
featuring learning, memory and perceptual challenges. An advantage of the
touchscreen method is that each test in the battery is carried out in the same
task setting, using the same types of stimuli, responses and feedback, thus
providing a high level of control and task comparability. The surprising finding,
given the predominance of the M(1) receptor in cortex, was the complete lack of
effect of M(1) deletion on measures of attentional function per se. Moreover,
M1R(-/-) mice performed relatively normally on tests of learning, memory and
perception, although they were impaired in object recognition memory with, but
not without an interposed delay interval. They did, however, show clear
abnormalities on a variety of response measures: M1R(-/-) mice displayed fewer
omissions, more premature responses, and increased perseverative responding
compared to wild-types. These data suggest that M1R(-/-) mice display abnormal
responding in the face of relatively preserved attention, learning and
perception.
PMID- 21903113
TI - Biodistribution and brain permeability of the extracellular domain of neuregulin
1-beta1.
AB - Neuregulin-1 (NRG1) belongs to a large family of growth and differentiation
factors with a key role in the development and maintenance of the brain. Genetic
association of NRG1 within brain disorders such as Alzheimer's disease,
schizophrenia and neuroprotective properties of certain NRG1 isoforms have led to
a variety of studies in corresponding disease models. In the present work, we
investigated NRG1 with regard to its peripheral and central biodistribution after
systemic application. We first-time radiolabeled the entire biologically active
extracellular domain of NRG1 isotype-beta1 (NRG1-beta1 ECD; aa 2-246) with iodine
125 and administered it peripherally to healthy adult C57Bl6 mice. Blood kinetics
and relative organ distribution of (125)I-labeled NRG1-beta1 ECD were determined.
The blood level of NRG1-beta1 ECD peaked within the first hour after
intraperitoneal (i.p.) application. The brain-blood ratios of (125)I-labeled NRG1
beta1 ECD were time-dependently 150-370% higher compared to the brain impermeable
control, (131)I-labeled bovine serum albumin. Autoradiographs of brain slices
demonstrated that (125)I-labeled NRG1-beta1 ECD accumulated in several regions of
the brain e.g. frontal cortex, striatum and ventral midbrain containing the
substantia nigra. In addition we found histochemical and biochemical evidence
that phosphorylation of the NRG1 prototype receptor ErbB4 was increased in these
regions after systemic application of NRG1-beta1 ECD. Our data suggest that NRG1
beta1 ECD passes the blood-brain barrier and activates cerebral ErbB4 receptors.
PMID- 21903114
TI - Social stress-induced hypothyroidism is attenuated by antidepressant treatment in
rats.
AB - Although serotonergic system has been classically implicated in mood modulation,
there has been relatively little study on the relationship between this system
and thyroid hormones (TH) economy in stress models. When TH are studied, the
effects of stress on thyroid function seems to be complex and depend on the kind
and time of stress which counts for the elusiveness of mechanisms underlying
changes in TH economy. Herein, we hypothesized that serum TH are affected in a
time-dependent fashion after repeated social stressful stimuli and serotonergic
system is implicated in these changes. Therefore, we aimed to investigate the
possible alterations in thyroid hormone economy and type 1 (D1) and type 2 (D2)
deiodinase activity in a model of social defeat stress. Thereafter, we tested the
responsiveness of these changes to fluoxetine treatment. Both short (STS) and a
long-term (LTS) stress were performed. Blood samples were drawn just before and 1
(STS) or 4 and 8 weeks (LTS) after the beginning of stress to assess serum T4, T3
and corticosterone. Deiodinases activity was assessed at the end of each
protocol. Stress-induced behavior studied in open field arena and
hypercorticosteronemia were mainly observed in LTS (week 4). Stress-induced
behavior was associated to hypothyroidism which occurred before, since week 1 in
stressed group. Serum TH was restored to control levels in week 8, when behavior
changes were not observed anymore, and was mainly associated with high brown
adipose tissue D2 activity since thyroid and liver D1 activity were low or normal
in the STS and LTS respectively in stressed rats compared to control.
Antidepressant study revealed that fluoxetine treatment (10mg/kg po during four
weeks) fully reversed stress-induced behavior and normalized serum T4, but not T3
levels and hypercorticosteronemia in stressed group compared to control. The
current work adds new concepts concerning TH metabolism changes induced by social
stress and suggests that serotonergic system impairment may take part in the key
events which ultimately lead to hypothyroxinemia and behavioral changes induced
by chronic social defeat. This article is part of a Special Issue entitled
'Anxiety and Depression'.
PMID- 21903115
TI - Involvement of the mammalian target of rapamycin signaling in the antidepressant
like effect of group II metabotropic glutamate receptor antagonists.
AB - Growing evidence has indicated that the blockade of group II metabotropic
glutamate (mGlu2/3) receptor exerts antidepressant-like effects in several animal
models of depression. However, the molecular mechanisms underlying the action of
mGlu2/3 receptor antagonists are not well understood. Here, we investigated the
involvement of mammalian target of rapamycin (mTOR) signaling in the acute and
sustained antidepressant-like effects of mGlu2/3 receptor antagonists such as
(1R, 2R, 3R, 5R, 6R)-2-amino-3-(3,4-dichlorobenzyloxy)-6
fluorobicyclo[3.1.0]hexane-2,6-dicarboxylic acid (MGS0039) and (2S)-2-amino-2
[(1S,2S)-2-carboxycycloprop-1-yl]-3-(xanth-9-yl) propanoic acid (LY341495). Mice
were subjected to a tail suspension test (TST) to assess the acute and sustained
antidepressant-like effects. We evaluated the effect of rapamycin, an mTOR
antagonist, on the acute and sustained antidepressant-like effects of mGlu2/3
receptor antagonists. Both MGS0039 and LY341495 exerted antidepressant-like
effects, as evaluated using the TST; these effects were sustained for 24 h.
Pretreatment with rapamycin blocked the sustained, but not the acute,
antidepressant-like effects of mGlu2/3 receptor antagonists, as observed in
ketamine. The present result suggests that the blockade of the mGlu2/3 receptor
may activate mTOR signaling, and that the activation of mTOR signaling may
contribute to the sustained antidepressant-like effects of mGlu2/3 receptor
antagonists.
PMID- 21903116
TI - Absence of tolerance to the anticonvulsant and neuroprotective effects of
imidazenil against DFP-induced seizure and neuronal damage.
AB - The clinical use of diazepam or midazolam to control organophosphate (OP) nerve
agent-induced seizure activity is limited by their unwanted effects including
sedation, amnesia, withdrawal, and anticonvulsant tolerance. Imidazenil is an
imidazo-benzodiazepine derivative with high intrinsic efficacy and selectivity
for alpha2-, alpha3-, and alpha5- but low intrinsic efficacy for alpha1
containing GABA(A) receptors. We have previously shown that imidazenil is more
efficacious than diazepam at protecting rats and mice from diisopropyl
fluorophosphate (DFP)-induced seizures and neuronal damage without producing
sedation. In the present study, we compared the tolerance liability of imidazenil
and diazepam to attenuate the seizure activity and neurotoxic effects of DFP.
Rats received protracted (14 days) oral treatment with increasing doses of
imidazenil (1-4 mg/kg), diazepam (5-20 mg/kg), or vehicle. Eighteen hours after
the last dose of the protracted treatment schedule, rats were tested for
anticonvulsant tolerance after a 30 min pretreatment with a single test dose of
imidazenil (0.5 mg/kg) or diazepam (5 mg/kg) prior to a DFP challenge (1.5
mg/kg). The anticonvulsant (modified Racine score scale) and neuroprotective
(fluoro-jade B staining) effects of diazepam were significantly reduced in
protracted diazepam-treated animals whereas the effects of imidazenil were not
altered in protracted imidazenil-treated animals. The present findings indicate
that protracted imidazenil treatment does not produce tolerance to its protective
action against the neurotoxic effects of OP exposure.
PMID- 21903117
TI - Chronic treatment with the opioid antagonist naltrexone favours the coupling of
spinal cord MU-opioid receptors to Galphaz protein subunits.
AB - Sustained administration of opioid antagonists to rodents results in an enhanced
antinociceptive response to agonists. We investigated the changes in spinal MU
opioid receptor signalling underlying this phenomenon. Rats received naltrexone
(120 MUg/h; 7 days) via osmotic minipumps. The antinociceptive response to the MU
agonist sufentanil was tested 24 h after naltrexone withdrawal. In spinal cord
samples, we determined the interaction of MU-receptors with Galpha proteins
(agonist-stimulated [(35)S]GTPgammaS binding and immunoprecipitation of
[(35)S]GTPgammaS-labelled Galpha subunits) as well as MU-opioid receptor
dependent inhibition of the adenylyl cyclase (AC) activity. Chronic naltrexone
treatment augmented DAMGO-stimulated [(35)S]GTPgammaS binding, potentiated the
inhibitory effect of DAMGO on the AC/cAMP pathway, and increased the inverse
agonist effect of naltrexone on cAMP accumulation. In control rats, the
inhibitory effect of DAMGO on cAMP production was antagonized by pertussis toxin
(PTX) whereas, after chronic naltrexone, the effect became resistant to the
toxin, suggesting a coupling of MU-receptors to PTX-insensitive Galpha(z)
subunits. Immunoprecipitation assays confirmed the transduction switch from
Galpha(i/o) to Galpha(z) proteins. The consequence was an enhancement of the
antinociceptive response to sufentanil that, in consonance with the neurochemical
data, was prevented by Galpha(z)-antisense oligodeoxyribonucleotides but not by
PTX. Such changes in opioid receptor signalling can be a double-edged sword. On
the one hand, they may have potential applicability to the optimisation of the
analgesic effects of opioid drugs for the control of pain. On the other hand,
they represent an important homeostatic dysregulation of the endogenous opioid
system that might account for undesirable effects in patients chronically treated
with opioid antagonists. This article is part of a Special Issue entitled 'Post
Traumatic Stress Disorder'.
PMID- 21903118
TI - SEA0400, a specific Na+/Ca2+ exchange inhibitor, prevents dopaminergic
neurotoxicity in an MPTP mouse model of Parkinson's disease.
AB - We have recently shown that the Na(+)/Ca(2+) exchanger (NCX) is involved in
nitric oxide (NO)-induced cytotoxicity in cultured astrocytes and neurons.
However, there is no in vivo evidence suggesting the role of NCX in
neurodegenerative disorders associated with NO. NO is implicated in the
pathogenesis of neurodegenerative disorders such as Parkinson's disease. This
study examined the effect of SEA0400, the specific NCX inhibitor, on 1-methyl-4
phenyl-1,2,3,6-tetrahydropyridine (MPTP)-induced dopaminergic neurotoxicity, a
model of Parkinson's disease, in C57BL/6J mice. MPTP treatment (10 mg/kg, four
times at 2-h intervals) decreased dopamine levels in the midbrain and impaired
motor coordination, and these effects were counteracted by S
methylthiocitrulline, a selective neuronal NO synthase inhibitor. SEA0400
protected against the dopaminergic neurotoxicity (determined by dopamine levels
in the midbrain and striatum, tyrosine hydroxylase immunoreactivity in the
substantia nigra and striatum, striatal dopamine release, and motor deficits) in
MPTP-treated mice. SEA0400 had no radical-scavenging activity. SEA0400 did not
affect MPTP metabolism and MPTP-induced NO production and microglial activation,
while it attenuated MPTP-induced increases in extracellular signal-regulated
kinase (ERK) phosphorylation and lipid peroxidation product, thiobarbituric acid
reactive substance. These findings suggest that SEA0400 protects against MPTP
induced neurotoxicity probably by blocking ERK phosphorylation and lipid
peroxidation which are downstream of NCX-mediated Ca(2+) influx.
PMID- 21903119
TI - Slow perceptual processing at the core of developmental dyslexia: a parameter
based assessment of visual attention.
AB - The cognitive causes as well as the neurological and genetic basis of
developmental dyslexia, a complex disorder of written language acquisition, are
intensely discussed with regard to multiple-deficit models. Accumulating evidence
has revealed dyslexics' impairments in a variety of tasks requiring visual
attention. The heterogeneity of these experimental results, however, points to
the need for measures that are sufficiently sensitive to differentiate between
impaired and preserved attentional components within a unified framework. This
first parameter-based group study of attentional components in developmental
dyslexia addresses potentially altered attentional components that have recently
been associated with parietal dysfunctions in dyslexia. We aimed to isolate the
general attentional resources that might underlie reduced span performance, i.e.,
either a deficient working memory storage capacity, or a slowing in visual
perceptual processing speed, or both. Furthermore, by analysing attentional
selectivity in dyslexia, we addressed a potential lateralized abnormality of
visual attention, i.e., a previously suggested rightward spatial deviation
compared to normal readers. We investigated a group of high-achieving young
adults with persisting dyslexia and matched normal readers in an experimental
whole report and a partial report of briefly presented letter arrays. Possible
deviations in the parametric values of the dyslexic compared to the control group
were taken as markers for the underlying deficit. The dyslexic group showed a
striking reduction in perceptual processing speed (by 26% compared to controls)
while their working memory storage capacity was in the normal range. In addition,
a spatial deviation of attentional weighting compared to the control group was
confirmed in dyslexic readers, which was larger in participants with a more
severe dyslexic disorder. In general, the present study supports the relevance of
perceptual processing speed in disorders of written language acquisition and
demonstrates that the parametric assessment provides a suitable tool for
specifying the underlying deficit within a unitary framework.
PMID- 21903120
TI - Hypnosis meets neuropsychology: simulating visuospatial neglect in healthy
participants.
AB - Neglect patients are not aware of stimuli in the contralesional space. We aimed
to simulate neglect-like behaviour in healthy participants, by asking them to
orient their visuospatial attention in two conditions: non-hypnotic suggestion
and post-hypnotic suggestion. Results showed that directing visuospatial
attention to one side of space caused neglect of stimuli in the opposite side of
space, but only when participants were under post-hypnotic suggestion.
Furthermore, directing visuospatial attention to the right side of space caused
more neglect of left-sided stimuli than directing visuospatial attention to the
left side of space did for right-sided stimuli. We propose that post-hypnotic
suggestion can be a useful tool for (de)activating neurocognitive mechanisms
underlying visuospatial awareness, a function that is fundamental for our
survival. The use of post-hypnotic suggestion could be applied to the study of
many domains of cognitive neurosciences (e.g., neurocognitive rehabilitation).
PMID- 21903121
TI - Head tilt in rats during exposure to a high magnetic field.
AB - During exposure to high strength static magnetic fields, humans report vestibular
symptoms such as vertigo, apparent motion, and nausea. Rodents also show signs of
vestibular perturbation after magnetic field exposure at 7 tesla (T) and above,
such as locomotor circling, activation of vestibular nuclei, and acquisition of
conditioned taste aversions. We hypothesized that the acute effects of the
magnetic field might be seen as changes in head position during exposure within
the magnet. Using a yoked restraint tube that allowed movement of the head and
neck, we found that rats showed an immediate and persistent deviation of the head
during exposure to a static 14.1 T magnetic field. The direction of the head tilt
was dependent on the orientation of the rat in the magnetic field (B), such that
rats oriented head-up (snout towards B+) showed a rightward tilt of the head,
while rats oriented head-down (snout towards B-) showed a leftward tilt of the
head. The tilt of the head during magnet exposure was opposite to the direction
of locomotor circling immediately after exposure observed previously. Rats
exposed in the yoked restraint tube showed significantly more locomotor circling
compared to rats exposed with the head restrained. There was little difference in
CTA magnitude or extinction rate, however. The deviation of the head was seen
when the rats were motionless within the homogenous static field; movement
through the field or exposure to the steep gradients of the field was not
necessary to elicit the apparent vestibulo-collic reflex.
PMID- 21903122
TI - Relationships between early experience to dietary diversity, acceptance of novel
flavors, and open field behavior in sheep.
AB - This study determined whether early experiences by sheep to monotonous or diverse
diets influence: (1) plasmatic profiles of cortisol, a hormone involved in stress
responses by mammals, before and after an ACTH challenge, (2) the readiness to
eat new foods in a new environment, (3) general fearfulness and response to
separation--as measured by the open field test (OFT) and stress induced
hyperthermia (SIH)--and (4) the link between (2) and (3). Thirty, 2-mo-old lambs
were randomly assigned to 3 treatments (10 lambs/treatment). Lambs in one
treatment (Diversity--DV) received in successive periods of exposure all possible
4-way choice combinations of 2 foods high in energy and 2 foods high in protein
from an array of 6 foods: 3 high in energy (beet pulp, oat grain, and a mix of
grape pomace:milo [40:60]) and 3 high in protein (soybean meal, alfalfa, corn
gluten meal). Lambs in another treatment (DV+T) received the same exposure
described for DV but two phytochemicals, oxalic acid (1.5%) and quebracho tannins
(10%) were randomly added within any period of exposure to foods high in energy
or to foods high in protein. Lambs in the third treatment (Monotony--MO) received
a monotonous balanced ration containing all 6 foods fed to the other groups.
After exposure, lambs were offered a choice of the aforementioned 6 foods (DV;
DV+T) or the monotonous diet (MO). Lambs were intravenously injected with ACTH 1
h after food presentation, and sampled at 1, 2, and 3 h post feeding for
determinations of plasma cortisol concentrations. Reluctance to eat novel
flavored foods (onion-, coconut- and cinnamon-flavored wheat bran), open field
behavior, and SIH was assessed in all treatments. Lambs in MO showed greater
concentrations of plasma cortisol 1 h after food presentation than lambs in the
DV or DV+T treatments (P=0.04). However, the difference was small and no
differences among treatments were detected after an ACTH challenge (P>0.1). Lambs
in DV consumed more onion-flavored wheat bran than lambs in MO (P=0.05). Lambs in
DV also showed a greater cumulative consumption of novel flavors on d 2 than
lambs in MO (treatment*day; P=0.01). Lambs in DV showed lower increase in rectal
temperature (P=0.07) than lambs in MO. Only lambs in DV exhibited a positive
relationship between consumption of cinnamon-flavored wheat bran and attempts of
escape (R(2)=0.58; P=0.02). Our results suggest that exposure to diverse foods
early in life may be less stressful than exposure to monotonous rations, as
measured by plasma cortisol concentrations after food ingestion, and by changes
in rectal temperature after exposure to the OFT. Lambs exposed to diverse diets
early in life may also increase the initial acceptance of new flavors in novel
environments relative to lambs exposed early in life to monotonous diets.
PMID- 21903123
TI - Feeding behaviour after injection of alpha-adrenergic receptor agonists into the
median raphe nucleus of food-deprived rats.
AB - This study investigated the participation of median raphe nucleus (MnR) alpha1
adrenergic receptors in the control of feeding behaviour. The alpha1-adrenergic
agonist phenylephrine (PHE) and alpha2-adrenergic agonist clonidine (CLON) (at
equimolar doses of 0, 6 and 20 nmol) were injected into the MnR of: a) rats
submitted to overnight fasting (18 h); or b) rats maintained with 15 g of lab
chow/day for 7 days. Immediately after the drug injections, the animals were
placed in the feeding chamber and feeding and non-ingestive behaviours such as
grooming, rearing, resting, sniffing and locomotion were recorded for 30 min. The
results showed that both doses of PHE injected into the MnR of overnight fasted
animals decreased food intake accompanied by an increase in the latency to start
feeding. A reduction in feeding duration was observed only after treatment of the
MnR with the 20 nmol dose of PHE. Both locomotion duration and sniffing frequency
increased after injection with the highest dose PHE into the MnR. Feeding
frequency and the other non-ingestive behaviours remained unchanged after PHE
treatment in the MnR. Both doses of PHE injected into the MnR of food-restricted
rats decreased food intake. This hypophagic response was accompanied by a
decrease in feeding duration only after treatment of the MnR with the highest
dose of PHE. The latency to start feeding and feeding frequency were not affected
by injection of either dose of PHE into the MnR. While both doses of PHE
increased sniffing duration, the highest dose of PHE increased resting duration
and resting frequency. Treatment with CLON into the MnR did not affect feeding
behaviour in either of the food deprivation conditions. The present results
indicate the inhibitory functional role of alpha1-adrenergic receptors within the
MnR on feeding behaviour.
PMID- 21903124
TI - Development of affinity to the stockperson in lambs from two breeds.
AB - The interactions between genetic and environmental factors on the development of
lamb affinity to humans was assessed on 48 animals from two breeds (Gentile di
Puglia and Comisana) and two treatments (Gentled and Not Gentled) producing 4
groups (GPg, GPng, Cg, Cng, respectively). The Gentile di Puglia and Comisana
gentled animals were subjected to a period of training consisting of gently
handling each lamb for 5 min three times a day for the first week and then twice
a week for three additional weeks. The gentling procedure included both tactile
and visual/auditory interactions. At 30-32 days of age lambs were subjected to
three arena tests conducted in a novel environment: i) isolation test (each
animal was exposed to a novel environment, and isolated from tactile and visual
contact with conspecifics for 5 min), ii) stationary human test (as previously
but a human sitting in a corner of the pen), and iii) pen-mates' test (each
animal was tested in the presence of 2 pen-mates whose behaviour was not
recorded). During the 13 training sessions lambs exhibited an increasing number
of contacts with the human and a decreasing number of bleats (P<0.001), although
Gentile di Puglia lambs interacted more with the human (P<0.001) and tended to
bleat less (P<0.10) than Comisana lambs. Lambs vocalised more and climbed more
when tested in isolation as compared with lambs tested either with the human or
with the pen-mates (P<0.01) and they vocalised more in the presence of the human
than with the pen-mates (P<0.001). A higher number of contacts with the human
stimulus was observed in gentled animals (P<0.05). However, differences between
gentled and not gentled animals were only significant in Gentile di Puglia
subjects (P<0.01). GPng lambs displayed the longest ambulatory activity during
the isolation test (P<0.05) and exhibited more climbing attempts during the
isolation test as compared with the human or the pen-mates' tests (P<0.001). A
higher cortisol level was shown by GPng lambs in comparison with Cng subjects
during the stationary human test (P<0.01), whereas no differences were detected
between the two gentled groups. Gentling determined an improvement of the quality
of human animal relationship in more reactive breeds such as Gentile di Puglia
sheep.
PMID- 21903125
TI - The effects of levofloxacin on rabbit anterior cruciate ligament cells in vitro.
AB - Articular cartilage, epiphyseal growth plate and tendons have been recognized as
targets of fluoroquinolone-induced connective tissue toxicity. The effects of
fluoroquinolones on ligament tissues are still unknown. The aim of this study was
to investigate the effects of levofloxacin, a typical fluoroquinolone antibiotic
drug, on rabbit anterior cruciate ligament (ACL) cells in vitro. Rabbit ACL cells
were treated with levofloxacin at different concentrations (0, 14, 28, 56, 112
and 224 MUM) and were assessed to determine the possible cytotoxic effects of
levofloxacin on ACL cells. Levofloxacin, with concentrations ranging from 28 to
224 MUM, induced dose-dependent ACL cell apoptosis. Characteristic markers of
programmed cell death and degenerative changes were identified by electron
microscopy in the ACL cells treated with 28 MUM of levofloxacin. Moreover,
levofloxacin significantly increased the mRNA expression of matrix
metalloproteinase 3 (MMP-3) and MMP-13 and decreased the expression of tissue
inhibitors of metalloproteinase 1 (TIMP-1) in a concentration-dependent manner;
TIMP-3 and collagen type I alpha 1 (Col1A1) mRNA expression was not affected.
Immunocytochemical analysis indicated that levofloxacin markedly increased the
expression of active caspase-3 within a concentration range of 28 to 224 MUM,
whereas a clear-cut decrease in Col1A1 expression was found with levofloxacin
treatment concentrations of 112 and 224 MUM, compared to controls. Our data
suggest that levofloxacin has cytotoxic effects on ACL cells characterized by
enhanced apoptosis and decreased extracellular matrix, which suggest a potential
adverse effect of fluoroquinolones.
PMID- 21903126
TI - Isolation and identification of a snake venom metalloproteinase inhibitor from
California ground squirrel (Spermophilus beecheyi) blood sera.
AB - California ground squirrels (Spermophilus beecheyi) show blood-based defenses to
a variety of toxins in the venom of the Northern Pacific rattlesnake (Crotalus
oreganus oreganus). In this study we demonstrate the presence of an effective
snake venom metalloproteinase inhibitor (SVMPI) in S. beecheyi. The blood sera of
California ground squirrels were effective at reducing the metalloproteinase
activity of Northern Pacific (C. o. oreganus) and prairie rattlesnake (Crotalus
viridis viridis) venoms by over 75%, significantly more than its ability to
reduce the activity of western diamondback rattlesnake venom. We used anion
exchange and affinity chromatography to isolate this protein from the blood sera
of S. beecheyi. This SVMPI had a molecular mass of 108.3 kDa and a pI of 5.1. The
IC(50) of this inhibitor against whole venom from C. o. oreganus was determined
to be 3.14 * 10(-8) M. Subsequent LC MS/MS analysis of a CNBr/tryptic digest of
the inhibitor yielded multiple internal peptide sequences. These sequences showed
homology to three other known mammalian plasma proteins: inter-alpha trypsin
inhibitor, and two hibernation-associated proteins, HP25 and HP27. The presence
of SVMPI in S. beecheyi blood sera is consistent with the resistance of these
animals to venom-induced hemorrhage and tissue damage, and consistent with the
protective factors conferring venom resistance in other mammals. However, the
variety of SVMPI identified to date from mammalian taxa suggests that different
species have converged on neutralization of venom metalloproteinase activity as a
key step in venom neutralization.
PMID- 21903127
TI - Parametric and pharmacological modulations of latent inhibition in mouse inbred
strains.
AB - Latent inhibition (LI) is a cross species selective attention phenomenon, which
is disrupted by amphetamine and enhanced by antipsychotic drugs (APDs).
Accumulating data of LI in gene-modified mice as well as in mouse inbred strains
suggest genetic component of LI. Here we study modulation of LI in mouse inbred
strains with spontaneously disrupted LI by parametric manipulations (number of
pre-exposures and conditioning trials) and pharmacological treatments with
antipsychotics and NMDA modulator, D-serine. C3H/He and CBA/J inbred mice showed
disrupted LI under conditions with 40 pre-exposures (PE) and 2 trials of the
conditioned stimulus-unconditioned stimulus (CS-US) due to either loss of the pre
exposure effect or a ceiling effect of poor learning, respectively. The increased
number of pre-exposures and/or number of conditioning trials corrected expression
of LI in these inbred mice. The disrupted LI was also reversed by haloperidol in
both inbred strains at 1.2 mg/kg but not at 0.4 mg/kg, as well as by clozapine
(at 3 mg/kg in C3H/He and at 9 mg/kg in CBA/J mice). D-serine potentiated LI in
C3H/He mice at 600 mg/kg, but not in the CBA/J at both studied doses (600 and
1800 mg/kg). Desipramine (10 mg/kg) had no effect on LI in both inbred mouse
strains. Our findings demonstrated some resemblance between the effects of
parametric and pharmacological manipulations on LI, suggesting that APDs may
affect the capacity of the brain processes environmental stimuli in LI. Taken
together, LI may offer a translational strategy that allows prediction of drug
efficacy for cognitive impairments in schizophrenia.
PMID- 21903128
TI - Aromatic interactions at atom-to-atom contact and just beyond: a case study of
protein interactions of NAD+/NADP+.
AB - We probed aromatic-protein interactions based on specificity of enrichment of
protein residues across a contact-based cutoff. Thus, 155 protein-NAD(+)/NADP(+)
complexes were analyzed for enrichments within 10A of centroids of aromatic
groups of the ligand when the residues were contacted and not contacted with the
aromatic ligand. Specifically, neutral-adenine and cationic-nicotinamide groups
of the oxidized coenzymes evoked interest to know whether the contrast of charge
or the shared aromaticity will manifest in the enrichments across the cutoff. We
found that when in contact, the enrichments are highly specific for nicotinamide
and adenine-aromatic structures, and thus possibly complex in the basis, but when
not in contact, they are generic for charge and aromaticity of the structures,
and thus possibly specific in the basis. The order of enrichments over the
contacted residues is Tyr>Cys>Thr>His>Asn>Ser>Met>Ile>Phe against nicotinamide
pi(+) structure and Asp>Ile>Thr>His>Arg>Tyr>Gly>Val against adenine-pi structure,
while the order over the non-contacted residues is
Trp>Gly>His>Asn>Cys>Met>Tyr>Ser>Thr>Phe against nicotinamide-pi(+) structure and
Asn>Thr>Ser>Gly>Cys>His>Val against adenine-pi structure. Neutral Trp, His, Tyr,
and Phe, but not cationic Arg, are thus the non-contacted residues enriched
specifically against nicotinamide-pi(+) structure, while Asn, Gly, Thr, Ser, and
Cys are the non-contacted residues enriched generically against both the
nicotinamide-pi(+) and adenine-pi aromatic structures. By analyzing the enriched
groups in their geometric specificities, we found that, the enrichments against
nicotinamide cation manifest the specificity expected of cation-pi interaction
and against nicotinamide- and adenine-aromatic groups manifest the specificity
expected of dipole-pi interaction. The cutoff-based method is proven valuable in
probing protein-ligand interactions in the physics involved.
PMID- 21903129
TI - Sugarcane Hsp101 is a hexameric chaperone that binds nucleotides.
AB - The Clp/Hsp100 AAA+ chaperone family is involved in recovering aggregated
proteins and little is known about other orthologs of the well studied ClpB from
Escherichia coli and Hsp104 from Saccharomyces cerevisiae. Plant Hsp101 is a good
model for understanding the relationship between the structure and function of
Hsp100 proteins and to investigate the role of these chaperones in disaggregation
processes. Here, we present the cloning and purification of a sugarcane ortholog,
SHsp101, which is expressed in sugarcane cells and is a folded hexamer that is
capable of binding nucleotides. Thus SHsp101 has the structural and functional
characteristics of the Clp/Hsp100 AAA+ family.
PMID- 21903130
TI - Polymer hydrogel from carboxymethyl guar gum and carbon nanotube for sustained
trans-dermal release of diclofenac sodium.
AB - Novel carboxymethyl guar gum (CMG)-chemically modified multiwalled carbon
nanotube (MCNT) hybrid hydrogels were synthesized at different MCNT levels as
potential device for sustained trans-dermal release of diclofenac sodium.
Spectroscopy together with morphology, thermogravimetry, and rheological studies
proved relatively strong CMG-MCNT interaction at 0.5 and 1 wt% levels of MCNT
whereas de-wetting was increased with higher MCNT concentration. Drug
encapsulation tendency increased with addition of MCNT; maximum entrapment was
noticed at 1 wt% MCNT level. Hydrogels containing 0.5, 1 and 3 wt% MCNT exhibited
slower trans-dermal release than neat CMG due to slightly higher gel viscosity
and more drug entrapment. Slowest but steady release was obtained from 1 wt% MCNT
loaded hydrogel due to highest viscous resistance among all other hybrid
nanocomposites.
PMID- 21903132
TI - A simple miniature device for wireless stimulation of neural circuits in small
behaving animals.
AB - The use of wireless neural stimulation devices offers significant advantages for
neural stimulation experiments in behaving animals. We demonstrate a simple, low
cost and extremely lightweight wireless neural stimulation device which is made
from off-the-shelf components. The device has low power consumption and does not
require a high-power RF preamplifier. Neural stimulation can be carried out in
either a voltage source mode or a current source mode. Using the device, we carry
out wireless stimulation in the premotor brain area HVC of a songbird and
demonstrate that such stimulation causes rapid perturbations of the acoustic
structure of the song.
PMID- 21903133
TI - TLR-induced activation of neutrophils promotes histamine production via a PI3
kinase dependent mechanism.
AB - Histamine is a bioactive amine that exerts immunomodulatory functions, including
many allergic symptoms. It is preformed and stored in mast cells and basophils
but recent evidence suggests that other cell types produce histamine in an
inducible fashion. During infection, it has been suggested that neutrophils may
produce histamine. We also observed that histamine is released in a neutrophil
mediated LPS-induced model of acute lung injury. Therefore, we sought to examine
whether innate signals promote histamine production by neutrophils. Bone marrow
derived neutrophils stimulated with a range of TLR agonists secreted histamine in
response to LPS or R837, suggesting TLR4 or TLR7 are important. LPS-driven
histamine was enhanced by coculture with GM-CSF and led to a transient release of
histamine that peaked at 8h post stimulation. This was dependent upon de novo
synthesis of histamine, since cells derived from histidine decarboxylase (HDC)
deficient mice were unable to produce histamine but did generate reactive oxygen
species upon stimulation. Using pharmacological inhibitors, we show that
histamine production requires PI3 kinase, which has been shown to regulate other
neutrophil functions, including activation and selective granule release.
However, unlike mast cells, HDC deficiency did not alter the granule structure of
neutrophils, suggesting that histamine does not participate in granule integrity
in these cells. Consequently, our findings establish that neutrophils generate
histamine in response to a select panel of innate immune triggers and that this
might contribute to acute lung injury responses.
PMID- 21903134
TI - Interleukin 28B genotype determination using DNA from different sources: A simple
and reliable tool for the epidemiological and clinical characterization of
hepatitis C.
AB - Recent studies reported a close correlation between polymorphisms in the
Interleukin (IL)28B gene and rates of resolution of hepatitis C virus infection
occurring spontaneously or induced by treatment. The diagnostic utility of IL28B
genotype, however, is not understood completely. For rapid data collection on the
natural history of HCV infection in patients with different IL28B genotype,
simple, sensitive and rapid methods suitable for non-invasive and archival
clinical samples are needed urgently. A real-time polymerase chain reaction (PCR)
method for IL28B typing (rs12979860) was developed using very small DNA
quantities extracted from different biological specimens. Consistent IL28B
genotyping of at least two DNA samples obtained from different sources such as
whole blood, buccal swab, serum, and formalin fixed paraffin-embedded liver
tissue was obtained from 58 patients with liver disease of mixed etiology. IL28B
genotype prevalence in 170 patients with liver disease in this region of Italy
was consistent with data reported in Caucasian populations. Differential
distribution of genotypes was observed according to response to treatment in 68
patients infected with HCV, with higher prevalence of CC genotype in responders
(50%) compared to non-responders (17.85%; p=0.015). These results indicate that
the possibility of reliable IL28B genotyping using different DNA sources may
represent a useful tool for both clinical research and characterization of
patients with hepatitis C.
PMID- 21903135
TI - A multiplex calibrated real-time PCR assay for quantitation of DNA of EBV-1 and
2.
AB - Accurate and highly sensitive tests for the diagnosis of active Epstein-Barr
virus (EBV) infection are essential for the clinical management of individuals
infected with EBV. A calibrated quantitative real-time PCR assay for the
measurement of EBV DNA of both EBV-1 and 2 subtypes was developed, combining the
detection of the EBV DNA and a synthetic DNA calibrator in a multiplex PCR
format. The assay displays a wide dynamic range and a high degree of accuracy
even in the presence of 1MUg of human genomic DNA. This assay measures with the
same efficiency EBV DNA from strains prevalent in different geographic areas. The
clinical sensitivity and specificity of the system were evaluated by testing 181
peripheral blood mononuclear cell (PBMCs) and plasma specimens obtained from 21
patients subjected to bone marrow transplantation, 70 HIV-seropositive subjects
and 23 healthy controls. Patients affected by EBV-associated post-transplant
lymphoprolipherative disorders had the highest frequency of EBV detection and the
highest viral load. Persons infected with HIV had higher levels of EBV DNA load
in PBMCs and a higher frequency of EBV plasma viremia compared to healthy
controls. In conclusion, this new assay provides a reliable high-throughput
method for the quantitation of EBV DNA in clinical samples.
PMID- 21903136
TI - Rapid detection of the most common high-risk human papillomaviruses by loop
mediated isothermal amplification.
AB - Persistent infection with high-risk human papillomavirus (HPV) is a major risk
factor for development of cervical cancer. At present, polymerase chain reaction
(PCR)-based methods, the most widely molecular tools used for HPV detection, are
time-consuming and require expensive instruments. In this study, loop-mediated
isothermal amplification (LAMP) was established for detection of HPV types 16,
18, 45 and 58 which are frequently found in Thailand. The optimal condition for
detection of these high risk HPVs was 63 degrees C for 60min. Since a white
magnesium pyrophosphate precipitate is a characteristic by product of the LAMP
reaction which can be visualized directly by the naked eye, the entire assay time
of LAMP is 1h compared to 6-8h of for a nested PCR detection. The detection limit
of LAMP assay was shown to be equivalent to nested PCR that could amplify 10(2)
copies of HPV-18 and 10(3) copies of HPV 16, 45 and 58, as determined by either
turbidity detection or agarose gel electrophoresis. No cross-reaction was
observed, indicating that LAMP assay has high type-specificity. The assay showed
successful detection of HPV in 56 clinical specimens. Using nested PCR as the
gold standard, the sensitivity, specificity, negative predictive values and
positive predictive values of LAMP assay were 100%. In conclusion, LAMP assay is
a high efficiency, low cost diagnostic tool, useful for rapid, accurate, direct
detection of HPV for clinical diagnosis.
PMID- 21903137
TI - The periaqueductal gray as a critical site to mediate reward seeking during
predatory hunting.
AB - Previous studies using morphine-treated dams reported a role for the rostral
lateral periaqueductal gray (rlPAG) in the behavioral switching between nursing
and insect hunting, likely to depend on an enhanced seeking response to the
presence of an appetitive rewarding cue (i.e., the roach). To elucidate the
neural mechanisms mediating such responses, in the present study, we first
observed how the rlPAG influences predatory hunting in male rats. Our behavioral
observations indicated that bilateral rlPAG NMDA lesions dramatically interfere
with prey hunting, leaving the animal without chasing or attacking the prey, but
do not seem to affect the general levels of arousal, locomotor activity and
regular feeding. Next, using Phaseolus vulgaris-leucoagglutinin (PHA-L), we have
reviewed the rlPAG connection pattern, and pointed out a particularly dense
projection to the hypothalamic orexinergic cell group. Double labeled PHA-L and
orexin sections showed an extensive overlap between PHA-L labeled fibers and
orexin cells, revealing that both the medial/perifornical and lateral
hypothalamic orexinergic cell groups receive a substantial innervation from the
rlPAG. We have further observed that both the medial/perifornical and lateral
hypothalamic orexinergic cell groups up-regulate Fos expression during prey
hunting, and that rlPAG lesions blunted this Fos increase only in the lateral
hypothalamic, but not in the medial/perifornical, orexinergic group, a finding
supposedly associated with the lack of motivational drive to actively pursue the
prey. Overall, the present results suggest that the rlPAG should exert a critical
influence on reward seeking by activating the lateral hypothalamic orexinergic
cell group.
PMID- 21903138
TI - Rapamycin protects against middle cerebral artery occlusion induced focal
cerebral ischemia in rats.
AB - Stroke is a major cause of mortality and disability. The management with
thrombolytic therapy has to be initiated within 3-4 h and is associated with
limitations like increased risk of intracranial hemorrhage and progression of
cerebral injury. Immunophilin inhibitors such as cyclosporine A and tacrolimus
have been shown to afford neuroprotection by improving neurological functions and
infarct volume in models of ischemic stroke. In the present study, the effect of
rapamycin in middle cerebral artery occlusion (MCAo) model of ischemic stroke was
evaluated. Ischemic stroke was induced in rats by occluding the MCA using the
intraluminal thread. After 1 h of MCAo, animals were administered rapamycin (50,
150, 250 MUg/kg, i.p.). After 2 h of occlusion, reperfusion was done. Thirty
minutes after reperfusion, animals were subjected to diffusion-weighted magnetic
resonance imaging for assessment of protective effect of rapamycin. Twenty-four
hours after MCAo, motor performance was assessed, the animals were euthanized and
the brains were removed for estimation of malondialdehyde, glutathione, nitric
oxide and myeloperoxidase. Significant improvement was observed with rapamycin
150 and 250 MUg/kg in percent infarct area, apparent diffusion coefficient and
signal intensity as compared to vehicle treated group. Rapamycin treatment
ameliorated motor impairment associated with MCAo and significantly reversed the
changes in levels of malondialdehyde, glutathione, nitric oxide and
myeloperoxidase. The results of the present study indicate neuroprotective effect
of rapamycin in MCAo model of stroke. Therefore, rapamycin might be considered as
a therapeutic strategy for stroke management.
PMID- 21903139
TI - Corin-deficient W-sh mice poorly tolerate increased cardiac afterload.
AB - C57BL/6-Kit(W-sh/W-sh) mice are generally regarded as a mast cell-deficient
model, as they lack the necessary kit receptor for mast cell development. Further
characterization of this strain, however, indicates that C57BL/6-Kit(W-sh/W-sh)
mice also have a disruption in the Corin gene. Corin is a transmembrane serine
protease critical for processing atrial natriuretic peptide (ANP) from pro-ANP
through proteolytic cleavage. Pro-ANP is produced, stored and released by cardiac
myocytes in response to atrial stretch and the stress generated by increased
afterload such as increased ventricular pressure from aortic stenosis or
myocardial infarction. ANP inhibits the effects of the renin-angiotensin system
to preserve homeostasis under conditions of increased hemodynamic load, and
changes in the level of its activating enzyme Corin have been observed during the
progression to heart failure. Here, we investigate the effect of increased
hemodynamic load on Corin-deficient C57BL/6-Kit(W-sh/W-sh) mice. Ten-week old
male mice were subjected to transverse aortic constriction for 8 weeks and were
monitored for changes in cardiac structure and function by echocardiography.
Hearts were collected 8 weeks after surgery for molecular and histological
analyses. Corin-deficient C57BL/6-Kit(W-sh/W-sh) mice developed rapidly
progressive and substantial left ventricular dilation, hypertrophy, and markedly
impaired cardiac function during the 8 weeks after surgery, compared to wildtype
mice. Concomitant with this we observed increased levels of ANP transcript, but a
lack of prepro-ANP or pro-ANP protein in heart tissue extracted from Corin
deficient mice. Surprisingly, fibrosis was not increased in Corin-deficient mice
when compared to wildtype mice. These data indicate that Corin's involvement in
ANP processing is a key element in the heart's response to increased hemodynamic
load. Further, C57BL/6-Kit(W-sh/W-sh) strain is an effective model for
investigating the involvement of Corin and, conversely, a less than optimal model
for investigating mast cell, and immunological, functions in certain
cardiovascular pathologies.
PMID- 21903131
TI - G protein-coupled receptor kinases: more than just kinases and not only for
GPCRs.
AB - G protein-coupled receptor (GPCR) kinases (GRKs) are best known for their role in
homologous desensitization of GPCRs. GRKs phosphorylate activated receptors and
promote high affinity binding of arrestins, which precludes G protein coupling.
GRKs have a multidomain structure, with the kinase domain inserted into a loop of
a regulator of G protein signaling homology domain. Unlike many other kinases,
GRKs do not need to be phosphorylated in their activation loop to achieve an
activated state. Instead, they are directly activated by docking with active
GPCRs. In this manner they are able to selectively phosphorylate Ser/Thr residues
on only the activated form of the receptor, unlike related kinases such as
protein kinase A. GRKs also phosphorylate a variety of non-GPCR substrates and
regulate several signaling pathways via direct interactions with other proteins
in a phosphorylation-independent manner. Multiple GRK subtypes are present in
virtually every animal cell, with the highest expression levels found in neurons,
with their extensive and complex signal regulation. Insufficient or excessive GRK
activity was implicated in a variety of human disorders, ranging from heart
failure to depression to Parkinson's disease. As key regulators of GPCR-dependent
and -independent signaling pathways, GRKs are emerging drug targets and promising
molecular tools for therapy. Targeted modulation of expression and/or of activity
of several GRK isoforms for therapeutic purposes was recently validated in
cardiac disorders and Parkinson's disease.
PMID- 21903140
TI - Effect of dietary fatty acid composition on food intake, triglycerides, and
hypothalamic peptides.
AB - While a high-fat diet when compared to low-fat diet is known to produce
overeating and health complications, less is known about the effects produced by
fat-rich diets differing in their specific composition of fat. This study
examined the effects of a high-fat diet containing relatively high levels of
saturated compared to unsaturated fatty acids (HiSat) to a high-fat diet with
higher levels of unsaturated fatty acids (USat). A HiSat compared to USat meal
caused rats to consume more calories in a subsequent chow test meal. The HiSat
meal also increased circulating levels of triglycerides (TG) and expression of
the orexigenic peptides, galanin (GAL) in the hypothalamic paraventricular
nucleus (PVN) and orexin (OX) in the perifornical lateral hypothalamus (PFLH). A
similar increase in TG levels and PVN GAL and PFLH OX was also seen in rats given
chronic access to the HiSat compared to USat diet, while neuropeptide Y (NPY) and
agouti-related protein (AgRP) in the arcuate nucleus showed decreased expression.
The importance of TG in producing these changes was supported by the finding that
the TG-lowering medication gemfibrozil as compared to vehicle, when peripherally
administered before consumption of a HiSat meal, significantly decreased the
expression of OX, while increasing the expression of NPY and AgRP. These findings
substantiate the importance of the fat composition in a diet, indicating that
those rich in saturated compared to unsaturated fatty acids may promote
overeating by increasing circulating lipids and specific hypothalamic peptides,
GAL and OX, known to preferentially stimulate the consumption of a fat-rich diet.
PMID- 21903141
TI - Pharmacologic antagonism of ghrelin receptors attenuates development of nicotine
induced locomotor sensitization in rats.
AB - AIMS: Ghrelin (GHR) is an orexigenic gut peptide that interacts with ghrelin
receptors (GHR-Rs) to modulate brain reinforcement circuits. Systemic GHR
infusions augment cocaine stimulated locomotion and conditioned place preference
(CPP) in rats, whereas genetic or pharmacological ablation of GHR-Rs has been
shown to attenuate the acute locomotor-enhancing effects of nicotine, cocaine,
amphetamine and alcohol and to blunt the CPP induced by food, alcohol,
amphetamine and cocaine in mice. The stimulant nicotine can induce CPP and like
amphetamine and cocaine, repeated administration of nicotine induces locomotor
sensitization in rats. A key issue is whether pharmacological antagonism of GHR
Rs would similarly attenuate nicotine-induced locomotor sensitization. METHOD: To
examine the role of GHR-Rs in the behavioral sensitizing effects of nicotine,
adult male rats were injected with either 0, 3 or 6 mg/kg of the GHR-R receptor
antagonist JMV 2959 (i.p.) and 20 min later with either vehicle or 0.4 mg/kg
nicotine hydrogen tartrate (s.c.) on each of 7 consecutive days. RESULTS: Rats
treated with nicotine alone showed robust locomotor sensitization, whereas rats
pretreated with JMV 2959 showed significantly attenuated nicotine-induced
hyperlocomotion. CONCLUSIONS: These results suggest that GHR-R activity is
required for the induction of locomotor sensitization to nicotine and complement
an emerging literature implicating central GHR systems in drug
reward/reinforcement.
PMID- 21903142
TI - Differential effects of activating D1 and D2 receptors on electrophysiology of
neostriatal neurons in a rat model of Parkinson's disease induced by paraquat and
maneb.
AB - Neostriatum plays an important role in the pathophysiology of Parkinson's disease
(PD). However, the changes of sensitivity of dopamine receptors of neostriatal
neurons in PD have been less addressed in vivo. In the present study, systemic
exposure to paraquat and maneb induced Parkinsonian symptoms and neuronal loss of
substantia nigra pars compacta. Using single-unit recording methods, three types
of neostriatal neurons were recorded including medium spiny-like neurons, large
aspiny-like neurons and fast-spiking interneurons. In the exposed rats, increased
firing activity of neostriatal neurons was revealed when compared to control
rats. Following D1 receptor agonist, SKF38393 and D2 receptor agonist, LY171555
iontophoretically administrated respectively, effects of increase and decrease in
firing activity were both observed in neostriatal neurons. However, stronger
inhibitory effects of activating D1 receptors and weaker excitatory effects of
activating D2 receptors were found in the exposed rats as compared to controls.
It indicated that differential changes of sensitivity of D1 and D2 receptors in
Parkinson's disease were related to the modulation of the imbalance between D1
receptor-dependent striatonigral direct pathway and D2-receptor-dependent
striatopallidal indirect pathway. Our results illustrate the electrophysiological
changes of in vivo neostriatal neurons in Parkinson's disease, thereby providing
insight into the regulatory mechanisms of dopamine-mediated physiology.
PMID- 21903143
TI - Oxidation of galactomannan by laccase plus TEMPO yields an elastic gel.
AB - Chemical modifications of galactomannans are applied to improve and/or modify
their solubility, rheological and functional properties, but have limited
specificity and are often difficult to control. Enzymatic reactions, catalyzed
under mild process conditions, such as depolymerization, debranching and
oxidation, represent a viable and eco-friendly alternative. In this study, we
describe oxidation of guar galactomannan primary hydroxyl groups by a fungal
laccase using the stable radical TEMPO as mediator. Four fungal laccases were
investigated from: Trametes versicolor, Myceliophthora thermophila, Thielavia
arenaria, Cerrena unicolor. The laccase from T. versicolor was found to
efficiently oxidize TEMPO and to be free of mannanase side activity. Oxidation of
galactomannan with this enzyme plus TEMPO brought about a ten-fold increase in
viscosity of a guar galactomannan solution and altered its rheological profile,
by converting a viscous polysaccharide solution into an elastic gel. This
structural modification is presumably due to formation of inter-chain
hemiacetalic bonds between newly generated carbonyl groups and free OH groups,
yielding a cross-linked gel. These findings could be of practical importance,
considering that polysaccharides with high viscosity, gelling and elastic
properties can find interesting and novel applications as thickeners,
viscosifiers and emulsion stabilizers in several industrial applications such as:
personal care, oil operations, paper coating, paints, construction and mining.
PMID- 21903144
TI - Analysis and prediction of the physiological effects of altered coenzyme
specificity in xylose reductase and xylitol dehydrogenase during xylose
fermentation by Saccharomyces cerevisiae.
AB - An advanced strategy of Saccharomyces cerevisiae strain development for
fermentation of xylose applies tailored enzymes in the process of metabolic
engineering. The coenzyme specificities of the NADPH-preferring xylose reductase
(XR) and the NAD+-dependent xylitol dehydrogenase (XDH) have been targeted in
previous studies by protein design or evolution with the aim of improving the
recycling of NADH or NADPH in their two-step pathway, converting xylose to
xylulose. Yeast strains expressing variant pairs of XR and XDH that according to
in vitro kinetic data were suggested to be much better matched in coenzyme usage
than the corresponding pair of wild-type enzymes, exhibit widely varying
capabilities for xylose fermentation. To achieve coherence between enzyme
properties and the observed strain performance during fermentation, we explored
the published kinetic parameters for wild-type and engineered forms of XR and XDH
as possible predictors of xylitol by-product formation (Y(xylitol)) in yeast
physiology. We found that the ratio of enzymatic reaction rates using NADP(H) and
NAD(H) that was calculated by applying intracellular reactant concentrations to
rate equations derived from bi-substrate kinetic analysis, succeeded in giving a
statistically reliable forecast of the trend effect on Y(xylitol). Prediction
based solely on catalytic efficiencies with or without binding affinities for
NADP(H) and NAD(H) were not dependable, and we define a minimum demand on the
enzyme kinetic characterization to be performed for this purpose. An immediate
explanation is provided for the typically lower Y(xylitol) in the current strains
harboring XR engineered for utilization of NADH as compared to strains harboring
XDH engineered for utilization of NADP+. The known XDH enzymes all exhibit a
relatively high K(m) for NADP+ so that physiological boundary conditions are
somewhat unfavorable for xylitol oxidation by NADP+. A criterion of physiological
fitness is developed for engineered XR working together with wild-type XDH.
PMID- 21903146
TI - PEG-stabilized lipid disks as carriers for amphiphilic antimicrobial peptides.
AB - Antimicrobial peptides hold potential as a possible alternative, or complement,
to conventional antibiotics but new, safe and efficient means are needed for
formulation and administration of the peptides. In this study we have
investigated the utility of a novel type of lipid particles, the polyethylene
glycol-stabilized lipid disks, as carriers for the model peptide melittin. The
structural integrity of the carrier particle when loaded with the peptide was
investigated using cryo-transmission electron microscopy. Liposome leakage upon
addition of the peptide-lipid disks was monitored as a means to verify the
membrane lytic effect of the formulation. The susceptibility of melittin to
tryptic digestion was studied and compared in the absence and presence of lipid
disks. Finally, the antibacterial effect of the peptide-lipid disk formulation
was compared to that of free melittin after both single and repeated exposure to
Escherichia coli. The results show that melittin can redistribute from the disk
into a new host membrane and that formulation in the disks does not compromise
melittin's membrane permeabilizing ability. Further, the peptide was found to be
fully protected against degradation when bound to the disks. Time-kill
experiments revealed that all the antibacterial effect of melittin administered
in free form was gone after a single exposure to E. coli. In contrast, the disk
formulation showed significant cell-killing effect also upon a second exposure to
bacteria, indicating an extended release of peptide from the lipid disks. These
results suggest that the lipid disks constitute a new class of promising carriers
for peptide antibiotics.
PMID- 21903145
TI - Highly compacted DNA nanoparticles with low MW PEG coatings: in vitro, ex vivo
and in vivo evaluation.
AB - Highly compacted DNA nanoparticles, composed of single molecules of plasmid DNA
compacted with block copolymers of poly-l-lysine and 10kDa polyethylene glycol
(CK(30)PEG(10k)), mediate effective gene delivery to the brain, eyes and lungs in
vivo. Nevertheless, we found that CK(30)PEG(10k) DNA nanoparticles are
immobilized by mucoadhesive interactions in sputum that lines the lung airways of
patients with cystic fibrosis (CF), which would presumably preclude the efficient
delivery of cargo DNA to the underlying epithelium. We previously found that
nanoparticles can rapidly penetrate human mucus secretions if they are densely
coated with low MW PEG (2-5kDa), whereas nanoparticles with 10kDa PEG coatings
were immobilized. We thus sought to reduce mucoadhesion of DNA nanoparticles by
producing CK(30)PEG DNA nanoparticles with low MW PEG coatings. We examined the
morphology, colloidal stability, nuclease resistance, diffusion in human sputum
and in vivo gene transfer of CK(30)PEG DNA nanoparticles prepared using various
PEG MWs. CK(30)PEG(10k) and CK(30)PEG(5k) formulations did not aggregate in
saline, provided partial protection against DNase I digestion and exhibited the
highest gene transfer to lung airways following inhalation in BALB/c mice.
However, all DNA nanoparticle formulations were immobilized in freshly
expectorated human CF sputum, likely due to inadequate PEG surface coverage.
PMID- 21903147
TI - Oxytocin in the rat caudate nucleus influences pain modulation.
AB - Our previous studies have demonstrated that oxytocin (OXT) in the central nervous
system plays a role in pain modulation. Many studies have found that caudate
nucleus (CdN) enriches OXT and OXT receptors by the methods of
historadioautograph and gene expression. The communication was designed to
investigate OXT effect in the rat CdN on pain modulation. The results showed that
(1) intra-CdN microinjection of OXT receptor antagonist, desGly-NH(2),
d(CH(2))(5)[D-Tyr(2), Thr-sup-4]OVT decreased the pain threshold, whereas the
local administration of OXT increased the pain threshold in a dose-dependent
manner; (2) OXT receptor antagonist can attenuate the analgesic role induced
intra-CdN administration of OXT; and (3) pain stimulation could increase OXT
concentration in the CdN perfusion liquid. The data suggested that OXT in the CdN
was involved in this pain process via OXT receptors.
PMID- 21903149
TI - The truncated ghrelin receptor polypeptide (GHS-R1b) is localized in the
endoplasmic reticulum where it forms heterodimers with ghrelin receptors (GHS
R1a) to attenuate their cell surface expression.
AB - The ghrelin receptor (GHS-R1a) is remarkable amongst G-protein-coupled receptors
for its high degree of constitutive activity, and this agonist-independent
activity may be important for its physiological function in the control of food
intake and body weight. Ghrelin receptors form heterodimers with the truncated
ghrelin receptor polypeptide (GHS-R1b), which has a dominant-negative effect on
ghrelin receptor function. Here we show that GHS-R1b has an intracellular
localization distinct from ghrelin receptors, being primarily localized in the
endoplasmic reticulum. Immunocytochemical studies suggest that GHS-R1b decreases
the plasma membrane expression of ghrelin receptors, but the overall distribution
profile of ghrelin receptors in isolated subcellular fractions is unaffected by
GHS-R1b. Using bioluminescence resonance energy transfer methods, we have shown
that while ghrelin receptor homodimers are evenly distributed in all subcellular
fractions, GHS-R1a/GHS-R1b heterodimers are concentrated within the endoplasmic
reticulum and these results suggest that GHS-R1b traps ghrelin receptors within
the endoplasmic reticulum by the process of oligomerization. Furthermore, ghrelin
receptors constitutively activated extracellular signal-regulated kinases 1/2 in
the endoplasmic reticulum, but this small response was not affected by GHS-R1b
and its physiological relevance is uncertain. Taken together, these results
suggest that ghrelin receptors can be retained in the endoplasmic reticulum by
heterodimerization with GHS-R1b, and constitutive activation of phospholipase C
is attenuated due to decreased cell surface expression of ghrelin receptors.
However, sufficient ghrelin receptor homodimers can still be expressed on the
cell surface for maximal responses to agonist stimulation.
PMID- 21903150
TI - Polymorphisms in LMNA and near a SERPINA13 gene are not associated with cognitive
performance in Chinese elderly males without dementia.
AB - Aging is associated with cognitive deterioration. A recent study showed two
polymorphisms (rs505058 in LMNA and rs11622883 near a SERPINA13 gene), identified
in a genome-wide association study of late-onset Alzheimer's disease, to be
associated with cognitive function (Mini Mental State Examination) in a UK
elderly population. This study replicated these findings in Chinese elderly males
without dementia. A total of 358 elderly subjects were assessed by the Cognitive
Abilities Screening Instruments (CASI) and the Wechsler Digit Span Task tests.
Analysis of covariance was used to compare cognitive scores among genotypic
groups, with age and total education years as covariates. The two polymorphisms
were not associated with the global cognitive function or specific cognitive
domains in the elderly without dementia. Our data argue against that these two
polymorphisms may affect cognitive function in the elderly.
PMID- 21903151
TI - Increased apoptosis and different regulation of pro-apoptosis protein bax and
anti-apoptosis protein bcl-2 in the olfactory bulb of a rat model of depression.
AB - Reduced olfactory bulb (OB) volume and olfactory sensitivity have been observed
in depressed patients, the exact mechanisms underlying, however, are still
unknown. Our previous study found that decreased neurogenesis and pre-synaptic
dysfunction in the OB of a rat model of depression may be responsible for the
phenomena. Nevertheless, whether the apoptosis would also play a certain role in
this process is not clear. In this study, we investigated the apoptosis in the OB
of a chronic unpredictable mild stress (CUMS) rat model of depression using
terminal deoxynucleotidyl transferase-mediated dUTP nick end labeling (TUNEL)
staining. Simultaneously, the pro-apoptosis protein bax and anti-apoptosis
protein bcl-2 were detected by Western blot. The results showed that the number
of TUNEL-positive cells increased dramatically in the glomerular layer of the OB
of the CUMS rats, accompanied with up-regulated expression of bax protein and
down-regulated expression of bcl-2 protein. The findings indicate that increased
apoptosis may be attributed to explain at some level for the reduced OB volume
and olfactory dysfunction in depressed patients. Moreover, the mitochondria
dependent death pathway might be involved in apoptosis in the OB of the CUMS
rats.
PMID- 21903148
TI - The great migration of bone marrow-derived stem cells toward the ischemic brain:
therapeutic implications for stroke and other neurological disorders.
AB - Accumulating laboratory studies have implicated the mobilization of bone marrow
(BM)-derived stem cells in brain plasticity and stroke therapy. This mobilization
of bone cells to the brain is an essential concept in regenerative medicine. Over
the past ten years, mounting data have shown the ability of bone marrow-derived
stem cells to mobilize from BM to the peripheral blood (PB) and eventually enter
the injured brain. This homing action is exemplified in BM stem cell mobilization
following ischemic brain injury. Various BM-derived cells, such as hematopoietic
stem cells (HSCs), mesenchymal stem cells (MSCs), endothelial progenitor cells
(EPCs) and very small embryonic-like cells (VSELs) have been demonstrated to
exert therapeutic benefits in stroke. Here, we discuss the current status of
these BM-derived stem cells in stroke therapy, with emphasis on possible cellular
and molecular mechanisms of action that mediate the cells' beneficial effects in
the ischemic brain. When possible, we also discuss the relevance of this
therapeutic regimen in other central nervous system (CNS) disorders.
PMID- 21903152
TI - Expression of cocaine- and amphetamine-regulated transcript in the rat forebrain
during postnatal development.
AB - Cocaine- and amphetamine-regulated transcript (CART) is widespread in the rodent
brain. CART has been implicated in many different functions including reward,
feeding, stress responses, sensory processing, learning and memory formation.
Recent studies have suggested that CART may also play a role in neural
development. Therefore, in the present study we compared the distribution pattern
and levels of CART mRNA expression in the forebrain of male and female rats at
different stages of postnatal development: P06, P26 and P66. At 6 days of age
(P06), male and female rats showed increased CART expression in the somatosensory
and piriform cortices, indusium griseum, dentate gyrus, nucleus accumbens, and
ventral premammillary nucleus. Interestingly, we found a striking expression of
CART mRNA in the ventral posteromedial and ventral posterolateral thalamic
nuclei. This thalamic expression was absent at P26 and P66. Contrastingly, at P06
CART mRNA expression was decreased in the arcuate nucleus. Comparing sexes, we
found increased CART mRNA expression in the anteroventral periventricular nucleus
of adult females. In other regions including the CA1, the lateral hypothalamic
area and the dorsomedial nucleus of the hypothalamus, CART expression was not
different comparing postnatal ages and sexes. Our findings indicate that CART
gene expression is induced in a distinct temporal and spatial manner in forebrain
sites of male and female rats. They also suggest that CART peptide participate in
the development of neural pathways related to selective functions including
sensory processing, reward and memory formation.
PMID- 21903153
TI - In vitro anti-uveal melanoma activity of phenolic compounds from the Egyptian
medicinal plant Acacia nilotica.
AB - Anti-uveal melanoma activity-guided fractionation of the MeOH extract of Acacia
nilotica pods resulted in the isolation of the new compound gallocatechin 5-O
gallate in addition to methyl gallate, gallic acid, catechin, catechin 5-O
gallate, 1-O-galloyl-beta-D-glucose, 1,6-di-O-galloyl-beta-D-glucose and digallic
acid. The structures of the isolated compounds were elucidated on the basis of
HRESIMS, NMR spectroscopy and CD data. In addition to uveal melanoma, the
antiproliferative activities of the isolated compounds and the related compound
epigallocatechin 3-O-gallate (EGCG) were evaluated against cutaneous melanoma,
ovarian cancer, glioblastoma and normal retinal pigmented cells.
PMID- 21903154
TI - Integration of biological monitoring, environmental monitoring and computational
modelling into the interpretation of pesticide exposure data: introduction to a
proposed approach.
AB - Open field, variability of climatic and working conditions, and the use of
complex mixtures of pesticides makes biological and environmental monitoring in
agriculture, and therefore risk assessment and management, very complicated. A
need of pointing out alternative risk assessment approaches, not necessarily
based on measures, but simple, user-friendly and reliable, feasible also in the
less advanced situations and in particular in small size enterprises, arises.
This aim can be reached through a combination of environmental monitoring,
biological monitoring and computational modelling. We have used this combination
of methods for the creation of "exposure and risk profiles" to be applied in
specific exposure scenarios, and we have tested this approach on a sample of
Italian rice and maize herbicide applicators. We have given specific "toxicity
scores" to the different products used and we have identified, for each of the
major working phases, that is mixing and loading, spraying, maintenance and
cleaning of equipment, the main variables affecting exposure and inserted them
into a simple algorithm, able to produce "exposure indices". Based on the
combination of toxicity indices and exposure indices it is possible to obtain
semiquantitative estimates of the risk levels experienced by the workers in the
exposure scenarios considered. Results of operator exposure data collected under
real-life conditions can be used to validate and refine the algorithms; moreover,
the AOEL derived from pre-marketing studies can be combined to estimate tentative
biological exposure limits for pesticides, useful to perform individual risk
assessment based on technical surveys and on simple biological monitoring. A
proof of principle example of this approach is the subject of this article.
PMID- 21903155
TI - Application of headspace solid phase dynamic extraction gas chromatography/mass
spectrometry (HS-SPDE-GC/MS) for biomonitoring of n-heptane and its metabolites
in blood.
AB - Solid phase dynamic extraction (SPDE) is an innovative sample preparation and
enrichment technique in connection with gas chromatography (GC). Using SPDE, we
developed a method for simultaneous determination of n-heptane and its mono
oxygenated metabolites heptane-4-one, 3-one, 2-one, 4-ol, 3-ol, 2-ol, and 1-ol in
blood. After adjustment of various extraction and desorption parameters, method
validation resulted in limits of detection (LOD) between 0.006 (heptane-4-one)
and 0.021mg/L (heptane-1-ol). Intra-assay coefficients of variation ranged
between 4.8% and 20.8% while relative recovery ranged between 100% and 117%
(spiked concentration 0.128mg/L, n=8). The method was applied to blood samples,
which have been collected from 20 volunteers after controlled inhalative exposure
to 167, 333, and 500ppm n-heptane. After 3h of exposure, n-heptane and heptane-2
one were detectable in all samples in concentrations ranging up to 2.903 and
0.495mg/L, while the concentrations of the remaining analytes were closer to the
respective LOD or even below. A significant linear relationship with ambient
exposure (R(2)=0.701, p<0.001, n=55) was found for n-heptane in blood, which
could be helpful for evaluation of biological exposure limits in future. Due to
its high abundance in blood, 2-heptanone could be an interesting candidate as a
biomarker also in alternative matrices such as urine or saliva.
PMID- 21903156
TI - Inhibition of the p38 and PKA signaling pathways is associated with the anti
melanogenic activity of Qian-wang-hong-bai-san, a Chinese herbal formula, in B16
cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Qian-wang-hong-bai-san (QW), a Chinese herbal
formula, is traditionally used as a skin whitening agent in China. AIM OF STUDY:
In our previous screening assays, QW was identified as an effective tyrosinase
inhibitor. In this study, we aim to investigate the underlying mechanism of the
anti-melanogenic effect of QW in B16 cells. MATERIALS AND METHODS: Cytotoxicity
of QW in B16 cell line was examined by MTT assay. Cellular tyrosinase activity
was determined based on the melanin content measured at 475 nm with a microplate
spectrophotometer. Protein expression was analyzed by Western blotting and
quantified by Quantity One. RESULTS: QW dose-dependently inhibited tyrosinase
activity and decreased melanin content at 48 h without significant cytotoxicity
in B16 cells. Western blot analysis showed that QW treatment down-regulated the
expression levels of phospho-p38, phospho-CREB, MITF, tyrosinase, TRP-1 and TRP-2
in a dose-dependent manner. At the same time, QW treatment for 48 h inhibited
IBMX-induced elevation of cellular melanin content and tyrosinase activity.
However, the attenuation of IBMX-mediated up-regulations of phospho-CREB and
phospho-PKA was readily observed with 60 min of QW treatment. CONCLUSIONS: The
anti-melanogenic activity of QW in B16 melanoma cells can be attributed, at least
in part, to the inhibition of the p38 MAPK and PKA signaling pathways. These
findings shed new light on the molecular mechanisms of the skin-whitening
property of QW.
PMID- 21903158
TI - ZnO nanoparticles induce apoptosis in human dermal fibroblasts via p53 and p38
pathways.
AB - The production of engineered nanoparticles is growing rapidly as the field of
nanotechnology continues to expand. Zinc oxide nanoparticles (ZnO NPs) are used
in various applications, including catalysis, electronics, biosensors, medicine,
paints, sunscreens and cosmetics, thus it is important to understand the
biological effects and risks of ZnO NPs. This study was designed to investigate
the apoptosis induction by ZnO NPs via mitogen-activated protein kinase p38 and
cell cycle checkpoint protein p53 pathways in human dermal fibroblasts. MTT-based
cell viability assay showed a significant decrease in cell survivorship after ZnO
NP exposure, and phase contrast images revealed that ZnO NP treated cells had
lower density and a rounded morphology. Apoptosis induction was confirmed by the
annexin V assay and Western blot analysis showed the up-regulation of p53 and
phospho-p38 proteins. Furthermore, in ZnO NP exposed cells, p53 protein was
phosphorylated at Ser33 and Ser46 sites known to be phosphorylated by p38. Our
results suggest that ZnO NPs have the potential to induce apoptosis in human
dermal fibroblasts via p53-p38 pathways.
PMID- 21903157
TI - Proteomic studies on protective effects of salvianolic acids, notoginsengnosides
and combination of salvianolic acids and notoginsengnosides against cardiac
ischemic-reperfusion injury.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Salvia miltiorrhiza and Panax notoginseng are
popularly used traditional Chinese medicine for cardiovascular disorders and they
are often used in the form of combination. However, mechanisms of their
cardioprotective effects were still not clear. In the present study, the
protective effects of salvianolic acids (SA), notoginsengnosides (NG) and
combination of SA and NG (CSN) against rat cardiac ischemia-reperfusion injury
were checked and the protein expression profiles of heart tissues were examined
to search their possible protein targets. MATERIALS AND METHODS: The
cardioprotective effects of SA, NG and CSN were checked in a rat model of
ischemia-reperfusion (IR) by temporarily occluding coronary artery for 20 min
followed by reperfusion. Rats were grouped into sham-operation group, IR group,
IR+SA group, IR+NG group and IR+CSN group. The plasma creatine kinase (CK)
activities were measured using commercial kit and the percentages of infarcted
area in total ventricle tissue were calculated after nitroblue-tetrazolium (N-BT)
staining of heart tissue slices. Two-dimensional protein electrophoresis (2-DE)
was used to check the protein expression profiles of heart tissues. Then,
proteins differentially expressed between IR group and sham-operation group were
identified using matrix assisted laser desorption ionization-time of flight-mass
spectrometry/mass spectrometry (MALDI-TOF MS/MS). The regulative effects of SA,
NG and CSN on these IR-related proteins were analyzed. RESULTS: Treatments
including SA, NG and CSN all showed cardioprotective effects against ischemia
reperfusion injury and CSN exhibited to be the best. Eighteen proteins involved
in IR injury were found. These proteins are involved in pathways including energy
metabolism, lipid metabolism, muscle contraction, heat shock stress, cell
survival and proliferation. The regulation of these proteins by SA, NG or CSN
suggested possible protein targets in their cardioprotective effects.
CONCLUSIONS: SA and NG showed both similarity and difference in their protein
targets involved in cardioprotective effects. The capability of CSN to regulate
both protein targets of SA and NG might be the basis of CSN to show
cardioprotective effects better than that of SA or NG.
PMID- 21903160
TI - The transfer of 6-mercaptopurine in the dually perfused human placenta.
AB - The immunosuppressant azathioprine is increasingly being used in pregnancy. The
human placenta is considered a relative barrier to the major metabolite, 6
mercaptopurine (6-MP), and likely explains the lack of proven teratogenicity in
humans. The aim of this study was to determine how the human placenta restricts 6
MP transfer using the human placental perfusion model. After addition of 50 ng/ml
(n=4) and 500 ng/ml (n=3) 6-MP into the maternal circulation, there was a
biphasic decline in its concentration and a delay in fetal circulation
appearance. Under equilibrative conditions, the fetal-to-maternal concentration
ratio was >1.0 as a result of ion trapping. Binding to placental tissue and
maternal pharmacokinetic parameters are the main factors that restrict placental
transfer of 6-MP. Active transport is unlikely to play a significant role and
drug interactions involving, or polymorphisms in, placental drug efflux
transporters are not likely to put the fetus at risk of higher 6-MP exposure.
PMID- 21903159
TI - Design and coverage of high throughput genotyping arrays optimized for
individuals of East Asian, African American, and Latino race/ethnicity using
imputation and a novel hybrid SNP selection algorithm.
AB - Four custom Axiom genotyping arrays were designed for a genome-wide association
(GWA) study of 100,000 participants from the Kaiser Permanente Research Program
on Genes, Environment and Health. The array optimized for individuals of European
race/ethnicity was previously described. Here we detail the development of three
additional microarrays optimized for individuals of East Asian, African American,
and Latino race/ethnicity. For these arrays, we decreased redundancy of high
performing SNPs to increase SNP capacity. The East Asian array was designed using
greedy pairwise SNP selection. However, removing SNPs from the target set based
on imputation coverage is more efficient than pairwise tagging. Therefore, we
developed a novel hybrid SNP selection method for the African American and Latino
arrays utilizing rounds of greedy pairwise SNP selection, followed by removal
from the target set of SNPs covered by imputation. The arrays provide excellent
genome-wide coverage and are valuable additions for large-scale GWA studies.
PMID- 21903161
TI - Localization and neurochemical characteristics of the extrinsic sympathetic
neurons projecting to the pylorus in the domestic pig.
AB - The pylorus, an important part of the digestive tract controlling the flow of
chyme between the stomach and the duodenum, is widely innervated by intrinsic and
extrinsic nerves. To determine the locations of postganglionic sympathetic
perikarya that innervate the pylorus of the domestic pig, a retrograde tracing
method with application of Fast Blue tracer was used. All positive neuronal cell
bodies (ca. 1750) were found in the celiac-cranial mesenteric ganglion complex
(CSMG), however, the coeliac poles of this complex provided the major input to
the pylorus. Afterwards, the immunohistochemical staining procedure was applied
to determine biologically active substances expressed in the FB-labeled
perikarya. Approximately 77% of the FB-positive cell bodies contained tyrosine
hydroxylase (TH), 87% dopamine beta-hydroxylase (DbetaH), 40% neuropeptide Y
(NPY), 12% somatostatin (SOM) and 7% galanin (GAL). The presence of all these
substances in the ganglion tissue was confirmed by RT-PCR technique. Double
immunocytochemistry revealed that all of the TH-positive perikarya contained
DbetaH, about 40% NPY, 12% SOM and 8% GAL. Additionally, all above-cited
immunohistochemical markers as well as VIP, PACAP, ChAT, LEU, MET, SP and nNOS
were observed within nerve fibers associated with the FB-positive perikarya.
Immunocytochemical labeling of the pyloric wall tissue disclosed that TH+,
DbetaH+ and NPY+ nerve fibers innervated ganglia of the myenteric and submucosal
plexuses, blood vessels, both muscular layers and the muscularis mucosae; nerve
fibers immunoreactive to GAL mostly innervated both muscular layers, while SOM+
nerve fibers were observed within the myenteric plexus. Presented study revealed
sources of origin and immunohistochemical characteristics of the sympathetic
postganglionic perikarya innervating the porcine pylorus.
PMID- 21903162
TI - In vivo imaging and quantitative analysis of changes in axon length using
transgenic zebrafish embryos.
AB - We describe an imaging procedure to measure axon length in zebrafish embryos in
vivo. Automated fluorescent image acquisition was performed with the ImageXpress
Micro high content screening reader and further analysis of axon lengths was
performed on archived images using AcuityXpress software. We utilized the Neurite
Outgrowth Application module with a customized protocol (journal) to measure the
axons. Since higher doses of ethanol (2-2.5%, v/v) have been shown to deform
motor neurons and axons during development, here we used ethanol to treat
transgenic [hb9:GFP (green fluorescent protein)] zebrafish embryos at 28 hpf
(hours post-fertilization). These embryos express GFP in the motor neurons and
their axons. Embryos after ethanol treatment were arrayed in 384-well plates for
automated fluorescent image acquisition in vivo. Average axon lengths of high
dose ethanol-treated embryos were significantly lower than the control. Another
experiment showed that there was no significant difference in the axon lengths
between the embryos grown for 24h at 22 degrees C and 28.5 degrees C. These test
experiments demonstrate that using axon development as an end-point, compound
screening can be performed in a time-efficient manner.
PMID- 21903163
TI - Modelling formulations using gene expression programming--a comparative analysis
with artificial neural networks.
AB - This study has investigated the utility and potential advantages of gene
expression programming (GEP)--a new development in evolutionary computing for
modelling data and automatically generating equations that describe the cause-and
effect relationships in a system--to four types of pharmaceutical formulation and
compared the models with those generated by neural networks, a technique now
widely used in the formulation development. Both methods were capable of
discovering subtle and non-linear relationships within the data, with no
requirement from the user to specify the functional forms that should be used.
Although the neural networks rapidly developed models with higher values for the
ANOVA R(2) these were black box and provided little insight into the key
relationships. However, GEP, although significantly slower at developing models,
generated relatively simple equations describing the relationships that could be
interpreted directly. The results indicate that GEP can be considered an
effective and efficient modelling technique for formulation data.
PMID- 21903164
TI - Neurofibromatosis-1 heterozygosity impairs CNS neuronal morphology in a
cAMP/PKA/ROCK-dependent manner.
AB - Children with the neurofibromatosis-1 (NF1) cancer predisposition syndrome
exhibit numerous clinical problems that reflect defective central nervous system
(CNS) neuronal function, including learning disabilities, attention deficit
disorder, and seizures. These clinical features result from reduced NF1 protein
(neurofibromin) expression in NF1+/- (NF1 heterozygosity) brain neurons. Previous
studies have shown that mouse CNS neurons are sensitive to the effects of reduced
Nf1 expression and exhibit shorter neurite lengths, smaller growth cone areas,
and attenuated survival, reflecting attenuated neurofibromin cAMP regulation. In
striking contrast, Nf1+/- peripheral nervous system (PNS) neurons are nearly
indistinguishable from their wild-type counterparts, and complete neurofibromin
loss leads to increased neurite lengths and survival in a RAS/Akt-dependent
fashion. To gain insights into the differential responses of CNS and PNS neurons
to reduced neurofibromin function, we designed a series of experiments to define
the molecular mechanism(s) underlying the unique CNS neuronal sensitivity to Nf1
heterozygosity. First, Nf1 heterozygosity decreases cAMP levels in CNS, but not
in PNS, neurons. Second, CNS neurons exhibit Nf1 gene-dependent increases in RAS
pathway signaling, but no further decreases in cAMP levels were observed in Nf1-/
CNS neurons relative to their Nf1+/- counterparts. Third, neurofibromin
regulates CNS neurite length and growth cone areas in a cAMP/PKA/Rho/ROCK
dependent manner in vitro and in vivo. Collectively, these findings establish
cAMP/PKA/Rho/ROCK signaling as the responsible axis underlying abnormal Nf1+/-
CNS neuronal morphology with important implications for future preclinical and
clinical studies aimed at improving cognitive and behavioral deficits in mice and
children with reduced brain neuronal NF1 gene expression.
PMID- 21903165
TI - In vitro and intrathecal siRNA mediated K(V)1.1 knock-down in primary sensory
neurons.
AB - K(V)1.1 is a Shaker homologue K(+) channel that contributes to the juxta
paranodal membrane conductance in myelinated axons, and is blocked by fampridine
(4-aminopyridine), used to treat the symptoms of multiple sclerosis. The present
experiments investigate K(V)1.1 function in primary sensory neurons and A-fibres,
and help define its characteristics as a drug-target using sequence specific
small-interfering RNAs (siRNAs). siRNA (71nM) was used to knock-down functional
expression of K(V)1.1 in sensory neurons (>25MUm in apparent diameter) in
culture, and was also delivered intrathecally in vivo (9.3MUg). K(+) channel
knock-down in sensory neurons was found to make the voltage-threshold for action
potential generation significantly more negative than in control (p=0.02), led to
the breakdown of accommodation and promoted spontaneous action potential firing.
Exposure to dendrotoxin-K (DTX-K, 10-100nM) also selectively abolished K(+)
currents at negative potentials and made voltage-threshold more negative,
consistent with K(V)1.1 controlling excitability close to the nominal resting
potential of the neuron cell body, near -60mV. Introduction of one working siRNA
sequence into the intrathecal space in vivo was associated with a small increase
in the amplitude of the depolarising after-potential in sacral spinal roots
(p<0.02), suggesting a reduction in the number of working K(+) channels in
internodal axon membrane. Our study provides evidence that K(V)1.1 contributes to
the control of peripheral sensory nerve excitability, and suggests that its
characteristics as a putative drug target can be assessed by siRNA transfection
in primary sensory neurons in vitro and in vivo.
PMID- 21903166
TI - Characterization of lipid matrices for membrane protein crystallization by high
throughput small angle X-ray scattering.
AB - The lipidic cubic phase (LCP) has repeatedly proven to serve as a successful
membrane-mimetic matrix for a variety of difficult-to-crystallize membrane
proteins. While monoolein has been the predominant lipid of choice, there is a
growing need for the characterization and use of other LCP host lipids, allowing
exploration of a range of structural parameters such as bilayer thickness and
curvature for optimal insertion, stability and crystallogenesis of membrane
proteins. Here, we describe the development of a high-throughput (HT) pipeline to
employ small angle X-ray scattering (SAXS) - the most direct technique to
identify lipid mesophases and measure their structural parameters - to
interrogate rapidly a large number of lipid samples under a variety of
conditions, similar to those encountered during crystallization. Leveraging the
identical setup format for LCP crystallization trials, this method allows the
quickly assessment of lipid matrices for their utility in membrane protein
crystallization, and could inform the tailoring of lipid and precipitant
conditions to overcome specific crystallization challenges. As proof of concept,
we present HT LCP-SAXS analysis of lipid samples made of monoolein with and
without cholesterol, and of monovaccenin, equilibrated with solutions used for
crystallization trials and LCP fluorescence recovery after photobleaching (FRAP)
experiments.
PMID- 21903167
TI - Production of the stable human histamine H1 receptor in Pichia pastoris for
structural determination.
AB - G-protein coupled receptors (GPCRs) play essential roles in regulation of many
physiological processes and are one of the major targets of pharmaceutical drugs.
The 3D structure can provide important information for the understanding of GPCR
function and the design of new drugs. However, the success of structure
determination relies largely on the production of recombinant GPCRs, because the
expression levels of GPCRs are very low in native tissues except rhodopsin. All
non-rhodopsin GPCRs whose structures were determined so far were expressed in
insect cells and the availability of other hosts was unknown. Recently, we
succeeded to determine the structure of human histamine H(1) receptor (H(1)R)
expressed in Pichia pastoris. Here, we report the expression and purification
procedures of recombinant H(1)R used in the structural determination. The
receptor was designed to possess a N-terminal 19-residue deletion and a
replacement of the third cytoplasmic loop with T4-lysozyme. The receptor was
verified to show similar binding activities with the receptor expressed in other
hosts. The receptor was purified by the immobilized metal ion affinity
chromatography and used for the crystallographic study that resulted in the
successful structure determination.
PMID- 21903170
TI - Growth hormone (GH) treatment acts on the endocrine and autocrine/paracrine
GH/IGF-axis and on TNF-alpha expression in bony fish pituitary and immune organs.
AB - There exist indications that the growth hormone (GH)/insulin-like growth factor
(IGF) axis may play a role in fish immune regulation, and that interactions occur
via tumour necrosis factor (TNF)-alpha at least in mammals, but no systematic
data exist on potential changes in GH, IGF-I, IGF-II, GH receptor (GHR) and TNF
alpha expression after GH treatment. Thus, we investigated in the Nile tilapia
the influence of GH injections by real-time qPCR at different levels of the
GH/IGF-axis (brain, pituitary, peripheral organs) with special emphasis on the
immune organs head kidney and spleen. Endocrine IGF-I served as positive control
for GH treatment efficiency. Basal TNF-alpha gene expression was detected in all
organs investigated with the expression being most pronounced in brain. Two
consecutive intraperitoneal injections of bream GH elevated liver IGF-I mRNA and
plasma IGF-I concentration. Also liver IGF-II mRNA and TNF-alpha were increased
while the GHR was downregulated. In brain, no change occurred in the expression
levels of all genes investigated. GH gene expression was exclusively detected in
the pituitary where the GH injections elevated both GH and IGF-I gene expression.
In the head kidney, GH upregulated IGF-I mRNA to an even higher extent than liver
IGF-I while IGF-II and GHR gene expressions were not affected. Also in the
spleen, no change occurred in GHR mRNA, however, IGF-I and IGF-II mRNAs were
increased. In correlation, in situ hybridisation showed a markedly higher amount
of IGF-I mRNA in head kidney and spleen after GH injection. In both immune
tissues, TNF-alpha gene expression showed a trend to decrease after GH treatment.
The stimulation of IGF-I and also partially of IGF-II expression in the fish
immune organs by GH indicates a local role of the IGFs in immune organ regulation
while the differential changes in TNF-alpha support the in mammals postulated
interactions with the GH/IGF-axis which demand for further investigations.
PMID- 21903168
TI - A novel chimeric MOMP antigen expressed in Escherichia coli, Arabidopsis
thaliana, and Daucus carota as a potential Chlamydia trachomatis vaccine
candidate.
AB - The major outer membrane protein (MOMP) of Chlamydia trachomatis is a highly
antigenic and hydrophobic transmembrane protein. Our attempts to express the full
length protein in a soluble form in Escherichia coli and in transgenic plants
failed. A chimeric gene construct of C. trachomatis serovar E MOMP was designed
in order to increase solubility of the MOMP protein but with retained
antigenicity. The designed construct was successfully expressed in E. coli, in
Arabidopsis thaliana, and in Daucus carota. The chimeric MOMP expressed in and
purified from E. coli was used as antigen for production of antibodies in
rabbits. The anti-chimeric MOMP antibodies recognized the corresponding protein
in both E. coli and in transgenic plants, as well as in inactivated C.
trachomatis elementary bodies. Transgenic Arabidopsis and carrots were
characterized for the number of MOMP chimeric genetic inserts and for protein
expression. Stable integration of the transgene and the corresponding protein
expression were demonstrated in Arabidopsis plants over at least six generations.
Transgenic carrots showed a high level of expression of the chimeric MOMP - up to
3% of TSP.
PMID- 21903171
TI - Cloning, distribution and primary immune characteristics of amphioxus alpha-2
macroglobulin.
AB - Alpha-2 macroglobulin (alpha(2)M), a broad-spectrum protease inhibitor, exists
widely in vertebrates and invertebrates, but little information is available to
date regarding alpha(2)M in amphioxus, an animal bridging from invertebrates to
vertebrates. Here we first show that the full alpha(2)M cDNA of Branchiostoma
japonicum (Bjalpha(2)m) contained 5545 bp with an open reading frame of 4593 bp
encoding signal sequence of 16 amino acid residues and a mature protein of 1514
residues. The calculated molecular mass and pI of mature Bjalpha(2)M were 164.2
kDa and 4.6 respectively. Bjalpha(2)m was mainly expressed in the hepatic caecum
and hind-gut in a tissue-specific manner, contrasting to the primary expression
of alpha(2)M in vertebrate liver. Following challenge with lipopolysaccharide
(LPS), Bjalpha(2)m expression was significantly up-regulated (7-folds) at 8 h and
then declined to the base line at 16 h. Taken together, it is suggested that
Bjalpha(2)M is an immune-relevant molecule possibly involved in the acute phase
response via the digestive organs.
PMID- 21903172
TI - Phylogeny of the mega-diverse Gelechioidea (Lepidoptera): adaptations and
determinants of success.
AB - The Gelechioidea, with 18,000 described and many more unnamed species ranks among
the most diverse lepidopteran superfamilies. Nevertheless, their taxonomy has
remained largely unresolved, and phylogenetic affinities among gelechioid
families and lower taxa have been insufficiently understood. We constructed, for
the first time, a comprehensive molecular phylogeny for the Gelechioidea. We
sampled seven genes, in total 5466 base pairs, of 109 gelechioid taxa
representing 32 of 37 recognized subfamilies, and two outgroup taxa. We used
maximum likelihood methods and Bayesian inference to construct phylogenetic
trees. We found that the families Autostichidae, Lecithoceridae, Xyloryctidae,
and Oecophoridae s. str., in this order, are the most basally arising clades.
Elachistidae s. l. was found to be paraphyletic, with families such as
Gelechiidae and Cosmopterigidae nested within it, and Parametriotinae associated
with several families previously considered unrelated to them. Using the
phylogenetic trees, we examined patterns of life history evolution and
determinants of the success of different lineages. Gelechioids express unusually
wide variability in life-history strategies, including herbivorous, saprophagous,
fungivorous, and carnivorous lineages. Most species are highly specialized in
diet and other life history traits. The results suggest that either saprophagy
was the ancestral feeding strategy from which herbivory evolved independently on
multiple occasions, or that the ancestor was herbivorous with repeated origins of
saprophagy. External feeding is an ancestral trait from which internal feeding
evolved independently several times. In terms of species number, saprophages are
dominant in Australia, while elsewhere several phytophagous lineages have
extensively specialized and diversified. Internal feeding has remained a somewhat
less generally adopted feeding mode, although in a few lineages significant
radiations of leaf mining species have occurred. We conclude that diverse feeding
modes, specialization among saprophages, repeated shifts to phytophagy, and a
generally high specialization rate on single plant species (monophagy) are the
major factors behind the success of the Gelechioidea.
PMID- 21903173
TI - Bridging the gap between chemistry, physiology, and evolution: quantifying the
functionality of sperm whale myoglobin mutants.
AB - This work merges a large set of previously reported thermochemical data for
myoglobin (Mb) mutants with a physiological model of O(2)-transport and -storage.
The model allows a quantification of the functional proficiency of myoglobin (Mb)
mutants under various physiological conditions, i.e. O(2)-consumption rate
resembling workload, O(2) partial pressure resembling hypoxic stress, muscle cell
size, and Mb concentration, resembling different organism-specific and
compensatory variables. We find that O(2)-storage and -transport are distinct
functions that rank mutants and wild type differently depending on O(2) partial
pressure. Specifically, the wild type is near-optimal for storage at all
conditions, but for transport only at severely hypoxic conditions. At normoxic
conditions, low-affinity mutants are in fact better O(2)-transporters because
they still have empty sites for O(2), giving rise to a larger [MbO(2)] gradient
(more varying saturation curve). The distributions of functionality reveal that
many mutants are near-neutral with respect to function, whereas only a few are
strongly affected, and the variation in functionality increases dramatically at
lower O(2) pressure. These results together show that conserved residues in wild
type (WT) Mb were fixated under a selection pressure of low P(O2).
PMID- 21903174
TI - Effects of dietary amino acids and repeated handling on stress response and brain
monoaminergic neurotransmitters in Senegalese sole (Solea senegalensis)
juveniles.
AB - The present study aimed to assess the effects of increased availability of
dietary amino acids (AA) on brain monoamine neurotransmitters and the metabolic
processes resulting from stressful situations in fish. Senegalese sole (Solea
senegalensis) juveniles (24.2+/-0.4g wet mass) were weekly subjected to an acute
handling stressor (HDLG) or remained undisturbed (CTL). Additionally, both
treatments were fed a control or a high protein (HP) diet (CTL, CTL HP, HDLG and
HDLG HP). The HP diet slightly increased the levels of digestible indispensable
AA, together with tyrosine and cysteine. Repeated handling induced a stress
response after 14 and 28 days in fish held at both HDLG and HDLG HP treatments.
While dietary treatment and handling stress activated the serotonergic system at
14 days, these effects were not observed after 28 days. In addition, the HP diet
minimized the decrease in plasma indispensable AA due to repeated handling stress
after 28 days. It was concluded that HP diet decreased post-stress plasma glucose
and lactate levels in HDLG HP specimens only at 14 days of treatment. Moreover,
dietary treatment was also effective in stimulating DA synthesis and release,
thus dietary phenylalanine supplementation can increase DA biosynthesis in fish.
PMID- 21903175
TI - Metabolic networks evolve towards states of maximum entropy production.
AB - A metabolic network can be described by a set of elementary modes or pathways
representing discrete metabolic states that support cell function. We have
recently shown that in the most likely metabolic state the usage probability of
individual elementary modes is distributed according to the Boltzmann
distribution law while complying with the principle of maximum entropy
production. To demonstrate that a metabolic network evolves towards such state we
have carried out adaptive evolution experiments with Thermoanaerobacterium
saccharolyticum operating with a reduced metabolic functionality based on a
reduced set of elementary modes. In such reduced metabolic network metabolic
fluxes can be conveniently computed from the measured metabolite secretion
pattern. Over a time span of 300 generations the specific growth rate of the
strain continuously increased together with a continuous increase in the rate of
entropy production. We show that the rate of entropy production asymptotically
approaches the maximum entropy production rate predicted from the state when the
usage probability of individual elementary modes is distributed according to the
Boltzmann distribution. Therefore, the outcome of evolution of a complex
biological system can be predicted in highly quantitative terms using basic
statistical mechanical principles.
PMID- 21903176
TI - Pharmacogenetics and cost-effectiveness analysis: a two-way street.
AB - Genetic and proteomic information can be used to identify those patient groups
who are most susceptible to a disease and those who are most likely to respond to
particular pharmacological treatments. In this review we discuss the impact of
cost-effectiveness analysis (CEA) regarding the way pharmacogenetics are adopted
by healthcare systems and also, the potential impact of pharmacogenetics on the
way CEA is conducted. We conclude that, although CEA can help incentivise the
development of appropriate pharmacogenetic tests, when used inappropriately by
payers or when ignored by developers, it can act as an obstacle to the adoption
of health and efficiency improving technologies.
PMID- 21903177
TI - Biotransformation enzyme-dependent formation of micronucleus and multinuclei in
cell line V79-hCYP2E1-hSULT1A1 by 2-nitropropane and N-nitrosodimethylamine.
AB - V79-hCYP2E1-hSULT1A1, a V79-derived cell line co-expressing both human CYP2E1 and
SULT1A1, has been constructed and efficiently used in detection of the mutagenic
activities of a number of promutagens. 2-Nitropropane (2-NP) and N
nitrosodimethylamine (NDMA), both being hepatocarcinogenic to animals but
inactive in standard genotoxicity assays in vitro, are activated to mutagenic
metabolites by human SULT1A1 and CYP2E1, respectively. Nevertheless, little is
known about the chromosomal effects of these two carcinogens. In the present
study, we investigated the effects of 2-NP and NDMA on frequencies of
micronucleated (F(mi)) and multinucleated cells (F(mu)) in V79-hCYP2E1-hSULT1A1
cells. The results showed induction of both F(mi) and F(mu) by 2-NP and NDMA
individually, and this effect was completely suppressed by relatively specific
inhibitor of SULT1A1 and CYP2E1, i.e., pentachlorophenol and 1
aminobenzotriazole, respectively. The F(mu)/F(mi) ratio in 2-NP groups was
significantly higher than NDMA groups, probably indicating an aneugenic activity
of 2-NP based on proposed F(mu)/F(mi) ratio as a simple index to discriminate
aneugens from clastogens. The present study has established biotransformation
enzyme-dependent formation of multinuclei and micronuclei induced by 2-NP and
NDMA.
PMID- 21903178
TI - Mutation rate of bacteriophage PhiX174 modified through changes in GATC sequence
context.
AB - Bacteriophage PhiX174 has a relatively high mutation rate of 10-6 substitutions
per nucleotide per strand copying. A thirty-fold reduction in the mutation rate
was achieved by introducing seven GATC sequences in its genome. This motif allows
for methyl-directed mismatch repair and is strongly avoided in nature by PhiX174
and other phages.
PMID- 21903179
TI - Web tools for molecular epidemiology of tuberculosis.
AB - In this study we explore publicly available web tools designed to use molecular
epidemiological data to extract information that can be employed for the
effective tracking and control of tuberculosis (TB). The application of molecular
methods for the epidemiology of TB complement traditional approaches used in
public health. DNA fingerprinting methods are now routinely employed in TB
surveillance programs and are primarily used to detect recent transmissions and
in outbreak investigations. Here we present web tools that facilitate systematic
analysis of Mycobacterium tuberculosis complex (MTBC) genotype information and
provide a view of the genetic diversity in the MTBC population. These tools help
answer questions about the characteristics of MTBC strains, such as their
pathogenicity, virulence, immunogenicity, transmissibility, drug-resistance
profiles and host-pathogen associativity. They provide an integrated platform for
researchers to use molecular epidemiological data to address current challenges
in the understanding of TB dynamics and the characteristics of MTBC.
PMID- 21903180
TI - Peptides from aminoacyl-tRNA synthetases can cure the defects due to mutations in
mt tRNA genes.
AB - Recent results from several laboratories have confirmed that human and yeast
leucyl- and valyl-tRNA synthetases can rescue the respiratory defects due to
mutations in mitochondrial tRNA genes. In this report we show that this effect
cannot be ascribed to the catalytic activity per se and that isolated domains of
aminoacyl-tRNA synthetases and even short peptides thereof have suppressing
effects.
PMID- 21903181
TI - Multiple pathways were involved in tubeimoside-1-induced cytotoxicity of HeLa
cells.
AB - The Bolbostemma paniculatum (Maxim.) Franquet (Cucurbitaceae) is a Chinese herb
with anticancer potential. Its main active component tubeimoside-1 (TBMS1), a
triterpenoid saponin, was previously proved as a potent anticancer
chemotherapeutic agent; however, the molecular basis for its activities is still
elusive. In the present study, subcellular proteomic study in the cytoplasm and
membrane protein fractions extracted from HeLa cells revealed that proteins act
as mediators of ROS generation and Ca(2+) regulation were substantially altered
in expression upon TBMS1 stimuli. We also found that TBMS1 induced cell cycle
arrest at G2/M phase accompanied by a decrease in G0/G1 phase in HeLa cells.
Further biochemical studies showed that TBMS1 inhibited the levels of cyclinB1,
Cdc2 and Cdc25C, but enhanced Chk2 phosphorylation. In addition, the cytoplasm
sequestration of Cdc25C, Cip1/p21 induction and tubulin dyspolymerization also
contributed to the TBMS1-mediated cell cycle arrest on the G2/M phase.
PMID- 21903182
TI - Mass spectrometrical analysis of cuticular proteins from the wing of Hebemoia
glaucippe (Linnaeus, 1758) (Lepidoptera: Pieridae).
AB - Although several insect cuticular genes and proteins are annotated and an
arthropod cuticular database is available, mass spectrometrical data on cuticular
proteins and their post-translational modifications are limited. Wings from
Hebemoia glaucippe were analyzed by scanning electron microscopy or homogenized,
proteins were extracted and run on 2DE. In-gel digestion was carried out by using
trypsin, chymotrypsin and Asp-N and subsequently the resulting peptides and post
translational modifications were identified by ion trap tandem mass spectrometry
(nano-LC-ESI-MS/MS; HCT). A complex wing skeleton and the cuticle of H. glaucippe
were demonstrated. Cuticle protein 18.6, isoform A, pupal cuticle protein,
cuticular protein CPR59A and two putative proteins, putative cuticular protein
B2DBJ and putative cuticle protein CPG31 with two expression forms were
identified. Two phosphorylation sites on the same peptide, T213 and S214, were
identified on putative cuticle protein CPG31, quinone formation was observed at
Y76 on cuticular protein CPR59A probably indicating the presence of post
translational modifications. The results may be relevant for the interpretation
of mechanoelastic and physical properties of these proteins. Along with the
extraordinary architecture the proteinaceous matrix is probably representing or
allowing the unusual aerodynamic function of the butterfly wing. Moreover, the
results may be important for mechanisms of insecticide and drought resistance.
PMID- 21903183
TI - [Jugular vein thrombosis in a patient addicted to intravenous drugs].
PMID- 21903184
TI - Posterior cordectomy. Our experience.
AB - OBJECTIVE: Several surgical procedures have been proposed for the treatment of
respiratory distress secondary to bilateral cord palsy. We performed a
retrospective study of our experience in posterior cordectomy with a laser CO(2),
analysing the improvement of dyspnoea and voice quality after surgery. METHODS:
This was a retrospective study of 13 cases (9 female, 4 male). The age range was
25-79 years. Iatrogenic post-thyroidectomy (4 cases) was the most common
aetiology of bilateral laryngeal palsy in our study. We assessed the subjective
improvement of respiratory function and voice quality after laser surgery using
the Spanish adaptation of the Voice Handicap Index (VHI). RESULTS: Dyspnoea
improved in all patients. Two cases had a worsening of dyspnoea in the immediate
postoperative period and one case was successfully solved with a new surgical
intervention. After surgery, most of patients suffered from mild or middle
dysphonia. CONCLUSIONS: The posterior cordectomy is an easy, safe and effective
treatment for dyspnoea secondary to bilateral laryngeal palsy, maintaining
acceptable voice quality.
PMID- 21903185
TI - Late diagnosis of isolated agenesis of cranial nerve VIII.
PMID- 21903186
TI - The whole is indeed more than the sum of its parts: perceptual averaging in the
absence of individual item representation.
AB - We tested Ariely's (2001) proposal that the visual system represents the overall
statistical properties of sets of objects against alternative accounts of rapid
averaging involving sub-sampling strategies. In four experiments, observers could
rapidly extract the mean size of a set of circles presented in an RSVP sequence,
but could not reliably identify individual members. Experiment 1 contrasted
performance on a member identification task with performance on a mean judgment
task, and showed that the tasks could be dissociated based on whether the test
probe was presented before or after the sequence, suggesting that member
identification and mean judgment are subserved by different mechanisms. In
Experiment 2, we confirmed that when given a choice between a probe corresponding
to the mean size of the set and a foil corresponding to the mean of the smallest
and largest items only, the former is preferred to the latter, even when
observers are explicitly instructed to average only the smallest and largest
items. Experiment 3 showed that a test item corresponding to the mean size of the
set could be reliably discriminated from a foil but the largest item in the set,
differing by an equivalent amount, could not. In Experiment 4, observers rejected
test items dissimilar to the mean size of the set in a member identification
task, favoring test items that corresponded to the mean of the set over items
that were actually shown. These findings suggest that mean representation is
accomplished without explicitly encoding individual items.
PMID- 21903187
TI - [Products for hand hygiene and antisepsis: use by health professionals and
relationship with hand eczema].
AB - Hand hygiene is the most important measure for the prevention of nosocomial
infection. We describe the different products available for hygiene and
antisepsis of the hands and the use of these products in daily practice. Hand
hygiene products such as soaps and detergents are a cause of irritant dermatitis
in health professionals. This irritation is one of the principal factors
affecting their use in clinical practice. Alcohol-based products are better
tolerated and less irritant than soap and water; irritation should not therefore
be a limiting factor in the use of these products and they are to be recommended
in place of soap and water. Informative and continued education programs could
increase their use.
PMID- 21903188
TI - A different perspective on income inequality in America.
PMID- 21903189
TI - Reflections on a medical school symphony orchestra.
PMID- 21903190
TI - Prior knowledge of HPV status improves detection of CIN2+ by cytology screening.
AB - OBJECTIVE: The objective of the study was to investigate whether knowledge of
human papillomavirus (HPV) deoxyribonucleic acid test results increases
sensitivity of guided cytology screening for the detection of cervical
intraepithelial neoplasia (CIN)-2 or higher-grade cervical lesions. STUDY DESIGN:
This was a prospective colposcopy-controlled study of 2905 BD SurePath samples to
identify cases with CIN2+ within a 24 month follow-up period. Sensitivity and
specificity to detect CIN2+ was evaluated, comparing guided cytology screening
with and without prior knowledge of HPV status. RESULTS: Prior knowledge of HPV
status resulted in significantly higher detection rate of CIN2+ compared with
screening blinded to HPV status (P = .005) with limited loss of specificity (P =
.026). Gain in sensitivity is higher in older women (43.8%, P = .008) vs in
younger women (10.2%, P = .317), whereas loss of specificity is more pronounced
in younger women (P < .001) vs older women (P = .729). CONCLUSION: Guided
cytological screening performed with prior knowledge of HPV status results in an
improved detection of CIN2 or higher-grade lesions.
PMID- 21903191
TI - Angiogenic factors as diagnostic tests for preeclampsia: a performance comparison
between two commercial immunoassays.
AB - OBJECTIVE: Placental growth factor and soluble Fms-like tyrosine kinase-1 may be
potential diagnostic markers of preeclampsia. We compared performances of 2
immunoassays, the Triage placental growth factor assay and the Elecsys soluble
Fms-like tyrosine kinase-1/placental growth factor ratio in diagnosing
preeclampsia. STUDY DESIGN: A single site, case-control study of 44 patients with
preeclampsia and 84 matched normal pregnant controls. Samples were collected at
the time of diagnosis. Assays were performed according to product inserts.
RESULTS: Both assays had optimal performance in diagnosing early-onset
preeclampsia with area under the receiver operating characteristic curves of 0.99
(Triage: 100% sensitivity, 96% specificity; Elecsys: 64% sensitivity, 100%
specificity for early-onset preeclampsia). Reassignment of the Elecsys cutoff for
a positive test based on receiver operating characteristic curves increased
sensitivity to 92%. CONCLUSION: Using product insert cutoffs, Triage appears to
have greater sensitivity at only a small reduction in specificity compared with
Elecsys in the diagnosis of early-onset preeclampsia. A different cutoff may
improve Elecsys sensitivity.
PMID- 21903192
TI - Potential reduction in neural tube defects associated with use of Metafolin
fortified oral contraceptives in the United States.
AB - OBJECTIVE: The objective of the study was to estimate the potential reduction of
neural tube defects (NTDs) through the use of Metafolin-fortified oral
contraceptives (OCs) in the United States. STUDY DESIGN: A population-based
decision analytic model was developed to estimate the benefits of increased red
blood cell (RBC) folate levels through the use of Metafolin-fortified OCs on NTD
risk during pregnancy. We modeled women who began the year taking Metafolin
fortified or traditional OCs. Folate levels were derived from the National Health
and Nutrition Examination Survey and clinical trial data. NTD risk was estimated
by applying a published risk equation to respective RBC folate levels. RESULTS:
The number of predicted NTD cases declined by 23.7% to 31.4%, depending on median
baseline folate levels in women taking a fortified OC compared with taking a
traditional OC. CONCLUSION: Metafolin-fortified OCs have the potential to reduce
the number of folate-dependent NTDs among current and recent OC users.
PMID- 21903193
TI - Factors influencing uptake of intrauterine devices among postpartum adolescents:
a qualitative study.
AB - OBJECTIVE: We assessed barriers and facilitators to uptake of the intrauterine
device (IUD) among primiparous African American adolescent mothers. STUDY DESIGN:
Twenty participants who expressed IUD desire completed 4-5 qualitative interviews
during the first postpartum year as part of a larger longitudinal study.
Transcripts were analyzed for salient themes using a grounded theory approach to
content analysis. RESULTS: Twelve participants did not obtain IUDs and instead
used condoms, used no method, or intermittently used hormonal methods, resulting
in 3 repeat pregnancies. Outdated IUD eligibility requirements, long wait times,
lack of insurance coverage, and fear of IUD-related side effects precluded or
delayed uptake. Facilitators to IUD uptake included strong recommendations from
providers or family members, planning for IUD during pregnancy, and perceived
reproductive autonomy. CONCLUSION: Postpartum adolescents may reduce their risk
of rapid repeat pregnancy by using IUDs. Providers and members of adolescents'
support networks can be instrumental in method adoption.
PMID- 21903194
TI - Antenatal suspicion of ischemic placental disease and coexistence of maternal and
fetal placental disease: analysis of over 500 cases.
AB - OBJECTIVE: To investigate the antenatal suspicion of placental disease and the
coexistence of maternal and fetal placental ischemic disease. STUDY DESIGN: A
prospective cohort study on normally formed singleton infants from 2000 to 2008
inclusive with placental ischemic disease. RESULTS: Uteroplacental ischemia or
fetoplacental thrombotic vasculopathy was identified in 511 of 74,857 births
(7/1000 births). Four hundred fifty-nine cases met the inclusion criteria.
Maternal and fetal placental vascular disease coexisted in 9.2% (n = 42) of
cases. Placental ischemic disease was suspected antenatally in 70% (324/459).
Maternal placental disease occurred in 40% (184/459) and 30% (140/459) had fetal
pathology. The perinatal mortality rate was 12.7/1000. Antenatal suspicion of
placental disease led to increased obstetric intervention and delivery of small
for-gestational age infants. CONCLUSION: Maternal and fetoplacental vascular
disease coexisted in 9.2%. Placental disease was suspected antenatally in 70% of
cases and was associated with increased rates of obstetric intervention.
PMID- 21903195
TI - [Assessment of left ventricular twist mechanics by two-dimensional strain in
severe aortic stenosis with preserved ejection fraction].
AB - Left ventricular (LV) twist is increased in aortic stenosis (AS) and the
hypothesis of a compensatory mechanism is suggested but not established. Our aim
was to assess LV twist mechanics in severe AS (<1cm(2) or 0.6cm(2)/m(2)) with
preserved LV ejection fraction (LVEF>50%), and to analyze its relationship with
LV systolic longitudinal function, early impaired in this setting, LV diastolic
function, and symptomatic status. METHODS: Forty-five consecutive patients with
severe AS and preserved LVEF (mean age 73+/-11 years, 47% female, LVEF 68+/-11%,
67% symptomatic) underwent a transthoracic echocardiography including a
bidimensional strain analysis by speckle tracking method, and were compared to a
control group matched for age and sex (n=15). Global longitudinal strain (GLS)
was measured using the four, two, and three apical views, and LV twist mechanics
from the basal and apical short axis views. LV twist was defined as the net
difference between apical and basal rotation, and LV twisting and untwisting rate
(in degrees /s) were derived from twist curves. RESULTS: Peak apical rotation, LV
twist (25+/-8 degrees vs 20+/-6), as well as peak systolic and diastolic apical
rotation rate, and peak LV twisting rate were significantly higher in patients
with AS when compared to controls (all, P<0.05), whereas, the other parameters of
LV twist mechanics including basal rotation, were not significantly different
between groups. By contrast, the GLS was significantly lower in patients with AS
when compared to controls (-17.9+/-4 vs -20.5+/-2%, P<0.01). In addition, the GLS
was significantly correlated to LV torsion (r=-0.42, P<0.01). Moreover, LV twist
progressively impaired with the worsening of diastolic dysfunction and with
symptoms onset. CONCLUSION: LV twist is increased in severe AS with preserved
LVEF, compensating the impairment of systolic longitudinal function. However,
above a certain threshold LV twist deteriorates, attesting the failure of the
compensatory mechanisms, leading to advanced diastolic dysfunction and symptom
onset.
PMID- 21903196
TI - Plaque rupture and morphological characteristics of the culprit lesion in acute
coronary syndromes without significant angiographic lesion: analysis by
intravascular ultrasound.
AB - PURPOSE: To evaluate by intravascular ultrasound (IVUS) the characteristics of
the culprit lesion with plaque rupture without significant angiographic stenosis
after acute coronary syndromes (ACS). PATIENTS AND METHODS: After ACS, IVUS was
performed in 68 patients (46.8 years+/-11.9) without significant angiographic
stenosis (31+/-15%). Plaque rupture was defined as a cavity within the plaque,
communicating with the arterial lumen and having an overlying residual fibrous
cap fragment. Qualitative analysis defined the type of plaque, and quantitative
analysis evaluated plaque plus media area, plaque volume, plaque burden, and
arterial remodeling index. Patients were divided into two groups: Group I with
plaque rupture (25 patients) and Group II without plaque rupture (43 patients).
RESULTS: All patients with rupture showed soft or mixed plaque but no calcified
plaque. In Group I, plaque rupture was associated with a larger plaque burden
(49.8+/-12.3% vs. 39.8+/-12.1%, P<.0005), a more significant plaque plus media
area (7.44+/-2.9 vs. 5.24+/-2.4mm(2), P<.001), a greater plaque volume (151.9+/
103.4 vs. 99.2+/-81.6mm(3), P<.007), and a higher ratio of plaque volume over
length (8.0+/-3.8 vs. 5.6+/-3.7mm(3)/mm, P<.003). In Group I, positive remodeling
was more frequent than intermediate remodeling (P<.03) or negative remodeling
(P<.005). In Group II, there was no significant difference between the three
types of remodeling. CONCLUSION: The plaque ruptures responsible for ACS
frequently appear on voluminous plaques with a large plaque burden and positive
arterial remodeling.
PMID- 21903197
TI - An in vitro comparison of dentifrice formulations in three distinct oral
microbiotas.
AB - OBJECTIVES: In vitro biofilm models, representative of some aspects of nascent,
supra-gingival plaques (Hydroxyapatite Disc Biofilm Models), developed supra
gingival plaques (Modified Drip-flow Biofilm Reactors) and sub-gingival plaques
(Multiple Sorbarod Devices) were used to compare the antimicrobial effects of a
triclosan-containing dentifrice with a stannous fluoride and zinc lactate
combination. DESIGN: Triplicate salivary biofilm microcosms were maintained for
2d (hydroxyapatite discs), 5d (Sorbarods) or up to 6d (drip flow reactors).
Dentifrice slurries (10%, w/v) were added once to the discs and repeatedly to the
Drip Flow Reactors and Sorbarods. Plaques were analysed by differential culture
and gravimetrically. RESULTS: Whilst both dentifrices were comparably effective
at reducing viability and plaque accumulation in mature supragingival plaques,
the triclosan dentifrice produced comparatively larger reductions in total
streptococci and anaerobes in nascent plaques (p<0.05) and greater reductions in
Gram-negative anaerobes and streptococci in subgingival plaques. CONCLUSIONS: We
have used a multi-model approach to determine the effectiveness and specificity
of dentifrices against compositionally distinct plaques. Whilst both formations
reduced bacterial viability and plaque accumulation, their effects could be
differentiated in nascent and deep plaques where the triclosan dentifrice caused
larger viability reductions.
PMID- 21903198
TI - Reduced proactive inhibition in schizophrenia is related to corticostriatal
dysfunction and poor working memory.
AB - BACKGROUND: Inhibitory control is central to executive functioning and appears
deficient in schizophrenia. However, it is unclear how inhibitory control is
affected, what the underlying neural mechanisms are, whether these deficits are
related to the illness itself or to increased risk for the illness, and whether
there is a relation to impairments in other executive functions. METHODS: We used
functional magnetic resonance imaging to investigate two forms of inhibitory
control: proactive inhibition (anticipation of stopping) and reactive inhibition
(outright stopping). Twenty-four schizophrenia patients, 24 unaffected siblings,
and 24 healthy control subjects performed a modified version of the stop-signal
paradigm. To assess the relation between performance on inhibitory control and
other executive functions, we correlated inhibitory control indices with working
memory span. RESULTS: Compared with control subjects, proactive inhibition was
reduced in patients and siblings. Reactive inhibition was unaffected. Reduced
proactive inhibition was associated with a failure to activate the right
striatum, the right inferior frontal cortex, and the left and right
temporoparietal junction. Activation during reactive inhibition was unaffected.
Those patients with the least proactive inhibition also showed the shortest
working memory span. CONCLUSIONS: These results suggest that schizophrenia is
associated with reduced proactive inhibition, probably resulting from
corticostriatal dysfunction. This deficit is related to an increased risk for
schizophrenia and likely reflects a general executive function deficit rather
than a specific inhibitory control impairment.
PMID- 21903200
TI - Changes in gray matter volume and white matter microstructure in adolescents with
obsessive-compulsive disorder.
AB - BACKGROUND: There is a paucity of neuroimaging data in pediatric-onset obsessive
compulsive disorder (OCD). This multimodal neuroimaging study aimed to identify
structural gray (GM) and white matter (WM) microstructure changes in pediatric
OCD. METHODS: We obtained structural and diffusion tensor magnetic resonance
images from 26 OCD patients and 26 matched healthy adolescents. We carried out a
series of image analyses including, volumetric and shape analysis of subcortical
gray structures, as well as voxel-based morphometry on GM volume and fractional
anisotropy of the WM. RESULTS: Patients had increased GM volume in the caudate
bilaterally and right putamen. Shape analyses revealed specific hypertrophy of
the dorsal caudate in pediatric OCD. The striatum was larger in healthy boys
compared with healthy girls, whereas such a gender effect was not seen in the OCD
group. OCD subjects showed higher fractional anisotropy values in left inferior
longitudinal fasciculus, bilateral superior longitudinal fasciculus, right
inferior fronto-occipital fasciculus, bilateral corticospinal tract, corpus
callosum splenium and genu, bilateral forceps major, bilateral forceps minor,
left cingulum, and right uncinate fasciculus. OCD symptom severity was positively
correlated with GM volume in right insula, posterior orbitofrontal cortex,
brainstem, and cerebellum and inversely correlated with widespread reduction in
cortical GM volume. Furthermore, symptom severity positively correlated with
increased WM fractional anisotropy in various WM tracts, including the anterior
limb of the internal capsule. CONCLUSIONS: Adolescents with OCD had a wide range
of GM and WM changes compared to healthy control subjects that are broadly
consistent with those identified in the adult OCD literature but are more
extensive.
PMID- 21903199
TI - Association of somatic and cognitive depressive symptoms and biomarkers in acute
myocardial infarction: insights from the translational research investigating
underlying disparities in acute myocardial infarction patients' health status
registry.
AB - BACKGROUND: Somatic depressive symptoms and certain biomarkers are each
associated with worse acute myocardial infarction (AMI) prognosis, but the
relationship between depressive symptom domains and inflammatory, neurohormonal,
and coagulation markers is unknown. METHODS: We examined the relationship between
depressive symptoms and 1-month biomarker levels (high-sensitivity C-reactive
protein [hs-CRP], N-terminal pro-brain natriuretic peptide [NT-proBNP], white
blood cell [WBC], platelet counts) in 1265 AMI patients. Depressive symptoms (9
item Patient Health Questionnaire) were assessed during index hospitalization and
categorized as somatic or cognitive. Using median regression models, the upper
quartile of somatic and cognitive depression scores and each biomarker were
compared with the lower three quartiles, adjusting for site, demographics, and
clinical characteristics. RESULTS: Although hs-CRP values were higher in patients
with somatic symptoms, this association was attenuated after adjustment (B(per SD
increase) = .02, 95% confidence interval: .00; .05, p = .07). WBC count was
independently associated with somatic depressive symptoms (B(per SD increase) =
.28, 95% confidence interval: .12; .44, p < .001). Cognitive depressive symptoms
were not associated with hs-CRP or WBC count. Neither dimension was associated
with NT-proBNP or platelet levels. For each biomarker, the depression dimensions
explained <1% of their variation. CONCLUSIONS: Neither somatic nor cognitive
depressive symptoms were meaningfully associated with hs-CRP, NT-proBNP, WBC, or
platelet counts 1 month after AMI, suggesting that the association between
depression and long-term outcomes may be unrelated to these biomarkers. Future
research should explore other biomarkers to better illuminate pathways by which
depression adversely impacts AMI prognosis.
PMID- 21903201
TI - Lipopolysaccharide structures of Helicobacter pylori wild-type strain 26695 and
26695 HP0826::Kan mutant devoid of the O-chain polysaccharide component.
AB - We describe a re-investigation of the structure of the lipopolysaccharide (LPS)
from Helicobacter pylori genomic strain 26695 and its corresponding HP0826::Kan
mutant lacking the O-chain component based on the in-depth NMR analysis of the
oligosaccharide products obtained through the use of various degradation
procedures performed on the purified LPS from both strains, as well as CE-MS
data. New structural evidence indicates the presence of the linear arrangement of
glucan and heptan portions of the LPS attached through -6-alpha-DDHep-3-alpha-L
Fuc-3-beta-GlcNAc- fragment to the inner core DD-heptose residue. This structure
differs from previously reported structures of the H. pylori 26695 LPS in several
aspects.
PMID- 21903202
TI - Differentiating the 2,3-diols of glucopyranosides by 4,6-O-benzylidene-protected
1,2-D-glucopyranosylorthoesters strategy.
AB - A facile and efficient method to differentiate the 2,3-diols of glucopyranosides
based on 1,2-orthoesters strategy was developed. Stable thioglucosides were
employed as the starting materials to prepare the corresponding 1,2-orthoesters.
When treated with HCl aqueous solution and followed with Et(3)N, differentiation
of the 2,3-diols was efficiently achieved along with the generation of a
convertible anomeric hydroxyl group. In addition, an easy and practical method
based on NOE was proposed to determine whether the 1,2-orthoesters were endo-type
or exo-type.
PMID- 21903203
TI - Wide sugar substrate specificity of galactokinase from Streptococcus pneumoniae
TIGR4.
AB - Galactokinases (GALK) have attracted significant research attention for their
potential application in the enzymatic synthesis of unique sugar phosphates. The
galactokinase (GalKSpe4) cloned from Streptococcus pneumoniae TIGR4 had a
temperature optimum of 45 degrees C, and a pH optimum of 8.0. The substrate
specificity and kinetics studies revealed that GalKSpe4 had moderate activity
toward glucose, in contrast with very low or no activity observed in other
previously reported GALKs. Most interestingly, GalKSpe4 exhibited activity for
GalNAc, which had never been recorded in other GALKs found by now. This is the
first time to report that bacterial GALK can recognize GalNAc.
PMID- 21903204
TI - Regioselective monoacylation of 2-O-alpha-D-glucopyranosyl-L-ascorbic acid by a
polymer catalyst in N,N-dimethylformamide.
AB - 6-O-Dodecanoyl-2-O-alpha-D-glucopyranosyl-L-ascorbic acid (6-sDode-AA-2G) was
synthesized from 2-O-alpha-D-glucopyranosyl-L-ascorbic acid and lauric anhydride
with a polymer catalyst, poly(4-vinylpyridine), in N,N-dimethylformamide without
the introduction of protecting groups. The optimum reaction conditions enabled 6
sDode-AA-2G to be synthesized in a yield of 49.7%. The yield and the
regioselectivity in this method were far superior to those in our previous method
by using an enzyme. The polymer catalyst could be recycled more than five times
without any significant activity loss.
PMID- 21903205
TI - Studies on the synthesis and the antimicrobial and antioxidant activities of a
novel class of fluorescein-based glycosides.
AB - Facile glycosylation of a fluorescein diol derivative with per-O-acetyl/benzoyl
sugar derivatives using BF(3).Et(2)O catalyst resulted in the formation of the
expected glycosides in 54-66% yield. The biological screening of the glycosides
against different microbes shows good inhibitory activity. The antioxidant
activity of the fluorescein-based glycosides shows remarkable inhibition (IC(50)
~80%).
PMID- 21903206
TI - Inflammation-inducing Th1 and Th17 cells differ in their expression patterns of
apoptosis-related molecules.
AB - Th1 cells are remarkably more susceptible to activation induced cell death than
Th17. Here, we compared cultures of these two cell subpopulations for their
expression of apoptosis-related molecules when re-exposed to their specific
antigen. We also compared the expression of apoptosis-related molecules in the
mouse eye with inflammation induced by Th1 or Th17 cells. Using qPCR we found
that the mRNA transcript levels of the majority of tested apoptosis-related
molecules were higher in the Th1 cultures, and in eyes with Th1-induced
inflammation. Apoptotic intrinsic pathway molecules played minor roles in the
processes in vitro or in vivo, whereas extrinsic pathway molecules, as well as PD
1, its ligands and Tim3, were heavily involved.
PMID- 21903209
TI - Romantic relationship status biases memory of faces of attractive opposite-sex
others: evidence from a reverse-correlation paradigm.
AB - Previous research has demonstrated that, presumably as a way to protect one's
current romantic relationship, individuals involved in a heterosexual romantic
relationship tend to give lower attractiveness ratings to attractive opposite-sex
others as compared to uninvolved individuals (i.e., the derogation effect). The
present study importantly extends this research by examining whether romantic
relationship status actually biases memory for the facial appearance of
attractive (vs. unattractive) mates. To address this issue, we used a reverse
correlation technique (Mangini & Biederman, 2004), originally developed to get a
visual approximation of an individual's internal representation of a target
category or person. In line with the derogation effect, results demonstrated that
romantically involved (vs. uninvolved) individuals indeed held a less attractive
memory of a previously encountered attractive mate's face. Interestingly, they
also held a more attractive memory of an unattractive mate's face as compared to
uninvolved individuals. This latter finding may suggest that romantically
involved (as compared to uninvolved) individuals differentiate opposite-sex
others along the attractiveness dimension less.
PMID- 21903207
TI - Enhancement of HLA class II-restricted CD4+ T cell recognition of human melanoma
cells following treatment with bryostatin-1.
AB - The majority of melanoma cells express detectable levels of HLA class II
proteins, and an increased threshold of cell surface class II is crucial for the
stimulation of CD4+ T cells. Bryostatin-1, a protein kinase C (PKC) activator,
has been considered as a potent chemotherapeutic agent in a variety of in vitro
tumor models. Little is known about the role of bryostatin-1 in HLA class II Ag
presentation and immune activation in malignant tumors, especially in melanoma.
In this study, we show that bryostatin-1 treatment enhances CD4+ T cell
recognition of melanoma cells in the context of HLA class II molecules. We also
show that bryostatin-1 treatment of melanoma cells increases class II protein
levels by upregulating the class II transactivator (CIITA) gene. Flow cytometry
and confocal microscopic analyses revealed that bryostatin-1 treatment
upregulated the expression of costimulatory molecules (CD80 and CD86) in melanoma
cells, which could prolong the interaction of immune cells and tumors. Bryostatin
1 also induced cellular differentiation in melanoma cells, and reduced
tumorigenic factors such as pro-cathepsins and matrix-metalloproteinase-9. These
data suggest that bryostatin-1 could be used as a chemo-immunotherapeutic agent
for reducing tumorigenic potential of melanoma cells while enhancing CD4+ T cell
recognition to prevent tumor recurrence.
PMID- 21903211
TI - [Treatment of anemia in heart failure: iron first?].
PMID- 21903210
TI - Blood-based biomarkers of selenium and thyroid status indicate possible adverse
biological effects of mercury and polychlorinated biphenyls in Southern Beaufort
Sea polar bears.
AB - We examined biomarkers of selenium status (whole blood Se; serum Se; glutathione
peroxidase activity) and thyroid status (concentrations and ratios of thyroxine,
T4; tri-iodothyronine, T3; albumin) in polar bears to assess variations among
cohorts, and relationships to circulating concentrations of contaminants.
Concentrations of total mercury (Hg) in whole blood were similar among cohorts
(prime aged males and females, older animals, ages>=16 years, and young animals,
ages 1-5 years; 48.44+/-35. 81; p=0.253). Concentrations of sum of seven
polychlorinated biphenyls (?PCB7) in whole blood were greater in females (with
and without cubs, 26.44+/-25.82 ng/g ww) and young (26.81+/-10.67 ng/g ww)
compared to males (8.88+/-5.76 ng/g ww, p<0.001), and significantly related to
reduced body condition scores (p<0.001). Concentrations of Se and albumin were
significantly greater in males than females (whole blood Se, males, 42.34 pmol/g
ww, females, 36.25+/-6.27 pmol/g ww, p=0.019; albumin, males, 4.34+/-0.34 g/dl,
females, 4.10+/-0.29 g/dL, p=0.018). Glutathione peroxidase activity ranged from
109.1 to 207.8 mU/mg hemoglobin, but did not differ significantly by sex or age
(p>0.08). Thyroid hormones were greater in females (solitary females and females
with cubs) compared to males (p<0.001). Biomarkers of Se status and
concentrations of T3 were significantly positively related to Hg in all prime
aged polar bears (p<0.03). Albumin concentrations were significantly positively
related to total TT4, and significantly negatively related to concentrations of
?PCB7 (p<0.003). Total thyroxine (TT4) was significantly negatively associated
with blood concentrations of ?PCB7 in solitary females (p=0.045). These data
suggest that female polar bears were more susceptible to changes in blood-based
biomarkers of selenium and thyroid status than males. Further classifications of
the physiologic states of polar bears and repeated measures of individuals over
time are needed to accurately assess the biological impact of combined toxicant
exposures.
PMID- 21903212
TI - Current status and perspectives of the development of dental research in
biological anthropology of Argentina: introduction and conclusions of the
symposium.
AB - This paper describes and discusses the research in the field of dental
anthropology in Argentina. It has been presented at the symposium entitled "The
development of dental research in Argentine Biological Anthropology: current
status and perspectives", coordinated by the authors at the IX National Meeting
of Biological Anthropology of Argentina, Puerto Madryn, 20th-23rd October 2009.
The aim of the symposium was to present new results and future prospects of this
discipline in the country and to create a forum for discussion of current
research within this field. Six contributions that focused on the study of teeth
from different perspectives and analysed bioarchaeological samples from different
areas of Argentina (Central Highlands, Pampa and Patagonia) were presented. After
the presentations, a discussion about the state of the art of dental research in
the country was generated, in which the need for the generation of methodological
consensus on the criteria for the evaluation of the variables considered was
stated, so that research conducted in different areas can be compared. In short,
the contributions of this symposium provide insights into the diversity of dental
anthropology in contemporary Argentina and the potential of these types of
studies to gain important information about biological and cultural aspects of
the native populations in the country.
PMID- 21903214
TI - Determining the optimal system-specific cut-off frequencies for filtering in
vitro upper extremity impact force and acceleration data by residual analysis.
AB - The fundamental nature of impact testing requires a cautious approach to signal
processing, to minimize noise while preserving important signal information.
However, few recommendations exist regarding the most suitable filter frequency
cut-offs to achieve these goals. Therefore, the purpose of this investigation is
twofold: to illustrate how residual analysis can be utilized to quantify optimal
system-specific filter cut-off frequencies for force, moment, and acceleration
data resulting from in-vitro upper extremity impacts, and to show how optimal cut
off frequencies can vary based on impact condition intensity. Eight human cadaver
radii specimens were impacted with a pneumatic impact testing device at impact
energies that increased from 20J, in 10J increments, until fracture occurred. The
optimal filter cut-off frequency for pre-fracture and fracture trials was
determined with a residual analysis performed on all force and acceleration
waveforms. Force and acceleration data were filtered with a dual pass, 4th order
Butterworth filter at each of 14 different cut-off values ranging from 60Hz to
1500Hz. Mean (SD) pre-fracture and fracture optimal cut-off frequencies for the
force variables were 605.8 (82.7)Hz and 513.9 (79.5)Hz, respectively. Differences
in the optimal cut-off frequency were also found between signals (e.g. Fx (medial
lateral), Fy (superior-inferior), Fz (anterior-posterior)) within the same test.
These optimal cut-off frequencies do not universally agree with the
recommendations of filtering all upper extremity impact data using a cut-off
frequency of 600Hz. This highlights the importance of quantifying the filter
frequency cut-offs specific to the instrumentation and experimental set-up.
Improper digital filtering may lead to erroneous results and a lack of
standardized approaches makes it difficult to compare findings of in-vitro
dynamic testing between laboratories.
PMID- 21903213
TI - Scaphoid morphology and clinical outcomes in scaphoid reconstructions.
AB - Scaphoid malunion and carpal malalignment can result after scaphoid
reconstruction, if the two fragments are not properly reduced before fixation.
However, currently there is no information about which degree of deformity or
malalignment can be tolerated without impairing clinical function. The purpose of
this study was to investigate the influence of the scaphoid morphology and carpal
alignment on clinical outcomes after scaphoid reconstruction. A total of 65
patients with an average age of 29 years were followed-up after a mean period of
45 months. In all patients, osseous union after a first-time scaphoid
reconstruction in the middle third had been confirmed. Scapholunate (SL) and
radiolunate (RL) angles were obtained on plain radiographs as were intrascaphoid
(ISA) and dorsal cortical (DCA) angles and the height/length (H/L) ratio of the
reconstructed scaphoid on computed tomography (CT) scans. These parameters were
correlated with clinical outcome measures. RL angles correlated significantly
with wrist range of motion, grip strength and pain levels, whilst SL angles, ISA,
DCA and H/L ratio failed to show significant correlations. Our data suggest that
clinical outcome is correlated with correct restoration of bone morphology and
carpal alignment. After reconstruction, the RL angle should not exceed 10 degrees
.
PMID- 21903215
TI - Separation of phenolic acids from natural plant extracts using molecularly
imprinted anion-exchange polymer confined ionic liquids.
AB - Polymer-confined ionic liquids were used for the separation of phenolic acids
from natural plant extract by utilizing an anion-exchange mechanism. They were
synthesized using molecular imprinting technique to reduce non-directional ion
ion interactions during anion-exchange and other interactions with interference
substances that could decrease selectivity. A suitable sorbent for phenolic acid
separation could be identified based on the adsorption behaviors of phenolic
acids on different polymer-confined ionic liquids. Thus, the developed ionic
liquid-based molecularly imprinted anion-exchange polymer (IMAP) achieved high
recovery rates by solid-phase extraction of phenolic acids from Salicornia
herbacea L. extract: 90.1% for protocatechuic acid, 95.5% for ferulic acid and
96.6% for caffeic acid. Moreover, the phenolic acids were separable from each
other by repeated solid phase extraction cycles. The proposed method could be
used to separate other phenolic acids or organic acids from complex samples.
PMID- 21903216
TI - Characterization of N-acetyltryptophan degradation products in concentrated human
serum albumin solutions and development of an automated high performance liquid
chromatography-mass spectrometry method for their quantitation.
AB - N-acetyltryptophan (NAT) has long been used as a stabilizer in some protein
solutions, such as human serum albumin, to prevent oxidative protein degradation.
However, the fate of NAT has not been discussed in literature. Two NAT
degradation products have been observed in concentrated albumin solutions (20%
and 25%) and identified as 1-acetyl-3a-hydroxy-1,2,3,3a,8,8a-hexahydropyrrolo[2,3
b]indole-2-carboxylic acid and 1-acetyl-3a,8a-dihydroxy-1,2,3,3a,8,8a
hexahydropyrrolo[2,3-b]indole-2-carboxylic acid. To monitor the levels of these
two previously unidentified NAT degradation products in concentrated albumin
solutions, a fully automated method, incorporating online size exclusion
chromatography (SEC) trapping and reversed-phase high performance liquid
chromatography-mass spectrometry (HPLC-MS) with multiple reaction monitoring
(MRM) analysis, has been developed and validated for their quantitative analysis.
The method does not require an internal standard. The only sample manipulation is
to obtain an albumin concentration of 4% in all standards and test HPLC samples.
A limit of quantitation (LOQ) as low as 20 ng/mL has been achieved for both
compounds. This method can readily be adopted for the quantitative determination
of other small molecules in concentrated protein solutions.
PMID- 21903217
TI - Micelle to solvent stacking of organic cations in micellar electrokinetic
chromatography with sodium dodecyl sulfate.
AB - The on-line sample concentration technique, micelle to solvent stacking (MSS),
was studied for small organic cations (quaternary ammonium herbicides, beta
blocker drugs, and tricyclic antidepressant drugs) in reversed migration micellar
electrokinetic chromatography. Electrokinetic chromatography was carried out in
fused silica capillaries with a background solution of sodium dodecyl sulfate
(SDS) in a low pH phosphate buffer. MSS was performed using anionic SDS micelles
in the sample solution for analyte transport and methanol or acetonitrile as
organic solvent in the background solution for analyte effective electrophoretic
mobility reversal. The solvent also allowed for the separation of the analyte
test mixtures. A model for focusing and separation was developed and the mobility
reversal that involved micelle collapse was experimentally verified. The effect
of analyte retention factor was observed by changing the % organic solvent in the
background solution or the concentration of SDS in the sample matrix. With an
injection length of 31.9 cm (77% of effective capillary length) for the 7 test
drugs, the LODs (S/N=3) of 5-14 ng/mL were 101-346-fold better when compared to
typical injection. The linearity (R(2), range=0.025-0.8 MUg/mL), intraday and
interday repeatability (%RSD, n=10) were >=0.988, <6.0% and <8.5%, respectively.
In addition, analysis of spiked urine samples after 10-fold dilution with the
sample matrix yielded LODs=0.02-0.10 MUg/mL. These LODs are comparable to
published electrophoretic methods that required off-line sample concentration.
However, the practicality of the technique for more complex samples will rely on
dedicated sample preparation schemes.
PMID- 21903218
TI - Chitosan and silver nanoparticles as pudding with raisins with antimicrobial
properties.
AB - Chitosan nanoparticles (CS-NP) containing small silver nanoparticles are reported
(Ag@CS-NP). CS-NP was synthesized using tripolyphosphate (TPP) as a polyanionic
template. TPP also served to electrostatically attract Ag(+) inside CS-NP, where
it was reduced by the terminal glucosamine units of the biopolymer. This
procedure is environmental friendly, inexpensive, and permits the synthesis of
very small AgNP (0.93-1.7 nm), with only a discrete dependence from the amount of
silver nitrate used (5-200mg). The obtained hybrid nanocomposites Ag@CS-NP were
characterized by DLS, HRTEM, and HAADF-STEM presenting a mean hydrodynamic
diameter of 78 nm. The antimicrobial activity of Ag@CS-NP against Candida
glabrata, Sacharomyces cerevisiae, Escherichia coli, Klebsiella pneumoniae,
Salmonella, Staphylococcus aureus, and Bacillus cereus corresponded to MIC values
lower than for AgNO(3).
PMID- 21903219
TI - Excess thermodynamic properties of thin water films confined between
hydrophobized gold surfaces.
AB - Surface forces between gold surfaces were measured in pure water at temperatures
in the range of 10-40 degrees C using an atomic force microscope (AFM). The
surfaces were hydrophobized by self-assembly of alkanethiols (C(n)SH) with n=2
and 16 in ethanol solutions. The data were used to determine the changes in
excess free energies (DeltaG(f)) of the thin water films per unit area by using
the Derjaguin approximation [1]. The free energy data were then used to determine
the changes in excess film entropy (DeltaS(f)) and the excess film enthalpy
(DeltaH(f)) per unit area. The results show that both DeltaS(f) and DeltaH(f)
decrease with decreasing film thickness, suggesting that the macroscopic
hydrophobic interaction involves building some kind of structures in the
intervening thin films of water. It was found that |DeltaH(f)|>|TDeltaS(f)|,
which is a necessary condition for an attractive force to appear when the
enthalpy and entropy changes are both negative. That macroscopic hydrophobic
interaction is enthalpically driven is contrary to the hydrophobic interactions
at molecular scale. The results obtained in the present work are used to discuss
possible origins for the long-range attractions observed between hydrophobic
surfaces.
PMID- 21903220
TI - Properties of beta-sitostanol/DPPC monolayers studied with Grazing Incidence X
ray Diffraction (GIXD) and Brewster Angle Microscopy.
AB - Although the influence of structurally modified sterols on artificial membranes
has been intensively investigated, studies on the properties of stanols, which
are saturated analogs of sterols, are very rare. Therefore, we have performed
Grazing Incidence X-ray Diffraction (GIXD) experiments aimed at studying in-plane
organization of a plant stanol-beta-sitostanol monolayer and its mixtures with
1,2-dipalmitoyl-sn-glycero-3-phosphocholine - DPPC at the air/water interface.
The collected GIXD data, resulting in-plane parameters and BAM images provide
information on molecular organization and in-plane ordering of the investigated
films. It was found that the lateral organization of beta-sitostanol/DPPC
monolayers depends on their composition. The oblique structure of the in-plane
lattice of tilted hydrophobic region of molecules, found for DPPC film, is
maintained at 10 mol% of stanol in the system. However, at 30 and 90 mol% of
stanol in the mixture, the arrangement of molecules is hexagonal and they are
oriented perpendicularly to the interface. With the addition of stanol the extend
of the in-plane order of the monolayers decreases. Moreover, in mixtures the
ordered domains consist of both monolayer's components. Additionally, beta
sitostanol film is of similar in-plane organization as the corresponding sterol
monolayer (beta-sitosterol) and stanol induces condensing effect on DPPC.
PMID- 21903221
TI - Self-assembly of pH-sensitive mixed micelles based on linear and star copolymers
for drug delivery.
AB - Comicellization of a star block copolymer poly(epsilon-caprolactone)-block
poly(diethylamino)ethyl methacrylate (S(PCL-b-PDEAEMA)) and a linear block
copolymer methoxy poly(ethylene glycol)-block-poly(epsilon-caprolactone) (mPEG-b
PCL) was developed to enhance the stability and lower the cytotoxicity of the
micelles. The two copolymers self-assembled into the mixed micelles with a common
PCL core surrounded by a mixed PDEAEMA/mPEG shell in aqueous solution. This core
shell structure was transformed to the core-shell-corona structure at high pH due
to the collapse of the PDEAEMA segment. The properties of the polymeric micelles
were greatly dependent on the weight ratio of the two copolymers and the external
pH. As increasing the mPEG-b-PCL content, the size and the zeta potential of the
mixed micelles were lowered while the pH-dependent stability and the
biocompatibility were improved. Moreover, an increase in pH accelerated the
release of indomethacin (IND) from the mixed micelles in vitro. These results
augured that the mixed micelles could be applied as a stable pH-sensitive release
system.
PMID- 21903222
TI - Synthesis of transparent aqueous sols of colloidal layered niobate nanocrystals
at room temperature.
AB - Transparent aqueous sols of colloidal tetramethylammonium niobate nanocrystals
were synthesized by mixing tetramethylammonium hydroxide (TMAOH), niobium
ethoxide, and water at TMAOH/Nb>=0.7 at room temperature. The X-ray diffraction
patterns of the thin films prepared by evaporating the colloidal solutions on a
glass substrate indicated that the colloidal niobate had a layered crystalline
structure. Two types of layered structures are known as a layered niobate, i.e.
M(4)Nb(6)O(17).nH(2)O and MNb(3)O(8) (M=H, H(3)O, or alkaline metal). Raman
spectra and electron diffraction suggested that the niobate nanocrystals were
similar in crystal structure to M(4)Nb(6)O(17).nH(2)O compounds. Moreover, when
niobium oxide thin films were fabricated from the niobate colloidal solutions by
the sol-gel method, oriented T-Nb(2)O(5) thin films, whose c-axis was parallel to
the substrate surface, were obtained. The orientation of the thin films was
probably attributed to the layered structure of the colloidal niobate
nanocrystals.
PMID- 21903223
TI - Linguistic labels: conceptual markers or object features?
AB - Linguistic labels affect inductive generalization; however, the mechanism
underlying these effects remains unclear. According to one similarity-based
model, SINC (similarity, induction, naming, and categorization), early in
development labels are features of objects contributing to the overall similarity
of compared entities, with early induction being similarity based. If this is the
case, then not only identical but also phonologically similar labels may
contribute to the overall similarity and thus to induction. These predictions
were tested in a series of experiments with 5-year-olds and adults. In
Experiments 1-5 participants performed a label extension task, whereas in
Experiment 6 they performed a feature induction task. Results indicate that
phonological similarity contributes to early induction and support the notion
that for young children labels are features of objects.
PMID- 21903225
TI - Implantation of a centrifugal pump as a left ventricular assist device through a
novel, minimized approach: upper hemisternotomy combined with anterolateral
thoracotomy.
PMID- 21903224
TI - An examination of the relation between conduct disorder, childhood and adulthood
traumatic events, and posttraumatic stress disorder in a nationally
representative sample.
AB - BACKGROUND: Clinical data has indicated that exposure to trauma and meeting
diagnostic criteria for posttraumatic stress disorder (PTSD) are common among
individuals with a history of conduct disorder. However, these relationships have
not been adequately examined in a population-based sample. METHODS: Data were
drawn from Wave 2 of the U.S. National Epidemiologic Survey on Alcohol and
Related Conditions (NESARC) collected in 2004-2005 (n = 34,653, response rate =
86.7%). Multivariate logistic regression analyses were conducted to examine
relations between conduct disorder, traumatic life events, and PTSD in the full
sample, and separately for males and females. RESULTS: The main findings indicate
that childhood maltreatment was associated with conduct disorder (Adjusted Odds
Ratio [AOR] ranging from 2.4 to 4.7) after adjustment for sociodemographic
variables. Additionally, respondents with a history of conduct disorder compared
to respondents without conduct disorder were more likely to report experiencing
any traumatic event (AOR = 2.7, 95% CI = 2.0-3.6) and PTSD (AOR = 2.2, 95% CI =
1.8-2.7) after adjusting for sociodemographic variables. Although sex differences
were noted, conduct disorder was associated with the greatest odds of assaultive
violence for males and females. The majority of individuals (72.9%) diagnosed
with both conduct disorder and PTSD developed conduct disorder symptoms before
PTSD symptoms. CONCLUSIONS: Results of this study provide the first known sex
stratified examination of the relationship between conduct disorder, traumatic
events, and PTSD in a large, population-based sample of adults and are consistent
with clinical impressions. Policy and clinical implications are discussed.
PMID- 21903226
TI - Metal (Al, Fe, Mn and Cu) distributions and origins of polycyclic aromatic
hydrocarbons (PAHs) in the surface sediments of the Marmara Sea and the coast of
Istanbul, Turkey.
AB - In this study, total metal (Al, Fe, Mn and Cu) and PAHs analyses have been done
in the surface sediments. Sediment samples have been collected from seven parts
of the Marmara Sea and the coast of Istanbul during 2009. Total Al, Fe, Mn and Cu
contents vary between 1.8% and 5.4%; 1.1% and 2.8%; 122 and 259 MUg g(-1); 27 and
416 MUg g(-1), respectively. EF and CF values of Fe and Mn are lower than 1.5 and
1, respectively, in all the stations. Total PAH contents range between 135 and
6009 ng g(-1) in the surface sediments. The origin of PAHs has been found
pyrolitic according to the Phe/Ant ratio in the all stations. Contrastingly, at
K0, MKC and MY1 Stations, PAH origins have been observed petrogenic according to
the Flu/Pyr ratio.
PMID- 21903227
TI - Ostreopsis cf. ovata bloom in the northern Adriatic Sea during summer 2009:
ecology, molecular characterization and toxin profile.
AB - Intense blooms of the benthic dinoflagellate Ostreopsis cf. ovata have occurred
in the northern Adriatic Sea since 2006. These blooms are associated with noxious
effects on human health and with the mortality of benthic organisms because of
the production of palytoxin-like compounds. The O. cf. ovata bloom and its
relationships with nutrient concentrations at two stations on the Conero Riviera
(northern Adriatic Sea) were investigated in the summer of 2009. O. cf. ovata
developed from August to November, with the highest abundances in September
(1.3*10(6) cells g(-1) fw corresponding to 63.8*10(3) cells cm(-2)). The presence
of the single O. cf. ovata genotype was confirmed by a PCR assay. Bloom developed
when the seawater temperature was decreasing. Nutrient concentrations did not
seem to affect bloom dynamics. Toxin analysis performed by high resolution liquid
chromatography-mass spectrometry revealed a high total toxin content (up to 75 pg
cell(-1)), including putative palytoxin and all the ovatoxins known so far.
PMID- 21903228
TI - Trace metals in sediment cores from Deception and Penguin Islands (South Shetland
Islands, Antarctica).
AB - This paper presents information on the levels of trace elements in sediments
collected at Deception and Penguin Islands and tracks the sources of natural and
anthropogenic inputs of metals into this sub-Antarctic region. The results
suggest that natural processes, such as volcanic activity, hydrothermal processes
and sediment transport, are more important than anthropogenic inputs in
accounting for the metal concentrations measured in sediments at Deception
Island. The higher levels of trace metals recorded in sediments at Penguin Island
seem to reflect the composition of the source rocks of the island, which are
dominated by the olivine-basalt group. Our findings show that human activities in
the study areas may contribute to negligible levels of trace metals associated
with anthropogenic inputs (e.g., Cr and Zn) in sediments, and these results can
be used in the future as background levels related to low anthropogenic impacts.
PMID- 21903229
TI - Who, when, and how? Marine planning stakeholder involvement preferences--a case
study of the Solent, United Kingdom.
AB - The introduction of a marine planning system throughout English territorial
waters over the next decade provides an opportunity for stakeholder input to the
management of the marine environment. Stakeholder involvement has been identified
as an important component of successful development and subsequent implementation
of marine planning but it has to be recognised that the views and interest of
stakeholders can vary greatly, thus the desire for involvement with the process
is unlikely to be uniform. This paper presents the views of stakeholders within
the Solent, United Kingdom on their potential involvement with the marine
planning process. Interestingly, it highlights a strong variability of views
within and across sectors. Assuming the situation in the Solent is typical of
groups of stakeholders throughout the country, the lack of uniformity in the
potential involvement from different stakeholders may present a challenge in
achieving a representative and truly collaborative marine planning process.
PMID- 21903230
TI - The blue anthocyanin pigments from the blue flowers of Heliophila coronopifolia
L. (Brassicaceae).
AB - Six acylated delphinidin glycosides (pigments 1-6) and one acylated kaempferol
glycoside (pigment 9) were isolated from the blue flowers of cape stock
(Heliophila coronopifolia) in Brassicaceae along with two known acylated cyanidin
glycosides (pigments 7 and 8). Pigments 1-8, based on 3-sambubioside-5-glucosides
of delphinidin and cyanidin, were acylated with hydroxycinnamic acids at 3
glycosyl residues of anthocyanidins. Using spectroscopic and chemical methods,
the structures of pigments 1, 2, 5, and 6 were determined to be: delphinidin 3-O
[2-O-(beta-xylopyranosyl)-6-O-(acyl)-beta-glucopyranoside]-5-O-[6-O-(malonyl)
beta-glucopyranoside], in which acyl moieties were, respectively, cis-p-coumaric
acid for pigment 1, trans-caffeic acid for pigment 2, trans-p-coumaric acid for
pigment 5 (a main pigment) and trans-ferulic acid for pigment 6, respectively.
Moreover, the structure of pigments 3 and 4 were elucidated, respectively, as a
demalonyl pigment 5 and a demalonyl pigment 6. Two known anthocyanins (pigments 7
and 8) were identified to be cyanidin 3-(6-p-coumaroyl-sambubioside)-5-(6-malonyl
glucoside) for pigment 7 and cyanidin 3-(6-feruloyl-sambubioside)-5-(6-malonyl
glucoside) for pigment 8 as minor anthocyanin pigments. A flavonol pigment
(pigment 9) was isolated from its flowers and determined to be kaempferol 3-O-[6
O-(trans-feruloyl)-beta-glucopyranoside]-7-O-cellobioside-4'-O-glucopyranoside as
the main flavonol pigment. On the visible absorption spectral curve of the fresh
blue petals of this plant and its petal pressed juice in the pH 5.0 buffer
solution, three characteristic absorption maxima were observed at 546, 583 and
635 nm. However, the absorption curve of pigment 5 (a main anthocyanin in its
flower) exhibited only one maximum at 569 nm in the pH 5.0 buffer solution, and
violet color. The color of pigment 5 was observed to be very unstable in the pH
5.0 solution and soon decayed. In the pH 5.0 solution, the violet color of
pigment 5 was restored as pure blue color by addition of pigment 9 (a main
flavonol in this flower) like its fresh flower, and its blue solution exhibited
the same three maxima at 546, 583 and 635 nm. On the other hand, the violet color
of pigment 5 in the pH 5.0 buffer solution was not restored as pure blue color by
addition of deacyl pigment 9 or rutin (a typical flower copigment). It is
particularly interesting that, a blue anthocyanin-flavonol complex was extracted
from the blue flowers of this plant with H(2)O or 5% HOAc solution as a dark blue
powder. This complex exhibited the same absorption maxima at 546, 583 and 635 nm
in the pH 5.0 buffer solution. Analysis of FAB mass measurement established that
this blue anthocyanin-flavonol complex was composed of one molecule each of
pigment 5 and pigment 9, exhibiting a molecular ion [M+1] (+) at 2102 m/z
(C(93)H(105)O(55) calc. 2101.542). However, this blue complex is extremely
unstable in acid solution. It really dissociates into pigment 5 and pigment 9.
PMID- 21903231
TI - Lagunamide C, a cytotoxic cyclodepsipeptide from the marine cyanobacterium
Lyngbya majuscula.
AB - Lagunamide C (1) is a cytotoxic cyclodepsipeptide isolated from the marine
cyanobacterium, Lyngbya majuscula, from the western lagoon of Pulau Hantu Besar,
Singapore. The complete structural characterization of the molecule was achieved
by extensive NMR spectroscopic analysis as well as chemical manipulations.
Several methods, including the advanced Marfey's method, a modified method based
on derivatization with Mosher's reagents and analysis using LC-MS, and the use of
(3)J(H-H) coupling constant values, were utilized for the determination of its
absolute configuration. Compound 1 is related to the aurilide-class of molecules
and it differs mainly in the macrocyclic structure by having a 27 membered ring
system due to additional methylene carbon in the polyketide moiety. Lagunamide C
displayed potent cytotoxic activity against a panel of cancer cell lines, such as
P388, A549, PC3, HCT8, and SK-OV3 cell lines, with IC(50) values ranging from 2.1
nM to 24.4 nM. Compound 1 also displayed significant antimalarial activity with
IC(50) value of 0.29 MUM when tested against Plasmodium falciparum. In addition,
lagunamide C exhibited weak anti-swarming activity when tested at 100 ppm against
the Gram-negative bacterial strain, Pseudomonas aeruginosa PA01.
PMID- 21903232
TI - Limonoids from the stems of Toona ciliata var. henryi (Meliaceae).
AB - Ten limonoids, toonacilianins A-J, and two norlimonoids, toonacilianins K and L,
together with seven known compounds were isolated from the stems of Toona ciliata
var. henryi (Meliaceae). Their structures were elucidated by spectroscopic
analysis. Two compounds showed strong cytotoxic activities.
PMID- 21903233
TI - Supportive care in neurooncology.
AB - The quality of life of patients treated for brain tumor is, in all cases, deeply
altered by the tumor and the treatments. Optimizing the symptomatic management is
a key objective for all care givers. We present in this paper a very pragmatic
focus concerning the management of intracranial hypertension (and/or neurological
deficits), venous thromboembolism, confusion, epilepsy and symptoms more directly
associated with the end of life.
PMID- 21903234
TI - Extent of resection influences outcomes for patients with gliomas.
AB - In recent years, advances in our understanding of the biology of low-grade
gliomas (LGG) and high-grade gliomas (HGG) have driven new paradigms in molecular
markers, diagnostic imaging, operative techniques and technologies, and adjuvant
therapies. Taken together, these developments are collectively pushing the
envelope towards improved quality of life and survival. Here, we review the
recent literature to synthesize a comprehensive review of the value of extent of
resection for LGGs and HGGs in the modern neurosurgical era.
PMID- 21903235
TI - [Multimodal magnetic resonance imaging of brain tumors].
AB - Magnetic resonance imaging arose as a reference for diagnosis, pre-therapeutic
and follow-up of brain tumors. Among parameters obtained from standard MRI (of
low specificity), only volumetric growth allows prognostic information. The
multiple "advanced" sequences have leaded to increase both sensitivity and
specificity of brain MRI. Yet, perfusion-weighted imaging and spectroscopy
provide metabolic information, and diffusion tensor imaging and cortical
activation provide functional information. Characterization, grading, therapeutic
management and follow-up have improved, with prognostic information.
PMID- 21903236
TI - Fate of N-nitrosodimethylamine, trihalomethane and haloacetic acid precursors in
tertiary treatment including biofiltration.
AB - The presence of disinfection by-products (DBPs) such as trihalomethanes (THMs),
haloacetic acids (HAAs) and N-nitrosamines in water is of great concern due to
their adverse effects on human health. In this work, the removal of N
nitrosodimethylamine (NDMA), total THM and five HAA precursors from secondary
effluent by biological activated carbon (BAC) is investigated at full and pilot
scale. In the pilot plant two filter media, sand and granular activated carbon,
are tested. In addition, we evaluate the influence of ozonation prior to BAC
filtration on its performance. Among the bulk of NDMA precursors, the fate of
four pharmaceuticals containing a dimethylamino moiety in the chemical structure
are individually investigated. Both NDMA formation potential and each of the
studied pharmaceuticals are dramatically reduced by the BAC even in the absence
of main ozonation prior to the filtration. The low removal of NDMA precursors at
the sand filtration in comparison to the removal of NDMA precursors at the BAC
suggests that adsorption may play an important role on the removal of NDMA
precursors by BAC. Contrary, the precursors for THM and HAA formation are reduced
in both sand filtration and BAC indicating that the precursors for the formation
of these DBPs are to some extent biodegradable.
PMID- 21903237
TI - Phytoaccumulation of antimicrobials from biosolids: impacts on environmental fate
and relevance to human exposure.
AB - Triclocarban and triclosan, two antimicrobials widely used in consumer products,
can adversely affect ecosystems and potentially impact human health. The
application of biosolids to agricultural fields introduces triclocarban and
triclosan to soil and water resources. This research examined the
phytoaccumulation of antimicrobials, effects of plant growth on migration of
antimicrobials to water resources, and relevance of phytoaccumulation in human
exposure to antimicrobials. Pumpkin, zucchini, and switch grass were grown in
soil columns to which biosolids were applied. Leachate from soil columns was
assessed every other week for triclocarban and triclosan. At the end of the
trial, concentrations of triclocarban and triclosan were determined for soil,
roots, stems, and leaves. Results indicated that plants can reduce leaching of
antimicrobials to water resources. Pumpkin and zucchini growth significantly
reduced soil concentrations of triclosan to less than 0.001 mg/kg, while zucchini
significantly reduced soil concentrations of triclocarban to 0.04 mg/kg. Pumpkin,
zucchini, and switch grass accumulated triclocarban and triclosan in mg per kg
(dry) concentrations. Potential human exposure to triclocarban from consumption
of pumpkin or zucchini was substantially less than exposure from product use, but
was greater than exposure from drinking water consumption. Consequently, research
indicated that pumpkin and zucchini may beneficially impact the fate of
antimicrobials in agricultural fields, while presenting minimal acute risk to
human health.
PMID- 21903238
TI - NDMA formation kinetics from three pharmaceuticals in four water matrices.
AB - N, N-nitrosodimethylamine (NDMA) is an emerging disinfection by-product (DBP)
that has been widely detected in many drinking water systems and commonly
associated with the chloramine disinfection process. Some amine-based
pharmaceuticals have been demonstrated to form NDMA during chloramination, but
studies regarding the reaction kinetics are largely lacking. This study
investigates the NDMA formation kinetics from ranitidine, chlorphenamine, and
doxylamine under practical chloramine disinfection conditions. The formation
profile was monitored in both lab-grade water and real water matrices, and a
statistical model is proposed to describe and predict the NDMA formation from
selected pharmaceuticals in various water matrices. The results indicate the
significant impact of water matrix components and reaction time on the NDMA
formation from selected pharmaceuticals, and provide fresh insights on the
estimation of ultimate NDMA formation potential from pharmaceutical precursors.
PMID- 21903239
TI - Chemical extractions and predicted free ion activities fail to estimate metal
transfer from soil to field land snails.
AB - This study investigates the relevance of several soil chemical extractions
(calcium chloride, acetic acid, citric acid and a four-step sequential procedure)
and predicted free metal ion activities in the soil solution to characterise the
transfer of trace metals (Cd, Pb, and Zn) from soil to snail soft tissues over a
large smelter-impacted area (Metaleurop Nord, Nord-Pas-de-Calais, France). The
study was first performed on six snail species together and then specifically on
Cepaea sp. and Oxychilus draparnaudi. When the six species were considered
together, the accumulation of metals depended mostly on the species. When
significant, total or extractable metal concentrations, or the predicted free ion
activities, accounted for less than 7% of the variation of the metal
concentrations in the snail tissues. Species-specific analyses showed that
extractable concentrations explained approximately 25% of the variation of the
metal concentrations in O. draparnaudi, and up to 8% in Cepaea snails. When using
total soil concentrations and soil properties as explanatory variables, the
models were generally slightly better, explaining up to 42% of the variance. The
soil extraction procedures and predicted free ion activities used in this study
did not accurately estimate the metal transfer from soil to snails and could not
be used in risk assessment.
PMID- 21903241
TI - Counterbalancing effects of maternal mercury exposure during different stages of
early ontogeny in American toads.
AB - Maternal transfer of environmental contaminants is a disadvantageous parental
effect which can have long-lasting implications for offspring fitness. We
investigated the effects of mercury (Hg) on the reproductive success of female
amphibians and the subsequent effects of maternal transfer on the development of
their offspring. American toads (Bufo americanus) maternally transferred Hg to
their eggs, and there was a negative relationship between Hg concentrations and
the percentage of viable hatchlings produced in clutches. However, when we
continued to monitor larvae that successfully hatched, we found 21% greater
metamorphic success in larvae from Hg-exposed mothers compared to reference
larvae. The negative effect in the embryonic stage and positive effect in the
larval stage counterbalanced one another, ultimately resulting in no difference
in predicted terrestrial recruitment, regardless of maternal Hg exposure. Our
findings demonstrate that maternal effects on survival manifesting at different
stages in ontogeny have the potential to produce complicated outcomes.
PMID- 21903240
TI - Urea: An important piece of Water Soluble Organic Nitrogen (WSON) over the
Eastern Mediterranean.
AB - The role of atmospheric urea on the biogeochemical cycle of Water Soluble Organic
Nitrogen (WSON) in the Eastern Mediterranean was assessed by collecting and
analyzing wet and dry deposition samples and size segregated aerosols during a
one year period (2006). In rain water volume weighted mean (VWM) concentration of
urea was found equal to 5.5MUM. In atmospheric particles the average
concentration of urea in coarse and fine mode was 0.9+/-1.9nmol N m(-3) (median
0.0nmol N m(-3)) and 2.2+/-3.0nmol N m(-3) (median 1.1nmol N m(-3)),
respectively. The percentage contribution of urea to WSON fraction was 0% and 20%
in coarse and fine particles respectively. On an annual basis 0.81mmol m(-2) and
1.78mmol m(-2) of urea were deposited via wet and dry deposition, contributing to
WSON by 10% and 11% respectively. Regression analysis of urea with the main ions
and trace metals measured in parallel suggest that soil and anthropogenic
activities significantly contribute to atmospheric urea. Comparison of dry
deposition of urea using size segregated deposition velocities with urea
collected on a glass bead collector suggested the existence of significant
fraction of urea in the gas phase.
PMID- 21903242
TI - The endothelial cell protein C receptor: its role in thrombosis.
AB - The protein C anticoagulant pathway plays a crucial role as a regulator of the
blood clotting cascade. Protein C is activated on the vascular endothelial cell
membrane by the thrombin-thrombomodulin complex. The endothelial protein C
receptor binds protein C and further enhances protein C activation. Once formed,
activated protein C down-regulates thrombin formation by inactivating factors Va
and VIIIa and exerts cytoprotective effects through endothelial protein C
receptor binding. An adequate generation of activated protein C depends on the
precise assembly, on the surface of the endothelial cells, of thrombin,
thrombomodulin, protein C, and endothelial protein C receptor. Therefore, any
change in the efficiency of this assembly may cause a reduction or increase in
activated protein C generation and modulate the risk of thrombosis. This review
highlights the role of the endothelial protein C receptor in disease and
discusses the association of its mutations with the risk of thrombosis.
PMID- 21903243
TI - Outcomes and clinicopathologic variables associated with late recurrence after
nephrectomy for localized renal cell carcinoma.
AB - OBJECTIVE: To characterize the incidence and clinicopathologic factors associated
with late recurrence after surgical resection for renal cell carcinoma (RCC)
because the recurrence patterns >5 years after nephrectomy have been poorly
described. METHODS: We identified 1454 patients treated with nephrectomy for
localized RCC from 1970 to 2000 who had remained free of disease for 5 years.
Subsequent tumor recurrence was classified as renal recurrence and distant
metastasis. The incidence of recurrence >5 years from surgery was estimated using
the Kaplan-Meier method. The associations of clinicopathologic variables with
late recurrence were analyzed using Cox proportional hazard regression models.
RESULTS: With a median postoperative follow-up of 13.9 years (range 5.1-38.9), 63
patients (4.3%) experienced late renal recurrence at a median of 9.3 years (range
5.1-25.3), and 172 patients (11.8%) developed late distant metastases at a median
of 9.6 years (range 5.1-26.6) after surgery. The estimated recurrence-free
survival rate at 10 and 15 years was 97.3% and 95.2% for renal recurrence, and
93.1% and 85.9% for distant metastases, respectively. On multivariate analysis,
increased tumor size (hazard ratio [HR] 1.12; P < .001) was associated with late
renal tumor recurrence, and increased tumor size (HR 1.07; P = .018), clear cell
or collecting duct histologic features (HR 3.76; P < .001), and tumor Stage pT1b
(HR 2.8; P < .001), pT2a (HR 4.5; P < .001), pT2b (HR 3.4; P = .007), and pT3-pT4
(HR 5.1; P < .001) were associated with distant metastasis. CONCLUSION: After an
initial 5-year postoperative disease-free interval, approximately 5% and 15% of
patients will develop renal recurrence and distant metastases, respectively,
during the next decade. Therefore, long-term surveillance remains necessary after
nephrectomy.
PMID- 21903244
TI - Parental perception of optimal surgical age for correction of cryptorchidism: a
multicenter surveillance study.
AB - OBJECTIVE: To perform a multicenter survey to determine how many parents
understood the optimal time for surgical correction of cryptorchidism in
children. METHODS: We enrolled 377 parents of patients who had recently undergone
surgical correction of cryptorchidism. These parents were divided into 3 groups
according to their indicated preference of the optimal patient age for surgical
correction: group 1 (<1 year old, 39 parents, 10.3%), group 2 (1-2 years old, 169
parents, 44.8%), and group 3 (>3 years, 169 parents, 44.8%). RESULTS: The mean
age of the children who underwent orchiopexy was 4.9 +/- 5.2 years. The interval
from diagnosis to surgical correction of cryptorchidism was 17.1 +/- 29.8, 15.4
+/- 14.4, and 29.0 +/- 24.4 months in groups 1, 2, and 3, respectively (P <
.001). The mean age of the parents was significantly associated with the
perception of the optimal time for surgical correction of cryptorchidism (P =
.021 and P = .002). The number of highly educated parents was lowest in group 3
(P < .001). The number of parents with >2 children was 69.2% in group 1, 71.0% in
group 2, and 84.0% in group 3 (P = .009). In group 3, the parents often delayed
surgery because of the patient being too young (49.1%) and the parents'
expectations of spontaneous descent (48.5%). CONCLUSION: Parents younger in age,
with higher education levels and with fewer children, preferred early orchiopexy.
Active intervention by a physician and public education for parents might be
necessary to encourage earlier treatment of cryptorchidism.
PMID- 21903245
TI - Stopping anticoagulation before TURP does not appear to increase perioperative
cardiovascular complications.
AB - OBJECTIVE: To evaluate the impact of stopping anticoagulant medications prior to
transurethral resection of the prostate on peri-operative cardiovascular
complications. METHODS: Retrospective series (305 patients) undergoing TURP at a
tertiary hospital between 2006 and 2010. All men were evaluated in preadmission
clinics with defined protocols, with a low threshold for cardiovascular
investigation. Incidence of postoperative bleeding and cardiovascular and
cerebrovascular events was determined for 3 patient cohorts: group A--where
anticoagulants were ceased preoperatively; group B--who were not receiving any
anticoagulants; and group C--who underwent TURP while taking aspirin. RESULTS: Of
305 patients, 194 (64%) did not receive anticoagulation therapy, 108 (35%)
stopped receiving anticoagulation therapy pre-TURP, and 3 (0.98%) underwent TURP
while taking aspirin. Anticoagulants used were aspirin (22.6%), warfarin (4.9%),
antiplatelets (4.9%), and combination treatments (3.9%). Incidence of
postoperative hemorrhage (early and delayed) was not significant (P = .69)
between group A (10/108) and group B (7/194). Transfusion rate was 0.6% (2/305).
Overall incidence of cardiovascular events was 0.98% (group A, n = 1 vs group B,
n = 2), and incidence of deep vein thrombosis (0.32%; group A, n = 0 vs group B,
n = 1) was not statistically significant (P = .30 and P = .37, respectively).
Overall incidence of cerebrovascular events (0.65%; group A, n = 1 vs group B, n
= 1) was not significant (P = 1.00). There were no deaths. CONCLUSION: Men who
have discontinue anticoagulation therapy before TURP do not appear to have a
higher incidence of cardiovascular or cerebrovascular events, or bleeding
associated morbidity. It is possible that the morbidity attributed to
discontinuing anticoagulation in this population may be overemphasized. Larger
prospective studies are needed to better evaluate this clinical problem.
PMID- 21903246
TI - The regulation of MASPIN expression in epithelial ovarian cancer: association
with p53 status, and MASPIN promoter methylation: a gynecologic oncology group
study.
AB - OBJECTIVES: To elucidate the regulation of MASPIN expression in epithelial
ovarian cancer (EOC) and associations with p53 status and MASPIN promoter
methylation. METHODS: Seven EOC cell lines and 110 advanced stage EOC specimens
were analyzed for MASPIN promoter methylation. The cell lines were treated with 5
azacytidine (5-azaC) and evaluated for MASPIN promoter methylation, protein, and
mRNA expression. Wild-type (wt) p53 was transiently transfected into the mutant
p53 (m p53) SKOV3 cells which were treated with 5-azaC. Phosphor imager analysis
quantified the percent methylation of the MASPIN promoter. RESULTS: Of the 3
MASPIN-low m p53 cell lines 2 had greater than 5% MASPIN methylation whereas only
1 of 4 MASPIN-high wt p53 cell lines had greater than 5% MASPIN methylation.
Despite the presence of aberrant MASPIN promoter methylation in SKOV3 cells, wt
p53-transfection alone resulted in a 3.3-fold increase in MASPIN mRNA. The
combination of 5-azaC and wt p53-transfection produced a 36% reduction in MASPIN
promoter methylation and 4.5-fold increase in MASPIN transcription. Among the 110
ovarian cancer specimens analyzed for methylation of the MASPIN promoter, 81.8%
were weakly methylated, 14.5% were heavily methylated and 3.6% were fully
methylated. There was no relationship between promoter methylation and p53 status
or MASPIN protein expression. However, MASPIN protein was 6 times more likely to
be detected in cancer specimens that harbor a p53 mutation relative to cancer
specimens with a wt p53 gene. CONCLUSION: The regulation of MASPIN is a complex
multifactorial process that may be controlled by both p53-dependent and
independent epigenetic mechanisms.
PMID- 21903247
TI - The role of the phosphatidylinositol 3-kinase (PI3K) pathway in the development
and treatment of uterine cancer.
AB - OBJECTIVE: Uterine cancer is the most common gynecologic malignancy in the United
States. Although surgery is often curative for women diagnosed in the early
stages, prognosis for patients with advanced disease is poor. Alterations in the
phosphatidylinositol 3-kinase (PI3K) pathway are known to play a significant role
in the development of uterine cancer and provide a possible target for new
therapies. METHODS: PubMed was searched for articles of relevance to uterine
cancer and the PI3K pathway. In addition, abstracts from key oncology congresses
were scanned for data on novel therapeutic agents targeting the PI3K pathway.
RESULTS: The PI3K pathway is an important promoter of cellular growth,
metabolism, differentiation, proliferation, survival, and angiogenesis. It is
often upregulated in uterine cancer, with the most frequent genetic alterations
occurring in phosphatase and tensin homolog (PTEN), PIK3CA, and KRAS.
Deregulation of the pathway has been associated with resistance to hormonal
therapy and chemotherapy. Inhibitors of the PI3K pathway are in clinical testing
in patients with solid tumors, including uterine cancer. Results with monotherapy
demonstrate some clinical responses, but mainly as prolonged stable disease. PI3K
pathway inhibitors are currently being evaluated in patients with tumors in which
the PI3K pathway is deregulated. Another strategy being evaluated is the ability
of PI3K pathway inhibitors to restore sensitivity to standard therapy.
CONCLUSIONS: Investigational PI3K pathway inhibitors represent a promising new
therapeutic strategy in uterine cancer. Exploration of effective drug
combinations and their applicability to individual tumors will be important in
the future clinical development of these agents.
PMID- 21903248
TI - Independent external validation of radiotherapy and its impact on the accuracy of
a nomogram for predicting survival of women with endometrial cancer.
AB - OBJECTIVE: To externally validate and assess the impact of radiotherapy on the
accuracy of a nomogram for predicting overall survival of women with endometrial
cancer. METHODS: Using data from the Surveillance, Epidemiology, and End Results
(SEER), we assessed the concordance indexes, the discrimination and the
calibration provided by a nomogram for predicting overall survival. Patients were
grouped into deciles based on their survival predictions, and the three-year
overall survival in each group was compared with the mean predicted probability.
RESULTS: Between 1988 and 2006, 64,023 patients were analyzed and divided into
two groups: 14,323 patients with adjuvant radiotherapy and 49,700 patients
without adjuvant radiotherapy. Among the whole population, predicted and observed
three-year overall survivals were 85.2% and 85.6% (+/-0.1%) respectively. In
patients with adjuvant radiotherapy, overall survivals were 81.0% and 83.1% (+/
0.3%) and in patients without adjuvant radiotherapy, they were 86.5% and 86.3%
(+/-0.2%) respectively. The concordance indexes for the whole population, in
patients with radiotherapy and in patients without radiotherapy were 0.811 (+/
0.004), 0.751 (+/-0.009) and 0.803 (+/-0.006) respectively. The mean and maximal
errors in patients with radiotherapy were 2.1% and 4.0% and in patients without
radiotherapy 2.3% and 8.1%. CONCLUSIONS: The nomogram can accurately predict
three-year overall survival, whether patients undergo adjuvant radiotherapy or
not. The nomogram has an improved individual discrimination when compared with
the 1988 and the 2009 staging systems for endometrial cancer. It may be useful in
the information processed for patients and in building surveillance timing.
PMID- 21903249
TI - Exosomes from ovarian cancer cells induce adipose tissue-derived mesenchymal stem
cells to acquire the physical and functional characteristics of tumor-supporting
myofibroblasts.
AB - OBJECTIVE: Most tumor tissue is composed of parenchymal tumor cells and tumor
stroma. Mesenchymal stem cells (MSCs) can function as precursors for tumor
stromal cells, including myofibroblasts, which provide a favorable environment
for tumor progression. A close relationship between tumor cells and MSCs in a
tumor microenvironment has been described. Exosomes are small membrane vesicles
that are enriched with a discrete set of cellular proteins, and are therefore
expected to exert diverse biological functions according to cell origin. METHODS:
In the current study, we determined the biological effect of exosomes from two
ovarian cancer cell lines (SK-OV-3 and OVCAR-3) on adipose tissue-derived MSCs
(ADSCs). RESULTS: Exosome treatment induced ADSCs to exhibit the typical
characteristics of tumor-associated myofibroblasts, with increased expression of
alpha-SMA, and also increased expression of tumor-promoting factors (SDF-1 and
TGF-beta). This phenomenon was correlated with an increased expression of TGF
beta receptors I and II. Analysis of TGF-beta receptor-mediated downstream
signaling pathways revealed that each exosome activated different signaling
pathways, showing that exosomes from SK-OV-3 cells increased the phosphorylated
form of SMAD2, which is essential in the SMAD-dependent pathway, whereas exosomes
from OVCAR-3 cells increased the phosphorylated form of AKT, a representative
SMAD-independent pathway. Taken together, exosomes from ovarian cancer cells
induced the myofibroblastic phenotype and functionality in ADSCs by activating an
intracellular signaling pathway, although the activated pathway could differ from
exosome-to-exosome. CONCLUSION: The current study suggested that ovarian cancer
derived exosomes contribute to the generation of tumor-associated myofibroblasts
from MSCs in tumor stroma.
PMID- 21903250
TI - Does silent reading speed in normal adult readers depend on early visual
processes? evidence from event-related brain potentials.
AB - Little is known about the relationship of reading speed and early visual
processes in normal readers. Here we examined the association of the early P1,
N170 and late N1 component in visual event-related potentials (ERPs) with silent
reading speed and a number of additional cognitive skills in a sample of 52 adult
German readers utilizing a Lexical Decision Task (LDT) and a Face Decision Task
(FDT). Amplitudes of the N170 component in the LDT but, interestingly, also in
the FDT correlated with behavioral tests measuring silent reading speed. We
suggest that reading speed performance can be at least partially accounted for by
the extraction of essential structural information from visual stimuli,
consisting of a domain-general and a domain-specific expertise-based portion.
PMID- 21903251
TI - Relation between falciparum malaria and bacteraemia in Kenyan children: a
population-based, case-control study and a longitudinal study.
AB - BACKGROUND: Many investigators have suggested that malaria infection predisposes
individuals to bacteraemia. We tested this hypothesis with mendelian
randomisation studies of children with the malaria-protective phenotype of sickle
cell trait (HbAS). METHODS: This study was done in a defined area around Kilifi
District Hospital, Kilifi, Kenya. We did a matched case-control study to identify
risk factors for invasive bacterial disease, in which cases were children aged 3
months to 13 years who were admitted to hospital with bacteraemia between Sept
16, 1999, and July 31, 2002. We aimed to match two controls, by age, sex,
location, and time of recruitment, for every case. We then did a longitudinal
case-control study to assess the relation between HbAS and invasive bacterial
disease as malaria incidence decreased. Cases were children aged 0-13 years who
were admitted to hospital with bacteraemia between Jan 1, 1999, and Dec 31, 2007.
Controls were born in the study area between Jan 1, 2006, and June 23, 2009.
Finally, we modelled the annual incidence of bacteraemia against the community
prevalence of malaria during 9 years with Poisson regression. RESULTS: In the
matched case-control study, we recruited 292 cases-we recruited two controls for
236, and one for the remaining 56. Sickle-cell disease, HIV, leucocyte haemozoin
pigment, and undernutrition were positively associated with bacteraemia and HbAS
was strongly negatively associated with bacteraemia (odds ratio 0.36; 95% CI 0.20
0.65). In the longitudinal case-control study, we assessed data from 1454 cases
and 10,749 controls. During the study period, the incidence of admission to
hospital with malaria per 1000 child-years decreased from 28.5 to 3.45, with a
reduction in protection afforded by HbAS against bacteraemia occurring in
parallel (p=0.0008). The incidence of hospital admissions for bacteraemia per
1000 child-years also decreased from 2.59 to 1.45. The bacteraemia incidence rate
ratio associated with malaria parasitaemia was 6.69 (95% CI 1.31-34.3) and, at a
community parasite prevalence of 29% in 1999, 62% (8.2-91) of bacteraemia cases
were attributable to malaria. INTERPRETATION: Malaria infection strongly
predisposes individuals to bacteraemia and can account for more than half of all
cases of bacteraemia in malaria-endemic areas. Interventions to control malaria
will have a major additional benefit by reducing the burden of invasive bacterial
disease. FUNDING: Wellcome Trust.
PMID- 21903252
TI - Bahrain: continuing imprisonment of doctors.
PMID- 21903253
TI - Lyme borreliosis.
AB - Lyme borreliosis (Lyme disease) is caused by spirochaetes of the Borrelia
burgdorferi sensu lato species complex, which are transmitted by ticks. The most
common clinical manifestation is erythema migrans, which eventually resolves,
even without antibiotic treatment. However, the infecting pathogen can spread to
other tissues and organs, causing more severe manifestations that can involve a
patient's skin, nervous system, joints, or heart. The incidence of this disease
is increasing in many countries. Laboratory evidence of infection, mainly
serology, is essential for diagnosis, except in the case of typical erythema
migrans. Diagnosed cases are usually treated with antibiotics for 2-4 weeks and
most patients make an uneventful recovery. No convincing evidence exists to
support the use of antibiotics for longer than 4 weeks, or for the persistence of
spirochaetes in adequately treated patients. Prevention is mainly accomplished by
protecting against tick bites. There is no vaccine available for human beings.
PMID- 21903254
TI - Malaria and bacteraemia in African children.
PMID- 21903255
TI - MRI of transplanted surface-labeled pancreatic islets with heparinized
superparamagnetic iron oxide nanoparticles.
AB - Transplantation of insulin-secreting pancreatic islets can provide real-time
regulation of blood glucose in patients with type 1 diabetes mellitus. Currently,
noninvasive and repetitive monitoring of islet engraftment and function is an
emerging and promising modality for successful islet transplantation. Here we
report a new technique for highly sensitive in vivo magnetic resonance (MR)
imaging of transplanted pancreatic islets. To this end, heparinized
superparamagnetic iron oxide (heparin-SPIO) nanoparticle was newly synthesized
for chemical conjugation onto islet surface. Compared to typical cellular
labeling of Feridex((r)) via random endocytosis, chemical conjugation of heparin
SPIO was stable and improved the hypointensity of transplanted islets due to
surface modification of every islet. These heparin-SPIO-conjugated islets showed
normal viability and insulin secretion, and were quantified by spin echo T(2)
weighted MR imaging with linear correlation depending on transplanted islet mass
in vitro and in vivo for 30 days. Also, from the immunohistochemistry, we
confirmed the existence of heparin-SPIO and insulin biosynthesis in transplanted
islets. However, Feridex-uptake islets showed late glucose responsiveness
according to changing glucose concentration although they could normally control
the blood glucose levels in diabetic mouse. Thus, we anticipate that this surface
labeling with heparin-SPIO can be directly applicable for MR imaging of
transplanted islets.
PMID- 21903256
TI - The promotion of neurite sprouting and outgrowth of mouse hippocampal cells in
culture by graphene substrates.
AB - Graphene has been demonstrated in many biomedical applications and its potentials
for neural interfacing. Emerging concerns on graphene, as a biomedical material,
are its biocompatibility and how biologically targeted tissue/cells respond to
it. Relatively few studies attempted to address the interactions of graphene or
its derivatives with the tissues/cells, while very few reports on neural system.
In this study, we tried to explore how neurites, one of the key structures for
neural functions, are affected by graphene during the development until
maturation in a mouse hippocampal culture model. The results reveal that graphene
substrates exhibited excellent biocompatibility, as cell viability and morphology
were not affected. Meanwhile, neurite numbers and average neurite length on
graphene were significantly enhanced during 2-7 days after cell seeding compared
with tissue culture polystyrene (TCPS) substrates. Especially on Day 2 of the
neural development period, graphene substrates efficiently promoted neurite
sprouting and outgrowth to the maximal extent. Additionally, expression of growth
associate protein-43 (GAP-43) was examined in both graphene and TCPS groups.
Western blot analysis showed that GAP-43 expression was greatly enhanced in
graphene group compared to TCPS group, which might result in the boost of neurite
sprouting and outgrowth. This study suggests the potential of graphene as a
material for neural interfacing and provides insight into the future biomedical
applications of graphene.
PMID- 21903259
TI - Single nonfouling hydrogels with mechanical and chemical functionality gradients.
AB - Hydrogels are widely studied as tissue engineering scaffolds, but the biological
tissues they are designed to mimic are often complex tissues with non-uniform
chemical and mechanical profiles. This work reports a new strategy to create
hydrogels composed of a continuous sheet of a single nonfouling but
functionalizable material with mechanical and/or chemical functionality
gradients. By using different combinations of functionalizable or
nonfunctionalizable versions of nonfouling carboxybetaine methacrylate (CBMA) and
carboxybetaine dimethacrylate crosslinker (CBMAX), various hydrogels with
gradients of crosslinking densities and/or functionalizable groups can be
created. In this work, we demonstrate this concept with two nonfouling hydrogels,
both with a mechanical gradient: one with uniform functionalizability and the
other with a gradient in chemical functionalizability. With this versatile
system, hydrogels with built-in gradient profiles of various types can be
controlled at will for a given application.
PMID- 21903257
TI - Biocompatibility and biofilm inhibition of N,N-hexyl,methyl-polyethylenimine
bonded to Boston Keratoprosthesis materials.
AB - The biocompatibility and antibacterial properties of N,N-hexyl,methyl
polyethylenimine (HMPEI) covalently attached to the Boston Keratoprosthesis (B
KPro) materials was evaluated. By means of confocal and electron microscopies, we
observed that HMPEI-derivatized materials exert an inhibitory effect on biofilm
formation by Staphylococcus aureus clinical isolates, as compared to the parent
poly(methyl methacrylate) (PMMA) and titanium. There was no additional corneal
epithelial cell cytotoxicity of HMPEI-coated PMMA compared to that of control
PMMA in tissue cultures in vitro. Likewise, no toxicity or adverse reactivity was
detected with HMPEI-derivatized PMMA or titanium compared to those of the control
materials after intrastromal or anterior chamber implantation in rabbits in vivo.
PMID- 21903258
TI - Synergistic effect of folate-mediated targeting and verapamil-mediated P-gp
inhibition with paclitaxel -polymer micelles to overcome multi-drug resistance.
AB - Multidrug resistance (MDR) in tumor cells is a significant obstacle for
successful cancer chemotherapy. Overexpression of drug efflux transporters such
as P-glycoprotein (P-gp) is a key factor contributing to the development of tumor
drug resistance. Verapamil (VRP), a P-gp inhibitor, has been reported to be able
to reverse completely the resistance caused by P-gp. For optimal synergy, the
drug and inhibitor combination may need to be temporally colocalized in the tumor
cells. Herein, we investigated the effectiveness of simultaneous and targeted
delivery of anticancer drug, paclitaxel (PTX), along with VRP, using DOMC-FA
micelles to overcome tumor drug resistance. The floate-functionalized dual agent
loaded micelles resulted in the similar cytotoxicity to PTX-loaded micelles/free
VRP combination and co-administration of two single-agent loaded micelles, which
was higher than that of PTX-loaded micelles. Enhanced therapeutic efficacy of
dual agent micelles could be ascribe to increased accumulation of PTX in drug
resistant tumor cells. We suggest that the synergistic effect of folate receptor
mediated internalization and VRP-mediated overcoming MDR could be beneficial in
treatment of MDR solid tumors by targeting delivery of micellar PTX into tumor
cells. As a result, the difunctional micelle systems is a very promising approach
to overcome tumor drug resistance.
PMID- 21903260
TI - The effect of hydrophilic chain length and iRGD on drug delivery from
poly(epsilon-caprolactone)-poly(N-vinylpyrrolidone) nanoparticles.
AB - Poly(epsilon-caprolactone)-b-Poly(N-vinylpyrrolidone) (PCL-b-PVP) copolymers with
different PVP block length were synthesized by xanthate-mediated reverse addition
fragment transfer polymerization (RAFT) and the xanthate chain transfer agent on
chain end was readily translated to hydroxy or aldehyde for conjugating various
functional moieties, such as fluorescent dye, biotin hydrazine and tumor homing
peptide iRGD. Thus, PCL-PVP nanoparticles were prepared by these functionalized
PCL-b-PVP copolymers. Furthermore, paclitaxel-loaded PCL-PVP nanoparticles with
satisfactory drug loading content (15%) and encapsulation efficiency (>90%) were
obtained and used in vitro and in vivo antitumor examination. It was demonstrated
that the length of PVP block had a significant influence on cytotoxicity, anti
BSA adsorption, circulation time, stealth behavior, biodistribution and antitumor
activity for the nanoparticles. iRGD on PCL-PVP nanoparticle surface facilitated
the nanoparticles to accumulate in tumor site and enhanced their penetration in
tumor tissues, both of which improved the efficacy of paclitaxel-loaded
nanoparticles in impeding tumor growth and prolonging the life time of H22 tumor
bearing mice.
PMID- 21903261
TI - The association of autophagy with polyethylenimine-induced cytotoxicity in
nephritic and hepatic cell lines.
AB - Polyethylenimine (PEI) is one of the most effective and widely used cationic
macromolecules in experimental gene transfer/therapy protocols. However, the
further clinical application of PEI is largely impeded by its cytotoxicity. Here
we performed a fundamental investigation on the mechanism of PEI-induced
cytotoxicity in both hepatic and nephritic cell lines. It was demonstrated that
besides necrosis and apoptosis, autophagy was apparently associated with PEI
induced cytotoxicity and contributed to aggravated cell damage. Specifically, at
the early stage (3 h) of PEI-induced cytotoxicity, autophagy was mainly
correlated with lysosome damage, but in the later phase (after a 24-h recovery),
autophagy was mainly related with mitochondrial injury. Modulation of Rab5, Rab7
expression and inhibition of clathrin-mediated endocytosis pathway significantly
affected the formation of autophagosome, which suggested that the endolysosome
transport pathway especially the clathrin-mediated endocytosis at least partly
facilitated PEI-induced autophagy. As PEI-induced autophagy played a causative
role in its cytotoxicity, it's highly recommended to design PEI-based gene
carriers that could avoid the endolysosome transport pathway.
PMID- 21903263
TI - Increased oxidative stress in the placenta tissue and cell culture of tumour
bearing pregnant rats.
AB - Placental dysfunction leads to foetal damage, which jeopardises the exchange
between the maternal and foetal systems. We evaluated the effects of tumour
growth on the activity of antioxidant enzymes and oxidative stress in placental
tissue and cell culture from tumour-bearing pregnant rats compared to non-tumour
bearing pregnant rats that were ascitic fluid injected. Ascitic fluid is obtained
from Walker tumour-bearing rats and contains a cytokine called Walker factor
(WF), which is a molecule similar to proteolysis-inducing factor (PIF), and
induces changes in protein metabolism and oxidative stress. Pregnant Wistar rats
were distributed into control (C), tumour-bearing (W) and ascitic fluid injected
(A) groups and were sacrificed on days 16, 19 and 21 of pregnancy to analyse the
profile of enzyme activities (glutathione-S-transferase (GST), catalase (CAT),
alkaline phosphatase (AP)) and malondialdehyde (MDA) content in placental tissue.
Meanwhile, placenta samples from all groups were obtained on day 21, placed in
primary culture and treated with WF for 72 h. The presence of tumour or ascitic
fluid reduced the protein content of the placental tissue. On day 16 there was a
significant reduction in AP activity in W rats, and on day 19, CAT activity and
MDA content significantly increased. These results indicate that the presence of
cancer decreased antioxidant enzyme capacity in the placenta, increasing the
amount of oxidation in these cells, which may contribute to irreversible
placental damage and compromisefoetal development. WF treatment induces similar
changes in placental cells in primary culture, resulting in less cell viability
and increased oxidative stress. These results indicate that WF, provided by the
tumour or inoculation of ascitic fluid, has negative effects on placental
homeostasis, which impairs foetal health.
PMID- 21903264
TI - Influence of sex and glucocorticoid exposure on preterm placental pro-oxidant
antioxidant balance.
AB - Glucocorticoids (GC) are known to influence fetal ROS production and anti-oxidant
defences yet little attention has focused on the potential for effects in the
placenta. We hypothesised that antenatal GC exposure alters placental pro-oxidant
anti-oxidant balance sex-specifically, based upon the known relationship between
male sex and poor pregnancy outcome. Placentae were collected from 60 women who
delivered between 24 and 31 completed weeks gestation and placental oxidative and
nitrative stress (protein carbonyl, lipid hydroperoxide, and nitrotyrosine
concentration) and anti-oxidant enzyme activity (glutathione peroxidase,
thioredoxin reductase, and superoxide dismutase) measured. A pro-oxidant state
was observed in placentae of male compared to female infants born within 72 h of
antenatal GC exposure, with higher levels of protein carbonyl content (p = 0.04),
lipid hydroperoxide (p < 0.01) and nitrotyrosine content (p = 0.02), and lower
levels of glutathione peroxidase activity (p = 0.01). A pro-oxidant state
continued to be observed in placentae of males compared to females born outside
of 72 h, with higher protein carbonyl content (p = 0.04) and lower glutathione
peroxidase activity (p = 0.01) than females, however no differences in placental
lipid hydroperoxide and nitrotyrosine content were observed. These sex-specific
alterations in products of placental oxidative stress could not purely be
explained by differences in clinical illness severity (CRIB2 score). Therefore,
these sex-specific alterations in placental pro-oxidant-antioxidant balance in
response to antenatal betamethasone exposure, independent of illness severity,
could contribute to the patho-physiologic processes underlying oxygen radical
diseases of the newborn, conditions known to exhibit a male excess.
PMID- 21903262
TI - Hydrogel design for cartilage tissue engineering: a case study with hyaluronic
acid.
AB - Hyaline cartilage serves as a low-friction and wear-resistant articulating
surface in load-bearing, diarthrodial joints. Unfortunately, as the avascular,
alymphatic nature of cartilage significantly impedes the body's natural ability
to regenerate, damage resulting from trauma and osteoarthritis necessitates
repair attempts. Current clinical methods are generally limited in their ability
to regenerate functional cartilage, and so research in recent years has focused
on tissue engineering solutions in which the regeneration of cartilage is pursued
through combinations of cells (e.g., chondrocytes or stem cells) paired with
scaffolds (e.g., hydrogels, sponges, and meshes) in conjunction with stimulatory
growth factors and bioreactors. A variety of synthetic and natural materials have
been employed, most commonly in the form of hydrogels, and these systems have
been tuned for optimal nutrient diffusion, connectivity of deposited matrix,
degradation, soluble factor delivery, and mechanical loading for enhanced matrix
production and organization. Even with these promising advances, the complex
mechanical properties and biochemical composition of native cartilage have not
been achieved, and engineering cartilage tissue still remains a significant
challenge. Using hyaluronic acid hydrogels as an example, this review will follow
the progress of material design specific to cartilage tissue engineering and
propose possible future directions for the field.
PMID- 21903265
TI - Promotional etiology for common childhood acute lymphoblastic leukemia: the
infective lymphoid recovery hypothesis.
AB - This paper speculates on the role of infection in modifying a young child's risk
of promoting precursor B-cell acute lymphoblastic leukemia (ALL). It is suggested
that the heat shock instigated by infections, particularly in infancy, stimulates
Th1 pro-inflammatory cytokines and an apoptosis-inhibitory environment. This
infective stress also increases the number of cooperating oncogenic mutations in
pre-leukemic cells, especially if the primary adaptive immune response is
delayed. The glucocorticoid release that follows leads to acute thymic
involution, a decline in antitumor immunity, and maturation arrest of B
lymphocytes. The infective lymphoid recovery hypothesis addresses an apparent
contradiction-that a non-hygienic environment primes the adaptive immune response
and is protective against childhood ALL, while multiple infections occurring
later increase the risk of childhood ALL. In affluent (compared to less-affluent)
societies, the characteristic ALL incidence peak in early childhood, and the
shortened time to diagnosis, arise from surviving recurrent infections and the
accumulated loss and recovery of lymphoid tissue. Evidence supporting the
hypothesis, such as the role of lymphoid tissue reconstitution cytokines that
stimulate proliferation stress on B-cell progenitors, comes from the study of
children with congenital syndromes that are susceptible to leukemia.
PMID- 21903266
TI - Maternal experiences of childhood abuse and intimate partner violence:
Psychopathology and functional impairment in clinical children and adolescents.
AB - OBJECTIVES: The current study examined the independent effects of mothers'
childhood abuse (CA) and intimate partner violence (IPV) on psychopathology and
functional impairment in children; and the potential moderating and mediating
role of individual and family factors in these relationships. Additionally, this
study explored the potential cumulative effects of both maternal CA and IPV on
children's outcomes. METHOD: The sample included 547 Spanish children and
adolescents aged between 8 and 17 years, and their parents, who had accessed
mental health services. The assessment was based on structured interviews with
the children and their parents. Statistical analyses were carried out through
hierarchical multiple, negative-binomial and logistic regressions, and Structural
Equation Models. RESULTS: Children whose mothers experienced CA and those whose
mothers suffered physical IPV showed increased DSM-IV disruptive disorders and
externalizing behavior problems, respectively. Children who directly observed
physical IPV and also suffered physical punishment by parents showed increased
internalizing problems. IPV had effects, either direct or indirect by physical
punishment, on children's externalizing problems. Cumulative effect analyses
indicated that the prevalence of disruptive disorders was highest in children
whose mothers had suffered both CA and IPV. CONCLUSION: Spanish children whose
mothers have suffered CA, IPV or both, are at high risk of serious conduct
problems, whereas children exposed to IPV and who were also physically abused are
at greater risk of internalizing problems. Physical punishment of children
contributes in part to explain externalizing problems of IPV-exposed children.
These findings indicate potential targets of assessment and intervention for
families seeking help in mental health services.
PMID- 21903267
TI - Concentrations and sources of PAHs in surface sediments of the Fenhe reservoir
and watershed, China.
AB - Sixteen PAHs in surface sediments at 28 sites throughout Fenhe reservoir and
watershed were measured. The ?PAHs concentrations ranged from 539.0 to 6281.7
with the mean of 2214.8ng/g. The 2-3 rings PAHs, contributing 55 percent to
?PAHs, were the dominant species. Twenty-eight sites were grouped into three
segments: Fenhe principal stream, estuaries of main branch streams, and Fenhe
reservoir. ?PAHs was highest in the estuaries of main branch streams. The
ecological risk assessment was studied by biological thresholds. The results
showed levels of PAHs might cause mild but not acute adverse biological effects.
In addition, PAHs ratios, PCA/MLR and hierarchical clustering analysis were
applied to evaluate the possible sources. Coal combustion (35 percent), diesel
and gasoline emissions (29 percent and 16 percent, respectively) might be the
important sources. For sites in Fenhe reservoir, the major sources were complex,
while other two segments were mainly influenced by coal combustion source.
PMID- 21903268
TI - Detection and characterization of methicillin-resistant Staphylococcus
pseudintermedius in healthy dogs in La Rioja, Spain.
AB - The objective was to identify the methicillin-resistant coagulase-positive
staphylococci (MRCoPS) nasal carriage rate of healthy dogs in La Rioja (Spain)
and to characterize the recovered isolates by different molecular techniques.
Nasal samples from 196 dogs were obtained (98 household-dogs, 98 pound-dogs).
Isolates were identified and characterized by spa-, SCCmec- and MLST-typing, SmaI
PFGE, antimicrobial susceptibility, determination of antimicrobial resistance and
toxin genes profiling. S. pseudintermedius was the only species recovered. Nine
methicillin-resistant S. pseudintermedius (MRSP) were obtained from 9 of 196
sampled dogs (8% pound-dogs, 1% household-dogs). MRSP isolates were typed
(MLST/PFGE/spa/SCCmec) as: ST71/A/t02/II-III (7 isolates), ST92/C/t06/V (1
isolate), and ST26/B/non-typable/non-typable (1 isolate). All MRSP were resistant
to [resistance gene/number isolates]: beta-lactams [mecA+blaZ/9], tetracycline
[tet(K)/7, tet(M)/2], macrolides and lincosamides [erm(B)/9], aminoglycosides
[aacA-aphD+aadE+aphA-3/9], and co-trimoxazol [dfr(G)/9]. Eight MRSP isolates
showed also resistance to fluoroquinolones and amino acid changes in GyrA
[Ser84Leu+Glu714Lys, 7 isolates; Ser84Leu, 1 isolate] and GrlA [Ser80Ile, 8
isolates] proteins were detected. The remaining isolate was chloramphenicol
resistant and harboured cat(pC221) gene. All MRSP isolates harboured the aadE
sat4-aphA-3 multiresistance-gene-cluster linked to erm(B) gene as well as the
siet, si-ent and lukS/F-I toxin genes. MRSP is a moderately common (4.6%)
colonizer of healthy dogs in Spain. A major MRSP lineage (ST71) was detected and
its future evolution should be tracked.
PMID- 21903269
TI - The impact of Trichinella spiralis excretory-secretory products on dendritic
cells.
AB - Parasitic nematode Trichinella spiralis exert immunomodulatory effect on the host
immune response through excretory-secretory products (ES L1) released from the
encysted muscle larvae. Rat bone-marrow derived dendritic cells (DCs) stimulated
with ES L1 antigens acquire semi-matured status and induce Th2 and regulatory
responses in vitro and in vivo. Priming naive T cells in vitro with ES L1 pulsed
DCs caused strong Th2 polarization, accompanied by elevated production of
regulatory cytokines IL-10 and TGF-beta and no increase in the proportion of
CD4+CD25+Foxp3+ among the effector T cell population. In vivo T cell priming
resulted in mixed Th1/Th2 cytokine response, with the dominance of the Th2 type
and elevated levels of regulatory cytokines. Significant increase in the
proportion of CD4+CD25+Foxp3+ cells was found among recipient's spleen cells. We
have achieved to create immune status characteristic for the live infection by in
vivo application of DCs educated with ES L1 antigens.
PMID- 21903270
TI - Monoclonal antibodies against the leptospiral immunoglobulin-like proteins A and
B conserved regions.
AB - Leptospirosis is an infectious disease caused by pathogenic spirochetes of the
genus Leptospira that affects humans and a wide variety of animals. Recently the
genomes of Leptospira interrogans, Leptospira borgpetersenii and Leptospira
biflexa species were sequenced allowing the identification of new virulence
factors involved in survival and pathogenesis of bacteria. LigA and LigB are
surface-exposed bacterial adhesins whose expression is correlated with the
virulence of Leptospira strains. In this study, we produced and characterized
five monoclonal antibodies (MAbs) against a recombinant fragment of LigB
(rLigBrep) with approximately 54kDa that comprise the portions of LigA and LigB
(domains 2-7). The 5 MAbs obtained were of the IgG1 (2) and IgG2b (3) isotypes
and their affinity constants for rLigBrep ranged from 7*10(7) M(-1) to 4*10(8) M(
1). The MAbs were able to react with the native antigen on the L. interrogans, L.
borgpetersenii and Leptospira noguchii surfaces by indirect immunofluorescence,
immunoblotting and immunoelectron microscopy. These results demonstrate that the
MAbs anti-rLigBrep can be useful to complement genetic studies and to aid studies
aiming understanding the role of Lig proteins in Leptospira pathogenesis and the
development of Lig-based vaccines and improved diagnostic tests for
leptospirosis.
PMID- 21903271
TI - Diagnosis of antisocial personality disorder and criminal responsibility.
AB - The present study empirically investigates whether personality disorders and
psychopathic traits in criminal suspects are reasons for diminished criminal
responsibility or enforced treatment in high security hospitals. Recently, the
tenability of the claim that individuals with personality disorders and
psychopathy can be held fully responsible for crimes has been questioned on
theoretical bases. According to some interpretations, these disorders are due to
cognitive, biological and developmental deficits that diminish the individual's
accountability. The current article presents two studies among suspects of
serious crimes under forensic evaluation in a Dutch forensic psychiatric
observation clinic. The first study examined how experts weigh personality
disorders in their conclusions as far as the degree of criminal responsibility
and the need for enforced forensic psychiatric treatment are concerned (n=843).
The second study investigated associations between PCL-R scores and experts'
responsibility and treatment advisements (n=108). The results suggest that in
Dutch forensic practice, the presence of a personality disorder decreased
responsibility and led to an advice for enforced forensic treatment. Experts also
take characteristics of psychopathy concerning impulsivity and (ir)responsibility
into consideration when judging criminal accountability. Furthermore, they deem
affective deficiencies sufficiently important to indicate suspects' threat to
society or dangerousness and warrant a need for forensic treatment.
PMID- 21903272
TI - PTSD symptom clusters, feelings of revenge, and perceptions of perpetrator
punishment severity in victims of interpersonal violence.
AB - Feelings of revenge have often been found to correlate with symptoms of
posttraumatic stress disorder (PTSD). Which PTSD symptom cluster prevails in this
association is, however, unknown. Furthermore, previous studies suggest that
revenge may be satisfied by perceptions of perpetrator punishment severity, but
did not control for concurrent symptoms of PTSD. Therefore, this study explored
associations between PTSD symptom clusters, feelings of revenge, and perceived
perpetrator punishment severity in a sample of victims of interpersonal violence.
Results indicated that the re-experiencing/intrusion symptom cluster was the only
index of PTSD which was related to victims' feelings of revenge (n=207). Revenge
correlated negatively with perceptions of punishment severity in victim who knew
that the perpetrator had been sentenced, but not after adjustment for PTSD
symptoms (n=96).
PMID- 21903273
TI - Vibrio vulnificus IlpA induces MAPK-mediated cytokine production via TLR1/2
activation in THP-1 cells, a human monocytic cell line.
AB - Vibrio vulnificus is a pathogenic bacterium causing primary septicemia, which is
followed by a classical septic shock pathway including an overwhelming
inflammatory cytokine response. V. vulnificus IlpA is a potent immunogenic
lipoprotein that triggers cytokine production in human monocytes by activating
the toll-like receptor 2 (TLR2). In this study, we further defined the IlpA
signaling pathways involved in cytokine production in the human monocytic cell
line, THP-1. TLR2 was involved in cytokine production by complexing with TLR1,
but not with TLR6. MyD88 was necessary for IlpA-induced cytokine expression
through TLR1/TLR2. Three mitogen activated protein kinases (MAPK), p38, ERK1/2,
and JNK, were activated in THP-1 cells stimulated with recombinant IlpA (rIlpA).
Selective inhibition of each MAPK resulted in significant decrease of rIlpA
induced cytokine production. Especially, functional TLR2 was necessary for IlpA
induced activation of p38 and JNK. IlpA augmented the DNA-binding activity of
nuclear factor-kappaB (NF-kappaB) and activator protein-1 (AP-1) transcriptional
factors to their recognition sites in THP-1 cells. These results suggest that
serial activation of TLR1/TLR2, MyD88, the three MAPKs, and NF-kappaB/AP-1
comprises the signaling pathway responsible for proinflammatory cytokine
production by V. vulnificus IlpA.
PMID- 21903274
TI - Crystal structure of the major peanut allergen Ara h 1.
AB - Ara h 1, a 7S globulin, is one of the three major peanut allergens. We previously
reported the crystallization of the core region of recombinant Ara h 1. Here, we
present the crystal structure of the Ara h 1 core at a resolution of 2.43 A. We
also assayed the Ara h 1 core thermal stability and compared its final structure
against other 7S globulins. The Ara h 1 core has a thermal denaturation
temperature of 88.3 degrees C and a structure that is very similar to other 7S
globulins. Previously identified linear IgE epitopes were also mapped on the
three-dimensional structure. Most linear epitopes were found in the extended loop
domains and the coils between the N- and C-terminal modules, while others were
found in the less accessible beta-sheets of the C-terminal core beta-barrel
domain of each monomer. Most of these epitopes become either slightly or
significantly buried upon trimer formation, implying that allergen digestion in
the gut is required for these epitopes to be accessible to immunoglobulins. Our
findings also suggest that both intact and partially degraded allergens should be
employed in future diagnostic and immunotherapeutic strategies.
PMID- 21903275
TI - Object perception in 5-month-old infants of clinically depressed and nondepressed
mothers.
AB - Five-month-old infants of clinically depressed and nondepressed mothers were
familiarized to a wholly novel object and afterward tested for their
discrimination of the same object presented in the familiar and in a novel
perspective. Infants in both groups were adequately familiarized, but infants of
clinically depressed mothers failed to discriminate between novel and familiar
views of the object, whereas infants of nondepressed mothers successfully
discriminated. The difference in discrimination between infants of depressed and
nondepressed mothers is discussed in light of infants' differential object
processing and maternal sociodemographics, mind-mindedness, depression, stress,
and interaction styles that may moderate opportunities for infants to learn about
their world or influence the development of their perceptuocognitive capacities.
PMID- 21903276
TI - Links between mothers' coping styles, toddler reactivity, and sensitivity to
toddler's negative emotions.
AB - The extent to which engaged maternal coping styles moderate the association
between toddler's temperamental reactivity and mothers' sensitivity to children's
negative emotions was examined in 89 mother-child dyads. Primiparous mothers
completed a measure of coping styles prenatally. When toddlers were 16 months
old, mothers completed a measure of perceived toddler temperament and a self
report of how they respond to toddler negative emotions, and maternal sensitivity
and temperamental reactivity were observed during emotionally arousing tasks in
the laboratory. Mothers' disengaged coping style was positively associated with
self-reported insensitive responses to children's negative emotions. Engaged
coping moderated the association between toddler temperamental reactivity and
both self-reported insensitive responses and observed maternal sensitivity, such
that temperamental reactivity was more strongly linked with less sensitive
maternal behavior when engaged coping was low.
PMID- 21903277
TI - Social anxiety in the general population: introducing abbreviated versions of
SIAS and SPS.
AB - BACKGROUND: Social anxiety is characterized by the experience of stress,
discomfort and fear in social situations, and is associated with substantial
personal and societal burden. Two questionnaires exist that assess the aspects of
social anxiety, i.e. social interaction anxiety (SIAS) and social phobia (SPS).
There is no agreement in literature on the dimensionality of social anxiety.
Further, the length of a questionnaire may negatively affect response rates and
participation at follow-up occasions. AIM: To explore the structure of social
anxiety in the general population, and to examine psychosocial and
sociodemographic correlates. Our second aim was to construct abbreviated versions
of SIAS and SPS that can be easily used and with minimal burden. METHOD: A total
of 1598 adults from the general Dutch population completed a survey asking
information on social anxiety, mood and demographics. Exploratory and
confirmatory factor analyses as well as reliability analysis with item-total
statistics were performed. RESULTS: Confirmatory factor analysis revealed a 3
factor structure for social phobia, and a 2-factor structure for the SIAS, with
the second factor containing both reversely scored items. The abbreviated
versions of SPS (11 items) and SIAS (10 items) show excellent discriminant and
construct validity (Cronbach's alpha=.90 and .92), while specificity analysis
showed that gender, marital status and educational level (SIAS(10): p<.0005;
SPS(11): p<.0005) are important determinants of social anxiety. CONCLUSION: In
the general population, social interaction anxiety and social phobia are two
aspects of a higher-order factor of social anxiety. Social anxiety is validly
captured by the short versions of SPS and SIAS, reducing the questionnaire burden
for participants in epidemiological and biobehavioral research.
PMID- 21903278
TI - Genetic structure of personality factors and bipolar disorder in families
segregating bipolar disorder.
AB - BACKGROUND: Bipolar disorder (BPD) has been associated with variations in
personality dimensions, but the nature of this relationship has been unclear. In
this study, the heritabilities of BPD and the Big Five personality factors and
the genetic correlations between BPD and personality factors are reported.
METHODS: The participants in this study were 1073 individuals from 172 families
of Mexican or Central American ancestry. Heritabilities and genetic correlations
were calculated under a polygenic model using the maximum-likelihood method of
obtaining variance components implemented in the SOLAR software package. RESULTS:
Heritabilities of 0.49, 0.43, and 0.43 were found for the narrowest phenotype
(schizoaffective bipolar and bipolar I), the intermediate phenotype
(schizoaffective bipolar, bipolar I, and bipolar II), and the broadest phenotype
(schizoaffective bipolar, bipolar I, bipolar II, and recurrent depression),
respectively. For the Big Five personality factors, heritabilities were 0.25 for
agreeableness, 0.24 for conscientiousness, 0.24 for extraversion, 0.23 for
neuroticism, and 0.32 for openness to experience. For the narrowest phenotype, a
significant negative correlation (-0.32) with extraversion was found. For the
broadest phenotype, negative correlations were found for agreeableness (-0.35),
conscientiousness (-0.39), and extraversion (-0.44). A positive correlation
(0.37) was found with neuroticism. LIMITATIONS: It is not possible to determine
whether aspects of personality are factors in the development of bipolar disorder
or vice versa. The short form of the NEO does not provide the ability to examine
in detail which facets of extraversion are most closely related to bipolar
disorder or to compare our results with studies that have used the long version
of the scale. CONCLUSIONS: This study establishes a partial genetic basis for the
Big Five personality factors in this set of families, while the environmental
variances demonstrate that non-genetic factors are also important in their
influence on bipolar and personality phenotypes. BPD may be most associated with
decreased extraversion (less interaction with one's surroundings) because
patients spend more time in depressive than manic states.
PMID- 21903279
TI - GPCR agonist binding revealed by modeling and crystallography.
AB - Despite recent progress in structural coverage of the G-protein-coupled receptor
(GPCR) family, high plasticity of these membrane proteins poses additional
challenges for crystallographic studies of their complexes with different classes
of ligands, especially agonists. The ability to predict computationally the
binding of natural and clinically relevant agonists and corresponding changes in
the receptor pocket, starting from inactive GPCR structures, is therefore of
great interest for understanding GPCR biology and drug action. Comparison of
computational models published in 2009 and 2010 with recently determined agonist
bound structures of beta-adrenergic and adenosine A(2A) receptors reveals high
accuracy of the predicted agonist binding poses (0.8 A and 1.7 A respectively)
and receptor interactions. In the case of the beta(2)AR, energy-based models with
limited backbone flexibility have also allowed characterization of side-chain
rotations and a finite backbone shift in the pocket region as determinants of
full, partial or inverse agonism. Development of accurate models of agonist
binding for other GPCRs will be instrumental for functional and pharmacological
studies, complementing biochemical and crystallographic techniques.
PMID- 21903280
TI - TGFbeta1 contributes to cardiomyogenic-like differentiation of human bone marrow
mesenchymal stem cells.
AB - BACKGROUND: The majority of the protocols for cardiomyocyte differentiation of
MSC use 5-azacytidine as an inducer. As transforming growth factor beta1 and 5
azacytidine share similar target signaling pathways, we examined whether
transforming growth factor beta1 can play a role in cardiac differentiation
process in human mesenchymal stem cell of bone marrow origin. METHODS: The
differentiation protocol involving transforming growth factor beta1 was compared
with that of 5-azacytidine in these cells. The two differentiation regimes were
compared using reverse transcriptase PCR, flow cytometry, and quantitative PCR.
RESULTS: We observed that in both cases, acquired morphological features were
similar. Protein and gene expression assays also indicated similar cardiac marker
expression profile in both the differentiation conditions. Furthermore,
transforming growth factor beta1 and 5-azacytidine allowed the acquisition of
comparable levels of cardiac cell like molecular characteristic as attested by
evaluation of myosin light chain-2v expression. CONCLUSION: In conclusion, we
demonstrate that transforming growth factor beta1 can play a similar role in
cardiac differentiation process of human bone marrow mesenchymal stem cells.
PMID- 21903281
TI - Comparison of edge vascular response after sirolimus- and paclitaxel-eluting
stent implantation.
AB - BACKGROUND: To compare the edges vascular response, we analyzed the intravascular
ultrasound (IVUS) parameters after implantation of the sirolimus-eluting stent
(SES) or the paclitaxel-eluting stent (PES). METHODS: Two hundred-two angina
patients (123 men; 61.5 +/- 9.2 years of age, SES: n = 91, PES: n=111) were
enrolled. Both edge segments of the stent were analyzed. The change (Delta) of
each parameter at follow-up was calculated. RESULTS: The edge restenosis rate was
higher in the PES group. However, the Delta Vessel, Delta Plaque and Delta Lumen
volume at 5mm edge segments were not different between the two groups except the
Delta Plaque volume at the distal segment, higher in the PES than the SES group
(6.6 +/- 15.7 vs. 1.0 +/- 13.1mm(3), P=.016). In the PES group, lumen area at the
both 1mm edge segments decreased because of plaque progression (proximal, 1.9 +/-
1.5 to 2.2 +/- 2.0mm(2), P=.095; distal, 0.6 +/- 1.1 to 1.0 +/- 1.4mm(2), P=.018)
with negative remodeling (proximal, 9.9 +/- 2.4 to 9.4 +/- 2.6mm(2), P=.004;
distal, 7.6 +/- 2.4 to 7.2 +/- 2.4mm(2), P=.052). Conversely, lumen area at these
segments increased due to plaque regression (proximal, 3.2 +/- 1.8 to 2.1 +/-
1.6mm(2), P=.000; distal, 1.5 +/- 1.4 to 0.9 +/- 1.3mm(2), P=.000) even though
there was negative remodeling in the SES group (proximal, 10.1 +/- 2.4 to 9.6 +/-
2.3mm(2), P=.019; distal, 7.8 +/- 2.3 to 7.5 +/- 2.3mm(2), P=.074). The Delta
Plaque and Delta Lumen area at the both 1mm edge segments were more prominent in
the PES group. CONCLUSIONS: Compared to SES, PES was associated with luminal
reduction accompanied by plaque progression with negative remodeling at edge
segments.
PMID- 21903282
TI - The receptor tyrosine kinase inhibitor amuvatinib (MP470) sensitizes tumor cells
to radio- and chemo-therapies in part by inhibiting homologous recombination.
AB - BACKGROUND AND PURPOSE: RAD51 is a key protein involved in homologous
recombination (HR) and a potential target for radiation- and chemotherapies.
Amuvatinib (formerly known as MP470) is a novel receptor tyrosine kinase
inhibitor that targets c-KIT and PDGFRalpha and can sensitize tumor cells to
ionizing radiation (IR). Here, we studied amuvatinib mechanism on RAD51 and
functional HR. MATERIALS AND METHODS: Protein and RNA analyses, direct repeat
green fluorescent protein (DR-GFP) assay and polysomal fractioning were used to
measure HR efficiency and global translation in amuvatinib-treated H1299 lung
carcinoma cells. Synergy of amuvatinib with IR or mitomycin c (MMC) was assessed
by clonogenic survival assay. RESULTS: Amuvaninib inhibited RAD51 protein
expression and HR. This was associated with reduced ribosomal protein S6
phosphorylation and inhibition of global translation. Amuvatinib sensitized cells
to IR and MMC, agents that are selectively toxic to HR-deficient cells.
CONCLUSIONS: Amuvatinib is a promising agent that may be used to decrease tumor
cell resistance. Our work suggests that this is associated with decreased RAD51
expression and function and supports the further study of amuvatinib in
combination with chemotherapy and radiotherapy.
PMID- 21903283
TI - Can diet combined with treatment scheduling achieve consistency of rectal filling
in patients receiving radiotherapy to the prostate?
AB - BACKGROUND AND PURPOSE: This pilot study investigates whether an individualized
fluid and fibre prescription combined with a constant treatment can improve
rectal filling consistency during radiotherapy. METHODS AND MATERIALS: Fibre,
fluid intake and bowel function were assessed in 22 patients at a standard
planning scan (SCT) and individualized dietary advice was prescribed to
regularize bowel habit. Patients were requested to record frequency and type of
bowel movements, fibre and fluid intake daily. Two subsequent CT scans were
acquired at 7 (CCT1) and 10 days (CCT2) after SCT at a similar time. Rectal
volume and gas were measured planning CT's and 'on treatment' cone beam CT scans.
We hypothesised that the difference in volume between CCT1 and CCT2 would be less
than the difference between SCT and CCT1. RESULTS: The mean (SD) change in volume
between SCT to CCT1 and CCT1 to CCT2 was 5.68 cm(3) (26.2) and -8.6 cm(3) (40.1),
respectively (p=0.292). Of the 22 patients scanned 20 provided a complete record
of dietary intake and bowel motion. The majority of patients either achieved or
exceeded prescription. Change in rectal gas was the only correlation with change
in rectal volume. CONCLUSION: Patient self reporting of bowel motion, fibre,
fluid intake was achievable but consistency of rectal filling was not improved.
Improved understanding of the aetiology and management of rectal gas is
indicated.
PMID- 21903284
TI - Statistical control of the spectral quality index in electron beams.
AB - BACKGROUND AND PURPOSE: In radiotherapy with electron linear accelerators, it is
mandatory to guarantee the stability of beam output spectra. In this work we
study the quality control of the magnitude that provides the beam spectral
quality, by following statistical techniques usual in industry process. METHODS:
We establish the differences between the measurement of the reference quality
index, R(50,ion), which involves usually a costly procedure, and that of the
index used for the statistical control, which can be done in an easier way and
with a higher frequency. The methodology developed is applied to a Siemens
Mevatron KDS electron linear accelerator, specifically for the 6, 12 and 18 MeV
modes. The uncertainties of both procedures are evaluated and are correlated. A
retrospective analysis of the data registered during more than 13 years is
carried out using CUSUM algorithmic and moving range charts. RESULTS: The larger
uncertainties in R(50,ion) come from the measurement device and the observer. The
uncertainty in the measurement of the statistical control variable, which is
mainly due to the geometry setup, is negligible against its intrinsic
variability. The application of CUSUM charts pointed out different out of control
situations linked in much cases to assignable causes. In addition, moving range
charts showed up situations of anomalous functioning of the LINAC. CONCLUSIONS:
Algorithmic CUSUM charts permit a nice monitoring of R(50,ion). If the
variability is not controlled, by using charts such as the moving range ones, the
number of false alarms could increase without a change in the mean value of
R(50,ion).
PMID- 21903285
TI - Preoperative concomitant boost intensity-modulated radiotherapy with oral
capecitabine in locally advanced mid-low rectal cancer: a phase II trial.
AB - PURPOSE: We aimed to assess the safety and efficacy of preoperative intensity
modulated radiotherapy (IMRT) with oral capecitabine in patients with locally
advanced mid-low rectal cancer using a concomitant boost technique. MATERIALS AND
METHODS: Patients with resectable locally advanced mid-low rectal cancer (node
negative >=T3 or any node-positive tumor) were eligible. The eligible patients
received IMRT to 2 dose levels simultaneously (50.6 and 41.8 Gy in 22 fractions)
with concurrent capecitabine 825 mg/m(2) twice daily 5 days/week. The primary end
point included toxicity, postoperative complication, and pathological complete
response rate (ypCR). The secondary endpoints included local recurrence rate,
progression-free survival (PFS), and overall survival (OS). RESULTS: Sixty-three
eligible patients were enrolled; five patients did not undergo surgery. Of the 58
patients evaluable for pathologic response, the ypCR rate was 31.0% (95% CI 19.1
42.9). Grade 3 toxicities included diarrhea (9.5%), radiation dermatitis (3.2%),
and neutropenia (1.6%). There was no Grade 4 toxicity reported. Four (6.9%)
patients developed postoperative complications. Two-year local recurrence rate,
PFS, and OS were 5.7%, 90.5%, and 96.0%, respectively. CONCLUSIONS: The design of
preoperative concurrent boost IMRT with oral capecitabine could achieve high rate
of ypCR with an acceptable toxicity profile.
PMID- 21903286
TI - Radiation dose response of normal lung assessed by Cone Beam CT - a potential
tool for biologically adaptive radiation therapy.
AB - BACKGROUND: Density changes of healthy lung tissue during radiotherapy as
observed by Cone Beam CT (CBCT) might be an early indicator of patient specific
lung toxicity. This study investigates the time course of CBCT density changes
and tests for a possible correlation with locally delivered dose. METHODS: A
total of 665 CBCTs in 65 lung cancer patients treated with IMRT/VMAT to 60 or 66
Gy in 2 Gy fractions were analyzed. For each patient, CBCT lung density changes
during the treatment course were related to the locally delivered dose. RESULTS:
A dose response is observed for the patient population at the end of the
treatment course. However, the observed dose response is highly variable among
patients. Density changes at 10th and 20th fraction are clearly correlated to
those observed at the end of the treatment course. CONCLUSIONS: CBCT density
changes in healthy lung tissue during radiotherapy correlate with the locally
delivered dose and can be detected relatively early during the treatment. If
these density changes are correlated to subsequent clinical toxicity this assay
could form the basis for biological adaptive radiotherapy.
PMID- 21903287
TI - Target volume delineation variation in radiotherapy for early stage rectal cancer
in the Netherlands.
AB - PURPOSE: The aim of this study was to measure and improve the quality of target
volume delineation by means of national consensus on target volume definition in
early-stage rectal cancer. METHODS AND MATERIALS: The CTV's for eight patients
were delineated by 11 radiation oncologists in 10 institutes according to local
guidelines (phase 1). After observer variation analysis a workshop was organized
to establish delineation guidelines and a digital atlas, with which the same
observers re-delineated the dataset (phase 2). Variation in volume, most caudal
and cranial slice and local surface distance variation were analyzed. RESULTS:
The average delineated CTV volume decreased from 620 to 460 cc (p<0.001) in phase
2. Variation in the caudal CTV border was reduced significantly from 1.8 to 1.2
cm SD (p=0.01), while it remained 0.7 cm SD for the cranial border. The local
surface distance variation (cm SD) reduced from 1.02 to 0.74 for anterior, 0.63
to 0.54 for lateral, 0.33 to 0.25 for posterior and 1.22 to 0.46 for the
sphincter region, respectively. CONCLUSIONS: The large variation in target volume
delineation could significantly be reduced by use of consensus guidelines and a
digital delineation atlas. Despite the significant reduction there is still a
need for further improvement.
PMID- 21903288
TI - Mycotoxin production by isolates of Fusarium lactis from greenhouse sweet pepper
(Capsicum annuum).
AB - Internal fruit rot, caused by Fusarium lactis, is an important disease of sweet
pepper (Capsicum annuum) in Canadian greenhouses. Production of the mycotoxins
fumonisin B1 (FB1), moniliformin (MON) and beauvericin (BEA) by F. lactis (17
isolates) and the related species F. proliferatum (three isolates) and F.
verticillioides (one isolate), which are also associated with internal fruit rot,
was evaluated on rice medium. All 21 isolates examined were found to produce BEA,
at concentrations ranging from 13.28 to 1674.60 ppm, while 13 of 17 F. lactis
isolates and two of three F. proliferatum isolates produced MON (0.23 to 181.85
ppm). Only one isolate of F. lactis produced detectable levels of FB1 in culture,
whereas all three F. proliferatum isolates and the F. verticilloides isolate
produced this mycotoxin (0.28 to 314 ppm). Production of FB1, MON and BEA was
also evaluated in inoculated pepper fruits showing mild or severe symptoms of
infection. FB1 could be detected in both lightly and heavily diseased fruit
tissue after inoculation with F. lactis, F. proliferatum or F. verticilloides, at
concentrations ranging from 0.61 to 8.04 ppm. BEA was also detected in lightly
and heavily diseased fruit tissue inoculated with F. lactis, as well as in
heavily diseased tissue inoculated with F. proliferatum (3.00 to 19.43 ppm), but
not in tissue inoculated with F. verticilloides. MON was detected in all tissues
inoculated with F. proliferatum or F. verticilloides, and in heavily diseased
tissue inoculated with F. lactis (0.03 to 0.27 ppm). The three mycotoxins were
also found in naturally infected sweet pepper fruits exhibiting symptoms of
internal fruit rot and collected from a commercial greenhouse. The production of
MON, BEA and FB1 alone or in combination by isolates of F. lactis suggests that
development of internal fruit rot of sweet pepper is an important food safety
concern, and that every effort should be made to cull infected fruit before it
makes it to market.
PMID- 21903289
TI - Diversity of acetic acid bacteria present in healthy grapes from the Canary
Islands.
AB - The identification of acetic acid bacteria (AAB) from sound grapes from the
Canary Islands is reported in the present study. No direct recovery of bacteria
was possible in the most commonly used medium, so microvinifications were
performed on grapes from Tenerife, La Palma and Lanzarote islands. Up to 396 AAB
were isolated from those microvinifications and identified by 16S rRNA gene
sequencing and phylogenetic analysis. With this method, Acetobacter pasteurianus,
Acetobacter tropicalis, Gluconobacter japonicus and Gluconacetobacter
saccharivorans were identified. However, no discrimination between the closely
related species Acetobacter malorum and Acetobacter cerevisiae was possible. As
previously described, 16S-23S rRNA gene internal transcribed spacer (ITS) region
phylogenetic analysis was required to classify isolates as one of those species.
These two species were the most frequently occurring, accounting for more than
60% of the isolates. For typing the AAB isolates, both the Enterobacterial
Repetitive Intergenic Consensus (ERIC)-PCR and (GTG)5-PCR techniques gave similar
resolution. A total of 60 profiles were identified. Thirteen of these profiles
were found in more than one vineyard, and only one profile was found on two
different islands (Tenerife and La Palma).
PMID- 21903290
TI - Effect of dilution rate and nutrients addition on the fermentative capability and
synthesis of aromatic compounds of two indigenous strains of Saccharomyces
cerevisiae in continuous cultures fed with Agave tequilana juice.
AB - Knowledge of physiological behavior of indigenous tequila yeast used in
fermentation process is still limited. Yeasts have significant impact on the
productivity fermentation process as well as the sensorial characteristics of the
alcoholic beverage. For these reasons a better knowledge of the physiological and
metabolic features of these yeasts is required. The effects of dilution rate,
nitrogen and phosphorus source addition and micro-aeration on growth,
fermentation and synthesis of volatile compounds of two native Saccharomyces
cerevisiae strains, cultured in continuous fed with Agave tequilana juice were
studied. For S1 and S2 strains, maximal concentrations of biomass, ethanol,
consumed sugars, alcohols and esters were obtained at 0.04 h-1. Those
concentrations quickly decreased as D increased. For S. cerevisiae S1 cultures
(at D=0.08 h-1) supplemented with ammonium phosphate (AP) from 1 to 4 g/L,
concentrations of residual sugars decreased from 29.42 to 17.60 g/L and ethanol
increased from 29.63 to 40.08 g/L, respectively. The S1 culture supplemented with
AP was then micro-aerated from 0 to 0.02 vvm, improving all the kinetics
parameters: biomass, ethanol and glycerol concentrations increased from 5.66,
40.08 and 3.11 g/L to 8.04, 45.91 and 4.88 g/L; residual sugars decreased from
17.67 g/L to 4.48 g/L; and rates of productions of biomass and ethanol, and
consumption of sugars increased from 0.45, 3.21 and 7.33 g/L.h to 0.64, 3.67 and
8.38 g/L.h, respectively. Concentrations of volatile compounds were also
influenced by the micro-aeration rate. Ester and alcohol concentrations were
higher, in none aerated and in aerated cultures respectively.
PMID- 21903292
TI - Physicochemical controls on initiation and evolution of desiccation cracks in
sand-bentonite mixtures: X-ray CT imaging and stochastic modeling.
AB - The shrink-swell behavior of active clays in response to changes in
physicochemical conditions creates great challenges for construction of
geotechnical barriers for hazardous waste isolation, and is of significant
importance for management of agricultural and natural resources. Initiation and
evolution of desiccation cracks in active clays are strongly dependent on
physicochemical initial and boundary conditions. To investigate effects of
bentonite content (20, 40, 60%), pore fluid chemistry (0.05 and 0.5M NaCl) and
drying rates (40 and 60 degrees C) on cracking behavior, well-controlled
dehydration experiments were conducted and X-ray Computed Tomography (CT) was
applied to visualize and quantify geometrical features of evolving crack
networks. A stochastic model based on the Fokker-Plank equation was adopted to
describe the evolution of crack aperture distributions (CAD) and to assess the
impact of physicochemical factors on cracking behavior. Analyses of crack
porosity and crack specific surface area showed that both clay content and
temperature had larger impact on cracking than pore fluid concentration. More
cracks formed at high bentonite contents (40 and 60%) and at high drying rate (60
degrees C). The drift, diffusion and source terms derived from stochastic
analysis indicated that evaporative demand had greater influence on the dynamics
of the CAD than solution chemistry.
PMID- 21903291
TI - Longitudinal ethnic differences in multiple cardiovascular risk factor control in
a cohort of US adults with diabetes.
AB - AIM: To examine longitudinal differences in multiple cardiovascular risk factor
control (glycemia, blood pressure, and lipids) by race/ethnicity. METHODS: Data
were analyzed on a cohort of 11,203 veterans with type 2 diabetes. Primary
outcome was odds of none of the risk factors out of control vs. having at least
one out of control (HbA1c>8.0%, BP>140/90 mmHg, and LDL>100mg/dL). Secondary
outcome was odds of having none out of control vs. having one, two or three risk
factors out of control, respectively. Generalized linear mixed models assessed
the relationship between race/ethnicity and multiple risk factor control adjusted
for covariates. RESULTS: Adjusted models for primary outcome showed that NHB had
two-fold (95%CI 1.8-2.3) and Hispanics had 48% higher (95%CI 1.3-1.7) odds of
multiple risk factors out of control over time compared to NHW. Adjusted models
for secondary outcome showed that NHB and Hispanics also had higher odds of
having one, two, and three risk factors out of control over time compared to NHW.
CONCLUSIONS: Ethnic minority veterans with diabetes are less likely to have
multiple cardiovascular risk factor control over time compared to whites. Thus,
greater risk reduction efforts are needed to reduce the heavier disease burden
among ethnic minorities.
PMID- 21903293
TI - Study of biomaterial-induced macrophage activation, cell-mediated immune response
and molecular oxidative damage in patients with dermal bioimplants.
AB - Several soft-tissue dermal fillers have been reported to provoke immunogenicity
and may cause adverse reactions despite claims regarding their safety. This study
aimed to assess biomaterial-induced macrophage activation, cell-mediated immune
response and oxidative stress in 169 patients with dermal bioimplants. To this
end, we analysed plasma concentrations of myeloperoxidase (MPO), the chitinase
like proteins chitotriosidase and YKL-40 and molecular oxidative damage. The
present study shows, for the first time, that the components of innate immunity:
chitotriosidase and YKL-40, are significantly higher in patients with certain
bioimplants and these markers of monocyte/macrophage activation rose
progressively as adverse reactions (AR) evolved. Plasma MPO levels increased 4
fold in filler users with AR and 3-fold in those without. Analysis by filler type
showed subjects injected with calcium hydroxylapatite, methacrylate, acrylamides
and silicone to have values significantly above those of non-filler subjects for
at least two plasma biomarkers, probably because the afore-mentioned biomaterials
are permanent and prone to trigger AR in the long term. By contrast, hyaluronic
acid alone elicited little immune response. Plasma concentrations of markers of
oxidative damage to lipids and proteins were found to be significantly higher in
users of four of the nine dermal fillers studied. These diffusible products of
molecular peroxidation would stem from the reaction catalysed by MPO that
generates potent oxidants, leading to cell oxidative damage which, in turn, may
exert deleterious effects on the organism. Overall, the results of this study on
the effects of a range of dermal fillers point to chronic activation of the
immune response mediated by macrophages and PMNs. The increases in plasma of MPO,
chitotriosidase and YKL-40 proteins and products of macromolecular peroxidation
suggests that these molecules could serve as blood-based biochemical markers and
alert to the risk of chronic immune system activation and development of adverse
events that may arise from the use of certain bioimplants.
PMID- 21903294
TI - IL-12 inhibits the TGF-beta-dependent T cell developmental programs and skews the
TGF-beta-induced differentiation into a Th1-like direction.
AB - The development and differentiation of T helper (Th) cell subsets is a highly
plastic process which is strictly regulated by cytokines. Here we show that the
transforming growth factor beta (TGF-beta)-dependent differentiation programs are
negatively regulated by interleukin-12 (IL-12). The development of TGF-beta
induced regulatory T cells (iTregs) or TGF-beta/IL-6 activated Th17 cells from
purified mouse CD4(+)CD25(-) T cells, stimulated with monoclonal antibody anti
CD3, was abrogated in the presence of IL-12 and a different developmental program
was established. On the molecular level, IL-12 inhibited the expression of the
lineage specific transcription factors Foxp3 and RORgammat in developing Tregs
and Th17 cells, respectively. Moreover, IL-12 was able to alter the development
of iTregs and Th17 cells even when added to the differentiating cells after 48h
of the culture. The cells activated in the presence of TGF-beta and IL-12 had an
increased expression of the Th1 transcription factor T-bet, produced Th1
cytokines interferon gamma and IL-2 and expressed IL-18 receptor and C-C
chemokine receptor type 5 which are the phenotypic markers characteristic for Th1
cells. Furthermore, the cells activated in the presence of both TGF-beta and IL
12, and not of TGF-beta only, stimulated macrophages to produce nitric oxide.
Altogether, these results indicate that IL-12 is a superior cytokine that has the
ability to skew the already ongoing TGF-beta-dependent iTreg or Th17
developmental program into Th1-like direction.
PMID- 21903295
TI - Exogenous ornithine is an effective precursor and the delta-ornithine amino
transferase pathway contributes to proline accumulation under high N recycling in
salt-stressed cashew leaves.
AB - The role of the delta-ornithine amino transferase (OAT) pathway in proline
synthesis is still controversial and was assessed in leaves of cashew plants
subjected to salinity. The activities of enzymes and the concentrations of
metabolites involved in proline synthesis were examined in parallel with the
capacity of exogenous ornithine and glutamate to induce proline accumulation.
Proline accumulation was best correlated with OAT activity, which increased 4
fold and was paralleled by NADH oxidation coupled to the activities of OAT and
Delta(1)-pyrroline-5-carboxylate reductase (P5CR), demonstrating the potential of
proline synthesis via OAT/P5C. Overall, the activities of GS, GOGAT and aminating
GDH remained practically unchanged under salinity. The activity of P5CR did not
respond to NaCl whereas Delta(1)-pyrroline-5-carboxylate dehydrogenase was
sharply repressed by salinity. We suggest that if the export of P5C from the
mitochondria to the cytosol is possible, its subsequent conversion to proline by
P5CR may be important. In a time-course experiment, proline accumulation was
associated with disturbances in amino acid metabolism as indicated by large
increases in the concentrations of ammonia, free amino acids, glutamine, arginine
and ornithine. Conversely, glutamate concentrations increased moderately and only
within the first 24h. Exogenous feeding of ornithine as a precursor was very
effective in inducing proline accumulation in intact plants and leaf discs, in
which proline concentrations were several times higher than glutamate-fed or salt
treated plants. Our data suggest that proline accumulation might be a consequence
of salt-induced increase in N recycling, resulting in increased levels of
ornithine and other metabolites involved with proline synthesis and OAT activity.
Under these metabolic circumstances the OAT pathway might contribute
significantly to proline accumulation in salt-stressed cashew leaves.
PMID- 21903296
TI - [Preconceived ideas, paradoxes, and new concepts in retinal vein occlusion].
AB - Retinal vein occlusion is the second cause of vascular retinopathy after diabetic
retinopathy and often leads to poor visual outcome. In a simplified form, the
authors attempt to rectify a number of preconceived ideas about retinal vein
occlusion and introduce new concepts in order to assist in the understanding of
their mechanisms. Various paradoxes are explained, such as the presence of
arterial risk factors in this venous disorder, the atypical combination of
retinal ischemia and the absence of vascular non-perfusion on fluorescein
angiography, the fact that macular edema is larger in the ischemic form than in
the well-perfused form, the aggravating role of contraceptive hormonal therapy,
the protective role of substitutive hormonal therapy, etc. The current
controversies are underlined: the seasonal onset of the disease, the role of
vasodilator treatment, the place of etiologic screening, and the significance of
prepapillary loops. Lastly, the authors summarize important basics about
treatment modalities, even if there is not yet consensus on the treatment of
retinal vein occlusion.
PMID- 21903298
TI - [Severe hemolytic crisis and multiorgan failure secondary to Clostridium
perfringens infection].
PMID- 21903297
TI - Clinical presentation of dengue among patients admitted to the adult emergency
department of a tertiary care hospital in Martinique: implications for triage,
management, and reporting.
AB - STUDY OBJECTIVE: During dengue epidemics, emergency physicians face large numbers
of patients with acute febrile illness. Triage algorithms and appropriate
reporting systems are useful to manage patients and prioritize resources. We
identify possible adaptations to these systems to improve the management of
patients during epidemics. METHODS: In a prospective observational study in the
adult emergency department (ED) of a tertiary care hospital, we enrolled all
patients with febrile illness and a confirmed diagnosis of dengue (ribonucleic
acid identification). We then retrospectively classified cases according to the
initial clinical presentation at the ED. RESULTS: We enrolled 715 patients (332
male patients), aged 14 to 91 years (median 35 years). Severe illness was
documented in 332 cases (46.4%) and was mostly caused by serotype 2, or a
secondary infection of any serotype. Severe forms included dengue hemorrhagic
fever or dengue shock syndrome (104/332; 31.3%), severe bleeding (9/332; 2.7%),
and acute organ failure (56/332; 16.9%). The other patients with severe illness
(171/332; 51.5%) presented with symptoms of presyncope, intense weakness,
prolonged gastrointestinal symptoms, and hypotension. This presentation was
common during epidemics and appeared to be associated with dehydration and
electrolyte loss that improved markedly within 24 hours with saline solution
infusion. This group did not have evidence of plasma leakage, although similar
features were observed in patients with dengue hemorrhagic fever/dengue shock
syndrome. CONCLUSION: Dengue has a wide range of clinical presentations in the
ED. Many patients who appear seriously ill on presentation will respond to
intravenous fluids.
PMID- 21903299
TI - [Biological mechanisms involved in the spread of traumatic brain damage].
AB - Traumatic brain injury (TBI) is a worldwide health problem that is especially
prevalent in young adults. It is characterized by one or more primary injury
foci, with secondary spread to initially not compromised areas via cascades of
inflammatory response, excitotoxicity, energy failure conditions, and
amplification of the original tissue injury by glia. In theory, such progression
of injury should be amenable to management. However, all neuroprotective drug
trials have failed, and specific treatments remain lacking. These negative
results can be explained by a neuron centered approach, excluding the
participation of other cell types and pathogenic mechanisms. To change this
situation, it is necessary to secure a better understanding of the biological
mechanisms determining damage progression or spread. We discuss the biological
mechanisms involved in the progression of post-trauma tissue damage, including
the general physiopathology of TBI and cellular mechanisms of secondary damage
such as inflammation, apoptosis, cell tumefaction, excitotoxicity, and the role
of glia in damage propagation. We highlight the role of glia in each cellular
mechanism discussed. Therapeutic approaches related to the described mechanisms
have been included. The discussion is completed with a working model showing the
convergence of the main topics.
PMID- 21903300
TI - Detection of phylogenetic group B1 Escherichia coli by multiplex PCR: description
of a new amplification pattern.
PMID- 21903301
TI - [Effect of the time between symptom onset, swabbing and testing on the detection
of influenza virus].
AB - BACKGROUND: Influenza surveillance requires the collection of nasopharyngeal
swabs in Primary Care for testing in reference laboratories. We evaluated the
influence on the laboratory results of the time since the onset of symptoms to
swabbing (TSS) and from then until laboratory processing (TSL). METHODS: We
analysed swabs collected in the Sentinel Network of Navarra during the 2009-2010
influenza season. The samples were kept refrigerated until analysed by RT-PCR and
viral culture. We analysed the percentage of positive swabs to influenza virus in
accordance with the TSS and TSL by logistic regression. RESULTS: From a total of
937 swabs, 373 (40%) were positive for influenza by RT-PCR. The TSS ranged from 0
15 days. In the adjusted analysis by period, laboratory and age, having a
positive influenza culture decreased to less than half when the TSS was 4-5 days
(OR=0.47; 95% CI, 0.24-0.94), and having a positive RT-PCR decreased when the TSS
was 5 days or more (OR=0.24, 95% CI, 0.09-0.65). TSL does not significantly
affect the result of the RT-PCR (OR by each day=0.96; 95% CI, 0.88-1.04), or the
result of the viral culture (OR by each day=0.97, 95% CI, 0.89-1.06).
CONCLUSIONS: A TSS over 3 days reduced the likelihood of confirmation of
influenza, affecting the viral culture more than the RT-PCR. A TSL within a range
of two weeks had no significant effect on the results of the RT-PCR or the viral
culture.
PMID- 21903302
TI - [Persistent inflammation of the nasal dorsum in a patient with rheumatoid
arthritis treated with infliximab].
PMID- 21903303
TI - [Health care in dementia: satisfaction and needs of the caregiver].
AB - INTRODUCTION: Primary care (PC) and general neurology clinics (NC) assume the
leading role in the health care of patients with dementia. There are hardly any
studies on the satisfaction of the caregiver at these settings of health care.
METHODS: A questionnaire was designed and distributed to 75 caregivers of
patients with dementia who were reviewed in an NC and who had been referred from
PC. The questionnaire included questions associated with the care, the use of
services, and satisfaction. Sociodemographic and clinical variables were also
collected. The results of the questionnaire are described and a simple
correlation analysis (Spearman r) was performed to determine the factors
associated with satisfaction. RESULTS: The majority of carers were sons or
daughters (60%) or spouses (31%), of which 73% were women. The service most used
was home help (36%), but 41% of patients had no services available. Satisfaction
was high (84% quite or very satisfied with PC, 97% quite or very satisfied with
the NC, P<.01). A greater number of years giving care was associated with a lower
satisfaction with PC ((r=-0.37, P<.005), while institutionalisation was
associated with a lower satisfaction with the NC (r=-0.30, P<.01). CONCLUSIONS:
In a context of a scarcity of resources, the satisfaction of the caregivers of
patients with dementia, as regards health care, was high, possibly due to the
motivation of the health professionals and the positive attitude of the
caregivers themselves.
PMID- 21903304
TI - Synthesis, fluorescence and biodistribution of a bone-targeted near-infrared
conjugate.
AB - Enhanced imaging of early-stage bone abnormalities, such as primary tumors or
metastases is highly required as the widely-used bone scan frequently lacks the
desired sensitivity. Near IR (NIR) fluorescence imaging affords high contrast and
enhanced sensitivity, as body tissue expresses minimal autofluorescence at NIR
range (600-1200 nm). Indocyanine green (ICG), a biocompatible NIR dye, is widely
used in the imaging of various organs, such as liver, heart and blood
circulation. We report the preparation and in-vivo testing of a bone-targeting
ICG derivative, in comparison to the parent molecule(s). Since ICG itself is
chemically unreactive, and could not form conjugates, we prepared two novel ICG
conjugatable derivatives. The overall ICG structure was maintained while only a
replacement of one or two sulfonate groups with carboxylic acids resulted in new
linkers for covalent binding to biomolecules. These derivatives were evaluated
for their fluorescence and biodistribution in comparison to ICG and were found to
be comparable. One of the novel ICG-derivatives was conjugated to a bone
targeting moiety and this new compound was found to bind to growing regions of
the skeleton, and emit fluorescence for as long as two weeks in young mice.
PMID- 21903305
TI - Top tips for PhD thesis examination: nurse clinicians, researchers and novices.
AB - Interestingly, there are very few guidelines in the literature to assist novice
nurse PhD examiners. In this paper, we aim to provide information to nurses,
researchers or early career academics who have little experience in assessing a
university thesis. The article provides background information about recent
changes in the university sector; overviews some research on experienced
examiners views; presents factors that differentiate between high and low quality
PhD theses; and outlines some pointers that may be useful when marking at the
doctoral level.
PMID- 21903306
TI - Qualitative comparison of curricula in oral and maxillofacial surgery training.
Part 2: oral surgery.
AB - A comparison between the curricula for specialist training in oral surgery (OS),
and oral and maxillofacial surgery (OMFS) illustrates the overlap between the two
specialties. We identified and compared relevant curricula for OMFS with
competencies in the OS specialist-training curriculum using a qualitative
assessment to establish the degree of overlap. All competencies within the OS
curriculum are covered by OMFS curricula, and 21 of 96 OMFS clinical competencies
are covered by OS core competencies. The majority of OMFS competencies are unique
and are not directly comparable with those in the OS curriculum. Knowledge of
this overlap may help to avoid unnecessary duplication in the training of those
who wish to transfer specialty.
PMID- 21903307
TI - First-time mothers' perspectives on relationships with and between midwives and
doctors: insights from a qualitative study of giving birth in New Zealand.
AB - OBJECTIVE: to explore the experience of giving birth for first-time New Zealand
mothers. DESIGN: qualitative methodology was employed to obtain an in-depth
insight into the birth experience using semi-structured interviews conducted face
to-face 11 days to 16 weeks (median 13.5 weeks) after giving birth were audio
recorded and transcribed verbatim. SETTING: the interviews were of a small group
of New Zealand mothers. PARTICIPANTS: ten first-time mothers aged 24-38 years
(median 31.5 years) participated. MEASUREMENTS: transcripts were analysed using a
phenomenological form of thematic analysis. FINDINGS: a core theme was
relationship issues. Two subthemes were delineated: lack of relationship between
mothers and midwives and doctors; and the conflict between midwives and doctors.
KEY CONCLUSIONS: personal, caring and co-operative relationships with all
maternity care professionals were basic to New Zealand mothers feeling good about
their birth experience. IMPLICATIONS FOR PRACTISE: relationships, with and
between, all maternity care professionals merit further investigation in an
effort to optimise birth satisfaction.
PMID- 21903308
TI - The perspectives of Australian midwifery academics on barriers and enablers for
simulation in midwifery education in Australia: a focus group study.
AB - OBJECTIVE: to describe Australian midwifery academics' perceptions of the current
barriers and enablers for simulation in midwifery education in Australia and the
potential and resources required for simulation to be increased. DESIGN: a series
of 11 focus groups/interviews were held in all states and territories of
Australia with 46 participating academics nominated by their heads of discipline
from universities across the country. FINDINGS: three themes were identified
relating to barriers to the extension of the use of simulated learning
environments (SLEs) ('there are things that you can't simulate'; 'not having the
appropriate resources'; and professional accreditation requirements) and three
themes were identified to facilitate SLE use ('for the bits that you're not
likely to see very often in clinical'; ['for students] to figure something out
before [they] get to go out there and do it on the real person'; and good
resources and support). KEY CONCLUSION: although barriers exist to the adoption
and spread of simulated learning in midwifery, there is a long history of
simulation and a great willingness to enhance its use among midwifery academics
in Australia. IMPLICATIONS FOR PRACTICE: while some aspects of midwifery practice
may be impossible to simulate, more collaboration and sharing in the development
and use of simulation scenarios, equipment, space and other physical and
personnel resources would make the uptake of simulation in midwifery education
more widespread. Students would therefore be exposed to the best available
preparation for clinical practice contributing to the safety and quality of
midwifery care.
PMID- 21903309
TI - Influence of assembly procedure and material combination on the strength of the
taper connection at the head-neck junction of modular hip endoprostheses.
AB - BACKGROUND: A stable fixation between femoral head and endoprosthesis taper is
necessary to prevent relative motions and corrosion at the taper junction.
Although the importance of the component assembly has been recognised, no
definitive instructions are available. The purpose of this study was to assess
the influence of assembly force, assembly tool and number of hammer strokes on
the taper junction strength of various material combinations. METHODS: Co-Cr29-Mo
(n=10) and Ti-6Al-4V (n=10) neck tapers were assembled with Co-Cr and Al(2)O(3)
ceramic heads either by push-on or by impaction with single or multiple hammer
blows. The strength of the taper-head connection was evaluated by measuring the
head pull-off forces according to ISO 7206-10 and the turn-off moment capacity.
FINDINGS: The taper strength linearly increased with assembly forces (P<0.001).
Co-Cr heads combined with Co-Cr tapers showed significantly lower pull-off forces
and turn-off moments than the combination with Ti tapers (0.001C; p.W10R). On this basis, we conclude that REN mutations
are rare events in patients with CKD. Within the kindred, we found affected
individuals over 4 generations who carried the novel REN mutation and were
characterized by significant anemia, hyperuricemia, and CKD. Anemia was severe
and disproportional to the degree of decreased kidney function. Because all
heterozygous REN mutations that have been described are localized in the signal
sequence, screening of the REN gene for patients with CKD with hyperuricemia and
anemia may best be focused on sequencing of exon 1, which encodes the signal
peptide.
PMID- 21903318
TI - The role of daily mobility in mental health inequalities: the interactive
influence of activity space and neighbourhood of residence on depression.
AB - The literature reports an association between neighbourhood deprivation and
individual depression after adjustment for individual factors. The present paper
investigates whether vulnerability to neighbourhood features is influenced by
individual "activity space" (i.e., the space within which people move about or
travel in the course of their daily activities). It can be assumed that a
deprived residential environment can exert a stronger influence on the mental
health of people whose activity space is limited to their neighbourhood of
residence, since their exposure to their neighbourhood would be greater.
Moreover, we studied the relationship between activity space size and depression.
A limited activity space could indeed reflect spatial and social confinement and
thus be associated with a higher risk of being depressed, or, conversely, it
could be linked to a deep attachment to the neighbourhood of residence and thus
be associated with a lower risk of being depressed. Multilevel logistic
regression analyses of a representative sample consisting of 3011 inhabitants
surveyed in 2005 in the Paris, France metropolitan area and nested within 50
census blocks showed, after adjusting for individual-level variables, that people
living in deprived neighbourhoods were significantly more depressed that those
living in more advantaged neighbourhoods. We also observed a statistically
significant cross-level interaction between activity space and neighbourhood
deprivation, as they relate to depression. Living in a deprived neighbourhood had
a stronger and statistically significant effect on depression in people whose
activity space was limited to their neighbourhood than in those whose daily
travels extended beyond it. In addition, a limited activity space appeared to be
a protective factor with regard to depression for people living in advantaged
neighbourhoods and a risk factor for those living in deprived neighbourhoods. It
could therefore be useful to take activity space into consideration more often
when studying the social and spatial determinants of depression.
PMID- 21903319
TI - Viewing objects and planning actions: on the potentiation of grasping behaviours
by visual objects.
AB - How do humans interact with tools? Gibson (1979) suggested that humans perceive
directly what tools afford in terms of meaningful actions. This "affordances"
hypothesis implies that visual objects can potentiate motor responses even in the
absence of an intention to act. Here we explore the temporal evolution of motor
plans afforded by common objects. We presented objects that have a strong
significance for action (pinching and grasping) and objects with no such
significance. Two experimental tasks involved participants viewing objects
presented on a computer screen. For the first task, they were instructed to
respond rapidly to changes in background colour by using an apparatus mimicking
precision and power grip responses. For the second task, they received
stimulation of their primary motor cortex using transcranial magnetic stimulation
(TMS) while passively viewing the objects. Muscular responses (motor evoked
potentials: MEPs) were recorded from two intrinsic hand muscles (associated with
either a precision or power grip). The data showed an interaction between type of
response (or muscle) and type of object, with both reaction time and MEP measures
implying the generation of a congruent motor plan in the period immediately after
object presentation. The results provide further support for the notion that the
physical properties of objects automatically activate specific motor codes, but
also demonstrate that this influence is rapid and relatively short lived.
PMID- 21903320
TI - Advances in the diagnosis of tuberculosis infection.
AB - One-third of the world-wide population currently presents latent tuberculosis
infection (LTI). In Spain, TB is situated as the third disease of mandatory
notification. The standard technique for the diagnosis of ITL is the tuberculin
test (PPD), although its most important drawback is its specificity since the
proteins used are not specific for Mycobacterium tuberculosis. In recent years,
research has been done and new diagnostic methods have been approved based on the
in vitro quantification of the immune cell response, the so-called interferon
gamma release assays (IGRA). Compared with PPD, the main difference is that IGRAs
detect the release of interferon-gamma in response to specific tuberculous
antigens. In the absence of a true reference test for the diagnosis of
tuberculosis infection, it is difficult to establish the sensitivity and
specificity of these new diagnostic techniques. IGRAs have been used in the
detection of ITL in subjects with immune system alterations (HIV, EEI, IRC,
rheumatologic diseases) with good results. They are also being extensively used
in the study of contacts. In recent studies involving serial controls of said
tests, they were observed to present conversions and reversions that occur after
exposure to M. tuberculosis. Today and with the current knowledge, it seems that
IGRAs can complement PPD, but not substitute them.
PMID- 21903321
TI - Nuclear receptor mRNA expression by HBV in human hepatoblastoma cell lines.
AB - Recent studies have implicated nuclear receptors (NRs) in the development of
hepatocarcinogenesis. We assumed that hepatitis B virus (HBV) alters the
expression of NRs and coregulators, and compared the gene expression profiling
for 84 NRs and related genes between HpeG2.2.15, which secretes complete HBV
virion, and HepG2 by real-time RT-PCR with SyBr green. Forty (47.6%) genes were
upregulated 2-fold or greater, and only 5 (5.9%) were downregulated 2-fold or
more, in HepG2.2.15 compared to HepG2. These results suggest that HBV affects NRs
and their related signal transduction, and that they play important roles in
viral replication and HBV-related hepatocarcinogenesis.
PMID- 21903322
TI - Cytotoxicity of troglitazone through PPARgamma-independent pathway and p38 MAPK
pathway in renal cell carcinoma.
AB - Agonists of peroxisome proliferator-activated receptor gamma (PPARgamma) have
been examined as chemopreventive and chemotherapeutic agents. The aim was to
investigate the cytotoxicity of troglitazone (TGZ) and its mechanisms in terms of
PPARgamma dependency and the p38 mitogen-activated protein kinase (MAPK) pathway
in three human renal cell carcinoma (RCC) cell lines, 786-O, Caki-2 and ACHN
cells. TGZ induced apoptosis and exerted cytotoxicity in a PPARgamma-independent
manner. We demonstrated that TGZ activated the p38 MAPK pathway and was involved
in the cytotoxicity of TGZ. It was also revealed that TGZ induced G(2)/M cell
cycle arrest through activation of p38 MAPK.
PMID- 21903323
TI - Identification of tumoral glial precursor cells in neuroblastoma.
AB - Neuroblastic tumors (NBT) are composed by neuroblasts and Schwannian-like stroma.
The origin of these two cell subtypes remains unclear. In this study, we
describe, a neuroblastic-like subpopulation in neuroblastoma (NB) coexpressing
GD2 and S100A6, neuroblastic and glial lineage markers respectively. The
GD2(+)/S100A6(+) neuroblastic subpopulation was found to be enriched in low risk
NB, distributed around the perivascular niche. Some stromal bundles showed
GD2(+)/S100A6 costaining. Metastatic bone marrow specimens also showed
GD2(+)/S100A6(+) cells. During in vitro retinoic acid induced differentiation of
NB cell lines, rare GD2(+)/S100A6 neuroblatic cells appeared. We conclude that
GD2(+)/S100A6(+) neuroblasts may represent a tumoral glial precursor
subpopulation in NBT.
PMID- 21903324
TI - Targeting p73 in cancer.
AB - p73 is a member of the p53 family of tumor suppressors. Transactivating isoforms
of p73 (TAp73) have p53-like, anti-proliferative and pro-apoptotic activities
that are crucial for an efficient chemotherapy response. In line with this,
genetic studies in mice have confirmed that TAp73 acts as a tumor suppressor.
However, in contrast to p53, which is commonly inactivated in human cancer by
point mutations, the TP73 gene is almost never mutated. Instead, the tumor
suppressor activity of TAp73 is inhibited through a variety of mechanisms
including epigenetic silencing and complex formation with inhibitory proteins.
All these mechanisms have in common that they are in principle reversible and
therefore amenable to therapeutic intervention. Here, we will review how tumor
cells control the tumor suppressor activity of TAp73 and discuss possible
strategies targeting p73 for reactivation.
PMID- 21903325
TI - Effects of UV irradiation on humic acid removal by ozonation, Fenton and Fe0/air
treatment: THMFP and biotoxicity evaluation.
AB - Effects of UV irradiation on humic acid (HA) removal by Fe(0)/air, ozonation and
Fenton oxidation were investigated. The trihalomethane forming potential (THMFP)
and toxicity of treated solutions were also evaluated. The experimental
conditions were ozone of 21 mg min(-1), H(2)O(2) of 8 * 10(-4)M, Fe(0) of 20 g L(
1), air flow of 5 L min(-1), and UVC of 9 W. Results indicated that Fe(0)/air
rapidly removed HA color (>99%) and COD (90%) within 9 min. 51-81% of color and
43-50% of COD were removed by ozonation and Fenton oxidation after 60 min. Both
UV enhanced ozone and Fenton oxidation removed HA, but the Fe(0)/air process did
not. Spectrum results showed all processes effectively diminished UV-vis spectra,
except for ozonation. The THMFP of Fe(0)/air-treated solution (114 MUg L(-1)) was
much lower than those of Fenton- (226 MUg L(-1)) and ozonation-treated solutions
(499 MUg L(-1)). Fe(0)/air with UV irradiation obviously increased the THMFP of
treated solution (502 MUg L(-1)). The toxicity results obtained from Vibrio
fischeri light inhibition test indicated that the toxicity of Fe(0)/air-treated
solution (5%) was much lower than that of ozonation- (33%) and Fenton-treated
solutions (31%). Chlorination increased the solution toxicity. The correlation
between biotoxicity and chloroform in the chlorinated solution was insignificant.
PMID- 21903326
TI - Nitrogen removal via short-cut simultaneous nitrification and denitrification in
an intermittently aerated moving bed membrane bioreactor.
AB - An intermittently aerated moving bed membrane bioreactor (MBMBR) was developed
and crucial parameters affecting nitrogen removal from wastewater by simultaneous
nitrification and denitrification via nitrite were investigated, without strict
control of solids retention time. Changes in the microbiological community and
distribution in the reactor were monitored simultaneously. The intermittent
aeration strategy proved effective in achieving nitrition and the chemical oxygen
demand (COD) to total nitrogen (TN) ratio was an important factor affecting TN
removal. In the MBMBR, the nitrite accumulation rate reached 79.4% and TN removal
efficiency averaged at 87.8% with aeration 2 min/mix 4 min and an influent COD/TN
ratio of 5. Batch tests indicated that under the intermittently aerated mode,
nitrite-oxidizing bacteria (NOB) were not completely washed out from the reactor
but NOB activity was inhibited. The intermittently aerated mode had no effect on
the activities of ammonia-oxidizing bacteria. Fluorescence in situ hybridizations
(FISH) results also suggested that NOBs remained within the system.
PMID- 21903327
TI - Rose-like monodisperse bismuth subcarbonate hierarchical hollow microspheres: one
pot template-free fabrication and excellent visible light photocatalytic activity
and photochemical stability for NO removal in indoor air.
AB - Rose-like monodisperse hierarchical (BiO)(2)CO(3) hollow microspheres are
fabricated by a one-pot template-free method for the first time based on
hydrothermal treatment of ammonia bismuth citrate and urea in water. The
microstructure and band structure of the as-prepared (BiO)(2)CO(3) superstructure
are characterized in detail by X-ray diffraction, Raman spectroscopy, Fourier
transform-infrared spectroscopy, transmission electron microscopy, scanning
electron microscopy, N(2) adsorption-desorption isotherms, X-ray photoelectron
spectroscopy and UV-vis diffuse reflectance spectroscopy. The monodisperse
hierarchical (BiO)(2)CO(3) microspheres are constructed by the self-assembly of
single-crystalline nanosheets. The aggregation of nanosheets result in the
formation of three dimensional hierarchical framework containing mesopores and
macropores, which is favorable for efficient transport of reaction molecules and
harvesting of photo-energy. The result reveals the existence of special two-band
gap structure (3.25 and 2.0 eV) for (BiO)(2)CO(3). The band gap of 3.25 eV is
intrinsic and the formation of smaller band gap of 2.0 eV can be ascribed to the
in situ doped nitrogen in lattice. The performance of hierarchical (BiO)(2)CO(3)
microspheres as efficient photocatalyst are further demonstrated in the removal
of NO in indoor air under both visible light and UV irradiation. It is found that
the hierarchical (BiO)(2)CO(3) microspheres not only exhibit excellent
photocatalytic activity but also high photochemical stability during long term
photocatalytic reaction. The special microstructure, the high charge separation
efficiency due to the inductive effect, and two-band-gap structure in all
contribute to the outstanding photocatalytic activities. The discovery of
monodisperse hierarchical nitrogen doped (BiO)(2)CO(3) hollow structure is
significant because of its potential applications in environmental pollution
control, solar energy conversion, catalysis and other related areas.
PMID- 21903328
TI - Forebrain medial septum region facilitates nociception in a rat formalin model of
inflammatory pain.
AB - The medial septum is anatomically and functionally linked to the hippocampus, a
region implicated in nociception. However, the role of medial septum in
nociception remains unclear. To investigate the role of the region in nociception
in rats, muscimol, a GABA agonist, or zolpidem, a positive allosteric modulator
of GABA(A) receptors, was microinjected into medial septum to attenuate the
activity of neurons in the region. Electrophysiological studies in anesthetized
rats indicated that muscimol evoked a stronger and longer-lasting suppression of
medial septal-mediated activation of hippocampal theta field activity than
zolpidem. Similarly, microinjection of muscimol (1 or 2 MUg/0.5 MUl) into the
medial septum of awake rats suppressed both licking and flinching behaviors in
the formalin test of inflammatory pain, whereas only the latter behavior was
affected by zolpidem (8 or 12 MUg/0.5 MUl) administered into the medial septum.
Interestingly, both drugs selectively attenuated nociceptive behaviors in the
second phase of the formalin test that are partly driven by central plasticity.
Indeed, muscimol reduced the second phase behaviors by 30% to 60%, which was
comparable to the reduction seen with systemic administration of a moderate dose
of the analgesic morphine. The reduction was accompanied by a decrease in
formalin-induced expression of spinal c-Fos protein that serves as an index of
spinal nociceptive processing. The drug effects on nociceptive behaviors were
without overt sedation and were distinct from the effects observed after septal
lateral microinjections. Taken together, these findings suggest that the
activation of medial septum is pro-nociceptive and facilitates aspects of central
neural processing underlying nociception.
PMID- 21903329
TI - How is neuropathic cancer pain assessed in randomised controlled trials?
PMID- 21903330
TI - Giardia duodenalis cyst survival in cattle slurry.
AB - Giardia duodenalis is a protozoan parasite known to infect animals and humans.
Zoonotic transmission of G. duodenalis can occur by the consumption of drinking
water produced from surface water that is contaminated by runoff from manure
laden fields or pastures. Although it was previously reported that storing solid
cattle manure decreases G. duodenalis cyst viability, no data are available on
cyst survival in slurry waste from cattle. In this study the number and the
viability of G. duodenalis cysts was determined in cattle slurry for up to 90
days. G. duodenalis cysts were counted in 30 slurry samples with a quantitative
direct immunofluorescence assay. The geometric mean number of cysts was reduced
by 77% after 90 days (P<0.0014), although there was substantial variability
between samples. A fluorogenic dye staining using 4',6'-di-amino-2-phenylindole
and propidium iodide showed a decreased viability from 45 days onwards, and after
90 days incubation, only 3% of the cysts were viable. Gerbils and lambs were
artificially infected with 50 day-old and 90 day-old cysts and faecal excretion
of G. duodenalis was monitored between 5 and 7 days after infection. Seven days
after infection the gerbils were euthanized for Giardia trophozoite counts.
Although one cyst was found in the faeces of one of the gerbils after infection
with 50 day-old cysts, no trophozoites were recovered from the intestines of any
gerbil (n=8). Experimental infection of lambs with 10(5)50 day-old and 90 day-old
slurry cysts caused low cyst excretion in one out of two and one out of three
lambs, respectively. Together, these data show that storage of cattle slurry for
90 days greatly reduces the number and viability of G. duodenalis cysts.
PMID- 21903331
TI - The dermis graft: another autologous option for acute burn wound coverage.
AB - BACKGROUND: Split-thickness skin autografts are the gold-standard in providing
permanent acute wound closure in major burns. Split-thickness dermal grafts
harvested from the same donor site may provide an additional autologous option
for permanent acute coverage and increase the number of potential autologous
donor sites. MATERIALS AND METHODS: We performed 16 dermis grafts (DG) harvested
from the skin of the back in 9 consecutive burn patients. A control donor site
consisted of an area of adjacent back skin from which a standard split-thickness
skin graft was harvested. The mean age was 63 years (range 23-79 years). The mean
initial burn size was 24% TBSA (range 2-40% TBSA). The size of the 16 DG
recipient wound beds ranged from 20 to 180 cm2, with mean and median sizes of 62
and 45 cm2, respectively. RESULTS: Dermis graft take was complete in 15/16 cases.
All grafts recorded >90% epithelialisation by 4 weeks. There was no significant
difference in dermis graft and control donor site healing times (p value 0.05).
CONCLUSION: Dermis grafts can provide an additional autologous option for
permanent coverage in acute major burn wounds without increasing donor site size
or morbidity.
PMID- 21903332
TI - Adolescent first lapse following smoking cessation: situation characteristics,
precipitants and proximal influences.
AB - Despite increased attention to adolescent smoking cessation, little is known
about adolescent relapse following a quit attempt. To address this issue, the
present study was designed to provide initial information regarding the
characteristics of adolescent lapses to smoking following abstinence. Included in
the present study were 204 adolescent participants in four independent smoking
cessation trials. For the full sample, participants averaged 15.99 (1.27) years
of age; 56% were female and 78% were white. Lapse characteristics and
precipitants were assessed using the Adolescent Smoking Relapse Review. Three
domains of the lapse experience were assessed: lapse situation characteristics,
precipitants of use in the situation, and proximal influences (i.e., potential
precipitants occurring on the same day, prior to the lapse situation).
Participant reports indicated that the modal lapse situation occurred in the
evening while socializing with friends at home. Urges or cravings and social
pressure were commonly endorsed as occurring in lapse situations. The most
frequently reported proximal influence was desire for a cigarette, followed by
abstinence-violation cognitions (okay to smoke occasionally, wanted to see what
it would be like) and negative emotions. The findings indicate that a broad range
of factors appear to influence adolescent smoking lapse and commend the value of
incorporating content relevant to managing social and affective cues, strategies
for inhibiting the prepotent response to ask for a cigarette, addressing
cognitions regarding the difficulty of not smoking (i.e., cessation expectancies)
and combating perceptions of the ability to smoke occasionally.
PMID- 21903333
TI - ERbeta may contribute to the maintaining of radial glia cells polarity through
cadherins during corticogenesis.
AB - Laminar organization of neurons in cerebral cortex is essential for normal brain
function. Radial glial cells (RGCs), are highly polarized cells that serve as
neuronal progenitors and as scaffolds for neuronal migration during construction
of the cerebral cortex. Cadherins (E-cadherin and N-cadherin)-based adherins
junctions, which anchor apical end-feet of adjacent RGCs to each other at the
ventricular surface contribute to sustain the polarity and adhesion of RGCs,
therefore affect production of RGCs and radial migration. Estrogen is a steroid
hormone and contributes to the organizational sexual differentiation of the
brain. We have previously demonstrated that ERbeta expression in the cerebral
cortex during corticogenesis and contribute to cerebral cortex development. This
has been further confirmed by studies from estrogen receptor beta knockout
(ERbetaKO) mice, in which lack of ERbeta in mice induced abnormal development of
cerebral cortical structure, retarded migration of the neurons, and abnormal
morphology of RGCs with truncated or less organized radial processes. These
indicate that estrogen via ERbeta affects RGCs development. Moreover, phenotype
analysis in the ERbetaKO mice has confirmed that estrogen activation ERbeta
influence the polarity of epithelial tissue and structure integrity by modulating
the level of cadherins (E-cadherin and N-cadherin). Thus, we propose that ERbeta
maybe affect the maintaining the polarity of RGCs through cadherins.
PMID- 21903334
TI - Towards an extension of the two-variable model of carcinogenesis through
oncogenes and tumour suppressor genes.
AB - Currently, carcinogenesis is considered to be the result of mal-expression of
tumour suppressor genes and oncogenes, leading either way to uncontrollable and
disorganized cell mitosis. Recently a novel class of genes has drawn the interest
of the scientific community. These are microRNAs (miRNAs), a class of noncoding
RNAs, 20-23 nucleotides in length, that can up or downregulate gene expression of
downstream gene targets (including transcription factors, oncogenes, and tumour
suppressor genes) at the post-transcriptional level. Some members of this new
class of genes seem to have the potential to act simultaneously either as
oncogenes or as tumour suppressor genes depending on the molecular
microenvironment of the cell. We elaborate on this hypothesis by giving examples
of miRNAs (e.g. mir-9, miR-17-92) which seem to function by the abovementioned
mechanism. This could mean that the deterministic notion of carcinogenesis as a
result of merely tumour suppressor genes and oncogenes deregulation could be
revised to contain the fact that certain members of this novel class of genes
have the potential to play both roles simultaneously.
PMID- 21903335
TI - Hexameric immunoglobulin M in humans: desired or unwanted?
AB - Immunoglobulin M (IgM) is the first antibody produced upon infection, and is
often suggested as the first line of defense of human immune system. In addition
to being present on the surface of naive B cells as a monomeric molecule, IgM is
always secreted as a polymer. The most abundant IgM polymer in humans is
pentamer, composed of five monomeric units, joined together by so-called joining
or J chain. On the other hand, it is well known that hexameric IgM can be also
found in human sera. Its presence is often related to different dissorders
(Waldenstrom's macroglobulinemia, cold agglutinin, and recurrent urinary
bacterial infections), although it is believed that small amounts of hexamer are
present in normal human sera as well. Unlike pentamer, IgM hexamer contains six
monomeric blocks and completely lacks J chain. Although it has been decades since
its discovery, the precise function of IgM hexamer is still unknown. Since it was
documented that hexamer is very potent in activating complement, it is suggested
that its production in humans must be under strict control, and that it is
produced in special conditions, when strong activation of complement is
absolutely needed. However, the question is whether hexameric IgM is really a
secret weapon or just an undesirable molecule in humans. According to structural
and known functional characteristics of both pentamers and hexamers of IgM, it
can be concluded that hexamers are, in addition to being maybe too reactive to be
around, probably not that efficient in protecting us from bacterial and viral
infections.
PMID- 21903336
TI - The application of digital surgical diagnosis and treatment technology: a
promising strategy for surgical reconstruction of craniomaxillofacial defect and
deformity.
AB - The craniomaxillofacial defect and deformity always leads to serious dysfunction
in mastication and facial contour damage, significantly reducing patients'
quality of life. However, surgical reconstruction of a craniomaxillofacial hard
tissue defect or deformity is extremely complex and often does not result in
desired facial morphology. Improving the result for patients with
craniomaxillofacial defect and deformity remains a challenge for surgeons. Using
digital technology for surgical diagnosis and treatment may help solve this
problem. Computer-assisted surgical technology and surgical navigation technology
are included in the accurate digital diagnosis and treatment system we propose.
These technologies will increase the accuracy of the design of the operation
plan. In addition, the intraoperative real-time navigating location system
controlling the robotic arm or advanced intelligent robot will provide accurate,
individualized surgical treatment for patients. Here we propose the hypothesis
that a digital surgical diagnosis and treatment technology may provide a new
approach for precise surgical reconstruction of complicated craniomaxillofacial
defect and deformity. Our hypothesis involves modern digital surgery, a three
dimensional navigation surgery system and modern digital imaging technology, and
our key aim is to establish a technological platform for customized digital
surgical design and surgical navigation for craniomaxillofacial defect and
deformity. If the hypothesis is proven practical, this novel therapeutic approach
could improve the result of surgical reconstruction for craniomaxillofacial
defect and deformity for many patients.
PMID- 21903337
TI - Interaction between ionizing radiation and estrogen: what we are missing?
AB - Following complexity as a new approach in science of 21st century biomonitoring
of biological effects caused by ionizing radiation received an option of a new
dimension. Insight in biological response of mammals to ionizing radiation
exposure by integration of genome, non-genome and distant organ bystander effects
will significantly change evaluation of health risk and preventive measures.
Impact of estrogen on carcinogenesis caused by occupational or accidental
exposure to ionizing radiation additionally enables biodosimetry to recognize
vulnerable subpopulations according to gender and age. Estrogen, as a potent
molecule involved in number of biological pathways during development and
adulthood, shows close interaction with pathological processes launched by
overexposure to ionizing radiation which should be included in future research
and radiation protection.
PMID- 21903338
TI - Mycobacterium paratuberculosis and autism: is this a trigger?
AB - Autism is a heterogeneous group of life-long neurologic problems that begin in
childhood. Success in efforts to understand and treat autism has been mostly
elusive. The role of autoimmunity in autism has gained recognition both for
associated systemic autoimmune disease and the presence of brain autoantibodies
in autistic children and their family members. There is an acknowledged genetic
susceptibility to autism--most notably allotypes of complement C4. C4 defects are
associated with several autoimmune diseases and also confer susceptibility to
mycobacterial infections. Mycobacterium avium ss. paratuberculosis (MAP) causes
an enteric inflammatory disease in ruminant animals (Johne's disease) and is the
putative cause of the very similar Crohn's disease in humans. Humans are widely
exposed to MAP in food and water. MAP has been also linked to ulcerative colitis,
irritable bowel syndrome, sarcoidosis, Blau syndrome, autoimmune (Type 1)
diabetes, Hashimoto's thyroiditis and multiple sclerosis. Environmental agents
are thought to trigger autism in the genetically at risk. Molecular mimicry is
the proposed mechanism by which MAP is thought to trigger autoantibodies.
Autoantibodies to brain myelin basic protein (MBP) is a common feature of autism.
This article considers the subset of autoimmunity-related autism patients and
postulates that MAP, through molecular mimicry to its heat shock protein HSP65,
triggers autism by stimulating antibodies that cross react with myelin basic
protein (MBP).
PMID- 21903339
TI - What are candidate biobehavioral mechanisms underlying the association between
secondhand smoke exposure and mental health?
AB - There is a consistent positive and significant association between secondhand
smoke exposure and mental health outcomes in the literature. There are potential
genetic and behavioral confounders (e.g., psychological stress, maternal
depression, and family functioning) were discussed, as well as potential causal
neurobiological pathways (e.g., dopamine system). Further neurobiological
research to establish causal pathways is needed as well as the integration of
positive observational findings into clinical and public health prevention
practices.
PMID- 21903340
TI - A novel deployment design of vena cava filters might be the solution to their
blockage problem.
AB - The blockage of a vena cava filter (VCF) by the captured blood clots presents a
serious problem to the patients. Commercially available cone-shaped VCFs such as
the Gunther Tulip filter has an inherent structural flaw that leads the captured
blood clots to be trapped in their front spire areas where the flow-induced shear
stress is relatively low so that the clots cannot dissolve fast enough and will
accumulate, gradually block the central passages of the filters. It is well known
that for a Hagen-Poiseuille flow in a circular tube, the flow-induced shear
stress is highest at the wall of the tube and lowest along its axis. Herein, we
hypothesize that by reversely deploying a cone-shaped filter in the vena cava,
the filter's blockage problem might be prevented. First of all, this kind of
deployment scenario can force the captured blood clots to stay in the peripheral
areas of the vena cava and keep the central passage of the filter unblocked.
Secondly, this scenario can expose the captured blood clots to relatively high
shear stress that may dissolve the clots faster.
PMID- 21903341
TI - Hypoxia: the driving force of uterine myometrial stem cells differentiation into
leiomyoma cells.
AB - We propose that stem cells that display specific phenotypic and functional
characteristics exist in human uterine myometrium. Under hypoxic circumstances,
estrogen signaling pathways in certain myometrial stem cells are aberrantly
activated, which leads to continuous differentiation of this portion of cells
into leiomyoma cells. This process also protects the cells from undergoing
physiological apoptotic or dedifferentiation. Therefore, we summarized some
evidences for our bases and hypothesize that hypoxia may be the key contributor
to the pathogenesis of leiomyoma through aberrant estrogen pathway activation of
myometrial stem cells.
PMID- 21903342
TI - Aging as a consequence of intracellular water volume and density.
AB - Aging is the result of a gradual failure of physiological and/or biochemical
pathways that culminates with the death of the organism. Until now, the causative
factors of aging are elusive, despite the increasing number of theories that try
to explain how aging initiates. Interestingly, aging cells show an increase in
intracellular water volume, but this fact is barely explored in aging studies.
All cells have a crowded cytoplasm, where the high concentration and proximity of
macromolecules create an environment that excludes many small molecules,
including water. In this crowded environment, water can be found in two states
termed low density water (LDW), which shows low reactivity and has an ice-like
structure, and high density water (HDW) that has a disorganized structure and is
highly reactive. LDW predominates in a macromolecular crowded environment, while
HDW is found only in microenvironments within cytoplasm. In this sense, we
hypothesized that the failure in the water homeostasis mechanisms with time
changes the equilibrium between LDW and HDW, increasing the concentration of
intracellular HDW. Being reactive, HDW leads to the generation of reactive oxygen
species and disturbs the crowded cytoplasm environment, resulting in a diminished
efficiency of metabolic reactions. Noteworthy, the cell becomes less prone to
repair damage when the concentration of HDW increases with time, resulting in
aging and finally death. Interestingly, some biological mechanisms (e.g.,
anhydrobiosis) reduce the concentration of intracellular water and prolong the
life of cells and/or organisms. In this sense, anhydrobiosis and related
biological mechanisms could be used as a platform to study new anti-aging
therapies.
PMID- 21903343
TI - Memory loss after electroconvulsive treatment--may the sudden alleviation of
depression-inducing memories explain patient despair?
AB - Electroconvulsive treatment (ECT) has developed over 70 years to a modern,
effective way of lifting depressive moods. Memory loss after electroconvulsive
treatment is the only remaining relevant criticism of the treatment modality when
considering the overall rate of remission from this treatment compared to all
other treatment modalities. A depressive state impedes memory. After treatment
memory improves on several qualities of cognition. However, comparing a person's
memory ability from the months before depression started to the level after a
course of ECT is never done, of obvious reasons. There are great clinical
difficulties explaining who would develop memory problems, regardless of
stimulation techniques, age or sex of the patient. HYPOTHESES: The memory loss
seen in some patients undergoing electroconvulsive treatment (ECT) is not
explained by the treatment alone. After ECT unpleasant memories are disclosed
rapidly and the patient may unconsciously try to defend herself by extending
memory repression to other areas of memory. This may be unrelated to treatment
modality, number of sessions or severity of depression. Psychological factors may
partly explain why some patients unfold memory problems when the depression is
rapidly lifted, rather than the treatment modality itself.
PMID- 21903344
TI - A possible role for long non-coding RNA in modulating signaling pathways.
AB - Signaling proteins often engage in multiple protein-protein interactions that are
dependent upon cellular context. Little is known about how signaling proteins
select their interacting targets. The Ras GTPase is an example of a protein that
can activate a large number of distinct and interconnected downstream signaling
pathways. Hyperactive forms of Ras are commonly found in a variety of different
cancers, often due to somatic mutations within the RAS gene. Despite extensive
studies to identify Ras-regulated pathways, it is still not known exactly which
pathways might be activated by hyperactive Ras in a given cellular and disease
context. Long non-coding RNAs (lncRNAs) are RNA transcripts longer than 200 bp
exhibiting spatially and temporally-regulated expression patterns. LncRNAs have
been shown to harbor biological activities but the functions of the great
majority of lncRNAs are not known. We hypothesize that long non-coding RNAs serve
as signaling modulators linking Ras and potentially other signaling proteins to
their specific downstream targets and may therefore play a key role in how
signals are propagated in a specific cellular environment. In support of our
hypothesis we argue that lncRNAs have been shown to bind and regulate protein
complexes targeting their enzymatic activity towards specific substrates. It has
also been demonstrated that specific lncRNAs are expressed in particular types of
cancers where they may influence tumor progression. Studies suggest that lncRNAs
have evolved to help regulate complex biological processes that require the
ability to stringently discriminate between a large number of potential
effectors. If our hypothesis is correct, we envision that it will be possible to
predict the target pathway of a mutant protein based on the lncRNA profile in a
specific cancer. More generally, this will expand our understanding of how signal
transduction networks are wired within a given biological context.
PMID- 21903345
TI - Computer simulation of nerve transfer strategies for restoring shoulder function
after adult C5 and C6 root avulsion injuries.
AB - PURPOSE: Functional ability after nerve transfer for upper brachial plexus
injuries relies on both the function and magnitude of force recovery of targeted
muscles. Following nerve transfers targeting either the axillary nerve,
suprascapular nerve, or both, it is unclear whether functional ability is
restored in the face of limited muscle force recovery. METHODS: We used a
computer model to simulate flexing the elbow while maintaining a functional
shoulder posture for 3 nerve transfer scenarios. We assessed the minimum restored
force capacity necessary to perform the task, the associated compensations by
neighboring muscles, and the effect of altered muscle coordination on movement
effort. RESULTS: The minimum force restored by the axillary, suprascapular, and
combined nerve transfers that was required for the model to simulate the desired
movement was 25%, 40%, and 15% of the unimpaired muscle force capacity,
respectively. When the deltoid was paralyzed, the infraspinatus and subscapularis
muscles generated higher shoulder abduction moments to compensate for deltoid
weakness. For all scenarios, movement effort increased as restored force capacity
decreased. CONCLUSIONS: Combined axillary and suprascapular nerve transfer
required the least restored force capacity to perform the desired elbow flexion
task, whereas single suprascapular nerve transfer required the most restored
force capacity to perform the same task. Although compensation mechanisms allowed
all scenarios to perform the desired movement despite weakened shoulder muscles,
compensation increased movement effort. Dynamic simulations allowed independent
evaluation of the effect of restored force capacity on functional outcome in a
way that is not possible experimentally. CLINICAL RELEVANCE: Simultaneous nerve
transfer to suprascapular and axillary nerves yields the best simulated
biomechanical outcome for lower magnitudes of muscle force recovery in this
computer model. Axillary nerve transfer performs nearly as well as the combined
transfer, whereas suprascapular nerve transfer is more sensitive to the magnitude
of reinnervation and is therefore avoided.
PMID- 21903346
TI - Recent advances for the management of Raynaud phenomenon using botulinum
neurotoxin A.
PMID- 21903348
TI - Reservoirs of Staphylococcus aureus in meat sheep and dairy cattle.
AB - The objective of the study was to investigate reservoirs and transmission of S.
aureus in ewes and lambs in 3 meat sheep flocks. Repeated sampling of milk, teat
skin, nasal- and vaginal mucous membranes was performed and samples were analysed
for S. aureus. For comparison, samples were also collected from cows and young
heifers in 3 dairy cattle herds. Selected isolates were compared by pulsed-field
gel electrophoresis (PFGE). S. aureus was detected in 8 (1.5%) of 520 milk
samples from ewes and in 38 (6.4%) of 588 milk samples from cows. From body site
swabs, S. aureus was found in 394 (32.6%) of 1208 samples from sheep and in 67
(16.0%) of 420 samples from cattle. The proportion of S. aureus-positive nasal
swabs from ewes and cows were 56.7% and 13.9%, respectively. From lambs, 58.2% of
the nasal swabs were S. aureus-positive. In each flock, one S. aureus pulsotype
predominated. Identical S. aureus pulsotypes were found in milk and from body
sites. Paired S. aureus isolates from the nasal cavity of (i) ewes and their
lambs, (ii) twins and (iii) from repeated swabs of individual ewes were compared
by PFGE, and in the majority of cases the two isolates were identical. The
results contribute new knowledge indicating frequent transmission of S. aureus
between the dam and her lambs and within animals in a flock. In contrast to
cattle, S. aureus is frequently present in the nose of sheep which may represent
the primary reservoir of S. aureus in sheep flocks.
PMID- 21903347
TI - Four-year outcomes from the Early Re-Intervention (ERI) experiment using Recovery
Management Checkups (RMCs).
AB - BACKGROUND: While drug abuse is the 10th leading cause of mortality in the US,
the public health care system has been slow to adopt a chronic disease approach
with aggressively timed monitoring and interventions. Drug abuse remains isolated
from adoption into the "chronic condition" model of care. This paper evaluates
the efficacy of quarterly Recovery Management Checkups (RMCs) on treatment
reentry and long-term substance use in the context of chronic substance use
disorders. METHODS: 446 adult substance users were randomly assigned to RMC or a
control group and assessed quarterly for 4 years (94% completion). The main
outcome measures were: time from need of treatment to treatment reentry,
frequency of treatment reentry, days of treatment, number of substance use
related problems per month, and total days abstinent. RESULTS: Participants in
the RMC condition were significantly more likely than participants in the control
group to return to treatment sooner, to return at all, to return more times, and
to receive more total days of treatment. They subsequently had significantly
fewer quarters in need of treatment, fewer substance related problems per month,
and more total days of abstinence. Effects were larger for those with earlier
onset and higher crime/violence scores. CONCLUSIONS: RMC is an effective method
of monitoring and re-intervening with chronic substance users and is associated
with improved long-term outcomes. A subgroup of people for whom RMC did not
appear to be "enough," signals a need to explore more intensive models to address
chronicity.
PMID- 21903349
TI - Bilateral sudden sensorineural hearing loss caused by alcohol abuse and heroin
sniffing.
AB - Objective. Acute or chronic heroin abuse has been associated with various central
neurologic pathologies and, occasionally, with peripheral nervous system damage.
The effect of heroin on hearing has not been adequately documented, although
several cases with sudden hearing loss owed to heroin abuse have been reported.
We present a young male with bilateral sudden sensorineural hearing loss,
following heroin sniffing and alcohol consumption. Methods. Our patient underwent
a detailed clinical and audiological evaluation, including auditory brainstem
responses and otoacoustic emission. Routine laboratory blood tests and imaging
studies were performed. Results. The patient was treated with corticosteroids and
magnesium, resulting in complete restoration of hearing after one month.
Conclusion. Sudden hearing loss owed to heroin abuse is usually curable,
following adequate treatment.
PMID- 21903350
TI - Acute supraglottitis in adults: what's the optimal airway intervention?
AB - OBJECTIVES: To validate the Friedman stage and define the proper timing for
airway intervention in adult cases of acute supraglottitis, we evaluated the
clinical courses and management of adult patients. METHODS: 202 adult patients
with acute supraglottitis were included in this study. The diagnosis of
supraglottitis was established by flexible nasolaryngoscopic examination.
Friedman stages were classified in each patient. In this study, the first three
days of serial nasolaryngoscopic findings for each patient were evaluated.
RESULTS: All patients were treated successfully with only conservative methods.
There were no significant differences between patients with Friedman stages I or
II/III. Among the patients that had serial nasolaryngoscopic findings, only one
patient presented with progressive swelling of the epiglottis, and there were no
cases of persistent swelling. CONCLUSION: The airway intervention threshold
should be raised from Friedman stages II-III. And, airway intervention should not
be needed if patients are tolerant of their respiratory discomfort.
PMID- 21903352
TI - Hypertensive encephalopathy presenting as status epilepticus in a three year old.
AB - BACKGROUND: Hypertensive encephalopathy is one of the few neurologic emergencies
in which prompt diagnosis and treatment can prevent permanent neurological
damage. This syndrome is rarely seen in children. OBJECTIVES: To discuss the
recognition of hypertensive encephalopathy as a cause of acute neurological
changes in children. CASE REPORT: We present the case of a 3-year-old boy who
presented to the Emergency Department with seizures due to hypertensive
encephalopathy. A review of the literature on the subject follows the case
report. CONCLUSIONS: Hypertensive encephalopathy is a rare cause of acute
neurological changes in children that can cause permanent damage if not
recognized early. This case illustrates the importance of considering this
syndrome as a potential cause of neurological symptoms, especially as
neuroimaging can initially be misleading.
PMID- 21903353
TI - Torsion of an undescended testis located in the inguinal canal.
AB - BACKGROUND: Torsion of undescended testis located within the inguinal canal is a
rare finding in the emergency department (ED). This diagnosis can present as
undifferentiated abdominal or groin pain, and a full genitourinary examination is
essential to making this diagnosis. OBJECTIVES: We present this case to increase
awareness among emergency physicians regarding torsion of undescended testis.
CASE REPORT: A 5-year-old boy presented to the ED with abdominal pain and a mass
in his right groin. Physical examination and Doppler ultrasound were used to
diagnose torsion of undescended testis. CONCLUSIONS: In a patient with
undescended testis, torsion must be considered as a cause of abdominal or groin
pain. Full genitourinary examination is essential to making this diagnosis.
PMID- 21903354
TI - Subtle vaginal evisceration resulting in small bowel evisceration: a case report.
AB - BACKGROUND: Evisceration of bowel contents through the vagina is a rare event
that may be complicated by bowel obstruction. OBJECTIVE: We report a case of
vaginal evisceration with small bowel obstruction which, in contrast to previous,
more dramatic case reports in the literature, is a more subtle and, in fact,
characteristic clinical presentation for this unusual occurrence. CASE REPORT: A
72-year-old woman with a previous history of pelvic surgery presented to the
Emergency Department with lower abdominal discomfort and a prolapsing mass from
her vagina. She was initially discharged home after bedside reduction of the
mass, but returned 48 h later with worsening symptoms. A computed tomography scan
on her repeat visit confirmed evisceration of bowel into the vaginal vault with
obstruction of distal bowel loops. Surgical and gynecologic services were
consulted and the patient underwent partial small bowel resection and vaginal
cuff repair in the operating room. CONCLUSION: Early recognition of subtle
presentations of vaginal evisceration is crucial for preserving bowel viability
and preventing morbidity from bowel ischemia or infarction. Risk factors for this
rare condition include postmenopausal status, previous pelvic surgery, and
presence of an enterocele.
PMID- 21903355
TI - What perceptions do patients have of decision making (DM)? Toward an integrative
patient-centered care model. A qualitative study using focus-group interviews.
AB - OBJECTIVE: To understand patients' perceptions of decision making and identify
relationships among decision-making models. METHODS: This qualitative study was
made up of four focus group interviews (elderly persons, users of health support
groups, students, and rural inhabitants). Participants were asked to report their
perceptions of decision making in three written clinical scenarios (hypertension,
breast cancer, prostate cancer). The analysis was based on the principles of
grounded theory. RESULTS: Most patients perceived decision making as shared
decision making, a deliberative question-response interaction with the physician
that allowed patients to be experts in obtaining clearer information,
participating in the care process, and negotiating compromises with physician
preferences. Requesting second opinions allowed patients to maintain control,
even within the paternalistic model preferred by elderly persons. Facilitating
factors (trust, qualitative non-verbal communication, time to think) and
obstacles (serious/emergency situations, perceived inadequate scientific
competence, problems making requests, fear of knowing) were also part of shared
decision making. CONCLUSION AND PRACTICE IMPLICATIONS: In the global concept of
patient-centered care, shared decision making can be flexible and can integrate
paternalistic and informative models. Physicians' expertise should be associated
with biomedical and relational skills through listening to, informing, and
advising patients, and by supporting patients' choices.
PMID- 21903356
TI - Elevation of hemopexin-like fragment of matrix metalloproteinase-2 tissue levels
inhibits ischemic wound healing and angiogenesis.
AB - OBJECTIVE: Matrix metalloproteinase-2 (MMP-2) degrades type IV collagen and
enables endothelial cell (EC) migration during angiogenesis and wound healing.
Peroxisomal biogenesis factor 2 (PEX2), a by-product of activated MMP-2
autocatalysis, competitively inhibits newly activated MMP-2 from EC surface
binding and migration. We hypothesize that PEX2 is elevated during limb ischemia
and contributes to poor wound healing, with decreased capillary density. METHODS:
Western blot was used to identify PEX2 in the hind limbs of FVB/NJ mice with
surgically induced ischemia. The PEX2 effect on healing was evaluated by
calculating the area of exposed muscle after wounding the dorsum of mice and
administering daily injections with human recombinant PEX2 (hrPEX2). Wounds were
also injected with lentivirus-expressing PEX2 (PEX2-LV), harvested on
postoperative day 7 and processed for staining. Epithelial gap was assessed with
light microscopy. Capillary density was evaluated after wounding Tie2-green
fluorescent protein (GFP)(+) transgenic FVB mice (ECs labeled green) and viral
transduction with PEX2-LV. Wounds were harvested on postoperative day (POD) 7,
frozen in liquid nitrogen, sectioned, and stained with Hoechst. Vessel density
was assessed via fluorescence microscopy as the average number of capillaries/10
high-powered fields. Paired t test was used to assess differences between the
groups. RESULTS: PEX2 was elevated 5.5 +/- 2.0-fold (P = .005) on POD 2 and 2.9
+/- 0.69-fold (P = .004) on POD 4 in gastrocnemius muscles of ischemic hind
limbs. The wound surface area, or lack of granulation tissue and exposed muscle,
decreased daily in all mice but was greater in the hrPEX2-treated mice by 12% to
16% (P < .004). Wounds in the control group were completely covered with
granulation tissue by POD 3. Wounds injected with hrPEX2 were not completely
covered by POD 7 but continued to have exposed muscle. Microscopic examination of
wounds after PEX2-LV viral transduction demonstrated an average epithelial gap of
1.6 +/- 0.3 vs 0.64 +/- 0.3 MUm in control wounds (P < .04). Wounds from Tie2-GFP
mice had an average number of 3.8 +/- 1.1 capillaries vs 6.9 +/- 1.2 in control
wounds (P < .007). CONCLUSIONS: Our study links elevated PEX2 to ischemia and
poor wound healing. We demonstrate comparative PEX2 elevation in ischemic murine
hind limbs. Less granulation tissue is produced and healing is retarded in wounds
subjected to hrPEX2 or viral transduction with PEX2-LV. Microscopic examination
shows the wounds exhibit fewer capillaries, supporting the hypothesis that PEX2
decreases angiogenesis.
PMID- 21903357
TI - [Polysomnographic studies on sleep in adult borderline personality disorder].
AB - BACKGROUND: Borderline personality disorder (BPD) represents 2% of the general
population, 10% of outpatient psychiatry facilities, 20% of inpatients and nearly
41% of patients seen in Emergency Room for attempted suicide. Also, 10% complete
suicide and 64-66% have comorbid substance dependence. In addition, several
studies report sleep subjective complaints in patients with BPD, and research has
explored objective sleep disturbances. OBJECTIVE: To examine the results of
previous studies in polysomnography in BPD to better characterize the objective
sleep disturbances in this population. DATA SOURCES AND STUDY SELECTION: A review
of the literature on MedLine and PsycINFO using the term "borderline personality
disorder", "sleep" and "polysomnography" allowed the review of 15 original
articles on sleep assessed by PSG in subjects adults with BPD, published between
1980 and may 2010. RESULTS: Compared with healthy subjects, a decreased onset
delay of rapid eye movements (REM) sleep and an increased REM density have been
observed in BPD. In addition BPD shares with major depression the following
disturbances: increase in onset latency, decreased total sleep time and
efficiency. LIMITATIONS: Differences between studies regarding sex, age, mood
state at the time of the study and psychiatric comorbidity influence the results,
which explains the disparity in findings. CONCLUSION: There are objective
disturbances associated with BPD according to polysomnographic studies.
PMID- 21903358
TI - Gender differences in social anxiety disorder: results from the national
epidemiologic sample on alcohol and related conditions.
AB - This study examined gender differences among persons with lifetime social anxiety
disorder (SAD). Data were derived from the National Epidemiologic Survey on
Alcohol and Related Conditions (n=43,093), a survey of a representative community
sample of the United States adult population. Diagnoses of psychiatric disorders
were based on the Alcohol Use Disorder and Associated Disabilities Interview
Schedule-DSM-IV Version. The lifetime prevalence of SAD was 4.20% for men and
5.67% for women. Among respondents with lifetime SAD, women reported more
lifetime social fears and internalizing disorders and were more likely to have
received pharmacological treatment for SAD, whereas men were more likely to fear
dating, have externalizing disorders, and use alcohol and illicit drugs to
relieve symptoms of SAD. Recognizing these differences in clinical symptoms and
treatment-seeking of men and women with SAD may be important for optimizing
screening strategies and enhancing treatment efficacy for SAD.
PMID- 21903359
TI - Identification of ETFB as a candidate protein that participates in the
mechanoregulation of fibroblast cell number in collagen gel culture.
AB - BACKGROUND: Fibroblast activation is strongly influenced by mechanical
environment in the wound-healing process, especially in fibrosis. Mechanically
stressed three-dimensional collagen embedded culture is a useful model
representing fibroblasts in morphological as well as biochemical situations
encountered during fibrosis. OBJECTIVE: To find key proteins involved in reducing
the number of fibroblasts during mechanical stress, we performed two-dimensional
gel electrophoresis (2DE)-based differential display and siRNA-based functional
screening with collagen gel culture focusing on the differences between attached
and detached culture environments. METHODS: Membrane extracts of fibroblasts from
1 day of attached or detached cultures were subjected to 2DE. We compared protein
expression levels and identified the attached-culture-dominant proteins by MALDI
TOF-MS. Next, fibroblasts were transfected with siRNA and embedded in collagen
gel. Cell number was counted after 3 days in culture. RESULTS: Eight attached
culture dominant proteins were identified with MALDI-TOF-MS. Transfection of
siRNA against these proteins demonstrated that electron transfer flavoprotein
beta subunit (ETFB)-specific siRNA reduced the cell number in the attached
culture without a decrease in the detached culture. CONCLUSION: ETFB participates
in the mechanoregulation of fibroblast cell number in collagen gel culture.
PMID- 21903360
TI - Qualification opinion of novel methodologies in the predementia stage of
Alzheimer's disease: cerebro-spinal-fluid related biomarkers for drugs affecting
amyloid burden--regulatory considerations by European Medicines Agency focusing
in improving benefit/risk in regulatory trials.
AB - The European Medicines Agency (EMA) in London is responsible for the Regulatory
review of new medicinal products for Marketing Authorisation, through which
pharmaceutical companies may obtain first Marketing Authorisation and subsequent
Variations valid throughout the EU and EFTA. The qualification opinion of novel
methodologies is a new procedure where applicants can obtain scientific advice on
new methodologies for regulatory clinical trials of efficacy of new compounds. It
will help benefit/risk assessment of the CHMP. The definition of prodromal AD is
acceptable. The "Dubois Criteria" as criteria to define the population must be
validated in full at the time of the submission of the dossiers. Including a
positive CSF biomarker profile is considered predictive for the evaluation of the
AD-dementia type. However, although high CSF tau and low CSF Abeta42 are
predictive of Alzheimer's disease, the criterion "positive CSF tau/Abeta42 ratio"
is not well defined. The qualification of biomarkers in the pre-dementia stage of
Alzheimer's disease will allow better inclusion criteria of patients in pre
dementia trials in which the benefit/risk is higher for treatment with these
novel compounds.
PMID- 21903361
TI - A mathematical formula for prediction of gray and white matter volume recovery in
abstinent alcohol dependent individuals.
AB - We propose a mathematical formula that predicts the trajectory of the recovery
from lobar gray and white matter volume deficits in individuals with sustained
abstinence from alcohol. The formula was validated by using MRI-measured
volumetric data from 16 alcohol dependent individuals who had brain scans at
three time points during abstinence from alcohol. Using the measured volumetric
data of each individual from the first two time points, we estimated the
individual's gray and white matter volume of the frontal, parietal and temporal
lobes for the third time point using the formula. Similarly, using the measured
data for the second and third time points, we estimated the first time point data
for each individual. The data predicted from the formula were very similar to the
experimentally measured data for all lobes and for both gray and white matter.
The intra-class correlation coefficients between the measured data and the data
estimated from the formula were >0.95 for almost all the tissues. The formula may
also be applicable in other neuroimaging studies of tissue volume changes such as
white matter myelination during brain development and white matter demyelination
or brain volume loss in neurodegenerative diseases, such as Alzheimer's disease.
PMID- 21903363
TI - [Vomiting newborn].
PMID- 21903364
TI - [Michel Pierson's syndrome].
PMID- 21903365
TI - [Neonatal cyanosis due to fetal hemoglobin M-Osaka].
AB - The case of a newborn with isolated neonatal cyanosis on day 1 is reported. The
basic investigations were sufficient to reach the diagnosis. A rare abnormal
fetal hemoglobin was isolated. The prognosis of this disease is excellent and
self-resolving.
PMID- 21903366
TI - Consensus on: Screening and therapy of coronary heart disease in diabetic
patients.
AB - The screening and best treatment for coronary heart disease in diabetic patients
is still a matter of debate. For this reason the main Italian scientific
societies dealing with diabetes and cardiovascular diseases have tried to
finalize a document providing shared recommendations based on the available
evidence on : 1) how and who to screen for coronary heart disease, 2)
methodologies for the characterization of existing coronary heart disease 3)
evaluation of the optimal treatment of cardiovascular risk factors and 4)
appropriate revascularization procedures. For each of these points, the levels of
evidence and strength of recommendations used in the Italian Standard of Care
were adopted.
PMID- 21903367
TI - The preventive effects of dark chocolate on impaired endothelial function in
medical personnel working sequential night shifts.
PMID- 21903368
TI - Eurycomanone suppresses expression of lung cancer cell tumor markers, prohibitin,
annexin 1 and endoplasmic reticulum protein 28.
AB - Bioactive compounds from the medicinal plant, Eurycoma longifolia Jack have been
shown to promote anti-proliferative effects on various cancer cell lines. Here we
examined the effects of purified eurycomanone, a quassinoid found in Eurycoma
longifolia Jack extract, on the expression of selected genes of the A549 lung
cancer cells. Eurycomanone inhibited A549 lung cancer cell proliferation in a
dose-dependent manner at concentrations ranging from 5 to 20 MUg/ml. The
concentration that inhibited 50% of cell growth (GI(50)) was 5.1 MUg/ml. The anti
proliferative effects were not fully reversible following the removal of
eurycomanone, in which 30% of cell inhibition still remained (p<0.0001, T-test).
At 8 MUg/ml (GI(70)), eurycomanone suppressed anchorage-independent growth of
A549 cells by >25% (p<0.05, T-test, n=8) as determined using soft agar colony
formation assay. Cisplatin, a chemotherapy drug used for the treatment of non
small cell lung cancer on the other hand, inhibited A549 cells proliferation at
concentrations ranging from 0.2 MUg/ml to 15 MUg/ml with a GI(50) of 0.58 MUg/ml.
The treatment with eurycomanone reduced the abundance expression of the lung
cancer markers, heterogeneous nuclear ribonucleoprotein (hnRNP) A2/B1, p53 tumor
suppressor protein and other cancer-associated genes including prohibitin (PHB),
annexin 1 (ANX1) and endoplasmic reticulum protein 28 (ERp28) but not the house
keeping genes. The mRNA expressions of all genes with the exception of PHB were
significantly downregulated, 72 h after treatment (p<0.05, T-test, n=9). These
findings suggest that eurycomanone at viable therapeutic concentrations of 5-20
MUg/ml exhibited significant anti-proliferative and anti-clonogenic cell growth
effects on A549 lung cancer cells. The treatment also resulted in suppression of
the lung cancer cell tumor markers and several known cancer cell growth
associated genes.
PMID- 21903369
TI - Differences in preterm and term milk fatty acid compositions may be caused by the
different hormonal milieu of early parturition.
AB - INTRODUCTION: The hormonal milieus of pregnancy and lactation are driving forces
of nutrient fluxes supporting infant growth and development. The decrease of
insulin sensitivity with compensatory hyperinsulinemia with advancing gestation,
causes adipose tissue lipolysis and hepatic de novo lipogenesis (DNL). SUBJECTS
AND METHODS: We compared fatty acid (FA) contents and FA-indices for enzyme
activities between preterm (28-36 weeks) and term (37-42) milks, and between
colostrum (2-5 days), transitional (6-15) and mature (16-56) milks. We
interpreted FA differences between preterm and term milks, and their changes with
lactation, in terms of the well known decrease of insulin sensitivity during
gestation and its subsequent postpartum restoration, respectively. RESULTS:
Compared with term colostrum, preterm colostrum contained higher indices of DNL
in the breast (DNL-breast) and medium chain saturated-FA (MCSAFA), and lower DNL
liver and monounsaturated-FA (MUFA). Preterm milk also had higher docosahexaenoic
acid (DHA) in colostrum and transitional milk and higher arachidonic acid (AA) in
mature milk. Most preterm-term differences vanished with advancing lactation. In
both preterm and term milks, DNL-breast and MCSAFA increased with advancing
lactation, while DNL-liver, MUFA, long chain SAFA and AA decreased. DHA decreased
in term milk. MUFA was inversely related to MCSAFA in all samples, correlated
inversely with PUFA in colostrum and transitional milks, but positively in mature
milk. MCSAFA correlated inversely with PUFA in mature milk. CONCLUSION: Higher
maternal insulin sensitivity at preterm birth may be the cause of lower MUFA (a
proxy for DNL-liver) and higher MCSAFA (a proxy for DNL-breast) in preterm
colostrum, compared with term colostrum. Restoring insulin sensitivity after
delivery may be an important driving force for milk FA-changes in early
lactation.
PMID- 21903370
TI - Comparison of Symbicort(r) versus Pulmicort(r) on steroid pharmacodynamic markers
in asthma patients.
AB - BACKGROUND: Combination therapy with inhaled corticosteroids (ICS) and long
acting beta(2)-adrenergic agonists (LABA) is reported to have superior effects on
controlling asthma symptoms to ICS alone; however, there is no molecular-based
evidence to explain the clinical effects. Here, the effect of the ICS/LABA
combination was compared with ICS on glucocorticoid receptor (GR) activation in
sputum macrophages. METHODS: In a randomised, double-blind cross-over placebo
controlled 6-visit study, 10 patients with mild asthma were given placebo,
formoterol (Oxis((r)) 12 MUg), budesonide (Pulmicort((r)) 200 MUg :BUD200, or 800
MUg :BUD800), or budesonide/formoterol combination (Symbicort((r))) as a single
100/6 MUg (SYM100) or double 200/12 MUg (SYM200) dose. Sputum macrophages were
separated by plate adhesion from induced sputum. GR binding to the glucocorticoid
response elements on oligonucleotides (GR-GRE binding) was evaluated by ELISA.
mRNA expression of MAP-kinase phosphatase (MKP)-1 and IL-8 were measured by
quantitative RT-PCR. RESULTS: GR-GRE binding was significantly increased after
treatment with SYM100 (3.5 OD/10 MUg protein, median, p < 0.05) versus placebo
(1.3) and BUD200 (1.6), and the induction was higher than that of BUD800 (2.4).
MKP-1 mRNA was increased and IL-8 mRNA was significantly inhibited by BUD800,
SYM100 and SYM200 versus placebo. CONCLUSIONS: The effects of SYM100 and SYM200
on GR activation were not different from that of BUD800 and superior to BUD200.
Thus, it has been confirmed at a molecular level that inhaled combination therapy
with a lower dose of budesonide has an equivalent effect to a high dose of
budesonide alone. In addition, GR-GRE binding is found to be a valuable
pharmacodynamic marker for steroid efficacy in clinical studies.
PMID- 21903371
TI - Small airway disease associated with Sjogren's syndrome: clinico-pathological
correlations.
AB - BACKGROUND: Relationships among clinical, physiological, imaging and pathological
findings of small airway disease associated with Sjogren's syndrome have remained
unclear. SUBJECTS AND METHODS: We retrospectively studied 14 patients who
underwent surgical lung biopsy and who were diagnosed with small airway disease
associated with primary or secondary Sjogren's syndrome. We compared clinical,
bronchoalveolar lavage, physiological, imaging and pathological findings between
primary and secondary Sjogren's syndrome. We scored HRCT and pathological
abnormalities and investigated correlations among physiological, HRCT and
pathological data, changes in physiological parameters and in HRCT scores after
two years of treatment, as well as correlations between these values and
pathological scores. RESULTS: Bronchoalveolar lavage fluid, physiological,
imaging and pathological findings of the airways did not significantly differ
between primary and secondary Sjogren's syndrome. Air trapping on HRCT negatively
correlated with MEF50 and MEF25. Although lymphoid cell infiltration and
peribronchiolar fibrosis were the most common pathologies, constrictive change
scores correlated negatively with MEF50 and MEF25, positively with air trapping
scores and negatively with improvements after therapy in MEF(50), MEF(25) and air
trapping. CONCLUSIONS: Constrictive change was the most significant determinant
of physiological and imaging presentations and of changes in these factors after
therapy for small airway disease associated with Sjogren's syndrome.
PMID- 21903372
TI - Narrating the social relations of initiating injecting drug use: transitions in
self and society.
AB - Few studies have explored drug injectors' accounts of their initiation of others
into injecting. There also lacks research on the social relations of initiating
injecting drug use in transitional society. We draw upon analyses of 42 audio
recorded semi-structured interviews with current and recent injecting drug users,
conducted in 2009 in the Republic of Moldova, a transitional society of south
eastern Europe. A thematic analysis informed by narrative theory was undertaken,
focusing on accounts of self-initiation and the initiation of others. We also
reflect upon the potential of peer efforts to dissuade would-be injectors from
initiating. Findings emphasise initiation into injecting as a symbolic identity
transition, enabled through everyday social relations. In turn, our analysis
locates the drug transitions of the self inside an account of societal
transition. We find that personal narratives of self transition are made sense
of, and presented, in relation to broader narratives of social transition and
change. Furthermore, we explore how narratives of self-initiation, and especially
the initiation of others, serve to negotiate initiation as a moral boundary
crossing. Self-initiation is located inside an account of transitioning social
values. In looking back, initiation is depicted as a feature of a historically
situated aberration in normative values experienced by the 'transition
generation'. Accounts of the initiation of others (which a third of our sample
describe) seek to qualify the act as acceptable given the circumstances. These
accounts also connect the contingency of agency with broader narratives of social
condition. Lastly, the power of peers to dissuade others from initiating
injection was doubted, in part because most self-initiations were accomplished as
a product of agency enabled by environment as well as in the face of peer
attempts to dissuade.
PMID- 21903373
TI - Agricultural reuse of the digestate from low-cost tubular digesters in rural
Andean communities.
AB - This research aimed at assessing the properties of guinea pig manure digestate
from low-cost tubular digesters for crops fertilization in rural Andean
communities. To this end, field trials were carried out to evaluate the effect of
the digestate on two common Andean crops: potato (Solanum tuberosum) and forage
(Lolium multiflorum and Trifolium pratense L.). The potato yield (20-25 tha(-1))
increased by 27.5% with digestate, by 15.1% with pre-compost and by 10.3% with
the mixture, compared to the control. The forage yield (20-21 tha(-1)) increased
by 1.4% with digestate - 50% dose, and by 8.8% with digestate - 100% dose and
digestate - 150% dose, compared to the control. The results suggest that the
digestate is an appropriate substitute of manure pre-compost for potato
fertilization. The results with forage indicate that it can be applied in a range
of doses, according to the amount produced by the digester. Currently, manure is
either used for cooking or as fertilizer. With low-cost tubular digesters
implementation, it could be used to feed the digester, using the digestate for
crops fertilization and biogas for cooking; improving household living conditions
and protecting the environment. Since soil properties in rural Andean communities
differ from experimental layouts, the effect of fertilizers should be re
evaluated in-situ in future research studies.
PMID- 21903374
TI - Indirect measurements of field-scale hydraulic conductivity of waste from two
landfill sites.
AB - Management and prediction of the movement and distribution of fluids in large
landfills is important for various reasons. Bioreactor landfill technology shows
promise, but in arid or semi-arid regions, the natural content of landfilled
waste may be low, thus requiring addition of significant volumes of water. In
more humid locations, landfills can become saturated, flooding gas collection
systems and causing sideslope leachate seeps or other undesirable occurrences.
This paper compares results from two different approaches to monitoring water in
waste. At the Brock West Landfill in eastern Canada, positive pore pressures were
measured at various depths in saturated waste. The downward seepage flux through
the waste is known, thus the vertical saturated hydraulic conductivity of the
waste at this landfill was determined to be 3 * 10(-7)cm/s. By comparison, the
Spadina Landfill in western Canada is predominantly unsaturated. The infiltration
of moisture into the waste was measured using moisture sensors installed in
boreholes which determined arrival time for moisture fronts resulting from major
precipitation events as well as longer-term change in moisture content resulting
from unsaturated drainage during winter when frozen ground prevented
infiltration. The unsaturated hydraulic conductivity calculated from these data
ranged from approximately 10(-6)cm/s for the slow winter drainage in the absence
of significant recharge to 10(-2)cm/s or higher for shallow waste subject to high
infiltration through apparent preferential pathways. These two very different
approaches to field-scale measurements of vertical hydraulic conductivity provide
insight into the nature of fluid movement in saturated and unsaturated waste
masses. It is suggested that the principles of unsaturated seepage apply
reasonably well for landfilled waste and that the hydraulic behavior of waste is
profoundly influenced by the nature and size of voids and by the degree of
saturation prevailing in the landfill.
PMID- 21903375
TI - Silica nanoparticles based label-free aptamer hybridization for ATP detection
using hoechst33258 as the signal reporter.
AB - In this work, we have developed a simple and sensitive method for ATP detection
using silica nanoparticles (NPs) as the platform and hoechst33258 as the signal
reporter. The ATP-binding aptamers hybridize with the probe DNA (DNA(p))
immobilized NPs to form the aptamer/DNA(p) duplex on the NPs surface. The
conformational change of the aptamer leads to the decrease of the aptamer/DNA(p)
duplex on the NPs due to the ATP-binding aptamer switches its structure from the
aptamer/DNA(p) duplex to the aptamer/target complex in the presence of ATP. ATP
detection can be easily realized by separating the silica nanoparticles and
adding the hoechst33258 of intercalating to aptamer/DNA(p) (dsDNA). Good
selectivity between ATP and CTP, GTP or UTP has been demonstrated, which is due
to the specific recognition between ATP aptamer and ATP. The K(d) was estimated
to be ~1mM from 0 to 4mM and a liner response was observed from 0 to 0.2mM with a
detection limit of ~20MUM. Compared with other methods, the carboxyl-modified
silica nanoparticles (~60nm) prepared by the reverse microemulsion method can
serve as a stable and sensitive sensor platform because of their smaller size and
facile conjugation with amine-containing molecules. In addition, the high
sensitivity and selectivity of hoechst33258 was employed for the ssDNA and dsDNA
determination, which takes advantage of the label-free aptamer and lower cost.
PMID- 21903376
TI - Electrochemical synthesis of reduced graphene sheet-AuPd alloy nanoparticle
composites for enzymatic biosensing.
AB - A simple, fast, green and controllable approach was developed for electrochemical
synthesis of a novel nanocomposite of electrochemically reduced graphene oxide
(ERGO) and gold-palladium (1:1) bimetallic nanoparticles (AuPdNPs), without the
aid of any reducing reagent. The electrochemical reduction efficiently removed
oxygen-containing groups in ERGO, which was then modified with homogeneously
dispersed AuPdNPs in a good size distribution. ERGO-AuPdNPs nanocomposite showed
excellent biocompatibility, enhanced electron transfer kinetics and large
electroactive surface area, and were highly sensitive and stable towards oxygen
reduction. A biosensor was constructed by immobilizing glucose oxidase as a model
enzyme on the nanocomposites for glucose detection through oxygen consumption
during the enzymatic reaction. The biosensor had a detection limit of 6.9MUM, a
linear range up to 3.5mM and a sensitivity of 266.6MUAmM(-1)cm(-2). It exhibited
acceptable reproducibility and good accuracy with negligible interferences from
common oxidizable interfering species. These characteristics make ERGO-AuPdNPs
nanocomposite highly suitable for oxidase-based biosensing.
PMID- 21903377
TI - Dual signal amplification for highly sensitive electrochemical detection of
uropathogens via enzyme-based catalytic target recycling.
AB - We report an ultrasensitive electrochemical approach for the detection of
uropathogen sequence-specific DNA target. The sensing strategy involves a dual
signal amplification process, which combines the signal enhancement by the
enzymatic target recycling technique with the sensitivity improvement by the
quantum dot (QD) layer-by-layer (LBL) assembled labels. The enzyme-based
catalytic target DNA recycling process results in the use of each target DNA
sequence for multiple times and leads to direct amplification of the analytical
signal. Moreover, the LBL assembled QD labels can further enhance the sensitivity
of the sensing system. The coupling of these two effective signal amplification
strategies thus leads to low femtomolar (5fM) detection of the target DNA
sequences. The proposed strategy also shows excellent discrimination between the
target DNA and the single-base mismatch sequences. The advantageous intrinsic
sequence-independent property of exonuclease III over other sequence-dependent
enzymes makes our new dual signal amplification system a general sensing platform
for monitoring ultralow level of various types of target DNA sequences.
PMID- 21903378
TI - Essential oils from aromatic herbs as antimicrobial agents.
AB - Bacterial resistance to multiple antibiotics is a health problem. Essential oils
(EOs) possess antibacterial properties and have been screened as potential
sources of novel antimicrobial compounds. Terpenes and terpenoids are components
derived from EOs. Some of these EOs show inhibitory activity against
Staphylococcus aureus. Carvacrol has specific effects on S. aureus and
Staphylococcus epidermidis. Perilla oil suppresses expression of alpha-toxin,
Staphylococcus enterotoxin A and B and toxic shock syndrome toxin. Geraniol shows
good activity in modulating drug resistance in several gram-negative species. EOs
could act as biopreservatives, reducing or eliminating pathogenic bacteria and
increasing the overall quality of animal and vegetable food products. Although
clinical studies are scarce, the uses of EOs for topical administration and as
penetration enhancers for antiseptics are promising. Little information exists
for oral administration.
PMID- 21903379
TI - Antimicrobial properties of allium species.
AB - The antimicrobial activity of Allium species has long been recognized, with
allicin, other thiosulfinates, and their transformation products having
antimicrobial activity. Alliums are inhibitory against all tested microorganisms
such as bacteria, fungi, viruses, and parasites. Alliums inhibit multi-drug
resistant microorganisms and often work synergistically with common
antimicrobials. Allium-derived antimicrobial compounds inhibit microorganisms by
reacting with the sulfhydryl (SH) groups of cellular proteins. It used to be
thought that allicin reacts only with cysteine and not with non-SH amino acids,
but evidence has accumulated that allicin and other thiosulfinates also react
with non-SH amino acids.
PMID- 21903380
TI - Cadmium and mercury removal from non-point source wastewater by a hybrid
bioreactor.
AB - The purpose of this study was to remove cadmium (Cd) and mercury (Hg) from non
point source wastewater by a hybrid bioreactor consisting of two different
processes (anaerobic-anoxic-aerobic and photoautotrophic). The results showed
that the bioreactor could concurrently culture heterotrophic and autotrophic
microorganisms, and removed Cd and Hg from the wastewater successfully. The
average removal efficiencies were 79% and 66%, respectively for Cd and Hg. The
relationship between Cd removal rate and biofilm mass was observed to be
significant (p<0.05) during different seasons. The Hg removal was mainly due to
the bioaccumulation in macrophytes via a photoautotrophic process. Due to the
increase of the bacterial diversity under the rejuvenated conditions modulated by
the hybrid bioreactor, the growth conditions of the native bacterial habitat were
improved. The results demonstrate that the environmentally benign, easily
deployed, sludge free and cost-effective hybrid bioreactor can efficiently remove
Cd and Hg from non-point source wastewater.
PMID- 21903381
TI - Biological pretreatment with a cellobiose dehydrogenase-deficient strain of
Trametes versicolor enhances the biofuel potential of canola straw.
AB - The use of Trametes versicolor as a biological pretreatment for canola straw was
explored in the context of biofuel production. Specifically, the effects on the
straw of a wild-type strain (52J) and a cellobiose dehydrogenase (CDH)-deficient
strain (m4D) were investigated. The xylose and glucose contents of the straw
treated with 52J were significantly reduced, while only the xylose content was
reduced with m4D treatment. Lignin extractability was greatly improved with
fungal treatments compared to untreated straw. Saccharification of the residue of
the m4D-treated straw led to a significant increase in proportional glucose
yield, which was partially attributed to the lack of cellulose catabolism by m4D.
Overall, the results of this study indicate that CDH facilitates cellulose access
by T. versicolor. Furthermore, treatment of lignocellulosic material with m4D
offers improvements in lignin extractability and saccharification efficacy
compared to untreated biomass without loss of substrate due to fungal catabolism.
PMID- 21903382
TI - Production of lipases by solid state fermentation using vegetable oil-refining
wastes.
AB - Lipases were produced by a microbial consortium derived from a mixture of
wastewater sludges in a medium containing solid industrial wastes rich in fats,
under thermophilic conditions (temperature higher than 45 degrees C for 20 days)
in 4.5-L reactors. The lipases were extracted from the solid medium using 100mM
Tris-HCl, pH 8.0 and a cationic surfactant agent (cetyltrimethylammonium
chloride). Different doses of surfactant and buffer were tested according to a
full factorial experimental design. The extracted lipases were most active at 61
65 degrees C and at pH 7.7-9. For the solid samples, the lipolytic activity
reached up to 120,000 UA/g of dry matter. These values are considerably higher
than those previously reported in literature for solid-state fermentation and
highlight the possibility to work with the solid wastes as effective
biocatalysts.
PMID- 21903383
TI - Nitrogen conversion under rapid pyrolysis of two types of aquatic biomass and
corresponding blends with coal.
AB - Rapid pyrolysis of two types of aquatic biomass (blue-green algae and water
hyacinth), and their blends with two coals (bituminous and anthracite) was
carried out in a high-frequency furnace. Nitrogen conversions during rapid
pyrolysis of the two biomass and the interactions between the biomass and coals
on nitrogen conversions were investigated. Results show that little nitrogen
retained in char after the biomass pyrolysis, and NH(3) yields were higher than
HCN. During co-pyrolysis of biomass and coal, interactions between biomass and
coal decreased char-N yields and increased volatile-N yields, but the total
yields of NH(3)+HCN in volatile-N were decreased in which HCN formations were
decreased consistently, while NH(3) formations were only decreased in the high
temperature range but promoted in the low-temperature range. Interactions between
blue-green algae and coals are stronger than those between water hyacinth and
coal, and interactions between biomass and bituminous are stronger than those
between biomass and anthracite.
PMID- 21903384
TI - Pretreatment of corn stover using low-moisture anhydrous ammonia (LMAA) process.
AB - A simple pretreatment method using anhydrous ammonia was developed to minimize
water and ammonia inputs for cellulosic ethanol production, termed the low
moisture anhydrous ammonia (LMAA) pretreatment. In this method, corn stover with
30-70% moisture was contacted with anhydrous ammonia in a reactor under nearly
ambient conditions. After the ammoniation step, biomass was subjected to a simple
pretreatment step at moderate temperatures (40-120 degrees C) for 48-144 h.
Pretreated biomass was saccharified and fermented without an additional washing
step. With 3% glucan loading of LMAA-treated corn stover under best treatment
conditions (0.1g-ammonia+1.0 g-water per g biomass, 80 degrees C, and 84 h),
simultaneous saccharification and cofermentation test resulted in 24.9 g/l (89%
of theoretical ethanol yield based on glucan+xylan in corn stover).
PMID- 21903386
TI - Biomass production and fatty acid profile of a Scenedesmus rubescens-like
microalga.
AB - This investigation examined the effects of nitrogen-phosphate combined deficiency
on the biomass yield, fatty acid methyl esters (FAME) production and composition
from Scenedesmus rubescens-like microalga. A 15-day indoor culture was performed
as a 3 * 3 factorial design (NaNO(3) levels: 3, 10 and 20mM; KH(2)PO(4) levels:
20, 50 and 150 MUM). The algae grown under medium nitrogen concentration (10mM)
and high phosphate concentration (150 MUM) reached the highest biomass (1223.5 +/
152.5mg/L). Both nitrogen and phosphate had a significant influence on the FAME
yield (P<0.05 and P<0.0001, respectively). The FAME yield from algae grown under
low nitrogen (3mM) and phosphate concentration (20 MUM) increased throughout the
experiment and the highest FAME yield (42.2 +/- 2.5% of AFDW) as well as C16 and
C18 content (95.8 +/- 1.6% of AFDW) was achieved under these conditions. Algae
grown under medium nitrogen concentration (10mM) and low phosphate concentration
(20 MUM) had the highest FAME productivity (426.0mg/L +/- 135.0mg/L). Thus, the
lower nitrogen concentration (3mM-10mM) and low phosphate concentration (20 MUM)
would be an optimal combination tested to produce the most FAME from S. rubescens
like algae.
PMID- 21903385
TI - Surface carbohydrate analysis and bioethanol production of sugarcane bagasse
pretreated with the white rot fungus, Ceriporiopsis subvermispora and microwave
hydrothermolysis.
AB - Effects of pretreatments with a white rot fungus, Ceriporiopsis subvermispora,
and microwave hydrothermolysis of bagasse on enzymatic saccharification and
fermentation were evaluated. The best sugar yield, 44.9 g per 100g of bagasse was
obtained by fungal treatments followed by microwave hydrothermolysis at 180
degrees C for 20 min. Fluorescent-labeled carbohydrate-binding modules which
recognize crystalline cellulose (CjCBM3-GFP), non-crystalline cellulose (CjCBM28
GFP) and xylan (CtCBM22-GFP) were applied to characterize the exposed
polysaccharides. The microwave pretreatments with and without the fungal
cultivation resulted in similar levels of cellulose exposure, but the combined
treatment caused more defibration and thinning of the plant tissues. Simultaneous
saccharification and fermentation of the pulp fractions obtained by microwave
hydrothermolysis with and without fungal treatment, gave ethanol yields of 35.8%
and 27.0%, respectively, based on the holocellulose content in the pulp. These
results suggest that C. subvermispora pretreatment could be beneficial part of
the process to produce ethanol from bagasse.
PMID- 21903387
TI - Biological evaluation and docking studies of natural isocoumarins as inhibitors
for human kallikrein 5 and 7.
AB - Human kallikrein 5 and 7 (KLK5 and KLK7) are trypsin-like and chymotrypsin-like
serine proteases, respectively, and promising targets for the treatment of skin
desquamation, inflammation and cancer. In an effort to develop new inhibitors for
these enzymes, we carried out enzymatic inhibition assays and docking studies
with three isocoumarin compounds. Some promising inhibitors were uncovered, with
vioxanthin and 8,8'-paepalantine being the most potent competitive inhibitors of
KLK5 (K(i)=22.9 MUM) and KLK7 (K(i)=12.2 MUM), respectively. Our docking studies
showed a good correlation with the experimental results, and revealed a distinct
binding mode for the inhibitors at the binding sites of KLK5 and KLK7. In
addition, the docking results suggested that the formation of hydrogen bonds at
the oxyanion hole is essential for a good inhibitor.
PMID- 21903388
TI - Cloning and characterization of a type III polyketide synthase from Aspergillus
niger.
AB - Type III polyketide synthases (PKSs) are the condensing enzymes that catalyze the
formation of a myriad of aromatic polyketides in plant, bacteria, and fungi. Here
we report the cloning and characterization of a putative type III PKS from
Aspergillusniger, AnPKS. This enzyme catalyzes the synthesis of alkyl pyrones
from C2 to C18 starter CoA thioesters with malonyl-CoA as an extender CoA through
decaboxylative condensation and cyclization. It displays broad substrate
specificity toward fatty acyl-CoA starters to yield triketide and tetraketide
pyrones, with benzoyl-CoA as the most preferred starter. The optimal temperature
and pH of AnPKS are 50 degrees C and 8, respectively. Under optimal conditions,
the enzyme shows the highest catalytic efficiency (k(cat)/K(m)) of 7.4*10(5)s(
1)M(-1) toward benzoyl-CoA. Homology modeling and site-directed mutagenesis were
used to probe the molecular basis of its substrate specificity. This study should
open doors for further engineering of AnPKS as a biocatalyst for synthesis of
value-added polyketides.
PMID- 21903389
TI - A compound isolated from Schisandra chinensis induces apoptosis.
AB - Schizandra chinensis has been known to have five predominant tastes: salty,
sweet, sour, astringent, and bitter. It has also been shown to have various
effects on the cardiovascular system, gastrointestinal system, anti-inflammatory,
central nervous system, endocrine system, and stress protect. However, its anti
cancer activity on colon carcinoma HCT-116 cells has not been yet been examined.
Thus, in this study, we attempted to isolate a compound from Schisandra chinensis
that induced apoptosis in HCT-116 cells. An active compound was found and
identified to be Gomisin A. It displayed apoptotic activity through caspase-7
cleavage in colon carcinoma HCT-116 cells. In addition, we further assessed the
effects of this compound using long-term survival clonogenic assay with HCT116
cells.
PMID- 21903390
TI - Discovery of GSK143, a highly potent, selective and orally efficacious spleen
tyrosine kinase inhibitor.
AB - The lead optimisation of the diaminopyrimidine carboxamide series of spleen
tyrosine kinase inhibitors is described. The medicinal chemistry strategy was
focused on optimising the human whole blood activity whilst achieving a
sufficient margin over liability kinases and hERG activity. GSK143 is a potent
and highly selective SYK inhibitor showing good efficacy in the rat Arthus model.
PMID- 21903391
TI - Synthesis and biological activity of desmethoxy analogues of coruscanone A.
AB - A series of simple desmethoxy analogues of coruscanone A was prepared via a novel
version of Ti(iPrO)(4)-mediated Knoevenagel condensation of cyclopentenedione
with substituted benzaldehydes and cinnamic aldehydes, and the compounds were
evaluated for antifungal activity and cytotoxicity. The most potent 2
benzylidenecyclopent-4-ene-1,3-dione possessed antifungal effect comparable to
coruscanone A and a somewhat broader spectrum of activity against Candida
species. The compound was also superior to fluconazole against several non
albicans Candida sp. Evaluation of the ability of the compound to influence cell
proliferation using two different assays showed that 2-benzylidenecyclopent-4-ene
1,3-dione has lower cytotoxicity compared to the natural product.
PMID- 21903392
TI - Synthesis and structure-activity relationships of novel substituted 8-amino, 8
thio, and 1,8-pyrazole congeners of antitubercular rifamycin S and rifampin.
AB - A series of rifamycin S and rifampin analogues incorporating substituted 8-amino,
8-thio, and 1,8-pyrazole substituents has been synthesized. The compounds were
made by activation of the C-8 phenol as a sulfonate ester, followed by
displacement with selected nitrogen and sulfur nucleophiles. The analogues were
screened in assays to quantify their antitubercular activity under both aerobic
and anaerobic conditions, and for inhibition of wild-type Mycobacterium
tuberculosis (MTB) RNAP and rifamycin-resistant MTB RNAP (S450L) via an in vitro
rolling circle transcription assay. Additionally, the MIC(90) values were
determined for these analogues against Escherichia coli strains. Although none of
the analogues displayed superior enzymatic or microbiological activity to their
parent scaffolds, the results are consistent with the Rif C-8 hydroxyl acting as
a hydrogen bond acceptor with S450 and that Rif resistance in the S450L mutant is
due to loss of this hydrogen bond. Representative analogues were also evaluated
in the human pregnane X receptor (PXR) activation assay.
PMID- 21903393
TI - Synthesis of glycoconjugate carbonic anhydrase inhibitors by ruthenium-catalysed
azide-alkyne 1,3-dipolar cycloaddition.
AB - Carbonic anhydrase IX (CA IX) is a recently validated target for the development
of new cancer therapies. In this Letter we describe the synthesis and CA
inhibition of a novel series of carbohydrate-based 1,5-disubstituted-1,2,3
triazole benzenesulfonamides. The key step of our synthesis is the regioselective
Huisgen's 1,3-dipolar cycloaddition reaction (1,3-DCR) from carbohydrate azide
substrates and 4-ethynylbenzenesulfonamide using a ruthenium-catalysed azide
alkyne cycloaddition (RuAAC). Our findings identified a number of triazole
inhibitors (compounds 18, 19, 21-23, and 26) that block CA IX activity with
inhibition constants less than 10 nM. One inhibitor (compound 17) possessed very
good selectivity for CA IX over off-target CAs. These CA inhibitors have
developmental potential to selectively target cancer cells, leading to cell
death.
PMID- 21903394
TI - Efficient synthesis of apricoxib, CS-706, a selective cyclooxygenase-2 inhibitor,
and evaluation of inhibition of prostaglandin E2 production in inflammatory
breast cancer cells.
AB - An efficient synthesis of apricoxib (CS-706), a selective cyclooxygenase
inhibitor, was developed using copper catalyzed homoallylic ketone formation from
methyl 4-ethoxybenzoate followed by ozonolysis to an aldehyde, and condensation
with sulfanilamide. This method provided multi-gram access of aprocoxib in good
yield. Apricoxib exhibited potency equal to celecoxib at inhibition of
prostaglandin E2 synthesis in two inflammatory breast cancer cell lines.
PMID- 21903395
TI - Walking speed, unilateral leg loading, and step symmetry in young adults.
AB - The purpose of this study was to examine the effects of gait speed and unilateral
lower limb loading on step time and step length symmetry in healthy adults.
Spatiotemporal gait data were collected from 22 healthy subjects (11 men, 11
women), using the GaitRite walkway, under four randomly sequenced test
conditions: self-selected speed (SS), fast speed (F), self-selected speed with
the right leg loaded (LSS), and the fastest attainable speed with the right leg
loaded (LF). The symmetry index, calculated with the formula [((R-L)/0.5 * (R+L))
* 100], was used to quantify step time and step length symmetry. It was found
that over-ground gait speed had no significant effects on the symmetry of step
time or step length. Unilateral lower limb loading significantly increased step
time asymmetry, with longer step time for the loaded leg. Step symmetry was
further compromised and became more asymmetrical when, in addition to unilateral
leg loading, subjects maximized their gait speed. This effect of fast speed with
unilateral leg loading was particularly prominent in relation to step length,
with its shortening in the unloaded leg and lengthening in the loaded leg. These
observations in healthy subjects may serve as a reference for the assessment of
gait symmetry in patients with unilateral lower limb pathologies.
PMID- 21903396
TI - Contralateral hip and knee gait biomechanics are unchanged by total hip
replacement for unilateral hip osteoarthritis.
AB - Both the hip and knee contralateral to a total hip replacement (THR) have an
increased risk of osteoarthritis (OA) progression, and ultimate joint
replacement. It is also known that abnormal gait contributes to OA progression.
For these reasons, we conducted a longitudinal analysis of contralateral hip and
knee gait during the first year after unilateral THR to determine whether
abnormal contralateral gait biomechanics emerge after THR. We analyzed the
sagittal plane dynamic range of motion and 3D peak external moments from the
asymptomatic hip and knee contralateral to a THR in a group of 26 subjects,
evaluated preoperatively, and 3, 12, 24, and 52 weeks after THR, and a group of
control subjects. We used t-tests and repeated measures ANOVA to test the
hypotheses that contralateral hip and knee gait parameters are normal
preoperatively, but change after THR. Preoperatively, the contralateral hip
abduction moment and the contralateral knee adduction, flexion, and external
rotation moments were significantly higher than normal in the THR group (p <=
0.048). Apart from the peak hip extension moment, which decreased three weeks
after surgery but returned to its preoperative value thereafter, there were no
longitudinal changes during the study period (p >= 0.141). Preoperative gait
abnormalities persisted postoperatively. Notably, the contralateral knee
adduction moment was 32% higher than normal in the THR group. These results
indicate a biomechanical basis for the increased contralateral OA risk after
unilateral THR, and suggest that some patients may benefit from strategies to
reduce loading on the contralateral limb.
PMID- 21903398
TI - Exploiting differences in caspase-2 and -3 S2 subsites for selectivity: structure
based design, solid-phase synthesis and in vitro activity of novel substrate
based caspase-2 inhibitors.
AB - Several caspases have been implicated in the pathogenesis of Huntington's disease
(HD); however, existing caspase inhibitors lack the selectivity required to
investigate the specific involvement of individual caspases in the neuronal cell
death associated with HD. In order to explore the potential role played by
caspase-2, the potent but non-selective canonical Ac-VDVAD-CHO caspase-2
inhibitor 1 was rationally modified at the P(2) residue in an attempt to decrease
its activity against caspase-3. With the aid of structural information on the
caspase-2, and -3 active sites and molecular modeling, a 3-(S)-substituted-l
proline along with four additional scaffold variants were selected as P(2)
elements for their predicted ability to clash sterically with a residue of the
caspase-3 S(2) pocket. These elements were then incorporated by solid-phase
synthesis into pentapeptide aldehydes 33a-v. Proline-based compound 33h bearing a
bulky 3-(S)-substituent displayed advantageous characteristics in biochemical and
cellular assays with 20- to 60-fold increased selectivity for caspase-2 and ~200
fold decreased caspase-3 potency compared to the reference inhibitor 1. Further
optimization of this prototype compound may lead to the discovery of valuable
pharmacological tools for the study of caspase-2 mediated cell death,
particularly as it relates to HD.
PMID- 21903397
TI - A brief survey of mRNA surveillance.
AB - Defective mRNAs are degraded more rapidly than normal mRNAs in a process called
mRNA surveillance. Eukaryotic cells use a variety of mechanisms to detect
aberrations in mRNAs and a variety of enzymes to preferentially degrade them.
Recent advances in the field of RNA surveillance have provided new information
regarding how cells determine which mRNA species should be subject to destruction
and novel mechanisms by which a cell tags an mRNA once such a decision has been
reached. In this review, we highlight recent progress in our understanding of
these processes.
PMID- 21903399
TI - Inhibitory effect of novel 5-O-acyl juglones on mammalian DNA polymerase
activity, cancer cell growth and inflammatory response.
AB - We previously found that vitamin K(3) (menadione, 2-methyl-1,4-naphthoquinone)
inhibits the activity of human mitochondrial DNA polymerase gamma (pol gamma). In
this study, we focused on juglone (5-hydroxy-1,4-naphthoquinone), which is a 1,4
naphthoquinone derivative, and chemically synthesized novel juglones conjugated
with C2:0 to C22:6 fatty acid (5-O-acyl juglones). The chemically modified
juglones enhanced mammalian pol inhibition and their cytotoxic and anti
inflammatory activities. The juglone conjugated with oleic acid (C18:1-acyl
juglone) showed the strongest inhibition of DNA replicative pol alpha activity
and human colon carcinoma (HCT116) cell growth in 10 synthesized 5-O-acyl
juglones. C12:0-Acyl juglone was the strongest inhibitor of DNA repair-related
pol lambda, as well as the strongest suppression of the production of tumor
necrosis factor (TNF)-alpha production induced by lipopolysaccharide (LPS) in the
compounds tested. Moreover, this compound caused the greatest reduction in 12-O
tetradecanoylphorbol-13-acetate (TPA)-induced acute inflammation in mouse ears.
C12:0- and C18:1-Acyl juglones selectively inhibited the activities of mammalian
pol species, but did not influence the activities of other pols and DNA metabolic
enzymes tested. These data indicate that the novel 5-O-acyl juglones target anti
cancer and/or anti-inflammatory agents based on mammalian pol inhibition.
Moreover, the results suggest that acylation of juglone is an effective chemical
modification to improve the anti-cancer and anti-inflammation of vitamin K(3)
derivatives, such as juglone.
PMID- 21903400
TI - Evaluation of PI polyamide conjugates with eight-base pair recognition and
improvement of the aqueous solubility by PEGylation.
AB - To investigate the effect of elongating base-pair (bp) recognition sequences, we
synthesized N-methylpyrrole-N-methylimidazole (PI) polyamide conjugates with
eight-bp recognition (3-5). The DNA alkylating activities of conjugates 3-5 were
evaluated by high-resolution denaturing polyacrylamide gel electrophoresis with a
208-bp DNA fragment. Conjugates 3-5 showed high alkylating activities at
nanomolar concentrations. We then addressed the following issue about PI
conjugates. Generally, PI polyamide conjugates hardly dissolve in aqueous
solution. To improve the aqueous solubility, by the introduction of hydrophilic
groups, we synthesized PI polyamide conjugates that were modified with a seco-CBI
moiety (6-11). Conjugates 9-11 that were modified by methoxypolyethylene glycol
(PEG) 750 acquired moderate solubility and stability in aqueous solution. In
addition, conjugates 10 and 11 had high cytotoxicity against A549 and DU145.
PMID- 21903401
TI - 1-[2-(2-Benzoyl- and 2-benzylphenoxy)ethyl]uracils as potent anti-HIV-1 agents.
AB - Non-nucleoside reverse transcriptase inhibitors (NNRTI) are key components in
highly active antiretroviral therapy for treating HIV-1. Herein we present the
synthesis for a series of N1-alkylated uracil derivatives bearing omega-(2-benzyl
and 2-benzoylphenoxy)alkyl substituents as novel NNRTIs. These compounds
displayed anti-HIV activity similar to that of nevirapine and several of them
exhibited activity against the K103N/Y181C RT mutant HIV-1 strain. Further
evaluation revealed that the inhibitors were active against most nevirapine
resistant mono- and di-substituted RTs with the exception of the V106A RT. Thus,
the candidate compounds can be regarded as potential lead compounds against the
wild-type virus and drug-resistant forms.
PMID- 21903403
TI - Antifungal activity of a series of 1,2-benzisothiazol-3(2H)-one derivatives.
AB - A series of broad-spectrum antifungal agents based on the 1,2-benzisothiazol
3(2H)-one scaffold is reported. Preliminary structure-activity relationship
studies have established the importance of the presence of the heterocyclic ring,
a methyl group, and a phenyl ring for optimal manifestation of antifungal
activity.
PMID- 21903402
TI - Identification of novel small molecule inhibitors of 4-diphosphocytidyl-2-C
methyl-D-erythritol (CDP-ME) kinase of Gram-negative bacteria.
AB - The biosyntheses of isoprenoids is essential for the survival in all living
organisms, and requires one of the two biochemical pathways: (a) Mevalonate (MVA)
Pathway or (b) Methylerythritol Phosphate (MEP) Pathway. The latter pathway,
which is used by all Gram-negative bacteria, some Gram-positive bacteria and a
few apicomplexan protozoa, provides an attractive target for the development of
new antimicrobials because of its absence in humans. In this report, we describe
two different approaches that we used to identify novel small molecule inhibitors
of Escherichia coli and Yersinia pestis 4-diphosphocytidyl-2-C-methyl D
erythritol (CDP-ME) kinases, key enzymes of the MEP pathway encoded by the E.
coli ispE and Y. pestisipk genes, respectively. In the first approach, we
explored existing inhibitors of the GHMP kinases while in the second approach; we
performed computational high-throughput screening of compound libraries by
targeting the CDP-ME binding site of the two bacterial enzymes. From the first
approach, we identified two compounds with 6-(benzylthio)-2-(2-hydroxyphenyl)-4
oxo-3,4-dihydro-2H-1,3-thiazine-5-carbonitrile and (Z)-3-methyl-4-((5-phenylfuran
2-yl)methylene)isoxazol-5(4H)-one scaffolds which inhibited E. coli CDP-ME kinase
in vitro. We then performed substructure search and docking experiments based on
these two scaffolds and identified twenty three analogs for structure-activity
relationship (SAR) studies. Three new compounds from the isoxazol-5(4H)-one
series have shown inhibitory activities against E. coli and Y. pestis CDP-ME
kinases with the IC(50) values ranging from 7 to 13 MUM. The second approach by
computational high-throughput screening (HTS) of two million drug-like compounds
yielded two compounds with benzenesulfonamide and acetamide moieties which, at a
concentration of 20 MUM, inhibited 80% and 65%, respectively, of control CDP-ME
kinase activity.
PMID- 21903404
TI - Probing DNA interstrand cross-link formation by an oxidized abasic site using
nonnative nucleotides.
AB - The C4'-oxidized abasic site (C4-AP) forms two types of interstrand cross-links
with the adjacent nucleotides in DNA. Previous experiments revealed that dG does
not react with the lesion and that formation of one type of cross-link is
catalyzed by the opposing dA. iso-Guanosine.dC and 2-aminopurine.dT base pairs
were used to determine why dG does not cross-link with C4-AP despite its well
known reactivity with other bis-electrophiles. 7-Deaza-2'-deoxyadenosine was used
to probe the role of the nucleotide opposite C4-AP in the catalysis of
interstrand cross-link formation.
PMID- 21903405
TI - Stem cell toxicity?
PMID- 21903406
TI - Increasing donor-recipient weight mismatch in pediatric orthotopic heart
transplantation does not adversely affect outcome.
AB - OBJECTIVE: The aim of the study was to show the effect of heart transplant donor
recipient weight mismatch on mortality, right-ventricular (RV) failure, and
medium-term control of systemic blood pressure. METHODS: From 2000 to 2008
inclusive, 161 patients undergoing orthotopic heart transplantation at our unit
were retrospectively analyzed. The cohort was divided into three groups of
similar size depending on the tertile ranges of the donor-recipient weight ratio.
Median follow-up was 4.81 years. Donor-recipient body weight ratio was analyzed
with respect to intubation time, time in intensive care unit (ITU), development
of RV failure, medium-term survival, and freedom from medium-term hypertension.
RESULTS: The median age was 115 months (23 days to 18 years), at a median weight
of 26.9 kg (3-88 kg) at transplant. Median donor-recipient weight ratio was 1.61
(0.62-3.25). Mean intubation time was 448 h (SD 749.2), mean time in the ITU
302.7 h (SD 617.8). On linear regression, these were not related to donor
recipient weight ratio. A total of 38 patients (23.6%) developed postoperative RV
failure. Nearly one-fifth (18.9) of patients in the lowest tertile group
developed RV failure. In the middle tertile group, 24.5% developed RV failure and
28.8% in the upper tertile of weight mismatch, although this was not
statistically significant (p = 0.48). On survival analysis, there was a higher
mortality among those with the lowest tertile of mismatch (log-rank p = 0.04),
but there was no difference in midterm survival on condition of survival to
discharge (log-rank p = 0.14). There was also no association between weight ratio
and freedom from medium-term hypertension as measured on serial 24-h ambulatory
blood pressure monitoring (log-rank p = 0.39). There were nine patients in whom
the weight mismatch was 3 or greater. There was no association between this
'extreme' mismatch group and either midterm mortality (p = 0.76) or freedom from
hypertension (p = 0.62), but this was associated with the need for postoperative
extracorporeal membrane oxygenator (ECMO) support (p < 0.01). CONCLUSIONS: Our
current policy involves accepting a maximum donor-recipient weight ratio of 3.
These encouraging findings cautiously justify this policy, in an era when
marginal donors are increasingly sought.
PMID- 21903408
TI - Editorial comment Classification of aortic dissection: back to the future?
PMID- 21903409
TI - Editorial comment: A tiny light in the darkness of pediatric myocardial
protection and cardiopulmonary bypass!
PMID- 21903410
TI - Early removal of chest tubes after lung resection---VATS the reason?
PMID- 21903411
TI - Endovascular and thoracoscopic treatment for post-lobectomy hemothorax.
PMID- 21903412
TI - Adaptive growth and remodeling of transplanted hearts in children.
AB - OBJECTIVE: We aimed to evaluate the adaptive growth and remodeling behavior of
the transplanted heart in pediatric heart-transplant recipients by comparing
donor body surface area (BSA) and cardiac dimensions during transplantation with
the corresponding parameters of the recipient over a period of time. METHODS: A
retrospective review of medical and echocardiographic records of 167 children
(8.65 +/- 5.98, median 9; range 0-17 years) who underwent orthotopic heart
transplantation between 1987 and March 2010 was done. RESULTS: In the first 30
days post-transplantation, right- and left-ventricular end-diastolic diameters,
volumes, and myocardial mass were found to be significantly increased (z score
3.96, p < 0.000) in relation to the recipients' BSA. Within the first year of
post-transplantation, there was a significant reduction in the right-ventricular
diameter (z score, -1.0 to +1.6, p = 0.000), left-ventricular diameter (z score
1.0 to +1.9, p = 0.000), right-ventricular end-diastolic volume (z score -1.3 to
+1.9, p = 0.000) and left-ventricular end-diastolic volume (z score -1.3 to +1.8,
p = 0.000), right-ventricular mass (z score, -1.4 to +1.7, p = 0.000) and left
ventricular mass (z score, -1.4 to +1.8, p = 0.000). During subsequent follow-up
periods of 2-5 and 6-10 years, the aforementioned cardiac dimensions and volumes
increased appropriately in accordance to the BSA (p = 0.000). In all the cardiac
dimensions and volumes measured, donor-recipient mismatch did not influence the
continuous growth of the measured parameters, which was in accordance to the
recipients' BSA over time. Kaplan-Meier survival analysis showed a survival rate
of 61.7% at 10 years. There is no statistically significant difference in
survival rate among patients with varying donor-recipient weight ratios and donor
recipient BSA ratios (p = 0.53). CONCLUSIONS: This study demonstrates that the
transplanted heart undergoes remodeling processes and grows adaptively, in
accordance to the BSA, over a period of time.
PMID- 21903413
TI - Nilotinib as frontline and second-line therapy in chronic myeloid leukemia: open
questions.
AB - Nilotinib is a second generation ABL tyrosine kinase inhibitor (TKI) that exerts
major anti-leukemic effects in newly diagnosed patients with chronic myeloid
leukemia (CML) as well as in most patients with imatinib-resistant CML. In
freshly diagnosed patients, the anti-leukemic activity of nilotinib exceeds the
efficacy of imatinib, and although long-term data for nilotinib are not available
yet, the drug has recently been approved for firstline treatment of chronic phase
CML in various countries. Still however, several questions concerning the optimal
dose, follow-up parameters, long-term safety, and patient selection remain open.
Likewise, it remains uncertain whether both Sokal low-risk and high-risk patients
should receive nilotinib as frontline therapy in the future. Another question is
whether nilotinib can completely eradicate CML in a subset of patients.
Furthermore, it remains unclear whether and what comorbidity must be regarded as
relative or absolute contra-indication for this TKI. To discuss these issues, the
Austrian CML Working Group organized a series of meetings in 2010. In the current
article, the outcomes from these discussions are summarized and presented
together with recommendations for frontline use of TKIs in various groups of
patients with CML. These recommendations should assist in daily practice as well
as in the preparation and conduct of clinical trials.
PMID- 21903414
TI - A method for following patients with retrievable inferior vena cava filters:
results and lessons learned from the first 1,100 patients.
AB - PURPOSE: Patients who have undergone implantation of a retrievable inferior vena
cava (IVC) filter require continued follow-up to have the device removed when
clinically appropriate and in a timely fashion to avoid potential long-term
filter-related complications. The efficacy of a method for patient follow-up was
evaluated based on a retrospective review of a single-institutional retrievable
IVC filter experience. MATERIALS AND METHODS: Patients with retrievable IVC
filters were tracked via a prospectively collected database designed specifically
for patient follow-up. Follow-up consisted of periodic review of the electronic
medical record. Patients were contacted by mail (at regular intervals one or more
times) when removal of the filter was deemed appropriate. A retrospective review
of the ultimate fate of the first 1,127 retrievable IVC filters placed at a
single institution was performed. Retrieval rates were compared with those seen
in the initial experience, during which no structured follow-up was performed.
RESULTS: Of 1,127 filters placed, 658 (58.4%) were removed. Filter removal or
declaration of the device as permanent was achieved in 860 patients (76.3%).
Filter removal, declaration of the device as permanent, or establishment of the
need for continued follow-up was achieved in 941 patients (83.5%). Only 186
patients (16.5%) were lost to follow-up. CONCLUSIONS: The follow-up method
described in the present study resulted in a statistically significant difference
(P < .001) in the likelihood of a patient returning for IVC filter removal
compared with a lack of follow-up (59% vs 24%).
PMID- 21903415
TI - Correlation between severity of carotid stenosis and vascular reserve measured by
acetazolamide brain perfusion single photon emission computed tomography.
AB - Few studies have investigated the relationship between the degree of stenosis of
the internal carotid artery (ICA) and cerebrovascular reserve (CVR). This study
examined that relationship. A total of 56 ICAs in 43 patients were included.
Computed tomography scan or magnetic resonance imaging showed no evidence of
infarction in any of these patients. Both iodine-123-N-isopropyl-p
iodoamphetamine ((123)IMP)-single photon emission computed tomography (SPECT) in
the resting state and (123)IMP-SPECT with acetazolamide (ACZ) enhancement were
performed. Quantitated cerebral blood flow (CBF) images were acquired with the
(123)IMP autoradiography technique. The mean CBF without ACZ administration
(resting CBF) and CVR in the middle cerebral artery territory were calculated
using stereotactic extraction estimation (SEE) analysis software. The degree of
stenosis in the origin of the ICA was calculated from intra-arterial digital
subtraction angiography. Resting CBF was not correlated with the degree of ICA
stenosis; however, nonlinear regression analysis (second-order equation) showed a
moderate correlation between CVR and the degree of ICA stenosis. In 72% of the
cases with a CVR <30%, ICA stenosis was >74%. Using the SEE method, CVR was
moderately correlated with the degree of ICA stenosis. Our findings indicate that
evaluating CVR by ACZ-enhanced (123)IMP-SPECT in patients with ICA stenosis is of
clinical value.
PMID- 21903417
TI - Cerebral infarction in a young man using high-dose anabolic steroids.
AB - Anabolic androgenic steroid (AAS) abuse has increased among athletes in recent
years. However, AAS abuse can increase hypercoagulopathy and cause
cerebrovascular disease. We report a case of a 27-year-old man who had right
hemiparalysis, hemianopia, dysarthria, and double vision in the middle of muscle
training. He suspected acute disseminated encephalomyelitis at first, because of
a preceding respiratory infection. However, extensive work-up was performed,
including brain magnetic resonance imaging, transcranial Doppler and
transesophageal echocardiography, confirming the final diagnosis of cardioembolic
stroke. Physicians should be aware that cerebrovascular disease may be a side
effect of AAS, even in younger populations.
PMID- 21903416
TI - Successful amelioration of tinnitus in a stroke patient by low-dose gabapentin.
AB - Bilaterally progressive tinnitus and hearing impairment occurred in a
hypertensive patient shortly after an episode of right ganglionic hemorrhage.
Audiometric tests showed a mixed sensorineural and conduction hearing loss. When
low-dose gabapentin was administrated for the pre-existing postherpetic thoracic
neuralgia, the tinnitus dramatically improved but recurred after discontinuation
of the drug. Hearing function did not change. In view of a controversy of
gabapentin and tinnitus in previous trials, the findings in this patient support
that low-dose gabapentin benefits the subgroup of tinnitus patients with
secondary contributing factors, such as stroke.
PMID- 21903418
TI - Demographic, clinical, and radiologic predictors of neurologic deterioration in
patients with acute ischemic stroke.
AB - One-third of patients with acute ischemic stroke develop early neurologic
worsening, which is associated with increased mortality and long-term functional
disability. We investigated the predictive factors for neurologic deterioration
in patients with acute ischemic stroke within 1 week of onset. We retrospectively
investigated 643 patients who were admitted within 2 days of acute ischemic
stroke between April 2007 and March 2010. Neurologic deterioration was defined as
an increase of 4 points or more in the National Institutes of Health Stroke Scale
(NIHSS) score within 1 week of admission. We retrieved data on demographic and
clinical characteristics, medications, and stroke subtypes. Out of 537 patients,
deterioration was noted in 64 patients (11.9%; deterioration group). Multivariate
analysis identified history of myocardial infarction (P < .001), NIHSS score >=8
at onset (P < .001), high leukocyte count (P = .035), low-density lipoprotein
cholesterol >=140 mg/dL (P = .002), and hemoglobin A1c >=7% (P = .006) as
significant factors associated with deterioration. Branch atheromatous disease
was more frequent in the deterioration group, and >90% of patients with
deterioration either were discharged to nursing home care or died. Multivariate
analysis of magnetic resonance imaging findings identified internal
carotid/middle cerebral artery occlusion (each P < .001), striate capsular
infarction (P = .030), pontine infarction (P = .047), and lesion size of 15-30 mm
(P = .011) as independent factors associated with deterioration. Stroke patients
with a high low-density lipoprotein level, high hemoglobin A1c level on
admission, a history of myocardial infarction, and high NIHSS score are at high
risk for neurologic deterioration. Patients with multiple risk factors for
deterioration can benefit most from intensive monitoring.
PMID- 21903419
TI - Improvement of door-to-imaging time in acute stroke patients by implementation of
an all-points alarm.
AB - In patients with acute ischemic stroke, thrombolysis offers an opportunity to
effectively reduce disability and dependency. The success of this treatment is
time-dependent. The crucial diagnostic step before initiation of treatment is
cerebral imaging. With the aim of reducing in-hospital delays, our hospital's
interdisciplinary stroke management group implemented an all-points alarm to
improve in-hospital time delay (the period between arrival to the emergency
department and performance of cerebral imaging). The alarm simultaneously alerted
all involved staff (from the neurologist to in-hospital transport) to the arrival
of a patient potentially eligible for thrombolysis. Time delay, sociodemographic,
and clinical data were assessed prospectively at 4 months before and 8 months
after alarm implementation. Data were examined by analysis of covariance for both
the intention-to-treat and per-protocol groups. During the assessment, 689
patients with symptoms compatible with stroke arrived at our hospital. Among
those, 111 patients (16%) were eligible for thrombolysis (median age, 71 years;
median National Institutes of Health Stroke Scale score, 11; 44% female). Patient
characteristics (ie, age, sex, insurance status, National Institutes of Health
Stroke Scale score, cardiovascular risk factors, and prehospital delay) did not
differ significantly before (n = 34) and after (n = 77) alarm implementation. The
median "door-to-imaging time" for patients eligible for thrombolysis was
significantly reduced, from 54 minutes before implementation of the alarm to 35
minutes after implementation. Adjusted analysis of covariance demonstrated a
significant influence of the intervention (P = .001) on differences in time
delay. The proportion of ischemic stroke patients receiving thrombolysis rose
from 42% to 66% (P = .04). The per-protocol analysis confirmed these results. The
implementation of an all-points alarm can result in significant reduction of the
time needed for in-hospital pathways for acute stroke patients.
PMID- 21903420
TI - Recovery process and prognosis of aphasic patients with left putaminal
hemorrhage: relationship between hematoma type and language modalities.
AB - To elucidate the precise recovery process and prognosis of language functions in
aphasic patients with left putaminal hemorrhage, we investigated 48 aphasic
patients classified into 4 groups according to the location and extent of
hematoma. The hematoma extended to the corona radiata in all patients,
extracapsular in type I (12 cases), to the anterior limb in type II (10 cases),
to the posterior limb in type III (12 cases), and to both limbs in type IV (14
cases). The Standard Language Test for Aphasia was performed at 1 month, 3
months, and 6 months after the attack. The type II, III, and IV patients were
divided into 2 groups, with and without ventricular rupture of the hemorrhage. At
3 and 6 months after the attack, the type I, II, and III patients showed
significant improvement (P < .05) in all language modalities compared with the
type IV patients. Most improvement in language modalities occurred in the first 3
months. The evaluation of patients with ventricular rupture after 6 months
revealed poor recovery (P < .05) in oral commands, visual commands, confrontation
naming, sentence repetition, narratives, verbal fluency, and writing in type II
and III patients. In type IV patients, this evaluation showed poor recovery (P <
.05) only in oral and written naming (kanji words). No significant difference in
prognostic outcome was observed between the surgical treatment group and the
nonsurgical treatment group. The classification of hemorrhage may be useful in
predicting the outcome of aphasia with putaminal hemorrhage and in guiding
clinicians in providing effective instructions to patients and their relatives.
PMID- 21903421
TI - Helicobacter pylori infection in patients with epilepsy.
AB - BACKGROUND: The possible role of Helicobacter pylori (HP) infection in extra
intestinal diseases has been suggested. The main purpose of this study was to
determine the frequency of infection with HP in two groups of patients with
epilepsy: patients with idiopathic generalized epilepsy (IGE) and patients with
temporal lobe epilepsy (TLE), compared to healthy controls. METHODS: In this
cross-sectional study a random sample of adult patients above 18 years of age
with a diagnosis of IGE or TLE were recruited at the outpatient epilepsy clinic
at Shiraz University of Medical Sciences, from January 2009 through June 2011. A
group of healthy individuals were included as control group. For all patients and
controls a urea breath test (UBT) was requested. RESULTS: Thirty-four patients
with IGE, 28 patients with TLE and 33 individuals as control were recruited.
Positive UBT was observed in 21 individuals (61.8%) with IGE, 50% (14 patients)
of patients with TLE and 72.7% (24 individuals) in control group. The difference
between patients with IGE and control group was not significant (P=0.3). The
difference between patients with TLE and control group was not significant either
(P=0.068). CONCLUSION: The rate of HP infection was not higher in patients with
epilepsy compared to healthy individuals. At the moment, there is not enough
epidemiological data to support the role of HP infection in patients with either
IGE or TLE.
PMID- 21903422
TI - Mapping a dynamic innate immunity protein interaction network regulating type I
interferon production.
AB - To systematically investigate innate immune signaling networks regulating
production of type I interferon, we analyzed protein complexes formed after
microbial recognition. Fifty-eight baits were associated with 260 interacting
proteins forming a human innate immunity interactome for type I interferon (HI5)
of 401 unique interactions; 21% of interactions were modulated by RNA, DNA, or
LPS. Overexpression and depletion analyses identified 22 unique genes that
regulated NF-kappaB and ISRE reporter activity, viral replication, or virus
induced interferon production. Detailed mechanistic analysis defined a role for
mind bomb (MIB) E3 ligases in K63-linked ubiquitination of TBK1, a kinase that
phosphorylates IRF transcription factors controlling interferon production. Mib
genes selectively controlled responses to cytosolic RNA. MIB deficiency reduced
antiviral activity, establishing the role of MIB proteins as positive regulators
of antiviral responses. The HI5 provides a dynamic physical and regulatory
network that serves as a resource for mechanistic analysis of innate immune
signaling.
PMID- 21903423
TI - Increased sensitivity of antigen-experienced T cells through the enrichment of
oligomeric T cell receptor complexes.
AB - Although memory T cells respond more vigorously to stimulation and they are more
sensitive to low doses of antigen than naive T cells, the molecular basis of this
increased sensitivity remains unclear. We have previously shown that the T cell
receptor (TCR) exists as different-sized oligomers on the surface of resting T
cells and that large oligomers are preferentially activated in response to low
antigen doses. Through biochemistry and electron microscopy, we now showed that
previously stimulated and memory T cells have more and larger TCR oligomers at
the cell surface than their naive counterparts. Reconstitution of cells and mice
with a point mutant of the CD3zeta subunit, which impairs TCR oligomer formation,
demonstrated that the increased size of TCR oligomers was directly responsible
for the increased sensitivity of antigen-experienced T cells. Thus, we propose
that an "avidity maturation" mechanism underlies T cell antigenic memory.
PMID- 21903424
TI - Multilineage priming of enhancer repertoires precedes commitment to the B and
myeloid cell lineages in hematopoietic progenitors.
AB - Recent studies have documented genome-wide binding patterns of transcriptional
regulators and their associated epigenetic marks in hematopoietic cell lineages.
In order to determine how epigenetic marks are established and maintained during
developmental progression, we have generated long-term cultures of hematopoietic
progenitors by enforcing the expression of the E-protein antagonist Id2.
Hematopoietic progenitors that express Id2 are multipotent and readily
differentiate upon withdrawal of Id2 expression into committed B lineage cells,
thus indicating a causative role for E2A (Tcf3) in promoting the B cell fate.
Genome-wide analyses revealed that a substantial fraction of lymphoid and myeloid
enhancers are premarked by the poised or active enhancer mark H3K4me1 in
multipotent progenitors. Thus, in hematopoietic progenitors, multilineage priming
of enhancer elements precedes commitment to the lymphoid or myeloid cell
lineages.
PMID- 21903425
TI - Eversion versus conventional carotid endarterectomy: a meta-analysis of
randomised and non-randomised studies.
AB - BACKGROUND: To compare eversion (ECEA) and conventional (CCEA) carotid
endarterectomy from randomised and non-randomised studies. METHODS: Pooled odds
ratios (ORs) with 95% confidence intervals (95%CIs) and numbers needed to treat
(NNTs) were appropriately calculated. A sub-analysis was performed on studies
directly comparing ECEA vs. patch CEA (PCEA). Meta-regression analysis was
performed to examine the effect of potentially meaningful patient-related,
procedure-related and definition-related modifiers. Power calculations were also
conducted. RESULTS: A total of 21 studies were deemed eligible (8530 ECEA and
7721 CCEA procedures), seven of which were randomised and 14 non-randomised. ECEA
was associated with significant reduction in perioperative stroke (OR = 0.46,
95%CI: 0.35-0.62, NNT = 68, 95%CI: 56-96), death (OR = 0.49, 95%CI: 0.34-0.69,
NNT = 100, 95%CI: 85-185) and stroke-related death (OR = 0.40, 95%CI: 0.23-0.67,
NNT = 147, 95%CI: 115-270); the results were replicated at the sub-analysis on
PCEA. Concerning long-term outcomes, ECEA presented with a significant reduction
in late carotid artery occlusion (OR = 0.48, 95%CI: 0.25-0.90, NNT = 143, 95%CI:
100-769) and late mortality (OR = 0.76, 95%CI: 0.61-0.94, NNT = 40, 95%CI: 25
167); the sub-analysis on PCEA replicated only the finding on late mortality.
Meta-regression analysis did not point to significant effects mediated by the
examined modifiers. Power calculations suggested adequate statistical power.
CONCLUSIONS: ECEA compared to CCEA may be associated with a lower incidence in
both short-term and long-term outcomes, which does not seem to be hampered by
potentially meaningful modifiers.
PMID- 21903426
TI - Endovascular stent-graft treatment for Stanford type A aortic dissection.
AB - OBJECTIVE: The aim of the study is to summarise our experience of endovascular
stent grafting for Stanford type A aortic dissection. DESIGN: Retrospective
analysis at single centre. METHODS: From January 2001 to January 2009, we treated
45 cases of Stanford type A aortic dissection with endovascular stent grafting.
The entry tear was located at the ascending aorta in 10 cases (DeBakey type I),
the aortic arch in 14 cases and the distal aortic arch or proximal descending
aorta in 21 cases in which the ascending aorta was also involved by the
dissection. RESULTS: The surgical success rate was 97.8% (44/45) and 30-day
mortality rate was 6.7% (3/45). Type I endoleaks occurred in 10 cases: one
patient died intra-operatively, four were successfully treated with ballooning,
four were sealed with aortic cuffs and one case caused by left subclavian artery
(LSA) reflux was sealed with an occluder. Average follow-up time was 35.5 +/- 5.4
months. Up to the most recent review or death, 32 patients had complete
thrombosis and 10 had partial thrombosis inside the false lumen. Two deaths
occurred after 30-days postoperatively. CONCLUSION: Endovascular stent-graft
treatment is a minimally invasive and effective method to treat Stanford type A
aortic dissection.
PMID- 21903428
TI - Immunohistochemical expression of matrix metalloproteinases 1, 2, 7, 9, and 26 in
the calcifying cystic odontogenic tumor.
AB - OBJECTIVE: The aim was to evaluate immunoexpression of matrix metalloproteinases
(MMPs) 1, 2, 7, 9, and 26 in calcifying cystic odontogenic tumor (CCOT). STUDY
DESIGN: Ten cases of CCOT were assessed by immunohistochemical expression of MMPs
1, 2, 7, 9, and 26 in the parenchyma and stroma. Metalloproteinase
immunoexpressions and their distribution pattern were semiquantitatively scored.
RESULTS: MMPs were expressed in the parenchyma and stroma in all cases of CCOT.
Regarding the percentage of immunostained parenchymal cells, MMPs 1, 7, and 9
showed score 2 in 100% of cases. For MMP-2, there was a predominance of score 0
(90%), whereas for MMP-26 immunostaining was varied. CONCLUSIONS: The staining of
these metalloproteinases, with the exception of MMP-2, suggests their
contribution to tumor growth and expansion. The presence of these
metalloproteinases in stromal cells reveals the active participation of these
cells in the degradation of the extracellular matrix, contributing to the growth
of the tumor studied.
PMID- 21903429
TI - Relationships between mandibular cortical indexes, bone mineral density, and
osteoporotic fractures in Brazilian men over 60 years old.
AB - OBJECTIVE: The aim of this study was to assess relationships between panoramic
indexes, bone mineral density (BMD), and osteoporotic fractures in men >60 years
old. STUDY DESIGN: Body height and weight, body mass index, lumbar spine and hip
BMD, and the presence of osteoporotic fractures were determined in the 127
studied men. Dental panoramic radiographs (DPRs) were used for measuring
mandibular cortical indexes. RESULTS: BMDs were lower in patients with thinning
and resorption of mandibular cortex. No association was found between mandibular
indexes and the presence of osteoporotic fractures. In a forward stepwise
logistic regression model, the odds of having a T score <=-2.5 was increased by
1.97 times for every 1-mm reduction in average mandibular cortical width.
CONCLUSIONS: DPRs could show pronounced thinning of the inferior mandibular
cortices in men >60 years old. However, there was no apparent association between
vertebral fragility fractures and the appearance of mandibular cortex in elderly
men.
PMID- 21903430
TI - Prevalence and on-farm risk factors for diarrhoea in meat lamb flocks in Western
Australia.
AB - Diarrhoea is a widespread problem for sheep enterprises worldwide. A cross
sectional epidemiological study was conducted using a questionnaire to determine
the prevalence of diarrhoea and associated risk factors where there was evidence
of recent diarrhoea (active diarrhoea or fresh faecal soiling of breech fleece)
for meat lambs on farms in southern Western Australia during 2010. The response
rate was 41.4% (139/336). Evidence of recent diarrhoea was reported on 64.8% of
farms, with a mean of 6.9% lambs affected per farm. Location of a farm and a
higher annual rainfall were associated with an increased diarrhoea prevalence.
Binary logistic regression analysis suggested that the drinking water source was
associated with the incidence of diarrhoea, since lamb flocks supplied with dam
water were 117 times (95% CI: 18.2, 754.8) more likely to have observed diarrhoea
or fresh breech fleece faecal soiling than lamb flocks supplied with other
sources of water. Faecal worm egg counts were used by 65% of respondents to
determine whether an anthelmintic treatment was warranted and 74% of respondents
administered a treatment to their meat lambs. In response to a range of diarrhoea
scenarios presented to respondents (5%, 25% and 50% of the flock with evidence of
recent diarrhoea), 15.1% would have elected to administer an anthelmintic
treatment regardless of differences in prevalence.
PMID- 21903431
TI - Rituximab in a childhood-onset idiopathic refractory chronic inflammatory
demyelinating polyneuropathy.
AB - Childhood-onset chronic inflammatory demyelinating polyneuropathy (CIDP) are
generally responsive to conventional immunosuppressant treatments. However about
20% of patients may be refractory to several treatments and the disease has poor
outcome. Rituximab is becoming a promising treatment in selected adult cases of
severe CIDPs. We report the effectiveness of Rituximab in a refractory childhood
onset CIDP and we suggest this treatment as an effective choice in unresponsive
childhood CIDP.
PMID- 21903432
TI - Cranial magnetic resonance imaging findings of nutritional vitamin B12 deficiency
in 15 hypotonic infants.
AB - BACKGROUND: Nutritional vitamin B(12) deficieny is common among infants in the
developing and underdeveloped countries. There is limited information concerning
neuroimaging findings in infants with vitamin B(12) deficiency in the literature.
AIMS: The aim of this study is to evaluate the cranial magnetic resonance imaging
(MRI) changes and clinical characteristics of hypotonic infants due to vitamin
B(12) deficiency. MATERIALS AND METHODS: A total of 15 infants with
neuroradiologic investigations were diagnosed with nutritional B(12) vitamin
deficiency. Cranial MRI was performed on all infants. RESULTS: Five infants were
female (33%) and the mean age of infants was 12.3 +/- 5.5 months. Hypotonia and
neurodevelopmental retardation were present in all patients. MRI demonstrated
thinning of the corpus callosum in 6 (40%), cortical atrophy in 5 (33.3%), large
sylvian fissures in 5 (33.3%), ventricular dilatation in 3 (20%), asymetric large
lateral ventricle in 2 (13.3%) and delayed in myelination in 2 (13.3%) patients.
Four infants had normal MRI findings. CONCLUSION: Because of the importance of
vitamin B(12) in the development of the brain, MRI findings may be detected and
useful in infants with vitamin B(12) deficiency.
PMID- 21903435
TI - EPI - In the beginning.
AB - The ideas and thoughts that resulted in the creation of EPI are recalled.
PMID- 21903433
TI - Novel features in the evolution of adenylosuccinate lyase deficiency.
AB - BACKGROUND: Adenylosuccinate lyase (ADSL) deficiency is an autosomal recessive
disorder of the purine synthesis which results in accumulation of succinylpurines
(succinyladenosine (S-Ado) and succinylamino-imidazole carboxamide riboside
(SAICAr)) in body fluids. Patients present developmental delay, often accompanied
by epilepsy and autistic spectrum disorders. OBJECTIVES: To describe atypical
neurological features in the evolution of three novel unrelated cases of ADSL
deficiency. PATIENTS: A 9-year-old boy with severe cognitive impairment and
autistic behaviour received d-ribose therapy for one year. Drug withdrawal was
associated with acute neurological deterioration, severe brain atrophy and
demyelination on MRI. The second patient is a 5.5-year-old girl with mild
developmental delay who presented a benign course with moderate cognitive
impairment as the only feature in her evolution. The final patient is a 14-year
old boy with severe cognitive impairment who developed drug-resistant epilepsy
and bathing reflex seizures, progressive spasticity in the lower limbs and
thoracic deformity. METHODS: SAICAr and S-Ado in urine were analysed by HPLC with
diode array detection. Diagnosis was confirmed by molecular analysis of the ADSL
gene. RESULTS: An elevation of S-Ado and SAICAr excretion in urine was detected
in all three patients. The patients were homozygous for the missence change
p.I369L and for the novel change p.M389V. CONCLUSION: Drug-resistant epilepsy and
specific therapeutic interventions may modify the neurological outcome in ADSL
deficiency. d-ribose must be considered with caution as, in our experience, it
returns no clinical benefit and drug withdrawal can precipitate status
epilepticus and acute neurological deterioration.
PMID- 21903436
TI - THz-waves channeling in a monolithic saddle-coil for Dynamic Nuclear Polarization
enhanced NMR.
AB - A saddle coil manufactured by electric discharge machining (EDM) from a solid
piece of copper has recently been realized at EPFL for Dynamic Nuclear
Polarization enhanced Nuclear Magnetic Resonance experiments (DNP-NMR) at 9.4 T.
The corresponding electromagnetic behavior of radio-frequency (400 MHz) and THz
(263 GHz) waves were studied by numerical simulation in various measurement
configurations. Moreover, we present an experimental method by which the results
of the THz-wave numerical modeling are validated. On the basis of the good
agreement between numerical and experimental results, we conducted by numerical
simulation a systematic analysis on the influence of the coil geometry and of the
sample properties on the THz-wave field, which is crucial in view of the
optimization of DNP-NMR in solids.
PMID- 21903437
TI - SIMPSON - an important driver for numerical simulations in solid-state NMR
spectroscopy.
AB - We present a historical recollection on the development of the software package
SIMPSON (SIMulation Package for SOlid-state Nmr). This covers a brief description
of the underlying ideas and events leading to creation of SIMPSON and numerous
auxiliary programs as well as comments on its impact on the development and
application of solid-state NMR in research laboratories world-wide.
PMID- 21903438
TI - Short perspective on "NMR population inversion using a composite pulse" by M.H.
Levitt and R. Freeman [J. Magn. Reson. 33 (1979) 473-476].
AB - The invention of the composite pulse by Malcolm H. Levitt in 1978 is described
from a personal perspective.
PMID- 21903439
TI - Analysis of the GH content within archived dried blood spots of newborn screening
cards from children diagnosed with growth hormone deficiency after the neonatal
period.
AB - OBJECTIVE: It is unknown whether GH secretion of children with growth hormone
deficiency (GHD) is already diminished at birth. We aimed to determine the GH
content within archived dried blood spots of newborn screening cards from
children diagnosed with GHD at childhood. DESIGN: At our hospital, all children
with the diagnosis of GHD and an actual age <10years were identified. For 16
patients (mean age, 7.4years; range, 1.0-9.7), screening cards were available.
The archived dried blood from the first 48 to 96h of life was eluated in buffer
of a highly sensitive hGH-ELISA to measure the GH content. Reference values were
calculated based on 600 anonymous newborn screening cards of different ages.
RESULTS: Median GH content within the archived dried blood spots of the reference
had declined by 30% during the first year and by further 35% during the next
8.5years of storage. After correction for time of storage, four out of the 16
archived dried blood spots of the GHD children contained low amounts of GH (<=5th
percentile). Diminished GH secretion at birth was absent in isolated GHD, but
associated with multiple pituitary hormone deficiency (MPHD) (P=0.0013), ectopic
neurohypophysis (P=0.0013), lower GH test peak values (P=0.02) and higher weight
at diagnosis (P=0.015). CONCLUSIONS: Children with isolated GHD have normal GH
secretory capacity during the first week of life while the majority of children
with MPHD and pituitary malformation were GH deficient immediately after birth.
PMID- 21903440
TI - [Mothers experience in participating in the care of their hospitalised children].
AB - OBJECTIVES: The purpose of this study was to describe the experience, the meaning
and importance to them, of mothers participating in the care process of their
child, as well as to identify interventions in which mothers were involved.
METHOD: A qualitative approach was employed for this study, which was
theoretically based on Symbolic Interactions and the Grounded Theory reference
methodology. A semi-structured interview and observation were chosen as data
collection techniques. The study included 18 mothers with hospitalised children.
They were selected based on inclusion criteria: mothers with children
hospitalised 5 or more days, and with a willingness to participate. The number of
participants was defined using the criterion of data saturation. The data was
analysed using the program Nvivo8. RESULTS: Mothers understood the participation
as an obligation and an aid to nurses. They also considered it as a contribution
to the personal care of their children, for their well-being and for continuity
of the parental role. The care in which the mothers were involved were those of
family care, already developed in the home. They developed other forms of care,
which were delegated by nurses without negotiation. The confusion of roles and
the non-distribution of power caused anxiety in mothers when confronted with new
forms of care. CONCLUSIONS: The mothers considered their participation in their
child's care as very important, but this involvement was characterised by a
continuation of the care provided at home. They developed other forms of care but
were not fully involved.
PMID- 21903441
TI - [How present ART' results: questions' list to French ART professionals and
application on Amiens' ART results].
AB - OBJECTIVES: ART transparency of results and ways to submit are in centre of
present biologists and clinical cares. This work aims at identifying ART results'
presentation pertinent tests. PATIENTS AND METHODS: At first time, we propose a
questions' list to French ART professionals. Next, we apply results on Amiens'
ART center 2006, 2007 and 2008 IVF parameters. RESULTS: One hundred and twenty
questions' lists were analysed. Ninety percent of interrogated people were in
favour of the results' center public communication. Most quoting criterions hold
to define a reference population are (percentage of favourable opinions):
IVF/ICSI treatment (96%), first of second rank's attempts (71%), women age less
than 35 years old (68%), one or two top embryos quality transfer (60%). In 2007,
2008 and 2009, we made 1123 tentative IVF+/-ICSI in Amiens' ART center. Pregnancy
rates were analysed for these years with criterions next quoting as most
pertinent (women's age and rank's attempt), and in function of puncture retrieval
oocytes number and indications. DISCUSSION AND CONCLUSION: Most of professionals
are in favour of ART transparency of results. All would like that results
presentation holds to special criterions to allow a fair comparison. Pregnancy
predictive factors, which are women age, rank attempt, puncture retrieval oocytes
number, seem to be main criterions for evaluation. These criterions pertinence
has been shown thanks to Amiens ART center results.
PMID- 21903443
TI - Motor improvement with bilateral subthalamic nucleus deep brain stimulation in a
patient with levodopa-responsive secondary parkinsonism.
AB - At many centers, subthalamic nucleus (STN) deep brain stimulation (DBS) is not
considered for patients with secondary forms of parkinsonism, due to higher
expected rates of treatment failure. We present the case of a woman with
secondary parkinsonism that developed following a Measles, Mumps, and Rubella
(MMR) vaccination, who experienced sustained improvement in motor function
following STN DBS. Despite the diagnosis of a secondary parkinsonism, this
patient responded well to dopaminergic therapy, a good predictor of DBS outcome
in patients with idiopathic Parkinson's disease. This case suggests that DBS may
be considered in the setting of secondary parkinsonism if such patients have
levodopa-responsive symptoms.
PMID- 21903442
TI - Load/strain distribution between ulna and radius in the mouse forearm compression
loading model.
AB - Finite element analysis (FEA) of the mouse forearm compression loading model is
used to relate strain distributions with downstream changes in bone formation and
responses of bone cells. The objective of this study was to develop two FEA
models - the first one with the traditional ulna only and the second one in which
both the ulna and radius are included, in order to examine the effect of the
inclusion of the radius on the strain distributions in the ulna. The entire mouse
forearm was scanned using microCT and images were converted into FEA tetrahedral
meshes using a suite of software programs. The performance of both linear and
quadratic tetrahedral elements and coarse and fine meshes were studied. A load of
2N was applied to the ulna/radius model and a 1.3N load (based on previous
investigations of load sharing between the ulna and radius in rats) was applied
to the ulna only model for subsequent simulations. The results showed differences
in the cross sectional strain distributions and magnitude within the ulna for the
combined ulna/radius model versus the ulna only model. The maximal strain in the
combined model occurred about 4mm toward the distal end from the ulna mid-shaft
in both models. Results from the FEA model simulations were also compared to
experimentally determined strain values. We conclude that inclusion of the radius
in FE models to predict strains during in vivo forearm loading increases the
magnitude of the estimated ulna strains compared to those predicted from a model
of the ulna alone but the distribution was similar. This has important
ramifications for future studies to understand strain thresholds needed to
activate bone cell responses to mechanical loading.
PMID- 21903444
TI - Intra-individual variations in the bifurcation of the radial nerve and the length
of the posterior interosseous nerve.
AB - Anatomical literature on the radial nerve predominantly features inter-individual
variations, with comparatively few studies investigating intra-individual
variations. The radial nerve has a complex and variable course, particularly in
relation to the location at which the nerve bifurcates to form the superficial
branch of the radial nerve and the posterior interosseous nerve. Variations of
the radial nerve may change the way the nerve and its branches, their blood
supply and nerve transmission respond to forces. This study investigated the
presence of intra-individual differences in the bifurcation point of the radial
nerve and the length of the posterior interosseous nerve from the bifurcation to
the radial tunnel. Eighteen embalmed human cadavers were dissected to reveal the
radial nerve. Measurements were taken from the level of the lateral humeral
epicondyle to the bifurcation of the radial nerve, and from the bifurcation to
the radial tunnel. All cadavers presented with intra-individual variations
between the left and right limbs. Significant differences were found between the
left and right limbs for the measurement from the lateral humeral epicondyle to
the bifurcation (median difference = 18.0 mm; p = 0.016) but not for the
measurement from the bifurcation to the radial tunnel (median difference = 7.0
mm; p = 0.396). In conclusion, the location of the radial nerve bifurcation is
subject to both intra- and inter-individual variations. Its specific relationship
to the lateral humeral epicondyle also varies, occurring both distal and proximal
to the level of the epicondyle. Clinical implications of these findings warrant
further investigation.
PMID- 21903445
TI - The relationships between measures of stature recovery, muscle activity and
psychological factors in patients with chronic low back pain.
AB - Individuals with low back pain (LBP) often exhibit elevated paraspinal muscle
activity compared to asymptomatic controls during static postures such as
standing. This hyperactivity has been associated with a delayed rate of stature
recovery in individuals with mild LBP. This study aimed to explore this
association further in a more clinically relevant population of NHS patients with
LBP and to investigate if relationships exist with a number of psychological
factors. Forty seven patients were recruited from waiting lists for
physiotherapist-led rehabilitation programmes. Paraspinal muscle activity while
standing was assessed via surface electromyogram (EMG) and stature recovery over
a 40-min unloading period was measured on a precision stadiometer. Self-report of
pain, disability, anxiety, depression, pain-related anxiety, fear of movement,
self-efficacy and catastrophising were recorded. Correlations were found between
muscle activity and both pain (r=0.48) and disability (r=0.43). Muscle activity
was also correlated with self-efficacy (r=-0.45), depression (r=0.33), anxiety
(r=0.31), pain-related anxiety (r=0.29) and catastrophising (r=0.29) and was a
mediator between self-efficacy and pain. Pain was a mediator in the relationship
between muscle activity and disability. Stature recovery was not found to be
related to pain, disability, muscle activity or any of the psychological factors.
The findings confirm the importance of muscle activity within LBP, in particular
as a pathway by which psychological factors may impact on clinical outcome. The
mediating role of muscle activity between psychological factors and pain suggests
that interventions that are able to reduce muscle tension may be of particular
benefit to patients demonstrating such characteristics, which may help in the
targeting of treatment for LBP.
PMID- 21903446
TI - The role of mitochondrial respiration in salinity tolerance.
AB - NaCl is the most abundant salt in salinity-affected land. The ability of plants
to sift the water table, limit NaCl uptake, compartmentalise Na+/Cl- ions and
prevent negative ionic and osmotic effects on cell function, are the foundations
of salinity tolerance mechanisms. In this review, we show that although the
quantitative response of respiratory rate to changes in salt concentration is
complex, the properties of respiratory processes are crucial for tolerance during
ion exclusion and tissue tolerance. We consider whole-plant gas exchange and
carbon balance analysis alongside the salt responses of mitochondrial properties
and genetic studies manipulating respiratory processes. We showcase the
importance of efficient ATP generation, dampened reactive oxygen species and
mitochondrial osmolytes for salinity tolerance in plants.
PMID- 21903447
TI - Decreased cognitive functioning in patients with advanced oral squamous cell
carcinoma.
AB - Cognitive impairment causes a delay in diagnosis and treatment of the various
cancer entities, resulting in reduced surgical outcomes and patient survival.
However, no investigations have been carried out as to whether an association
exists between cognitive functioning and tumour size in patients with oral
squamous cell carcinoma (OSCC). In this study, 46 patients with OSCC were
evaluated by using a screening test for dementia, consisting of a combination of
the mini-mental state examination and the clock test (81% sensitivity and 90%
specificity). Test scores were correlated with tumour size according to the TNM
staging system, which was categorized as being either limited (T1, T2; n=24) or
advanced (T3, T4; n=22). No difference in age (P=0.172), sex (P=0.330), the
percentage of drinkers (P=0.090) or the percentage of smokers (P=0.484) was
evident between the groups. Patients with advanced tumour size scored
significantly lower (median 5.5 of 9 possible points) when compared with those
having tumours of a limited size (median 9 of 9 possible points; P=0.005). The
median score of patients with T3/T4 tumours suggested the need for comprehensive
neuropsychological evaluations for dementia. In conclusion, this study has
demonstrated the correlation of reduced cognitive functioning in patients with
advanced OSCC. As a consequence, instructions for the identification of early
signs and of symptoms of oral cancer are strongly recommended for relatives and
nursing staff of patients with cognitive impairment. Such patients might need
immediate treatment for oral cancer but might not be able to understand the
significance of their symptoms and therefore present late, often too late.
PMID- 21903448
TI - Nuclear translocation of MRP1 contributes to multidrug resistance of
mucoepidermoid carcinoma.
AB - Multidrug resistance-related protein 1 (MRP1 or ABCC1), a membrane-bound energy
dependent efflux transporter, is overexpressed in several kinds of multidrug
resistant cell lines and related to multidrug-resistance (MDR) of various
cancers. In this study, we investigated whether MRP1 was involved in the
chemoresistance of mucoepidermoid carcinoma (MEC). We demonstrated that down
regulation of MRP1 in MC3/5FU, a drug-resistant MEC cell line, by RNA
interference increased the drug sensitivity of the cells to 5-fluorouracil,
doxorubicin, pharmorubicin, bleomycin-A5, cis-platinum and taxol. However, no
significant quantitative difference of MRP1 mRNA and protein expression was found
between MC3/5FU cells and its parental cell line (MC3) as determined by RT-PCR
and Western blot. Interestingly, MRP1 was translocated from the cytoplasmic
membrane of the MC3 cells to the nuclei of MC3/5FU cells as revealed by indirect
immunofluorescence staining. Furthermore, MRP1 down-regulation mainly decreased
the nuclear expression of MRP1 rather than the cytoplasmic membrane expression.
Our results suggested that MRP1 was involved in the chemoresistance of MEC and
MRP1 may confer drug-resistance by a mechanism associated with its nuclear
translocation.
PMID- 21903449
TI - The impact of EGFR stimulation and inhibition on BPDE induced DNA fragmentation
in oral/oropharyngeal mucosa in vitro.
AB - Still, the vast majority of head and neck squamous cell carcinoma (HNSCC) can be
linked to the "traditional" risk factors tobacco smoke and alcohol consumption.
These tumors are believed to be the results of multiple years of carcinogenic
impact on upper aerodigestive tract mucosa. The frequent observation, that one
patient suffers from several synchronous cancers, multiple local recurrences, and
second primary tumors led to the concept of field cancerization, first introduced
by Slaughter and colleagues in 1953. As underlying molecular events, genetic
instability, loss of heterozygosity, amplification, deletion, up- and down
regulation of oncogenes and/or tumor suppressor genes were revealed. One of the
best studied oncogenic features of head and neck carcinogenesis are high
expression levels of epidermal growth factor receptor (EGFR). Enhanced expression
of the receptor was detected in histologically normal mucosa from HNSCC patients
and increasing levels during the progress from hyperplasia to dysplastic lesion
and invasive carcinoma were demonstrated. Whereas nearly all of our knowledge
about EGFR biology in HNSCC is based on preclinical and clinical studies
investigating receptor inhibitors, little is known about cause and function of
EGFR in premalignant mucosa. In this study we show, that EGFR stimulation
significantly decreases carcinogen induced DNA damage in normal mucosa from HNSCC
patients and that this effect is completely abrogated adding an anti-EGFR
antibody before stimulation, while there was no effect in non-tumor controls. The
effect of EGFR inhibition was contrary. In non-tumor controls, blocking the
receptor with an antibody significantly decreased DNA damage, whereas in cases no
effect was seen. Our results indicate an important role of the receptor during
chemical carcinogenesis. On the basis of this study we suppose, that increasing
EGFR levels during head and neck carcinogenesis can be interpreted as a
physiological response to permanent carcinogen impact on the mucosa.
PMID- 21903450
TI - Hyaluronic acid-paclitaxel conjugate inhibits growth of human squamous cell
carcinomas of the head and neck via a hyaluronic acid-mediated mechanism.
AB - Chemotherapeutic regimens incorporating taxanes significantly improve outcomes
for patients with squamous cell carcinomas of the head and neck (SCCHN). However,
treatment with taxanes is limited by toxicities, including bone marrow
suppression and peripheral neuropathies. We proposed that conjugating taxanes to
targeting carrier molecules would increase antitumor efficacy and decrease
toxicity. The cell surface proteoglycan, CD44, is expressed on most SCCHNs, and
we hypothesized that it is an attractive candidate for targeted therapy via its
natural ligand, hyaluronic acid (HA). We determined whether HA-paclitaxel
conjugates were able to decrease tumor growth and improve survival in orthotopic
nude mouse human SCCHN xenograft models. HA-paclitaxel concentration-dependent
growth inhibition of human SCCHN cell lines OSC-19 and HN5 in vitro, very
similarly to free paclitaxel treatment. Tumor cell uptake of FITC-labeled HA
paclitaxel was significantly blocked with free HA, indicating the dependence of
uptake on CD44. HA-paclitaxel administered intravenously once per week for three
weeks at 120 mg/kg paclitaxel equivalents, far above the paclitaxel maximum
tolerated dose, exerted superior tumor growth control to that of paclitaxel in
both orthotopic OSC-19-luciferase and HN5 xenograft models in vivo. Mouse
survival following HA-paclitaxel administration was prolonged compared with that
of controls in mice implanted with either of these xenografts. Mice treated with
HA-paclitaxel displayed increased TUNEL(+) cells in tumor tissue, as well as
markedly reduced microvessel density compared to those treated with free
paclitaxel. No acute histopathological changes were observed in mice treated with
HA-paclitaxel. Thus, we conclude that HA-paclitaxel effectively inhibits tumor
growth in human SCCHN xenografts via an HA-mediated mechanism and this conjugate
should be considered for further preclinical development for this disease.
PMID- 21903451
TI - An update on plant membrane rafts.
AB - The dynamic segregation of membrane components within microdomains, such as the
sterol-enriched and sphingolipid-enriched membrane rafts, emerges as a central
regulatory mechanism governing physiological responses in various organisms. Over
the past five years, plasma membrane located raft-like domains have been
described in several plant species. The protein and lipid compositions of
detergent-insoluble membranes, supposed to contain these domains, have been
extensively characterised. Imaging methods have shown that lateral segregation of
lipids and proteins exists at the nanoscale level at the plant plasma membrane,
correlating detergent insolubility and membrane-domain localisation of
presumptive raft proteins. Finally, the dynamic association of specific proteins
with detergent-insoluble membranes upon environmental stress has been reported,
confirming a possible role for plant rafts as signal transduction platforms,
particularly during biotic interactions.
PMID- 21903452
TI - Synthesis and characterization of cadmium selenide nanoparticles loaded on
activated carbon and its efficient application for removal of muroxide from
aqueous solution.
AB - In the first, Cadmium selenide Nanoparticle loaded on activated carbon (CdSe-NP
AC) has been synthesized and characterized by different techniques including XRD
and SEM. Then, this new adsorbent successfully has been applied for the removal
of muroxide (MO) from aqueous solution in batch studies, while the effect of
various experimental parameters like initial pH (pH(0)), contact time, amount of
(CdSe-NP-AC) and initial MO concentration (C(0)) on its removal percentage was
examined by one at a time optimization method. It was found following
optimization of variable, the adsorption of MO onto (CdSe-NP-AC) followed pseudo
second-order kinetics and show Tempkin and Langmuir models for interpretation of
experimental data. It was observed that by increasing the temperature the removal
percentage was improved and the positive change in entropy (DeltaS degrees ) and
heat of adsorption (DeltaH degrees ) show the endothermic nature of process,
while the high negative value in Gibbs free energy change (DeltaG degrees )
indicates the feasible nature of adsorption process.
PMID- 21903453
TI - FTIR spectroscopic, thermal and XRD characterization of hydroxyapatite from new
natural sources.
AB - The inorganic constituents of 5 different plants (leaves and stalks) were
investigated by using Fourier transformer infrared spectroscopy (FTIR), X-ray
diffraction (XRD) and thermal analysis including thermal gravimetric analysis
(TGA), derivative thermogravimetry (DTG) and differential scanning calorimetry
(DSC). These plants are Catha edulis (Khat), basil, mint, green tea and
trifolium. The absorption bands of carbonate ions CO(3)(2-) was exhibited at 1446
cm(-1), and the phosphate ions PO(4)(3-) was assigned at 1105 and 1035 cm(-1). At
high temperatures (600, 700 and 600 degrees C) further absorption bands of the
phosphate ions PO(4)(3-) was assigned at the frequencies 572, 617, 962, 1043 and
1110 cm(-1) and the vibrational absorption band of the carbonate ions CO(3)(2-)
was assigned at 871, 1416 and 1461 cm(-1). X-ray diffraction and thermal analysis
confirm the obtained results of FITR. Results showed that the main inorganic
constituents of C. edulis and basil leaves are hydroxyapatite whereas the
hydroxyapatite content in the other plant samples is less than that in case of C.
edulis and basil plant leaves.
PMID- 21903454
TI - FT-IR, FT-Raman spectra, density functional computations of the vibrational
spectra and molecular conformational analysis of 2,5-di-tert-butyl-hydroquinone.
AB - The purpose of finding conformer among six different possible conformers of 2,5
di-tert-butyl-hydroquinone (DTBHQ), its equilibrium geometry and harmonic
wavenumbers were calculated by the B3LYP/6-31G(d,p) method. The infrared and
Raman spectra of DTBHQ were recorded in the region 400-4000 cm(-1) and 50-3500
cm(-1), respectively. In addition, the IR spectra in CCl(4) at various
concentrations of DTBHQ are also recorded. The computed vibrational wavenumbers
were compared with the IR and Raman experimental data. Computational calculations
at B3LYP level with two different basis sets 6-31G(d,p) and 6-311++G(d,p) are
also employed in the study of the possible conformer of DTBHQ. The complete
assignments were performed on the basis of the potential energy distribution
(PED) of the vibrational modes, calculated using VEDA 4 program. The general
agreement between the observed and calculated frequencies was established.
PMID- 21903455
TI - Microwave assisted synthesis, spectroscopic, electrochemical and DNA cleavage
studies of lanthanide(III) complexes with coumarin based imines.
AB - The present work stems from our interest in the synthesis, characterization and
biological evaluation of lanthanide(III) complexes of a class of coumarin based
imines which have been prepared by the interaction of hydrated lanthanide(III)
chloride with the sodium salts of 3-acetylcoumarin thiosemicarbazone (ACTSZH) and
3-acetylcoumarin semicarbazone (ACSZH) in 1:3 molar ratio using thermal as well
as microwave method. Characterization of the ligands as well as the metal
complexes have been carried out by elemental analysis, melting point
determinations, molecular weight determinations, magnetic moment, molar
conductance, IR, (1)H NMR, (13)C NMR, electronic, EPR, X-ray powder diffraction
and mass spectral studies. Spectral studies confirm ligands to be monofunctional
bidentate and octahedral environment around metal ions. The redox behavior of one
of the synthesized metal complex was investigated by cyclic voltammetry. Further,
free ligands and their metal complexes have been screened for their antimicrobial
as well as DNA cleavage activity. The results of these findings have been
presented and discussed.
PMID- 21903456
TI - Prediction of the rovibrational emission spectroscopy of B2Sigma(+)-X2Sigma(+)
system in 12C17O+.
AB - An analytical formula based on the Herzberg's conventional rovibrational energy
levels for diatomic system is proposed by taking multiple differences of spectral
lines to predict the R-branch high-lying rovibrational emission spectroscopy,
where only 15 accurate known transition lines and rotational constants D(v'),
D(v'') are needed. Using the formula, the R(11ee) and R(22ff) branches of (0, 2)
and (0, 3) transition bands in the B(2)Sigma(+)-X(2)Sigma(+) system of
(12)C(17)O(+) are studied. The results show that not only the relatively lower
order rovibrational transition lines given by experiments are reproduced but also
the higher and the absent spectral lines are correctly predicted for each band.
PMID- 21903457
TI - A physiochemical study of azo dyes: DFT based ESIPT process.
AB - Azo linked dye derivatives were synthesized and characterized by NMR, mass and
elemental analysis. An excited state intramolecular proton transfer (ESIPT) in
hydroxy Schiff base has been analyzed, and found that two distinct ground state
isomers of I and II are responsible for the observed dual emission. DFT
calculation on energy, dipole moment, charge distribution of the rotamers in the
ground and excited states support the ESIPT process. PES calculation indicates
that the energy barrier for the interconversion of two rotamers is too high in
the excited state than the ground state. By varying the addition of base
concentration to hydroxy Schiff base, two isobestic points were found which
confirm the equilibrium among the trans enol form, anion and the cis enol form.
Fluorescence quenching with metal ions reveal that hydroxy Schiff base can be
used as a new fluorescence sensor to detect the Cu(2+) ion.
PMID- 21903458
TI - Mercapto thiadiazole-based sensor with colorimetric specific selectivity for AcO-
in aqueous solution.
AB - A novel acetate selective anion sensor 3 based on azophenol and mercapto
thiadiazole had been designed and synthesized. Sensor 3 behaves a single
selectivity and sensitivity in the recognition for AcO(-) anion over other anions
such as F(-), Cl(-), Br(-), I(-), H(2)PO(4)(-), HSO(4)(-) and ClO(4)(-) by naked
eyes and UV-vis spectra changes in aqueous solution (H(2)O/DMSO, 5:5, v/v). The
color of the solution containing sensor 3 had an obvious change from colorless to
orange only after the addition of AcO(-) in aqueous solution while other anions
did not cause obvious color change. (1)H NMR titration results revealed that the
binding process includes two steps: (i) hydrogen bonding interactions (for small
quantities of acetate) and (ii) proton transfer between the sensor 3 and the
coordinated anion (for high quantities of acetate). The association constant K(a)
was 7.35*10(3) M(-1). The detection limitation of AcO(-) with the sensor 3 was
1.0*10(-6) mol L(-1).
PMID- 21903459
TI - Nucleos(t)ide analogue treatment reduces apoptotic activity in patients with
chronic hepatitis B.
AB - BACKGROUND: Reduction of necroinflammatory activity is a major goal of antiviral
therapy of patients with chronic hepatitis B. Serum ALT does not detect all forms
of cell death. OBJECTIVES: To analyze dynamics of novel serum cell death markers
for apoptosis and necrosis in association with virologic response to
nucleos(t)ide (Nuc) analogue treatment. STUDY DESIGN: Quantification of the M30
apoptosis neoepitope and the cytokeratin-18 (M65-necrosis) serum levels before
and during treatment of patients with chronic hepatitis B with Nuc (n = 26).
RESULTS: Before treatment, M30-apoptotic activity was significantly correlated
with M65-necrosis and fibrosis but not with serum ALT. During therapy with Nucs,
cell death parameters M30-apoptosis, M65-necrosis, and ALT declined in
association with virologic response. The most frequent cell death pattern was
simultaneous decline of ALT and M30-apoptosis which occurred more frequently in
patients with HBs-Antigen decline than in patients with HBs-Antigen increase
during treatment (87.5% vs. 40.0%; p = 0.024). ALT decline in association with
increase of M30 apoptosis was frequent in patients with HBs-Antigen increase
during treatment (36.3%) but was not observed in patients with HBs-Antigen
decline during treatment. CONCLUSION: Decline of cell death parameters in
association with decline of HBV-DNA and HBs-Antigen indicates a reduction in
overall cell death activity during Nuc treatment supporting the concept that
response to Nuc therapy reduces necroinflammatory activity and progression of
liver disease.
PMID- 21903460
TI - Cortical pattern of complex but not simple movements is affected in writer's
cramp: a parametric event-related fMRI study.
AB - OBJECTIVE: Patients with writer's cramp (WC) were studied for differences in
cortical activation during movements likely to induce WC (complex movements) and
movements which rarely lead to dystonia (simple movements). METHODS: Eleven WC
patients (10F, 1M, mean age 41.5 +/- (SD)7.2 years) and eleven age matched
controls were examined for Blood oxygenation-level dependent (BOLD) 1.5 T fMRI.
The complex task consisted of writing a single letter or random drawing using an
especially adapted joystick with the line of trajectory visualized or hidden. The
simple task consisted of self-initiated fingers flexion/extension using the
affected hand. RESULTS: Unlike the controls, WC patients performing complex
movements exhibited a lower BOLD signal in the primary sensorimotor cortex and in
the posterior parietal cortex bilaterally. A hypoactivation was also observed in
the right secondary somatosensory area, in the right anterior insula and in the
left premotor cortex (p < 0.05 corrected). No significant inter-group differences
were found for simple movements. CONCLUSIONS: Although WC patients' complex
movements during fMRI were never associated with dystonic cramp, they exhibited
an abnormally low cortical activity. This phenomenon was not observed in simple
movements and was unrelated to the character of handwriting or to visual
feedback. SIGNIFICANCE: Our results support the dualistic behavior in the
sensorimotor system in WC.
PMID- 21903461
TI - Stretch reflex responses in Complex Regional Pain Syndrome-related dystonia are
not characterized by hyperreflexia.
AB - OBJECTIVE: To evaluate if hyperreflexia (exaggerated reflexes) due to
disinhibition is associated with dystonia in Complex Regional Pain Syndrome
(CRPS). METHODS: Stretch reflexes at the wrist were assessed in healthy controls
(n=10) and CRPS-patients with dystonia (n=10). Subjects exerted a wrist flexion
torque of 5% of maximum voluntary contraction torque (T(MVC)) to a manipulandum
which applied ramp-and-hold stretches to the wrist flexors. Since reflex
responses scale with background contraction, controls additionally performed the
task at 1% and 3% T(MVC) to attain similar torques as patients who have reduced
T(MVC). The M1 onset and the magnitudes of the short latency M1 and long latency
M2 were assessed using the electromyographic signals (EMG) of the flexor carpi
radialis. EMG of the extensor carpi radialis was recorded to monitor
cocontraction. RESULTS: Compared to controls, patients had a substantially
reduced T(MVC). Ramp velocity had a significant effect on M1 onset time and
magnitude. CONCLUSIONS: Since M1 magnitude decreased with flexion torque, no
significant difference was found between patients and controls at 5% T(MVC),
while comparison at similar absolute torques (controls at 1% T(MVC)) resulted in
significantly smaller M1 magnitudes for patients with dystonia. SIGNIFICANCE:
This study suggests that CRPS-patients with dystonia are not hyperreflexive.
PMID- 21903462
TI - Exogenous and endogenous orienting of visuospatial attention in P300-guided brain
computer interfaces: a pilot study on healthy participants.
AB - OBJECTIVE: Studies on brain computer interfaces (BCIs) have been mainly concerned
with algorithm improvement for better signal classification. Fewer studies,
however, have addressed to date the role of cognitive mechanisms underlying the
elicitation of brain-signals in BCIs. We tested the effect of visuospatial
attention orienting on a P300-guided BCI, by comparing the effectiveness of three
visual interfaces, which elicited different modalities of visuospatial attention
orienting (exogenous vs. endogenous). METHODS: Twelve healthy participants
performed 20 sessions, using the abovementioned P300-guided BCI interfaces to
control a cursor. Brain waves were recorded on each trial and were subsequently
classified on-line using an ad hoc algorithm. Each time the P300 was correctly
classified, the cursor moved towards the target position. RESULTS: The
"endogenous" interface was associated with significantly higher performance than
the other two interfaces during the testing sessions, but not in the follow-up
sessions. CONCLUSIONS: Endogenous visuospatial attention orienting can be
effectively implemented to increase the performance of P300-guided BCIs.
SIGNIFICANCE: The study of visuospatial attention underlying participants'
performance is essential for implementing efficient visual BCIs.
PMID- 21903463
TI - Quantification and localization of EEG interictal spike activity in patients with
surgically removed epileptogenic foci.
AB - OBJECTIVE: To demonstrate if interictal spike activity was localized within the
resected area in surgically treated epilepsy patients; and if there is
correspondence between the degree of localization and improvement after surgery.
METHODS: We analyzed long-term EEGs from 34 patients. Interictal spikes were
grouped in clusters and averaged according to morphology and topography. The
relative contribution of each cluster to the total number of spikes in each
patient was estimated. Dipole and distributed EEG source localization of each
cluster was made. The percentage of spike activity localized within the site of
resection (SR) during the onset was estimated. The relationship between the
percentage of activity within SR and the surgery outcome was assessed. RESULTS:
Fourteen patients had 90-100% of spikes within SR, 9 had 50-89%. Most patients
with more than 50% of activity localized within SR were seizure free, while the 5
patients who had all activity localized outside SR were not seizure free.
CONCLUSIONS: Localization of clusters containing the largest quantity of
interictal spikes during onset generally corresponded to the likely location of
the epileptogenic cortex. SIGNIFICANCE: Computer-assisted EEG source localization
with our methodology can be a useful adjunct for the evaluation of candidates for
epilepsy surgery.
PMID- 21903465
TI - Efficient hydrogen production from acetate through isolated Rhodobacter
sphaeroides.
AB - Photosynthetic bacteria produce hydrogen from lactate and acetate that are
products of hydrogen producing bacteria in the dark. Thus, their coculture is a
promising method for hydrogen production. However, the hydrogen production yield
from acetate of Rhodobacter sphaeroides RV, which has been shown to possess the
highest yield and hydrogen production rate, is low as compared to that from
lactate. Photosynthetic bacteria that produce hydrogen from acetate as well as
lactate were screened from lakes and swamps in the Tokyo and Chiba areas in
Japan. Seventy-six strains of photosynthetic bacteria were obtained and the
analysis of their 16S rRNA gene sequences revealed that they belong to R.
sphaeroides. Among the isolated bacteria, R. sphaeroides HJ produced the highest
amount of hydrogen from acetate and lactate. The HJ strain produced a 2300+/
93ml/L-broth of hydrogen from 75mM acetate consumed during for 120h of
fermentation. The amount of hydrogen and the yield from acetate were 1.9 and 2.1
times higher, respectively, than those of R. sphaeroides RV. The amount and yield
of hydrogen, produced by R. sphaeroides HJ from lactate were similar to those
produced by R. sphaeroides RV. Since the amount and yield of produced hydrogen by
the HJ strain were similar regardless of the substrate (acetate or lactate), its
metabolic pathway could have a key to increasing hydrogen production from
acetate.
PMID- 21903464
TI - Quantification of motor unit action potential energy.
AB - OBJECTIVE: Motor unit action potentials (MUAPs) recorded by needle electrode
reflect the functional state of the motor unit and its force-generating capacity,
and are usually described morphologically (e.g. amplitude, duration). However,
since the purpose of motor unit activation is force generation, MUAP energy seems
a more physically meaningful measurement. METHODS: MUAPs were obtained by multi
MUAP decomposition of real interference patterns taken from human patients with
neurological diseases. The energy content of each MUAP was measured from a time
frequency representation (TFR), specifically the Choi-Williams distribution, and
compared with the standard MUAP morphological measure, the Size Index. The sample
included normal, neurogenic, and myopathic MUAPs, from 11 patients. RESULTS:
There is an exponential distribution of energy within a sample of MUAPs and a
strong exponential relationship between the Size Index and MUAP energy was
observed. CONCLUSIONS: The energy content of a MUAP can be quantified and
corresponds very well with the current quantitative standard. Energy is a
possible addition to MUAP quantification. SIGNIFICANCE: MUAPs could be classified
as having normal, large (neurogenic), or low (myopathic) energy. MUAP energy has
direct physical and physiological meaning that reflects the force-generating
capacity of the motor unit. Time-frequency analysis could also be used to study
the specific frequency content of MUAPs and the energy of MUAPs within an
interference pattern, without the need for decomposition.
PMID- 21903466
TI - Simultaneous induction of calcium transients in embryoid bodies using
microfabricated electrode substrates.
AB - Precise control of differentiation processes of pluripotent stem cells is a key
component for the further development of regenerative medicine. For this purpose,
combining a cell-aggregate-size treatment for regulating intercellular signal
transmissions and an electrical stimulation technique for inducing cellular
responses is a promising approach. In the present study, we developed
microfabricated electrode substrates that allow simultaneous stimulation of
embryoid bodies (EBs) of P19 cells. Mouse embryonal carcinoma P19 cells can be
induced to differentiate into three germ layers and serve as a promising stem
cell model. Microcavity-array patterns were fabricated onto indium-tin-oxide
(ITO) substrates using a standard photo-lithography technique, and uniform-sized
EBs of P19 cells were inserted into each microcavity. Electrical stimulation was
applied to the EBs through substrate electrodes and stimulus-induced
intracellular calcium transients were monitored. We confirmed that the developed
electrode device could simultaneously stimulate smaller (200MUm diameter) and
larger (500MUm diameter) EBs inserted in the microcavities and induce specific
spatio-temporal patterns of intracellular calcium transients in the EBs with fine
reproducibility. We concluded that the developed microcavity array with embedded
electrodes could simultaneously and effectively stimulate uniform-sized EBs
inserted in it. Therefore, it is a promising experimental tool for precisely
controlling cell differentiation processes.
PMID- 21903467
TI - Artificial RNA aptamer production by the marine bacterium Rhodovulum
sulfidophilum: improvement of the aptamer yield using a mutated transcriptional
promoter.
AB - Noncoding small RNAs and artificial RNA aptamers are now expected to be potential
candidates for RNA therapeutic agents. We previously proposed a unique method for
economical production of these RNAs using the marine phototrophic bacterium
Rhodovulum sulfidophilum. This bacterium does not produce any ribonucleases but
does produce extracellular nucleic acids in the culture medium in nature. Using
this bacterium and an engineered plasmid containing the rrn promoter for the RNA
expression, we developed a method for production of the streptavidin RNA aptamer
in the culture medium. However, the yield of this RNA product in the culture
medium by this method was not enough for practical use. In the present paper, we
improved the yield of this product by modification of the -35 region of the rrn
promoter so as to escape from the Fis protein control and the use of a new vector
plasmid. Using this system, the extracellular RNA aptamer of approximately 200 ng
and the total RNA aptamer (both extra- and intracellular form) of about 20 MUg
from 1 L culture were accomplished by constitutive expression of the gene.
PMID- 21903468
TI - Improving protein secretion of a transglutaminase-secreting Corynebacterium
glutamicum recombinant strain on the basis of 13C metabolic flux analysis.
AB - Corynebacterium glutamicum is known as a host species for amino acid production.
This microorganism was recently noticed as a host that produces secreted
proteins. In this study, we performed (13)C metabolic flux analysis ((13)C-MFA)
on a recombinant C. glutamicum strain that secretes a heterologous
transglutaminase (TGase) to improve TGase secretion. For the (13)C-MFA of a TGase
secreting C. glutamicum strain in batch cultivation, a (13)C-labeling experiment
and measurement of mass isotopomer distributions of proteinogenic amino acids
were performed, and metabolic fluxes were determined considering the changes in
fractional (13)C-labeling of proteinogenic amino acids with respect to culture
time. The TGase yield increased at the stationary phase but decreased toward its
end. The results of (13)C-MFA revealed that the flux from glycolysis to the TCA
cycle gradually increased during TGase secretion. We speculate that the
NADH/NAD(+) ratio in the cells increases and that as a result, the specific
glucose uptake rate decreases in the stationary phase because of the increased
flux of the TCA cycle. Since it is expected that a decrease in the NADH/NAD(+)
ratio would improve the TGase yield, we tried to enhance lactate production in a
TGase-secreting C. glutamicum strain to decrease cellular NADH levels by
increasing the pH level in the culture. The TGase yield increased in 1.4-fold by
increasing the pH from 6.7 to 7.2, indicating that the TGase yield was
successfully improved on the basis of the (13)C-MFA.
PMID- 21903469
TI - Isolation and characterization of a new dimeric esterase from Lactobacillus sakei
23K.
AB - The M(w) of a Lactobacillus sakei intracellular esterase, determined by gel
filtration, was compared to those obtained from SDS-PAGE or MALDI-TOF, pointing
to a dimeric structure. Its N-terminal sequence and peptide mass fingerprint
suggest that it is the putative LSA044 protein from L. sakei 23K genome.
PMID- 21903470
TI - Development of an efficient gene-targeting system in Aspergillus luchuensis by
deletion of the non-homologous end joining system.
AB - The industrial fungus Aspergillus luchuensis is used to produce a distilled
spirit in Okinawa Island, Japan. Recently, the genome sequence of A. luchuensis
RIB2604 (Aspergillus awamori NBRC 4314) was revealed and many functional genes
are now expected to be analyzed. Gene targeting is necessary for analyzing the
function of a gene; however, gene targeting frequencies in A. luchuensis are very
low. To develop a highly efficient gene-targeting system for A. luchuensis, we
disrupted A. luchuensis ligD (ALligD) encoding the human DNA ligase IV (ligIV)
homologue using an Agrobacterium mediated gene transformation method. Deletion of
ALligD dramatically improved homologous recombination efficiency (reached 100%)
compared to that in the wild-type strain (0.8%), when 1000-bp homologous flanking
regions were used. The ALligD disruptant showed no apparent defect in vegetative
growth, and it exhibited increased sensitivity to phleomycin and high methyl
methanesulphonate concentrations compared to the wild-type strain. Furthermore,
using this ALligD disruptant, we disrupted ALpksP encoding an Aspergillus
fumigatus polyketide synthase P (alb1/pksP) orthologue. The ALpksP disruptant
displayed a decolourized conidial phenotype. This result indicated that ALpksP is
a key factor for conidial black pigmentation in A. luchuensis. Our results
indicate that the ALligD mutant is an efficient host for targeted gene disruption
in A. luchuensis.
PMID- 21903471
TI - Sequential chemotherapy for colorectal cancer.
PMID- 21903472
TI - Implications of rarity of chromosome 17 polysomy in breast cancer.
PMID- 21903474
TI - Update on antibacterial and antifungal drugs - can we master the resistance
crisis?
PMID- 21903473
TI - Sequential versus combination chemotherapy for the treatment of advanced
colorectal cancer (FFCD 2000-05): an open-label, randomised, phase 3 trial.
AB - BACKGROUND: The optimum use of cytotoxic drugs for advanced colorectal cancer has
not been defined. Our aim was to investigate whether combination treatment is
better than the sequential administration of the same drugs in patients with
advanced colorectal cancer. METHODS: In this open-label, randomised, phase 3
trial, we randomly assigned patients (1:1 ratio) with advanced, measurable, non
resectable colorectal cancer and WHO performance status 0-2 to receive either
first-line treatment with bolus (400 mg/m(2)) and infusional (2400 mg/m(2))
fluorouracil plus leucovorin (400 mg/m(2)) (simplified LV5FU2 regimen), second
line LV5FU2 plus oxaliplatin (100 mg/m(2)) (FOLFOX6), and third-line LV5FU2 plus
irinotecan (180 mg/m(2)) (FOLFIRI) or first-line FOLFOX6 and second-line FOLFIRI.
Chemotherapy was administered every 2 weeks. Randomisation was done centrally
using minimisation (minimisation factors were WHO performance status, previous
adjuvant chemotherapy, number of disease sites, and centre). The primary endpoint
was progression-free survival after two lines of treatment. Analyses were by
intention-to-treat. This trial is registered at ClinicalTrials.gov, NCT00126256.
FINDINGS: 205 patients were randomly assigned to the sequential group and 205 to
the combination group. 161 (79%) patients in the sequential group and 161 (79%)
in the combination group died during the study. Median progression-free survival
after two lines was 10.5 months (95% CI 9.6-11.5) in the sequential group and
10.3 months (9.0-11.9) in the combination group (hazard ratio 0.95, 95% CI 0.77
1.16; p=0.61). All six deaths caused by toxic effects of treatment occurred in
the combination group. During first-line chemotherapy, significantly fewer severe
(grade 3-4) haematological adverse events (12 events in 203 patients in
sequential group vs 83 events in 203 patients in combination group; p<0.0001) and
non-haematological adverse events (26 events vs 186 events; p<0.0001) occurred in
the sequential group than in the combination group. INTERPRETATION: Upfront
combination chemotherapy is more toxic and is not more effective than the
sequential use of the same cytotoxic drugs in patients with advanced, non
resectable colorectal cancer. FUNDING: Sanofi-Aventis France.
PMID- 21903475
TI - Thrombotic thrombocytopenic purpura precipitated by acute pancreatitis.
AB - A 20 year old woman, admitted with acute pancreatitis, subsequently developed
microangiopathic haemolytic anaemia, thrombocytopenia and mild neurological
compromise. A diagnosis of thrombotic thrombocytopenic purpura (TTP) was made,
and she was treated with plasma exchange leading to complete resolution of this
condition. TTP is a rare multisystem disorder which may be life threatening if
not treated promptly. The increasing recognition of acute pancreatitis as a
potential aetiological factor offers new insights into the pathogenesis,
diagnosis and treatment of TTP.
PMID- 21903476
TI - Analyses of data of patients with Thrombotic Microangiopathy in the WAA registry.
AB - Thrombotic Microangiopathy (TMA) is a histopathological feature of various
diseases including thrombotic thrombocytopenic purpura and hemolytic uremic
syndrome. The aim of this study was to investigate the outcome and prognostic
variables of TMA-patients. MATERIALS AND METHODS: Data were consecutively
retrieved from the WAA-apheresis registry (www.waa-registry.org) during 2003
2009. Included were all 120 patients (1237 procedures) who suffered from various
forms of TMA, as registered by the ICD-10 code M31.1. Besides registry data, more
extensive information was retrieved from the latest 64 patients. Adverse events
of the TMA patients were compared to those of the other patients in the registry.
RESULTS: The mean age was 46 years (range 11-85 years, 57% women). In 72%
therapeutic apheresis was due to an acute indication while a long-term indication
was present in 28%. Plasma exchange was performed by centrifugation and
filtration technique (95% and 4%, respectively), and immunoadsorption in 1% of
the patients. Only fresh frozen plasma was used as replacement fluid in 69% of
procedures. Adverse events were more frequent than in the general apheresis
population (10% versus 5%, RR 1.9, CI 1.6-2.3). No death occurred due to
apheresis treatment. Three percent of the procedures were interrupted.
Bronchospasm and/or anaphylactic shock were present in two patients and one
patient suffered from TRALI. At admission 26% were bedridden and needed to be
fed. The risk of dying during the treatment period was significantly higher if
the patient also suffered from a compromising disease, such as cancer. There was
an inverse correlation between the ADAMTS13 level and the antibody titer (r=
0.47, p=0.034). CONCLUSIONS: Patients with TMA have an increased risk for
moderate and severe AE compared to the general apheresis population. Many
patients were severely ill at admission. The prognosis is worse if the patient
also has a severe chronic disease. Even slightly increased ADAMTS13-antibody
titers seem to have a negative impact on the ADAMTS13 levels.
PMID- 21903477
TI - Suboccipital steroid injections for transitional treatment of patients with more
than two cluster headache attacks per day: a randomised, double-blind, placebo
controlled trial.
AB - BACKGROUND: Suboccipital steroid injections can be used for preventive treatment
of cluster headache but few data are available for the efficacy of this approach
in clinical trials. We aimed to assess efficacy and safety of repeated
suboccipital injections with cortivazol compared with placebo as add-on therapy
in patients having frequent daily attacks. METHODS: In our randomised, double
blind, placebo-controlled trial at the Emergency Headache Centre in Paris,
France, we enrolled adults aged 18-65 years with more than two cluster headache
attacks per day. We randomly allocated patients to receive three suboccipital
injections (48-72 h apart) of cortivazol 3.75 mg or placebo, as add-on treatment
to oral verapamil in patients with episodic cluster headache and as add-on
prophylaxis for those with chronic cluster headache, on the basis of a computer
generated list (blocks of four for each stratum). Injections were done by
physicians who were aware of treatment allocation, but patients and the
evaluating physician were masked to allocation. The primary outcome was reduction
of the number of daily attacks to a mean of two or fewer in the 72 h period 2-4
days after the third injection. We assessed all patients who received at least
one dose of study drug in the intention-to-treat analysis. This study is
registered with ClinicalTrials.gov, number NCT00804895. FINDINGS: Between
November, 2008, and July, 2009, we randomly allocated 43 patients (15 with
chronic and 28 with episodic cluster headache) to receive cortivazol or placebo.
20 of 21 patients who received cortivazol had a mean of two or fewer daily
attacks after injections compared with 12 of 22 controls (odds ratio 14.5, 95% CI
1.8-116.9; p=0.012). Patients who received cortivazol also had fewer attacks
(mean 10.6, 95% CI 1.4-19.9) in the first 15 days of study than did controls
(30.3, 21.4-39.3; mean difference 19.7, 6.8-32.6; p=0.004). We noted no serious
adverse events, and 32 (74%) of 43 patients had other adverse events (18 of 21
patients who received cortivazol and 14 of 22 controls; p=0.162); the most common
adverse events were injection-site neck pain and non-cluster headache.
INTERPRETATION: Suboccipital cortivazol injections can relieve cluster headaches
rapidly in patients having frequent daily attacks, irrespective of type (chronic
or episodic). Safety and tolerability need to be confirmed in larger studies.
FUNDING: None.
PMID- 21903478
TI - Suboccipital steroid injections for cluster headache.
PMID- 21903479
TI - A network of regulatory pathways in lepromatous leprosy.
PMID- 21903480
TI - Blockade of the HER family of receptors in the treatment of HER2-positive
metastatic breast cancer.
AB - Breast cancer is the most common type of cancer among women and the second
leading cause of cancer death in the United States. Metastatic breast cancer is
considered incurable, and treatment is aimed at palliating symptoms, achieving
remission, and prolonging survival. Treatment options for metastatic disease vary
based on tumor surface markers and clinical factors in an individual patient and
include cytotoxic chemotherapy, hormonal therapy, biological therapy, or some
combination of these. An important molecular determinant of therapy is the human
epidermal growth factor receptor 2 (HER2) positivity of the tumor, which affects
response to HER2-targeted treatment. HER2 is a member of the human epidermal
growth factor receptor family of receptor tyrosine kinases, also known as the HER
family, which activates signaling that promotes tumorigenic cellular processes
such as proliferation and evasion of apoptosis. Several targeted agents,
including monoclonal antibodies and tyrosine kinase inhibitors that inhibit one
or more HER family receptors have been developed that affect signaling through
this pathway. Some of these, such as trastuzumab and lapatinib, have been
approved for breast cancer treatment. Resistance to therapy is a challenge that
limits the duration of benefit achieved with these agents. Therefore,
combinations of HER family-targeted agents with other therapies such as cytotoxic
agents, hormonal therapy, or inhibitors of other cellular pathways, are being
developed to exploit synergy and overcome resistance mechanisms. Here we review
the HER family-targeted agents currently approved or in development for HER2
positive metastatic breast cancer with a focus on strategies to overcome tumor
resistance.
PMID- 21903481
TI - Menopausal state in breast cancer: how reliable is the data?
AB - Despite the high importance of the menopausal state for the management of breast
cancer, above all, when planning antihormonal adjuvant therapy, the menopausal
state cannot be defined at the time of diagnosis ina significant proportion of
women. The scope of uncertainties regarding the recording of the menopausal state
in a cohort of patients with breast cancer is evaluated. INTRODUCTION: Menopause
is a cornerstone both in breast cancer (BC) pathophysiology and in clinical
management. The scope of uncertainties regarding the recording of the menopausal
state in a cohort of patients with BC is evaluated in this study. PATIENTS AND
METHODS: The data of a Swiss prospective relational BC database that covered a 20
year period (1990-2009; n=1457) was analyzed. For the definition of menopause,
the guidelines of the National Comprehensive Cancer Network were used. RESULTS:
The menopausal state was unclear in 150 patients (10.2%). Of these, 122 (81.3%)
had undergone a hysterectomy before menopause; in 28 women (18.7%), an endocrine
therapy obscured the patient's actual endocrine status. When taking only the
subgroup of women in which menopause usually occurs (45-55 years) into
consideration, the menopausal state was unclear in 91 cases of 337 women (27.0%).
From the entire cohort, the date of last menstruation remained obscure in 450
patients (30.9%). CONCLUSION: Despite the high importance of the menopausal state
for the management of BC, above all, when planning antihormonal adjuvant therapy,
the menopausal state was unable to be defined at the time of BC diagnosis in a
significant proportion of women. The dilemma that menopause cannot be assessed in
some BC cases is increasingly being recognized. Close cooperation between
oncologists and endocrinologists is desirable to establish an optimal,
individually tailored therapy for women with an unclear menopausal state due to
hormonal therapies, hysterectomy, or chemotherapy.
PMID- 21903482
TI - Percutaneous placement of pedicle screws in overweight and obese patients.
AB - BACKGROUND CONTEXT: In obese patients, placing pedicle screws percutaneously is a
particular challenge. As the bulky and thick configuration of obese patients may
produce fuzzier fluoroscopic view and longer passage of surgical instruments, the
chances of misplacement might increase. PURPOSE: This study was designed to
evaluate the effect of patient's body habitus on the incidence of percutaneous
pedicle screw misplacements. STUDY DESIGN/SETTING: A retrospective study with
prospectively collecting data. PATIENT SAMPLE: Three hundred seventy percutaneous
pedicle screws for minimally invasive lumbar spinal fusion surgery were noted in
89 consecutive patients. OUTCOME MEASURES: The position and direction of screws
to pedicle were evaluated using the findings in computed tomography (CT) scan
with the following grading method: Grade A, completely in the range without
pedicle cortex violation; Grade B, pedicle wall violation <2 mm; Grade C, pedicle
wall violation 2 to 4 mm; and Grade D, pedicle wall violation >4 mm. The
direction of violation was grouped as medial, lateral, cranial, and caudal.
METHODS: Two independent observers retrospectively examined all of the
postoperative CT images. All screws were assigned into one of the following three
groups along with patient's body mass index (BMI): 157 screws (38 patients) in
normal weight (BMI<25) group; 124 (29) in overweight (25<=BMI<30) group; and 89
(22) in obese (BMI>=30) group. A pedicle screw was considered misplaced if the
grade was defined as B, C, and D. Multivariate logistic regression analyses were
performed to evaluate the association between screw misplacements and BMI.
RESULTS: Sixty-two screws (16.8%) were misplaced with the majority of Grade B
(72.6%, 45/62) and lateral direction (72.6%, 45/62). Twenty-eight screws (22.6%,
28/124) were misplaced in overweight group, 12 (13.5%, 12/89) in obese group, and
22 (14.0%, 22/157) in normal weight group. Two symptomatic pedicle violations
were noted with Grade D: a caudal violation was found in overweight group, which
happened in the third case of surgeon's series; a medial misplacement, which was
occurred in the 29th case, was noticed in obese group. There was no statistically
significant association of pedicle violations along with patient's BMI (odds
ratio [OR]=1.00, 95% confidence interval [CI]=0.94-1.07, p=.99). Moreover, no
other factors, such as patient's age, gender, preoperative diagnosis, number of
the fused segments, and year of the surgery, had a statistically significant
relationship with pedicle violations. On the contrary, pedicle violations
observed approximately five times more frequently at the level of L3 (47.1%,
8/17) and L4 (28.8%, 36/125) rather than L5 (10.1%, 16/158) and S1 (2.9%, 2/70)
(OR=4.95, 95% CI=2.62-9.33, p<.0001). CONCLUSIONS: Although symptomatic pedicle
violations were noted in the earlier period of surgeon's learning curve and in
overweight and obese patients, no statistical evidence could be found between
patient's body habitus and percutaneous pedicle screw misplacement. Our data also
suggest that greater caution should be exercised to avoid pedicle violations
especially at L3 and L4.
PMID- 21903483
TI - The relationship between psychological factors and performance on the Biering
Sorensen back muscle endurance test.
AB - BACKGROUND CONTEXT: Many studies report an association between low back pain
(LBP) and reduced back muscle endurance and consider this to indicate muscular
dysfunction. However, few have investigated the potentially confounding influence
of psychological factors on performance during such endurance tests. PURPOSE:
This study examined whether psychological factors were associated with
"underperformance" on the Biering-Sorensen (BS) test (ie, not performing as well
as one is physiologically capable of). STUDY DESIGN/SETTING: Cross-sectional
study of the baseline data of patients with chronic (>3 months) nonspecific LBP
(cLBP) before participation in a clinical trial of exercise therapy. PATIENT
SAMPLE: One hundred forty-eight patients with cLBP (43% men; age, 45+/-10 years).
OUTCOME MEASURES: The time for which the modified BS isometric endurance test
could be performed to exhaustion minus the time that would have been predicted
based on the rate of decline in median frequency of the surface electromyographic
(EMG) signal recorded bilaterally from the erector spinae at L3 and L5. METHODS:
Back pain and disability, psychological disturbance, catastrophizing, fear
avoidance beliefs, back beliefs, and exercise self-efficacy were measured using
validated questionnaires. Patients performed the BS test to exhaustion while
physiological muscle fatigability was measured from continuous surface EMG
recordings. RESULTS: Multivariable regression analysis controlling for gender
revealed that greater psychological disturbance (p=.003) and more negative back
beliefs (p=.015) were unique predictors of the extent of "underperformance,"
accounting for 22.3% variance in expected endurance time minus actual time.
CONCLUSIONS: It is important that the underlying nature (psychological or
physiological) of performance deficits be identified during such tests because
this may influence the interpretation of prospective studies reporting risk
factors for LBP and dictate the particular treatment or interventional approach
required to remedy the situation in individuals with LBP.
PMID- 21903484
TI - Development of novel targeted agents in the treatment of metastatic colorectal
cancer.
AB - Colorectal cancer (CRC) remains the second most common cause of cancer death and
third most common form of cancer in men and women. Although the incidence and
mortality of CRC have been declining over the past 2 decades in the United
States, nearly 140,000 new cases and 51,000 deaths were still estimated to occur
in 2010.(1) These decreases have resulted primarily from earlier detection of
premalignant colorectal polyps through CRC screening and to a lesser degree from
adjuvant chemotherapy. Four cytotoxic chemotherapy drugs and 3 biologic agents
have been approved by the US Food and Drug Administration (FDA) for CRC, but the
contribution of each has been incremental and long-term survival of advanced
disease remains poor. In addition, optimal populations for treatment with these
agents remain to be fully characterized. Although the field of drug development
in CRC was stagnant during a period of numerous large trials with approved
agents, multiple new agents are currently in development. This review discusses
molecular pathways and novel targeted agents in the treatment of CRC.
PMID- 21903485
TI - Outcome of second-line treatment after first-line chemotherapy with the GONO
FOLFOXIRI regimen.
AB - PURPOSE: FOLFOXIRI demonstrated higher efficacy compared to 5-fluorouracil,
leucovorin, irinotecan (FOLFIRI) as first-line treatment of metastatic colorectal
cancer. We evaluated the outcome of second-line treatments among 196 patients
treated with first-line FOLFOXIRI in three consecutive trials conducted by the
Gruppo Oncologico Nord Ovest group. PATIENTS AND METHODS: One hundred seventy-two
of 196 patients so far progressed and 136 (79%) received second-line therapies:
32 (24%) were rechallenged with FOLFOXIRI, 52 (38%) were treated with irinotecan-
or oxaliplatin-based doublets, and 52 (38%) received fluoropyrimidine plus
mytomicin C or single-agent chemotherapy. Only 10 patients received bevacizumab
(3) or cetuximab (7) with chemotherapy. Activity and efficacy data were collected
and subgroup analyses were performed according to the regimen administered.
RESULTS: Overall response rate (RR) was 23%; median progression-free survival
(PFS) and overall survival (OS) were 5.9 and 13.2 months, respectively. At an
exploratory subgroup analysis, retreatment with FOLFOXIRI was associated with
longer PFS (8.2 versus 6.3 months; P = .003, hazard ratio [HR] = 0.61) and OS
(19.3 versus 14.0 months; P = .02, HR = 0.57) compared with doublets; single
agent chemotherapy or fluoropyrimidine plus mytomicin C was significantly lower
in terms of RR (8%), PFS (3.0 months), and OS (8.7 months) compared with
FOLFOXIRI or doublets. CONCLUSIONS: First-line FOLFOXIRI does not impair the
efficacy of second-line treatments. In some patients rechallenge with FOLFOXIRI
may represent a valid option, although potential imbalances in prognostic factors
due to better patient selection should be considered.
PMID- 21903486
TI - Reduction of treatment volume and radiation doses to surrounding tissues with
intraprostatic gold markers in prostate cancer radiotherapy.
AB - BACKGROUND: High-precision radiotherapy with gold marker implantation is a
standard technique for prostate cancer treatment. To provide insight into the
beneficial effect of gold markers, the influence on treatment volume and
radiation doses to healthy tissues was investigated. PATIENTS AND METHODS: Three
consecutive treatment margins were constructed, for 10 patients with localized
prostate cancer, to show the reduction of planning target volume (PTV): PTV 10 mm
(no markers), PTV 7 mm (markers), and PTV 7/5 mm (markers and online correction).
On planning computed tomography (CT) scan, the prostate, bladder, rectal wall,
and anal canal were contoured. The treatment volume and radiation doses to
surrounding organs were calculated. In 65 patients, with the online protocol and
gold markers, late toxicity was evaluated. RESULTS: With gold markers a
significant PTV reduction of 27% was achieved (P < .001). Subsequently, radiation
dose reductions to the mean of 17% (+/- 4.5%) to the bladder, 19% (+/- 4.7%) to
the anal canal, and 12% (+/- 3%) to the rectal wall, respectively were seen (P <
.001). With 5-mm posterior margins an additional PTV reduction of 3.7% (P < .001)
and total radiation dose reduction to the mean of 24% (+/- 4%), and 16% (+/-
4.5%) to anal canal and rectal wall, respectively were seen (P < .001). Late
Grade 1-2 genitourinary and gastrointestinal toxicity was seen in 32%, and 33%,
respectively. Grade 3 toxicity was less than 10%. CONCLUSIONS: This study showed
a significant reduction of treatment volume and radiation doses to healthy
tissues with intraprostatic gold markers.
PMID- 21903487
TI - Novel conductometric biosensor based on three-enzyme system for selective
determination of heavy metal ions.
AB - A differential pair of planar thin-film interdigitated electrodes, deposited on a
ceramic pad, was used as a conductometric transducer. The three-enzyme system
(invertase, mutarotase, glucose oxidase), immobilized on the transducer surface,
was used as a bioselective element. The ratio between enzymes in the membrane was
found experimentally considering the highest biosensor sensitivity to substrate
(sucrose) and heavy metal ions. Optimal concentration of sucrose for inhibitory
analysis was 1.25 mM and incubation time in the investigated solution amounted to
10-20 min. The developed biosensor demonstrated the best sensitivity toward ions
Hg(2+) and Ag(+). A principal possibility of the biosensor reactivation either by
EDTA solution after inhibition with silver ions or by cysteine solution after
inhibition with mercury ions was shown.
PMID- 21903488
TI - Simultaneous UFLC-ESI-MS/MS determination of piperine and piperlonguminine in rat
plasma after oral administration of alkaloids from Piper longum L.: application
to pharmacokinetic studies in rats.
AB - The alkaloids from Piper longum L. showed protective effects on Parkinson's
disease models in our previous study and piperine and piperlonguminine were the
two main constituents in the alkaloids. The present study aimed at developing a
rapid, sensitive, and accurate UFLC-ESI-MS/MS method and validating it for the
simultaneous determination of piperine and piperlonguminine in rat plasma using
terfenadine as the internal standard. The analytes and internal standard (IS)
were extracted from rat plasma using a simple protein precipitation by adding
methanol/acetonitrile (1:1, v/v). A Phenomenex Gemini 3 u C18 column (20 mm *
2.00 mm, 3 MUm) was used to separate the analytes and IS using a gradient mode
system with a mobile phase consisting of water with 0.1% formic acid (mobile
phase A) and acetonitrile with 0.1% formic acid (mobile phase B) at a flow rate
of 0.4 mL/min and an operating column temperature of 25 degrees C. The total
analytical run time was 4 min. The detection was performed using the positive ion
electrospray ionization (ESI) in multiple reaction monitoring (MRM) mode with
transitions at m/z 286.1-201.1 for piperine, m/z 274.0-201.1 for
piperlonguminine, and m/z 472.4-436.4 for the IS. The calibration curves were
both linear (r>0.995) over a concentration range of 1.0 to 1000 ng/mL; the lower
limit of quantification (LLOQ) was 1.0 ng/mL for both piperine and
piperlonguminine. The intra-day and inter-day precisions (RSD %) were <12.1%,
accuracies ranged from 86.6 to 120%, and recoveries ranged from 90.4 to 108%. The
analytes were proven stable in the short-term, long-term, and after three freeze
thaw cycles. The method was successfully applied to pharmacokinetic studies of
piperine and piperlonguminine in rats after oral administration of alkaloids from
P. longum L.
PMID- 21903489
TI - High-throughput comprehensive analysis of D- and L-amino acids using ultra-high
performance liquid chromatography with a circular dichroism (CD) detector and its
application to food samples.
AB - A rapid and comprehensive analytical method for D- and L-enantiomers of
proteinogenic amino acids was developed using ultra-high performance liquid
chromatography (UHPLC) equipped with a circular dichroism (CD) detector. Pre
column derivatization reagents were examined for enhanced sensitivity and
selectivity for UV and CD detection: 4-fluoro-7-nitro-2,1,3-benzoxadiazole (NBD
F) was selected. The method, using a CD detector, does not require separation of
optical isomers on a column to calculate the enantio ratio (%D) using the g
factor value and produces a simple chromatogram in comparison to other reported
methods. Using this advantage, combined with UHPLC technology, analysis time for
the derivatized proteinogenic amino acids was within 5.5 min. The UV detection
limit was 4.9-23 pmol/injection and the CD detection limit was 11-64
pmol/injection. The method was applied to the analysis of D- and L-amino acids in
food samples. D-Ala, D-Asp, D-Glu and D-Ser were detected at high concentrations
in some Japanese black vinegars, fermented milks and yogurts. The results were
identical to the results determined by the OPA method. We suggest the UHPLC-CD
method would be useful in screening the D-amino acid content of foods and in
helping to clarify the importance and reason for the presence of D-amino acids in
foods.
PMID- 21903490
TI - An LC-MS/MS assay to determine plasma pharmacokinetics of cyclic thymic
hexapeptide (cTP6) in rhesus monkeys.
AB - A robust and simple method for absolute quantification of a novel bidirectional
immunomodulatory drug candidate, cyclic thymic hexapeptide (cTP6), in rhesus
monkey plasma was developed and validated by liquid chromatography coupled with
tandem mass spectrometry (LC-MS/MS). Plasma proteins were precipitated by adding
four volumes of acetonitrile. Peptides in the supernatant were separated by
liquid chromatography on an Agilent Zorbax Eclipse Plus-C18 chromatographic
column with gradient elution using 0.1% formic acid in water (mobile phase A) and
0.1% formic acid in methanol (mobile phase B) at 0.2 mL/min. The analytes were
identified by triple quadrupole mass spectrometry in positive ion-mode. The assay
was linear over a concentration range of 10-5000 ng/mL for cTP6, with a lower
limit of quantification (LLOQ) of 10 ng/mL. Intra- and inter-day precision of the
assay at three concentrations were 1.51-7.70% with accuracy of 95.1-104.2%. The
average recovery of cTP6 for three concentration levels was 59.6-64.0%. No
significant matrix effect was observed. Peptide cTP6 was detected in plasma of
live rhesus monkeys up to 6-8h after intra-muscular injection. The half-life was
2.24-2.95 h. The result revealed a nonlinear pharmacokinetic response to
increasing doses of cTP6 (100, 200, 500 MUg/kg). For the multiple dose study of
cTP6, the drug did not accumulate during daily administration at 100 MUg/kg for 7
consecutive days in rhesus monkeys.
PMID- 21903491
TI - [The Spanish Paediatric Association ethical framework: a commitment to the ethics
of organizations].
PMID- 21903492
TI - Perinatal infection, inflammation, and retinopathy of prematurity.
AB - The major known risk factors for retinopathy of prematurity (ROP) are extremely
low gestational age, exposure to high levels of oxygen early after birth (phase
I) and relatively lower oxygen levels later (phase II). In this review, we
summarize recent data suggesting that exposure to perinatal
infection/inflammation is associated with an increased risk for ROP. Part of this
effect might be due to direct exposure of the developing retina to circulating
products of infection and/or inflammation. Another potential mechanism that
deserves exploration is that inflammation and/or oxidative stress can modify the
known increased risk of oxygen-associated ROP. Taken together, accumulating
evidence suggests that prenatal, perinatal, and postnatal systemic inflammation
contribute to a 'pre-phase', sensitizing the pre-ROP retina for subsequent
insults, setting the stage for what are now called phase I and phase II of ROP
pathogenesis. Strategies targeting inflammatory responses might help reduce the
risk for ROP in extremely low gestational age newborns.
PMID- 21903493
TI - A prospective study on non-surgical correction of protruding ears: the importance
of early treatment.
AB - OBJECTIVES: Splinting is an elegant method to correct ear deformities in the
newborn. However evidence is lacking on the relation between age and efficacy and
duration of the treatment. We prospectively studied these questions on protruding
ears in 132 babies. METHODS: A splint in the scaphal hollow was used in
combination with tape (Earbuddies((r))). Treatment continued until the desired
shape persisted. Results were judged from photographs and mastoid-helical
distance was measured. RESULTS: In 132 babies 209 ears were treated. Twenty-four
patients had no follow-up, 27 stopped therapy for skin irritation and fixation
problems. In the remaining patients results were good in 28%, fair in 36%, poor
in 36%. Efficacy deteriorates with age; with fair or good results in 66.7% if
therapy started before the sixth week. Older children needed to be splinted
longer. The anti-helical fold was easier corrected than a deep concha (correction
in 69.8% versus 26.8%). CONCLUSIONS: Considering splinting therapy for protruding
ears, a reasonable chance of success can only be offered to parents of children
up to six weeks of age. It is favorable if the deformity is mainly due to a flat
antihelix.
PMID- 21903494
TI - Effect of eliminating the residual fluoride gel on titanium bracket corrosion.
AB - INTRODUCTION: Fluoride ions, in long-term applications on titanium brackets,
cause their corrosion. Fluoride gel used for caries prevention during orthodontic
treatment has a very high concentration in fluoride ions, and therefore has the
potential for causing bracket corrosion. The main aim of this study was to
determine the effect of eliminating the residual fluoride gel, by rinsing it, on
the corrosion of titanium brackets. The secondary aim was to evaluate the
corrosion of titanium brackets in the presence of fluoride gel. METHODS: One
hundred titanium brackets were divided into five groups of 20 brackets each.
Group 1 being the control group, the rest of the groups were immersed in fluoride
gel: Group 2 for 4 minutes and kept for 30 minutes with the residual fluoride gel
on; Group 3 for 4 minutes followed by immediate water rinsing; Group 4 for 12
minutes and kept for 90 minutes with the residual fluoride gel on and Group 5 for
12 minutes followed by immediate water rinsing. All groups were rinsed then
dried, for 20 hours, using Silica gel in a desiccator maintained at 37 degrees C
before testing. RESULTS: Gravimetrical results and SEM analysis showed no
significant difference between Groups 2, 3 and 5 compared to each other and to
the control group. Only Group 4 showed significant weight loss and pitting
corrosion in four of the 20 brackets. In sliding resistance, no significant
difference was detected between any of the groups. CONCLUSIONS: Short time
applications of fluoride gel do not affect sliding resistance of titanium
brackets. No titanium corrosion was detected for one application of concentrated
fluoride gel and some brackets showed pitting corrosion for three applications.
The rinsing of residual fluoride gel eliminates completely the risk of bracket
corrosion.
PMID- 21903496
TI - Women's perceptions of their healthcare experience when they choose not to
breastfeed.
AB - RESEARCH QUESTION: How do women who choose not to breastfeed perceive their
healthcare experience? METHOD: This qualitative research study used a
phenomenographic approach to explore the healthcare experience of women who do
not breastfeed. Seven women were interviewed about their healthcare experience
relating to their choice of feeding, approximately 4 weeks after giving birth.
Six conceptions were identified and an outcome space was developed to demonstrate
the relationships and meaning of the conceptions in a visual format. FINDINGS:
There were five unmet needs identified by the participants during this study.
These needs included equity, self sufficiency, support, education and the need
not to feel pressured. CONCLUSION: Women in this study who chose not to
breastfeed identified important areas where they felt that their needs were not
met. In keeping with the Code of Ethics for Nurses and Midwives, the identified
needs of women who do not breastfeed must be addressed in a caring, compassionate
and just manner. The care and education of women who formula feed should be of
the highest standard possible, even if the choice not to breastfeed is not the
preferred choice of healthcare professionals.
PMID- 21903497
TI - Typing of 30 insertion/deletions in Danes using the first commercial indel kit-
Mentype(r) DIPplex.
AB - In this study, we tested the first commercial kit with insertion/deletion (indel)
polymorphisms, the Mentype((r)) DIPplex PCR Amplification Kit (DIPplex kit). A
total of 30 biallelic autosomal indels and Amelogenin were amplified with the
DIPplex kit. All loci were amplified in one PCR multiplex and all amplicon
lengths were shorter than 160 bp. Full indel profiles were generated from as
little as 100 pg of DNA. A total of 117 individuals from Danish paternity cases
were successfully typed. No deviation from Hardy-Weinberg equilibrium was
observed for any of the indels. The combined mean match probability was 3.3 * 10(
13), the mean paternity exclusion probability was 99.7% and the typical paternity
indices for trios and duos were 2350 and 165, respectively. Furthermore, we typed
five highly degraded DNA samples with the DIPplex kit, the AmpFlSTR((r)) SGM Plus
kit and the AmpFlSTR((r)) SEfiler Plus kit. Full indel profiles were obtained
with the DIPplex kit, whereas only partial profiles were obtained with the STR
kits. In general, the DIPplex kit performed well and it would be a valuable assay
for forensic genetic testing, especially in crime cases with partially degraded
DNA or low amounts of template DNA. However, some difficulties with pull-ups were
observed at DNA concentrations of 1000 pg. Rearrangement of the allele windows by
changing the lengths of some of the PCR primers would greatly improve the assay,
and more robustness towards higher amounts of DNA would allow the use of the
DIPplex kit without prior quantification of the samples.
PMID- 21903498
TI - A model for data analysis of microRNA expression in forensic body fluid
identification.
AB - MicroRNAs (miRNAs, 18-25 bases in length) are small, non-coding RNAs that
regulate gene expression at the post-transcriptional level. MiRNA expression
patterns, including presence and relative abundance of particular miRNA species,
provide cell- and tissue-specific information that can be used for body fluid
identification. Recently, two published studies reported that a number of body
fluid-specific miRNAs had been identified. However, the results were inconsistent
when different technology platforms and statistical methods were applied. To
further study the role of miRNAs in identification of body fluids, this study
sets out to develop an accurate and reliable model for data analysis of miRNA
expression. To that end, the relative expression levels of three miRNAs were
studied using the mirVanaTM miRNA Isolation Kit, high-specificity stem-loop
reverse transcription (RT) and high-sensitivity hydrolysis probes (TaqMan)
quantitative real-time polymerase chain reaction (qPCR) in forensically relevant
biological fluids, including venous blood, vaginal secretions, menstrual blood,
semen and saliva. Accurate quantification of miRNAs requires not only a highly
sensitive and specific detection platform for experiment operation, but also a
reproducible methodology with an adequate model for data analysis. In our study,
the efficiency-calibrated model that incorporated the impact of the
quantification cycle (Cq) values and PCR efficiencies of target and reference
genes was developed to calculate the relative expression ratio of miRNAs in
forensically relevant body fluids. Our results showed that venous blood was
distinguished from other body fluids according to the relative expression ratio
of miR16 using as little as 50pg of total RNA, while the expression level of
miR658 was unstable and that of miR205 was nonspecific among different body
fluids. Collectively, the findings may constitute a basis for future miRNA-based
research on body fluid identification and show miRNAs as a promising biomarker in
forensic identification of body fluids.
PMID- 21903499
TI - Residual inferior glenohumeral instability after arthroscopic Bankart repair:
radiological evaluation and functional results.
AB - INTRODUCTION: Treatment of anteroinferior shoulder instability by arthroscopy
must restore stability while preserving joint motion. Inferior glenohumeral
ligament (IGHL) laxity is an important parameter in the pathomechanism of this
condition. The goals of this study is to use the Shoulder HyperAbduction Test
(SHART) radiological test to quantify the tension in the IGHL following surgery
and look for an eventual correlation between ligament tightening and joint
motion. METHODS: This was a single-center, retrospective study that included
patients with unilateral anteroinferior instability who were treated with an
arthroscopic Bankart repair between September 2004 and December 2005. These
patients did not experience any recurrence within a follow-up period of at least
2 years. Twenty-three patients were followed-up. This series included 16 men and
seven women with an average age of 29.7+/-12 years. The average Instability
Severity Index Score (ISIS) was 1.7+/-1.3 (from 0 to 3). The main outcome
measures were the bilateral SHART test at the last follow-up visit and bilateral
joint range of motion, before surgery and at the last follow-up. RESULTS: The
follow-up was 3.3 years on average. The average SHART on the operated side
(116.9+/-11.7 degrees ) was lower than the healthy side (121.9+/-9.6 degrees ),
P=0.01. A difference of less than 4 degrees was statistically related to loss of
external rotation, with an odds ratio of 16, P=0.03. At the last follow-up, the
average Walch-Duplay functional score was 72.4+/-13.8/100 and the Rowe score
90.2+/-11.6/100. DISCUSSION: It is difficult to achieve a perfect compromise
between shoulder stability and joint motion. Progress in arthroscopy technique
has led to a greater demand for the tightening of capsulo-labral and ligament
structures. An arthroscopic Bankart repair provides IGHL tightening that is
quantifiable with the SHART. External rotation is reduced when excessive
tightening is made.
PMID- 21903500
TI - Trochanteric locking nail versus arthroplasty in unstable intertrochanteric
fracture in patients aged over 75 years.
AB - INTRODUCTION: In trochanteric fracture, whatever its anatomic type, internal
fixation is currently the standard attitude, with arthroplasty as a relatively
unusual option. HYPOTHESIS: Hip implants are an excellent alternative to
osteosynthesis in unstable trochanteric fracture in patients aged over 75 years.
PATIENTS AND METHODS: A non-randomised prospective multicenter study compared
osteosynthesis by trochanteric nailing (n=113) to hip arthroplasty (n=134) in
unstable trochanteric fracture (AO types 31 A2.2 and 3 and A3.3) in 247 patients
over the age of 75 years. The series was recruited during 2007 in seven centres,
four of which included only arthroplasties, two only osteosyntheses and one both.
The two groups were comparable in age, sex, preoperative Parker score, pre
fracture place of residence, fracture type, time to surgery and preoperative
comorbidity. The sole difference was in operators, with more senior surgeons in
arthroplasty (62% versus 27%). RESULTS: Three-month mortality was identical in
the two groups (21.2% versus 21%). General complications did not differ, although
mechanical complications were more frequent in the nailing group (12.5% versus
2.8%). Functional results (Parker and PMA scores) were better in the implant than
in the nail group. DISCUSSION: The present study validated hip arthroplasty in
these indications. Cemented stems associated to a dual-mobility acetabular
component gave the best results. TYPE OF STUDY: Prospective, level of evidence
III.
PMID- 21903501
TI - Morphometric analysis and functional correlation of tibial and femoral footprints
in anatomical and single bundle reconstructions of the anterior cruciate ligament
of the knee.
AB - INTRODUCTION: The anterior cruciate ligament (ACL) is composed of an infinite
number of fibers whose individual anatomical and biomechanical features have been
well defined. Although numerous biomechanical studies have shown that
reconstruction that is as anatomical as possible results in better control of
rotational laxity, very few studies have investigated the surface area of tibial
and femoral insertion sites in these reconstructions. The aim of this study was
to compare the surface areas of tibial and femoral insertion sites in single and
double bundle reconstructions and correlate these findings with the isometry
profile obtained. Our hypothesis was that double bundle (DB) reconstruction
results in better filling of the native ACL footprint thus increasing the
biomechanical value of available graft tissue. PATIENTS AND METHODS: Forty-six
patients underwent computer navigated ACL using hamstring tendons: 23 underwent
single bundle (SB) and 23 DB reconstruction. The Praxim navigation station
equipped with ACL logics software made it possible to digitize insertion site
footprints, register perioperative data for graft position as well as
anteroposterior and rotational laxities and pivot shift. RESULTS: There was a
statistically significant difference between the two groups for tibial and
femoral insertion site surface areas: 71 mm(2) +/- 17 (SB) versus 99.9 mm(2) +/-
30 (DB) for the tibia, 67 +/- 11 mm(2) (SB) versus 96.9 mm(2) +/- 28 (DB) for the
femur. Isometry profiles showed that anisometry was favorable in all cases: 2.5
mm +/- 2 for SB; 2.9 mm +/- 2 for the anteromedial bundle (AMB) with DB and 9.6
mm +/- 3.7 for the posterolateral bundle. When both groups were combined, there
was a statistically significant correlation between the size of tibial insertion
surface area and anteroposterior and rotational laxity. DISCUSSION: This study
confirms that better filling of native ACL footprint surface areas results in
better control of anteroposterior laxity. LEVEL OF EVIDENCE: Level IV.
PMID- 21903502
TI - Evaluation under real-life conditions of a stand-alone fall detector for the
elderly subjects.
AB - BACKGROUND AND OBJECTIVES: Elderly patients unable to get up after a fall or to
activate an alarm mechanism are particularly at risk of complications and need to
be monitored with extreme care. The different risk factors have fostered the
development of stand-alone devices facilitating early detection of falls. We
aimed at assessing performance of the Vigi'Fall((r)) system, a cutting edge fall
detector associating a "passive release" mechanism attached to the patient and
including external sensors; in the event of a fall, the system automatically
triggers an alarm, and it also incorporates embedded confirmation software. We
have put it to the test under real-life conditions so as to evaluate not only its
efficacy, but also and more particularly its acceptability and tolerability in
elderly subjects. METHOD: The study ran from March 2007 through December 2008 in
a geriatric ward with 10 subjects over 75 years of age, all of whom presented
with a risk of falling. RESULTS: For eight patients wearing an accelerometric
sensor, eight "falling" events and 30 "alarm release" events were recorded.
Sensitivity and specificity of the device came to 62.5 and 99.5% respectively.
For the two patients wearing the complete device, no events were detected. Not a
single adverse occurrence was noted. Local tolerance was excellent in all but one
of the subjects. CONCLUSION: Our results clearly show that the device may be worn
by patients without discomfort over prolonged periods of time, and also
demonstrate that the verification component will help to increase sensitivity in
real-life conditions to a level comparable to the level attained in our
laboratory studies.
PMID- 21903503
TI - Risk-adjusted female breast cancer incidence rates in the United States.
AB - A method has been previously proposed for estimating risk-adjusted incidence
rates (RAIRs) from cancer data from the Surveillance, Epidemiology, and End
Results (SEER) program. Unlike conventionally reported SEER-based cancer
incidence rates in the United States, but similar to the approach taken by the
International Association of Cancer Registries and the International Agency for
Research on Cancer, the method uses only the first primary cancer of the given
site. In addition, it also adjusts for population-based cancer prevalence in
order to obtain a better population-based measure of cancer risk. For most
cancers multiple cancer primaries are rare and the prevalence of the disease is
low. However, female breast cancer has a comparatively high risk of subsequent
breast cancers and is the most prevalent cancer in women. Hence, in white women
RAIRs are 3.0% lower in ages 30-39, 4.2% lower in ages 40-49, 4.0% lower in ages
50-59, 4.1% lower in ages 60-69, 3.8% lower in ages 70-79, and 4.3% lower in ages
80 years and older compared with conventional rates. Corresponding lower
percentages for black women are 3.9%, 6.9%, 5.1%, 7.8%, 6.0%, and 2.2%,
respectively. Age-group specific trends in breast cancer incidence rates differed
between RAIRs and conventional incidence rates, increasingly so with older age.
The number of cancer cases in the United States is estimated from conventional
incidence rates and population estimates. In 2007, the estimated number of
malignant breast cancer cases was 181,665 for white women and 20,203 for black
women. The estimated number of breast cancer cases decreased by 4.8% for whites
and 6.5% for blacks when based on RAIRs. RAIRs are a better measure of breast
cancer risk and trends in RAIRs are better for monitoring the effect of risk
factors.
PMID- 21903505
TI - Idioventricular rhythm in a patient with acute cholecystitis.
PMID- 21903504
TI - Increased serum lactate dehydrongenase should be included among the variables
that define very-high-risk multiple myeloma.
AB - BACKGROUND: In patients who have symptomatic multiple myeloma (MM), a high serum
lactate dehydrogenase (LDH) level is associated with features of advanced
disease, adds prognostic value to the international staging system (ISS) and
predicts for inferior survival. However, it has not been clearly defined what the
impact of this abnormality is for patients treated upfront with novel agent-based
regimens. PATIENTS AND METHODS: To address this issue we analyzed 203 consecutive
unselected patients with symptomatic MM who received upfront treatment with novel
agents in a single center. RESULTS: The median overall survival for patients with
normal LDH was 54 months but in patients with increased LDH levels it was 21
months (P = .003), whereas increased serum LDH was associated with a higher
probability of early death. Multivariate analysis confirmed that an increased LDH
level is independently associated with poor survival. Furthermore, increased LDH
levels could identify subgroups of patients within ISS-2 and ISS-3 with even
worse outcome. CONCLUSION: We conclude that serum LDH is a simple, inexpensive,
and readily available blood test that may be included among the variables that
define very-high-risk MM.
PMID- 21903506
TI - [Immunoglobulin A nephropaty: clinical practice guidelines].
AB - Immunoglobulin A nephropathy (N.IgA) is the world most common glomerular disease;
15-50% of patients develop loss of renal function in 10-20 years, and the rest
remission or mild proteinuria/ hematuria. The optimal treatment is uncertain. Our
aim was to develop evidence-based recommendations through research in Medline,
Embasse, Lilacs and Cochrane Central Register of Controlled Trials. The study
quality was independently assessed by the reviewers following the Cochrane Renal
Group checklist: randomization, blinding, intention-to-treat analysis and follow
up period. Levels of evidence and grades of recommendation were assigned
according to Center for Evidence-Based Medicine, Oxford. Two approaches were
considered: Immunosuppressive therapy (corticosteroids, cytostatics, cyclosporine
A, mycophenolate-mofetil): Level I a, grade A. -Combined suppressive therapy in
adults. Corticosteroids plus cytotoxics drugs (cyclophosphamide/azathioprine):
Level II b, grade B. In children with severe IgA nephropathy: Level II b, grade
D. Cyclosporine and mycophenolate- mophetil: Level II b, grade C. Cyclosporine
and mycophenolate-mophetil: Level ll b, grade C. -Non immunosuppressive therapy:
reninangiotensin converting enzyme inhibitors (ACEI) and/or angiotensin II
receptor blockers (ARB), fish oil, statins, antiplatelets and tonsillectomy. ACEI
and/or ARB, in patients with proteinuria >= 1 g: Level I a, grade A. In children
with moderate proteinuria: ACEI and/or ARB with close monitoring of renal
function and serum potassium level: Level II b, grade B. Antiplatelet as
supportive treatment: Level I a, grade C. Fish oil in addition to ACEI or ARB in
patients with mild histological lesions: Level II b, grade B (Not in children).
Statins: no evidence to recommend these drugs in children. In patients > 5 years
with nephrotic syndrome and hyper-cholesterolemia, use statins with close
monitoring of serum creatine-kinase. There is no evidence to recommend
tonsillectomy.
PMID- 21903507
TI - Management of the severely worn dentition with different prosthetic
rehabilitation methods: a case series.
AB - Clinicians are often faced with the challenge of restoring a severely worn
dentition. Esthetic and functional rehabilitation of patients in this condition
represents a noteworthy clinical challenge. Although treatment options for the
severely worn dentition with reduced occlusal vertical dimension can be limited,
providing a functional and esthetic restoration in these situations is crucial
for restoring the patient's quality of life. Different treatment approaches for
the rehabilitation of worn dentition are presented in this case series.
PMID- 21903508
TI - Opiate overdose in an adolescent after a dental procedure: a case report.
AB - Oxycodone/acetaminophen is a combination of acetaminophen and the opiate
oxycodone. It is an effective analgesic that is commonly prescribed
postoperatively. The potential for misuse, diversion, abuse, and overdose with
opiates in general is an area of increasing concern to all prescribing
clinicians. This case report illustrates the possibility of a severe or
potentially fatal outcome to a common prescribing practice. Caution is emphasized
when prescribing opiates, and screening for substance misuse and suicide risk
factors is recommended.
PMID- 21903509
TI - Gradual surface degradation of restorative materials by acidic agents.
AB - The aim of this study was to investigate the effect of acidic agents on surface
roughness and characteristics of four restorative materials. Fifty-two discs were
created from each restorative material: metal-reinforced glass ionomer cement
(Ketac-S), resin-modified glass ionomer cement (Fuji II LC), resin composite
(Filtek Z250), and amalgam (Valiant-PhD); each disc was 12 mm in diameter and 2.5
mm thick. The specimens were divided into four subgroups (n=13) and immersed for
168 hours in four storage media: deionized water (control); citrate buffer
solution; green mango juice; and pineapple juice. Surface roughness measurements
were performed with a profilometer, both before and after storage media
immersion. Surface characteristics were examined using scanning electron
microscopy (SEM). Statistical significance among each group was analyzed using
two-way repeated ANOVA and Tukey's tests. Ketac-S demonstrated the highest
roughness changes after immersion in acidic agents (p<0.05), followed by Fuji II
LC. Valiant-PhD and Filtek Z250 illustrated some minor changes over 168 hours.
The mango juice produced the greatest degradation effect of all materials tested
(p<0.05). SEM photographs demonstrated gradual surface changes of all materials
tested after immersions. Of the materials evaluated, amalgam and resin composite
may be the most suitable for restorations for patients with tooth surface loss.
PMID- 21903510
TI - Cast metal bases as an economical alternative for the severely resorbed mandible.
AB - Resorption of the alveolar ridge is a common problem in edentulous patients and
can compromise the stability and function of dentures. Resorption and its
consequences can be minimized when strategically placed implants are used;
however, this option is financially out of reach for many patients. The article
discusses a more cost-effective alternative (metalbased dentures) for patients
with ridge resorption. In certain environments, like a dental school, where
patients are looking for solutions to their dental problems at a reasonable
price, cast metal bases can be a feasible economical alternative for edentulous
patients. Both cases presented here demonstrated a significant improvement in
stability, phonation, and mastication.
PMID- 21903511
TI - Effect of fiber posts with different emerging diameters on the fracture strength
of restored crownless teeth.
AB - The relatively low elastic modulus of fiber posts reduces the risk of root
fracture, but it also decreases composite core stabilization. To compensate for
the lack of rigidity, larger post sizes can be necessary when restoring crownless
teeth that have significant internal destruction of the root canal. This study
evaluated the effectiveness of fiber posts with different emerging diameters and
shapes on composite core stabilization as measured by fracture strength testing.
Fracture strengths ranged from 262.6 +/- 81 N to 422.8 +/- 56 N. A one-way ANOVA
test showed that fracture strength was affected by type of post (p<0.0001);
single-tapered posts were weaker than double-tapered posts. Pearson's linear
correlation test showed that the fracture strength results appear to have a
direct correlation to the emerging diameter of the post (p<0.0001; r(2)=0.6191).
The emerging diameter of fiber posts is important to stabilize the core. When
restoring crownless teeth, it is advisable to use fiber posts with large emerging
diameters; no additional preparation of the internal root dentin is necessary to
enlarge the post diameter.
PMID- 21903512
TI - Using cone beam computed tomography to determine safe regions for implant
placement.
AB - This study sought to identify and follow the course of the incisive canal in the
mental interforaminal region of the human mandible and to describe other
anatomical landmarks present in this region. Cone beam computerized tomography
(CBCT) studies for 40 patients were collected from the database at the Department
of Oral & Maxillofacial Radiology, School of Dentistry, Lebanese University. Ten
patients had edentulous mandibles; the other 30 had partially or completely
dentate mandibles. Axial native images and panoramic and cross-sectional
reconstructions were examined to assess the anatomical landmarks in the anterior
mandible. Multiple neurovascular canals and foramina were clearly detected on
CBCT studies of the mandible. Numerous foramina were seen on the internal surface
of the mandible, even distant from the midline. The incisive canal was identified
in 97.5% of the images. These anatomical landmarks should be evaluated carefully
during preoperative planning.
PMID- 21903513
TI - Recurrence of central odontogenic fibroma: a rare case.
AB - Central odontogenic fibroma (COF) is a rare, benign, painless, slow-growing tumor
associated with expansion of the bone cortex. Histologically, it consists of
fibrous connective tissue that contains multiple islands of odontogenic
epithelium. Some lesions have diffuse spherical calcifications that usually are
related to islands or cords of epithelium. The majority of cases respond well to
conservative treatment such as enucleation and the prognosis is favorable;
recurrences are rare. This article presents a rare case of COF that was located
in the anterior region of the maxilla and treated with enucleation; the case
recurred five years following the initial treatment.
PMID- 21903514
TI - Flexural bond strength of repaired composite resin restorations: influence of
surface treatments and aging.
AB - The objective of this study was to evaluate the effect of storage in deionized
water at room temperature, for seven days and six months, on the flexural
strength of a repaired photocured microhybrid composite resin, using different
surface treatments. After each surface treatment, the adhesive interface was
analyzed with a surface roughness tester. The flexural strength of samples from
each group was determined by three-point bending in a testing machine at a
crosshead speed of 0.5 mm/min with a 50 N load cell. Data were analyzed using
ANOVA (p=0.0001) and compared with the Newman-Keuls multiple comparison test. It
was verified that flexural strength of the unrepaired specimens, after both seven
days and six months, was similar (p>0.05) and was in accordance with ISO
specifications (minimum of 50 MPa), with values ranging from 52-63 MPa. The
authors concluded that the use of an unfilled resin agent is necessary prior to
the repair to increase the adhesive strength. Further, the use of a silane agent
prior to use of the unfilled resin agent is unnecessary, since it does not
increase the adhesive strength.
PMID- 21903515
TI - Follow the money.
PMID- 21903516
TI - Botulinum toxin (Botox, Dysport, and Myobloc): pharmacology 101.
PMID- 21903517
TI - Pre-prosthetic orthodontics for esthetics and function in restorative dentistry.
PMID- 21903518
TI - Latest innovations in flowable composites.
PMID- 21903519
TI - Evaluation of the microbial flora found in woodwind and brass instruments and
their potential to transmit diseases.
AB - Previous studies of dental devices (toothbrushes, dentures, and protective
athletic mouthguards) have demonstrated microbial contamination of these devices
and possible transmission of infectious diseases to the users. Since woodwind and
brass instruments come into intimate contact with the musician's oral cavity and
often are passed from student to student without sanitization, the question
arises as to whether these instruments are contaminated and can transmit
microbial diseases. The purpose of this study was to determine if woodwind and
brass instruments and/or their cases harbor opportunistic, pathogenic, or
allergenic microorganisms that can be transmitted to the musician. The internal
components of woodwind and brass instruments harbored opportunistic, pathogenic,
and/or allergenic microorganisms. The highest concentrations of microorganisms
were found consistently at the mouthpiece end, but there was evidence of
contamination throughout the instruments and their cases. The close proximity of
contaminated mouthpieces to the oral cavity could facilitate local and systemic
dissemination of the resident opportunistic, pathogenic, and/or allergenic
microorganisms. General dentists should determine whether patients play a brass
or woodwind instrument and be aware of the possible impact of this activity on
the oral cavity and the entire body.
PMID- 21903520
TI - What every dentist should know about zinc.
AB - Zinc plays an important role in human physiology, from its involvement in the
proper function of the immune system to its role in cellular growth, cell
proliferation, and cell apoptosis as well as its essential role in the activity
of numerous zinc-binding proteins. However, zinc also plays a key
pathophysiological role in major neurological disorders and diabetes. Zinc
deficiency is a worldwide problem, whereas excessive intake of zinc is relatively
rare. Many patients are exposed to zinc on a regular basis through dentistry as a
result of its use in certain restorative materials, mouthwashes, toothpastes and,
notably, denture adhesives. Of particular importance to dental professionals are
various case reports concerning the neurologic effects of excess zinc intake by
patients who routinely use large quantities of zinc-containing denture adhesives.
This review presents relevant information concerning the use of zinc in
dentistry.
PMID- 21903521
TI - Dentin hypersensitivity and its management.
AB - Dentin hypersensitivity is a common patient complaint that is more prevalent than
the profession realizes. It is important for dentists to diagnose dentin
hypersensitivity by exclusion and provide appropriate treatment recommendations
for patients. Various treatment methods have been proposed but no universally
accepted desensitizing agent or treatment has been identified. When a patient has
symptoms that can be attributed to dentin hypersensitivity, a thorough clinical
examination should be carried out to rule out other likely causes prior to
diagnosis and treatment. Depending on the identified cause, a combination of
individualized instructions on proper oral health behaviors, use of at-home
products, and professional treatment may be required to manage the problem.
PMID- 21903523
TI - Incomplete cusp fractures: early diagnosis and communication with patients using
fiber-optic transillumination and intraoral photography.
AB - The diagnosis of incomplete cusp fractures has primarily relied on patient
symptoms, which sometimes results in late treatment approaches. The
transillumination of tooth structure by a fiber-optic light source can be
considered an important adjunct tool in the diagnosis of incomplete cusp
fractures before they reach their end stages. Furthermore, transilluminated teeth
can be documented by intraoral photography, using a two-handed technique by
holding a transillumination device and an intraoral camera simultaneously, with
the resulting images shared with the patient. This simple, painless, and
noninvasive technique can be incorporated easily into daily practice to evaluate
high-risk sites, regardless of patient symptoms. This article reviews incomplete
cusp fractures, explains how to detect them using transillumination and intraoral
photography, and addresses how to discuss the results with patients.
PMID- 21903522
TI - Diametral tensile strength of composite core material with cured and uncured
fiber posts.
AB - The aim of this study was to determine the influence of different types of posts
and post head designs on the fracture resistance of a composite resin core
material using the diametral tensile strength (DTS ). Seventy-five disc specimens
were prepared using a composite core and prefabricated glass fiber posts and were
divided into four test groups and one control group (n=15). The use of fiber
posts reduced the DTS of the composite core material; the DTS value of the
control material was significantly higher (p=0.05) than all of the test groups.
PMID- 21903524
TI - Utility and effectiveness of computer-aided diagnosis of dental caries.
AB - Digital radiography has created a growing opportunity for computer-aided
diagnostic (CAD) tools. The Logicon Caries Detector (LCD), with upgraded CAD
software based on user feedback, was re-evaluated for its effectiveness via a
retrospective clinical study. Using the upgraded LCD software, 12 dentists
(evaluators) blindly assessed 17 radiographs taken by another (attending)
dentist, who restored 28 proximal surfaces. The attending dentist confirmed the
presence of early dentinal caries, as well as identifying 48 surfaces as caries
free or with enamel caries only subject to noninvasive treatment. The
radiographs, imported into the software using a digital imaging and
communications in medicine (DICOM) reader, were visually assessed under typical
operatory lighting conditions, then with the aid of the software's density
analysis tool. The effectiveness of the evaluators was gauged by calculating two
measures of performance, sensitivity and specificity, for the detection and
classification of dentinal caries. Sensitivity among all evaluator dentists was
30% with the initial image; 34% with the brightness and contrast adjusted image;
39% when the image was sharpened; and 69% when the density analysis tool was
utilized. Specificity was found to be 97% with the initial image; 95% with the
brightness and contrast adjusted image; 93% with the sharpened image; and 94%
when the density analysis tool was used. Compared to the unaided eye, the LCD can
significantly improve dentists' ability to detect and classify caries. Dentists
may be able to find twice as much early dentinal caries requiring restoration (or
at least aggressive noninvasive treatment) than previously, while not
unnecessarily restoring additional healthy teeth. The LCD enables dentists to
obtain more information from dental digital radiography than is possible with the
unaided eye, leading to improved patient care.
PMID- 21903525
TI - Management of multiple trauma avulsion of anterior primary teeth: a three-year
follow-up.
AB - Dental trauma can cause physical, esthetic, and psychological problems. This
paper presents the case of a 2-year-old boy who suffered multiple avulsions of
the maxillary anterior teeth. Treatment consisted of fixed orthodontic appliances
with artificial anterior teeth. The clinical follow-up lasted for three years.
The procedure for the re-establishment of esthetic and dental function was based
on a simple, low-cost therapeutic solution. The child and parents were satisfied
with the treatment results.
PMID- 21903526
TI - Enalapril-induced angioedema: a dental concern.
AB - Drug-induced angioedema is a rare but potentially life-threatening side effect of
increased levels of bradykinin. It may be overlooked and diagnosed as a dental
related problem due to its appearance as facial swelling. A clear understanding
of the pathophysiology of angioedema can better serve both physicians and
dentists in providing the most appropriate care for patients.
PMID- 21903527
TI - Interdental papilla overgrowth. Peripheral odontogenic fibroma.
PMID- 21903528
TI - Rubbery palatal mass. Inflammatory myofibroblastic tumor.
PMID- 21903529
TI - Treatment of inflammatory external root resorption resulting from dental avulsion
and pulp necrosis: clinical case report.
AB - The aim of this case report was to present a treatment for severe inflammatory
external root resorption. The condition developed due to the patient's neglect to
seek adequate treatment following replantation of an avulsed maxillary left
central incisor. Following diagnosis, treatment consisted of conventional
endodontic therapy with calcium hydroxide dressings and definitive filling of the
root canal after the resorption was controlled radiographically. A 24-month
follow-up showed that the resorption process had stabilized and the patient was
free of symptoms. Successful tooth replantation requires following the indicated
therapy effectively. Nevertheless, when an inflammatory external root resorption
occurs, adequate endodontic treatment to remove the necrotic content and bacteria
is required, as is the use of calcium hydroxide dressings.
PMID- 21903530
TI - Immediate provisional restoration of an implant placed in a fresh primary
maxillary canine extraction socket: a case report.
AB - This study describes a clinical case in which a primary maxillary canine with
both mobility and root resorption was replaced with an immediately restored
dental implant placed into the fresh extraction socket. The implant achieved high
primary stability, as determined by resonance frequency analysis, and it was
immediately restored with a provisional acrylic resin crown with no centric
occlusion. An all-ceramic permanent crown replaced the provisonal crown four
months after implant surgery. The implant was stable and no periapical
radiolucencies, bleeding on probing, or pathologic probing depth were recorded
after one year. The peri-implant soft tissue level appeared stable, and the
interdental papillae were preserved, contributing to an optimum final esthetic
result. This case supports the use of single implants for the replacement of
extracted primary teeth, especially in areas where esthetics is a high priority.
The immediate provisional crown maintained soft tissue contours and papillary
height.
PMID- 21903531
TI - Efficacy of antiplaque mouthwashes: a five-day clinical trial.
AB - The aim of this study was to evaluate and compare the efficacy of antiplaque
mouthwashes. Plaque levels were determined by applying a plaque-disclosing
solution using the Turesky et al modification of the Quigley Hein plaque index.
The control group (n = 6) brushed twice per day with fluoride toothpaste for one
minute and rinsed with water, while the study groups (n = 6) brushed once per day
with fluoride toothpaste for one minute, followed by rinsing with 5.0 mL of
mouthwash diluted with 10.0 mL of water for 30 seconds. The control group brushed
and rinsed with water twice per day. The results indicated that cetylpyridinium
chloride in combination with sodium fluoride offered maximum plaque inhibition,
followed by chlorhexidine gluconate and sodium monofluorophosphate, while plaque
levels increased in the control group and with the combination of chlorhexidine
gluconate and sodium fluoride. The only antiplaque agents to demonstrate a
statistically significant difference from the control were cetylpyridinium
chloride in combination with sodium fluoride, and chlorhexidine gluconate.
Increasing the fluoride concentration had no impact on antiplaque activity.
PMID- 21903532
TI - The effect of repeated firings on the color of feldspathic ceramics.
AB - The aim of this study was to determine the extent to which repeated firings would
change the color of feldspathic ceramics. Specimens were fabricated from five
commercially available feldspathic ceramics using a circular stainless steel
matrix 11 mm in diameter and 1.0 mm thick (n = 10, shade = A3). Repeated firings
were performed and color analysis was completed with a colorimeter using the
CIELA B color coordinates. Two-way ANOVA was used to analyze the data, followed
by Tukey's post-hoc test (a = 0.05). Three factors were used as determinants: a,
a measure of the chroma along the red-green axis; b, a measure of the chroma
along the yellow-blue axis; and L, a measure of the lightness-darkness of the
specimen on a scale from 0 (black) to 100 (white). The results indicated that a
and b values increased and L values decreased after repeated firings, resulting
in reddish, yellowish, and darker specimens, respectively (P = 0.001). As the
number of firings increased, significant color alterations occurred in the color
parameters of the specimens. These alterations resulted in color changes (delta
E) that could be considered unacceptable in clinical practice.
PMID- 21903533
TI - Sclerotherapy followed by surgery for the treatment of oral hemangioma: a report
of two cases.
AB - Hemangiomas, vascular malformations, and varices are common benign vascular
lesions in the head and neck region. They can occur in the mouth and primarily
affect the lips, tongue, buccal mucosa, and palate. The main types of treatments
are surgery and intralesional injection of sclerosant agents. However, other
therapies have been considered, such as systemic corticosteroids, laser therapy,
interferon a, and cryotherapy. Currently, sclerotherapy is employed largely
because of its efficiency and ability to conserve the surrounded tissues. Surgery
can be used exclusively or associated with sclerotherapy in lesions that do not
show complete resolution. This article describes the cases of two patients with
oral hemangiomas that were submitted to sclerotherapy with ethanolamine oleate.
Although an important decrease was detected after seven applications in both
cases, surgical resection of the residual lesion was performed to achieve optimal
results.
PMID- 21903534
TI - Tobacco cessation efforts in dentistry: a rural state study.
AB - The U.S. Department of Health and Human Services published Treating tobacco use
and dependence: 2008 update to provide guidelines for treating tobacco use and
dependence. These guidelines were developed based on a literature review with the
goal of assisting clinicians in treating patients who use tobacco products. In
2010, the authors conducted a survey of dentists and dental hygienists in a rural
state with a high rate of tobacco use to determine dental providers' tobacco
cessation efforts. The study found that the majority of clinicians surveyed ask
about their patients' tobacco use at their initial examination and educate
patients about the consequences of tobacco use. Still, improvements are possible
with regard to identifying and documenting tobacco use. In addition, this
research suggests that providers can become more confident in their cessation
approach with patients and that additional referrals can be made for alternative
cessation services.
PMID- 21903535
TI - Alveolar ridge augmentation--a case series.
AB - This study presents case reports of patients with osseous defects who were
treated with autogenous bone grafts from the symphysis region, alloplast grafts
with barrier membrane, and the use of free gingival onlay grafts for alveolar
ridge augmentation. The patients were followed for a period of six months.
PMID- 21903536
TI - Shear bond strength of two adhesive systems bonded to Er:YAG laser-prepared
dentin.
AB - Dentinal surfaces prepared with an Er:YAG laser have distinctly different
characteristics compared to those prepared with conventional instruments. The
objective of this study was to evaluate the shear bond strength of a total-etch
adhesive system and a self-etch adhesive system to dentin, prepared
conventionally or with an Er:YAG laser. Specimens that were prepared with a
diamond bur and treated with the total-etch adhesive showed the highest mean bond
strength, followed by specimens prepared with the laser and treated with the
total-etch adhesive, specimens prepared with a diamond bur and treated with the
self-etch adhesive, and specimens prepared with the laser and treated with the
self-etch adhesive, but there were no differences among the groups (P > 0.05).
These data indicate that the tested adhesive systems promote similar shear bond
strengths, whether the dentin is prepared with a diamond bur or an Er:YAG laser,
and that an Er:YAG laser is a suitable cavity preparation method that promotes an
adequate surface for a posterior adhesive procedure.
PMID- 21903537
TI - Listen to your heart.
PMID- 21903538
TI - The sugar-loaded fentanyl lollipop (Actiq) and the risk for tooth decay.
PMID- 21903539
TI - Educating and motivating patients for restorative dentistry.
PMID- 21903540
TI - Full-mouth rehabilitation using both fixed and removable implant restorations.
PMID- 21903541
TI - Benefits of additional courses of systemic azithromycin in periodontal therapy.
AB - The use of systemic antimicrobials such as doxycycline, metronidazole, and
azithromycin in conjunction with debridement has achieved results superior to
those produced by debridement alone. The purpose of the present study was to
determine if previous results could be improved upon by administering repeated
doses of azithromycin during the hygiene phase. One hundred patients with
moderate to advanced periodontitis were treated with scaling and root planing
plus three courses of azithromycin during the hygiene phase. All patients then
were re-evaluated and periodontal surgery and/or extractions involving 96 teeth
were performed in 32 patients. All patients then entered a maintenance program
that lasted up to 192 weeks, with four-month recalls. Clinical parameters were
recorded at baseline, at re-evaluation (week 6 after baseline), and at 96 and 192
weeks into maintenance. The results indicated that probing depths, bleeding upon
probing, and suppuration were reduced significantly at re-evaluation. In
addition, 14 teeth that displayed a Class III mobility at baseline improved to
either Class I or Class II . There was no relapse during the maintenance phase.
Multivariate analysis after 192 weeks indicated no change in the number of sites
that bled upon probing, or had pockets that were 5.0-6.0 mm or >= 7 mm. Ninety
five percent of the sites that initially bled upon probing did not do so four
years post-treatment. The results indicate that three courses of azithromicin in
conjunction with root instrumentation during the hygiene phase led to long
lasting beneficial effects on all clinical parameters for at least 192 weeks.
PMID- 21903542
TI - Improving the esthetic replacement of missing anterior teeth: interaction between
periodontics and a rotational path removable partial denture.
AB - The rotational path of insertion concept for removable partial dentures (RPDs)
can be used in esthetically demanding situations. This clinical report describes
the treatment of a patient with an anterior maxillary edentulous area using a
rotational path RPD. To optimally improve gingival esthetics and to allow
proximal retention on the surveyors, a crown-lengthening surgical procedure was
performed prior to prosthetic treatment on all teeth involved in this
rehabilitation. When correctly planned and fabricated, this prosthesis allows
excellent functional and esthetic results, minimizes tooth preparation, and
reduces the tendency toward plaque accumulation.
PMID- 21903543
TI - Nonsurgical correction of Class III malocclusions: a new approach to treating
late adolescents and adults.
AB - This article describes a new nonsurgical orthodontic technique for correcting
Class III malocclusions in the adult dentition when orthognathic surgery is not
an option. Following a review of the dental literature pertaining to other
nonsurgical orthodontic techniques, a nonextraction treatment protocol was
established that utilized a mandibular distalizer combined with a maxillary
transpalatal unit. Four cases were treated with this new treatment protocol and
produced consistent results: anterior and posterior crossbites were corrected; a
Class I molar relationship was established; both overbite and overjet were
corrected; and there was no undesirable tipping of the mandibular molars.
PMID- 21903544
TI - The use of a porcelain repair technique to improve the plane of occlusion of an
existing restoration.
AB - This article describes the use of an indirect porcelain repair technique to
improve the occlusal relationship between a new fixed partial denture and an
existing one. Porcelain repair techniques offer a conservative, minimally
invasive, and cost-effective method of improving the opposing occlusion without
replacing an existing restoration.
PMID- 21903545
TI - Burning mouth syndrome: a challenge for dental practitioners and patients.
AB - A retrospective study was conducted on patients with burning mouth syndrome (BMS)
to assess demographics, onset characteristics, temporal behavior (frequency),
duration, and progression of oral burning symptoms. Additionally, treatments
provided by health practitioners prior to a definitive diagnosis of BMS were
analyzed with an overview of current management strategies. The records of 49
adult patients diagnosed with BMS were reviewed. Descriptive statistics and a
Pearson correlation with a statistical significance at p < 0.05 were utilized to
analyze the data. The majority of patients were mid-life white women who reported
a sudden onset of constant oral burning symptoms that increased in intensity. On
average, patients reported oral burning symptoms for 41 months (standard
deviation = 73.5, range = 2-360 months, median = 20 months), and 38 of the
patients received/trialed 71 various interventions (mean = 1.9) prior to
receiving a definitive diagnosis for their oral burning symptoms. This study
sample shared many characteristics with those reported previously in the
literature. The authors found that patients frequently reported delays in
receiving a definitive diagnosis with an array of various trialed interventions.
For this reason, the authors provide this overview of current management
strategies in order to assist dental practitioners in providing appropriate
interventions for patients with BMS.
PMID- 21903546
TI - Degree of microleakage of a self-etch sealant.
AB - The purpose of this study was to compare the microleakage of a new self-etch
sealant (Enamel Loc), with and without acid etching, to a traditional sealant
(UltraSeal XT Plus) with acid etching in occlusal fissures. The self-etch sealant
had significantly more microleakage than the traditional sealant or the self-etch
sealant with acid-etching of the enamel.
PMID- 21903547
TI - One-year clinical evaluation of oral rehabilitation after the loss of multiple
primary teeth.
AB - This article describes a one-year follow-up of the prosthetic rehabilitation of a
child who lost multiple primary teeth due to widespread dental caries. Clinical
and radiographic examinations indicated the need for all primary teeth to be
extracted. Following the extractions, complete maxillary and mandibular removable
prostheses with individualized occlusion and age-appropriate artificial teeth
were fitted for functional and esthetic rehabilitation. The treatment improved
the patient's speech, masticatory function, and facial esthetics, contributing to
the development of normal dietary habits and social integration.
PMID- 21903548
TI - Compressible tumor of the buccal mucosa. Vascular malformation.
PMID- 21903549
TI - Painful lesion in the floor of the mouth. Squamous cell carcinoma.
PMID- 21903550
TI - Slide crown lengthening procedure using wide surface incisions and cyanoacrylate.
AB - This article introduces the slide crown lengthening procedure (SCLP), which
incorporates surgical design features to overcome present crown lengthening
procedure (CLP) shortcomings. The result is a 75% decrease in required surgery on
adjacent teeth and a corresponding 75% reduction in surgical time. Other
advantages include a reduction in surgical morbidity, improvement in terminal
esthetics, and fewer teeth subject to papillae removal and apically repositioned
gingiva. The 20 to 30 degree incision forming the slide is the pivotal feature;
it allows effortless flap positioning. This incision angle enables wide surface
incisions to adhere flaps together by producing stronger fibrin clots, decreasing
tissue retraction angles, and reforming disrupted fibrin clots as incision sides
slide while maintaining contact. This enhanced fibrin clot eliminates the need
for sutures. The slide produced by the 20 to 30 degree incision functions for
crown lengthening on all sites (facial, lingual, or palatal). This versatile
surgical design introduces a new healing dimension that adapts to and provides
benefits for other dental surgeries, such as gingival grafts, endodontic surgery,
implants, and extractions.
PMID- 21903551
TI - Implant-retained dentures for full-arch rehabilitation: a case report comparing
fixed and removable restorations.
AB - Dental implants as abutments for full-arch restorations are a well-documented
treatment modality. This report presents a case in which the patient was treated
initially with fixed restorations supported by either implants or natural teeth
and subsequently treated with a removable implant/telescopic crown-supported
overdenture. Advantages and disadvantages of each approach are described and
discussed. While the fixed restoration resulted in a functionally satisfactory
treatment outcome, the patient was displeased with the esthetic appearance. The
main concern was the unnaturally long tooth shape necessary to compensate for the
insufficient alveolar ridge height. Replacement of the existing restoration with
an implant-supported removable overdenture led to a functionally and esthetically
acceptable result. When deciding whether to use a fixed or removable implant
supported full-arch restoration, a multitude of factors must be considered. Due
to the possible need for additional surgical steps to enhance the esthetic
appearance surrounding fixed restorations, removable implant-supported partial
dentures often are the better choice.
PMID- 21903552
TI - Effect of carbon and glass fiber posts on the flexural strength and modulus of
elasticity of a composite resin.
AB - The aim of this study was to evaluate the effect of prefabricated fiber posts on
the flexural strength and modulus of elasticity of a composite resin. Thirty bar
shaped specimens measuring 25 x 2.0 x 2.0 mm were made, containing posts that
were 1.3 mm in diameter and 20 mm long. Each group contained 10 specimens: Group
1, resin without post; Group 2, resin with carbon fiber post; Group 3, resin with
glass fiber post. The samples were immersed in water at 37 degrees C until the
three-point loading test was performed at a speed of 1.0 mm/minute. The results
were statistically analyzed by ANOVA and Tukey's test (P = 0.05). Both fiber
posts were similar in strength and both were stronger than the control. Group 3
obtained a higher mean modulus of elasticity than Groups 1 and 2, which were
similar. The results of this study demonstrated that the presence of a fiber post
significantly raised flexural strength values and the glass fiber post
significantly increased the modulus of elasticity of the evaluated composite
resin.
PMID- 21903553
TI - Effect of maintenance therapy with or without the use of chlorhexidine in teeth
restored with composite resin in patients with diabetes mellitus.
AB - The objective of this study was to evaluate the effects of maintenance therapy
with or without the use of 0.12% chlorhexidine in the periodontal tissues of
patients with diabetes mellitus who had carious lesions restored with composed
resin. Twenty patients were selected, all of whom had diabetes mellitus in
addition to carious cervical lesions in previously treated teeth. After 90 days,
improvement in plaque and gingival indices and probing depth were noticed among
patients in the group that received 0.12% chlorhexidine.
PMID- 21903554
TI - Can traumatic occlusion cause endodontic problems? A case report.
AB - Radicular cysts are commonly found odontogenic cysts in the jaws. The lesion is
diagnosed mainly in young patients during the second decade of life. In the
majority of cases, it is asymptomatic. This paper reports a rare case in which
traumatic occlusion was identified as the etiology of a radicular cyst.
Endodontic treatment was performed and the traumatic occlusion also was
corrected. A six-month follow-up appointment found good healing of the periapical
region.
PMID- 21903555
TI - Influence of cement thickness and mechanical cycling on the push-out bond
strength between posts and root dentin.
AB - The aim of this study was to assess the influence of mechanical cycling and
cement thickness on the bond strength between fiber posts and root dentin. Forty
bovine teeth were sectioned (16 mm) and randomly assigned to four groups (n =
10). Specimens in Groups 1 and 2 were cemented using a thinner cement layer,
while specimens in Groups 3 and 4 were cemented using a thicker cement layer.
Groups 2 and 4 were submitted to mechanical cycling. Four slices per specimen
were produced and submitted to push-out testing. Bond strength values were not
affected by mechanical cycling (P = 0.2893), although the thickness of the cement
layer did affect bond strengths (P = 0.0059, thinner > thicker). Tukey's test
showed that Group 1 (19.27 MPa) had a higher mean bond strength value than Group
3 (12.4 MPa) and Group 4 (13.6 MPa), while Group 2 (15.0 MPa) was statistically
similar to all groups. These results indicate that a thicker cement layer
negatively affected the push-out bond strength between a fiber post and root
dentin, regardless of whether the specimens were subjected to mechanical cycling.
PMID- 21903556
TI - Ectopic eruption of permanent incisors after predecessor pulpectomy: five cases.
AB - Pulpectomy in primary teeth is a common technique that preserves teeth in the
oral environment and maintains or recovers periapical tissues to a healthy
condition. This article describes the ectopic eruption of permanent incisors
whose primary predecessors underwent pulpectomy using ZOE filler paste. In a
group of 135 teeth that received pulpectomy therapy due to caries, 10 primary
maxillary incisors had overretention and were followed for at least 3.5 years
(mean time of 4.2 years), both clinically and radiographically, until the
permanent teeth erupted. The proposed treatment included extraction of the
overretained primary incisors based on permanent successor eruption chronology
and contralateral eruption. Seven permanent teeth erupted ectopically.
Autocorrection of the permanent tooth positions was observed in five cases. It
can be concluded that periodic clinical and radiographic assessments are
essential to verify radicular and filling paste resorptions and to avoid
overretention and any subsequent malocclusion.
PMID- 21903557
TI - Effect of radiotherapy on the hardness and surface roughness of two composite
resins.
AB - The knowledge about the potential adverse effects of radiotherapy compared to
dental composites is a useful information for the clinician's decision regarding
adoption of repairs or replacement of dental restorations during oral cancer
treatment. This study evaluated the effects of irradiation on microhardness and
surface roughness of a microfilled and a packable composite resin. The
microfilled composite resin demonstrated significantly lower microhardness and a
smoother surface compared to the packable composite resin (p < 0.05). Although
irradiation significantly reduced the microhardness for both composite resins (P
< 0.05), increasing the x-ray doses did not cause an additional significant
decrease in surface hardness (P > 0.05). Meanwhile, irradiation did not produce a
significantly rougher surface (P > 0.05), but specimens submitted to abrasion
exhibited a significant increase in surface roughness for both composite resins
(P < 0.05). It was concluded that while irradiation can adversely affect the
hardness of tested composite resins, it does not interfere with surface
roughness.
PMID- 21903558
TI - Furcation lesion in a mandibular canine.
AB - Morphological changes can complicate dental treatment. This report presents a
rare case of a furcation lesion in a mandibular canine with two roots. A 39-year
old man in general good health sought dental care for severe pain in his
maxillary anterior teeth. The clinical examination showed localized swelling in
the vestibular mucosa close to the mandibular left canine. Radiographic
examination revealed two distinct roots and vertical bone resorption in the
canine's mesial surface. Periodontal evaluation led to a diagnosis of periodontal
abscess associated with furcation lesion. Despite the occurrence in an atypical
location, the site of periodontal furcation received conventional therapy for
initial decontamination, including tissue debridement and a combination of
polyvinylpyrrolidone irrigation and antibiotics. To improve access, the
decontamination was completed with surgical techniques and scaling and root
planing. Early diagnosis of this rare morphological change helped to determine
appropriate, timely treatment planning and optimal patient recovery.
PMID- 21903559
TI - Regional odontodysplasia: management of an acute case with a scanning electron
microscope.
AB - Regional odontodysplasia (RO) is an uncommon, nonhereditary, odontogenic
developmental disturbance characterized by hypoplasia and hypocalcification of
the dental tissues that produce so-called "ghost teeth." This report describes a
case of a 2.5-year-old girl who came to the clinic with RO affecting her right
maxillary arch. The distinguishing characteristics of this case were the
involvement of both the primary and permanent dentitions and the early occurrence
of odontogenic abscesses that required the patient's hospitalization.
Ultrastructural analysis revealed dental tissue failures that compromised the
integrity of the involved teeth, justifying the high susceptibility to caries
that was clinically observed. Follow-up was characterized by periodic prosthetic
adjustments to maintain the patient's ability to masticate and for social
interaction, beyond allowing normal development of her maxillofacial complex.
Patients with RO require individualized treatment planning and close follow-up
with a multidisciplinary approach.
PMID- 21903560
TI - A rare case of dens evaginatus and dens invaginatus in the same tooth, with a
review of treatment options.
AB - Dens evaginatus (DE) and dens invaginatus (DI) are rare developmental anomalies
of tooth formation. These variations in odontogenesis have been individually
observed and reported in the literature. This report describes the radiographic
appearance and management of a 16-year-old boy who came to the clinic with a rare
occurrence of both DE and DI in the same tooth and examines the criteria used in
identifying and classifying such anomalies. This report also discusses the
importance of early identification and efficient management of dental anomalies,
which can prevent irreversible pulpal pathology that requires endodontic therapy
or tooth loss.
PMID- 21903561
TI - No coasting allowed.
PMID- 21903562
TI - FDA new drug approvals in 2010.
PMID- 21903563
TI - Esthetic restoration of anterior teeth with adjacent osseointegrated implants.
PMID- 21903564
TI - Curing lights--the LED invasion is complete.
PMID- 21903565
TI - Current status of potential bisphenol toxicity in dentistry.
AB - Bisphenols are chemical components found in dental composites and sealants.
Similar compounds also can be found in baby bottles, food can liners, and even
drinking water. Bisphenols have gained attention recently because they, like
other natural and synthetic compounds, including hormone-based drugs and soybean
products, have the capacity to mimic the actions of the hormone estrogen in
living cells and animals. Such estrogenic activity has been linked to a variety
of health problems, including breast and prostate cancer, metabolic disorders,
and reproductive dysfunction. In early 2010, the FDA issued a report stating that
there are some concerns about the safety of bisphenols in food products and
called for more research on bisphenol toxicity. At present, no regulatory or
professional organization has expressed concern about health effects of
bisphenols in dental materials.
PMID- 21903566
TI - Diagnosis and management of Bell's palsy.
AB - Bell's palsy (BP) is an idiopathic peripheral facial nerve paralysis of sudden
onset. Its most alarming symptom is unilateral facial weakness, which can result
in the inability to close the eyelids, smile, or whistle. The pathogenesis of BP
is controversial and is believed to be caused by inflammation of the facial nerve
at the geniculate ganglion. Many viruses, especially herpes simplex and herpes
zoster, have been suggested as initiators of this inflammatory process; however,
this has not been proven. This report describes the case of a 14-year-old girl
with right hemifacial palsy who sought treatment one month after the onset of
palsy. The patient experienced a satisfactory recovery within 30 days of
treatment and has maintained a stable physical outcome after 15 months of follow
up. Early treatment based on careful investigation of BP, with particular
attention given to the differential diagnosis of BP, can improve the patient's
function and esthetics.
PMID- 21903567
TI - Influence of conditioning time on bond strength: evaluation of self-etching
adhesive systems.
AB - The aim of this study was to evaluate the tensile bond strength of self-etching
adhesive systems with different dentin conditioning times. Sixty caries-free,
extracted third molars were selected, with the occlusal surface removed by a
diamond saw disc. The specimens were embedded in epoxy resin and divided randomly
into six groups (n = 10), according to the conditioning time and adhesive system
used. After restoration, the specimens were stored in distilled water at room
temperature for 24 hours; they then were submitted to the tensile bond strength
test. The results were measured in MPa, then submitted to ANOVA and Tukey's test
(P = 0.05). The adhesive system used and the length of dentin conditioning time
were statistically significant (P < 0.05). The application time of the
conditioner before photocuring did not have a significant effect on tensile bond
strength. These results indicate that the resting time of adhesive above the
dentin does not directly affect the bond strength of the adhesive system.
PMID- 21903568
TI - Narrow band (light) imaging of oral mucosa in routine dental patients. Part I:
Assessment of value in detection of mucosal changes.
AB - The purpose of this investigation was to determine the value of adding narrow
band (light) imaging (NBI) to the standard oral soft tissue examination process
used to detect mucosal change. A total of 620 dental patients who came to the
clinic for regular dental evaluation or for treatment of acute dental problems
were given a standard oral soft tissue examination by dental students under
faculty supervision. The results of the white light examination were recorded
after the tissues were examined with NBI, at which point areas with a loss of
fluorescence (LOF) were recorded. The nature of the tissue change was classified
clinically as normal variation, inflammatory, traumatic, dysplastic, or other,
and patients were categorized depending on their clinical findings: normal, need
follow-up visit, or immediate biopsy. Risk factors related to oral dysplasia also
were recorded. The addition of NBI added between one and two minutes to the
examination process. Of the 620 examinations, an area with an LOF suggestive of
pathology was detected in 69 subjects (11.1%). After a second immediate
evaluation, 28 of the 69 subjects were scheduled for follow-up or biopsy. None of
the lesions discovered in these 28 subjects had been detected using standard
(white light) examination. Adding NBI to the routine clinical examination
resulted in detection of changes not seen with white light examination in 11.1%
of patients; of these, a small but important number were found to have otherwise
undetected persistent changes representing inflammatory lesions or potentially
dangerous oral dysplasia. Adding NBI as an adjunctive diagnostic procedure
improved the quality and outcome of the examination process.
PMID- 21903569
TI - Localized aggressive multiparous periodontitis: a newly documented entity.
AB - This article describes a form of localized aggressive periodontitis (LAP) in
young multiparous women and denotes this form of periodontitis as localized
aggressive multiparous periodontitis (LAMP). The authors retrospectively reviewed
six cases of LAP in healthy multiparous women who were followed clinically for
more than five years, examining clinical histories, clinical findings, and
radiographic features. Age, race, gender, parity, location of attachment loss,
rate of attachment loss, and symptoms were recorded. LAMP is characterized by
asymmetrical attachment loss along the distal proximal area of the maxillary
and/or mandibular first permanent molars in multiparous females with a median age
of 27. The mean annual rate of attachment loss in the maxillary first molars was
calculated at 0.5 mm. LAMP progresses to involve the mandibular incisors but,
unlike LAP, appears to spare the maxillary incisors. The authors suggest that
LAMP is a recognizable periodontal disease that can be diagnosed in young,
multiparous females via clinical history, periodontal examination, and
radiographs. This study presents potential pathogenic pathways and suggests a
possible answer to the paradox of why aging women become more edentulous than men
despite better overall dental care.
PMID- 21903570
TI - Oral erythema multiforme major: a triple case report.
AB - This article presents three cases of erythema multiforme (EM) major with
predominant oral mucosal lesions. Two males, aged 28 and 10, and a 19-year-old
woman came to the clinic with oral vesiculoerosive lesions consistent with a
diagnosis of EM major. All three patients reported histories consistent with an
initial oral herpetic infection. Management with topical and systemic steroids
resolved the lesions successfully in all three cases. The relatively recent
literature has documented differences between EM and Stevens-Johnson syndrome. It
is important for dentists to understand the etiology of EM and the associated
diagnostic and management issues.
PMID- 21903571
TI - Comparing primary and secondary wound healing discomfort after mandibular third
molar surgery: a randomized, double-blind clinical trial.
AB - Extraction of impacted mandibular third molars is one of the most common
procedures in the oral cavity and often is followed by pain, swelling, and
postextraction alveolitis and trismus. It has been suggested that postoperative
discomfort is in relation to the type of surgical wound healing. The aim of this
study was to compare pain, swelling, and maximum mouth opening in two groups of
patients with primary and secondary wound healing after impacted mandibular third
molar surgery. Thirty-two patients were enrolled in this study and randomly
divided into two equal groups, quantitatively and by gender. After the surgical
procedures, 16 patients received primary wound closure, while the other 16
participants received secondary wound closure. A visual analog scale was used to
collect pain data three days after the surgeries. A checklist was used to record
data regarding swelling size and maximum mouth opening before, immediately
following, three days after, and one week after surgery. Frequency tests and a t
test were used for statistical analysis and a P value of <0.05 was considered to
be statistically significant. Patients in the secondary wound healing group
showed statistically significant lower discomfort regarding pain, swelling size,
and maximal mouth opening compared to the primary wound healing group. The
authors suggest the use of secondary wound healing closure to reduce
postoperative complications such as pain, maximal mouth opening, and swelling
size after impacted mandibular third molar extractions.
PMID- 21903572
TI - Altered mandibular anatomy. Systemic sclerosis.
PMID- 21903573
TI - Mandibular radiolucency. Venous malformation.
PMID- 21903574
TI - FGF-23 associates with death, cardiovascular events, and initiation of chronic
dialysis.
AB - Concentrations of the phosphate-regulating hormone fibroblast growth factor-23
(FGF-23) are elevated in patients with chronic kidney disease (CKD), but whether
higher plasma FGF-23 concentrations associate with all-cause mortality,
cardiovascular events, or initiation of chronic dialysis is not completely
understood. Here, we measured FGF-23 concentration in stored plasma samples from
1099 patients with advanced CKD who participated in The Homocysteine in Kidney
and End Stage Renal Disease study. Mean serum phosphorus concentration was 4.3
mg/dl, median FGF-23 concentration was 392 RU/ml, and mean GFR was 18 ml/min/1.73
m(2). During a median follow-up of 2.9 yr, 453 (41%) patients died from any
cause, 215 (20%) had a cardiovascular event, and 615 (56%) initiated chronic
dialysis. Compared with the lowest quartile of FGF-23, each subsequent quartile
associated with a progressively higher risk for death, adjusted for confounders
(HR [95% CI] of 1.24 [0.91 to 1.69], 1.76 [1.28 to 2.44], and 2.17 [1.56 to 3.08]
for the second through fourth quartiles, respectively). In addition, compared
with the lowest quartile, the two highest quartiles of FGF-23 also associated
with a significantly elevated risk for cardiovascular events and initiation of
chronic dialysis. In conclusion, in advanced CKD, FGF-23 strongly and
independently associates with all-cause mortality, cardiovascular events, and
initiation of chronic dialysis.
PMID- 21903575
TI - Mitotic centromere-associated kinesin (MCAK) mediates paclitaxel resistance.
AB - Paclitaxel has powerful anticancer activity, but some tumors are inherently
resistant to the drug, whereas others are initially sensitive but acquire
resistance during treatment. To deal with this problem, it will be necessary to
understand the mechanisms of drug action and resistance. Recent studies indicate
that paclitaxel blocks cell division by inhibiting the detachment of microtubules
from centrosomes. Here, we demonstrate that mitotic centromere-associated kinesin
(MCAK), a kinesin-related protein that destabilizes microtubules, plays an
important role in microtubule detachment. Depletion of MCAK altered mitotic
spindle morphology, increased the frequency of lagging chromosomes, and inhibited
the proliferation of WT CHO cells, confirming that it is an essential protein for
cell division. In contrast, MCAK depletion rescued the proliferation of mutant
paclitaxel-dependent cell lines that are unable to divide because of defective
spindle function resulting from altered alpha-tubulin or class III beta-tubulin
overexpression. In concert with the correction of mitotic defects, loss of MCAK
reversed an aberrantly high frequency of microtubule detachment in the mutant
cells and increased their sensitivity to paclitaxel. The results indicate that
MCAK affects cell sensitivity to mitotic inhibitors by modulating the frequency
of microtubule detachment, and they demonstrate that changes in a microtubule
interacting protein can reverse the effects of mutant tubulin expression.
PMID- 21903576
TI - Control of protein kinase C activity, phorbol ester-induced cytoskeletal
remodeling, and cell survival signals by the scaffolding protein
SSeCKS/GRAVIN/AKAP12.
AB - The product of the SSeCKS/GRAVIN/AKAP12 gene ("SSeCKS") is a major protein kinase
(PK) C substrate that exhibits tumor- and metastasis-suppressing activity likely
through its ability to scaffold multiple signaling mediators such as PKC, PKA,
cyclins, calmodulin, and Src. Although SSeCKS and PKCalpha bind
phosphatidylserine, we demonstrate that phosphatidylserine-independent binding of
PKC by SSeCKS is facilitated by two homologous SSeCKS motifs,
EG(I/V)(T/S)XWXSFK(K/R)(M/L)VTP(K/R)K(K/R)X(K/R)XXXEXXXE(E/D) (amino acids 592
620 and 741-769). SSeCKS binding to PKCalpha decreased kinase activity and was
dependent on the two PKC-binding motifs. SSeCKS scaffolding of PKC was increased
in confluent cell cultures, correlating with significantly increased SSeCKS
protein levels and decreased PKCalpha activity, suggesting a role for SSeCKS in
suppressing PKC activation during contact inhibition. SSeCKS-null mouse embryo
fibroblasts displayed increased relative basal and phorbol ester (phorbol 12
myristate 13-acetate)-induced PKC activity but were defective in phorbol 12
myristate 13-acetate-induced actin cytoskeletal reorganization and cell shape
change; these responses could be rescued by the forced expression of full-length
SSeCKS but not by an SSeCKS variant deleted of its PKC-binding domains. Finally,
the PKC binding sites in SSeCKS were required to restore cell rounding and/or
decreased apoptosis in phorbol ester-treated LNCaP, LNCaP-C4-2, and MAT-LyLu
prostate cancer cells. Thus, PKC-mediated remodeling of the actin cytoskeleton is
likely regulated by the ability of SSeCKS to control PKC signaling and activity
through a direct scaffolding function.
PMID- 21903577
TI - Growth and shortening of microtubules: a two-state model approach.
AB - In this study, a two-state mechanochemical model is presented to describe the
dynamic instability of microtubules (MTs) in cells. The MT switches between two
states, the assembly and disassembly states. In assembly state, the growth of MTs
includes two processes: free GTP-tubulin binding to the tip of protofilament (PF)
and conformation change of PF, during which the first tubulin unit that curls
outwards is rearranged onto the MT surface, using the energy released from the
hydrolysis of GTP in the penultimate tubulin unit. In the disassembly state, the
shortening of MTs also includes two processes, the release of GDP-tubulin from
the tip of PF and the curling of one new tubulin unit out of the MT surface.
Switches between these two states, which are usually called rescue and
catastrophe, happen stochastically with external force-dependent rates. Using
this two-state model with parameters obtained by fitting the recent experimental
data, detailed properties of MT growth are obtained. I find that MT is mainly in
the assembly state, its mean growth velocity increases with both the external
force and the GTP-tubulin concentration, and an MT will shorten on average
without an external force. To know more about the external force and GTP-tubulin
concentration-dependent properties of MT growth, and for future experimental
verification of this two-state model, 11 critical forces are defined and
discussed numerically.
PMID- 21903578
TI - Desmin regulates airway smooth muscle hypertrophy through early growth-responsive
protein-1 and microRNA-26a.
AB - Bronchial biopsies of asthmatic patients show a negative correlation desmin
expression in airway smooth muscle cell (ASMC) and airway hyperresponsiveness. We
previously showed that desmin is an intracellular load-bearing protein, which
influences airway compliance, lung recoil, and airway contractile responsiveness
(Shardonofsky, F. R., Capetanaki, Y., and Boriek, A. M. (2006) Am. J. Physiol.
Lung Cell. Mol. Physiol. 290, L890-L896). These results suggest that desmin may
play an important role in ASMC homeostasis. Here, we report that ASMCs of desmin
null mice (ASMCs(Des-/-)) show hypertrophy and up-regulation microRNA-26a (miR
26a). Knockdown of miR-26a in ASMCs(Des-/-) inhibits hypertrophy, whereas
enforced expression of miR-26a in ASMCs(Des+/+) induces hypertrophy. We identify
that Egr1 (early growth responsive protein-1) activates miR-26a promoter via
enhanced phosphorylation of Erk1/2 in ASMCs(Des-/-). We show glycogen synthase
kinase-3beta (GSK-3beta) as a target gene of miR-26a. Moreover, induction of
ASMCs(Des-/-) hypertrophy by the Erk-1/2/Egr-1/miR-26a/GSK-3beta pathway is
consistent in human recombinant ASMCs, which stably suppresses 90% endogenous
desmin expression. Overall, our data demonstrate a novel role for desmin as an
anti-hypertrophic protein necessary for ASMC homeostasis and identifies desmin as
a novel regulator of microRNA.
PMID- 21903579
TI - p53-independent epigenetic repression of the p21(WAF1) gene in T-cell acute
lymphoblastic leukemia.
AB - The p53 protein is a primary mediator of cellular apoptosis and growth arrest
after exposure to DNA-damaging agents. Previous work has shown that the majority
of childhood acute lymphoblastic leukemia (ALL) cases express a wild type p53
gene, although the functionality of the p53 pathway has rarely been validated. In
the present study, the integrity of the p53 pathway was investigated in a panel
of ALL cell lines and xenografts established from direct patient explants in
immune-deficient mice. A focused real-time quantitative reverse transcription PCR
array of known p53-regulated genes identified p21(WAF1) (CDKN1A) as the highest
ranked gene to be differentially expressed between B-cell precursor (BCP)-ALL and
T-ALL xenografts following exposure to the DNA-damaging drug etoposide. Lack of
p21(WAF1) induction was observed in six of seven T-ALL xenograft lines, as well
as primary T-ALL cells following irradiation exposure, despite an otherwise
functional p53 response. Repression of p21(WAF1) in T-ALL cells was associated
with decreased acetylated H3K9 localized at its promoter compared with BCP-ALL
cells, together with increased CpG methylation within the first exon and intron.
Although the histone deacetylase inhibitor vorinostat failed to induce p21(WAF1)
in T-ALL samples, the combination of vorinostat and the demethylating agent
decitabine reactivated expression of the silenced p21(WAF1) gene in the Molt-4 T
ALL cell line. Considering the known anti-apoptotic function of p21(WAF1), our
findings have significant implications for the responses of T- versus BCP-ALL
cells to chemotherapeutic drugs that induce p21(WAF1).
PMID- 21903580
TI - 5-aza-2'-deoxycytidine activates iron uptake and heme biosynthesis by increasing
c-Myc nuclear localization and binding to the E-boxes of transferrin receptor 1
(TfR1) and ferrochelatase (Fech) genes.
AB - The hypomethylating agent 5-aza-2'-deoxycytidine (5-aza-CdR) and its derivatives
have been successfully used for the treatment of myelodysplastic syndromes, and
they frequently improve the anemia that usually accompanies these disorders.
However, the molecular mechanisms underlying this action remain poorly
understood. In this study, we used two erythroid models, murine erythroid
leukemia cells and erythroid burst-forming unit-derived erythroblasts, to show
that 5-aza-CdR induced erythroid differentiation and increased the expression of
transferrin receptor 1 (TfR1) and ferrochelatase (Fech), thereby increasing iron
uptake and heme biosynthesis. We have identified new regulatory E-boxes that lie
outside of CpG islands in the TfR1 and Fech promoters, and the methylation status
of these sites can be altered by 5-aza-CdR treatment. This in turn altered the
binding of the transcription factor c-Myc to these promoter elements.
Furthermore, 5-aza-CdR promoted the nuclear translocation of c-Myc and its
binding to Max to form functional complexes. The coordinated actions of 5-aza-CdR
on the methylation status of the target genes and in stimulating the nuclear
translocation of c-Myc provide new molecular insights into the regulation of E
boxes and explain, at least in part, the increased erythroid response to 5-aza
CdR treatment.
PMID- 21903581
TI - Two-pore channels form homo- and heterodimers.
AB - Two-pore channels (TPCs) have been recently identified as NAADP-regulated Ca(2+)
release channels, which are localized on the endolysosomal system. TPCs have a 12
transmembrane domain (TMD) structure and are evolutionary intermediates between
the 24-TMD alpha-subunits of Na(+) or Ca(2+) channels and the transient receptor
potential channel superfamily, which have six TMDs in a single subunit and form
tetramers with 24 TMDs as active channels. Based on this relationship, it is
predicted that TPCs dimerize to form functional channels, but the dimerization of
human TPCs has so far not been studied. Using co-immunoprecipitation studies and
a mass spectroscopic analysis of the immunocomplex, we show the presence of homo-
and heteromeric complexes for human TPC1 and TPC2. Despite their largely distinct
localization, we identified a discrete number of endosomes that coexpressed TPC1
and TPC2. Homo- and heteromerization were confirmed by a FRET study, showing that
both proteins interacted in a rotational (N- to C-terminal/head-to-tail)
symmetry. This is the first report describing the presence of homomultimeric TPC1
channels and the first study showing that TPCs are capable of forming heteromers.
PMID- 21903582
TI - Elevated CO(2) levels cause mitochondrial dysfunction and impair cell
proliferation.
AB - Elevated CO(2) concentrations (hypercapnia) occur in patients with severe lung
diseases. Here, we provide evidence that high CO(2) levels decrease O(2)
consumption and ATP production and impair cell proliferation independently of
acidosis and hypoxia in fibroblasts (N12) and alveolar epithelial cells (A549).
Cells exposed to elevated CO(2) died in galactose medium as well as when glucose
6-phosphate isomerase was knocked down, suggesting mitochondrial dysfunction.
High CO(2) levels led to increased levels of microRNA-183 (miR-183), which in
turn decreased expression of IDH2 (isocitrate dehydrogenase 2). The high CO(2)
induced decrease in cell proliferation was rescued by alpha-ketoglutarate and
overexpression of IDH2, whereas proliferation decreased in normocapnic cells
transfected with siRNA for IDH2. Also, overexpression of miR-183 decreased IDH2
(mRNA and protein) as well as cell proliferation under normocapnic conditions,
whereas inhibition of miR-183 rescued the normal proliferation phenotype in cells
exposed to elevated levels of CO(2). Accordingly, we provide evidence that high
CO(2) induces miR-183, which down-regulates IDH2, thus impairing mitochondrial
function and cell proliferation. These results are of relevance to patients with
hypercapnia such as those with chronic obstructive pulmonary disease, asthma,
cystic fibrosis, bronchopulmonary dysplasia, and muscular dystrophies.
PMID- 21903583
TI - Crystal structures of multicopper oxidase CueO bound to copper(I) and silver(I):
functional role of a methionine-rich sequence.
AB - The multicopper oxidase CueO oxidizes toxic Cu(I) and is required for copper
homeostasis in Escherichia coli. Like many proteins involved in copper
homeostasis, CueO has a methionine-rich segment that is thought to be critical
for copper handling. How such segments function is poorly understood. Here, we
report the crystal structure of CueO at 1.1 A with the 45-residue methionine-rich
segment fully resolved, revealing an N-terminal helical segment with methionine
residues juxtaposed for Cu(I) ligation and a C-terminal highly mobile segment
rich in methionine and histidine residues. We also report structures of CueO with
a C500S mutation, which leads to loss of the T1 copper, and CueO with six
methionines changed to serine. Soaking C500S CueO crystals with Cu(I), or wild
type CueO crystals with Ag(I), leads to occupancy of three sites, the previously
identified substrate-binding site and two new sites along the methionine-rich
helix, involving methionines 358, 362, 368, and 376. Mutation of these residues
leads to a ~4-fold reduction in k(cat) for Cu(I) oxidation. Ag(I), which often
appears with copper in nature, strongly inhibits CueO oxidase activities in vitro
and compromises copper tolerance in vivo, particularly in the absence of the
complementary copper efflux cus system. Together, these studies demonstrate a
role for the methionine-rich insert of CueO in the binding and oxidation of Cu(I)
and highlight the interplay among cue and cus systems in copper and silver
homeostasis.
PMID- 21903584
TI - Neuronal death induced by nanomolar amyloid beta is mediated by primary
phagocytosis of neurons by microglia.
AB - Alzheimer disease is characterized by neuronal loss and brain plaques of
extracellular amyloid beta (Abeta), but the means by which Abeta may induce
neuronal loss is not entirely clear. Although high concentrations of Abeta (MUM)
can induce direct toxicity to neurons, we find that low concentration (nM) induce
neuronal loss through a microglia-mediated mechanism. In mixed neuronal-glial
cultures from rat cerebellum, 250 nM Abeta1-42 (added as monomers, oligomers or
fibers) induced about 30% loss of neurons between 2 and 3 days. This neuronal
loss occurred without any increase in neuronal apoptosis or necrosis, and no
neuronal loss occurred with Abeta42-1. Abeta greatly increased the phagocytic
capacity of microglia and induced phosphatidylserine exposure (an "eat-me"
signal) on neuronal processes. Blocking exposed phosphatidylserine by adding
annexin V or an antibody to phosphatidylserine or inhibiting microglial
phagocytosis by adding either cytochalasin D (to block actin polymerization) or
cyclo(RGDfV) (to block vitronectin receptors) significantly prevented neuronal
loss. Loss of neuronal synapses occurred in parallel with loss of cell bodies and
was also prevented by blocking phagocytosis. Inhibition of phagocytosis prevented
neuronal loss with no increase in neuronal death, even after 7 days, suggesting
that microglial phagocytosis was the primary cause of neuronal death induced by
nanomolar Abeta.
PMID- 21903585
TI - RAD51-associated protein 1 (RAD51AP1) interacts with the meiotic recombinase DMC1
through a conserved motif.
AB - Homologous recombination (HR) reactions mediated by the RAD51 recombinase are
essential for DNA and replication fork repair, genome stability, and tumor
suppression. RAD51-associated protein 1 (RAD51AP1) is an important HR factor that
associates with and stimulates the recombinase activity of RAD51. We have
recently shown that RAD51AP1 also partners with the meiotic recombinase DMC1,
displaying isoform-specific interactions with DMC1. Here, we have characterized
the DMC1 interaction site in RAD51AP1 by a series of truncations and point
mutations to uncover a highly conserved WVPP motif critical for DMC1 interaction
but dispensable for RAD51 association. This RAD51AP1 motif is reminiscent of the
FVPP motif in the tumor suppressor protein BRCA2 that mediates DMC1 interaction.
These results further implicate RAD51AP1 in meiotic HR via RAD51 and DMC1.
PMID- 21903586
TI - miR-125b, a target of CDX2, regulates cell differentiation through repression of
the core binding factor in hematopoietic malignancies.
AB - MicroRNA-125b (miR-125b), a small noncoding RNA molecule, has been found to be
deregulated and functions as an oncogene in many cancers including hematopoietic
malignancies. However, the mechanisms accounting for miR-125b dysregulation
remain to be elucidated. The present study aims to identify the factors that
might contribute to up-regulation of miR-125b in human hematopoietic malignancies
and its downstream targets for lineage-specific differentiation. We at first
reported that CDX2, a homeobox transcription factor, binds to promoter regions of
the miR-125b gene and activates transcriptional regulation of miR-125b in
malignant myeloid cells. We further revealed that increasing levels of CDX2 in
malignant myeloid cells activate miR-125b expression, which in turn inhibits core
binding factor beta (CBFbeta) translation, thereby counteracting myeloid cell
differentiation, at least for granulocytic lineage, and promoting leukemogenesis.
Interestingly, we found that this novel pathway including CDX2, miR-125b, and
CBFbeta was mediated by undergoing all-trans-retinoic acid induction. Once
differentiation ensues with all-trans-retinoic acid treatment, CDX2 activity
decreases, leading to a reduction in miR-125b transcription and up-regulation of
CBFbeta in myeloid cells and in patients. The study provides a new mechanism that
contributes to hematopoietic malignancies, which could involve deregulation of
miR-125b and its up- and downstream factors. As altered expression of miRNAs has
been reported in a wide range of malignancies, delineating the underlying
molecular mechanisms of aberrant miRNA expression and characterizing the upstream
and downstream factors will help to understand important steps in the
pathogenesis of these afflictions.
PMID- 21903587
TI - Discrete M3-M4 intracellular loop subdomains control specific aspects of gamma
aminobutyric acid type A receptor function.
AB - The GABA type A receptor (GABA(A)R) is a member of the pentameric ligand gated
ion channel (pLGIC) family that mediates ionotropic neurotransmission. Residues
in the intracellular loop domain (ILD) have recently been shown to define part of
the ion permeation pathway in several closely related members of the pentameric
ligand gated ion channel family. In this study, we investigated the role the ILD
of the GABA(A)R alpha1 subunit plays in channel function. Deletion of the alpha1
ILD resulted in a significant increase in GABA EC(50) and maximal current
amplitude, suggesting that the ILD must be intact for proper receptor function.
To test this hypothesis, we conducted a mutagenic screen of all amino acids
harboring ionizable side chains within this domain to investigate the
contribution of individual charged residues to ion permeation. Using macroscopic
and single channel voltage-clamp recording techniques, we found that mutations
within a subdomain of the alpha1 ILD near M3 altered GABA apparent affinity;
interestingly, alpha1(K312E) exhibited reduced partial agonist efficacy. We
introduced point mutations near M4, including alpha1(K383E) and alpha1(K384E),
that enhanced receptor desensitization. Mutation of 5 charged residues within a
39-residue span contiguous with M4 reduced relative anion permeability of the
channel and may represent a weak intracellular selectivity filter. Within this
subdomain, the alpha1(K378E) mutation induced a significant reduction in single
channel conductance, consistent with our hypothesis that the GABA(A)R alpha1 ILD
contributes directly to the permeation pathway.
PMID- 21903588
TI - Characterization of Cholix toxin-induced apoptosis in HeLa cells.
AB - Cholix toxin (Cholix) is a novel ADP-ribosylating cytotoxin produced by Vibrio
cholerae, which utilizes eukaryotic elongation factor 2 as a substrate and acts
by a mechanism similar to that of diphtheria toxin and Pseudomonas exotoxin A.
First it was found that Cholix-treated HeLa cells exhibited caspase-dependent
apoptosis, whereas intestinal cells such as Caco-2, HCT116, and RKO did not. Here
we investigated Cholix-induced cell death signaling pathways in HeLa cells.
Cholix-induced cytochrome c release into cytosol was initiated by specific
conformational changes of pro-apoptotic Bak associated with Bax. Silencing of
bak/bax genes or bak gene alone using siRNA significantly suppressed cytochrome c
release and caspase-7 activation, but not activation of caspases-3 and -9.
Although pretreatment with a caspase-8 inhibitor (Z-IETD-FMK) reduced Cholix
induced cytochrome c release and activation of caspases-3, -7, and -9,
cytotoxicity was not decreased. Pretreatment with Z-YVAD-FMK, which inhibits
caspase-1, -4, and -5, suppressed not only cytochrome c release, activation of
caspase-3, -7, -8, or -9, and PARP cleavage, but also cytotoxicity, indicating
that caspase-1, -4, and -5 activation is initiated at an early stage of Cholix
induced apoptosis and promotes caspase-8 activation. These results show that the
inflammatory caspases (caspase-1, -4, and -5) and caspase-8 are responsible for
both mitochondrial signals and other caspase activation. In conclusion, we showed
that Cholix-induced caspase activation plays an essential role in generation of
apoptotic signals, which are mediated by both mitochondria-dependent and
independent pathways.
PMID- 21903589
TI - Oncogenic ras-induced down-regulation of pro-apoptotic protease caspase-2 is
required for malignant transformation of intestinal epithelial cells.
AB - Resistance of carcinoma cells to anoikis, apoptosis that is normally induced by
loss of cell-to-extracellular matrix adhesion, is thought to be essential for the
ability of these cells to form primary tumors, invade adjacent tissues, and
metastasize to distant organs. Current knowledge about the mechanisms by which
cancer cells evade anoikis is far from complete. In an effort to understand these
mechanisms, we found that ras, a major oncogene, down-regulates protease caspase
2 (which initiates certain steps of the cellular apoptotic program) in malignant
human and rat intestinal epithelial cells. This down-regulation could be reversed
by inhibition of a protein kinase Mek, a mediator of Ras signaling. We also found
that enforced down-regulation of caspase-2 in nonmalignant intestinal epithelial
cells by RNA interference protected them from anoikis. Furthermore, the reversal
of the effect of Ras on caspase-2 achieved by the expression of exogenous caspase
2 in detached ras-transformed intestinal epithelial cells promoted well
established apoptotic events, such as the release of the pro-apoptotic
mitochondrial factors cytochrome c and HtrA2/Omi into the cytoplasm of these
cells, significantly enhanced their anoikis susceptibility, and blocked their
long term growth in the absence of adhesion to the extracellular matrix. Finally,
the blockade of the effect of Ras on caspase-2 substantially suppressed growth of
tumors formed by the ras-transformed cells in mice. We conclude that ras-induced
down-regulation of caspase-2 represents a novel mechanism by which oncogenic Ras
protects malignant intestinal epithelial cells from anoikis, promotes their
anchorage-independent growth, and allows them to form tumors in vivo.
PMID- 21903590
TI - MYC protein inhibits transcription of the microRNA cluster MC-let-7a-1~let-7d via
noncanonical E-box.
AB - The human microRNA cluster MC-let-7a-1~let-7d, with three members let-7a-1, let
7f-1, and let-7d, is an important cluster of the let-7 family. These microRNAs
play critical roles in regulating development and carcinogenesis. Therefore,
precise control of MC-let-7a-1~let-7d level is critical for cellular functions.
In this study, we first showed that the expression of these three members was
significantly reduced in human hepatocellular carcinoma HepG2 cells as compared
with the immortalized human liver L02 cells. We demonstrated that the MC-let-7a
1~let-7d cluster was encoded by a single polycistronic transcript driven by a 10
kb upstream promoter, with two MYC-binding sites. Importantly, MYC inhibited MC
let-7a-1~let-7d promoter activity via binding to the noncanonical E-box 3
downstream of the transcription start sites, whereas it enhanced promoter
activity by binding to the canonical E-box 2 upstream of the transcription start
sites. We found that although the binding affinity of MYC to E-box 2 was stronger
than E-box 3, the binding quantum of MYC to E-box 3 was significantly higher in
cancerous HepG2 cells as compared with the noncancerous L02 cells. In addition,
forced expression of let-7 could reverse the MYC-mediated cell proliferation.
These findings suggested that in L02 cells with a low level of MYC, MYC binds
mainly to E-box 2 to enhance MC-let-7a-1~let-7d expression. However, in HepG2
cells with an elevated MYC, the extra MYC could bind to E-box 3 to suppress the
transcription of MC-let-7a-1~let-7d and thus enable HepG2 cells to maintain a
high level of MYC and a low level of let-7 microRNAs simultaneously.
PMID- 21903591
TI - Antagonists of anaphase-promoting complex (APC)-2-cell cycle and apoptosis
regulatory protein (CARP)-1 interaction are novel regulators of cell growth and
apoptosis.
AB - CARP-1/CCAR1, a perinuclear phosphoprotein, is a regulator of cell growth and
apoptosis signaling. Although CARP-1 is a regulator of chemotherapy-dependent
apoptosis, it is also a part of the NF-kappaB proteome and a co-activator of
steroid/thyroid nuclear receptors as well as beta-catenin signaling. Our yeast
two-hybrid screen revealed CARP-1 binding with the anaphase-promoting
complex/cyclosome E3 ubiquitin ligase component APC-2 protein. CARP-1 also binds
with anaphase-promoting complex/cyclosome co-activators Cdc20 and Cdh1. Following
mapping of the minimal epitopes involved in CARP-1 binding with APC-2, a
fluorescence polarization assay was established that indicated a dissociation
constant (K(d)) of 480 nm for CARP-1/APC-2 binding. Fluorescence polarization
assay-based high throughput screening of a chemical library yielded several small
molecule antagonists of CARP-1/APC-2 binding, termed CARP-1 functional mimetics.
CFM-4 (1(2-chlorobenzyl)-5'-phenyl-3'H-spiro[indoline-3,2'-[1,3,4]thiadiazol]-2
one), a lead compound, binds with and stimulates CARP-1 expression. CFM-4
prevents CARP-1 binding with APC-2, causes G(2)M cell cycle arrest, and induces
apoptosis with an IC(50) range of 10-15 MUm. Apoptosis signaling by CFM-4
involves activation of caspase-8 and -9 and caspase-mediated ubiquitin-proteasome
pathway-independent loss of cyclin B1 and Cdc20 proteins. Depletion of CARP-1,
however, interferes with CFM-4-dependent cell growth inhibition, activation of
caspases, and apoptosis. Because CFM-4 also suppresses growth of drug-resistant
human breast cancer cells without affecting the growth of human breast epithelial
MCF-10A cells, elevating CARP-1 by CFM-4 and consequent apoptosis could in
principle be exploited to further elucidate, and perhaps effectively target,
often deregulated cell cycle pathways in pathological conditions, including
cancer.
PMID- 21903593
TI - Top-down dimensional weight set determines the capture of visual attention:
evidence from the PCN component.
AB - Visual search for feature singletons is slowed when a task-irrelevant, but more
salient distracter singleton is concurrently presented. While there is a
consensus that this distracter interference effect can be influenced by internal
system settings, it remains controversial at what stage of processing this
influence starts to affect visual coding. Advocates of the "stimulus-driven" view
maintain that the initial sweep of visual processing is entirely driven by
physical stimulus attributes and that top-down settings can bias visual
processing only after selection of the most salient item. By contrast, opponents
argue that top-down expectancies can alter the initial selection priority, so
that focal attention is "not automatically" shifted to the location exhibiting
the highest feature contrast. To precisely trace the allocation of focal
attention, we analyzed the Posterior-Contralateral-Negativity (PCN) in a task in
which the likelihood (expectancy) with which a distracter occurred was
systematically varied. Our results show that both high (vs. low) distracter
expectancy and experiencing a distracter on the previous trial speed up the
timing of the target-elicited PCN. Importantly, there was no distracter-elicited
PCN, indicating that participants did not shift attention to the distracter
before selecting the target. This pattern unambiguously demonstrates that
preattentive vision is top-down modifiable.
PMID- 21903592
TI - Hydrophilic residues are crucial for ribosomal protein L11 (RPL11) interaction
with zinc finger domain of MDM2 and p53 protein activation.
AB - Ribosomal protein L11 (RPL11) has been shown to activate p53 by binding to MDM2
and negating its p53 suppression activity in response to ribosomal stress.
Although a mutation at Cys-305 within the zinc finger domain of MDM2 has been
shown to drastically impair MDM2 interaction with RPL11 and thus escapes the
inhibition by this ribosomal protein, it still remains elusive whether RPL11
inactivates MDM2 via direct action on this zinc finger domain and what is the
chemical nature of this specific interaction. To define the roles of the MDM2
zinc finger in association with RPL11, we conducted hydrogen-deuterium exchange
mass spectrometry, computational modeling, circular dichroism, and mutational
analyses of the zinc finger domain of MDM2 and human RPL11. Our study reveals
that RPL11 forms a stable complex with MDM2 in vitro through direct contact with
its zinc finger. This binding is disrupted by single mutations of non-cysteine
amino acids within the zinc finger domain of MDM2. Basic residues in RPL11 are
crucial for the stable binding and RPL11 suppression of MDM2 activity toward p53.
These results provide the first line of evidence for the specific interaction
between RPL11 and the zinc finger of MDM2 via hydrophilic residues as well as a
molecular foundation for better understanding RPL11 inhibition of MDM2 function.
PMID- 21903594
TI - Depression of release by mGluR8 alters Ca2+ dependence of release machinery.
AB - The ubiquitous presynaptic metabotropic glutamate receptors (mGluRs) are
generally believed to primarily inhibit synaptic transmission through blockade of
Ca(2+) entry. Here, we analyzed how mGluR8 achieves a nearly complete inhibition
of glutamate release at hippocampal synapses. Surprisingly, presynaptic Ca(2+)
imaging and miniature excitatory postsynaptic current recordings showed that
mGluR8 acts without affecting Ca(2+) entry, diffusion, and buffering. We
quantitatively compared the Ca(2+) dependence of the inhibition of release by
mGluR8 with the inhibition by omega-conotoxin GVIA. These calculations suggest
that the inhibition produced by mGluR8 may be explained by a decrease in the
apparent Ca(2+) affinity of the release sensor and, to a smaller extent, by a
reduction of the maximal release rate. Upon activation of mGluR8, phasic
transmitter release toward the end of a train of action potentials is greater as
compared with presynaptic inhibition induced by blocking Ca(2+) entry, which is
consistent with the important role of Ca(2+) in accelerating the replenishment of
released vesicles. The action of mGluR8 was resistant to blockers of classical G
protein transduction pathways including inhibition of adenylate cyclase and may
represent a direct effect on the release machinery. In conclusion, our data
identify a mode of presynaptic inhibition which allows mGluR8 to profoundly
inhibit vesicle fusion while not diminishing vesicle replenishment and which
thereby differentially changes the temporal transmission properties of the
inhibited synapse.
PMID- 21903596
TI - Opportunistic infections in paediatric inflammatory bowel disease patients.
PMID- 21903597
TI - Association of paediatric inflammatory bowel disease with other immune-mediated
diseases.
AB - BACKGROUND: Associations between inflammatory bowel diseases (IBDs) and other
immune-mediated diseases have been described in adult populations. Whether such
associations exist in childhood-onset disease remains unknown. OBJECTIVES: The
authors sought to evaluate whether paediatric IBD is associated with the
occurrence of other immune-mediated diseases. STUDY DESIGN: The authors
identified cases of Crohn's disease (CD) and ulcerative colitis (UC), <=20 years
of age, using administrative data from 87 health plans. Each case was matched to
three controls, on the basis of age, gender, and geographical region. The authors
used logistic regression to compare the prevalence of various immune-mediated
diseases (identified by International Classification of Diseases, ninth revision
codes) in cases versus controls. RESULTS: The study included 737 children with CD
(1997 controls) and 488 with UC (1310 controls). CD was associated with a higher
prevalence of rheumatoid arthritis (OR 15.7, 95% CI 4.6 to 53.7), lupus (OR 41.0,
95% CI 2.3 to 719.1) and hypothyroidism (OR 2.9, 95% CI 1.4 to 6.1), with a trend
toward an increased prevalence of asthma, eczema, allergic rhinitis and diabetes.
UC was associated with a higher prevalence of diabetes (OR 2.7, 95% CI 1.1 to
6.6), with a trend towards increased prevalence of asthma, eczema, allergic
rhinitis, hypothyroidism, rheumatoid arthritis and lupus. DISCUSSION: Children
with IBD, particularly CD, have an elevated risk for immune-mediated conditions.
This comorbidity adds to the burden of paediatric IBD, and suggests common
aetiologic mechanisms.
PMID- 21903598
TI - An unusual complication of foetal blood sampling.
PMID- 21903599
TI - What causes attention deficit hyperactivity disorder?
AB - Attention deficit hyperactivity disorder (ADHD) affects around 1-3% of children.
There is a high level of comorbidity with developmental and learning problems as
well as with a variety of psychiatric disorders. ADHD is highly heritable,
although there is no single causal risk factor and non-inherited factors also
contribute to its aetiology. The genetic and environmental risk factors that have
been implicated appear to be associated with a range of neurodevelopmental and
neuropsychiatric outcomes, not just ADHD. The evidence to date suggests that both
rare and multiple common genetic variants likely contribute to ADHD and modify
its phenotype. ADHD or a similar phenotype also appears to be more common in
extreme low birth weight and premature children and those exposed to exceptional
early adversity. In this review, the authors consider recent developments in the
understanding of risk factors that influence ADHD.
PMID- 21903600
TI - Recurrent faints due to asystole in an adolescent boy.
PMID- 21903601
TI - Pediculosis causing iron deficiency anaemia in school children.
PMID- 21903602
TI - Kawasaki disease as a cause of encephalitis.
PMID- 21903603
TI - Outcome of surgical complications following simultaneous pancreas-kidney
transplantation.
AB - BACKGROUND: Simultaneous pancreas-kidney (SPK) transplantation carries a higher
risk of surgical complications than kidney transplantation alone. We aimed to
establish the incidence of surgical complications after SPK transplantation and
determine the effect on graft and patient survival. METHODS: Outcomes of all SPK
transplants performed at our centre were compared between patients who
experienced a surgical complication (SC group) and those who did not (NSC group).
RESULTS: Our centre performed 193 SPK transplants in a 15-year period; 44
patients (23%) experienced a surgical complication. One-year and 5-year
pancreatic graft survival was 89 and 80%, respectively; this was lower in the SC
group. There was no significant difference in patient or kidney graft survival
between the SC and NSC groups at 5 years (92 and 83%, respectively.) CONCLUSION:
Surgical complications following SPK transplantation can cause significant
morbidity and adversely affect pancreas graft survival, but do not affect long
term kidney or patient survival.
PMID- 21903604
TI - High prevalence of vertebral fractures in women with breast cancer starting
aromatase inhibitor therapy.
AB - BACKGROUND: The purpose of this study was to describe bone status in a large
cohort of postmenopausal women with nonmetastatic breast cancer, at the
initiation of aromatase inhibitor therapy. PATIENTS AND METHODS: A prospective,
transversal and clinical study was conducted. Each woman had an extensive medical
history, a biological evaluation, a bone mineral density (BMD) measurement and
spinal X-rays. RESULTS: Four hundred and ninety-seven women aged 63.8 +/- 9.6
years were included in this study. Eighty-five percent of these women had a 25-OH
vitamin D concentration <75 nmol/l. One hundred and fifty-six women (31.4%) had a
T-score < -2 at one of the three site measurements. Ninety-five women (19.1%) had
a history of nonvertebral fracture with a total of 120 fractures. Spine X-rays
evaluation revealed that 20% of the women had at least one vertebral fracture.
The presence of vertebral fracture was associated with nonvertebral fracture
history [odds ratio (OR) 1.6, 95% confidence interval (CI) 1.1-2.4] and with
spine BMD (OR 1.4, 95% CI 1.1-1.7). The prevalence of vertebral fracture reached
62.9% in women with age above 70 years and femoral T-score < -2.5. CONCLUSION:
Before starting aromatase inhibitor therapy for breast cancer, a large proportion
of women had a vitamin D insufficiency and vertebral fractures.
PMID- 21903605
TI - Phase II study of eribulin mesylate (E7389) in patients with metastatic
castration-resistant prostate cancer stratified by prior taxane therapy.
AB - BACKGROUND: Treatment options remain limited for patients with castration
resistant prostate cancer (CRPC). We evaluated eribulin mesylate (E7389), a
nontaxane halichondrin B analog microtubule inhibitor, in patients with
metastatic CRPC with or without previous taxane exposure. PATIENTS AND METHODS:
Men with histologically proven CRPC, with or without prior taxane exposure, were
enrolled in an open-label, single-arm phase II trial. Patients received eribulin
mesylate 1.4 mg/m(2) as a 2- to 5-min i.v. bolus infusion on days 1 and 8 of a 21
day cycle. The primary efficacy end point was prostate-specific antigen (PSA)
response rate. RESULTS: In total, 108 patients were assessable for safety (50
were taxane-pretreated) and 105 for efficacy in the per-protocol population. The
median age of patients was 71 years and median number of cycles was 4. PSA
decreases of >= 50% were achieved in 22.4% and 8.5% of taxane-naive and taxane
pretreated patients, respectively. The most common grade 3/4 adverse event was
neutropenia, seen in 22.4% of chemo-naive and 40% of taxane-pretreated men. Grade
3 peripheral neuropathy occurred in none of the taxane-naive patients and 6.0% of
taxane-pretreated patients. CONCLUSION: Eribulin mesylate demonstrated activity
and a relatively favorable toxicity profile in metastatic CRPC.
PMID- 21903606
TI - Inhibition of focal adhesion kinase by PF-562,271 inhibits the growth and
metastasis of pancreatic cancer concomitant with altering the tumor
microenvironment.
AB - Current therapies for pancreatic ductal adenocarcinoma (PDA) target individual
tumor cells. Focal adhesion kinase (FAK) is activated in PDA, and levels are
inversely associated with survival. We investigated the effects of PF-562,271 (a
small-molecule inhibitor of FAK/PYK2) on (i) in vitro migration, invasion, and
proliferation; (ii) tumor proliferation, invasion, and metastasis in a murine
model; and (iii) stromal cell composition in the PDA microenvironment. Migration
assays were conducted to assess tumor and stromal cell migration in response to
cellular factors, collagen, and the effects of PF-562,271. An orthotopic murine
model was used to assess the effects of PF-562,271 on tumor growth, invasion, and
metastasis. Proliferation assays measured PF-562,271 effects on in vitro growth.
Immunohistochemistry was used to examine the effects of FAK inhibition on the
cellular composition of the tumor microenvironment. FAK and PYK2 were activated
and expressed in patient-derived PDA tumors, stromal components, and human PDA
cell lines. PF-562,271 blocked phosphorylation of FAK (phospho-FAK or Y397) in a
dose-dependent manner. PF-562,271 inhibited migration of tumor cells, cancer
associated fibroblasts, and macrophages. Treatment of mice with PF-562,271
resulted in reduced tumor growth, invasion, and metastases. PF-562,271 had no
effect on tumor necrosis, angiogenesis, or apoptosis, but it did decrease tumor
cell proliferation and resulted in fewer tumor-associated macrophages and
fibroblasts than control or gemcitabine. These data support a role for FAK in PDA
and suggest that inhibitors of FAK may contribute to efficacious treatment of
patients with PDA.
PMID- 21903607
TI - Tasisulam sodium, an antitumor agent that inhibits mitotic progression and
induces vascular normalization.
AB - LY573636-sodium (tasisulam) is a small molecule antitumor agent with a novel
mechanism of action currently being investigated in a variety of human cancers.
In vitro, tasisulam induced apoptosis via the intrinsic pathway, resulting in
cytochrome c release and caspase-dependent cell death. Using high content
cellular imaging and subpopulation analysis of a wide range of in vitro and in
vivo cancer models, tasisulam increased the proportion of cells with 4N DNA
content and phospho-histone H3 expression, leading to G(2)-M accumulation and
subsequent apoptosis. Tasisulam also blocked VEGF, epidermal growth factor, and
fibroblast growth factor-induced endothelial cell cord formation but did not
block acute growth factor receptor signaling (unlike sunitinib, which blocks VEGF
driven angiogenesis at the receptor kinase level) or induce apoptosis in primary
endothelial cells. Importantly, in vivo phenocopying of in vitro effects were
observed in multiple human tumor xenografts. Tasisulam was as effective as
sunitinib at inhibiting neovascularization in a Matrigel plug angiogenesis assay
in vivo and also caused reversible, non G(2)-M-dependent growth arrest in primary
endothelial cells. Tasisulam also induced vascular normalization in vivo.
Interestingly, the combination of tasisulam and sunitinib significantly delayed
growth of the Caki-1 renal cell carcinoma model, whereas neither agent was active
alone. These data show that tasisulam has a unique, dual-faceted mechanism of
action involving mitotic catastrophe and antiangiogenesis, a phenotype distinct
from conventional chemotherapies and published anticancer agents.
PMID- 21903608
TI - Combining curcumin (diferuloylmethane) and heat shock protein inhibition for
neurofibromatosis 2 treatment: analysis of response and resistance pathways.
AB - Neurofibromatosis type 2 (NF2) is a genetic condition characterized by
inactivation of the NF2 tumor suppressor gene and the development of schwannomas.
The NF2 gene product, merlin, is activated (dephosphorylated) by contact
inhibition and promotes growth suppression. We investigated the effect of
curcumin (diferuloylmethane), a molecule with anti-inflammatory and
antitumorigenic properties, on human schwannoma cell growth and the regulation of
merlin by curcumin in both NF2 cells and neuroblastoma (non-NF2) cells. Curcumin
inhibited the growth of HEI-193 schwannoma cells in vitro and downregulated the
phosphorylation of Akt and extracellular signal-regulated kinase 1/2. Curcumin
also activated MYPT1-pp1delta (a merlin phosphatase), which was associated with
dephosphorylation of merlin on serine 518, an event that results in the folding
of merlin to its active conformation. In addition, curcumin induced apoptosis and
generated reactive oxygen species in HEI-193 cells. Consequently, hsp70 was
upregulated at the mRNA and protein levels, possibly serving as a mechanism of
escape from curcumin-induced apoptosis and growth inhibition. Endogenous merlin
and hsp70 proteins interacted in HEI-193 schwannoma and SK-N-AS neuroblastoma
cells. The combination of curcumin and an hsp inhibitor synergistically
suppressed schwannoma cell growth. Our results provide a rationale for combining
curcumin and KNK437 in the treatment of NF2.
PMID- 21903609
TI - Micelle-encapsulated thiostrepton as an effective nanomedicine for inhibiting
tumor growth and for suppressing FOXM1 in human xenografts.
AB - The thiazole antiobiotic, thiostrepton, has been found to induce cell death in
cancer cells through proteasome inhibition. As a proteasome inhibitor,
thiostrepton has also been shown to suppress the expression of FOXM1, the
oncogenic forkhead transcription factor overexpressed in cancer cells. In this
study, we explored the potential in vivo anticancer properties of thiostrepton,
delivered through nanoparticle encapsulation to xenograft models of breast and
liver cancer. We encapsulated thiostrepton into micelles assembled from
amphiphilic lipid-PEG (polyethylene glycol) molecules, where thiostrepton is
solubilized within the inner lipid compartment of the micelle. Upon assembly,
hydrophobic thiostrepton molecules are solubilized into the lipid component of
the micelle shell, formed through the self-assembly of amphipilic lipid-PEG
molecules. Maximum accumulation of micelle-thiostrepton nanoparticles (100 nm in
diameter, -16 mV in zeta potential) into tumors was found at 4 hours
postadministration and was retained for at least 24 hours. Upon continuous
treatment, we found that nanoparticle-encapsulated thiostrepton reduced tumor
growth rates of MDA-MB-231 and HepG2 cancer xenografts. Furthermore, we show for
the first time the in vivo suppression of the oncogenic FOXM1 after treatment
with proteasome inhibitors. Immunoblotting and immunohistochemical staining also
showed increased apoptosis in the treated tumors, as indicated by cleaved caspase
3 expression. Our data suggest that the thiazole antibiotic/proteasome inhibitor
thiostrepton, when formulated into nanoparticles, may be highly suited as a
nanomedicine for treating human cancer.
PMID- 21903612
TI - A new prognostic index centered on polypathological patients. The PROFUND index.
PMID- 21903611
TI - Intrinsic association of widowhood with mortality in community-dwelling older
women and men: findings from a prospective propensity-matched population study.
AB - OBJECTIVES: Widowhood is associated with increased mortality. However, to what
extent this association is independent of other risk factors remains unclear. In
the current study, we used propensity score matching to design a study to examine
the independent association of widowhood with outcomes in a balanced cohort of
older adults in the United States. METHODS: We used public-use copies of the
Cardiovascular Health Study data obtained from the National Heart, Lung, and
Blood Institute. Of the 5,795 community-dwelling older men and women aged 65
years and older in Cardiovascular Health Study, 3,820 were married and 1,436 were
widows or widowers. Propensity scores for widowhood, estimated for each of the
5,256 participants, were used to assemble a cohort of 819 pairs of widowed and
married participants who were balanced on 74 baseline characteristics. The 1,638
matched participants had a mean (+/- standard deviation) age of 75 (+/- 6) years,
78% were women, and 16% African American. RESULTS: All-cause mortality occurred
in 46% (374/819) and 51% (415/819) of matched married and widowed participants,
respectively, during more than 11 years of median follow-up (hazard ratio
associated with widowhood, 1.18; 95% confidence interval, 1.03-1.36; p = .018).
Hazard ratios (95% confidence intervals) for cardiovascular and noncardiovascular
mortalities were 1.07 (0.87-1.32; p = .517) and 1.28 (1.06-1.55; p = .011),
respectively. Widowhood had no independent association with all-cause or heart
failure hospitalization or incident cardiovascular events. CONCLUSIONS: Among
community-dwelling older adults, widowhood was associated with increased
mortality, which was independent of confounding by baseline characteristics and
largely driven by an increased noncardiovascular mortality. Widowhood had no
independent association with hospitalizations or incident cardiovascular events.
PMID- 21903614
TI - Quality of life of nursing home residents with dementia: a comparison of
perspectives of residents, family, and staff.
AB - PURPOSE: Quality of Life (QoL) has become increasingly valued as a key outcome in
dementia both in clinical practice and in research. This study compares the QoL
of long-term residents with dementia as assessed by the individuals, their
relatives, and their care staff. DESIGN AND METHODS: Data on residents with
dementia were collected in 11 nursing homes. The Quality of Life-Alzheimer's
Disease Scale (QOL-AD) residential version was directly applied to residents with
dementia diagnosis and Mini-Mental State Examination scores under 27, randomly
selected in each canter. Residents' QoL was further assessed from the perspective
of some close relative and of some staff member. Altogether, 102 data sets from
residents, 184 from relatives, and 197 from staff members were collected.
RESULTS: The completion rate for the resident QOL-AD was 48.8%. Residents' QoL
ratings were significantly higher than proxies' ratings. Proxy (i.e., family and
staff) scores in the QOL-AD tended to correlate with each other and did not
correlate with resident scores. IMPLICATIONS: Various sources of data provided
different perspectives on resident's QoL. Each perspective is relatively
independent and somewhat unique. In those patients with mild to moderate
cognitive impairment, direct measures of QoL should be considered.
PMID- 21903615
TI - "Learning about your residents": how assisted living residence medication aides
decide to administer pro re nata medications to persons with dementia.
AB - PURPOSE: This study identified how unlicensed staff members decide to administer
medications prescribed pro re nata (PRN) to residents of assisted living (AL)
settings designated for persons with dementia. Theories of knowledge, including
explicit and implicit knowledge, discretion, and judgment, guided the analysis.
DESIGN AND METHODS: Data were collected and analyzed using qualitative methods.
The staff members responsible for medication administration were shadowed for 6
consecutive days in three Oregon ALs. In-person interviews were conducted with 16
staff members, and residents' medication records were reviewed. RESULTS:
Medication aides' decisions to administer PRN medications were informed by
resident request, interpretation of resident-specific actions, training and
experience, and setting-specific practices. The theme, learning about your
residents, was consistent across settings. IMPLICATIONS: By administering PRN
medications, medication aides play an important role in the daily care and
comfort of AL residents with dementia. Policy makers need information about
whether to permit unlicensed staff to administer medications and the level of
training to require. This research suggests that training should recognize the
tacit knowledge of practicing medication aides. Despite the role that PRN
medications can play in the daily comfort and well-being of AL residents, little
is known about as-needed medications prescribed versus those actually used across
settings; also needed is an understanding of how other health professionals are
involved in treatment plans that include medications.
PMID- 21903616
TI - PRICE needs updating, should we call the POLICE?
PMID- 21903617
TI - Medical care delivery at the Inaugural Youth Olympic Games Singapore 2010.
AB - CONTEXT: Mass gatherings such as the Youth Olympic Games require medical services
for large populations with special needs specific to elite competitive youth
athletes. The location of the Games in a heavily populated city with dispersed
competition venues provides unique challenges. OBJECTIVE: To describe the
planning and delivery of medical services and to provide data for future
planning. SETTING: Singapore. One large multipurpose clinic was set up in the
Games Village as well as medical posts at competitive venues for 26 sports for
onsite coverage. PERIOD OF COVERAGE: 10 August 2010 to 28 August 2010.
Participants A total of 1,337 medical encounters ranging from athletes to
officials and volunteers who received medical care from a spectrum of medical
professionals. MAJOR OUTCOME MEASURES: measures Number of cases attended to at
the Games Village medical centres and the medical posts at the competition
venues, utilisation of medical services, and the pattern of these injuries and
referral patterns to hospitals. RESULTS: Medical encounters for non-athletes
represented 40.9% of the total medical encounters. The rate of heat illnesses was
low for athletes at 1.7% (N = 13). The total hospitalisation rate was low at 1.7%
(n = 23). Utilisation of onsite pharmacy and physiotherapy services were high at
45.2% (n = 887) and 37.8% (n = 743), respectively, of the encounters for all
support services. CONCLUSION: The dispersed nature of the Games venues provided
challenges to the organisation of medical cover for the participants. Organisers
in future Games can make use of the data to plan for future Games of a similar
nature.
PMID- 21903618
TI - Predictors of change in sports participation in Latino and non-Latino children.
AB - BACKGROUND: Few prospective studies have examined predictors of change in
specific physical activity (PA) behaviours in different ethnic groups. PURPOSE:
To assess predictors of change in sports participation in Latino and non-Latino 5
8 year-old children in San Diego, California. METHODS: Average sports
participation frequency (days/week) was assessed by validated parent-report at
baseline (Nov 2006-May 2008) and 1 year later in 541 children (45.0% male, 41.1%
Latino; mean +/- SD age: 6.6 +/- 0.7 years) taking part in an obesity prevention
study (Project MOVE). Biological (sex, age, Body Mass Index z-score), socio
cultural (ethnicity, income, care giver education), parental (PA rules, PA
encouragement) and environmental factors (home PA equipment, PA location) were
assessed at baseline. Associations between change in sports participation and
potential predictors were studied using multilevel linear regression stratified
by Latino ethnicity, adjusted for sex, baseline sport participation, study
condition and recruitment area. RESULTS: Sports participation increased over 1
year (mean change: +0.5 days; p<0.001) and change was similar for boys and girls
(p=0.95), but Latino children showed a greater increase (p=0.03). The number of
locations used for PA (p=0.024) and the total frequency of PA location use
(p=0.018) were positively associated with increased sports participation among
Latinos. No predictors were identified for non-Latino children. CONCLUSIONS: Only
factors relating to PA location were identified as predictors of change in sports
participation for Latino children. Interventions targeting specific PA behaviours
such as sports participation may need to consider PA locations for Latino
children and be tailored for specific ethnic groups.
PMID- 21903619
TI - Suppression of information on the prevalence and prevention of exercise
associated hyponatraemia.
AB - It has been suggested recently that financial links between manufacturers of
sports drinks and professional Sports Science organisations in North America have
suppressed information on the existence and ways of preventing an epidemic of
exercise-associated hyponatraemia (EAH). This article reviews evidence for the
prevalence of both biochemical and clinical hyponatraemia. It concludes that a
limited number of cases of EAH occur after ultra-long distance events,
particularly when performed under cold and wet conditions, and that some eight
deaths have been associated with EAH since 1985. However, this information has
been widely reported, both in North America and in other parts of the world.
Claims of an 'epidemic' seem unwarranted, and there is no solid evidence
supporting the claim that information has been suppressed because of ties between
sports scientists and sports drink manufacturers.
PMID- 21903620
TI - Management of an apparent life-threatening event: a survey of emergency
physicians practice.
AB - OBJECTIVE: The etiology of an apparent life-threatening event (ALTE) has been
attributed to a wide range of causes. Physicians rely on caregiver narratives,
which are often unreliable given the distressing nature of the event, which in
turn leads to variation in the evaluation and management. The objective of this
study was to study this variation in the management of ALTE among emergency
physicians in Michigan. DESIGN AND METHODS: The authors developed and conducted a
survey that contained questions on the evaluation and management of 2 common ALTE
scenarios. These surveys were then mailed to 1000 randomly selected emergency
physicians from a comprehensive physician database. RESULTS: A total of 25.5%
responded. Majority of the respondents were trained in emergency medicine
residency. Fourth-seven percent of the respondents work in suburban areas. Most
respondents said that they would perform diagnostic laboratory workup on children
presenting with ALTE although there is wide variation in the extent of the
workup. Ninety-two percent of ALTE patients are likely to get pediatric
subspecialist consultation from the emergency department. CONCLUSIONS: There is a
wide variation in the evaluation and management of ALTE among emergency medicine
physicians in Michigan. These children with ALTE are very likely to be seen by
pediatric subspecialists subsequently.
PMID- 21903621
TI - Swaddling: will it get babies onto their backs for sleep?
AB - INTRODUCTION: The supine sleep position is recommended to reduce sudden infant
death syndrome risk. Swaddling may improve adherence with supine placement. AIM:
To assess knowledge, attitudes, and practice regarding swaddling among adult
caregivers of 0- to 3-month-old infants. METHODS: Cross-sectional descriptive
survey. RESULTS: All 103 adults interviewed had swaddled their infant. Common
reasons for swaddling included infant comfort and warmth. Almost 80% of those who
swaddled their infant found it effective, 80% believed it to be comfortable, and
~90% believed swaddling to be safe. Parents who routinely used swaddling were
more likely to find it effective and to place their infant supine when swaddled
(P < .01). CONCLUSIONS: Parents often use swaddling to comfort the infant, and
most find it effective. Parents who routinely use swaddling are more likely to
place their infant supine if swaddled. Swaddling may be a strategy for parents of
infants who have difficulty sleeping in the supine position.
PMID- 21903622
TI - The pediatrician's guide to managing the difficult pediatric headache patient.
AB - Pediatric headache is a common pain complaint in children and adolescents, and
pediatricians are the first source of headache assessment and treatment. This
article provides guidelines for pediatricians in managing difficult headache
patients typically seen in our practice. The 3 categories we typically evaluates
and treats include (a) "It's medical, not psychological"; (b) "You're the only
doctor who can help me"; and (c) "My child is perfect." A brief case presentation
illustrates each of these categories of patients. Specific recommendations for
treatment, as well as guidelines for parents, are provided.
PMID- 21903623
TI - Parental perspectives of early childhood caries.
AB - BACKGROUND. Parental perspectives of children with early childhood caries may
help inform the development and improvement of caries prevention strategies.
OBJECTIVES. This study aimed to explore parents' experiences, perceptions, and
expectations regarding prevention and management of early childhood caries.
Methods. The authors conducted semistructured interviews with 25 parents of
children aged 2 to 5 years, with a known history of caries. All interviews were
transcribed and coded, and iterative analyses were conducted to identify key
emergent themes within the data. RESULTS. Parents had limited knowledge of
behaviors contributing to early childhood caries and when to first seek regular
dental care. Parents expected pediatricians to provide education on how to
prevent childhood caries, conduct preliminary oral health assessments, and help
establish early linkages between medical and dental care. CONCLUSION. The
findings make a strong case for pediatricians to take responsibility for engaging
and educating parents on fostering optimal oral health and helping to access
early childhood dental care.
PMID- 21903624
TI - Finding stable local optimal RNA secondary structures.
AB - MOTIVATION: Many RNAs, such as riboswitches, can fold into multiple alternate
structures and perform different biological functions. These biologically
functional structures usually have low free energies in their local energy
landscapes and are very stable such that they cannot easily jump out of the
current states and fold into other stable conformations. The conformational space
of feasible RNA secondary structures is prohibitively large, and accurate
prediction of functional structure conformations is challenging. Because the
stability of an RNA secondary structure is determined predominantly by
energetically favorable helical regions (stacks), we propose to use
configurations of putative stacks to represent RNA secondary structures. By
considering a reduced conformational space of local optimal stack configurations
instead of all feasible RNA structures, we first present an algorithm for
enumerating all possible local optimal stack configurations. In addition, we
present a fast heuristic algorithm for approximating energy barriers encountered
during folding pathways between each pair of local optimal stack configurations
and finding all the stable local optimal structures. RESULTS: Benchmark tests
have been conducted on several RNA riboswitches, whose alternate secondary
structures have been experimentally verified. The benchmark results show that our
method can successfully predict the native 'on' and 'off' secondary structures,
and better rank them compared with other state-of-art approaches. AVAILABILITY:
The software is freely available and can be downloaded at
http://genome.ucf.edu/RNASLOpt. CONTACT: shzhang@eecs.ucf.edu. SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 21903625
TI - Comparing bioassay response and similarity ensemble approaches to probing protein
pharmacology.
AB - MOTIVATION: Networks to predict protein pharmacology can be created using ligand
similarity or using known bioassay response profiles of ligands. Recent
publications indicate that similarity methods can be highly accurate, but it has
been unclear how similarity methods compare to methods that use bioassay response
data directly. RESULTS: We created protein networks based on ligand similarity
(Similarity Ensemble Approach or SEA) and ligand bioassay response-data (BARD)
using 155 Pfizer internal BioPrint assays. Both SEA and BARD successfully cluster
together proteins with known relationships, and predict some non-obvious
relationships. Although the approaches assess target relations from different
perspectives, their networks overlap considerably (40% overlap of the top 2% of
correlated edges). They can thus be considered as comparable methods, with a
distinct advantage of the similarity methods that they only require simple
computations (similarity of compound) as opposed to extensive experimental data.
CONTACTS: djwild@indiana.edu; eric.gifford@pfizer.com. SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 21903626
TI - TopiaryExplorer: visualizing large phylogenetic trees with environmental
metadata.
AB - MOTIVATION: Microbial community profiling is a highly active area of research,
but tools that facilitate visualization of phylogenetic trees and associated
environmental data have not kept up with the increasing quantity of data
generated in these studies. RESULTS: TopiaryExplorer supports the visualization
of very large phylogenetic trees, including features such as the automated
coloring of branches by environmental data, manipulation of trees and
incorporation of per-tip metadata (e.g. taxonomic labels). AVAILABILITY:
http://topiaryexplorer.sourceforge.net. CONTACT: rob.knight@colorado.edu.
PMID- 21903627
TI - A statistical framework for SNP calling, mutation discovery, association mapping
and population genetical parameter estimation from sequencing data.
AB - MOTIVATION: Most existing methods for DNA sequence analysis rely on accurate
sequences or genotypes. However, in applications of the next-generation
sequencing (NGS), accurate genotypes may not be easily obtained (e.g. multi
sample low-coverage sequencing or somatic mutation discovery). These applications
press for the development of new methods for analyzing sequence data with
uncertainty. RESULTS: We present a statistical framework for calling SNPs,
discovering somatic mutations, inferring population genetical parameters and
performing association tests directly based on sequencing data without explicit
genotyping or linkage-based imputation. On real data, we demonstrate that our
method achieves comparable accuracy to alternative methods for estimating site
allele count, for inferring allele frequency spectrum and for association
mapping. We also highlight the necessity of using symmetric datasets for finding
somatic mutations and confirm that for discovering rare events, mismapping is
frequently the leading source of errors. AVAILABILITY:
http://samtools.sourceforge.net. CONTACT: hengli@broadinstitute.org.
PMID- 21903628
TI - An empirical comparison of several recent epistatic interaction detection
methods.
AB - MOTIVATION: Many new methods have recently been proposed for detecting epistatic
interactions in GWAS data. There is, however, no in-depth independent comparison
of these methods yet. RESULTS: Five recent methods-TEAM, BOOST, SNPHarvester,
SNPRuler and Screen and Clean (SC)-are evaluated here in terms of power, type-1
error rate, scalability and completeness. In terms of power, TEAM performs best
on data with main effect and BOOST performs best on data without main effect. In
terms of type-1 error rate, TEAM and BOOST have higher type-1 error rates than
SNPRuler and SNPHarvester. SC does not control type-1 error rate well. In terms
of scalability, we tested the five methods using a dataset with 100 000 SNPs on a
64 bit Ubuntu system, with Intel (R) Xeon(R) CPU 2.66 GHz, 16 GB memory. TEAM
takes ~36 days to finish and SNPRuler reports heap allocation problems. BOOST
scales up to 100 000 SNPs and the cost is much lower than that of TEAM. SC and
SNPHarvester are the most scalable. In terms of completeness, we study how
frequently the pruning techniques employed by these methods incorrectly prune
away the most significant epistatic interactions. We find that, on average, 20%
of datasets without main effect and 60% of datasets with main effect are pruned
incorrectly by BOOST, SNPRuler and SNPHarvester. AVAILABILITY: The software for
the five methods tested are available from the URLs below. TEAM:
http://csbio.unc.edu/epistasis/download.php BOOST:
http://ihome.ust.hk/~eeyang/papers.html. SNPHarvester:
http://bioinformatics.ust.hk/SNPHarvester.html. SNPRuler:
http://bioinformatics.ust.hk/SNPRuler.zip. Screen and Clean:
http://wpicr.wpic.pitt.edu/WPICCompGen/. CONTACT: wangyue@nus.edu.sg.
PMID- 21903629
TI - FLASH: fast length adjustment of short reads to improve genome assemblies.
AB - MOTIVATION: Next-generation sequencing technologies generate very large numbers
of short reads. Even with very deep genome coverage, short read lengths cause
problems in de novo assemblies. The use of paired-end libraries with a fragment
size shorter than twice the read length provides an opportunity to generate much
longer reads by overlapping and merging read pairs before assembling a genome.
RESULTS: We present FLASH, a fast computational tool to extend the length of
short reads by overlapping paired-end reads from fragment libraries that are
sufficiently short. We tested the correctness of the tool on one million
simulated read pairs, and we then applied it as a pre-processor for genome
assemblies of Illumina reads from the bacterium Staphylococcus aureus and human
chromosome 14. FLASH correctly extended and merged reads >99% of the time on
simulated reads with an error rate of <1%. With adequately set parameters, FLASH
correctly merged reads over 90% of the time even when the reads contained up to
5% errors. When FLASH was used to extend reads prior to assembly, the resulting
assemblies had substantially greater N50 lengths for both contigs and scaffolds.
AVAILABILITY AND IMPLEMENTATION: The FLASH system is implemented in C and is
freely available as open-source code at http://www.cbcb.umd.edu/software/flash.
CONTACT: t.magoc@gmail.com.
PMID- 21903630
TI - survcomp: an R/Bioconductor package for performance assessment and comparison of
survival models.
AB - SUMMARY: The survcomp package provides functions to assess and statistically
compare the performance of survival/risk prediction models. It implements state
of-the-art statistics to (i) measure the performance of risk prediction models;
(ii) combine these statistical estimates from multiple datasets using a meta
analytical framework; and (iii) statistically compare the performance of
competitive models.
PMID- 21903631
TI - Reconstructing transcription factor activities in hierarchical transcription
network motifs.
AB - MOTIVATION: A knowledge of the dynamics of transcription factors is fundamental
to understand the transcriptional regulation mechanism. Nowadays, an experimental
measure of transcription factor activities in vivo represents a challenge.
Several methods have been developed to infer these activities from easily
measurable quantities such as mRNA expression of target genes. A limitation of
these methods is represented by the fact that they rely on very simple single
layer structures, typically consisting of one or more transcription factors
regulating a number of target genes. RESULTS: We present a novel statistical
inference methodology to reverse engineer the dynamics of transcription factors
in hierarchical network motifs such as feed-forward loops. The approach we
present is based on a continuous time representation of the system where the high
level master transcription factor is represented as a two state Markov jump
process driving a system of differential equations. We solve the inference
problem using an efficient variational approach and demonstrate our method on
simulated data and two real datasets. The results on real data show that the
predictions of our approach can capture biological behaviours in a more effective
way than single-layer models of transcription, and can lead to novel biological
insights. AVAILABILITY: http://homepages.inf.ed.ac.uk/gsanguin/software.html
CONTACT: g.sanguinetti@ed.ac.uk SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 21903632
TI - Protein-protein binding affinity prediction on a diverse set of structures.
AB - MOTIVATION: Accurate binding free energy functions for protein-protein
interactions are imperative for a wide range of purposes. Their construction is
predicated upon ascertaining the factors that influence binding and their
relative importance. A recent benchmark of binding affinities has allowed, for
the first time, the evaluation and construction of binding free energy models
using a diverse set of complexes, and a systematic assessment of our ability to
model the energetics of conformational changes. RESULTS: We construct a large set
of molecular descriptors using commonly available tools, introducing the use of
energetic factors associated with conformational changes and disorder to order
transitions, as well as features calculated on structural ensembles. The
descriptors are used to train and test a binding free energy model using a
consensus of four machine learning algorithms, whose performance constitutes a
significant improvement over the other state of the art empirical free energy
functions tested. The internal workings of the learners show how the descriptors
are used, illuminating the determinants of protein-protein binding. AVAILABILITY:
The molecular descriptor set and descriptor values for all complexes are
available in the Supplementary Material. A web server for the learners and
coordinates for the bound and unbound structures can be accessed from the
website: http://bmm.cancerresearchuk.org/~Affinity. CONTACT:
paul.bates@cancer.org.uk. SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 21903633
TI - Integrative cancer genomics (IntOGen) in Biomart.
AB - Recently, we created IntOGen, a resource to integrate a large amount of cancer
genomic data. IntOGen aims at facilitating the detection of the most recurrent
alterations that drive tumorigenesis. It collates, annotates and analyzes high
throughput data about transcriptional, genomic and mutational changes taking
place in tumors from different studies annotated with specific cancer types.
Currently, it contains 118 studies for mRNA expression profiling and 188 studies
for genomic alterations covering in total 64 different tumor topographies. In
this article, we describe the Biomart portal for IntOGen. The portal provides
easy access to different types of data and facilitates the bulk download of all
the analysis results. Here, we describe the general features of IntOGen and give
example queries to demonstrate its use. Database URL: www.intogen.org.
PMID- 21903634
TI - The injured and regenerating nervous system: immunoglobulin superfamily members
as key players.
AB - Understanding restricted functional recovery and designing efficient treatments
to alleviate dysfunction after injury of the nervous system remain major
challenges in neuroscience and medicine. Numerous molecules of potential
significance in neural repair have been identified in vitro, but only few of
these have proved to be of major importance in vivo up to now. Among the
molecules involved in regeneration are several members of the immunoglobulin
superfamily, most notably the neural cell adhesion molecules L1, its close
homologue CHL1, and NCAM and, in particular, its polysialic acid glycan moiety.
Sufficient evidence is now available to justify the statement that these
molecules are major players not only in nervous system development but also in
the adult during neural repair and synaptic plasticity. Importantly, insights
into the functions of these molecules in promoting or inhibiting functional
recovery have allowed the design and assessment of therapeutic approaches in
animal models of central nervous system injury that could prove to be applicable
in clinical settings.
PMID- 21903635
TI - Antiviral protection mechanisms mediated by ginbuna crucian carp interferon gamma
isoforms 1 and 2 through two distinct interferon gamma-receptors.
AB - Fish genomes possess three type II interferon (IFN) genes, ifngamma1, ifngamma2
and ifngamma-related (ifngammarel). The IFNgamma-dependent STAT signalling
pathway found in humans and mice had not been characterized in fish previously.
To identify the antiviral functions and signalling pathways of the type II IFN
system in fish, we purified the ifngamma1, ifngamma2 and ifngammarel proteins of
ginbuna crucian carp expressed in bacteria and found them to elicit high
antiviral activities against crucian carp hematopoietic necrosis virus. We also
cloned two distinct ifngamma receptor alpha chain (ifngr1) isoforms, 1 and 2, and
stably expressed them in HeLa cells by transfecting the cells with ifngr1-1 or
ifngr1-2 cDNA. When receptor transfectants were treated with the ligands in a one
ligand-one-receptor manner (ifngamma1 and ifngr1-2 or ifngamma2 and ifngr1-1),
the stat1 protein was phosphorylated at both serine-727 and tyrosine-701
residues. Gel shift mobility analysis and reporter assay clearly showed that the
specific ligand-receptor interaction resulted in the binding of the stat1 protein
to the GAS element and enhanced transcription. Therefore, the actions of
ifngamma1 and ifngamma2 were found to be mediated by a specific receptor for each
signalling pathway via a stat1-dependent mechanism.
PMID- 21903636
TI - Establishment and characterization of hepatocytes from an Immortomouse/SMP30/GNL
knockout mouse hybrid lacking vitamin C to study vitamin C transport.
AB - Senescence marker protein-30 (SMP30) has been identified as the lactone
hydrolysing enzyme gluconolactonase (GNL), which is involved in vitamin C (L
ascorbic acid, AA) biosynthesis. We previously reported the development of
SMP30/GNL knockout (KO) mice unable to synthesize AA in vivo. For more efficient
study of the liver's AA uptake and as yet uncharacterized efflux system, we
established an immortal hepatocyte line derived from a hybrid of SMP30/GNL KO
mice and Immortomice. Immortomice express the thermolabile simian virus 40 (SV40)
large T antigen tsA58. These SMP30/GNL KO immortal hepatocytes proliferate at the
permissive temperature of 33 degrees C but degrade rapidly at the non-permissive
temperature of 39 degrees C. Additionally, they are SMP30-/GNL-deficient, express
SV40 large T antigen and proliferate steadily at 33 degrees C. However, the
cells' proliferation is arrested at 39 degrees C. A phase contrast micrograph
revealed that the cells are binucleated with an enlarged cytoplasm similar to
that of primary cultured hepatocytes from wild-type mice. Dose-response and time
dependent study of AA uptake revealed that the cells, although unable to
synthesize AA, took up AA from the culture medium. This property of our SMP30/GNL
immortal hepatocytes makes them extremely useful for studying AA uptake and
efflux systems in the liver.
PMID- 21903637
TI - Allogeneic adipose-derived stem cells suppress Th17 lymphocytes in patients with
active lupus in vitro.
AB - Interleukin-17 (IL-17)-producing CD4(+) T cells (Th17 cells) have been proven to
play a critical role in the pathogenesis of systemic lupus erythematosus (SLE).
To shed light on the mechanism of immunoregulation of adipose-derived stem cells
(ADSCs), we investigated the effects of allogeneic ADSCs on the Th17 lymphocytes
of patients with active SLE by co-culturing ADSCs and peripheral blood
mononuclear cells of these patients in vitro. The results indicated that ADSCs
from passage 3 (P3) down-regulated the proportion of Th17 cells and their
abilities to produce IL-17, whereas ADSCs from passage 8 (P8) had contrasting
effect. The results also showed cell-cell contact played a role in P3 down
regulation. Blocking the functional pathway of IL-23 (both its ligand and its
receptor) also contributed to this suppression. These results suggested that
immunomodulation of ADSCs may be achieved by partially suppressing the number and
capability of Th17 lymphocytes, indicating that ADSCs could be employed as
therapeutic tools for the autoimmune diseases.
PMID- 21903638
TI - Wnt/Ca2+ signaling pathway: a brief overview.
AB - The non-canonical Wnt/Ca(2+) signaling cascade is less characterized than their
canonical counterpart, the Wnt/beta-catenin pathway. The non-canonical Wnt
signaling pathways are diverse, defined as planer cell polarity pathway, Wnt-RAP1
signaling pathway, Wnt-Ror2 signaling pathway, Wnt-PKA pathway, Wnt-GSK3MT
pathway, Wnt-aPKC pathway, Wnt-RYK pathway, Wnt-mTOR pathway, and Wnt/calcium
signaling pathway. All these pathways exhibit a considerable degree of overlap
between them. The Wnt/Ca(2+) signaling pathway was deciphered as a crucial
mediator in development. However, now there is substantial evidence that the
signaling cascade is involved in many other molecular phenomena. Many aspects of
Wnt/Ca(2+) pathway are yet enigmatic. This review will give a brief overview of
the fundamental and evolving concepts of the Wnt/Ca(2+) signaling pathway.
PMID- 21903639
TI - Carcinoid syndrome from small bowel endocrine carcinoma in the absence of hepatic
metastasis.
AB - An 80-year-old male patient presented with abdominal pain, paroxysmal
diaphoresis, diarrhoea and vomiting. CT scan revealed a small bowel endocrine
carcinoma (or 'carcinoid' tumour), but the absence of hepatic disease. The lesion
was excised 'en-bloc'. Intra-operatively, there was wide fluctuation in blood
pressure associated with tumour manipulation, with hyper- and hypotension.
Carcinoid syndrome usually occurs from gastrointestinal tumours when hepatic
metastases occur, causing flushing, diarrhoea, bronchoconstriction and murmurs
from cardiac valvular lesions. This patient did not have radiological evidence of
hepatic metastasis, but the syndrome could still occur with midgut tumours via
local invasion of the retroperitoneal circulation, or by action of substances
other than serotonin that do not undergo hepatic metabolism.
PMID- 21903640
TI - Increase of paradoxical excitement response during propofol-induced sedation in
hazardous and harmful alcohol drinkers.
AB - BACKGROUND: Paradoxical excitement response during sedation consists of loss of
affective control and abnormal movements. Chronic alcohol abuse has been proposed
as a predisposing factor despite lack of supporting evidence. Because alcohol and
propofol have a common site of action, we postulated that paradoxical excitement
responses during propofol-induced sedation occur more frequently in hazardous and
harmful alcohol drinkers than in social or non-drinkers. METHODS: One hundred and
ninety patients undergoing orthopaedic knee joint surgery were enrolled in this
prospective and observational study. Subjects were divided into Group HD
(hazardous and harmful drinkers) or Group NHD (no hazardous drinkers) according
to the alcohol use disorder identification test (AUDIT). In study 1, propofol
infusion was adjusted to achieve the bispectral index at 70-80 using target
controlled infusion. In study 2, the target concentration of propofol was fixed
at 0.8 (study 2/Low) or 1.4 MUg ml(-1) (study 2/High). Paradoxical excitement
responses were categorized by intensity into mild, moderate, or severe. RESULTS:
The overall incidence of paradoxical excitement response was higher in Group HD
than in Group NHD in study 1 (71.4% vs 43.8%; P=0.022) and study 2/High (70.0% vs
34.5%; P=0.006) but not in study 2/Low. The incidence of moderate-to-severe
response was significantly higher in Group HD of study 1 (28.6% vs 3.1%;
P=0.0005) and study 2/High (23.3% vs 3.4%; P=0.029) with no difference in study
2/Low. Severe excitement response occurred only in Group HD of study 1 and study
2/High. CONCLUSIONS: Paradoxical excitement occurred more frequently and severely
in hazardous and harmful alcohol drinkers than in social drinkers during propofol
induced moderate-to-deep sedation, but not during light sedation.
PMID- 21903642
TI - Learning through high-fidelity anaesthetic simulation: the role of episodic
memory.
PMID- 21903643
TI - Local infiltration analgesia for total knee arthroplasty.
PMID- 21903644
TI - Royal Perth Hospital solution for managing the 'can't intubate, can't ventilate'
crisis.
PMID- 21903646
TI - Single-dose intravenous paracetamol or propacetamol for prevention or treatment
of postoperative pain.
PMID- 21903648
TI - Consent of subjects for general anaesthetic in Caesarean section.
PMID- 21903650
TI - Tracheal intubation with the direct and indirect laryngoscopes in patients with
cervical spine immobilization.
PMID- 21903652
TI - Viable oxygenation with cannula-over-needle cricothyrotomy for asphyxial airway
occlusion.
PMID- 21903653
TI - The novel Kv1.5 channel blocker vernakalant for successful treatment of new-onset
atrial fibrillation in a critically ill abdominal surgical patient.
PMID- 21903654
TI - Xenon anaesthesia for laparoscopic cholecystectomy in a patient with multiple
chemical sensitivity.
PMID- 21903655
TI - Anaphylactic shock after sensitization to gelatin.
PMID- 21903656
TI - A case for routine tonometry to avoid postoperative visual loss.
PMID- 21903657
TI - Increased antibiotic use in Norwegian hospitals despite a low antibiotic
resistance rate.
AB - OBJECTIVES: Although antibiotic use and resistance are low in Norway, the
situation risks changing for the worse. We investigated trends in antibiotic use
and assessed them in relation to antibiotic resistance in Norway. METHODS: We
drew on hospital pharmacy sales data to record antibiotic use from 2002 to 2007
in eight hospitals serving 36% of the nation's population. Antibiotic use was
measured using different indices with defined daily doses (DDDs) as the numerator
(WHO ATC/DDD classification). RESULTS: Total antibiotic use increased from 1.02
to 1.30 DDDs/1000 inhabitants/day (DIDs) and from 61.7 to 72.4 DDDs/100 bed-days
(BDs) (17.4%); related to the number of discharges, no significant DDD change was
shown. Their use in core units (adult intensive care units, recovery/post
operative wards and departments of internal medicine and surgery with all
subspecialties) increased from 64.1 to 80.8 DDDs/100 BDs (26.1%) and by 3.1%
related to the number of discharges. The total use of broad-spectrum antibiotics
increased by 47.9% when measured as DDDs/100 BDs, and by 19.1% based on the
number of discharges; the corresponding figures for core units were 60.5% and
31.2%, respectively. CONCLUSIONS: There was a substantial increase in total
antibiotic use, and an even more pronounced increase in the use of broad-spectrum
antibiotics, which seems unjustified considering the current low antibiotic
resistance in Norway.
PMID- 21903658
TI - Manuka honey inhibits cell division in methicillin-resistant Staphylococcus
aureus.
AB - OBJECTIVES: The aim of this study was to investigate the effect of manuka honey,
artificial honey and an antibacterial component (methylglyoxal) on cell division
in methicillin-resistant Staphylococcus aureus (MRSA). METHODS: Viability of
epidemic MRSA-15 NCTC 13142 incubated with manuka honey, artificial honey and
methylglyoxal was determined, and structural effects monitored by electron
microscopy. Activity of murein hydrolase (a peptidoglycan-degrading enzyme
implicated in cell separation, encoded by atl) was estimated by cell wall
hydrolysis and zymography; expression of atl was quantified by real-time PCR.
RESULTS: Growth of MRSA was inhibited by 5%, 10% and 20% (w/v) manuka honey and
10% (w/v) artificial honey containing methylglyoxal, but not 10% (w/v) artificial
honey. Statistically significantly increased numbers of cells containing septa
and increased cell diameter (P < 0.001 and P < 0.001, respectively) were found in
MRSA exposed to 5%, 10% or 20% (w/v) manuka honey, but not 10% (w/v) artificial
honey with and without methylglyoxal. Intracellular activity of murein hydrolase
was elevated in MRSA grown in 10% (w/v) artificial honey and at undetectable
levels in MRSA treated with 10% (w/v) manuka honey. Increased atl expression was
found in MRSA treated with 10% (w/v) manuka honey and 10% artificial honey
containing methylglyoxal. CONCLUSIONS: Enlarged cells containing septa were
observed in MRSA exposed to inhibitory concentrations of manuka honey, suggesting
that cell division was interrupted. These changes were not caused by either the
sugars or methylglyoxal in honey and indicate the presence of additional
antibacterial components in manuka honey.
PMID- 21903659
TI - Comment on: Successful treatment of methicillin-resistant Staphylococcus aureus
mitral valve endocarditis with sequential linezolid and telavancin monotherapy
following daptomycin failure.
PMID- 21903661
TI - A fluoroquinolone-resistant Acinetobacter baumannii without the quinolone
resistance-determining region mutations.
PMID- 21903660
TI - Liver toxicity associated with antiretroviral therapy including efavirenz or
ritonavir-boosted protease inhibitors in a cohort of HIV/hepatitis C virus co
infected patients.
AB - OBJECTIVES: To compare the frequency of grade 3 or 4 transaminase elevations
(TEs) in HIV/hepatitis C virus (HCV) co-infected patients who started a three
antiretroviral drug regimen including efavirenz or a ritonavir-boosted protease
inhibitor (PI/r) and the influence of pre-existing significant hepatic fibrosis
or cirrhosis. PATIENTS AND METHODS: All pre-treated or treatment-naive HIV/HCV co
infected patients who started an antiretroviral regimen including two
nucleos(t)ide reverse transcriptase inhibitors along with efavirenz or a PI/r in
seven Spanish centres from January 2007 to December 2009 were included in this
prospective study. RESULTS: Of 262 patients included in this study, 76 (29%)
individuals began antiretroviral therapy (ART) including efavirenz and 186 (71%)
a PI/r-based combination. The median (interquartile) follow-up was 14.0 (6.2
23.7) months. A total of 20 (7.6%) patients presented grade 3-4 TEs. Four (1.5%)
subjects discontinued ART due to this adverse event. Grade 3-4 TEs were observed
in 5 (6.6%) subjects receiving efavirenz and 15 (8.1%) treated with PI/r (P =
0.681). Three (6.5%) patients in the efavirenz group with significant fibrosis
developed grade 3-4 TEs versus 2 (8.7%) without pre-existing significant fibrosis
(P = 0.743). In the PI/r group, the corresponding figures were 10 (8.8%) and 5
(9.3%), respectively (P = 0.931). CONCLUSIONS: The frequency of grade 3-4 TEs
associated with efavirenz-based ART combinations under clinical practice
conditions is low and similar to that found in patients receiving PI/r currently
used in HIV/HCV co-infected patients. The baseline fibrosis stage does not have
an impact on the development of TEs caused by these antiretroviral drugs in this
population.
PMID- 21903662
TI - The intersection of long-term care and end-of-life care.
AB - High-quality end-of-life care is an important component of high-quality long-term
care, yet many elderly individuals receiving long-term care services do not
obtain good care as they approach death. This study provides a systematic review
of articles that describe care received at the nexus of long-term care and end-of
life care. The articles identified three primary types of barriers to high
quality end-of-life care in long-term care settings: delivery system barriers
intrinsic to long-term care settings, barriers related to features of coverage
and reimbursement, and barriers resulting from the current regulatory approach
for long-term care providers. The authors recommend areas for future research
that would help to support progress on public policy that governs the provision
of care at this important intersection.
PMID- 21903663
TI - Covered today, sick tomorrow? Trends and correlates of children's health
insurance instability.
AB - Many children with health insurance will experience gaps in coverage over time,
potentially reducing their access to and use of preventive health care services.
This article uses the Survey of Income and Program Participation to examine how
the stability of children's health insurance changed between 1990 and 2005 and to
identify dynamic aspects of family life associated with transitions in coverage.
Children's health insurance instability has increased since the early 1990s, due
to greater movement between insured and uninsured states and between private and
public insurance coverage. Changes in the employment and marital status of the
family head are highly associated with an increased risk of a child losing and
gaining public and private coverage, largely in hypothesized directions. The
exception is that marital dissolution and job loss are associated with an
increased probability of a child losing public insurance, despite there being no
clear policy explanation for such a relationship.
PMID- 21903664
TI - Impact of cannabis use on thalamic volume in people at familial high risk of
schizophrenia.
AB - BACKGROUND: No longitudinal study has yet examined the association between
substance use and brain volume changes in a population at high risk of
schizophrenia. AIMS: To examine the effects of cannabis on longitudinal thalamus
and amygdala-hippocampal complex volumes within a population at high risk of
schizophrenia. METHOD: Magnetic resonance imaging scans were obtained from
individuals at high genetic risk of schizophrenia at the point of entry to the
Edinburgh High-Risk Study (EHRS) and approximately 2 years later. Differential
thalamic and amygdala-hippocampal complex volume change in high-risk individuals
exposed (n = 25) and not exposed (n = 32) to cannabis in the intervening period
was investigated using repeated-measures analysis of variance. RESULTS: Cannabis
exposure was associated with bilateral thalamic volume loss. This effect was
significant on the left (F = 4.47, P = 0.04) and highly significant on the right
(F= 7.66, P= 0.008). These results remained significant when individuals using
other illicit drugs were removed from the analysis. CONCLUSIONS: These are the
first longitudinal data to demonstrate an association between thalamic volume
loss and exposure to cannabis in currently unaffected people at familial high
risk of developing schizophrenia. This observation may be important in
understanding the link between cannabis exposure and the subsequent development
of schizophrenia.
PMID- 21903665
TI - Long-term sickness absence among patients with chronic fatigue syndrome.
AB - Chronic fatigue syndrome is associated with high levels of occupational
disability. Consecutive out-patients at a chronic fatigue syndrome treatment
service were studied for associations between occupational status, symptom
severity and cognitive and behavioural responses to symptoms. All patients had
high symptom levels; however, those on long-term sickness absence had
significantly more physical fatigue (beta = 0.098, P<0.05) and worse sleep (beta
= 0.075, P<0.05). Patients with long-term sickness absence also demonstrated more
embarrassment avoidance cognitions (beta = 0.086, P<0.05) and avoidance resting
behavioural responses (beta = 0.078, P<0.05). Identifying and addressing
avoidance behaviours and cognitions regarding embarrassment in interventions may
enhance the chances of individuals returning to work.
PMID- 21903666
TI - Relationship of orthostatic blood pressure to white matter hyperintensities and
subcortical volumes in late-life depression.
AB - BACKGROUND: Structural brain abnormalities are associated with late-life major
depression, with numerous studies reporting increased white matter
hyperintensities (WMH) and reduced cortical/subcortical grey matter volumes.
There is strong evidence linking vascular disease to WMH, but limited evidence on
its association with subcortical volumes. AIMS: To investigate the relationship
of orthostatic blood pressure changes to WMH and subcortical grey matter volumes
in late-life depression. METHOD: Thirty-eight people with depression and a
similarly aged comparison group (n = 30) underwent fluid attenuated inversion
recovery (FLAIR) and T(1)-weighted magnetic resonance imaging as well as
systematic orthostatic blood pressure assessments. Volumetric estimates of WMH
and subcortical grey matter were obtained for each participant and the
relationship to blood pressure drop on active stand was examined. RESULTS: An
association between orthostatic systolic blood pressure drop and WMH volumes in
temporal and parietal regions was found in the depression group (age-corrected
partial correlation r' = 0.31-0.35, P<0.05). Subcortical volumes were not related
to blood pressure changes or WMH volumes in either group. CONCLUSIONS: We found
evidence for an association between the degree of orthostatic systolic blood
pressure drop and WMH volume in the depression group. Since blood pressure drops
lead to WMH in animals our findings suggest systolic blood pressure drops may be
a factor contributing to these lesions in late-life depression.
PMID- 21903667
TI - Dysregulation of Semaphorin7A/beta1-integrin signaling leads to defective GnRH-1
cell migration, abnormal gonadal development and altered fertility.
AB - Reproduction in mammals is dependent on the function of specific neurons that
secrete gonadotropin-releasing hormone-1 (GnRH-1). These neurons originate
prenatally in the nasal placode and migrate into the forebrain along the
olfactory-vomeronasal nerves. Alterations in this migratory process lead to
defective GnRH-1 secretion, resulting in heterogeneous genetic disorders such as
idiopathic hypogonadotropic hypogonadism (IHH), and other reproductive diseases
characterized by the reduction or failure of sexual competence. Combining mouse
genetics with in vitro models, we demonstrate that Semaphorin 7A (Sema7A) is
essential for the development of the GnRH-1 neuronal system. Loss of Sema7A
signaling alters the migration of GnRH-1 neurons, resulting in significantly
reduced numbers of these neurons in the adult brain as well as in reduced gonadal
size and subfertility. We also show that GnRH-1 cells differentially express the
Sema7 receptors beta1-integrin and Plexin C1 as a function of their migratory
stage, whereas the ligand is robustly expressed along developing
olfactory/vomeronasal fibers. Disruption of Sema7A function in vitro inhibits
beta1-integrin-mediated migration. Analysis of Plexin C1(-/-) mice did not reveal
any difference in the migratory process of GnRH-1 neurons, indicating that Sema7A
mainly signals through beta1-integrin to regulate GnRH-1 cell motility. In
conclusion, we have identified Sema7A as a gene implicated in the normal
development of the GnRH-1 system in mice and as a genetic marker for the
elucidation of some forms of GnRH-1 deficiency in humans.
PMID- 21903669
TI - Normal mammalian cells negatively regulate telomere length by telomere trimming.
AB - In human cancer cells with telomeres that have been over-lengthened by exogenous
telomerase activity, telomere shortening can occur by a process that generates
circles of double-stranded telomeric DNA (t-circles). Here, we demonstrate that
this telomeretrimming process occurs in cells of the male germline and in normal
lymphocytes following mitogen-stimulated upregulation of telomerase activity.
Mouse tissues also contain abundant t-circles, suggesting that telomere trimming
also contributes to telomere length regulation in mice. In cancer cells and
stimulated lymphocytes, the mechanism involves the XRCC3 homologous recombination
(HR) protein and generates single-stranded C-rich telomeric DNA. This suggests
that, in addition to the well-documented gradual telomere attrition that
accompanies cellular replication, there is also a more rapid form of negative
telomere length control in normal mammalian cells, which most likely involves HR
mediated removal of telomere loops in the form of t-circles. We therefore propose
that this telomere trimming mechanism is an additional factor in the balance
between telomere lengthening and telomere shortening in normal human germline and
somatic cells that may prevent excessive lengthening by processes such as
telomerase activity.
PMID- 21903668
TI - Behavioral alterations associated with targeted disruption of exons 2 and 3 of
the Disc1 gene in the mouse.
AB - Disrupted-In-Schizophrenia 1 (DISC1) is a promising candidate gene for
susceptibility to psychiatric disorders, including schizophrenia. DISC1 appears
to be involved in neurogenesis, neuronal migration, axon/dendrite formation and
synapse formation; during these processes, DISC1 acts as a scaffold protein by
interacting with various partners. However, the lack of Disc1 knockout mice and a
well-characterized antibody to DISC1 has made it difficult to determine the exact
role of DISC1 in vivo. In this study, we generated mice lacking exons 2 and 3 of
the Disc1 gene and prepared specific antibodies to the N- and C-termini of DISC1.
The Disc1 mutant mice are viable and fertile, and no gross phenotypes, such as
disorganization of the brain's cytoarchitecture, were observed. Western blot
analysis revealed that the DISC1-specific antibodies recognize a protein with an
apparent molecular mass of ~100 kDa in brain extracts from wild-type mice but not
in brain extracts from DISC1 mutant mice. Immunochemical studies demonstrated
that DISC1 is mainly localized to the vicinity of the Golgi apparatus in
hippocampal neurons and astrocytes. A deficiency of full-length Disc1 induced a
threshold shift in the induction of long-term potentiation in the dentate gyrus.
The Disc1 mutant mice displayed abnormal emotional behavior as assessed by the
elevated plus-maze and cliff-avoidance tests, thereby suggesting that a
deficiency of full-length DISC1 may result in lower anxiety and/or higher
impulsivity. Based on these results, we suggest that full-length Disc1-deficient
mice and DISC1-specific antibodies are powerful tools for dissecting the
pathophysiological functions of DISC1.
PMID- 21903670
TI - Dynamic localization of C. elegans TPR-GoLoco proteins mediates mitotic spindle
orientation by extrinsic signaling.
AB - Cell divisions are sometimes oriented by extrinsic signals, by mechanisms that
are poorly understood. Proteins containing TPR and GoLoco-domains (C. elegans GPR
1/2, Drosophila Pins, vertebrate LGN and AGS3) are candidates for mediating
mitotic spindle orientation by extrinsic signals, but the mechanisms by which TPR
GoLoco proteins may localize in response to extrinsic cues are not well defined.
The C. elegans TPR-GoLoco protein pair GPR-1/2 is enriched at a site of contact
between two cells - the endomesodermal precursor EMS and the germline precursor
P(2) - and both cells align their divisions toward this shared cell-cell contact.
To determine whether GPR-1/2 is enriched at this site within both cells, we
generated mosaic embryos with GPR-1/2 bearing a different fluorescent tag in
different cells. We were surprised to find that GPR-1/2 distribution is symmetric
in EMS, where GPR-1/2 had been proposed to function as an asymmetric cue for
spindle orientation. Instead, GPR-1/2 is asymmetrically distributed only in P(2).
We demonstrate a role for normal GPR-1/2 localization in P(2) division
orientation. We show that MES-1/Src signaling plays an instructive role in P(2)
for asymmetric GPR-1/2 localization and normal spindle orientation. We ruled out
a model in which signaling localizes GPR-1/2 by locally inhibiting LET-99, a GPR
1/2 antagonist. Instead, asymmetric GPR-1/2 distribution is established by
destabilization at one cell contact, diffusion, and trapping at another cell
contact. Once the mitotic spindle of P(2) is oriented normally, microtubule
dependent removal of GPR-1/2 prevented excess accumulation, in an apparent
negative-feedback loop. These results highlight the role of dynamic TPR-GoLoco
protein localization as a key mediator of mitotic spindle alignment in response
to instructive, external cues.
PMID- 21903671
TI - Rhou maintains the epithelial architecture and facilitates differentiation of the
foregut endoderm.
AB - Rhou encodes a Cdc42-related atypical Rho GTPase that influences actin
organization in cultured cells. In mouse embryos at early-somite to early
organogenesis stages, Rhou is expressed in the columnar endoderm epithelium
lining the lateral and ventral wall of the anterior intestinal portal. During
foregut development, Rhou is downregulated in regions where the epithelium
acquires a multilayered morphology heralding the budding of organ primordia. In
embryos generated from Rhou knockdown embryonic stem (ES) cells, the embryonic
foregut displays an abnormally flattened shape. The epithelial architecture of
the endoderm is disrupted, the cells are depleted of microvilli and the
phalloidin-stained F-actin content of their sub-apical cortical domain is
reduced. Rhou-deficient cells in ES cell-derived embryos and embryoid bodies are
less efficient in endoderm differentiation. Impaired endoderm differentiation of
Rhou-deficient ES cells is accompanied by reduced expression of c-Jun/AP-1 target
genes, consistent with a role for Rhou in regulating JNK activity. Downregulation
of Rhou in individual endoderm cells results in a reduced ability of these cells
to occupy the apical territory of the epithelium. Our findings highlight
epithelial morphogenesis as a required intermediate step in the differentiation
of endoderm progenitors. In vivo, Rhou activity maintains the epithelial
architecture of the endoderm progenitors, and its downregulation accompanies the
transition of the columnar epithelium in the embryonic foregut to a multilayered
cell sheet during organ formation.
PMID- 21903673
TI - Control of final organ size by Mediator complex subunit 25 in Arabidopsis
thaliana.
AB - Control of organ size by cell proliferation and cell expansion is a fundamental
developmental process, but the mechanisms that establish the final size of organs
and whole organisms remain elusive in plants and animals. We have previously
demonstrated that DA1, which encodes a predicted ubiquitin receptor, controls the
final size of seeds and organs by restricting cell proliferation in Arabidopsis.
Through a genetic screen for mutations that enhance the floral organ size of da1
1, we have identified an enhancer of da1-1 (eod8-1). The eod8-1 mutation was
identified, using a map-based cloning approach, in Mediator complex subunit 25
(MED25; also known as PFT1), which is involved in the transcriptional regulation
of gene expression. Loss-of-function mutants in MED25 form large organs, with
larger and slightly increased numbers of cells as a result of an increased period
of cell proliferation and cell expansion, whereas plants overexpressing MED25
have small organs owing to decreases in both cell number and cell size. Our
genetic and physiological data suggest that MED25 acts to limit cell and organ
growth independently of MED25-mediated phytochrome signaling and the jasmonate
pathway. Genetic analyses show that MED25 functions redundantly with DA1 to
control organ growth by restricting cell proliferation. Collectively, our
findings show that MED25 plays a crucial role in setting final organ size,
suggesting that it constitutes an important point of regulation in plant organ
size control within the transcriptional machinery.
PMID- 21903672
TI - Maintaining embryonic stem cell pluripotency with Wnt signaling.
AB - Wnt signaling pathways control lineage specification in vertebrate embryos and
regulate pluripotency in embryonic stem (ES) cells, but how the balance between
progenitor self-renewal and differentiation is achieved during axis specification
and tissue patterning remains highly controversial. The context- and stage
specific effects of the different Wnt pathways produce complex and sometimes
opposite outcomes that help to generate embryonic cell diversity. Although the
results of recent studies of the Wnt/beta-catenin pathway in ES cells appear to
be surprising and controversial, they converge on the same conserved mechanism
that leads to the inactivation of TCF3-mediated repression.
PMID- 21903674
TI - Alpha sarcoglycan is required for FGF-dependent myogenic progenitor cell
proliferation in vitro and in vivo.
AB - Mice deficient in alpha-sarcoglycan (Sgca-null mice) develop progressive muscular
dystrophy and serve as a model for human limb girdle muscular dystrophy type 2D.
Sgca-null mice suffer a more severe myopathy than that of mdx mice, the model for
Duchenne muscular dystrophy. This is the opposite of what is observed in humans
and the reason for this is unknown. In an attempt to understand the cellular
basis of this severe muscular dystrophy, we isolated clonal populations of
myogenic progenitor cells (MPCs), the resident postnatal muscle progenitors of
dystrophic and wild-type mice. MPCs from Sgca-null mice generated much smaller
clones than MPCs from wild-type or mdx dystrophic mice. Impaired proliferation of
Sgca-null myogenic precursors was confirmed by single fiber analysis and this
difference correlated with Sgca expression during MPC proliferation. In the
absence of dystrophin and associated proteins, which are only expressed after
differentiation, SGCA complexes with and stabilizes FGFR1. Deficiency of Sgca
leads to an absence of FGFR1 expression at the membrane and impaired MPC
proliferation in response to bFGF. The low proliferation rate of Sgca-null MPCs
was rescued by transduction with Sgca-expressing lentiviral vectors. When
transplanted into dystrophic muscle, Sgca-null MPCs exhibited reduced
engraftment. The reduced proliferative ability of Sgca-null MPCs explains, at
least in part, the severity of this muscular dystrophy and also why wild-type
donor progenitor cells engraft efficiently and consequently ameliorate disease.
PMID- 21903675
TI - Renal collecting system growth and function depend upon embryonic gamma1 laminin
expression.
AB - In order to understand the functions of laminins in the renal collecting system,
the Lamc1 gene was inactivated in the developing mouse ureteric bud (UB). Embryos
bearing null alleles exhibited laminin deficiency prior to mesenchymal tubular
induction and either failed to develop a UB with involution of the mesenchyme, or
developed small kidneys with decreased proliferation and branching, delayed renal
vesicle formation and postnatal emergence of a water transport deficit. Embryonic
day 12.5 kidneys revealed an almost complete absence of basement membrane
proteins and reduced levels of alpha6 integrin and FGF2. mRNA levels for
fibroblast growth factor 2 (FGF2) and mediators of the GDNF/RET and WNT11
signaling pathway were also decreased. Furthermore, collecting duct cells derived
from laminin-deficient kidneys and grown in collagen gels were found to
proliferate and branch slowly. The laminin-deficient cells exhibited decreased
activation of growth factor- and integrin-dependent pathways, whereas heparin
lyase-treated and beta1 integrin-null cells exhibited more selective decreases.
Collectively, these data support a requirement of gamma1 laminins for assembly of
the collecting duct system basement membrane, in which immobilized ligands act as
solid-phase agonists to promote branching morphogenesis, growth and water
transport functions.
PMID- 21903676
TI - An inducible kras(V12) transgenic zebrafish model for liver tumorigenesis and
chemical drug screening.
AB - Because Ras signaling is frequently activated by major hepatocellular carcinoma
etiological factors, a transgenic zebrafish constitutively expressing the
kras(V12) oncogene in the liver was previously generated by our laboratory.
Although this model depicted and uncovered the conservation between zebrafish and
human liver tumorigenesis, the low tumor incidence and early mortality limit its
use for further studies of tumor progression and inhibition. Here, we employed a
mifepristone-inducible transgenic system to achieve inducible kras(V12)
expression in the liver. The system consisted of two transgenic lines: the liver
driver line had a liver-specific fabp10 promoter to produce the LexPR chimeric
transactivator, and the Ras-effector line contained a LexA-binding site to
control EGFP-kras(V12) expression. In double-transgenic zebrafish (driver
effector) embryos and adults, we demonstrated mifepristone-inducible EGFP
kras(V12) expression in the liver. Robust and homogeneous liver tumors developed
in 100% of double-transgenic fish after 1 month of induction and the tumors
progressed from hyperplasia by 1 week post-treatment (wpt) to carcinoma by 4 wpt.
Strikingly, liver tumorigenesis was found to be 'addicted' to Ras signaling for
tumor maintenance, because mifepristone withdrawal led to tumor regression via
cell death in transgenic fish. We further demonstrated the potential use of the
transparent EGFP-kras(V12) larvae in inhibitor treatments to suppress Ras-driven
liver tumorigenesis by targeting its downstream effectors, including the Raf-MEK
ERK and PI3K-AKT-mTOR pathways. Collectively, this mifepristone-inducible and
reversible kras(V12) transgenic system offers a novel model for understanding
hepatocarcinogenesis and a high-throughput screening platform for anti-cancer
drugs.
PMID- 21903677
TI - Evolution and functional diversification of fructose bisphosphate aldolase genes
in photosynthetic marine diatoms.
AB - Diatoms and other chlorophyll-c containing, or chromalveolate, algae are among
the most productive and diverse phytoplankton in the ocean. Evolutionarily,
chlorophyll-c algae are linked through common, although not necessarily
monophyletic, acquisition of plastid endosymbionts of red as well as most likely
green algal origin. There is also strong evidence for a relatively high level of
lineage-specific bacterial gene acquisition within chromalveolates. Therefore,
analyses of gene content and derivation in chromalveolate taxa have indicated
particularly diverse origins of their overall gene repertoire. As a single group
of functionally related enzymes spanning two distinct gene families, fructose 1,6
bisphosphate aldolases (FBAs) illustrate the influence on core biochemical
pathways of specific evolutionary associations among diatoms and other
chromalveolates with various plastid-bearing and bacterial endosymbionts. Protein
localization and activity, gene expression, and phylogenetic analyses indicate
that the pennate diatom Phaeodactylum tricornutum contains five FBA genes with
very little overall functional overlap. Three P. tricornutum FBAs, one class I
and two class II, are plastid localized, and each appears to have a distinct
evolutionary origin as well as function. Class I plastid FBA appears to have been
acquired by chromalveolates from a red algal endosymbiont, whereas one copy of
class II plastid FBA is likely to have originated from an ancient green algal
endosymbiont. The other copy appears to be the result of a chromalveolate
specific gene duplication. Plastid FBA I and chromalveolate-specific class II
plastid FBA are localized in the pyrenoid region of the chloroplast where they
are associated with beta-carbonic anhydrase, which is known to play a significant
role in regulation of the diatom carbon concentrating mechanism. The two pyrenoid
associated FBAs are distinguished by contrasting gene expression profiles under
nutrient limiting compared with optimal CO2 fixation conditions, suggestive of a
distinct specialized function for each. Cytosolically localized FBAs in P.
tricornutum likely play a role in glycolysis and cytoskeleton function and seem
to have originated from the stramenopile host cell and from diatom-specific
bacterial gene transfer, respectively.
PMID- 21903678
TI - Identification of an oxygenic reaction center psbADC operon in the cyanobacterium
Gloeobacter violaceus PCC 7421.
AB - Gloeobacter violaceus, the earliest diverging oxyphotobacterium (cyanobacterium)
on the 16S ribosomal RNA tree, has five copies of the photosystem II psbA gene
encoding the D1 reaction center protein subunit. These copies are widely
distributed throughout the 4.6 Mbp genome with only one copy colocalizing with
other PSII subunits, in marked contrast to all other psbA genes in all publicly
available sequenced genomes. A clustering of two other psb genes around psbA3
(glr2322) is unique to Gloeobacter. We provide experimental proof for the
transcription of a psbA3DC operon, encoding three of the five reaction center
core subunits (D1, D2, and CP43). This is the first example of a transcribed gene
cluster containing the D1/D2 or D1/D2/CP43 subunits of PSII in an oxygenic
phototroph (prokaryotic or eukaryotic). Implications for the evolution of
oxygenic photosynthesis are discussed.
PMID- 21903679
TI - An autosomal analysis gives no genetic evidence for complex speciation of humans
and chimpanzees.
AB - There have been conflicting arguments as to what happened in the human-chimpanzee
speciation event. Patterson et al. (2006, Genetic evidence for complex speciation
of humans and chimpanzees. Nature 441:1103-1108) proposed a hypothesis that the
human-chimpanzee speciation event involved a complicated demographic process:
that is, the ancestral lineages of humans and chimpanzees experienced temporal
isolation followed by a hybridization event. This hypothesis stemmed from two
major observations: a wide range of human-chimpanzee nucleotide divergence across
the autosomal genome and very low divergence in the X chromosome. In contrast,
Innan and Watanabe (2006, The effect of gene flow on the coalescent time in the
human-chimpanzee ancestral population. Mol Biol Evol. 23:1040-1047) demonstrated
that the null model of instantaneous speciation fits the genome-wide divergence
data for the two species better than alternative models involving partial
isolation and migration. To reconcile these two conflicting reports, we first
reexamined the analysis of autosomal data by Patterson et al. (2006). By
providing a theoretical framework for their analysis, we demonstrated that their
observation is what is theoretically expected under the null model of
instantaneous speciation with a large ancestral population. Our analysis
indicated that the observed wide range of autosomal divergence is simply due to
the coalescent process in the large ancestral population of the two species. To
further verify this, we developed a maximum likelihood function to detect
evidence of hybridization in genome-wide divergence data. Again, the null model
with no hybridization best fits the data. We conclude that the simplest
speciation model with instantaneous split adequately describes the human
chimpanzee speciation event, and there is no strong reason to involve complicated
factors in explaining the autosomal data.
PMID- 21903680
TI - MUM-1 expression differentiates tumors in the PEComa family from clear cell
sarcoma and melanoma.
AB - PEComas are mesenchymal neoplasms composed of perivascular epithelioid cells
(PEC) and include a spectrum of tumors. PEComas and malignant melanoma share
common morphological, immunohistochemical, and ultrastructural features, such as
epithelioid cell morphology and melanocytic immunophenotype. Melanocytic markers
commonly expressed in PEC tumors include HMB-45, Melan-A/MART-1, tyrosinase,
microphthalmia transcription factor (MITF), and occasionally, S100. Given this
morphological and immunophenotypical overlap, the differential diagnosis between
a PEComa and malignant melanoma can represent a challenge. Additional diagnostic
difficulty is the differentiation of melanoma and PEComa from clear cell sarcoma
that is indistinguishable from melanoma based on the immunohistochemical profile.
Recent studies have shown that MUM-1, a known lymphocyte marker shows positive
immunostaining in nevi and melanomas, its expression in PEComas and clear cell
sarcoma, however, has not been previously addressed. In this study, the authors
analyzed MUM-1 expression using immunohistochemistry in PEComas (n = 8), the
PEComa family members, angiomyolipomas (n = 13), and clear cell sarcomas (n = 11)
and compared the staining pattern with malignant melanomas (n = 25), both primary
(n = 14) and metastatic (n = 11). It was found that 92.3% of primary melanomas
and 81.3% of metastatic melanomas were MUM-1 positive. In contrast, MUM-1 was
only weakly positive in only 25% of PEComas and negative in all angiomyolipomas.
MUM-1 expression was noted in 72.7% of clear cell sarcomas. The study
demonstrated differential MUM-1 expression between PEComas and other true
melanocytic tumors and suggested that the addition of MUM-1 to the usual panel of
melanocyte markers could be a helpful adjunctive study to aid in the differential
diagnosis between these entities.
PMID- 21903681
TI - Virtual electron microscopy: a simple implementation creating a new paradigm in
ultrastructural examination.
AB - Ultrastructural examination is a time-consuming and tiring process, requiring
search for diagnostic features on a low-contrast screen in a dim environment.
This article describes a method to circumvent these problems through the creation
of a virtual ultrathin slide. This can be achieved by automated capturing of
hundreds of images at high magnification and stitching them together into a
digital image with a resolution of 4 nm/pixel. The pathologist can then navigate
the virtual slide at his/her workstation computer. The image shows good contrast
and resolution for diagnostic purposes, and most important, the pathologist can
precisely note where the specific ultrastructural features are located. The setup
required to implement virtual electron microscopy includes a transmission
electron microscope equipped with motorized stage and automated digital image
capture function, 2 free software components, self-developed software, and a
desktop-grade computer. Besides use in daily diagnosis, virtual electron
microscopy can open up many new applications such as undergraduate teaching,
pathology resident training, external quality assurance program, and expert
consultation.
PMID- 21903682
TI - Renal cell carcinoma with extensive oncocytic features.
AB - Oncocytic features are a hallmark of renal oncocytoma, but can be seen in other
renal tumors such as clear cell renal cell carcinoma with granular cells and
eosinophilic variant of chromophobe cell tumors. Up to 5% of renal neoplasms are
ultimately diagnosed as unclassified renal cell carcinoma with oncocytic features
accounting for a significant number of these tumors. Also a recent morphological
variant of mucinous tubular and spindle cell carcinoma with oncocytic changes has
been described, adding another challenge. Here we report an unusual case of
unclassified renal cell carcinoma with extensive oncocytic changes and we discuss
the differential diagnosis.
PMID- 21903683
TI - Development and validation of the multidimensional state boredom scale.
AB - This article describes the development and validation of the Multidimensional
State Boredom Scale (MSBS)-the first and only full-scale measure of state
boredom. It was developed based on a theoretically and empirically grounded
definition of boredom. A five-factor structure of the scale (Disengagement, High
Arousal, Low Arousal, Inattention, and Time Perception) was supported by
exploratory factor analyses and confirmatory factor analyses of two independent
samples. Furthermore, all subscales were significantly related to a single,
second-order factor. The MSBS factor structure was shown to be invariant across
gender. MSBS scores were significantly correlated with measures of trait boredom,
depression, anxiety, anger, inattention, impulsivity, neuroticism, life
satisfaction, and purpose in life. Finally, MSBS scores distinguished between
participants who were experimentally manipulated into a state of boredom and
those who were not, above and beyond measures of trait boredom, negative affect,
and depression.
PMID- 21903684
TI - Progression of reflux patterns in saphenous veins of women with chronic venous
valvular insufficiency.
AB - BACKGROUND: Venous ultrasonography identifies reflux patterns of the great and
small saphenous veins (GSV, SSV), allowing evaluation of lower extremities for
treatment planning and patient follow-up. OBJECTIVE: To determine progression of
saphenous vein reflux patterns in women with primary venous valvular
insufficiency. METHODS: Venous ultrasonography was performed in the extremities
of 92 women, 43 +/- 12 (23-77) years old, CEAP (clinical, aetiological,
anatomical and pathological elements) clinical classes C1-C2. Two examinations
were performed 33 +/- 19 (8-89) months apart in patients without saphenous vein
treatment. GSV and SSV reflux patterns were classified as segmental,
multisegmental, distal, proximal, diffuse and normal. Prevalence was determined
for each examination, separately for right and left extremities, and jointly.
Prevalence was compared using chi2 statistics. RESULTS: Reflux prevalence was
higher for the GSV, 89% (164/184) and 88% (n = 162), than for the SSV, 24% (n =
45) and 30% (n = 56), respectively for first and second examinations (P < 0.001).
Reflux pattern prevalence was not significantly different in the right and left
extremities (1.0 > P > 0.14). Most prevalent patterns were (a) GSV segmental
reflux initially, 41% (76/184), decreasing to 28% (52/184) (P = 0.009), and (b)
GSV multisegmental reflux at the second examination, increasing from 26% (48/184)
to 40% (73/184) (P = 0.006). Prevalence of other GSV or SSV reflux patterns did
not change significantly (0.88 > P > 0.19). CONCLUSIONS: We documented early
findings and venous reflux progression in a specific population of women with
varicose veins, reticular veins and telangiectasias. GSV segmental reflux was
most prevalent initially, progressing to GSV multisegmental reflux.
PMID- 21903685
TI - Morphological and haemodynamic abnormalities in the jugular veins of patients
with multiple sclerosis.
AB - OBJECTIVES: Multiple areas of stenosis and different levels of obstruction of
internal jugular and azygous veins (a condition known as cronic cerebrospinal
venous insufficiency) recently emerged as an additional theory to the well-known
autoimmune concept, explaining etiology of multiple sclerosis (MS). The aim of
our study was to evaluate internal jugular vein (IJV) morphology and haemodynamic
characteristics in patients with MS and compare it with well-matched healthy
individuals and to evaluate the prevalence of venous flow abnormalities in both
groups. METHODS: Sixty-four patients with clinically proven MS and 37 healthy
individuals were included in our study. In all patients, IJV morphology and
haemodynamic characteristics were evaluated by colour Doppler sonography as well
as venous flow disorder. The patients were classified into four groups according
to MS clinical form presentation. The prevalence of morphological and
haemodynamic abnormalities in the IJV were assessed. RESULTS: The presence of
stenosing lesion, mostly intraluminal defects like abnormal IJV valves, were
observed in 28 patients (43%) in the MS group, and in 17 patients (45.9%) in the
control group (P = NS). By adding haemodynamic Doppler information in the IJV
venous outflow was significantly different in 42% of MS patients showing flow
abnormalities (27/64), as compared with 8.1% of the controls (3/37), P < 0.001.
CONCLUSION: In our group of patients, patients suffering from MS had
significantly more IJV morphological changes and haemodynamic abnormalities when
compared with healthy individuals not suffering from MS. These findings can be
well demonstrated by non-invasive and cost-effective Doppler ultrasound.
PMID- 21903686
TI - Complexity: a potential paradigm for a health promotion discipline.
AB - Health promotion underpins a distancing from narrow, simplifying health
approaches associated with the biomedical model. However, it has not yet
succeeded in formally establishing its theoretical, epistemological and
methodological foundations on a single paradigm. The complexity paradigm, which
it has yet to broach head-on, might provide it with a disciplinary matrix in line
with its implicit stances and basic values. This article seeks to establish
complexity's relevance as a paradigm that can contribute to the development of a
health promotion discipline. The relevance of complexity is justified primarily
by its matching with several implicit epistemological and
methodological/theoretical stances found in the cardinal concepts and principles
of health promotion. The transcendence of ontological realism and determinism as
well as receptiveness in respect of the reflexivity that complexity encompasses
are congruent with the values of social justice, participation, empowerment and
the concept of positive health that the field promotes. Moreover, from a
methodological and theoretical standpoint, complexity assumes a holistic,
contextual and transdisciplinary approach, toward which health promotion is
tending through its emphasis on ecology and interdisciplinary action. In a quest
to illustrate our position, developmental evaluation is presented as an example
of practice stemming from a complexity paradigm that can be useful in the
evaluation of health promotion initiatives. In short, we argue that it would be
advantageous for health promotion to integrate this paradigm, which would provide
it with a formal framework appropriate to its purposes and concerns.
PMID- 21903687
TI - A journey into school health promotion: district implementation of the health
promoting schools approach.
AB - The health-promoting schools approach has gained momentum in the last decade with
many jurisdictions providing guidelines and frameworks for general
implementation. Although general agreement exists as to the broad strokes needed
for effectiveness, less apparent are local implementation designs and models. The
Battle River Project was designed to explore one such local implementation
strategy for a provincial (Alberta, Canada) health promoting schools program.
Located in the Battle River School Division, the project featured a partnership
between Ever Active Schools, the school division and the local health authority.
Case study was used to come to a greater understanding of how the health
promoting schools approach worked in this particular school authority and model.
Three themes emerged: participation, coordination and, integration.
PMID- 21903688
TI - How should GAVI build on its success?
PMID- 21903689
TI - Changes in severity of 2009 pandemic A/H1N1 influenza in England: a Bayesian
evidence synthesis.
AB - OBJECTIVE: To assess the impact of the 2009 A/H1N1 influenza pandemic in England
during the two waves of activity up to end of February 2010 by estimating the
probabilities of cases leading to severe events and the proportion of the
population infected. DESIGN: A Bayesian evidence synthesis of all available
relevant surveillance data in England to estimate severity of the pandemic. DATA
SOURCES: All available surveillance systems relevant to the pandemic 2009 A/H1N1
influenza outbreak in England from June 2009 to February 2010. Pre-existing
influenza surveillance systems, including estimated numbers of symptomatic cases
based on consultations to the health service for influenza-like illness and cross
sectional population serological surveys, as well as systems set up in response
to the pandemic, including follow-up of laboratory confirmed cases up to end of
June 2009 (FF100 and Fluzone databases), retrospective and prospective follow-up
of confirmed hospitalised cases, and reported deaths associated with pandemic
2009 A/H1N1 influenza. Main outcome measures Age specific and wave specific
probabilities of infection and symptomatic infection resulting in
hospitalisation, intensive care admission, and death, as well as infection attack
rates (both symptomatic and total). The probabilities of intensive care admission
and death given hospitalisation over time are also estimated to evaluate
potential changes in severity across waves. RESULTS: In the summer wave of A/H1N1
influenza, 0.54% (95% credible interval 0.33% to 0.82%) of the estimated 606,100
(419,300 to 886,300) symptomatic cases were hospitalised, 0.05% (0.03% to 0.08%)
entered intensive care, and 0.015% (0.010% to 0.022%) died. These correspond to
3200 (2300 to 4700) hospital admissions, 310 (200 to 480) intensive care
admissions, and 90 (80 to 110) deaths in the summer wave. In the second wave,
0.55% (0.28% to 0.89%) of the 1,352,000 (829,900 to 2,806,000) estimated
symptomatic cases were hospitalised, 0.10% (0.05% to 0.16%) were admitted to
intensive care, and 0.025% (0.013% to 0.040%) died. These correspond to 7500
(5900 to 9700) hospitalisations, 1340 (1030 to 1790) admissions to intensive
care, and 240 (310 to 380) deaths. Just over a third (35% (26% to 45%)) of
infections were estimated to be symptomatic. The estimated probabilities of
infections resulting in severe events were therefore 0.19% (0.12% to 0.29%),
0.02% (0.01% to 0.03%), and 0.005% (0.004% to 0.008%) in the summer wave for
hospitalisation, intensive care admission, and death respectively. The
corresponding second wave probabilities are 0.19% (0.10% to 0.32%), 0.03% (0.02%
to 0.06%), and 0.009% (0.004% to 0.014%). An estimated 30% (20% to 43%) of
hospitalisations were detected in surveillance systems in the summer, compared
with 20% (15% to 25%) in the second wave. Across the two waves, a mid-estimate of
11.2% (7.4% to 18.9%) of the population of England were infected, rising to 29.5%
(16.9% to 64.1%) in 5-14 year olds. Sensitivity analyses to the evidence included
suggest this infection attack rate could be as low as 5.9% (4.2% to 8.7%) or as
high as 28.4% (26.0% to 30.8%). In terms of the probability that an infection
leads to death in the second wave, these correspond, respectively, to a high
estimate of 0.017% (0.011% to 0.024%) and a low estimate of 0.0027% (0.0024% to
0.0031%). CONCLUSIONS: This study suggests a mild pandemic, characterised by case
and infection severity ratios increasing between waves. Results suggest low
ascertainment rates, highlighting the importance of systems enabling early robust
estimation of severity, to inform optimal public health responses, particularly
in light of the apparent resurgence of the 2009 A/H1N1 strain in the 2010-11
influenza season.
PMID- 21903690
TI - The Global Fund resumes grants to China.
PMID- 21903691
TI - British Thoracic Society guidelines for the management of community acquired
pneumonia in children: update 2011.
AB - The British Thoracic Society first published management guidelines for community
acquired pneumonia in children in 2002 and covered available evidence to early
2000. These updated guidelines represent a review of new evidence since then and
consensus clinical opinion where evidence was not found. This document
incorporates material from the 2002 guidelines and supersedes the previous
guideline document.
PMID- 21903692
TI - Views and experiences of men who have sex with men on the ban on blood donation:
a cross sectional survey with qualitative interviews.
AB - OBJECTIVE: To explore compliance with the UK blood services' criterion that
excludes men who have had penetrative sex with a man from donating blood, and to
assess the possible effects of revising this policy. DESIGN: A random location,
cross sectional survey followed by qualitative interviews. SETTING: Britain.
PARTICIPANTS: 1028 of 32,373 men in the general population reporting any male
sexual contact completed the survey. Additional questions were asked of a general
population sample (n=3914). Thirty men who had had penetrative sex with a man
participated in the qualitative interviews (19 who had complied with the blood
services' exclusion criterion and 11 who had not complied). Main outcome measure
Compliance with the blood services' lifetime exclusion criterion for men who have
had penetrative sex with a man. RESULTS: 10.6% of men with experience of
penetrative sex with a man reported having donated blood in Britain while
ineligible under the exclusion criterion, and 2.5% had donated in the previous 12
months. Ineligible donation was less common among men who had had penetrative sex
with a man recently (in previous 12 months) than among men for whom this last
occurred longer ago. Reasons for non-compliance with the exclusion included self
categorisation as low risk, discounting the sexual experience that barred
donation, belief in the infallibility of blood screening, concerns about
confidentiality, and misunderstanding or perceived inequity of the rule. Although
blood donation was rarely viewed as a "right," potential donors were seen as
entitled to a considered assessment of risk. A one year deferral since last male
penetrative sex was considered by study participants to be generally feasible,
equitable, and acceptable. CONCLUSIONS: A minority of men who have sex with men
who are ineligible to donate blood under the current donor exclusion in Britain
have nevertheless done so in the past 12 months. Many of the reasons identified
for non-compliance seem amenable to intervention. A clearly rationalised and
communicated one year donor deferral is likely to be welcomed by most men who
have sex with men.
PMID- 21903693
TI - Target practice: choosing target conditions for test accuracy studies that are
relevant to clinical practice.
PMID- 21903694
TI - Royal College of General Practitioners launches guide to managing long term
conditions.
PMID- 21903696
TI - Vietnam is urged to close drug detention centres after widespread abuse is
discovered.
PMID- 21903695
TI - Time trends in mortality in patients with type 1 diabetes: nationwide population
based cohort study.
AB - OBJECTIVE: To examine short and long term time trends in mortality among patients
with early onset (age 0-14 years) and late onset (15-29 years) type 1 diabetes
and causes of deaths over time. DESIGN: Population based nationwide cohort study.
SETTING: Finland. PARTICIPANTS: All Finnish patients diagnosed as having type 1
diabetes below age 30 years between 1970 and 1999 (n = 17,306). MAIN OUTCOME
MEASURES: Crude mortality, standardised mortality ratios, time trends, and
cumulative mortality. RESULTS: A total of 1338 deaths occurred during 370,733
person years of follow-up, giving an all cause mortality rate of 361/100,000
person years. The standardised mortality ratio was 3.6 in the early onset cohort
and 2.8 in the late onset cohort. Women had higher standardised mortality ratios
than did men in both cohorts (5.5 v 3.0 in the early onset cohort; 3.6 v 2.6 in
the late onset cohort). The standardised mortality ratio at 20 years' duration of
diabetes in the early onset cohort decreased from 3.5 in the patients diagnosed
in 1970-4 to 1.9 in those diagnosed in 1985-9. In contrast, the standardised
mortality ratio in the late onset cohort increased from 1.4 in those diagnosed in
1970-4 to 2.9 in those diagnosed in 1985-9. Mortality due to chronic
complications of diabetes decreased with time in the early onset cohort but not
in the late onset cohort. Mortality due to alcohol related and drug related
causes increased in the late onset cohort and accounted for 39% of the deaths
during the first 20 years of diabetes. Accordingly, mortality due to acute
diabetic complications increased significantly in the late onset cohort.
CONCLUSION: Survival of people with early onset type 1 diabetes has improved over
time, whereas survival of people with late onset type 1 diabetes has deteriorated
since the 1980s. Alcohol has become an important cause of death in patients with
type 1 diabetes, and the proportion of deaths caused by acute complications of
diabetes has increased in patients with late onset type 1 diabetes.
PMID- 21903697
TI - Health bill passes to the Lords with majority of 65 votes.
PMID- 21903698
TI - Attempt to strip abortion providers of counselling role is defeated.
PMID- 21903699
TI - Former health minister questions why GPs did not sound alarm bells about Stafford
hospital.
PMID- 21903700
TI - Use of ultrasound biomicroscopy to evaluate induced ovarian follicular growth and
ovulation in mice.
AB - Recent advances in image technology, including significant gains in spatial
resolution, have made realtime sequential ovarian evaluations possible in small
rodents, allowing longitudinal (continued) studies of the ovarian cycle and
reducing the required number of experimental animals. The aim of this study was
to evaluate exogenous stimulated follicular growth in mice using high-resolution
ultrasound technology. Female mice (n = 15) received a 5 IU intraperitoneal
injection of equine chorionic gonadotropin (eCG) and 48 h later a 5 IU injection
of human chorionic gonadotropin (hCG), and were allowed to mate thereafter. In
experiment 1, animals (n = 7) were evaluated every 6 h, from 3 to 51 h after eCG
injection, with an ultrasound biomicroscopy (UBM) equipped with a realtime 45 MHz
microvisualization probe (RMV 707b). The ovaries were identified and follicular
population quantified, and follicles were classified according to the diameter as
small (<=449 um) or large (>=450 um). A significant change in the distribution of
follicle population according to category was observed only 45 h after eCG
injection (P < 0.05). In experiment 2, animals (n = 8) were evaluated every 2 h,
from 2 h to 10 h after hCG treatment. The largest follicles reached a maximum
size (596.7 +/- 106.0 um) 5.8 +/- 2.3 h after hCG injection. As expected, the
population of large follicles decreased thereafter, indicating the progress of
ovulations, but large follicles were still detected late after treatment (10.1 +/
1.1 h). In conclusion, UBM can be used to evaluate follicle dynamics in
superstimulated mice (C57BL/6 and BALB/c); significant changes in follicle
distribution only occur at later stages after eCG stimulation; and hCG-induced
ovulations may not occur synchronously in mice.
PMID- 21903702
TI - Regulation of NMDA receptor subunits after acute ethanol treatment in rat brain.
AB - AIMS: Tolerance to ethanol-induced inhibition of N-methyl-D-aspartate receptors
(NMDARs) is thought to underlie the acute adaptive mechanisms against ethanol. To
explore these compensatory upregulating mechanisms of NMDARs, we investigated the
expression and phosphorylation of NMDAR subunits in vivo following an acute
ethanol treatment. METHODS: Male Sprague-Dawley rats were given 4 g/kg ethanol,
and the phospho-S896-NR1, NR2A and NR2B subunits of NMDAR were immunoblotted from
the cerebral cortex and hippocampus. We also examined the mRNAs and ubiquitinated
forms of the NR2A and NR2B subunits. RESULTS: Acute ethanol treatment increased
phospho-S896-NR1 at 30 min in the cerebral cortex and hippocampus, and the
increase was maintained until 2 h in the hippocampus. Ethanol increased total
NR2A and NR2B expression at 30 min in the cortex and hippocampus, and the NR2A
increase was maintained until 2 h in the hippocampus. The increased expression of
the NR2A and NR2B subunits was not associated with statistically significant
alterations in mRNA expression or protein ubiquitination. CONCLUSION: Acute
ethanol treatment increased NR1 subunit phosphorylation and NR2A and NR2B subunit
expression in the cerebral cortex and hippocampus of rats. These effects of
ethanol on the NMDAR subunits may underlie the mechanisms that compensate for
ethanol-induced inhibition of NMDARs. However, the regulation of NR2A and NR2B in
this paradigm is not dependent on transcriptional changes.
PMID- 21903703
TI - Ischaemic stroke following tranexamic acid in young patients carrying
heterozygosity of MTHFR C677T.
AB - The objective of this study is to report a new manifestation of acute stroke
following antifibrinolytic agent administration in young women carrying
heterozygosity for methylene-tetrahydrofolate reductase (MTHFR) C677T. The study
included two young women who developed an acute ischaemic stroke following three
days of tranexamic acid administration for bleeding gynaecological disorders.
Case 1, a 44-year-old woman, presented left hemiplegia, mild dysarthria and
anosognosia. Brain magnetic resonance imaging showed right ischaemic fronto
temporal lesion due to subocclusion of the right middle cerebral artery. Case 2,
a 49-year-old woman, developed aphasia and right hemiplegia. Neuroimaging showed
left capsular and periventricular infarcts due to near occlusion of the left
internal carotid artery. Thrombophilia screening, coagulation parameters,
homocysteine testing, 12-lead electrocardiography, and transthoracic and
transoesophageal echocardiography were unremarkable. Genetic assay showed that
both patients carried heterozygosity for MTHFR C677T, in which cytosine (C) is
replaced by thymidine (T) at base position 677. To our knowledge, this is the
first report describing the association between genetic factors and the onset of
stroke following antifibrinolytic drugs intake. These data suggest a synergic
effect of plasminogen activator inhibitor and heterozygosity for MTHFR C677T on
the pathogenetic mechanisms leading to ischaemic stroke in young people.
PMID- 21903701
TI - Prospective memory in substance abusers at treatment entry: associations with
education, neuropsychological functioning, and everyday memory lapses.
AB - Individuals with substance use disorders (SUDs) commonly report lapses in
prospective memory (PM) in their daily lives; however, our understanding of the
profile and predictors of laboratory-based PM deficits in SUDs and their
associations with everyday PM failures is still very preliminary. The current
study examined these important questions using well-validated measures of self
report and laboratory-based PM in a mixed cohort of 53 SUD individuals at
treatment entry and 44 healthy adults. Consistent with prior research, the SUD
group endorsed significantly more self-cued and environmentally based PM failures
in their daily lives. Moreover, the SUD group demonstrated significantly lower
time-based PM performance, driven largely by cue detection errors. The effect of
SUDs on PM was particularly strong among participants with fewer years of
education. Within the SUD cohort, time-based PM was correlated with clinical
measures assessing executive functions, retrospective memory, and psychomotor
speed. Importantly, time-based PM was uniquely associated with elevated PM
failures in daily lives of the SUD participants, independent of current affective
distress and other neurocognitive deficits. Findings suggest that individuals
with SUD are vulnerable to deficits in PM, which may in turn increase their risk
for poorer everyday functioning outcomes (e.g., treatment non-compliance).
PMID- 21903704
TI - Hypothyroidism in preterm infants following normal screening.
AB - Congenital hypothyroidism is screened for in the UK using blood spot thyroid
stimulating hormone (TSH) screening at 5-8 d of age. Although standards are set
by the UK Newborn Screening Programme Centre, there are variations in TSH cut
offs used. The introduction of repeat screening of preterm babies at 36 weeks'
gestational age in 2005 was controversial in its utility and timing. Two cases of
preterm babies are presented, who had normal blood spot TSH values on the first
test and who became screen positive when re-tested at term. The first with
Trisomy 21 was born at 29 + 6 weeks with an initial blood spot TSH of 3.3 mU/L
rising to 263 mU/L at term-corrected gestational age (plasma TSH 476.5 mU/L). The
second was born at 24 + 6 weeks' gestational age and on day 7, the heel prick
blood spot TSH was <2 mU/L, rising to 6.4 mU/L at 36 weeks corrected gestational
age. After a barium enema, the plasma TSH increased to 66.6 mU/L with a free
thyroxine of 7.6 pmol/L at day 101. Both cases were treated with thyroxine until
death due to complications of prematurity. These cases illustrate the
difficulties in screening for congenital hypothyroidism in preterm infants, due
to the immaturity of the hypothalamo-pituitary-thyroid axis, and the effect of
intercurrent illness and drugs on thyroid function. Despite a reassuring
published review of 2200 preterm infants, these cases suggest that it may be
unwise not to re-screen ex-preterm infants for congenital hypothyroidism at term.
PMID- 21903705
TI - Pfetin as a prognostic biomarker for gastrointestinal stromal tumor: validation
study in multiple clinical facilities.
AB - OBJECTIVE: The aim of this study is to confirm the prognostic value of pfetin in
gastrointestinal stromal tumor patients. We recently reported the utility of
pfetin, a novel prognostic biomarker in gastrointestinal stromal tumor.
Gastrointestinal stromal tumor spans a wide spectrum from cases with curable
disease to those with fatal tumors due to metastasis and recurrence. There is no
biomarker predicting metastasis and/or recurrence of gastrointestinal stromal
tumor though imatinib mesylate can improve recurrence-free survival. METHODS:
Pfetin expression was examined in 40 gastrointestinal stromal tumor patients from
the Juntendo University Shizuoka Hospital using immunohistochemistry.
Correlations between immunohistochemical findings and clinicopathologic
parameters were examined. The pfetin expression results were integrated with the
clinicopathologic data in a total of 299 cases including our 40 new
gastrointestinal stromal tumor cases and 259 others with previously reported
data. RESULTS: Immunohistochemical study demonstrated the disease-free survival
rate to be 93.75% for pfetin-positive and 25.0% for pfetin-negative patients
among the 40 cases from the Juntendo University Shizuoka Hospital (P= 0.0006).
When all 299 cases were included, the disease-free survival rate was 92.44% for
pfetin-positive and 60.81% for pfetin-negative patients (P< 0.0001). Both uni-
and multivariate analyses revealed that, among the clinicopathologic parameters
examined, only pfetin expression was an independent prognostic factor (P< 0.05).
CONCLUSIONS: These results confirm the possible clinical utility of pfetin as a
prognostic biomarker for gastrointestinal stromal tumor. Pfetin appears to be a
novel clinically applicable prognostic factor, which may be useful for deciding
whether to administer imatinib mesylate or not.
PMID- 21903706
TI - The incidence and predictor of lymph node metastasis for patients with T1mi
breast cancer who underwent axillary dissection and breast irradiation: an
institutional analysis.
AB - OBJECTIVE: This study was designed to evaluate the rate and the predictors of
axillary lymph node metastasis in patients with T1mi breast cancer. METHODS: We
analyzed 62 cases of ductal carcinoma in situ with microinvasion, and the
pathology records and treatment charts were retrospectively reviewed for
information on the patient and tumor characteristics. All the included patients
underwent breast conserving surgery and 48 patients underwent axillary lymph node
dissection. RESULTS: The incidence of axillary involvement was 8.3%. Comedo
ductal carcinoma in situ (P = 0.031), histologic grade 3 (P = 0.025), the
presence of necrosis (P = 0.007) and Van Nuys group 3 (P = 0.025) were
significant predictors of axillary involvement on the statistical analysis.
Axillary dissection was significantly associated with the occurrence of arm
lymphedema (P = 0.030). CONCLUSIONS: A significant rate of axillary metastases
occurred in the patients with T1mi breast carcinoma in this study. The comedo
subtype of ductal carcinoma in situ, a high histologic grade, the presence of
necrosis and the Van Nuys group 3 were significant predictors of axillary lymph
node metastasis in patients with T1mi breast cancer. Thus, the patients with T1mi
breast disease are indicated to a careful evaluation of axillary lymph node
metastasis, if they have the earlier-mentioned unfavorable factors.
PMID- 21903707
TI - Combination of gemcitabine and paclitaxel is a favorable option for patients with
advanced or metastatic urothelial carcinoma previously treated with cisplatin
based chemotherapy.
AB - OBJECTIVE: To evaluate the efficacy and toxicity of a gemcitabine and paclitaxel
regimen for patients with advanced urothelial carcinoma who had previously been
treated with methotrexate, vinblastine, doxorubicin and cisplatin chemotherapy,
and to determine the prognostic factors for survival in second-line chemotherapy.
METHODS: From June 2005 to April 2010, 24 eligible patients who had previously
been treated with methotrexate, vinblastine, doxorubicin and cisplatin
chemotherapy were enrolled in this study. Patients received paclitaxel 200
mg/m(2) on Day 1 and gemcitabine 1000 mg/m(2) on Days 1, 8 and 15. The
gemcitabine and paclitaxel regimen was repeated every 3 weeks. Patients were
evaluated every two cycles by imaging study. RESULTS: Ten of 24 patients (42%)
had major response to the gemcitabine and paclitaxel regimen, including 2
patients (8%) who had complete response. Median survival time and median
progression-free survival were 12.4 and 6.1 months, respectively. Good
performance status and major response to first-line methotrexate, vinblastine,
doxorubicin and cisplatin treatment were significant predictors of overall
survival and progression-free survival. Grade 3 or 4 neutropenia occurred in 16
patients (67%), but there were no severe infections. There were no treatment
related deaths. CONCLUSIONS: Gemcitabine and paclitaxel chemotherapy had
favorable benefit and safety profiles, and the regimen is recommended as a
potential second-line chemotherapy for advanced or metastatic urothelial
carcinoma previously treated with methotrexate, vinblastine, doxorubicin and
cisplatin chemotherapy.
PMID- 21903708
TI - Provision of menopause information must improve.
PMID- 21903709
TI - IMS updates its recommendations on HRT.
PMID- 21903710
TI - Effect of yoga on menopausal symptoms.
AB - OBJECTIVES: To observe the effect of yoga on menopausal symptoms using a
prospective, randomized, controlled and interventional study. Main outcome
measures Total Menopause Rating Scale (MRS) score and three subscale scores
(somatovegetative, psychological and urogenital) were measured on day 1 and day
90 in the study group which performed yoga (asana, pranayam and meditation) under
supervision for three months, and were compared with the control group that did
not perform yoga. MRS has been designed to measure health-related quality of life
of ageing women. It consists of 11 symptoms and three subscales. RESULTS: It was
observed that on day 1 the scores in both the groups were comparable. On day 90,
the scores in the yoga group showed a reduction in score on all the subscales,
which was statistically significant. No significant difference was noted in the
control group. CONCLUSION: Yoga is effective in reducing menopausal symptoms and
should be considered as alternative therapy for the management of menopausal
symptoms.
PMID- 21903711
TI - Stopping hormone replacement therapy: were women ill advised?
AB - OBJECTIVE: To survey women who stopped hormone replacement therapy (HRT) after
2002, including those who later restarted. STUDY DESIGN: A questionnaire on the
UK-based menopause website www.menopausematters.co.uk evaluating how women are
influenced by HRT advice. Main outcome measures Answers to questions regarding
stopping/restarting HRT in response to the advice in the early 2000s and advice
given today. RESULTS: A total of 1100 responses were obtained. Of those who made
the decision to stop HRT themselves, 56.4% (n = 425/754) said that they were
influenced by the media. In those who would potentially most benefit from HRT,
72.8% (n = 220/302) stopped without medical advice. Overall, women aged under 50
years were significantly more likely to stop HRT themselves than women over 50 (P
< 0.001). In women in whom symptoms returned, 37.5% (n = 362/966) said these
affected their ability to work, 45.1% (n = 436) had problems with decision
making, 53.6% (n = 518) admitted to relationships being negatively affected and
29.2% (n = 286) said that symptoms affected their social relationships. Overall
46.5% of women (n = 485/1044) would not have stopped HRT given the current
understanding of risk. Compared with women over 50, significantly more women
under the age of 50 said that they would not have previously stopped their HRT
based on their current understanding of risk (P < 0.001). CONCLUSIONS: The
negative impact of published research and its reporting from the early 2000s are
being mitigated by current press coverage. Media reports appear to influence the
younger woman more than the older woman. Health professionals and media must
learn the lessons from the past.
PMID- 21903712
TI - Global study of women's experiences of premenstrual symptoms and their effects on
daily life.
AB - OBJECTIVES: To determine cross-cultural and other effects on women's experiences
of premenstrual symptoms and their impact on activities of daily life (ADL).
STUDY DESIGN: Cross-sectional survey. Sample A total of 7226 women aged 15-49
recruited by random sampling with approximately 400 each from France, Germany,
Hungary, Italy, Spain, UK, Brazil, Mexico, Hong Kong, Pakistan and Thailand.
Approximately 1000 women in Japan and Korea and 500 Australian women were found
using Internet panels. MAIN OUTCOME MEASURES: Questionnaire of 23 premenstrual
symptoms, sociodemographic and lifestyle variables, ADL and women's knowledge of
premenstrual terms. RESULTS: The most prevalent symptoms were abdominal bloating,
cramps or abdominal pain, irritability, mastalgia and joint/muscle/back pains.
Severity of symptoms was directly proportional to duration (number of affected
cycles) (R = 0.78). A linear model found that symptom prevalence (duration *
severity) was associated with age (linear and quadratic effects), parity, current
smoking and country. Premenstrual physical and mental symptom domains had similar
negative effects on ADL. Impact on ADL was affected by education and exercise
participation. Women's knowledge of the terms premenstrual syndrome (PMS) and
premenstrual dysphoric disorder (PMDD) varied by symptom intensity, age,
education and country. CONCLUSIONS: Four of the five most prevalent premenstrual
symptoms were physical. There was a great deal of similarities of women's
experiences of these symptoms across countries and regions. Women's knowledge of
PMS terms is highly dependent on the country in which they live.
PMID- 21903713
TI - Global epidemiological study of variation of premenstrual symptoms with age and
sociodemographic factors.
AB - OBJECTIVES: To determine patterns of premenstrual symptom experiences across the
reproductive age range, and the effects of other factors on premenstrual
symptoms. STUDY DESIGN: Global cross-sectional survey. Sample A total of 7226
women aged 15-49 recruited by random sampling with approximately 400 each from
France, Germany, Hungary, Italy, Spain, UK, Brazil, Mexico, Hong Kong, Pakistan
and Thailand. Approximately 1000 women in Japan and Korea and 500 Australian
women were found using Internet panels. MAIN OUTCOME MEASURES: Questionnaire of
23 premenstrual symptoms, sociodemographic and lifestyle variables. RESULTS: Four
different patterns of premenstrual symptoms were found with ageing: symptoms
showing no change with age, symptoms decreasing with age, symptoms reaching a
maximum just before or around age 35, and symptoms reaching a maximum around age
40-44. Smoking was associated with an increase in most of the premenstrual
symptoms. Increasing parity was associated with a decrease in some of the
symptoms. CONCLUSIONS: These results suggest that the premenstrual symptoms most
associated with the years of regular ovulatory cycles are skin disorders, lack of
energy, appetite problems, joint/muscle/back pain, anger, abdominal bloating,
depressed mood and irritability. Other symptoms which peaked later (swelling of
extremities, breast tenderness/pain, weight gain) may reflect exacerbation by
hormonal changes of the late reproductive/early menopausal transition. Smokers
report more problematic premenstrual symptoms.
PMID- 21903714
TI - Vitamin D and the postmenopausal population.
AB - Vitamin D, a hormone critical to the body's maintenance of serum calcium and
phosphorus concentrations, is currently the subject of much scientific interest.
Low levels of vitamin D have been observed in many populations and
epidemiological studies have suggested a link between this biochemical state and
a range of diseases, such as cancer, diabetes and multiple sclerosis. While the
consequence of vitamin D deficiency is well documented for bone (rickets and
osteomalacia), with mixed findings relating to falls and fractures, a causal link
between vitamin D deficiency and these wider health outcomes has not been
established. If these relationships were found to be causal, the morbidity and
mortality resulting from low levels of vitamin D could be substantial; the
current evidence base, however, most robustly supports the assessment of serum
25(OH)-vitamin D in the context of specific symptoms, low bone mineral density or
biochemical abnormalities, rather than as an entity to treat in its own right or
as the basis for a population-wide screening programme.
PMID- 21903715
TI - Practice observed.
PMID- 21903718
TI - Using participatory methods to examine policy and women prisoners' health.
AB - This article describes how community-based participatory research (CBPR) led to
the discovery of the unintended consequences of jail and prison copayment policy
on women prisoners' health. The article addresses (a) a working definition of
participatory research; (b) the importance of research with women prisoners; (c)
the origins and development of our work and its grounding in CBPR; (d) issues
related to research with prisoners; and (e) recommendations for using
participatory methods to bring women prisoners into the discourse about the
practices and policies that impact their lives. These methods have the potential
to minimize the invisibility of prisoners and their health disparities.
PMID- 21903719
TI - No "race to the bottom" on health care coverage.
PMID- 21903720
TI - Perinatal exposure to bisphenol a increases adult mammary gland progesterone
response and cell number.
AB - Bisphenol A [BPA, 2,2,-bis (hydroxyphenyl) propane] is one of the highest-volume
chemicals produced worldwide. It is detected in body fluids of more than 90% of
the human population. Originally synthesized as an estrogenic compound, it is
currently utilized to manufacture food and beverage containers resulting in
uptake with food and drinks. There is concern that exposure to low doses of BPA,
defined as less than or equal to 5 mg/kg body weight /d, may have developmental
effects on various hormone-responsive organs including the mammary gland. Here,
we asked whether perinatal exposure to a range of low doses of BPA is sufficient
to alter mammary gland hormone response later on in life, with a possible impact
on breast cancer risk. To mimic human exposure, we added BPA to the drinking
water of C57/Bl6 breeding pairs. Analysis of the mammary glands of their
daughters at puberty showed that estrogen-dependent transcriptional events were
perturbed and the number of terminal end buds, estrogen-induced proliferative
structures, was altered in a dose-dependent fashion. Importantly, adult females
showed an increase in mammary epithelial cell numbers comparable to that seen in
females exposed to diethylbestrol, a compound exposure to which was previously
linked to increased breast cancer risk. Molecularly, the mRNAs encoding Wnt-4 and
receptor activator of nuclear factor kappaB ligand, two key mediators of hormone
function implicated in control of mammary stem cell proliferation and
carcinogenesis, showed increased induction by progesterone in the mammary tissue
of exposed mice. Thus, perinatal exposure to environmentally relevant doses of
BPA alters long-term hormone response that may increase the propensity to develop
breast cancer.
PMID- 21903721
TI - Regulation of thyroid oxidative state by thioredoxin reductase has a crucial role
in thyroid responses to iodide excess.
AB - The phenomenon that supraphysiological doses of iodide (I(-)) temporarily inhibit
thyroid hormone synthesis is known as thyroid iodide autoregulation. Recovery of
thyroid function has been attributed to sodium-iodide symporter (NIS) inhibition,
but the diversity of available data makes it difficult to reach definitive
conclusions. Iodide excess induces reactive oxygen species production and cell
toxicity. However, the roles of the oxidative state of the cell and antioxidant
selenoproteins in I(-) autoregulation have never been explored. Here we analyze
the effects of high I(-) doses in rat thyroids and in PCCl3 cells in the period
comprising I(-) autoregulation (i.e. 0-72 h after I(-) administration), focusing
on NIS expression, redox state, and the expression and activity of
selenoproteins. Our results show that NIS mRNA inhibition by I(-) does not occur
at the transcriptional level, because neither NIS promoter activity nor Pax8
expression or its binding to DNA was modulated. Because I(-) uptake was inhibited
much earlier than NIS protein, and no effect was observed on its subcellular
localization, we suggest that I(-) is inhibiting NIS in the plasma membrane. The
increased reactive oxygen species production leads to an increase in thioredoxin
reductase mRNA levels and enzyme activity, which reduces the oxidative stress.
Inhibition of thioredoxin reductase at either gene expression or activity levels
prevented NIS recovery, thus illustrating a new role played by this selenoprotein
in the regulation of cell homeostasis and consequently in I(-) autoregulation.
PMID- 21903724
TI - Systems approaches to modelling pathways and networks.
AB - It has become commonly accepted that systems approaches to biology are of
outstanding importance to gain understanding from the vast amount of data which
is presently being generated by advancing high-throughput technologies. The
diversity of methods to model pathways and networks has significantly expanded
over the past two decades. Modern and traditional approaches are equally
important and recent activities aim at integrating the advantages of both. While
traditional methods, based on differential equations, are useful to study the
dynamics of small systems, modern constraint-based models can be applied to
genome-scale systems, but are not able to capture dynamic features. Integrating
different approaches is important to develop consistent theoretical descriptions
encompassing various scales of biological information. The rapid progress of the
field of theoretical systems biology, however, demonstrates how our fundamental
theoretical understanding of biology is gaining momentum. The scientific
community has apparently accepted the challenge to truly understand the
principles of life.
PMID- 21903723
TI - An integrative method for identifying the over-annotated protein-coding genes in
microbial genomes.
AB - The falsely annotated protein-coding genes have been deemed one of the major
causes accounting for the annotating errors in public databases. Although many
filtering approaches have been designed for the over-annotated protein-coding
genes, some are questionable due to the resultant increase in false negative.
Furthermore, there is no webserver or software specifically devised for the
problem of over-annotation. In this study, we propose an integrative algorithm
for detecting the over-annotated protein-coding genes in microorganisms. Overall,
an average accuracy of 99.94% is achieved over 61 microbial genomes. The
extremely high accuracy indicates that the presented algorithm is efficient to
differentiate the protein-coding genes from the non-coding open reading frames.
Abundant analyses show that the predicting results are reliable and the
integrative algorithm is robust and convenient. Our analysis also indicates that
the over-annotated protein-coding genes can cause the false positive of
horizontal gene transfers detection. The webserver of the proposed algorithm can
be freely accessible from www.cbi.seu.edu.cn/RPGM.
PMID- 21903722
TI - Down-regulation of the histone methyltransferase EZH2 contributes to the
epigenetic programming of decidualizing human endometrial stromal cells.
AB - Differentiation of human endometrial stromal cells (HESC) into decidual cells
represents a highly coordinated process essential for embryo implantation. We
show that decidualizing HESC down-regulate the histone methyltransferase enhancer
of Zeste homolog 2 (EZH2), resulting in declining levels of trimethylation of
histone 3 on lysine 27 (H3K27me3) at the proximal promoters of key decidual
marker genes PRL and IGFBP1. Loss of H3K27me3 was associated with a reciprocal
enrichment in acetylation of the same lysine residue, indicating active
remodeling from repressive to transcriptionally permissive chromatin. Chromatin
immunoprecipitation coupled with DNA microarray analysis demonstrated that
decidualization triggers genome-wide changes in H3K27me3 distribution that only
partly overlap those observed upon EZH2 knockdown in undifferentiated HESC. Gene
ontology revealed that gain of the repressive H3K27me3 mark in response to
decidualization and upon EZH2 knockdown in undifferentiated cells was enriched at
the promoter regions of genes involved in transcriptional regulation and
growth/cell proliferation, respectively. However, loss of the H3K27me3 mark
(indicating increased chromatin accessibility) in decidualizing cells and upon
EZH2 knockdown occurred at selective loci enriched for genes functionally
implicated in responses to stimulus. In agreement, EZH2 knockdown in
undifferentiated HESC was sufficient to augment the induction of decidual marker
genes in response to cyclic AMP and progesterone signaling. Thus, loss of EZH2
dependent methyltransferase activity in the endometrium is integral to the
process of chromatin remodeling that enables the transition from a proliferative
to a decidual phenotype in response to differentiation cues.
PMID- 21903725
TI - Catheter-based left atrial appendage occlusion procedure: role of
echocardiography.
AB - Atrial fibrillation is a common, clinically significant arrhythmic disorder that
results in increased risk of morbidity and mortality in affected patients. Atrial
fibrillation is more prevalent among men compared with women and the risk for
developing atrial fibrillation increases with advancing age. Ischaemic stroke is
the most common clinical manifestation of embolic events from atrial
fibrillation. While anticoagulation treatment is the preferred treatment,
unfortunately, many patients have contraindications for anticoagulation treatment
making this option unavailable to them. Previous data have shown that most
thrombi that form in association with non-valvular atrial fibrillation occur in
the left atrial appendage (LAA). It has been suggested that isolating the LAA
from the body of the left atrium might reduce the risk of embolic events and that
LAA obliteration may be a treatment option for patients with atrial fibrillation
who are not candidates for anticoagulation treatment. Several procedures have
been developed for isolation of the LAA, including surgical procedures as well as
catheter-based ones. In this paper, we will review the currently available
techniques, emphasizing the catheter-based ones. We will examine the increasing
role of real-time three-dimensional transoesophageal echocardiography for
appropriate screening and patient selection for these procedures, intra
procedural guidance, and follow-up care.
PMID- 21903726
TI - Significant clinical, neuropathological and behavioural recovery from acute
spinal cord trauma by transplantation of a well-defined somatic stem cell from
human umbilical cord blood.
AB - Stem cell therapy is a potential treatment for spinal cord injury and different
stem cell types have been grafted into animal models and humans suffering from
spinal trauma. Due to inconsistent results, it is still an important and
clinically relevant question which stem cell type will prove to be
therapeutically effective. Thus far, stem cells of human sources grafted into
spinal cord mostly included barely defined heterogeneous mesenchymal stem cell
populations derived from bone marrow or umbilical cord blood. Here, we have
transplanted a well-defined unrestricted somatic stem cell isolated from human
umbilical cord blood into an acute traumatic spinal cord injury of adult immune
suppressed rat. Grafting of unrestricted somatic stem cells into the vicinity of
a dorsal hemisection injury at thoracic level eight resulted in hepatocyte growth
factor-directed migration and accumulation within the lesion area, reduction in
lesion size and augmented tissue sparing, enhanced axon regrowth and significant
functional locomotor improvement as revealed by three behavioural tasks (open
field Basso-Beattie-Bresnahan locomotor score, horizontal ladder walking test and
CatWalk gait analysis). To accomplish the beneficial effects, neither neural
differentiation nor long-lasting persistence of the grafted human stem cells
appears to be required. The secretion of neurite outgrowth-promoting factors in
vitro further suggests a paracrine function of unrestricted somatic stem cells in
spinal cord injury. Given the highly supportive functional characteristics in
spinal cord injury, production in virtually unlimited quantities at GMP grade and
lack of ethical concerns, unrestricted somatic stem cells appear to be a highly
suitable human stem cell source for clinical application in central nervous
system injuries.
PMID- 21903727
TI - Data mining neocortical high-frequency oscillations in epilepsy and controls.
AB - Transient high-frequency (100-500 Hz) oscillations of the local field potential
have been studied extensively in human mesial temporal lobe. Previous studies
report that both ripple (100-250 Hz) and fast ripple (250-500 Hz) oscillations
are increased in the seizure-onset zone of patients with mesial temporal lobe
epilepsy. Comparatively little is known, however, about their spatial
distribution with respect to seizure-onset zone in neocortical epilepsy, or their
prevalence in normal brain. We present a quantitative analysis of high-frequency
oscillations and their rates of occurrence in a group of nine patients with
neocortical epilepsy and two control patients with no history of seizures.
Oscillations were automatically detected and classified using an unsupervised
approach in a data set of unprecedented volume in epilepsy research, over 12
terabytes of continuous long-term micro- and macro-electrode intracranial
recordings, without human preprocessing, enabling selection-bias-free estimates
of oscillation rates. There are three main results: (i) a cluster of ripple
frequency oscillations with median spectral centroid = 137 Hz is increased in the
seizure-onset zone more frequently than a cluster of fast ripple frequency
oscillations (median spectral centroid = 305 Hz); (ii) we found no difference in
the rates of high frequency oscillations in control neocortex and the non-seizure
onset zone neocortex of patients with epilepsy, despite the possibility of
different underlying mechanisms of generation; and (iii) while previous studies
have demonstrated that oscillations recorded by parenchyma-penetrating micro
electrodes have higher peak 100-500 Hz frequencies than penetrating macro
electrodes, this was not found for the epipial electrodes used here to record
from the neocortical surface. We conclude that the relative rate of ripple
frequency oscillations is a potential biomarker for epileptic neocortex, but that
larger prospective studies correlating high-frequency oscillations rates with
seizure-onset zone, resected tissue and surgical outcome are required to
determine the true predictive value.
PMID- 21903728
TI - Neurofibrillary tangle pathology and Braak staging in chronic epilepsy in
relation to traumatic brain injury and hippocampal sclerosis: a post-mortem
study.
AB - The long-term pathological effects of chronic epilepsy on normal brain ageing are
unknown. Previous clinical and epidemiological studies show progressive cognitive
decline in subsets of patients and an increased prevalence of Alzheimer's disease
in epilepsy. In a post-mortem series of 138 patients with long-term, mainly drug
resistant epilepsy, we carried out Braak staging for Alzheimer's disease
neurofibrillary pathology using tau protein immunohistochemistry. The stages were
compared with clinicopathological factors, including seizure history and presence
of old traumatic brain injury. Overall, 31% of cases were Braak Stage 0, 36%
Stage I/II, 31% Stage III/IV and 2% Stage V/VI. The mean age at death was 56.5
years and correlated with Braak stage (P < 0.001). Analysis of Braak stages
within age groups showed a significant increase in mid-Braak stages (III/IV), in
middle age (40-65 years) compared with data from an ageing non-epilepsy series (P
< 0.01). There was no clear relationship between seizure type (generalized or
complex partial), seizure frequency, age of onset and duration of epilepsy with
Braak stage although higher Braak stages were noted with focal more than with
generalized epilepsy syndromes (P < 0.01). In 30% of patients, there was
pathological evidence of traumatic brain injury that was significantly associated
with higher Braak stages (P < 0.001). Cerebrovascular disease present in 40.3%
and cortical malformations in 11.3% were not significantly associated with Braak
stage. Astrocytic-tau protein correlated with the presence of both traumatic
brain injury (P < 0.01) and high Braak stage (P < 0.001). Hippocampal sclerosis,
identified in 40% (bilateral in 48%), was not associated with higher Braak
stages, but asymmetrical patterns of tau protein accumulation within the
sclerotic hippocampus were noted. In over half of patients with cognitive
decline, the Braak stage was low indicating causes other than Alzheimer's disease
pathology. In summary, there is evidence of accelerated brain ageing in severe
chronic epilepsy although progression to high Braak stages was infrequent.
Traumatic brain injury, but not seizures, was associated with tau protein
accumulation in this series. It is likely that Alzheimer's disease pathology is
not the sole explanation for cognitive decline associated with epilepsy.
PMID- 21903729
TI - Hughlings Jackson's neurological ideas.
AB - Hughlings Jackson's neurological ideas are scientifically valid and practically
useful. He began by emphasizing the focal lesion as the key to analysing
patients' symptoms. He proclaimed that 'Epilepsy is the name for occasional,
sudden, excessive, rapid, and local discharge of grey matter.' He eliminated any
need for a direct appeal to metaphysical agents by asserting that the nervous
system is an exclusively sensorimotor machine constrained by the newly discovered
conservation laws. In constructing his neurophysiology he accepted the
phrenological assumption that the nervous system is composed of a number of
physiologically discrete organs, each with a single function accessible to the
diagnostician. By observing the march of epileptic seizures he developed the idea
of somatotopic representation. He claimed that the nervous system is an
evolutionary hierarchy of three levels connected by the process of weighted
ordinal representation. His assertion of the Doctrine of Concomitance further
separated the concerns, and the institutions, of the neurophysiologist from that
of the psychiatrist. He came to reject the idea of the unconscious because he
could not observe unequivocally unconscious behaviour at the bedside. Each of
these ideas emerged from contemporaneous scientific streams, but Hughlings
Jackson was the one to incorporate them into practical medicine. These
neurological ideas gave physicians the methods, tools, principles and structures
with which to establish a new science of clinical neurology.
PMID- 21903732
TI - The extraordinary in the ordinary.
PMID- 21903730
TI - Parsing the effects violence exposure in early childhood: modeling developmental
pathways.
AB - OBJECTIVE: To prospectively examine pathways from early childhood violence
exposure and trauma-related symptoms to school-age emotional health. METHODS: A
longitudinal, birth cohort (N = 437) was assessed with parent reports of lifetime
violence exposure and trauma-related symptoms at 3 years of age and later,
internalizing and externalizing symptoms, and social competence at school age.
RESULTS: Early family and neighborhood violence correlated significantly with
early trauma-related symptoms and also significantly predicted school-age
internalizing and externalizing symptoms and poorer competence, independent of
sociodemographic risk and past-year violence exposure. Longitudinal pathways were
significantly mediated by arousal and avoidance symptoms at 3 years of age, which
increased risk for clinically significant emotional problems and lower competence
at school age (adjusted odds ratios = 3.1-6.1, p < 0.01). CONCLUSIONS: Trauma
related symptoms may mediate developmental pathways from early violence exposure
to later emotional health. Interventions that prevent or reduce early trauma
related symptoms may ameliorate the long-term deleterious impact of violence
exposure.
PMID- 21903733
TI - Learning: what matters most.
PMID- 21903731
TI - Roles of mutation and selection in speciation: from Hugo de Vries to the modern
genomic era.
AB - One of the most important problems in evolutionary biology is to understand how
new species are generated in nature. In the past, it was difficult to study this
problem because our lifetime is too short to observe the entire process of
speciation. In recent years, however, molecular and genomic techniques have been
developed for identifying and studying the genes involved in speciation. Using
these techniques, many investigators have already obtained new findings. At
present, however, the results obtained are complex and quite confusing. We have
therefore attempted to understand these findings coherently with a historical
perspective and clarify the roles of mutation and natural selection in
speciation. We have first indicated that the root of the currently burgeoning
field of plant genomics goes back to Hugo de Vries, who proposed the mutation
theory of evolution more than a century ago and that he unknowingly found the
importance of polyploidy and chromosomal rearrangements in plant speciation. We
have then shown that the currently popular Dobzhansky-Muller model of evolution
of reproductive isolation is only one of many possible mechanisms. Some of them
are Oka's model of duplicate gene mutations, multiallelic speciation, mutation
rescue model, segregation-distorter gene model, heterochromatin-associated
speciation, single-locus model, etc. The occurrence of speciation also depends on
the reproductive system, population size, bottleneck effects, and environmental
factors, such as temperature and day length. Some authors emphasized the
importance of natural selection to speed up speciation, but mutation is crucial
in speciation because reproductive barriers cannot be generated without
mutations.
PMID- 21903734
TI - Hospital admission for rheumatoid arthritis dwindled in Italy between 2001 and
2008.
PMID- 21903735
TI - Percutaneous catheter decompression in the treatment of elevated intraabdominal
pressure.
AB - BACKGROUND: Intraabdominal hypertension (IAH) and abdominal compartment syndrome
(ACS) traditionally have been treated surgically through emergent laparotomy.
Intensivist-performed bedside drainage of free intraperitoneal fluid or blood
(percutaneous catheter decompression [PCD]) has been advocated as a less-invasive
alternative to open abdominal decompression (OAD). METHODS: A single-center
disease and severity of illness-matched case-control comparison of 62 patients
with IAH/ACS treated with PCD vs traditional OAD was performed. The relative
efficacy of each treatment in reducing elevated intraabdominal pressure (IAP) and
improving organ dysfunction was assessed. Physiologic and demographic predictors
of successful PCD therapy were determined. RESULTS: PCD and OAD both were
effective in significantly decreasing IAP and peak inspiratory pressure as well
as in increasing abdominal perfusion pressure. PCD potentially avoided the need
for subsequent OAD in 25 of 31 patients (81%) treated. Successful PCD therapy was
associated with fluid drainage of > 1,000 mL or a decrease in IAP of > 9 mm Hg in
the first 4 h postdecompression. CONCLUSIONS: Intensivist-performed PCD is an
effective and less-invasive technique for treating patients with IAH/ACS where
free intraperitoneal fluid or blood is present as determined by bedside
ultrasonography. Failure to drain at least 1,000 mL of fluid and decrease IAP by
at least 9 mm Hg in the first 4 h postdecompression is associated with PCD
failure and should prompt urgent OAD.
PMID- 21903736
TI - Sleep-disordered breathing and excessive daytime sleepiness in patients with
atrial fibrillation.
AB - BACKGROUND: An important consequence of sleep-disordered breathing (SDB) is
excessive daytime sleepiness (EDS). EDS often predicts a favorable response to
treatment of SDB, although in the setting of cardiovascular disease, particularly
heart failure, SDB and EDS do not reliably correlate. Atrial fibrillation (AF) is
another highly prevalent condition strongly associated with SDB. We sought to
assess the relationship between EDS and SDB in patients with AF. METHODS: We
conducted a prospective study of 151 patients referred for direct current
cardioversion for AF who also underwent sleep evaluation and nocturnal
polysomnography. The Epworth Sleepiness Scale (ESS) was administered prior to
polysomnography and considered positive if the score was >= 11. The apnea
hypopnea index (AHI) was tested for correlation with the ESS, with a cutoff of >=
5 events/h for the diagnosis of SDB. RESULTS: Among the study participants, mean
age was 69.1 +/- 11.7 years, mean BMI was 34.1 +/- 8.4 kg/m(2), and 76% were men.
The prevalence of SDB in this population was 81.4%, and 35% had EDS. The
association between ESS score and AHI was low (R(2) = 0.014, P = .64). The
sensitivity and specificity of the ESS for the detection of SDB in patients with
AF were 32.2% and 54.5%, respectively. CONCLUSIONS: Despite a high prevalence of
SDB in this population with AF, most patients do not report EDS. Furthermore, EDS
does not appear to correlate with severity of SDB or to accurately predict the
presence of SDB. Further research is needed to determine whether EDS affects the
natural history of AF or modifies the response to SDB treatment.
PMID- 21903738
TI - Early ICU energy deficit is a risk factor for Staphylococcus aureus ventilator
associated pneumonia.
AB - BACKGROUND: Caloric insufficiency during the first week of ICU stay has been
associated with increased infection rates. The connection between specific
pathogens and host nutritional status in the ICU is not well known. This study
was undertaken to determine the impact of patients' early in-ICU energy balance
on the pathogens responsible for ventilator-associated pneumonia (VAP). METHODS:
In this prospective, observational, cohort study conducted in a teaching hospital
ICU, energy balance (energy delivered - calculated resting energy expenditure)
was compared according to the microbiologic results of the fiber-optic BAL
cultures of 76 consecutive patients receiving acute prolonged (>= 96 h)
mechanical ventilation who developed VAP during their ICU stay. RESULTS: Among
the 76 BAL cultures, 22 contained significant Staphylococcus aureus
concentrations. The cumulated energy deficit of patients with S aureus VAP was
greater than those with VAP caused by other pathogens (-10,275 +/- 4,211 kcal vs
7,376 +/- 4,013 kcal from ICU admission to day of BAL, P < .01). ICU admission,
nutritional status, and conditions potentially limiting feeding did not differ
significantly between the two groups. Patients with S aureus VAP had lower
prescribed and delivered energy, causing higher energy deficits. Multivariate
analysis identified energy deficit as being independently associated with S
aureus VAP. More-severe energy deficit and higher rate of S aureus-positive BAL
cultures (P = .01 comparing quartiles) were observed. CONCLUSIONS: Early ICU
energy deficit is an independent determinant for acquiring S aureus VAP in
patients on acute prolonged mechanical ventilation.
PMID- 21903737
TI - Efficacy of aclidinium bromide 400 MUg twice daily compared with placebo and
tiotropium in patients with moderate to severe COPD.
AB - BACKGROUND: The efficacy and safety of aclidinium bromide bid, a novel, long
acting, muscarinic antagonist, was assessed in patients with moderate to severe
COPD. METHODS: In this phase IIa randomized, double-blind, double-dummy,
crossover trial, patients with moderate to severe COPD received aclidinium 400
MUg bid, tiotropium 8 MUg once daily, and placebo for 15 days, with a 9- to 15
day washout between treatment periods. Treatments were administered through the
Genuair or HandiHaler dry powder inhalers. The primary end point was mean change
from baseline in FEV(1) AUC(0-12/12h) (area under the curve where the numbers
represent the time period for which data were collected divided by the number of
hours over which the data are averaged [eg, 0-12 h postdose divided by 12 h]) on
day 15. Secondary end points were changes from baseline in FEV(1) AUC(12-24/12h),
FEV(1) AUC(0-24/24h), morning predose FEV(1), peak FEV(1), and COPD symptom
scores. RESULTS: Thirty patients with COPD were randomized, and 27 completed the
study. Mean change from baseline in FEV(1) AUC(0-12/12h) at day 15 was
significantly greater for aclidinium and tiotropium over placebo (P < .0001).
Mean changes from baseline in FEV(1) AUC(12-24/12h), FEV(1) AUC(0-24/24h),
morning predose FEV(1), and peak FEV(1) at day 15 were significantly greater for
aclidinium and tiotropium over placebo (P < .0001 for all except P < .001 for
FEV(1) AUC(12-24/12h) tiotropium vs placebo). Improvements were significantly
greater with aclidinium vs tiotropium on day 1 for all of the normalized AUC
values of FEV(1) as well as on day 15 for FEV(1) AUC(12-24/12h) (P < .05 for
all). COPD symptoms were significantly improved from baseline with aclidinium vs
placebo (P < .05) but not with tiotropium. CONCLUSIONS: In patients with COPD,
aclidinium 400 MUg bid compared with placebo provided clinically meaningful
improvements in 24-h bronchodilation that generally were comparable to tiotropium
18 MUg daily but with significant differences in favor of aclidinium observed in
the average nighttime period. Larger studies with longer treatment duration are
ongoing to confirm the efficacy of aclidinium 400 MUg bid on bronchodilation and
COPD symptoms. TRIAL REGISTRY: ClinicalTrials.gov; No.: NCT00868231; URL:
www.clinicaltrials.gov.
PMID- 21903739
TI - Between-patient and within-patient (site-to-site) variability in estrogen
receptor binding, measured in vivo by 18F-fluoroestradiol PET.
AB - Heterogeneity of estrogen receptor (ER) expression may be an important predictor
of breast cancer therapeutic response. (18)F-fluoroestradiol PET produces in vivo
quantitative measurements of regional estrogen binding in breast cancer tumors.
We describe within-patient (site-to-site) and between-patient heterogeneity of
lesions in patients scheduled to receive endocrine therapy. METHODS: In 91
patients with a prior ER-positive biopsy, 505 lesions were analyzed for both
(18)F-fluoroestradiol and (18)F-FDG uptake and the (18)F-fluoroestradiol/(18)F
FDG uptake ratio. Standardized uptake values (SUVs) were recorded for up to 16
lesions per patient, of 1.5 cm or more and visible on (18)F-FDG PET or
conventional staging. Linear mixed-effects regression models examined
associations between PET parameters and patient or lesion characteristics and
estimated variance components. A reader study of SUV measurements for 9 scans
further examined sources of within-patient variability. RESULTS: Average (18)F
fluoroestradiol uptake and (18)F-fluoroestradiol/(18)F-FDG ratio varied greatly
across these patients, despite a history of ER-positive disease: about 37% had
low or absent (18)F-fluoroestradiol uptake even with marked (18)F-FDG uptake.
(18)F-fluoroestradiol SUV and (18)F-fluoroestradiol/(18)F-FDG ratio measurements
within patients with multiple lesions were clustered around the patient's average
value in most cases. Summarizing these findings, the intraclass correlation
coefficient (proportion of total variation that is between-patient) was 0.60 (95%
confidence interval, 0.50-0.69) for (18)F-fluoroestradiol SUV and 0.65 (95%
confidence interval, 0.56-0.73) for the (18)F-fluoroestradiol/(18)F-FDG ratio.
Some within-patient variation in PET measures (22%-44%) was attributable to
interobserver variability as measured by the reader study. A subset of patients
had mixed uptake, with widely disparate (18)F-fluoroestradiol SUV or (18)F
fluoroestradiol/(18)F-FDG ratio for lesions in the same scan. CONCLUSION: (18)F
fluoroestradiol uptake and the (18)F-fluoroestradiol/(18)F-FDG ratio varied
greatly between patients but were usually consistent across lesions in the same
scan. The average (18)F-fluoroestradiol SUV and (18)F-fluoroestradiol/(18)F-FDG
ratio for a limited sample of lesions appear to provide a reasonable summary of
synchronous ER expression for most patients. However, imaging the entire disease
burden remains important to identify the subset of patients with mixed uptake,
who may be at a critical point in their disease evolution.
PMID- 21903740
TI - Contribution of PET/CT to prediction of outcome in children and young adults with
rhabdomyosarcoma.
AB - The purpose of this retrospective study was to evaluate the role of (18)F-FDG PET
or PET/CT in the prediction of patient outcome in children and young adults
affected by rhabdomyosarcoma. METHODS: Forty-one patients with histology-proven
rhabdomyosarcoma who underwent PET or PET/CT were identified (age range, 1-20 y;
mean age +/- SD, 9.9 +/- 5.8 y). Tumor maximum standardized uptake value
(SUV(max)) and visually rated metabolic activity, as well as the presence of
metabolically active lymph nodes and distant metastases, were compared with event
free and overall survival. Multivariate Cox regression analyses were performed to
compare the prediction of outcome according to metabolic tumor intensity in
relation to established prognostic factors. RESULTS: Kaplan-Meier analyses
revealed a significantly shorter overall survival in primary tumors visually
rated as highly metabolically active or with a ratio of SUV(max) to SUV of the
liver above 4.6. In addition, metabolically active lymph node and distant site
involvement was indicative of significantly lower survival rates. On multivariate
Cox regression analysis, the impact of intensity or SUV(max) of the primary tumor
on outcome failed to attain significance, although PET performed better than some
of the prognostic factors established in larger patient groups (P = 0.081).
CONCLUSION: (18)F-FDG PET/CT is a valuable tool for initial staging in children
affected by rhabdomyosarcoma. (18)F-FDG PET/CT may be an additional predictor of
outcome and may be used to refine risk-adapted therapy. PET performed better than
some established risk factors. The borderline significance level of primary tumor
metabolism in multivariate testing may be an effect of the limited sample size.
Further prospective evaluations are warranted.
PMID- 21903741
TI - Molecular imaging with 11C-PD153035 PET/CT predicts survival in non-small cell
lung cancer treated with EGFR-TKI: a pilot study.
AB - Outcomes are suboptimal when molecularly targeted therapies are used in patient
populations unselected for the molecular target. This pilot study examines the
correlation of PET using (11)C-labeled 4-N-(3-bromoanilino)-6,7
dimethoxyquinazoline ((11)C-PD153035), an imaging biomarker of epidermal growth
factor receptor (EGFR), with outcomes in patients with non-small cell lung cancer
(NSCLC) treated with the EGFR tyrosine kinase inhibitor erlotinib. METHODS:
Patients with advanced chemotherapy-refractory NSCLC were prospectively enrolled
on a trial of erlotinib at a dose of 150 mg daily and imaged by (11)C-PD153035
PET/CT at baseline, after 1-2 wk, and after 6 wk from the start of treatment.
Overall survival and progression-free survival (OS and PFS, respectively) times
were correlated with the (11)C-PD153035 standardized uptake value (SUV) at each
of the imaging times. RESULTS: Twenty-one patients were enrolled. Follow-up to
progression was complete in all patients and to death in 18 of 21. By Cox
regression analysis, baseline maximum SUV correlated strongly with OS and PFS
(hazard ratio = 0.40, P = 0.002, and hazard ratio = 0.044, P < 0.001,
respectively) independent of histology. Patients with higher maximum SUV
(>=median) survived more than twice as long as patients with lower maximum SUV
(median OS = 11.4 vs. 4.6 mo, P = 0.002; PFS = 4.4 vs. 1.8 mo, P < 0.001).
However, (11)C-PD153035 uptake on follow-up scans was less well correlated with
survival. CONCLUSION: Our preliminary results suggest (11)C-PD153035 PET/CT may
be a noninvasive and rapid method for identifying patients with refractory
advanced NSCLC of adenocarcinoma or squamous histology likely to respond to the
EGFR tyrosine kinase inhibitor but not for monitoring treatment response.
PMID- 21903742
TI - Widespread signatures of recent selection linked to nucleosome positioning in the
human lineage.
AB - In this study we investigated the strengths and modes of selection associated
with nucleosome positioning in the human lineage through the comparison of
interspecies and intraspecies rates of divergence. We identify significant
evidence for both positive and negative selection linked to human nucleosome
positioning for the first time, implicating a widespread and important role for
DNA sequence in the location of well-positioned nucleosomes. Selection appears to
be acting on particular base substitutions to maintain optimum GC compositions in
core and linker regions, with, e.g., unexpectedly elevated rates of C->T
substitutions during recent human evolution at linker regions 60-90 bp from the
nucleosome dyad but significant depletion of the same substitutions within
nucleosome core regions. These patterns are strikingly consistent with the known
relationships between genomic sequence composition and nucleosome assembly. By
stratifying nucleosomes according to the GC content of their genomic
neighborhood, we also show that the strength and direction of selection detected
is dictated by local GC content. Intriguingly these signatures of selection are
not restricted to nucleosomes in close proximity to exons, suggesting the correct
positioning of nucleosomes is not only important in and around coding regions.
This analysis provides strong evidence that the genomic sequences associated with
nucleosomes are not evolving neutrally, and suggests that underlying DNA sequence
is an important factor in nucleosome positioning. Recent signatures of selection
linked to genomic features as ubiquitous as the nucleosome have important
implications for human genome evolution and disease.
PMID- 21903743
TI - Differential expression in RNA-seq: a matter of depth.
AB - Next-generation sequencing (NGS) technologies are revolutionizing genome
research, and in particular, their application to transcriptomics (RNA-seq) is
increasingly being used for gene expression profiling as a replacement for
microarrays. However, the properties of RNA-seq data have not been yet fully
established, and additional research is needed for understanding how these data
respond to differential expression analysis. In this work, we set out to gain
insights into the characteristics of RNA-seq data analysis by studying an
important parameter of this technology: the sequencing depth. We have analyzed
how sequencing depth affects the detection of transcripts and their
identification as differentially expressed, looking at aspects such as transcript
biotype, length, expression level, and fold-change. We have evaluated different
algorithms available for the analysis of RNA-seq and proposed a novel approach-
NOISeq--that differs from existing methods in that it is data-adaptive and
nonparametric. Our results reveal that most existing methodologies suffer from a
strong dependency on sequencing depth for their differential expression calls and
that this results in a considerable number of false positives that increases as
the number of reads grows. In contrast, our proposed method models the noise
distribution from the actual data, can therefore better adapt to the size of the
data set, and is more effective in controlling the rate of false discoveries.
This work discusses the true potential of RNA-seq for studying regulation at low
expression ranges, the noise within RNA-seq data, and the issue of replication.
PMID- 21903744
TI - Randomized trial of an internet-based computer-tailored expert system for
physical activity in patients with heart disease.
AB - BACKGROUND: The CardioFit Internet-based expert system was designed to promote
physical activity in patients with coronary heart disease (CHD) who were not
participating in cardiac rehabilitation. DESIGN: This randomized controlled trial
compared CardioFit to usual care to assess its effects on physical activity
following hospitalization for acute coronary syndromes. METHODS: A total of 223
participants were recruited at the University of Ottawa Heart Institute or London
Health Sciences Centre and randomly assigned to either CardioFit (n = 115) or
usual care (n = 108). The CardioFit group received a personally tailored physical
activity plan upon discharge from the hospital and access to a secure website for
activity planning and tracking. They completed five online tutorials over a 6
month period and were in email contact with an exercise specialist. Usual care
consisted of physical activity guidance from an attending cardiologist. Physical
activity was measured by pedometer and self-reported over a 7-day period, 6 and
12 months after randomization. RESULTS: The CardioFit Internet-based physical
activity expert system significantly increased objectively measured (p = 0.023)
and self-reported physical activity (p = 0.047) compared to usual care. Emotional
(p = 0.038) and physical (p = 0.031) dimensions of heart disease health-related
quality of life were also higher with CardioFit compared to usual care.
CONCLUSIONS: Patients with CHD using an Internet-based activity prescription with
online coaching were more physically active at follow up than those receiving
usual care. Use of the CardioFit program could extend the reach of rehabilitation
and secondary-prevention services.
PMID- 21903746
TI - RTOG 94-10: keenly awaited results validating the best therapeutic strategy for
locally advanced non-small cell lung cancer.
PMID- 21903745
TI - Sequential vs. concurrent chemoradiation for stage III non-small cell lung
cancer: randomized phase III trial RTOG 9410.
AB - BACKGROUND: The combination of chemotherapy with thoracic radiotherapy (TRT)
compared with TRT alone has been shown to confer a survival advantage for good
performance status patients with stage III non-small cell lung cancer. However,
it is not known whether sequential or concurrent delivery of these therapies is
the optimal combination strategy. METHODS: A total of 610 patients were randomly
assigned to two concurrent regimens and one sequential chemotherapy and TRT
regimen in a three-arm phase III trial. The sequential arm included cisplatin at
100 mg/m2 on days 1 and 29 and vinblastine at 5 mg/m2 per week for 5 weeks with
63 Gy TRT delivered as once-daily fractions beginning on day 50. Arm 2 used the
same chemotherapy regimen as arm 1 with 63 Gy TRT delivered as once-daily
fractions beginning on day 1 [corrected]. Arm 3 used cisplatin at 50 mg/m2 on
days 1, 8, 29, and 36 with oral etoposide at 50 mg twice daily for 10 weeks on
days 1, 2, 5, and 6 with 69.6 Gy delivered as 1.2 Gy twice-daily fractions
beginning on day 1. The primary endpoint was overall survival, and secondary
endpoints included tumor response and time to tumor progression. Kaplan-Meier
analyses were used to assess survival, and toxic effects were examined using the
Wilcoxon rank sum test. All statistical tests were two-sided. RESULTS: Median
survival times were 14.6, 17.0, and 15.6 months for arms 1-3, respectively. Five
year survival was statistically significantly higher for patients treated with
the concurrent regimen with once-daily TRT compared with the sequential treatment
(5-year survival: sequential, arm 1, 10% [20 patients], 95% confidence interval
[CI] = 7% to 15%; concurrent, arm 2, 16% [31 patients], 95% CI = 11% to 22%, P =
.046; concurrent, arm 3, 13% [22 patients], 95% CI = 9% to 18%). With a median
follow-up time of 11 years, the rates of acute grade 3-5 nonhematologic toxic
effects were higher with concurrent than sequential therapy, but late toxic
effects were similar. CONCLUSION: Concurrent delivery of cisplatin-based
chemotherapy with TRT confers a long-term survival benefit compared with the
sequential delivery of these therapies.
PMID- 21903747
TI - A selective cysteinyl leukotriene receptor 2 antagonist blocks myocardial
ischemia/reperfusion injury and vascular permeability in mice.
AB - Cysteinyl leukotrienes (CysLTs) are potent inflammatory mediators that
predominantly exert their effects by binding to cysteinyl leukotriene receptors
of the G protein-coupled receptor family. CysLT receptor 2 (CysLT(2)R), expressed
in endothelial cells of some vascular beds, has been implicated in a variety of
cardiovascular functions. Endothelium-specific overexpression of human CysLT(2)R
in transgenic mice (hEC-CysLT(2)R) greatly increases myocardial infarction
damage. Investigation of this receptor, however, has been hindered by the lack of
selective pharmacological antagonists. Here, we describe the characterization of
3-(((3-carboxycyclohexyl)amino)carbonyl)-4-(3-(4-(4-phenoxybutoxy)phenyl)
propoxy)benzoic acid (BayCysLT(2)) and explore the selective effects of this
compound in attenuating myocardial ischemia/reperfusion damage and vascular
leakage. Using a recently developed beta-galactosidase-beta-arrestin
complementation assay for CysLT(2)R activity (Mol Pharmacol 79:270-278, 2011), we
determined BayCysLT(2) to be ~20-fold more potent than the nonselective dual
CysLT receptor 1 (CysLT(1)R)/CysLT(2)R antagonist 4-(((1R,2E,4E,6Z,9Z)-1-((1S)-4
carboxy-1-hydroxybutyl)-2,4,6,9-pentadecatetraen-1-yl)thio)benzoic acid (Bay
u9773) (IC(50) 274 nM versus 4.6 MUM, respectively). Intracellular calcium
mobilization in response to cysteinyl leukotriene administration showed that
BayCysLT(2) was >500-fold more selective for CysLT(2)R compared with CysLT(1)R.
Intraperitoneal injection of BayCysLT(2) in mice significantly attenuated
leukotriene D(4)-induced Evans blue dye leakage in the murine ear vasculature.
BayCysLT(2) administration either before or after ischemia/reperfusion attenuated
the aforementioned increased myocardial infarction damage in hEC-CysLT(2)R mice.
Finally, decreased neutrophil infiltration and leukocyte adhesion molecule mRNA
expression were observed in mice treated with antagonist compared with untreated
controls. In conclusion, we present the characterization of a potent and
selective antagonist for CysLT(2)R that is useful for discerning biological
activities of this receptor.
PMID- 21903748
TI - Serotonin receptor type 3 antagonists improve obesity-associated fatty liver
disease in mice.
AB - Obesity is a major cause for nonalcoholic fatty liver disease (NAFLD). Previous
studies suggested that alterations in intestinal motility and permeability
contribute to the development of NAFLD. Serotonin and serotonin receptor type 3
(5-HT(3)R) are key factors in the regulation of intestinal motility and
permeability. Therefore, we studied the effect of the 5-HT(3)R antagonists
tropisetron and palonosetron on the development of NAFLD in leptin-deficient
obese mice. Four-week-old ob/ob mice and lean controls were treated for 6 weeks
orally with tropisetron or palonosetron at 0.2 mg/kg per day. We determined
markers of liver damage and inflammation, portal endotoxin levels, and duodenal
concentrations of serotonin, serotonin-reuptake transporter (SERT), occludin, and
claudin-1. Tropisetron treatment significantly reduced liver fat content (-29%),
liver inflammation (-56%), and liver cell necrosis (-59%) in ob/ob mice. The
beneficial effects of tropisetron were accompanied by a decrease in plasma
alanine aminotransferase and portal vein plasma endotoxin levels, an attenuation
of enhanced MyD88 and tumor necrosis factor-alpha mRNA expression in the liver,
and an increase of tight junction proteins in the duodenum. Tropisetron treatment
also caused a reduction of elevated serotonin levels and an increase of SERT in
the duodenum of ob/ob mice. Palonosetron had similar effects as tropisetron with
regard to the reduction of liver fat and other parameters. Tropisetron and
palonosetron are effective in attenuating NAFLD in a genetic mouse model of
obesity. The effect involves the intestinal nervous system, resulting in a
reduction of endotoxin influx into the liver and subsequently of liver
inflammation and fat accumulation.
PMID- 21903750
TI - Lipoproteins of Enterococcus faecalis: bioinformatic identification, expression
analysis and relation to virulence.
AB - Enterococcus faecalis is a ubiquitous bacterium that is capable of surviving in a
broad range of natural environments, including the human host, as either a
natural commensal or an opportunistic pathogen involved in severe hospital
acquired infections. How such opportunistic pathogens cause fatal infections is
largely unknown but it is likely that they are equipped with sophisticated
systems to perceive external signals and interact with eukaryotic cells.
Accordingly, being partially exposed at the cell exterior, some surface
associated proteins are involved in several steps of the infection process. Among
them are lipoproteins, representing about 25 % of the surface-associated
proteins, which could play a major role in bacterial virulence processes. This
review focuses on the identification of 90 lipoprotein-encoding genes in the
genome of the E. faecalis V583 clinical strain and their putative roles, and
provides a transcriptional comparison of microarray data performed in
environmental conditions including blood and urine. Taken together, these data
suggest a potential involvement of lipoproteins in E. faecalis virulence, making
them serious candidates for vaccine production.
PMID- 21903749
TI - Modeling diabetes disease progression and salsalate intervention in Goto-Kakizaki
rats.
AB - Type 2 diabetes mellitus (T2DM) arises owing to insulin resistance and beta-cell
dysfunction. Chronic inflammation is widely identified as a cause of T2DM. The
Goto-Kakizaki (GK) rat is a spontaneous rodent model for T2DM with chronic
inflammation. The purpose of this study was to characterize diabetes progression
in GK rats and evaluate the potential role of the anti-inflammatory agent
salsalate. The GK rats were divided into control groups (n = 6) and salsalate
treatment groups (n = 6), which were fed a salsalate-containing diet from 5 to 21
weeks of age. Blood glucose and salicylate concentrations were measured once a
week. Glucose concentrations showed a biphasic increase in which the first phase
started at approximately 5 weeks, resulting in an increase by 15 to 25 mg/dl and
a second phase at 14 to 15 weeks with an upsurge of more than 100 mg/dl. A
mechanism-based model was proposed to describe the natural diabetes progression
and salsalate pharmacodynamics by using a population method in S-ADAPT. Two
transduction cascades were applied to mimic the two T2DM components: insulin
resistance and beta-cell dysfunction. Salsalate suppressed both disease factors
by a fraction of 0.622 on insulin resistance and 0.134 on beta-cell dysfunction.
The substantial alleviation of diabetes by salsalate supports the hypothesis that
chronic inflammation is a pathogenic factor of diabetes in GK rats. In addition,
body weight and food intake were measured and further modeled by a mechanism
based growth model. Modeling results suggest that salsalate reduces weight gain
by enhancing metabolic rate and energy expenditure in both GK and Wister-Kyoto
rats.
PMID- 21903751
TI - The RSP_2889 gene product of Rhodobacter sphaeroides is a CueR homologue
controlling copper-responsive genes.
AB - Metal homeostasis is important in all living cells in order to provide sufficient
amounts of metal ions for biological processes but to prevent toxic effects by
excess amounts. Here we show that the gene product of RSP_2889 of the
facultatively photosynthetic bacterium Rhodobacter sphaeroides is homologous to
CueR, a regulator of copper metabolism in Escherichia coli and other bacteria.
CueR binds to the promoter regions of genes for a copper-translocating ATPase and
for a copper chaperone and is responsible for their high expression when cells
are exposed to elevated levels of copper ions. While deletion of RSP_2889 has no
significant effect on copper resistance, expression from a low-copy-number
plasmid mediates increased sensitivity to copper.
PMID- 21903752
TI - Lipidomics of Candida albicans biofilms reveals phase-dependent production of
phospholipid molecular classes and role for lipid rafts in biofilm formation.
AB - Candida albicans-associated bloodstream infections are linked to the ability of
this yeast to form biofilms. In this study, we used lipidomics to compare the
lipid profiles of C. albicans biofilms and planktonic cells, in early and mature
developmental phases. Our results showed that significant differences exist in
lipid composition in both developmental phases. Biofilms contained higher levels
of phospholipid and sphingolipids than planktonic cells (nmol per g biomass,
P<0.05 for all comparisons). In the early phase, levels of lipid in most classes
were significantly higher in biofilms compared to planktonic cells (P<=0.05). The
ratio of phosphatidylcholine to phosphatidylethanolamine was lower in biofilms
compared to planktonic cells in both early (1.17 vs 2.52, P<=0.001) and late
(2.34 vs 3.81, P<=0.001) developmental phases. The unsaturation index of
phospholipids decreased with time, with this effect being particularly strong for
biofilms. Inhibition of the biosynthetic pathway for sphingolipid [mannosyl
diinositolphosphoryl ceramide, M(IP)2C] by myriocin or aureobasidin A, and
disruption of the gene encoding inositolphosphotransferase (Ipt1p), abrogated the
ability of C. albicans to form biofilms. The differences in lipid profiles
between biofilms and planktonic Candida cells may have important implications for
the biology and antifungal resistance of biofilms.
PMID- 21903753
TI - The glgB-encoded glycogen branching enzyme is essential for glycogen accumulation
in Corynebacterium glutamicum.
AB - Corynebacterium glutamicum transiently accumulates glycogen as carbon capacitor
during the early exponential growth phase in media containing carbohydrates. In
some bacteria glycogen is synthesized by the consecutive action of ADP-glucose
pyrophosphorylase (GlgC), glycogen synthase (GlgA) and glycogen branching enzyme
(GlgB). GlgC and GlgA of C. glutamicum have been shown to be necessary for
glycogen accumulation in this organism. However, although cg1381 has been
annotated as the putative C. glutamicum glgB gene, cg1381 and its gene product
have not been characterized and their role in transient glycogen accumulation has
not yet been investigated. We show here that the cg1381 gene product of C.
glutamicum catalyses the formation of alpha-1,6-glycosidic bonds in
polysaccharides and thus represents a glycogen branching enzyme. RT-PCR
experiments revealed glgB to be co-transcribed with glgE, probably encoding a
maltosyltransferase. Promoter activity assays with the glgE promoter region
revealed carbon-source-dependent expression of the glgEB operon. Characterization
of the growth and glycogen content of glgB-deficient and glgB-overexpressing
strains showed that the glycogen branching enzyme GlgB is essential for glycogen
formation in C. glutamicum. Taken together these results suggest that an
interplay of the enzymes GlgC, GlgA and GlgB is not essential for growth, but is
required for synthesis of the transient carbon capacitor glycogen in C.
glutamicum.
PMID- 21903754
TI - Effect of new alleles of the histidine kinase gene ciaH on the activity of the
response regulator CiaR in Streptococcus pneumoniae R6.
AB - The two-component regulatory system CiaRH of Streptococcus pneumoniae affects
beta-lactam susceptibility, autolysis, bacteriocin production, competence
development, host colonization and virulence. The system was discovered in a
screen for S. pneumoniae R6 mutants resistant to the beta-lactam antibiotic
cefotaxime. A mutation in the histidine kinase gene ciaH led to this phenotype by
enhancing CiaR-mediated gene expression. Additional mutations in ciaH have been
described in other spontaneous beta-lactam-resistant mutants of S. pneumoniae R6,
but their influence on CiaR-mediated gene regulation has not been determined.
Likewise, altered ciaH alleles are present in clinical S. pneumoniae isolates,
none of which had been characterized. These novel ciaH variants were introduced
into S. pneumoniae R6 to measure their ability to activate CiaR-dependent
regulation. The ciaH alleles from spontaneous mutants obtained in the laboratory
increased the activity of CiaR-dependent promoters between four- and 26-fold,
while variants from clinical strains were less effective, with a threefold
activation at most. Accordingly, phenotypes associated with a hyperactive CiaRH
system, beta-lactam resistance, and prevention of competence development, were
far more pronounced in the laboratory mutants. Amino acid changes affecting CiaH
function were positioned throughout the protein. Five of the most activating
changes are located close to the conserved histidine and one in the
extracytoplasmic sensor domain. The characterization of new alleles of ciaH
expands the spectrum of CiaH variants, which may help to elucidate signal
transduction of this important regulatory system. Our study also demonstrates
that ciaH alleles overstimulating CiaR regulon expression are present in clinical
isolates of S. pneumoniae.
PMID- 21903755
TI - Identification of SagA as a novel vaccine target for the prevention of
Enterococcus faecium infections.
AB - Infections caused by multiresistant Gram-positive bacteria represent a major
health burden in the community as well as in hospitalized patients. Enterococci,
especially Enterococcus faecium, are well-known pathogens of hospitalized
patients and are frequently linked with resistance against multiple antibiotics,
which compromises effective therapy. Rabbit immune serum raised against heat
killed E. faecium E155, a HiRECC clone, was used in an opsonophagocytic assay, an
inhibition assay and a mouse bacteraemia model to identify targets of opsonic and
protective antibodies. Serum against whole heat-killed bacteria was opsonic and
recognized a protein of about 72 kDa that was abundantly secreted. This protein,
identified as SagA by LC-ES-MS/MS, was expressed in Escherichia coli and
purified. Rabbit serum raised against the purified protein showed opsonic killing
activity that was inhibited by almost 100% using 100 ug purified protein ml(-1).
In a mouse bacteraemia model, a statistically significant reduction of the colony
counts in blood was shown with immune rabbit serum compared with preimmune serum
using the homologous and a heterologous vancomycin-resistant enterococci (VRE)
strain. These results indicate that SagA could be used as a promising vaccine
target to treat and/or prevent VRE bacteraemia.
PMID- 21903756
TI - Dual regulatory pathways of flagellar gene expression by ClpXP protease in
enterohaemorrhagic Escherichia coli.
AB - In enterobacteria such as Escherichia coli and Salmonella species, flagellar
biogenesis is strictly dependent upon the master regulator flhDC. Here, we
demonstrate that in enterohaemorrhagic E. coli (EHEC), the flagellar regulon is
controlled by ClpXP, a member of the ATP-dependent protease family, through two
pathways: (i) post-translational control of the FlhD/FlhC master regulator and
(ii) transcriptional control of the flhDC operon. Both FlhD and FlhC proteins
accumulated markedly following ClpXP depletion, and their half-lives were
significantly longer in the mutant cells, suggesting that ClpXP is responsible
for degrading FlhD and FlhC proteins, leading to downregulation of flagellar
expression. ClpXP was involved in regulating the transcription of the flhD
promoter only when the cells had entered stationary phase in a culture medium
that markedly induced expression of the locus of enterocyte effacement (LEE).
Comparative analyses of transcription from the flhD promoter in EHEC cells with
different genetic backgrounds suggested that the downregulation of flhDC
expression by ClpXP is dependent on the LEE-encoded GrlR-GrlA system. We have
also shown that the degradation of FlhD and FlhC by ClpXP is responsible for
downregulating flagellar expression even when LEE expression is induced.
PMID- 21903757
TI - Reduction of quinones and phenoxy radicals by extracellular glucose dehydrogenase
from Glomerella cingulata suggests a role in plant pathogenicity.
AB - The plant-pathogenic fungus Glomerella cingulata (anamorph Colletotrichum
gloeosporoides) secretes high levels of an FAD-dependent glucose dehydrogenase
(GDH) when grown on tomato juice-supplemented media. To elucidate its molecular
and catalytic properties, GDH was produced in submerged culture. The highest
volumetric activity was obtained in shaking flasks after 6 days of cultivation
(3400 U l-1, 4.2 % of total extracellular protein). GDH is a monomeric protein
with an isoelectric point of 5.6. The molecular masses of the glycoforms ranged
from 95 to 135 kDa, but after deglycosylation, a single 68 kDa band was obtained.
The absorption spectrum is typical for an FAD-containing enzyme with maxima at
370 and 458 nm and the cofactor is non-covalently bound. The preferred substrates
are glucose and xylose. Suitable electron acceptors are quinones, phenoxy
radicals, 2,6-dichloroindophenol, ferricyanide and ferrocenium
hexafluorophosphate. In contrast, oxygen turnover is very low. The GDH-encoding
gene was cloned and phylogenetic analysis of the translated protein reveals its
affiliation to the GMC family of oxidoreductases. The proposed function of this
quinone and phenoxy radical reducing enzyme is to neutralize the action of plant
laccase, phenoloxidase or peroxidase activities, which are increased in infected
plants to evade fungal attack.
PMID- 21903758
TI - The Bdellovibrio bacteriovorus twin-arginine transport system has roles in
predatory and prey-independent growth.
AB - Bdellovibrio bacteriovorus grows in one of two ways: either (i) predatorily [in a
host-dependent (HD) manner], when it invades the periplasm of another Gram
negative bacterium, exporting into the prey co-ordinated waves of soluble enzymes
using the prey cell contents for growth; or (ii) in a host-independent (HI)
manner, when it grows (slowly) axenically in rich media. Periplasmic invasion
potentially exposes B. bacteriovorus to extremes of pH and exposes the need to
scavenge electron donors from prey electron transport components by synthesis of
metalloenzymes. The twin-arginine transport system (Tat) in other bacteria
transports folded metalloenzymes and the B. bacteriovorus genome encodes 21
potential Tat-transported substrates and Tat transporter proteins TatA1, TatA2
and TatBC. GFP tagging of the Tat signal peptide from Bd1802, a high-potential
iron-sulfur protein (HiPIP), revealed it to be exported into the prey bacterium
during predatory growth. Mutagenesis showed that the B. bacteriovorus tatA2 and
tatC gene products are essential for both HI and HD growth, despite the fact that
they partially complement (in SDS resistance assays) the corresponding mutations
in Escherichia coli where neither TatA nor TatC are essential for life. The
essentiality of B. bacteriovorus TatA2 was surprising given that the B.
bacteriovorus genome encodes a second tatA homologue, tatA1. Transcription of
tatA1 was found to be induced upon entry to the bdelloplast, and insertional
inactivation of tatA1 showed that it significantly slowed the rates of both HI
and HD growth. B. bacteriovorus is one of a few bacterial species that are
reliant on a functional Tat system and where deletion of a single tatA1 gene
causes a significant growth defect(s), despite the presence of its tatA2
homologue.
PMID- 21903759
TI - Circadian regulation of electrolyte absorption in the rat colon.
AB - The intestinal transport of nutrients exhibits distinct diurnal rhythmicity, and
the enterocytes harbor a circadian clock. However, temporal regulation of the
genes involved in colonic ion transport, i.e., ion transporters and channels
operating in absorption and secretion, remains poorly understood. To address this
issue, we assessed the 24-h profiles of expression of genes encoding the sodium
pump (subunits Atp1a1 and Atp1b1), channels (alpha-, beta-, and gamma-subunits of
Enac and Cftr), transporters (Dra, Ae1, Nkcc1, Kcc1, and Nhe3), and the
Na(+)/H(+) exchanger (NHE) regulatory factor (Nherf1) in rat colonic mucosa.
Furthermore, we investigated temporal changes in the spatial localization of the
clock genes Per1, Per2, and Bmal1 and the genes encoding ion transporters and
channels along the crypt axis. In rats fed ad libitum, the expression of Atp1a1,
gammaEnac, Dra, Ae1, Nhe3, and Nherf1 showed circadian variation with maximal
expression at circadian time 12, i.e., at the beginning of the subjective night.
The peak gammaEnac expression coincided with the rise in plasma aldosterone.
Restricted feeding phase advanced the expression of Dra, Ae1, Nherf, and
gammaEnac and decreased expression of Atp1a1. The genes Atp1b1, Cftr, alphaEnac,
betaEnac, Nkcc1, and Kcc1 did not show any diurnal variations in mRNA levels. A
low-salt diet upregulated the expression of betaEnac and gammaEnac during the
subjective night but did not affect expression of alphaEnac. Similarly, colonic
electrogenic Na(+) transport was much higher during the subjective night than the
subjective day. These findings indicate that the transporters and channels
operating in NaCl absorption undergo diurnal regulation and suggest a role of an
intestinal clock in the coordination of colonic NaCl absorption.
PMID- 21903760
TI - Depletion of gut commensal bacteria attenuates intestinal ischemia/reperfusion
injury.
AB - Gut commensal bacteria play important roles in the development and homeostasis of
intestinal immunity. However, the role of gut commensals in intestinal
ischemia/reperfusion (I/R) injury is unclear. To determine the roles of gut
commensal bacteria in intestinal IR injury, we depleted gut microbiota with a
broad-spectrum antibiotic cocktail and performed mesenteric I/R (M I/R). First,
we confirmed that antibiotic treatment completely depleted gut commensal bacteria
and diminished the size of secondary lymphoid tissues such as the Peyer's
patches. We next found that antibiotic treatment attenuated intestinal injury
following M I/R. Depletion of gut commensal bacteria reduced the expression of
Toll-like receptor (TLR)2 and TLR4 in the intestine. Both are well-known
receptors for gram-positive and -negative bacteria. Decreased expression of TLR2
and TLR4 led to the reduction of inflammatory mediators, such as TNF, IL-6, and
cyclooxygenase-2. Intestinal I/R injury is initiated when natural antibodies
recognize neo-antigens that are revealed on ischemic cells and activate the
complement pathway. Thus we evaluated complement and immunoglobulin (Ig)
deposition in the damaged intestine and found that antibiotic treatment decreased
the deposition of both C3 and IgM. Interestingly, we also found that the
deposition of IgA also increased in the intestine following M I/R compared with
control mice and that antibiotic treatment decreased the deposition of IgA in the
damaged intestine. These results suggest that depletion of gut commensal bacteria
decreases B cells, Igs, and TLR expression in the intestine, inhibits complement
activation, and attenuates intestinal inflammation and injury following M I/R.
PMID- 21903762
TI - Effect of esophageal contraction on esophageal wall blood perfusion.
AB - Myocardial blood flow occurs during the diastolic phase of the cardiac cycle,
because myocardial contraction during the systolic phase impedes myocardial
perfusion. Using laser Doppler perfusion technique, we studied the effect of
esophageal contraction on the esophageal wall perfusion. Studies were conducted
in rats. A laser Doppler probe was anchored to the esophageal wall, and wall
perfusion was studied under various experimental conditions. Increase and
decrease in the systemic blood pressure induced by different pharmacological
agents was associated with the increase and decrease in the esophageal wall
perfusion, respectively. Esophageal contractions induced by electrical
stimulation of the vagus nerve and electrical stimulation of the muscle directly
resulted in a reduction in the esophageal wall perfusion, in a dose-dependent
fashion. Esophageal wall perfusion could be monitored by placing the Doppler
probe on the esophageal mucosa or on the outside of the esophageal wall.
Esophageal contraction impedes entry of blood into the esophageal wall. Future
studies may investigate if ischemia of the esophageal wall induced by sustained
esophageal contractions/esophageal spasm is the cause of esophageal pain symptoms
in humans.
PMID- 21903761
TI - Wingless homolog Wnt11 suppresses bacterial invasion and inflammation in
intestinal epithelial cells.
AB - Wnt11 plays an essential role in gastrointestinal epithelial proliferation, and
previous investigations have focused on development and immune responses.
However, the roles of how enteric bacteria regulate Wnt11 and how Wnt11 modulates
the host response to pathogenic bacteria remain unexplored. This study
investigated the effects of Salmonella infection on Wnt activation in intestinal
epithelial cells. We found that Wnt11 mRNA and protein expression were elevated
after Salmonella colonization. Wnt11 protein secretion in epithelial cells was
also elevated after bacterial infection. Furthermore, we demonstrated that
pathogenic Salmonella regulated Wnt11 expression and localization in vivo. We
found a decrease in Salmonella invasion in cells with Wnt11 overexpression
compared with cells with normal Wnt11 level. IL-8 mRNA in Wnt11-transfected cells
was low; however, it was enhanced in cells with a low level of Wnt11 expression.
Functionally, Wnt11 overexpression inhibited Salmonella-induced apoptosis. AvrA
is a known bacterial effector protein that stabilizes beta-catenin, the
downstream regulator of Wnt signaling, and inhibits bacterially induced
intestinal inflammation. We observed that Wnt11 expression, secretion, and
transcriptional activity were regulated by Salmonella AvrA. Overall, Wnt11 is
involved in the protection of the host intestinal cells by blocking the invasion
of pathogenic bacteria, suppressing inflammation, and inhibiting apoptosis. Wnt11
is a novel and important contributor to intestinal homeostasis and host defense.
PMID- 21903763
TI - Castration inhibits biliary proliferation induced by bile duct obstruction: novel
role for the autocrine trophic effect of testosterone.
AB - Increased cholangiocyte growth is critical for the maintenance of biliary mass
during liver injury by bile duct ligation (BDL). Circulating levels of
testosterone decline following castration and during cholestasis. Cholangiocytes
secrete sex hormones sustaining cholangiocyte growth by autocrine mechanisms. We
tested the hypothesis that testosterone is an autocrine trophic factor
stimulating biliary growth. The expression of androgen receptor (AR) was
determined in liver sections, male cholangiocytes, and cholangiocyte cultures
[normal rat intrahepatic cholangiocyte cultures (NRICC)]. Normal or BDL
(immediately after surgery) rats were treated with testosterone or
antitestosterone antibody or underwent surgical castration (followed by
administration of testosterone) for 1 wk. We evaluated testosterone serum levels;
intrahepatic bile duct mass (IBDM) in liver sections of female and male rats
following the administration of testosterone; and secretin-stimulated cAMP levels
and bile secretion. We evaluated the expression of 17beta-hydroxysteroid
dehydrogenase 3 (17beta-HSD3, the enzyme regulating testosterone synthesis) in
cholangiocytes. We evaluated the effect of testosterone on the proliferation of
NRICC in the absence/presence of flutamide (AR antagonist) and antitestosterone
antibody and the expression of 17beta-HSD3. Proliferation of NRICC was evaluated
following stable knock down of 17beta-HSD3. We found that cholangiocytes and
NRICC expressed AR. Testosterone serum levels decreased in castrated rats
(prevented by the administration of testosterone) and rats receiving
antitestosterone antibody. Castration decreased IBDM and secretin-stimulated cAMP
levels and ductal secretion of BDL rats. Testosterone increased 17beta-HSD3
expression and proliferation in NRICC that was blocked by flutamide and
antitestosterone antibody. Knock down of 17beta-HSD3 blocks the proliferation of
NRICC. Drug targeting of 17beta-HSD3 may be important for managing
cholangiopathies.
PMID- 21903764
TI - Pretreatment with the probiotic VSL#3 delays transition from inflammation to
dysplasia in a rat model of colitis-associated cancer.
AB - Evidence supports involvement of microflora in the transition of chronic
inflammation to neoplasia. We investigated the protective efficacy of the
probiotic VSL#3 in a model of colitis-associated colorectal cancer. Chronic
colitis was induced in Sprague-Dawley rats by administration of trinitrobenzene
sulfonic acid (TNBS), followed 6 wk later by systemic reactivation. To induce
colitis-associated dysplasia and cancer, the animals received TNBS
(intravenously) twice a week for 10 wk. One group received VSL#3 in drinking
water from 1 wk before colitis induction until death. The colons were examined
for damage and presence of dysplasia or cancer. Samples were analyzed for cell
proliferation and apoptosis, vitamin D receptor (VDR) expression, angiogenic
factors, and presence of alkaline sphingomyelinase or phosphatase. Microbial
community composition was evaluated by terminal restriction fragment-length
polymorphism analysis of the bacterial 16S rRNA gene. None of the probiotic
treated animals developed carcinoma, and no high-grade dysplasia was found in
either the proximal or mid colon. In contrast, 29% of the animals in the control
group developed carcinoma in one or more regions of the colon. VSL#3-treated
animals had significantly less damage than the vehicle treated-controls in all
areas of the colon, and this correlated with decreased richness and diversity of
the mucosally adherent microbiota. Treatment with the probiotic increased the
antiangiogenic factor angiostatin, VDR expression, and alkaline sphingomyelinase.
We concluded that pretreatment with the probiotic VSL#3 can attenuate various
inflammatory-associated parameters, delaying transition to dysplasia and cancer,
thus offering its potential therapeutic use in patients with long-standing
colitis.
PMID- 21903765
TI - Anti-inflammatory effects of Saccharomyces boulardii mediated by myeloid
dendritic cells from patients with Crohn's disease and ulcerative colitis.
AB - Saccharomyces boulardii (Sb) is a probiotic yeast that has demonstrated efficacy
in pilot studies in patients with inflammatory bowel disease (IBD). Microbial
antigen handling by dendritic cells (DC) is believed to be of critical importance
for immunity and tolerance in IBD. The aim was to characterize the effects of Sb
on DC from IBD patients. Highly purified (>95%), lipopolysaccharide-stimulated
CD1c(+)CD11c(+)CD123(-) myeloid DC (mDC) from patients with ulcerative colitis
(UC; n = 36), Crohn's disease (CD; n = 26), or infectious controls (IC; n = 4)
were cultured in the presence or absence of fungal supernatant from Sb (SbS).
Phenotype and cytokine production and/or secretion of IBD mDC were measured by
flow cytometry and cytometric bead arrays, respectively. T cell phenotype and
proliferation were assessed in a mixed lymphocyte reaction (MLR) with allogenic
CD4(+)CD45RA(+) naive T cells from healthy donors. Mucosal healing was
investigated in epithelial wounding and migration assays with IEC-6 cells. SbS
significantly decreased the frequency of CD40-, CD80-, and CD197 (CCR7; chemokine
receptor-7)-expressing IBD mDC and reduced their secretion of tumor necrosis
factor (TNF)-alpha and interleukin (IL)-6 while increasing IL-8. In the MLR, SbS
significantly inhibited T cell proliferation induced by IBD mDC. Moreover, SbS
inhibited T(H)1 (TNF-alpha and interferon-gamma) polarization induced by UC mDC
and promoted IL-8 and transforming growth factor-beta-dependent mucosal healing.
In summary, we provide novel evidence of synergistic mechanisms how Sb controls
inflammation (inhibition of T cell costimulation and inflammation-associated
migration and mobilization of DC) and promotes epithelial restitution relevant in
IBD.
PMID- 21903766
TI - Ammonia reduction with ornithine phenylacetate restores brain eNOS activity via
the DDAH-ADMA pathway in bile duct-ligated cirrhotic rats.
AB - Ammonia is central in the pathogenesis of hepatic encephalopathy, which is
associated with dysfunction of the nitric oxide (NO) signaling pathway. Ornithine
phenylacetate (OP) reduces hyperammonemia and brain water in cirrhotic animals.
This study aimed to determine whether endothelial NO synthase activity is altered
in the brain of cirrhotic animals, whether this is associated with changes in the
endogenous inhibitor, asymmetric-dimethylarginine (ADMA) and its regulating
enzyme, dimethylarginine-dimethylaminohydrolase (DDAH-1), and whether these
abnormalities are restored by ammonia reduction using OP. Sprague-Dawley rats
were studied 4-wk after bile duct ligation (BDL) (n = 16) or sham operation (n =
8) and treated with placebo or OP (0.6 g/kg). Arterial ammonia, brain water, TNF
alpha, plasma, and brain ADMA were measured using standard techniques. NOS
activity was measured radiometrically, and protein expression for NOS enzymes,
ADMA, DDAH-1, 4-hydroxynonenol ((4)HNE), and NADPH oxidase (NOX)-1 were measured
by Western blotting. BDL significantly increased arterial ammonia (P < 0.0001),
brain water (P < 0.05), and brain TNF-alpha (P < 0.01). These were reduced
significantly by OP treatment. The estimated eNOS component of constitutive NOS
activity was significantly lower (P < 0.05) in BDL rat, and this was
significantly attenuated in OP-treated animals. Brain ADMA levels were
significantly higher and brain DDAH-1 significantly lower in BDL compared with
sham (P < 0.01) and restored toward normal following treatment with OP. Brain
(4)HNE and NOX-1 protein expression were significantly increased in BDL rat
brain, which were significantly decreased following OP administration. We show a
marked abnormality of NO regulation in cirrhotic rat brains, which can be
restored by reduction in ammonia concentration using OP.
PMID- 21903767
TI - Inhibition of neurotensin receptor 1 selectively sensitizes prostate cancer to
ionizing radiation.
AB - Radiotherapy combined with androgen depletion is generally successful for
treating locally advanced prostate cancer. However, radioresistance that
contributes to recurrence remains a major therapeutic problem in many patients.
In this study, we define the high-affinity neurotensin receptor 1 (NTR1) as a
tractable new molecular target to radiosensitize prostate cancers. The selective
NTR1 antagonist SR48692 sensitized prostate cancer cells in a dose- and time
dependent manner, increasing apoptotic cell death and decreasing clonogenic
survival. The observed cancer selectivity for combinations of SR48692 and
radiation reflected differential expression of NTR1, which is highly expressed in
prostate cancer cells but not in normal prostate epithelial cells.
Radiosensitization was not affected by androgen dependence or androgen receptor
expression status. NTR1 inhibition in cancer cell-attenuated epidermal growth
factor receptor activation and downstream signaling, whether induced by
neurotensin or ionizing radiation, establish a molecular mechanism for
sensitization. Most notably, SR48692 efficiently radiosensitized PC-3M orthotopic
human tumor xenografts in mice, and significantly reduced tumor burden. Taken
together, our findings offer preclinical proof of concept for targeting the NTR1
receptor as a strategy to improve efficacy and outcomes of prostate cancer
treatments using radiotherapy.
PMID- 21903768
TI - Long-lived inflammatory signaling in irradiated bone marrow is genome dependent.
AB - Ionizing radiation is carcinogenic, but genotype is a key determinant of
susceptibility. Mutational DNA damage is generally attributed to cause disease,
but irradiation also affects multicellular interactions as a result of poorly
understood bystander effects that may influence carcinogenic susceptibility. In
this study, we show that the bone marrow of irradiated mice will retain the
ability to kill hemopoietic clonogenic stem cells and to induce chromosomal
instability for up to 3 months after irradiation. Chromosomal instability was
induced in bone marrow cells derived from CBA/Ca mice, a strain that is
susceptible to radiation-induced acute myeloid leukemia (r-AML), but not in
C57BL6 mice that are resistant to r-AML. Similarly, clonogenic cell lethality was
exhibited in C57BL/6 mice but not CBA/Ca mice. Mechanistic investigations
revealed that these genotype-dependent effects involved cytokine-mediated
signaling and were mediated by a cyclooxygenase-2-dependent mechanism. Thus, our
results suggested that inflammatory processes were responsible for mediating and
sustaining the durable effects of ionizing radiation observed on bone marrow
cells. Because most exposures to ionizing radiation are directed to only part of
the body, our findings imply that genotype-directed tissue responses may be
important determinants of understanding the specific consequence of radiation
exposure in different individuals.
PMID- 21903769
TI - Antitumor activity of the investigational proteasome inhibitor MLN9708 in mouse
models of B-cell and plasma cell malignancies.
AB - PURPOSE: The clinical success of the first-in-class proteasome inhibitor
bortezomib (VELCADE) has validated the proteasome as a therapeutic target for
treating human cancers. MLN9708 is an investigational proteasome inhibitor that,
compared with bortezomib, has improved pharmacokinetics, pharmacodynamics, and
antitumor activity in preclinical studies. Here, we focused on evaluating the in
vivo activity of MLN2238 (the biologically active form of MLN9708) in a variety
of mouse models of hematologic malignancies, including tumor xenograft models
derived from a human lymphoma cell line and primary human lymphoma tissue, and
genetically engineered mouse (GEM) models of plasma cell malignancies (PCM).
EXPERIMENTAL DESIGN: Both cell line-derived OCI-Ly10 and primary human lymphoma
derived PHTX22L xenograft models of diffuse large B-cell lymphoma were used to
evaluate the pharmacodynamics and antitumor effects of MLN2238 and bortezomib.
The iMyc(Calpha)/Bcl-X(L) GEM model was used to assess their effects on de novo
PCM and overall survival. The newly developed DP54-Luc-disseminated model of
iMyc(Calpha)/Bcl-X(L) was used to determine antitumor activity and effects on
osteolytic bone disease. RESULTS: MLN2238 has an improved pharmacodynamic profile
and antitumor activity compared with bortezomib in both OCI-Ly10 and PHTX22L
models. Although both MLN2238 and bortezomib prolonged overall survival, reduced
splenomegaly, and attenuated IgG2a levels in the iMyc(Calpha)/Bcl-X(L) GEM model,
only MLN2238 alleviated osteolytic bone disease in the DP54-Luc model.
CONCLUSIONS: Our results clearly showed the antitumor activity of MLN2238 in a
variety of mouse models of B-cell lymphoma and PCM, supporting its clinical
development. MLN9708 is being evaluated in multiple phase I and I/II trials.
PMID- 21903771
TI - BCR-ABL transcript dynamics support the hypothesis that leukemic stem cells are
reduced during imatinib treatment.
AB - PURPOSE: Imatinib induces a durable response in most patients with Philadelphia
chromosome-positive chronic myeloid leukemia, but it is currently unclear whether
imatinib reduces the leukemic stem cell (LSC) burden, which may be an important
step toward enabling safe discontinuation of therapy. In this article, we use
mathematical models of BCR-ABL levels to make inferences on the dynamics of LSCs.
EXPERIMENTAL DESIGN: Patients with at least 1 BCR-ABL transcript measurement on
imatinib were included (N = 477). Maximum likelihood methods were used to test 3
potential hypotheses of the dynamics of BCR-ABL transcripts on imatinib therapy:
(i) monoexponential, in which there is little, if any, decline in BCR-ABL
transcripts; (ii) biexponential, in which patients have a rapid initial decrease
in BCR-ABL transcripts followed by a more gradual response; and (iii)
triexponential, in which patients first exhibit a biphasic decline but then have
a third phase when BCR-ABL transcripts increase rapidly. RESULTS: We found that
most patients treated with imatinib exhibit a biphasic decrease in BCR-ABL
transcript levels, with a rapid decrease during the first few months of
treatment, followed by a more gradual decrease that often continues over many
years. CONCLUSIONS: We show that the only hypothesis consistent with current data
on progenitor cell turnover and with the long-term, gradual decrease in the BCR
ABL levels seen in most patients is that these patients exhibit a continual,
gradual reduction of the LSCs. This observation may explain the ability to
discontinue imatinib therapy without relapse in some cases.
PMID- 21903770
TI - Disruptive TP53 mutation is associated with aggressive disease characteristics in
an orthotopic murine model of oral tongue cancer.
AB - PURPOSE: To characterize tumor growth and metastatic potential in head and neck
squamous cell carcinoma (HNSCC) cell lines in an orthotopic murine model of oral
tongue cancer and to correlate TP53 mutation status with these findings.
EXPERIMENTAL DESIGN: Cells from each of 48 HNSCC cell lines were orthotopically
injected into the oral tongues of nude mice. Tumor volume, cervical lymph node
metastasis, and mouse survival were recorded. Direct sequencing of the TP53 gene
and Western blot analysis for the p53 protein after induction with 5-fluorouracil
was conducted. Cell lines were categorized as either mutant TP53 or wild-type
TP53, and lines with TP53 mutation were further categorized on the basis of type
of mutation (disruptive or nondisruptive) and level of p53 protein expression.
The behavior of tumors in these different groups was compared. RESULTS: These 48
HNSCC cell lines showed a wide range of behavior from highly aggressive and
metastatic to no tumor formation. Mice injected with cells harboring disruptive
TP53 mutations had faster tumor growth, greater incidence of cervical lymph node
metastasis, and shorter survival than mice injected with cells lacking these
mutations. CONCLUSIONS: HNSCC cell lines display a wide spectrum of behavior in
an orthotopic model of oral cancer. Cell lines with disruptive TP53 mutations are
more aggressive in this system, corroborating clinical reports that have linked
these mutations to poor patient outcome.
PMID- 21903773
TI - A phase 2 trial of dasatinib in patients with advanced HER2-positive and/or
hormone receptor-positive breast cancer.
AB - PURPOSE: SRC-family kinases (SFK) are involved in numerous oncogenic signaling
pathways. A phase 2 trial of dasatinib, a potent oral tyrosine kinase inhibitor
of SFKs, was carried out in patients with human epidermal growth factor receptor
2-positive (HER2+) and/or hormone receptor-positive (HR+) advanced breast cancer.
EXPERIMENTAL DESIGN: Patients with measurable tumors and progression after
chemotherapy and HER2 and/or HR-targeted agents in adjuvant or metastatic
settings (maximum of two prior metastatic setting regimens) received twice daily
dasatinib. Primary endpoint was Response Evaluation Criteria in Solid Tumors
defined response rate. Secondary endpoints included toxicity and limited
pharmacokinetics. RESULTS: Seventy patients (55 years median age) were treated,
83% of HER2+ patients had received prior HER2-directed therapy, and 61% of HR+
patients had received prior endocrine therapy in the advanced setting. Dasatinib
starting dose was reduced from 100 to 70 mg twice daily to limit toxicity. Median
therapy duration was 1.8 months in both dose groups and most discontinuations
were due to progression. Of 69 evaluable patients, three had confirmed partial
responses and six had stable disease for 16 weeks or more (disease control rate =
13.0%); all nine of these tumors were HR+ (two were also HER2+). The most common
drug-related toxicities were gastrointestinal complaints, headache, asthenia, and
pleural effusion. Grade 3-4 toxicity occurred in 37% of patients and was
comparable between doses; drug-related serious adverse events were less frequent
with 70 mg twice daily than 100 mg twice daily. CONCLUSION: Limited single-agent
activity was observed with dasatinib in patients with advanced HR+ breast cancer.
PMID- 21903772
TI - Preclinical testing of PI3K/AKT/mTOR signaling inhibitors in a mouse model of
ovarian endometrioid adenocarcinoma.
AB - PURPOSE: Genetically engineered mouse (GEM) models of ovarian cancer that closely
recapitulate their human tumor counterparts may be invaluable tools for
preclinical testing of novel therapeutics. We studied murine ovarian endometrioid
adenocarcinomas (OEA) arising from conditional dysregulation of canonical WNT and
PI3K/AKT/mTOR pathway signaling to investigate their response to conventional
chemotherapeutic drugs and mTOR or AKT inhibitors. EXPERIMENTAL DESIGN: OEAs were
induced by injection of adenovirus expressing Cre recombinase (AdCre) into the
ovarian bursae of Apc(flox/flox); Pten(flox/flox) mice. Tumor-bearing mice or
murine OEA-derived cell lines were treated with cisplatin and paclitaxel, mTOR
inhibitor rapamycin, or AKT inhibitors API-2 or perifosine. Treatment effects
were monitored in vivo by tumor volume and bioluminescence imaging, in vitro by
WST-1 proliferation assays, and in OEA tissues and cells by immunoblotting and
immunostaining for levels and phosphorylation status of PI3K/AKT/mTOR signaling
pathway components. RESULTS: Murine OEAs developed within 3 weeks of AdCre
injection and were not preceded by endometriosis. OEAs responded to cisplatin +
paclitaxel, rapamycin, and AKT inhibitors in vivo. In vitro studies showed that
response to mTOR and AKT inhibitors, but not conventional cytotoxic drugs, was
dependent on the status of PI3K/AKT/mTOR signaling. AKT inhibition in APC(
)/Pten(-) tumor cells resulted in compensatory upregulation of ERK signaling.
CONCLUSIONS: The studies show the utility of this GEM model of ovarian cancer for
preclinical testing of novel PI3K/AKT/mTOR signaling inhibitors and provide
evidence for compensatory signaling, suggesting that multiple rather than single
agent targeted therapy will be more efficacious for treating ovarian cancers with
activated PI3K/AKT/mTOR signaling.
PMID- 21903774
TI - A new functional CYP3A4 intron 6 polymorphism significantly affects tacrolimus
pharmacokinetics in kidney transplant recipients.
AB - BACKGROUND: Tacrolimus (Tac) is a potent immunosuppressant with considerable
toxicity. Tac pharmacokinetics varies between individuals and thus complicates
its use in preventing rejection after kidney transplantation. This variability
might be caused by genetic polymorphisms in metabolizing enzymes. METHODS: We
used TaqMan analyses to evaluate the impact of a newly discovered CYP3A4
(cytochrome P450, family 3, subfamily A, polypeptide 4) single-nucleotide
polymorphism (SNP) (rs35599367C>T; CYP3A4*22) on Tac pharmacokinetics in 185
renal transplant recipients who participated in an international randomized
controlled clinical trial (fixed-dose, concentration-controlled study). RESULTS:
The overall mean daily-dose requirement to reach the same predose Tac blood
concentration was 33% lower for carriers of the T variant allele than for
rs35599367CC patients (95% CI, -46% to -20%; P = 0.018). When combined with the
*3 genotype of the CYP3A5 (cytochrome P450, family 3, subfamily A, polypeptide 5)
gene, the rs35599367C>T SNP was also associated with a risk of supratherapeutic
Tac concentrations (>15 MUg/L) during the first 3 days after surgery, with an
odds ratio of 8.7 for carriers of the CYP3A4 T allele plus CYP3A5*3/*3 (P =
0.027) and 4.2 for the CYP3A4 CC homozygotes plus CYP3A5*3/*3 (P = 0.002),
compared with CYP3A4 CC homozygotes having 1 or 2 CYP3A5*1 alleles. The overall
increase in the Tac dose-adjusted trough blood concentration was +179% for
carriers of the CYP3A4 T allele with CYP3A5*3/*3 (P < 0.001), +101% for CYP3A4 CC
homozygotes with CYP3A5*3/*3 (P < 0.001), and +64% for CYP3A4 T allele carriers
with CYP3A5*1 (P = 0.020),compared with CYP3A4 CC homozygotes with CYP3A5*1.
CONCLUSIONS: The CYP3A4 rs35599367C>T polymorphism is associated with a
significantly altered Tac metabolism and therefore increases the risk of
supratherapeutic Tac concentrations early after transplantation. Analysis of this
CYP3A4*22 SNP may help in identifying patients at risk of Tac overexposure.
PMID- 21903776
TI - 800,000 years of abrupt climate variability.
AB - We constructed an 800,000-year synthetic record of Greenland climate variability
based on the thermal bipolar seesaw model. Our Greenland analog reproduces much
of the variability seen in the Greenland ice cores over the past 100,000 years.
The synthetic record shows strong similarity with the absolutely dated speleothem
record from China, allowing us to place ice core records within an absolute
timeframe for the past 400,000 years. Hence, it provides both a stratigraphic
reference and a conceptual basis for assessing the long-term evolution of
millennial-scale variability and its potential role in climate change at longer
time scales. Indeed, we provide evidence for a ubiquitous association between
bipolar seesaw oscillations and glacial terminations throughout the Middle to
Late Pleistocene.
PMID- 21903775
TI - Live attenuated malaria vaccine designed to protect through hepatic CD8+ T cell
immunity.
AB - Our goal is to develop a vaccine that sustainably prevents Plasmodium falciparum
(Pf) malaria in >=80% of recipients. Pf sporozoites (PfSPZ) administered by
mosquito bites are the only immunogens shown to induce such protection in humans.
Such protection is thought to be mediated by CD8(+) T cells in the liver that
secrete interferon-gamma (IFN-gamma). We report that purified irradiated PfSPZ
administered to 80 volunteers by needle inoculation in the skin was safe, but
suboptimally immunogenic and protective. Animal studies demonstrated that
intravenous immunization was critical for inducing a high frequency of PfSPZ
specific CD8(+), IFN-gamma-producing T cells in the liver (nonhuman primates,
mice) and conferring protection (mice). Our results suggest that intravenous
administration of this vaccine will lead to the prevention of infection with Pf
malaria.
PMID- 21903777
TI - A major constituent of brown algae for use in high-capacity Li-ion batteries.
AB - The identification of similarities in the material requirements for applications
of interest and those of living organisms provides opportunities to use renewable
natural resources to develop better materials and design better devices. In our
work, we harness this strategy to build high-capacity silicon (Si) nanopowder
based lithium (Li)-ion batteries with improved performance characteristics. Si
offers more than one order of magnitude higher capacity than graphite, but it
exhibits dramatic volume changes during electrochemical alloying and de-alloying
with Li, which typically leads to rapid anode degradation. We show that mixing Si
nanopowder with alginate, a natural polysaccharide extracted from brown algae,
yields a stable battery anode possessing reversible capacity eight times higher
than that of the state-of-the-art graphitic anodes.
PMID- 21903778
TI - Synthesized light transients.
AB - Manipulation of electron dynamics calls for electromagnetic forces that can be
confined to and controlled over sub-femtosecond time intervals. Tailored
transients of light fields can provide these forces. We report on the generation
of subcycle field transients spanning the infrared, visible, and ultraviolet
frequency regimes with a 1.5-octave three-channel optical field synthesizer and
their attosecond sampling. To demonstrate applicability, we field-ionized krypton
atoms within a single wave crest and launched a valence-shell electron wavepacket
with a well-defined initial phase. Half-cycle field excitation and attosecond
probing revealed fine details of atomic-scale electron motion, such as the
instantaneous rate of tunneling, the initial charge distribution of a valence
shell wavepacket, the attosecond dynamic shift (instantaneous ac Stark shift) of
its energy levels, and its few-femtosecond coherent oscillations.
PMID- 21903779
TI - An expanded palette of genetically encoded Ca2+ indicators.
AB - Engineered fluorescent protein (FP) chimeras that modulate their fluorescence in
response to changes in calcium ion (Ca(2+)) concentration are powerful tools for
visualizing intracellular signaling activity. However, despite a decade of
availability, the palette of single FP-based Ca(2+) indicators has remained
limited to a single green hue. We have expanded this palette by developing blue,
improved green, and red intensiometric indicators, as well as an emission
ratiometric indicator with an 11,000% ratio change. This series enables improved
single-color Ca(2+) imaging in neurons and transgenic Caenorhabditis elegans. In
HeLa cells, Ca(2+) was imaged in three subcellular compartments, and, in
conjunction with a cyan FP-yellow FP-based indicator, Ca(2+) and adenosine 5'
triphosphate were simultaneously imaged. This palette of indicators paints the
way to a colorful new era of Ca(2+) imaging.
PMID- 21903785
TI - National Science Foundation. Big budget squeeze looms for major new facilities.
PMID- 21903780
TI - Still vulnerable in 2011.
PMID- 21903786
TI - Newsmaker interview: Rao Yi. Piqued Chinese dean throws down the gauntlet.
Interview by Hao Xin.
PMID- 21903787
TI - Avian influenza. Warning of H5N1 resurgence surprises community.
PMID- 21903788
TI - Paleoanthropology. Skeletons present an exquisite paleo-puzzle.
PMID- 21903789
TI - Profile: Lee Berger. Paleoanthropologist now rides high on a new fossil tide.
PMID- 21903790
TI - Paleoanthropology. Little Foot, big mystery.
PMID- 21903791
TI - Superheavy elements. Which way to the island?
PMID- 21903792
TI - Low sperm counts may be preventable.
PMID- 21903793
TI - Hope for graduate school childbirth policies.
PMID- 21903795
TI - Moving USAID forward.
PMID- 21903796
TI - Public health. Boosting health services research.
PMID- 21903797
TI - Geophysics. Antarctic ice flow revealed.
PMID- 21903798
TI - Chemistry. High-throughput discovery of new chemical reactions.
PMID- 21903799
TI - Cell signaling. Getting to the heart of mechanotransduction.
PMID- 21903800
TI - Evolution. Mother tongue and Y chromosomes.
PMID- 21903801
TI - Neuroscience. How many cell types does it take to wire a brain?
PMID- 21903802
TI - Visualizing uncertainty about the future.
AB - We are all faced with uncertainty about the future, but we can get the measure of
some uncertainties in terms of probabilities. Probabilities are notoriously
difficult to communicate effectively to lay audiences, and in this review we
examine current practice for communicating uncertainties visually, using examples
drawn from sport, weather, climate, health, economics, and politics. Despite the
burgeoning interest in infographics, there is limited experimental evidence on
how different types of visualizations are processed and understood, although the
effectiveness of some graphics clearly depends on the relative numeracy of an
audience. Fortunately, it is increasingly easy to present data in the form of
interactive visualizations and in multiple types of representation that can be
adjusted to user needs and capabilities. Nonetheless, communicating deeper
uncertainties resulting from incomplete or disputed knowledge--or from essential
indeterminacy about the future--remains a challenge.
PMID- 21903803
TI - A gene for an extended phenotype.
AB - Manipulation of host behavior by parasites and pathogens has been widely
observed, but the basis for these behaviors has remained elusive. Gypsy moths
infected by a baculovirus climb to the top of trees to die, liquefy, and "rain"
virus on the foliage below to infect new hosts. The viral gene that manipulates
climbing behavior of the host was identified, providing evidence of a genetic
basis for the extended phenotype.
PMID- 21903804
TI - The endocast of MH1, Australopithecus sediba.
AB - The virtual endocast of MH1 (Australopithecus sediba), obtained from high-quality
synchrotron scanning, reveals generally australopith-like convolutional patterns
on the frontal lobes but also some foreshadowing of features of the human frontal
lobes, such as posterior repositioning of the olfactory bulbs. Principal
component analysis of orbitofrontal dimensions on australopith endocasts (MH1,
Sts 5, and Sts 60) indicates that among these, MH1 orbitofrontal shape and
organization align most closely with human endocasts. These results are
consistent with gradual neural reorganization of the orbitofrontal region in the
transition from Australopithecus to Homo, but given the small volume of the MH1
endocast, they are not consistent with gradual brain enlargement before the
transition.
PMID- 21903805
TI - A partial pelvis of Australopithecus sediba.
AB - The fossil record of the hominin pelvis reflects important evolutionary changes
in locomotion and parturition. The partial pelves of two individuals of
Australopithecus sediba were reconstructed from previously reported finds and new
material. These remains share some features with australopiths, such as large
biacetabular diameter, small sacral and coxal joints, and long pubic rami. The
specimens also share derived features with Homo, including more vertically
oriented and sigmoid-shaped iliac blades, greater robusticity of the iliac body,
sinusoidal anterior iliac borders, shortened ischia, and more superiorly oriented
pubic rami. These derived features appear in a species with a small adult brain
size, suggesting that the birthing of larger-brained babies was not driving the
evolution of the pelvis at this time.
PMID- 21903806
TI - Australopithecus sediba hand demonstrates mosaic evolution of locomotor and
manipulative abilities.
AB - Hand bones from a single individual with a clear taxonomic affiliation are scarce
in the hominin fossil record, which has hampered understanding the evolution of
manipulative abilities in hominins. Here we describe and analyze a nearly
complete wrist and hand of an adult female [Malapa Hominin 2 (MH2)]
Australopithecus sediba from Malapa, South Africa (1.977 million years ago). The
hand presents a suite of Australopithecus-like features, such as a strong flexor
apparatus associated with arboreal locomotion, and Homo-like features, such as a
long thumb and short fingers associated with precision gripping and possibly
stone tool production. Comparisons to other fossil hominins suggest that there
were at least two distinct hand morphotypes around the Plio-Pleistocene
transition. The MH2 fossils suggest that Au. sediba may represent a basal
condition associated with early stone tool use and production.
PMID- 21903807
TI - The foot and ankle of Australopithecus sediba.
AB - A well-preserved and articulated partial foot and ankle of Australopithecus
sediba, including an associated complete adult distal tibia, talus, and
calcaneus, have been discovered at the Malapa site, South Africa, and reported in
direct association with the female paratype Malapa Hominin 2. These fossils
reveal a mosaic of primitive and derived features that are distinct from those
seen in other hominins. The ankle (talocrural) joint is mostly humanlike in form
and inferred function, and there is some evidence for a humanlike arch and
Achilles tendon. However, Au. sediba is apelike in possessing a more gracile
calcaneal body and a more robust medial malleolus than expected. These
observations suggest, if present models of foot function are correct, that Au.
sediba may have practiced a unique form of bipedalism and some degree of
arboreality. Given the combination of features in the Au. sediba foot, as well as
comparisons between Au. sediba and older hominins, homoplasy is implied in the
acquisition of bipedal adaptations in the hominin foot.
PMID- 21903808
TI - Australopithecus sediba at 1.977 Ma and implications for the origins of the genus
Homo.
AB - Newly exposed cave sediments at the Malapa site include a flowstone layer capping
the sedimentary unit containing the Australopithecus sediba fossils. Uranium-lead
dating of the flowstone, combined with paleomagnetic and stratigraphic analysis
of the flowstone and underlying sediments, provides a tightly constrained date of
1.977 +/- 0.002 million years ago (Ma) for these fossils. This refined dating
suggests that Au. sediba from Malapa predates the earliest uncontested evidence
for Homo in Africa.
PMID- 21903809
TI - A simple, multidimensional approach to high-throughput discovery of catalytic
reactions.
AB - Transition metal complexes catalyze many important reactions that are employed in
medicine, materials science, and energy production. Although high-throughput
methods for the discovery of catalysts that would mirror related approaches for
the discovery of medicinally active compounds have been the focus of much
attention, these methods have not been sufficiently general or accessible to
typical synthetic laboratories to be adopted widely. We report a method to
evaluate a broad range of catalysts for potential coupling reactions with the use
of simple laboratory equipment. Specifically, we screen an array of catalysts and
ligands with a diverse mixture of substrates and then use mass spectrometry to
identify reaction products that, by design, exceed the mass of any single
substrate. With this method, we discovered a copper-catalyzed alkyne
hydroamination and two nickel-catalyzed hydroarylation reactions, each of which
displays excellent functional-group tolerance.
PMID- 21903810
TI - Aeroelastic flutter produces hummingbird feather songs.
AB - During courtship flights, males of some hummingbird species produce diverse
sounds with tail feathers of varying shapes. We show that these sounds are
produced by air flowing past a feather, causing it to aeroelastically flutter and
generate flutter-induced sound. Scanning laser doppler vibrometery and high-speed
video of individual feathers of different sizes and shapes in a wind tunnel
revealed multiple vibratory modes that produce a range of acoustic frequencies
and harmonic structures. Neighboring feathers can be aerodynamically coupled and
flutter either at the same frequency, resulting in sympathetic vibrations that
increase loudness, or at different frequencies, resulting in audible interaction
frequencies. Aeroelastic flutter is intrinsic to stiff airfoils such as feathers
and thus explains tonal sounds that are common in bird flight.
PMID- 21903811
TI - Flight at low ambient humidity increases protein catabolism in migratory birds.
AB - Although fat is the primary fuel for migratory flight in birds, protein is also
used. Catabolism of tissue protein yields five times as much water per kilojoule
as fat, and so one proposed function of protein catabolism is to maintain water
balance during nonstop flights. To test the protein-for-water hypothesis, we flew
Swainson's thrushes (Catharus ustulatus) in a climatic wind tunnel under high-
and low-humidity conditions at 18 degrees C for up to 5 hours. Flight under dry
conditions increased the rates of lean mass loss and endogenous water production
and also increased plasma uric acid concentration. These data demonstrate that
atmospheric humidity influences fuel composition in flight and suggest that
protein deposition and catabolism during migration are, in part, a metabolic
strategy to maintain osmotic homeostasis during flight.
PMID- 21903812
TI - Generation of spatial patterns through cell polarity switching.
AB - The mechanisms that generate dynamic spatial patterns within proliferating
tissues are poorly understood, largely because of difficulties in unravelling
interactions between cell specification, polarity, asymmetric division,
rearrangements, and growth. We address this problem for stomatal spacing in
plants, which offer the simplifying advantage that cells do not rearrange. By
tracking lineages and gene activities over extended periods, we show that limited
stem cell behavior of stomatal precursors depends on maintenance of the
SPEECHLESS (SPCH) transcription factor in single daughter cells. Modeling shows
how this property can lead to observed stereotypical stomata lineages through a
postmitotic polarity-switching mechanism. The model predicts the location of a
polarity determinant BASL over multiple divisions, which we validate
experimentally. Our results highlight the dynamic two-way interactions between
stem cells and their neighborhood during developmental patterning.
PMID- 21903813
TI - X-ROS signaling: rapid mechano-chemo transduction in heart.
AB - We report that in heart cells, physiologic stretch rapidly activates reduced-form
nicotinamide adenine dinucleotide phosphate (NADPH) oxidase 2 (NOX2) to produce
reactive oxygen species (ROS) in a process dependent on microtubules (X-ROS
signaling). ROS production occurs in the sarcolemmal and t-tubule membranes where
NOX2 is located and sensitizes nearby ryanodine receptors (RyRs) in the
sarcoplasmic reticulum (SR). This triggers a burst of Ca(2+) sparks, the
elementary Ca(2+) release events in heart. Although this stretch-dependent
"tuning" of RyRs increases Ca(2+) signaling sensitivity in healthy
cardiomyocytes, in disease it enables Ca(2+) sparks to trigger arrhythmogenic
Ca(2+) waves. In the mouse model of Duchenne muscular dystrophy, hyperactive X
ROS signaling contributes to cardiomyopathy through aberrant Ca(2+) release from
the SR. X-ROS signaling thus provides a mechanistic explanation for the
mechanotransduction of Ca(2+) release in the heart and offers fresh therapeutic
possibilities.
PMID- 21903814
TI - Chromosome organization by a nucleoid-associated protein in live bacteria.
AB - Bacterial chromosomes are confined in submicrometer-sized nucleoids. Chromosome
organization is facilitated by nucleoid-associated proteins (NAPs), but the
mechanisms of action remain elusive. In this work, we used super-resolution
fluorescence microscopy, in combination with a chromosome-conformation capture
assay, to study the distributions of major NAPs in live Escherichia coli cells.
Four NAPs--HU, Fis, IHF, and StpA--were largely scattered throughout the
nucleoid. In contrast, H-NS, a global transcriptional silencer, formed two
compact clusters per chromosome, driven by oligomerization of DNA-bound H-NS
through interactions mediated by the amino-terminal domain of the protein. H-NS
sequestered the regulated operons into these clusters and juxtaposed numerous DNA
segments broadly distributed throughout the chromosome. Deleting H-NS led to
substantial chromosome reorganization. These observations demonstrate that H-NS
plays a key role in global chromosome organization in bacteria.
PMID- 21903815
TI - Light-induced structural and functional plasticity in Drosophila larval visual
system.
AB - How to build and maintain a reliable yet flexible circuit is a fundamental
question in neurobiology. The nervous system has the capacity for undergoing
modifications to adapt to the changing environment while maintaining its
stability through compensatory mechanisms, such as synaptic homeostasis. Here, we
describe our findings in the Drosophila larval visual system, where the variation
of sensory inputs induced substantial structural plasticity in dendritic arbors
of the postsynaptic neuron and concomitant changes to its physiological output.
Furthermore, our genetic analyses have identified the cyclic adenosine
monophosphate (cAMP) pathway and a previously uncharacterized cell surface
molecule as critical components in regulating experience-dependent modification
of the postsynaptic dendrite morphology in Drosophila.
PMID- 21903817
TI - Progress in disaster planning and preparedness since 2001.
PMID- 21903816
TI - HCN2 ion channels play a central role in inflammatory and neuropathic pain.
AB - The rate of action potential firing in nociceptors is a major determinant of the
intensity of pain. Possible modulators of action potential firing include the HCN
ion channels, which generate an inward current, I(h), after hyperpolarization of
the membrane. We found that genetic deletion of HCN2 removed the cyclic adenosine
monophosphate (cAMP)-sensitive component of I(h) and abolished action potential
firing caused by an elevation of cAMP in nociceptors. Mice in which HCN2 was
specifically deleted in nociceptors expressing Na(V)1.8 had normal pain
thresholds, but inflammation did not cause hyperalgesia to heat stimuli. After a
nerve lesion, these mice showed no neuropathic pain in response to thermal or
mechanical stimuli. Neuropathic pain is therefore initiated by HCN2-driven action
potential firing in Na(V)1.8-expressing nociceptors.
PMID- 21903818
TI - Understanding the mental health effects of indirect exposure to mass trauma
through the media.
PMID- 21903819
TI - Health consequences of the World Trade Center disaster: a 10th anniversary
perspective.
PMID- 21903820
TI - Molecular characterization of extended-spectrum beta-lactamase-producing Shigella
isolates from humans in South Africa, 2003-2009.
PMID- 21903821
TI - Interlaboratory reproducibility of DiversiLab rep-PCR typing and clustering of
Acinetobacter baumannii isolates.
AB - We have investigated the reproducibility of DiversiLab rep-PCR fingerprints
between two laboratories with the aim of determining if the fingerprints and
clustering are laboratory-specific or portable. One-hundred non-duplicate
Acinetobacter baumannii isolates were used in this study. DNA isolation and rep
PCR were each performed separately in two laboratories and rep-PCR patterns
generated in laboratory A were compared with those from laboratory B. Twelve A.
baumannii isolates processed in laboratory A showed >=98 % pattern similarity
with the corresponding 12 isolates tested in laboratory B and were considered
identical. Sixty-four isolates showed 95-97.9 % similarity with their
corresponding isolates. Twenty-three isolates showed 90-94 % similarity with the
corresponding isolates, while one isolate showed only 87.4 % similarity. However,
intra-laboratory clustering was conserved: isolates that clustered in laboratory
A also clustered in laboratory B. While clustering was conserved and reproducible
at two different laboratories, demonstrating the robustness of rep-PCR,
interlaboratory comparison of individual isolate fingerprints showed more
variability. This comparison allows conclusions regarding clonality to be reached
independent of the laboratory where the analysis is performed.
PMID- 21903822
TI - Serotypes and antibiotic resistance in Group B streptococcus isolated from
patients at the Maternity Hospital, Kuwait.
AB - A total of 143 group B streptococcus (GBS) isolates collected from mothers at the
Maternity Hospital in Kuwait were investigated for their serotypes and antibiotic
resistance, and screened by PCR for the carriage of genes for resistance to
tetracycline (tetk, tetM, tetL, tetO), erythromycin (ermA, ermB, ermC, ermTR,
ermM, mefA, mefE, msrA) and aminoglycosides (aph3, ant4, ant6). All isolates were
serotyped using a latex agglutination test. Most of the isolates belonged to
serotypes V (38.5 %), III (20.9 %), Ia (7.7 %) and II (11.2 %). Sixteen isolates
(11.2 %) were nontypable. All isolates were susceptible to penicillin, ampicillin
and cefotaxime (MICs 0.016-0.094 ug ml(-1)) but were resistant to trimethoprim
(92.3 %), tetracycline (89.5 %), minocycline (89.5 %), high-level kanamycin (76.9
%), chloramphenicol (30.0 %), erythromycin (12.6 %), clindamycin (7.0 %), high
level streptomycin (3.5 %) and ciprofloxacin (0.7 %). The tetracycline-resistant
isolates contained tetM (94.5 %), tetO (3.9 %), tetL (1.6 %) and tetK (0.8 %).
The erythromycin-resistant isolates contained ermB (61.1 %), ermTR (38.9 %), ermA
(5.5 %), mefA (5.5 %) and mefE (11 %). All high-level kanamycin-resistant
isolates contained aph3. One of the high-level streptomycin-resistant isolates
contained ant6. Partial DNA sequencing of aph3 revealed sequences with 99 %
similarity to aph3 found in Enterococcus faecium, Enterococcus faecalis,
Staphylococcus aureus and Staphylococcus epidermidis, suggesting that the GBS
isolates could have acquired aph3 from other Gram-positive cocci. The high
proportion of isolates with resistance to tetracycline, high-level kanamycin and
trimethoprim, and the increase in the prevalence of erythromycin resistance,
represents an emerging public health concern that needs further surveillance.
PMID- 21903823
TI - Emergence of carbapenem-resistant clinical Enterobacteriaceae isolates from a
teaching hospital in Shanghai, China.
AB - Carbapenems such as imipenem and meropenem are first-line agents for the
treatment of serious nosocomial infections caused by multidrug-resistant clinical
isolates of bacteria belonging to the family Enterobacteriaceae. However,
resistance to carbapenems has increased dramatically among members of the family
Enterobacteriaceae isolated from a teaching hospital in Shanghai, China. In the
present study, we investigated the prevalence and molecular characteristics of
carbapenem-resistant clinical isolates of Enterobacteriaceae. None of the 77
clinical isolates collected from 2002 to 2009 were susceptible to ertapenem and
only 6.5 % and 1.3 % of isolates were susceptible to imipenem and meropenem,
respectively. Colistin and tigecycline were found to be the most active agents
against carbapenem-resistant Enterobacteriaceae isolates, inhibiting 90 % of
isolates at a concentration of 1 ug ml(-1) and 4 ug ml(-1), respectively. The
results of PFGE analysis suggested that many of the KPC-2-producing isolates of
Citrobacter freundii and Klebsiella pneumoniae were clonally related. Most of
these isolates were isolated from the same ward, namely the neurosurgical ward,
suggesting horizontal transfer of the KPC-2-encoding gene in these isolates. Of
the 77 isolates, 84.4 % were found, by PCR, to be capable of carbapenemase
production. SDS-PAGE analysis revealed that 75.3 % (58/77) of the isolates had
lost at least one porin protein. Our results suggested that the prompt detection
of carbapenemase-producing strains is critical for the containment of nosocomial
transmission. As no novel antimicrobials have been identified for use in the
treatment of these pan-drug-resistant isolates, further studies should focus on
the rational use of available antibiotics, the implementation of active
antibiotic resistance surveillance and the strict implementation of infection
control measures to avoid the rapid spread or outbreak of carbapenemase-producing
Enterobacteriaceae in health-care facilities.
PMID- 21903824
TI - Small-molecule inhibitors of biofilm formation in laboratory and clinical
isolates of Candida albicans.
AB - Candida albicans cells have the ability to form biofilms on biotic and abiotic
surfaces, such as indwelling medical devices. C. albicans cells can interconvert
between budded and hyphal growth forms, herein termed the budded-to-hyphal
transition (BHT), which is important for the formation of mature biofilms.
Previous work identified 23 small organic molecules that could inhibit the BHT
but did not affect C. albicans cell viability or budded cell growth. These BHT
inhibitors were proposed to inhibit multiple signalling pathways regulating the
BHT, many of which also regulate biofilm formation. However, only three of the
BHT inhibitors, buhytrinA, ETYA and CGP-37157, were capable of inhibiting in
vitro biofilm formation of wild-type laboratory C. albicans strains. When
clinical C. albicans isolates were examined for their ability to form biofilms,
only 11 of the 28 clinical isolates tested (39%) were capable of forming
biofilms. Although buhytrinA, ETYA and CGP-37157 could inhibit the BHT of all 28
clinical isolates, they were only able to inhibit biofilm formation of a subset
of these clinical isolates, with ETYA having 100% efficacy. These data indicate
that the biofilm-forming capability of laboratory and clinical isolates of C.
albicans, as well as the efficacy of BHT inhibitors against these different
isolates, can differ dramatically. These differences between laboratory and
clinical isolates should be an important aspect to consider when examining
potentially new antifungal therapeutics.
PMID- 21903825
TI - Phenotypic and molecular characterization of quinolone resistance in
Mycobacterium abscessus subsp. bolletii recovered from postsurgical infections.
AB - Several outbreaks of infections caused by rapidly growing mycobacteria (RGM) were
reported in many Brazilian states (2032 notified cases) from 2004 to 2010. Most
of the confirmed cases were mainly associated with Mycobacterium massiliense
(recently renamed as Mycobacterium abscessus subsp. bolletii) BRA100 clone,
recovered from patients who had undergone invasive procedures in which medical
instruments had not been properly sterilized and/or disinfected. Since quinolones
have been an option for the treatment of general RGM infections and have been
suggested for therapeutic schemes for these outbreaks, we evaluated the in vitro
activities of all generations of quinolones for clinical and reference RGM by
broth microdilution, and analysed the peptide sequences of the quinolone
resistance determining regions (QRDRs) of GyrA and GyrB after DNA sequencing
followed by amino acid translation. Fifty-four isolates of M. abscessus subsp.
bolletii, including clone BRA100, recovered in different states of Brazil, and 19
reference strains of RGM species were characterized. All 54 M. abscessus subsp.
bolletii isolates were resistant to all generations of quinolones and showed the
same amino acids in the QRDRs, including the Ala-83 in GyrA, and Arg-447 and Asp
464 in GyrB, described as being responsible for an intrinsic low level of
resistance to quinolones in mycobacteria. However, other RGM species showed
distinct susceptibilities to this class of antimicrobials and patterns of
mutations contrary to what has been traditionally defined, suggesting that other
mechanisms of resistance, different from gyrA or gyrB mutations, may also be
involved in resistance to high levels of quinolones.
PMID- 21903826
TI - Comparison of plasmid-mediated quinolone resistance and extended-spectrum beta
lactamases in third-generation cephalosporin-resistant Enterobacteriaceae from
four Irish hospitals.
AB - In this study, the frequency of extended-spectrum beta-lactamases (ESBL) and
plasmid-mediated quinolone resistance (PMQR) mechanisms were investigated in 206
clinical isolates of third-generation cephalosporin (3GC)-resistant
Enterobacteriaceae in four hospitals in the Republic of Ireland. bla(CTX-M-15)
was the predominant ESBL gene. Of these 3GC resistant isolates, 54 % were also
resistant to ciprofloxacin. Investigation of the PMQR mechanisms revealed that
the aac(6')Ib-cr gene predominated in fluoroquinolone-resistant (FQR) strains of
Escherichia coli and Klebsiella pneumoniae, while the qnrA gene predominated in
the FQR strains of Enterobacter. The bla(CTX-M-15) gene was frequently identified
with the aac(6')Ib-cr gene but was not always on the same plasmid. The prevalence
of the bla(CTX-M-15) gene appeared to be hospital-dependent. The epidemiology of
both ESBL-producing and PMQR strains within the four hospitals indicated that
their prevalence is not due to the spread of these resistance genes between
isolates from different hospitals.
PMID- 21903827
TI - Emergence of new PCR ribotypes from the hypervirulent Clostridium difficile 027
lineage.
AB - Clostridium difficile is the most common cause of antibiotic-associated diarrhoea
worldwide. Over the past 10 years, the incidence and severity of disease have
increased in North America and Europe due to the emergence of a hypervirulent
clone designated PCR ribotype 027. In this study, we sought to identify
phenotypic differences among a collection of 26 presumed PCR ribotype 027 strains
from the US and the UK isolated between 1988 and 2008 and also re-evaluated the
PCR ribotype. We demonstrated that some of the strains typed as BI by restriction
endonuclease analysis, and presumed to be PCR ribotype 027, were in fact other
PCR ribotypes such as 176, 198 and 244 due to slight variation in banding pattern
compared to the 027 strains. The reassigned 176, 198 and 244 ribotype strains
were isolated in the US between 2001 and 2004 and appeared to have evolved
recently from the 027 lineage. In addition, the UK strains were more motile and
more resistant to most of the antibiotics compared to the US counterparts. We
conclude that there should be a heightened awareness of newly identified PCR
ribotypes such as 176, 198 and 244, and that they may be as problematic as the
notorious 027 strains.
PMID- 21903828
TI - Multilocus differentiation of the related dermatophytes Microsporum canis,
Microsporum ferrugineum and Microsporum audouinii.
AB - Microsporum ferrugineum, an uncommon causative agent of dermatophytosis, has
restricted endemicity. Iranian strains suspected to be M. ferrugineum from two
patients with tinea were analysed using the rDNA internal transcribed spacer
(ITS) region and the partial beta-tubulin (BT2) and translation elongation factor
1-alpha (TEF1) genes. Strains were compared to reference strains to differentiate
M. ferrugineum from its relatives Microsporum canis and Microsporum audouinii.
Inter-species differences for TEF1 and BT2 were found to be higher than for the
ITS region, which is the current molecular standard for species identification in
dermatophytes. Intra-species variation was zero for each of the markers. In
silico analysis showed that the restriction enzymes BanI and BshNI were together
sufficient to differentiate the three species based on TEF1, whereas a two-step
digestion was needed with BT2 or the ITS region. The prevalence of M. ferrugineum
in clinical samples in Iran appeared to be higher than suspected on the basis of
routine phenotypic identification.
PMID- 21903829
TI - Infection with Helicobacter pylori strains lacking dupA is associated with an
increased risk of gastric ulcer and gastric cancer development.
AB - Recently, dupA was reported as a new virulence factor in Helicobacter pylori, but
its association with gastroduodenal disorders and its mode of action are still
unclear. Here, an association of the dupA status with different disease groups
was determined and a biological explanation for the observed associations was
tested. In total, 216 H. pylori isolates were obtained from 232 presumed H.
pylori-infected patients. A positive association was observed between the
occurrence of duodenal ulcer (DU) and the presence of dupA [odds ratio (OR) 24.2;
95 % confidence interval (CI) 10.6-54.8]. In addition, an inverse association
between the occurrence of gastric cancer (GC) [OR 0.16; 95 % CI 0.05-0.47] and
gastric ulcer (GU) [OR 0.34; 95 % CI 0.16-0.68] with the presence of dupA was
observed. A putative explanation for the observed associations might be a more
corpus-located infection (pan-gastritis) by the dupA-positive strains due to
their increased acid resistance. Indeed, a strong association between dupA
positive H. pylori isolated from gastritis patients and in vitro acid resistance
was observed (P<0.05). The observed higher acid resistance of the dupA-positive
strains suggests that these strains are adapted to a stomach with high gastric
acid output. This may in part explain the observed associations, as an increased
gastric acid output is thought to be typical for an antrum-predominant H. pylori
infection and, whilst this is associated with an increased risk of DU formation,
it also decreases the risk for the genesis of GUs and GC.
PMID- 21903855
TI - Abdominal aortic aneurysm treatment: minimally invasive fast-track surgery and
endovascular technique.
AB - In our department we started a program in order to offer a mini-invasive approach
to all patients affected by abdominal aortic aneurysms (AAAs), trying to offer
this option also to patients not eligible for endovascular aneurysm repair (EVAR)
due to unfavorable anatomy, age under 65 years and aorto-iliac occlusive disease,
considering nowadays EVAR is the gold-standard for the mini-invasive treatment of
AAAs. The aim of this study was to compare endovascular versus fast-track
surgical treatment in patients undergoing elective surgery for AAAs. We wanted to
verify if it was possible to be totally mini-invasive in the treatment of AAAs. A
total of 128 patients were chosen for the study. Ninety-four patients were
enrolled in the OPEN group and 34 were enrolled in the EVAR group. This study
demonstrates that minimally invasive treatment with the fast-track protocol may
be a valid alternative to EVAR.
PMID- 21903856
TI - Statin treatment is associated with reduced toll-like receptor 4
immunohistochemical expression on carotid atherosclerotic plaques: a novel effect
of statins.
AB - Toll-like receptor 4 (TLR4) has been recently implicated in inflammatory pathways
involved in carotid plaque destabilization. Given that statins have plaque
stabilization and inflammation reduction effects, we investigated whether TLR4
expression on carotid atherosclerotic plaques correlates with statin intake.
Carotid atherosclerotic plaques were obtained on 140 patients (preoperative
statin intake, n = 70). TLR4 immunohistochemical expression was investigated in
endothelial cells (ECs), macrophages (MACs) and smooth muscle cells (SMCs) of
carotid atheroma. TLR4 positivity, over-expression and intensity of
immunostaining were compared in statin versus no-statin users. The results of
this study showed that statin users had a significantly lower expression of TLR4
in ECs (P = 0.02, 0.001, 0.006 for TLR4 positivity, increased intensity and over
expression, respectively). Similarly, TLR4 positivity was less pronounced in
carotid plaque MACs of statin users (P = 0.03). No carotid specimen with
increased EC TLR4 intensity or over-expression was observed among statin users.
The prevalence of any cerebrovascular accident was 61.4% in the 'no statin'
versus 18.6% in the 'statin' group (odds ratio for statin use: 0.14, 95% CI: 0.07
0.31, P < 0.001). In conclusion, statin treatment is associated with attenuated
TLR4 expression on human carotid atherosclerotic plaques and a reduced risk of
carotid-related cerebrovascular events. TLR4 may potentially mediate statins'
plaque stabilization effects. Further investigation is necessary.
PMID- 21903857
TI - The endovascular management of splenic artery aneurysms and pseudoaneurysms.
AB - The purpose of this study was to evaluate outcomes of the endovascular treatment
of splenic artery aneurysms (SAAs) and pseudoaneurysms (SAPAs). From April 2003
to December 2009, 12 patients (mean age 46.8 years, range 29-58) with SAAs (n =
9) or SAPAs (n = 3) underwent endovascular treatment. Four patients were
asymptomatic and three had ruptured aneurysms. Lesions were in the proximal
splenic artery (n = 3), intermediate splenic artery (n = 3) and distal splenic
artery (n = 6). Endovascular procedures included embolization by sac packing (n =
5), sandwich occlusion of the splenic artery (n = 4) or stent graft deployment (n
= 3). Computed tomography (CT) was done before the operation, 3 and 12 months
after the operation, then yearly. Endovascular treatment was successful at the
first attempt in all 12 (100%) patients, with complete angiographic exclusion of
the aneurysm at the end of the operation. The mean amount of contrast medium used
was 165 mL (range 100-230), and the mean total procedure time was 92 minutes
(range 55-160). No major complications occurred. Postoperational CT scans showed
splenic multisegmental infarcts in eight patients (66.7%, 8/12) and among them
postembolization syndrome developed in six patients, manifesting as abdominal
pain and fever. The mean follow-up was 32 months (range 9-51). No patient
demonstrated gross evidence of aneurysm sac growth, and no significant decrease
in aneurysm sac size postintervention was noted on follow-up. The endovascular
management of SAAs and SAPAs is safe and effective and may induce less mortality
than open surgery. Regardless of the etiology, endovascular treatment can provide
excellent mid-term results.
PMID- 21903858
TI - BRAFV600E mutation, TIMP-1 upregulation, and NF-kappaB activation: closing the
loop on the papillary thyroid cancer trilogy.
AB - BRAF(V600E) is the most common mutation found in papillary thyroid carcinoma
(PTC). Tissue inhibitor of metalloproteinases (TIMP-1) and nuclear factor (NF)
kappaB have been shown to play an important role in thyroid cancer. In
particular, TIMP-1 binds its receptor CD63 on cell surface membrane and activates
Akt signaling pathway, which is eventually responsible for its anti-apoptotic
activity. The aim of our study was to evaluate whether interplay among these
three factors exists and exerts a functional role in PTCs. To this purpose, 56
PTC specimens were analyzed for BRAF(V600E) mutation, TIMP-1 expression, and NF
kappaB activation. We found that BRAF(V600E) mutation occurs selectively in PTC
nodules and is associated with hyperactivation of NF-kappaB and upregulation of
both TIMP-1 and its receptor CD63. To assess the functional relationship among
these factors, we first silenced BRAF gene in BCPAP cells, harboring BRAF(V600E)
mutation. We found that silencing causes a marked decrease in TIMP-1 expression
and NF-kappaB binding activity, as well as decreased invasiveness. After
treatment with specific inhibitors of MAPK pathway, we found that only sorafenib
was able to increase IkappaB-alpha and reduce both TIMP-1 expression and Akt
phosphorylation in BCPAP cells, indicating that BRAF(V600E) activates NF-kappaB
and this pathway is MEK-independent. Taken together, our findings demonstrate
that BRAF(V600E) causes upregulation of TIMP-1 via NF-kappaB. TIMP-1 binds then
its surface receptor CD63, leading eventually to Akt activation, which in turn
confers antiapoptotic behavior and promotion of cell invasion. The recognition of
this functional trilogy provides insight on how BRAF(V600E) determines cancer
initiation, progression, and invasiveness in PTC, also identifying new
therapeutic targets for the treatment of highly aggressive forms.
PMID- 21903860
TI - The skeleton: a multi-functional complex organ: the role of key signalling
pathways in osteoclast differentiation and in bone resorption.
AB - Osteoclasts are the specialised cells that resorb bone matrix and are important
both for the growth and shaping of bones throughout development as well as during
the process of bone remodelling that occurs throughout life to maintain a healthy
skeleton. Osteoclast formation, function and survival are tightly regulated by a
network of signalling pathways, many of which have been identified through the
study of rare monogenic diseases, knockout mouse models and animal strains
carrying naturally occurring mutations in key molecules. In this review, we
describe the processes of osteoclast formation, activation and function and
discuss the major transcription factors and signalling pathways (including those
that control the cytoskeletal rearrangements) that are important at each stage.
PMID- 21903859
TI - mTOR is a selective effector of the radiation therapy response in androgen
receptor-positive prostate cancer.
AB - Ionizing radiation (IR) is used frequently in the management of multiple tumor
types, including both organ-confined and locally advanced prostate cancer (PCa).
Enhancing tumor radiosensitivity could both reduce the amount of radiation
required for definitive treatment and improve clinical outcome. Androgen
suppression therapy improves clinical outcomes when combined with radiation
therapy but is associated with significant acute and chronic toxicities; hence,
there is a clear need for alternative means to increase the therapeutic window of
radiotherapy. Herein, it is demonstrated that the mammalian target of rapamycin
(mTOR) inhibitors rapamycin (sirolimus) and temsirolimus limit both hormone
therapy (HT)-sensitive and castration-resistant PCa (CRPC) cell proliferation as
single agents and have a profound radiosensitization effect when used in
combination with IR. Importantly, the observed radiosensitization was influenced
by the treatment schedule, in which adjuvant administration of mTOR inhibitors
was most effective in limiting PCa cell population doubling. This schedule
dependent influence on in vitro treatment outcome was determined to be the result
of relative effects on the cell cycle kinetics. Finally, adjuvant administration
of either mTOR inhibitor tested after IR significantly decreased clonogenic cell
survival of both HT-sensitive and CRPC cells compared with IR alone. Taken
together, these data demonstrate that inhibition of mTOR confers a
radiosensitization phenotype that is dependent on relative cell cycle kinetics
and provide a foundation for clinical assessment.
PMID- 21903861
TI - AMP-activated protein kinase pathway and bone metabolism.
AB - There is increasing evidence that osteoporosis, similarly to obesity and
diabetes, could be another disorder of energy metabolism. AMP-activated protein
kinase (AMPK) has emerged over the last decade as a key sensing mechanism in the
regulation of cellular energy homeostasis and is an essential mediator of the
central and peripheral effects of many hormones on the metabolism of appetite,
fat and glucose. Novel work demonstrates that the AMPK signaling pathway also
plays a role in bone physiology. Activation of AMPK promotes bone formation in
vitro and the deletion of alpha or beta subunit of AMPK decreases bone mass in
mice. Furthermore, AMPK activity in bone cells is regulated by the same hormones
that regulate food intake and energy expenditure through AMPK activation in the
brain and peripheral tissues. AMPK is also activated by antidiabetic drugs such
as metformin and thiazolidinediones (TZDs), which also impact on skeletal
metabolism. Interestingly, TZDs have detrimental skeletal side effects, causing
bone loss and increasing the risk of fractures, although the role of AMPK
mediation is still unclear. These data are presented in this review that also
discusses the potential roles of AMPK in bone as well as the possibility for AMPK
to be a future therapeutic target for intervention in osteoporosis.
PMID- 21903862
TI - 5alpha-Reduced glucocorticoids: a story of natural selection.
AB - 5alpha-Reduced glucocorticoids (GCs) are formed when one of the two isozymes of
5alpha-reductase reduces the Delta(4-5) double bond in the A-ring of GCs. These
steroids are largely viewed inert, despite the acceptance that other 5alpha
dihydro steroids, e.g. 5alpha-dihydrotestosterone, retain or have increased
activity at their cognate receptors. However, recent findings suggest that 5alpha
reduced metabolites of corticosterone have dissociated actions on GC receptors
(GRs) in vivo and in vitro and are thus potential candidates for safer anti
inflammatory steroids. 5alpha-Dihydro- and 5alpha-tetrahydro-corticosterone can
bind with GRs, but interest in these compounds had been limited, since they only
weakly activated metabolic gene transcription. However, a greater understanding
of the signalling mechanisms has revealed that transactivation represents only
one mode of signalling via the GR and recently the abilities of 5alpha-reduced
GCs to suppress inflammation have been demonstrated in vitro and in vivo. Thus,
the balance of parent GC and its 5alpha-reduced metabolite may critically affect
the profile of GR signalling. 5alpha-Reduction of GCs is up-regulated in liver in
metabolic disease and may represent a pathway that protects from both GC-induced
fuel dyshomeostasis and concomitant inflammatory insult. Therefore, 5alpha
reduced steroids provide hope for drug development, but may also act as
biomarkers of the inflammatory status of the liver in metabolic disease. With
these proposals in mind, careful attention must be paid to the possible adverse
metabolic effects of 5alpha-reductase inhibitors, drugs that are commonly
administered long term for the treatment of benign prostatic hyperplasia.
PMID- 21903863
TI - Global Igfbp1 deletion does not affect prostate cancer development in a c-Myc
transgenic mouse model.
AB - Circulating insulin-like growth factor binding protein 1 (IGFBP1) levels vary in
response to nutritional status, and pre-clinical studies suggest that elevated
IGFBP1 may be protective against the development and progression of prostate
cancer. We hypothesized that global deletion of Igfbp1 would accelerate the
development of prostate cancer in a c-Myc transgenic mouse model. To test our
hypothesis, c-Myc transgenic mice (Myc/BP-1 wild-type (WT)) were crossed and
interbred with the Igfbp1 knockout mice (Myc/BP-1 KO). The animals were placed on
a high-protein diet at weaning, weighed every 2 weeks, and euthanized at 16 weeks
of age. Prostate histopathology was assessed and proliferation status was
determined by Ki-67 and proliferating cell nuclear antigen analyses. IGF-related
serum biomarkers and body composition were measured. No significant difference in
the incidence of prostate cancer was observed between the Myc/BP-1 KO and the
Myc/BP-1 WT mice (65 and 80% respectively, P=0.48). Proliferation was
significantly decreased by 71% in prostate tissue of Myc/BP-1 KO mice compared
with Myc/BP-1 WT mice. Myc/BP-1 KO mice exhibited a significant 6.7% increase in
body weight relative to the Myc/BP-1 WT mice that was attributed to an increase
in fat mass. Fasting insulin levels were higher in the Myc/BP-1 KO mice without
any difference between the groups in fasting glucose concentrations. Thus,
contrary to our hypothesis, global deletion of Igfbp1 in a c-Myc transgenic mouse
model did not accelerate the development of prostate cancer. Global Igfbp1
deletion did result in a significant increase in body weight and body fat mass.
Further studies are required to understand the underlying mechanisms for these
metabolic effects.
PMID- 21903864
TI - The effect of nutrition on the neural mechanisms potentially involved in
melatonin-stimulated LH secretion in female Mediterranean goats.
AB - This research examines which neural mechanisms among the endogenous opioid,
dopaminergic, serotonergic and excitatory amino acid systems are involved in the
stimulation of LH secretion by melatonin implantation and their modulation by
nutritional level. Female goats were distributed to two experimental groups that
received either 1.1 (group H; n=24) or 0.7 (group L; n=24) times their
nutritional maintenance requirements. Half of each group was implanted with
melatonin after a long-day period. Plasma LH concentrations were measured twice
per week. The effects of i.v. injections of naloxone, pimozide, cyproheptadine
and N-methyl-d,l-aspartate (NMDA) on LH secretion were assessed the day before
melatonin implantation and again on days 30 and 45. The functioning of all but
the dopaminergic systems was clearly modified by the level of nutrition,
melatonin implantation and time elapsed since implantation. Thirty days after
implantation, naloxone increased LH concentrations irrespective of the level of
nutrition (P<0.05), similar to NMDA in the melatonin-implanted H goats (HM;
P<0.01). On day 45, naloxone increased LH concentrations in the HM animals
(P<0.05), similar to cyproheptadine in both the non-implanted H (HC) and the HM
animals (P<0.01). Finally, at 45 days, NMDA increased the LH concentration in all
subgroups (P<0.01). These results provide evidence that the effects of different
neural systems on LH secretion are modified by nutritional level and melatonin
implantation. Endogenous opioids seem to be most strongly involved in the
inhibition of LH secretion on days 30 and 45 after melatonin implantation.
However, the serotonergic mechanism appears to be most influenced by nutritional
level.
PMID- 21903866
TI - Systemic and direct nose-to-brain transport pharmacokinetic model for remoxipride
after intravenous and intranasal administration.
AB - Intranasal (IN) administration could be an attractive mode of delivery for drugs
targeting the central nervous system, potentially providing a high
bioavailability because of avoidance of a hepatic first-pass effect and rapid
onset of action. However, controversy remains whether a direct transport route
from the nasal cavity into the brain exists. Pharmacokinetic modeling is proposed
to identify the existence of direct nose-to-brain transport in a quantitative
manner. The selective dopamine-D2 receptor antagonist remoxipride was
administered at different dosages, in freely moving rats, by the IN and
intravenous (IV) route. Plasma and brain extracellular fluid (ECF) concentration
time profiles were obtained and simultaneously analyzed using nonlinear mixed
effects modeling. Brain ECF/plasma area under the curve ratios were 0.28 and 0.19
after IN and IV administration, respectively. A multicompartment pharmacokinetic
model with two absorption compartments (nose-to-systemic and nose-to-brain) was
found to best describe the observed pharmacokinetic data. Absorption was
described in terms of bioavailability and rate. Total bioavailability after IN
administration was 89%, of which 75% was attributed to direct nose-to brain
transport. Direct nose-to-brain absorption rate was slow, explaining prolonged
brain ECF exposure after IN compared with IV administration. These studies
explicitly provide separation and quantitation of systemic and direct nose-to
brain transport after IN administration of remoxipride in the rat. Describing
remoxipride pharmacokinetics at the target site (brain ECF) in a semiphysiology
based manner would allow for better prediction of pharmacodynamic effects.
PMID- 21903865
TI - IL1alpha and IL4 signalling in human ovarian surface epithelial cells.
AB - The human ovarian surface epithelium (hOSE) is a mesothelial layer that surrounds
the ovary and undergoes injury and repair cycles after ovulation-associated
inflammation. We previously showed that IL4 is a key regulator of progesterone
bioavailability during post-ovulatory hOSE repair as it differentially up
regulated 3beta-HSD1 and 3beta-HSD2 mRNA transcripts and total 3beta
hydroxysteroid dehydrogenase activity whereas it inhibited androgen receptor (AR)
expression. We now show that the pro-inflammatory effect of IL1alpha on 3beta
HSD1 expression is mediated by nuclear factor-kappaB (NF-kappaB), whereas its
anti-inflammatory action on 3beta-HSD2 expression is exerted via p38 mitogen
activated protein kinase (MAPK), phosphatidylinositol 3-kinase (PI3K) and NF
kappaB signalling pathways. The anti-inflammatory IL4 effects on 3beta-HSD1 and
3beta-HSD2 mRNA expression are mediated through STAT6 and PI3K signalling
networks. IL4 effects on AR and 3beta-HSD2 expression involve the p38 MAPK
pathway. We also document that IL4 up-regulates lysyl oxidase (LOX) mRNA
transcripts, a key gene for extracellular matrix (ECM) deposition and inhibits
IL1alpha-induced expression of cyclooxygenase-2 (COX-2) mRNA, a gene involved in
breakdown of ECM, showing a further role in post-ovulatory wound healing. We
conclude that IL1alpha and IL4 actions in the post-ovulatory wound healing of
hOSE cells are mediated by different signalling transduction pathways. The p38
MAPK signalling pathway may have possible therapeutic benefit in inflammation
associated disorders of the ovary, including cancer.
PMID- 21903867
TI - Tyrosine phosphatase SHP2 regulates the expression of acyl-CoA synthetase ACSL4.
AB - Acyl-CoA synthetase 4 (ACSL4) is implicated in fatty acid metabolism with marked
preference for arachidonic acid (AA). ACSL4 plays crucial roles in physiological
functions such as steroid synthesis and in pathological processes such as
tumorigenesis. However, factors regulating ACSL4 mRNA and/or protein levels are
not fully described. Because ACSL4 protein expression requires tyrosine
phosphatase activity, in this study we aimed to identify the tyrosine phosphatase
involved in ACSL4 expression. NSC87877, a specific inhibitor of the tyrosine
phosphatase SHP2, reduced ACSL4 protein levels in ACSL4-rich breast cancer cells
and steroidogenic cells. Indeed, overexpression of an active form of SHP2
increased ACSL4 protein levels in MA-10 Leydig steroidogenic cells. SHP2 has to
be activated through a cAMP-dependent pathway to exert its effect on ACSL4. The
effects could be specifically attributed to SHP2 because knockdown of the
phosphatase reduced ACSL4 mRNA and protein levels. Through the action on ACSL4
protein levels, SHP2 affected AA-CoA production and metabolism and, finally, the
steroidogenic capacity of MA-10 cells: overexpression (or knockdown) of SHP2 led
to increased (or decreased) steroid production. We describe for the first time
the involvement of SHP2 activity in the regulation of the expression of the fatty
acid-metabolizing enzyme ACSL4.
PMID- 21903868
TI - A novel bisphosphonate inhibitor of squalene synthase combined with a statin or a
nitrogenous bisphosphonate in vitro.
AB - Statins and nitrogenous bisphosphonates (NBP) inhibit 3-hydroxy-3-methylglutaryl
coenzyme-A reductase (HMGCR) and farnesyl diphosphate synthase (FDPS),
respectively, leading to depletion of farnesyl diphosphate (FPP) and disruption
of protein prenylation. Squalene synthase (SQS) utilizes FPP in the first
committed step from the mevalonate pathway toward cholesterol biosynthesis.
Herein, we have identified novel bisphosphonates as potent and specific
inhibitors of SQS, including the tetrasodium salt of 9-biphenyl-4,8-dimethyl-nona
3,7-dienyl-1,1-bisphosphonic acid (compound 5). Compound 5 reduced cholesterol
biosynthesis and lead to a substantial intracellular accumulation of FPP without
reducing cell viability in HepG2 cells. At high concentrations, lovastatin and
zoledronate impaired protein prenylation and decreased cell viability, which
limits their potential use for cholesterol depletion. When combined with
lovastatin, compound 5 prevented lovastatin-induced FPP depletion and impairment
of protein farnesylation. Compound 5 in combination with the NBP zoledronate
completely prevented zoledronate-induced impairment of both protein farnesylation
and geranylgeranylation. Cotreatment of cells with compound 5 and either
lovastatin or zoledronate was able to significantly prevent the reduction of cell
viability caused by lovastatin or zoledronate alone. The combination of an SQS
inhibitor with an HMGCR or FDPS inhibitor provides a rational approach for
reducing cholesterol synthesis while preventing nonsterol isoprenoid depletion.
PMID- 21903869
TI - Comparison of image quality and radiation dose between combined automatic tube
current modulation and fixed tube current technique in CT of abdomen and pelvis.
AB - BACKGROUND: Tube current is an important determinant of radiation dose and image
quality in X-ray-based examination. The combined automatic tube current
modulation technique (ATCM) enables automatic adjustment of the tube current in
various planes (x-y and z) based on the size and attenuation of the body area
scanned. PURPOSE: To compare image quality and radiation dose of the ATCM with
those of a fixed tube current technique (FTC) in CT of the abdomen and pelvis
performed with a 16-slice multidetector row CT. MATERIAL AND METHODS: We reviewed
100 patients in whom initial and follow-up CT of the abdomen and pelvis were
performed with FTC and ATCM. All acquisition parameters were identical in both
techniques except for tube current. We recorded objective image noise in liver
parenchyma, subjective image noise and diagnostic acceptability by using a five
point scale, radiation dose, and body mass index (BMI, kg/m(2)). Data were
analyzed with parametric and non-parametric statistical tests. RESULTS: There was
no significant difference in image noise and diagnostic acceptability between two
techniques. All subjects had acceptable subjective image noise in both
techniques. The significant reduction in radiation dose (45.25% reduction) was
noted with combined ATCM (P < 0.001). There was a significant linear statistical
correlation between BMI and dose reduction (r = -0.78, P < 0.05). CONCLUSION: The
ATCM for CT of the abdomen and pelvis substantially reduced radiation dose while
maintaining diagnostic image quality. Patients with lower BMI showed more
reduction in radiation dose.
PMID- 21903870
TI - Preliminary study of whole-body diffusion-weighted imaging in detecting pulmonary
metastatic lesions from clear cell renal cell carcinoma: comparison with CT.
AB - BACKGROUND: Whole-body diffusion-weighted imaging (DWI) has been widely used in
detecting malignant metastases, including pulmonary metastases. PURPOSE: To
evaluate the possible utility of whole-body DWI in detecting pulmonary metastases
of patients with clear cell renal cell carcinoma (ccRCC) and compare the exact
differences between MR and CT in detecting pulmonary lesions. MATERIAL AND
METHODS: Whole-body DWI and chest CT examinations were performed on nine
consecutive patients (8 men and 1 woman) with histologically confirmed ccRCC and
possible metastatic lesions before chemotherapy. RESULTS: CT and MR demonstrated
pulmonary metastases in seven patients and no metastatic lesions in two patients.
The numbers of pulmonary metastases detected on CT, DWI-only, T1WI-only and DWI
in combination with T1WI were 83, 35, 34 and 39, respectively. Metastases with a
diameter above 1.0 cm could all be detected by DWI and a diameter above 0.7 cm
could all be detected by DWI in combination with T1WI. Significant differences
were obtained both for correlationship between diameter and detection rates of
DWI and T1WI by using Spearman rank correlation analysis. CONCLUSION: Although MR
cannot be considered a replacement for CT in pulmonary metastases from ccRCC,
whole-body DWI, with the combination of T1 dual echo, might be helpful for the
evaluation of tumor response to chemotherapy in the follow-up of patients when
the diameter of the pulmonary metastases is over 1.0 cm.
PMID- 21903871
TI - The malaria parasite progressively dismantles the host erythrocyte cytoskeleton
for efficient egress.
AB - Plasmodium falciparum is an obligate intracellular pathogen responsible for
worldwide morbidity and mortality. This parasite establishes a parasitophorous
vacuole within infected red blood cells wherein it differentiates into multiple
daughter cells that must rupture their host cells to continue another infectious
cycle. Using atomic force microscopy, we establish that progressive
macrostructural changes occur to the host cell cytoskeleton during the last 15 h
of the erythrocytic life cycle. We used a comparative proteomics approach to
determine changes in the membrane proteome of infected red blood cells during the
final steps of parasite development that lead to egress. Mass spectrometry-based
analysis comparing the red blood cell membrane proteome in uninfected red blood
cells to that of infected red blood cells and postrupture vesicles highlighted
two temporally distinct events; (Hay, S. I., et al. (2009). A world malaria map:
Plasmodium falciparum endemicity in 2007. PLoS Med. 6, e1000048) the striking
loss of cytoskeletal adaptor proteins that are part of the junctional complex,
including alpha/beta-adducin and tropomyosin, correlating temporally with the
emergence of large holes in the cytoskeleton seen by AFM as early ~35 h
postinvasion, and (Maier, A. G., et al. (2008) Exported proteins required for
virulence and rigidity of Plasmodium falciparum-infected human erythrocytes. Cell
134, 48-61) large-scale proteolysis of the cytoskeleton during rupture ~48 h
postinvasion, mediated by host calpain-1. We thus propose a sequential mechanism
whereby parasites first remove a selected set of cytoskeletal adaptor proteins to
weaken the host membrane and then use host calpain-1 to dismantle the remaining
cytoskeleton, leading to red blood cell membrane collapse and parasite release.
PMID- 21903874
TI - Beyond anti-Muslim sentiment: opposing the Ground Zero mosque as a means to
pursuing a stronger America.
AB - Americans' opposition toward building an Islamic community center at Ground Zero
has been attributed solely to a general anti-Muslim sentiment. We hypothesized
that some Americans' negative reaction was also due to their motivation to
symbolically pursue a positive U.S. group identity, which had suffered from a
concurrent economic and political downturn. Indeed, when participants perceived
that the United States was suffering from lowered international status, those who
identified strongly with the country, as evidenced especially by a high respect
or deference for group symbols, reported a stronger opposition to the "Ground
Zero mosque" than participants who identified weakly with the country did.
Furthermore, participants who identified strongly with the country also showed a
greater preference for buildings that were symbolically congruent than for
buildings that were symbolically incongruent with the significance of Ground
Zero, and they represented Ground Zero with a larger symbolic size. These
findings suggest that identifying group members' underlying motivations provides
unusual insights for understanding intergroup conflict.
PMID- 21903873
TI - Airway delivery of soluble factors from plastic-adherent bone marrow cells
prevents murine asthma.
AB - Asthma affects an estimated 300 million people worldwide and accounts for 1 of
250 deaths and 15 million disability-adjusted life years lost annually. Plastic
adherent bone marrow-derived cell (BMC) administration holds therapeutic promise
in regenerative medicine. However, given the low cell engraftment in target
organs, including the lung, cell replacement cannot solely account for the
reported therapeutic benefits. This suggests that BMCs may act by secreting
soluble factors. BMCs also possess antiinflammatory and immunomodulatory
properties and may therefore be beneficial for asthma. Our objective was to
investigate the therapeutic potential of BMC-secreted factors in murine asthma.
In a model of acute and chronic asthma, intranasal instillation of BMC
conditioned medium (CdM) prevented airway hyperresponsiveness (AHR) and
inflammation. In the chronic asthma model, CdM prevented airway smooth muscle
thickening and peribronchial inflammation while restoring blunted salbutamol
induced bronchodilation. CdM reduced lung levels of the T(H)2 inflammatory
cytokines IL-4 and IL-13 and increased levels of IL-10. CdM up-regulated an IL-10
induced and IL-10-secreting subset of T regulatory lymphocytes and promoted IL-10
expression by lung macrophages. Adiponectin (APN), an antiinflammatory adipokine
found in CdM, prevented AHR, airway smooth muscle thickening, and peribronchial
inflammation, whereas the effect of CdM in which APN was neutralized or from APN
knock-out mice was attenuated compared with wild-type CdM. Our study provides
evidence that BMC-derived soluble factors prevent murine asthma and suggests APN
as one of the protective factors. Further identification of BMC-derived factors
may hold promise for novel approaches in the treatment of asthma.
PMID- 21903875
TI - Cardiac and vascular responses to thigh cuffs and respiratory maneuvers on
crewmembers of the International Space Station.
AB - BACKGROUND: The transition to microgravity eliminates the hydrostatic gradients
in the vascular system. The resulting fluid redistribution commonly manifests as
facial edema, engorgement of the external neck veins, nasal congestion, and
headache. This experiment examined the responses to modified Valsalva and Mueller
maneuvers measured by cardiac and vascular ultrasound (ECHO) in a baseline steady
state and under the influence of thigh occlusion cuffs available as a
countermeasure device (Braslet cuffs). METHODS: Nine International Space Station
crewmember subjects (expeditions 16-20) were examined in 15 experiment sessions
101 +/- 46 days after launch (mean +/- SD; 33-185). Twenty-seven cardiac and
vascular parameters were obtained with/without respiratory maneuvers before and
after tightening of the Braslet cuffs (162 parameter states/session). Quality of
cardiac and vascular ultrasound examinations was assured through remote
monitoring and guidance by investigators from the NASA Telescience Center in
Houston, TX, and the Mission Control Center in Korolyov, Moscow region, Russia.
RESULTS: 14 of 81 conditions (27 parameters measured at baseline, Valsalva, and
Mueller maneuver) were significantly different when the Braslet was applied.
Seven of 27 parameters were found to respond differently to respiratory maneuvers
depending on the presence or absence of thigh compression. CONCLUSIONS: Acute
application of Braslet occlusion cuffs causes lower extremity fluid sequestration
and exerts commensurate measurable effects on cardiac performance in
microgravity. Ultrasound techniques to measure the hemodynamic effects of thigh
cuffs in combination with respiratory maneuvers may serve as an effective tool in
determining the volume status of a cardiac or hemodynamically compromised patient
at the "microgravity bedside."
PMID- 21903877
TI - Respiratory muscle training improves hemodynamics, autonomic function,
baroreceptor sensitivity, and respiratory mechanics in rats with heart failure.
AB - Respiratory muscle training (RMT) improves functional capacity in chronic heart
failure (HF) patients, but the basis for this improvement remains unclear. We
evaluate the effects of RMT on the hemodynamic and autonomic function, arterial
baroreflex sensitivity (BRS), and respiratory mechanics in rats with HF. Rats
were assigned to one of four groups: sedentary sham (n = 8), trained sham (n =
8), sedentary HF (n = 8), or trained HF (n = 8). Trained animals underwent a RMT
protocol (30 min/day, 5 day/wk, 6 wk of breathing through a resistor), whereas
sedentary animals did not. In HF rats, RMT had significant effects on several
parameters. It reduced left ventricular (LV) end-diastolic pressure (P < 0.01),
increased LV systolic pressure (P < 0.01), and reduced right ventricular
hypertrophy (P < 0.01) and pulmonary (P < 0.001) and hepatic (P < 0.001)
congestion. It also decreased resting heart rate (HR; P < 0.05), indicating a
decrease in the sympathetic and an increase in the vagal modulation of HR. There
was also an increase in baroreflex gain (P < 0.05). The respiratory system
resistance was reduced (P < 0.001), which was associated with the reduction in
tissue resistance after RMT (P < 0.01). The respiratory system and tissue
elastance (Est) were also reduced by RMT (P < 0.01 and P < 0.05, respectively).
Additionally, the quasistatic Est was reduced after RMT (P < 0.01). These
findings show that a 6-wk RMT protocol in HF rats promotes an improvement in
hemodynamic function, sympathetic and vagal heart modulation, arterial BRS, and
respiratory mechanics, all of which are benefits associated with improvements in
cardiopulmonary interaction.
PMID- 21903876
TI - Characterization of the liver kinase B1-mouse protein-25 -Ste-20-related adaptor
protein complex in adult mouse skeletal muscle.
AB - In liver, the AMP-activated protein kinase kinase (AMPKK) complex was identified
as the association of liver kinase B1 (LKB1), mouse protein 25 (MO25alpha/beta),
and Ste-20-related adaptor protein (STRADalpha/beta); however, this complex has
yet to be characterized in skeletal muscle. We demonstrate the expression of the
LKB1-MO25-STRAD complex in skeletal muscle, confirm the absence of mRNA splice
variants, and report the relative mRNA expression levels of these proteins in
control and muscle-specific LKB1 knockout (LKB1(-/-)) mouse muscle. LKB1
detection in untreated control and LKB1(-/-) muscle lysates revealed two protein
bands (50 and 60 kDa), although only the heavier band was diminished in LKB1(-/-)
samples [55 +/- 2.5 and 13 +/- 1.5 arbitrary units (AU) in control and LKB1(-/-),
respectively, P < 0.01], suggesting that LKB1 is not represented at 50 kDa, as
previously cited. The 60-kDa LKB1 band was further confirmed following
purification using polyethylene glycol (43 +/- 5 and 8.4 +/- 4 AU in control and
LKB1(-/-), respectively, P < 0.01) and ion-exchange fast protein liquid
chromatography. Mass spectrometry confirmed LKB1 protein detection in the 60-kDa
protein band, while none was detected in the 50-kDa band. Coimmunoprecipitation
assays demonstrated LKB1-MO25-STRAD complex formation. Quantitative PCR revealed
significantly reduced LKB1, MO25alpha, and STRADbeta mRNA in LKB1(-/-) muscle.
These findings demonstrate that the LKB1-MO25-STRAD complex is the principal
AMPKK in skeletal muscle.
PMID- 21903878
TI - Catechins attenuate eccentric exercise-induced inflammation and loss of force
production in muscle in senescence-accelerated mice.
AB - Catechins have a great variety of biological actions. We evaluated the potential
benefits of catechin ingestion on muscle contractile properties, oxidative
stress, and inflammation following downhill running, which is a typical eccentric
exercise, in senescence-accelerated prone mice (SAMP). Downhill running (13 m/min
for 60 min; 16 degrees decline) induced a greater decrease in the contractile
force of soleus muscle and in Ca(2+)-ATPase activity in SAMP1 compared with the
senescence-resistant mice (SAMR1). Moreover, compared with SAMR1, SAMP1 showed
greater downhill running-induced increases in plasma CPK and LDH activity,
malondialdehyde, and carbonylated protein as markers of oxidative stress; and in
protein and mRNA expression levels of the inflammatory mediators such as tumor
necrosis factor-alpha and monocyte chemoattractant protein-1 in muscle. SAMP1
exhibited aging-associated vulnerability to oxidative stress and inflammation in
muscle induced by downhill running. Long-term (8 wk) catechin ingestion
significantly attenuated the downhill running-induced decrease in muscle force
and the increased inflammatory mediators in both plasma and gastrocnemius muscle.
Furthermore, catechins significantly inhibited the increase in oxidative stress
markers immediately after downhill running, accompanied by an increase in
glutathione reductase activity. These findings suggest that long-term catechin
ingestion attenuates the aging-associated loss of force production, oxidative
stress, and inflammation in muscle after exercise.
PMID- 21903879
TI - Plasma norepinephrine is an independent predictor of vascular endothelial
function with aging in healthy women.
AB - We tested the hypothesis that reductions in vascular endothelial function
(endothelium-dependent dilation, EDD) with age are related to increases in
sympathetic activity. Among 314 healthy men and women, age was inversely related
to brachial artery flow-mediated dilation (FMD) (r = -0.30, P < 0.001), a measure
of EDD, and positively related to plasma norepinephrine concentrations (PNE), a
marker of sympathetic activity (r = 0.49, P < 0.001). Brachial FMD was inversely
related to PNE in all subjects (r = -0.25, P < 0.001) and in men (n = 187, r =
0.17, P = 0.02) and women (n = 127, r = -0.37, P < 0.001) separately. After
controlling for PNE (multiple regression analysis), brachial FMD remained
significantly related to age in all subjects (r = -0.20, P < 0.001) and in men (r
= -0.23, P < 0.01), but not women (r = -0.16, P = 0.06). Consistent with this,
brachial FMD remained significantly related to PNE when controlling for age (r =
0.24, P < 0.01) and menopause status (r = -0.24, P < 0.01) in women. Indeed, PNE
was the strongest independent correlate of brachial FMD in women after
controlling for conventional cardiovascular disease risk factors (r = -0.22, P =
0.01). This relation persisted in a subset of women (n = 113) after further
accounting for the effects of plasma oxidized low-density lipoprotein (P < 0.05),
a circulating marker of oxidative stress. Endothelium-independent dilation was
not related to age in either men or women (P > 0.05). These results provide the
first evidence that EDD is inversely related to sympathetic activity, as assessed
by PNE, among healthy adults varying in age. In particular, our findings suggest
that sympathetic nervous system activity may be a key factor involved in the
modulation of vascular endothelial function with aging in women.
PMID- 21903880
TI - The role of sympathetic nervous system in the development of neurogenic pulmonary
edema in spinal cord-injured rats.
AB - The pronounced activation of sympathetic nervous system is a necessary
prerequisite for the development of neurogenic pulmonary edema (NPE) in rats with
balloon compression of spinal cord. In this study we examined whether this is a
consequence of rapid activation of spinal pathways leading to sympathetic
venoconstriction, blood pressure rise, and reflex bradycardia. We found that NPE
development can be prevented by epidural upper thoracic anesthesia or by
transection of the upper spinal cord. This indicates an important role of spinal
pathways activation. NPE development can also be prevented by moderate blood
loss, supporting the role of blood redistribution to pulmonary circulation. In
rats developing NPE the catecholamine surge following spinal cord compression
involved not only a dramatic increase of circulating norepinephrine but also of
epinephrine levels. The pretreatment of rats with alpha-1 adrenoceptor blocker
prazosin, alpha-2 adrenoceptor blocker yohimbine, or calcium channel blocker
nifedipine prevented NPE development, whereas the effect of beta-adrenoceptor
blockade with propranolol was less convincing. In conclusion, considerable
activation of thoracic spinal pathways, followed by marked catecholamine
secretion, play a major role in the development of NPE in spinal cord-injured
rats. Enhanced alpha-adrenergic nifedipine-sensitive vasoconstriction is
responsible for observed blood pressure changes, subsequent baroreflex
bradycardia, and blood volume redistribution, which represent major pathogenetic
mechanisms of NPE development.
PMID- 21903882
TI - Anandamide modulates carotid sinus nerve afferent activity via TRPV1 receptors
increasing responses to heat.
AB - Abnormal respiratory chemosensitivity is implicated in recurrent apnea syndromes,
with the peripheral chemoreceptors, the carotid bodies, playing a particularly
important role. Previous work suggests that supraphysiological concentrations of
the endocannabinoid endovanilloid and TASK channel blocker anandamide (ANA)
excite carotid bodies, but the mechanism(s) and physiological significance are
unknown. Given that carotid body output is temperature-sensitive, we hypothesized
that ANA stimulates carotid body chemosensory afferents via temperature-sensitive
vanilloid (TRPV1) receptors. To test this hypothesis, we used the dual-perfused
in situ rat preparation to confirm that independent perfusion of carotid arteries
with supraphysiological concentrations of ANA strongly excites carotid sinus
nerve afferents and that this activity is sufficient to increase phrenic
activity. Next, using ex vivo carotid body preparations, we demonstrate that
these effects are mediated by TRPV1 receptors, not CB1 receptors or TASK
channels: in CB1-null mouse preparations, ANA increased afferent activity across
all levels of Po(2), whereas in TRPV1-null mouse preparations, the stimulatory
effect of ANA was absent. In rat ex vivo preparations, ANA's stimulatory effects
were mimicked by olvanil, a nonpungent TRPV1 agonist, and suppressed by the TRPV1
antagonist AMG-9810. The specific CB1 agonist oleamide had no effect.
Physiological levels of ANA had no effect alone but increased sensitivity to mild
hyperthermia. AMG-9810 blocked ANA's effect on the temperature response.
Immunolabeling and RT-PCR demonstrated that TRPV1 receptors are not expressed in
carotid body glomus cells but reside in petrosal sensory afferents. Together,
these results suggest that ANA plays a physiological role in augmenting afferent
responses to mild hyperthermia by activating TRPV1 receptors on petrosal
afferents.
PMID- 21903881
TI - Dose-dependent increases in flow-mediated dilation following acute cocoa
ingestion in healthy older adults.
AB - An inverse relation exists between intake of flavonoid-rich foods, such as cocoa,
and cardiovascular-related mortality. Favorable effects of flavonoids on the
endothelium may underlie these associations. We performed a randomized, double
blind, placebo-controlled study to test the hypothesis that acute cocoa ingestion
dose dependently increases endothelium-dependent vasodilation, as measured by an
increase in brachial artery flow-mediated dilation (FMD), in healthy older
adults. Measurements were obtained before (preingestion) and after (1- and 2-h
postingestion) ingestion of 0 (placebo), 2, 5, 13, and 26 g of cocoa in 23 adults
(63 +/- 2 yr old, mean +/- SE). Changes in brachial artery FMD 1- and 2-h
postingestion compared with preingestion were used to determine the effects of
cocoa. FMD was unchanged 1 (Delta-0.3 +/- 0.2%)- and 2-h (Delta0.1 +/- 0.1%)
after placebo (0 g cocoa). In contrast, FMD increased both 1-h postingestion (2 g
cocoa Delta0.0 +/- 0.2%, 5 g cocoa Delta0.8 +/- 0.3%, 13 g cocoa Delta1.0 +/-
0.3%, and 26 g cocoa Delta1.6 +/- 0.3%: P < 0.05 compared with placebo for 5, 13,
and 26 g cocoa) and 2-h postingestion (2 g cocoa Delta0.5 +/- 0.3%, 5 g cocoa
Delta1.0 +/- 0.3%, 13 g cocoa Delta1.4 +/- 0.2%, and 26 g cocoa Delta2.5 +/-
0.4%: P < 0.05 compared with placebo for 5, 13, and 26 g cocoa) on the other
study days. A serum marker of cocoa ingestion (total epicatechin) correlated with
increased FMD 1- and 2-h postingestion (r = 0.44-0.48; both P < 0.05).
Collectively, these results indicate that acute cocoa ingestion dose dependently
increases brachial artery FMD in healthy older humans. These responses may help
to explain associations between flavonoid intake and cardiovascular-related
mortality in humans.
PMID- 21903883
TI - An innovative work-loop calorimeter for in vitro measurement of the mechanics and
energetics of working cardiac trabeculae.
AB - We describe a unique work-loop calorimeter with which we can measure,
simultaneously, the rate of heat production and force-length work output of
isolated cardiac trabeculae. The mechanics of the force-length work-loop
contraction mimic those of the pressure-volume work-loops experienced by the
heart. Within the measurement chamber of a flow-through microcalorimeter, a
trabecula is electrically stimulated to respond, under software control, in one
of three modes: fixed-end, isometric, or isotonic. In each mode, software
controls the position of a linear motor, with feedback from muscle force, to
adjust muscle length in the desired temporal sequence. In the case of a work-loop
contraction, the software achieves seamless transitions between phases of length
control (isometric contraction, isometric relaxation, and restoration of resting
muscle length) and force control (isotonic shortening). The area enclosed by the
resulting force-length loop represents the work done by the trabecula. The change
of enthalpy expended by the muscle is given by the sum of the work term and the
associated amount of evolved heat. With these simultaneous measurements, we
provide the first estimation of suprabasal, net mechanical efficiency (ratio of
work to change of enthalpy) of mammalian cardiac trabeculae. The maximum
efficiency is at the vicinity of 12%.
PMID- 21903884
TI - Regional variation in the mechanical role of knee meniscus glycosaminoglycans.
AB - High compressive properties of cartilaginous tissues are commonly attributed to
the sulfated glycosaminoglycan (GAG) fraction of the extracellular matrix (ECM),
but this relationship has not been directly measured in the knee meniscus, which
shows regional variation in GAG content. In this study, biopsies from each
meniscus region (outer, middle, and inner) were either subjected to
chondroitinase ABC (CABC) to remove all sulfated GAGs or not. Compressive testing
revealed that GAG depletion in the inner and middle meniscus regions caused a
significant decrease in modulus of relaxation (58% and 41% decreases,
respectively, at 20% strain), and all regions exhibited a significant decrease in
viscosity (outer: 29%; middle: 58%; inner: 62% decrease). Tensile properties
following CABC treatment were unaffected for outer and middle meniscus specimens,
but the inner meniscus displayed significant increases in Young's modulus (41%
increase) and ultimate tensile stress (40% increase) following GAG depletion.
These findings suggest that, in the outer meniscus, GAGs contribute to increasing
tissue viscosity, whereas in the middle and inner meniscus, where GAGs are most
abundant, these molecules also enhance the tissue's ability to withstand
compressive loads. GAGs in the inner meniscus also contribute to reducing the
circumferential tensile properties of the tissue, perhaps due to the pre-stress
on the collagen network from increased hydration of the ECM. Understanding the
mechanical role of GAGs in each region of the knee meniscus is important for
understanding meniscus structure-function relationships and creating design
criteria for functional meniscus tissue engineering efforts.
PMID- 21903885
TI - Rapamycin decreases airway remodeling and hyperreactivity in a transgenic model
of noninflammatory lung disease.
AB - Airway hyperreactivity (AHR) and remodeling are cardinal features of asthma and
chronic obstructive pulmonary disease. New therapeutic targets are needed as some
patients are refractory to current therapies and develop progressive airway
remodeling and worsening AHR. The mammalian target of rapamycin (mTOR) is a key
regulator of cellular proliferation and survival. Treatment with the mTOR
inhibitor rapamycin inhibits inflammation and AHR in allergic asthma models, but
it is unclear if rapamycin can directly inhibit airway remodeling and AHR, or
whether its therapeutic effects are entirely mediated through immunosuppression.
To address this question, we utilized transforming growth factor-alpha (TGF
alpha) transgenic mice null for the transcription factor early growth response-1
(Egr-1) (TGF-alpha Tg/Egr-1(ko/ko) mice). These mice develop airway smooth muscle
thickening and AHR in the absence of altered lung inflammation, as previously
reported. In this study, TGF-alpha Tg/Egr-1(ko/ko) mice lost body weight and
developed severe AHR after 3 wk of lung-specific TGF-alpha induction. Rapamycin
treatment prevented body weight loss, airway wall thickening, abnormal lung
mechanics, and increases in airway resistance to methacholine after 3 wk of TGF
alpha induction. Increases in tissue damping and airway elastance were also
attenuated in transgenic mice treated with rapamycin. TGF-alpha/Egr-1(ko/ko) mice
on doxycycline for 8 wk developed severe airway remodeling. Immunostaining for
alpha-smooth muscle actin and morphometric analysis showed that rapamycin
treatment prevented airway smooth muscle thickening around small airways.
Pentachrome staining, assessments of lung collagen and fibronectin mRNA levels,
indicated that rapamycin also attenuated fibrotic pathways induced by TGF-alpha
expression for 8 wk. Thus rapamycin reduced airway remodeling and AHR,
demonstrating an important role for mTOR signaling in TGF-alpha-induced/EGF
receptor-mediated reactive airway disease.
PMID- 21903886
TI - Increased lipolysis but diminished gene expression of lipases in subcutaneous
adipose tissue of healthy young males with intrauterine growth retardation.
AB - Intrauterine growth retardation (IUGR) is associated with a central fat
distribution and risk of developing type 2 diabetes in adults when exposed to a
sedentary Western lifestyle. Increased lipolysis is an early defect of metabolism
in IUGR subjects, but the sites and molecular mechanisms involved are unknown.
Twenty IUGR and 20 control (CON) subjects, aged 20-30 years, were studied before
and after 10 days of bed rest using the glucose clamp technique combined with
measurements of in vivo metabolism by microdialysis technique and blood flow by
(133)Xe washout technique in subcutaneous abdominal (SCAAT) and femoral (SCFAT)
adipose tissue. Additionally, mRNA expression of lipases was evaluated in
biopsies from SCAAT. Lipolysis in SCAAT was substantially higher in IUGR than in
CON subjects despite markedly lower mRNA expression of lipases. Blood flow was
higher in IUGR compared with CON in both SCAAT and SCFAT. Whole body insulin
sensitivity did not differ between groups and decreased after bed rest. After bed
rest, SCAAT lipolysis remained higher in IUGR compared with CON, and SCFAT
lipolysis decreased in CON but not in IUGR. Prior to the development of whole
body insulin resistance, young men with IUGR are characterized by increased in
vivo adipose tissue lipolysis and blood flow with a paradoxically decreased
expression of lipases compared with CON, and 10 days of physical inactivity
underlined the baseline findings. Subjects with IUGR exhibit primary defects in
adipose tissue metabolism.
PMID- 21903889
TI - Validating a self-report screen for ADHD in early adulthood using childhood
parent and teacher ratings.
AB - OBJECTIVE: This article evaluates the diagnostic utility of a self-report
screening tool for adults based on Diagnostic and Statistical Manual of Mental
Disorders (4th ed.; DSM-IV) ADHD criteria. METHOD: Children with speech/language
(S/L) impairment and typically developing controls had ADHD symptoms rated by
parents and teachers at ages 5 and 12. At age 19, participants completed the
Adult Attention Problems Scale (AAPS), an 18-item screen. Receiver operative
characteristic curve analyses were used to assess the efficiency of this
instrument in screening for ADHD. RESULTS: The AAPS had moderate sensitivity and
high specificity, but only for adults without a history of communication
disorders. CONCLUSION: The AAPS provides clinicians with the only self-report
scales for ADHD in adulthood, validated with childhood ADHD symptoms assessed by
multiple raters. However, scale characteristics were poor for the S/L-impaired
cohort. Given the overlap between language impairment and ADHD, adult ADHD
measures validated in S/L-impaired samples are needed.
PMID- 21903887
TI - Exercise lowers estrogen and progesterone levels in premenopausal women at high
risk of breast cancer.
AB - Experimental and clinical data support a role for estrogens in the development
and growth of breast cancer, and lowered estrogen exposure reduces breast cancer
recurrence and new diagnoses in high-risk women. There is varied evidence that
increased physical activity is associated with breast cancer risk reduction in
both pre- and postmenopausal women, perhaps via lowered estrogen levels. The
purpose of this study was to assess whether exercise intervention in
premenopausal women at increased breast cancer risk reduces estrogen or
progesterone levels. Seven healthy premenopausal women at high risk for breast
cancer completed a seven-menstrual-cycle study. The study began with two
preintervention cycles of baseline measurement of hormone levels via daily first
morning urine collection, allowing calculation of average area under the curve
(AUC) hormone exposure across the menstrual cycle. Participants then began five
cycles of exercise training to a maintenance level of 300 min per week at 80-85%
of maximal aerobic capacity. During the last two exercise cycles, urinary
estradiol and progesterone levels were again measured daily. Total estrogen
exposure declined by 18.9% and total progesterone exposure by 23.7%. The declines
were mostly due to decreased luteal phase levels, although menstrual cycle and
luteal phase lengths were unchanged. The study demonstrated the feasibility of
daily urine samples and AUC measurement to assess hormone exposure in
experimental studies of the impact of interventions on ovarian hormones. The
results suggest value in exercise interventions to reduce hormone levels in high
risk women with few side effects and the potential for incremental benefits to
surgical or pharmacologic interventions.
PMID- 21903888
TI - Swimming training improves the vasodilator effect of angiotensin-(1-7) in the
aorta of spontaneously hypertensive rat.
AB - INTRODUCTION: endothelial dysfunction plays a critical role in the pathogenesis
of hypertension. It is well established that physical training has beneficial
effects on the cardiovascular system. We recently reported that angiotensin-(1-7)
[Ang-(1-7)] concentration and the Mas receptor expression is increased in the
left ventricle of trained spontaneous hypertensive rats (SHR). The vascular
effects of Ang-(1-7) in trained animals remain so far unknown. In the present
study we investigated the effects of physical training on the vasodilator effect
of Ang-(1-7) in the aorta of SHR. METHODOLOGY: normotensive Wistar rats and SHR
were subjected to an 8-wk period of 5% overload of body weight swimming training.
Changes in isometric tension were recorded on myograph. Western blot was used to
investigate Ang-(1-7) receptors expression. RESULTS: in aortas from normotensive
rats Ang-(1-7) and ACh induced a concentration-dependent vasodilator effect,
which was not modified by the physical training. Vessels from SHR had an impaired
vasodilator response to Ang-(1-7) and ACh. The swimming training strongly
potentiated the vasodilator effect induced by Ang-(1-7) in SHR, but did not
modify the effect of ACh. Interestingly, Mas receptor protein expression was
substantially increased by physical training in SHR. In trained SHR, the
vasodilator effect of Ang-(1-7) was abrogated by removal of the endothelium and
by the selective Ang-(1-7) receptor antagonists A-779 and d-Pro(7)-Ang-(1-7). l
NAME decreased Ang-(1-7) vasodilator response and indomethacin abolished the
remaining dilatory response. CONCLUSION: physical training increased Mas
receptors expression in SHR aortas, thereby improving the vasodilator effect of
Ang-(1-7) through an endothelium-dependent mechanism involving nitric oxide and
prostacyclin.
PMID- 21903890
TI - Commentaries on viewpoint: control arms in exercise training studies:
transitioning from an era of intervention efficacy to one of comparative clinical
effectiveness research.
PMID- 21903891
TI - Omeprazole impairs the absorption of mycophenolate mofetil but not of enteric
coated mycophenolate sodium in healthy volunteers.
AB - In 2 crossover studies, 12 healthy volunteers (6 male/6 female) received a single
oral dose of mycophenolate mofetil (MMF) 1000 mg or an equimolar dose of enteric
coated mycophenolate sodium (EC-MPS) 720 mg fasting with and without
coadministered omeprazole 20 mg bid. The plasma concentrations of mycophenolic
acid (MPA) and of the inactive metabolite mycophenolic acid glucuronide (MPA-G)
were measured by high-performance liquid chromatography (HPLC). In addition,
dissolution of MMF 500 mg or EC-MPS 360 mg tablets was determined using an USP
paddle apparatus in aqueous buffer of pH 1 to 7. The bioavailability of MPA
following administration of MMF or EC-MPS was similar except for the time to peak
concentration, which was longer in the EC-MPS group. Concomitant treatment with
omeprazole lowered significantly C(max) and AUC(12h) of MPA following
administration of MMF. The pharmacokinetics of EC-MPS was not affected.
Dissolution of MMF in aqueous buffer decreased dramatically at pH above 4.5. The
EC-MPS tablet was stable up to pH 5. Above, EC-MPS was quantitatively
disintegrated and MPS quantitatively dissolved. There is strong evidence that
impaired absorption of MMF with concomitant proton pump inhibitors is due to
incomplete dissolution of MMF in the stomach at elevated pH.
PMID- 21903892
TI - Aripiprazole associated with acute dystonia, akathisia, and parkinsonism in a
single patient.
PMID- 21903893
TI - Investigation of a possible interaction between quetiapine and armodafinil in
patients with schizophrenia: an open-label, multiple-dose study.
AB - The wakefulness-promoting medication armodafinil (R-modafinil) is being studied
as an adjunctive treatment for patients with schizophrenia receiving
antipsychotic therapy. This open-label study in 37 adults with schizophrenia
evaluated whether a drug-drug interaction occurs between armodafinil (a moderate
CYP3A4 inducer) and the atypical antipsychotic quetiapine (primarily metabolized
by CYP3A4). Patients were required to be on a stable dose of quetiapine >=300 mg
once daily in the evening before enrollment. Steady-state quetiapine
pharmacokinetics were determined following daily administration of quetiapine
alone in the evening (day 5) and then following concomitant armodafinil
administration (titrated to 250 mg) daily in the morning (day 38). In 25
evaluable patients, concomitant armodafinil resulted in a statistically
significant decrease in mean AUC(0-24) and C(max) values of quetiapine by 42% and
45%, respectively, versus quetiapine alone. Adverse events occurred more
frequently with combination therapy and were consistent with the known profiles
of the 2 drugs. No significant changes in mean PANSS negative, positive, and
total scores or SANS scores were observed. Although the data do not suggest that
the observed decrease in systemic exposure to quetiapine was associated with a
change in disease state, patients with schizophrenia should be monitored during
combination therapy with quetiapine and armodafinil.
PMID- 21903894
TI - Meclizine metabolism and pharmacokinetics: formulation on its absorption.
AB - Meclizine, an antihistamine, has been widely used for prophylactic treatment and
management of motion sickness. However, the onset of action of meclizine was
about 1 hour for the treatment of motion sickness and vertigo. A new suspension
formulation of meclizine (MOS) was developed with the intention to achieve a
rapid effect. To investigate the pharmacokinetics of the new MOS formulation
versus the marketed meclizine oral tablet (MOT), a phase 1 pharmacokinetic study
was performed in 20 healthy volunteers. In addition, an in vitro metabolic study
using human hepatic microsome and recombinant CYP enzyme was also performed to
determine the metabolic pathway in the human body. The plasma concentration of
MOS appeared more rapidly in comparison to the MOT. The geometric mean ratios
(90% confidence interval) of AUC(0-24) and AUC(0-infinity) indicated no
significant difference in bioavailability between the 2 formulations. CYP2D6 was
found to be the dominant enzyme for metabolism of meclizine, and its genetic
polymorphism could contribute to the large interindividual variability. In view
of the similar bioavailability with a much shorter peak time of the plasma
meclizine concentration from the MOS formulation, this new formulation is
expected to produce a much quicker onset of action when used for the management
of motion sickness.
PMID- 21903896
TI - Diabetes reduces left ventricular ejection fraction--irrespective of presence and
extent of coronary artery disease.
AB - BACKGROUND: It is not clear whether diabetes reduces systolic left ventricular
function (left ventricular ejection fraction, LVEF) irrespective of coronary
artery disease (CAD). The aim of this study was to compare the LVEF between
diabetic and non-diabetic patients with respect to the extent of CAD. METHODS AND
RESULTS: Consecutive patients undergoing stress myocardial perfusion SPECT (MPS)
were evaluated. MPS was interpreted using a 20-segment model with a five-point
scale to define summed stress score (SSS), summed rest score, and summed
difference score. LVEF was measured by gated SPECT and then compared with respect
to diabetic status and SSS categories. Of 2635 patients, data of 2400 was
available. Of these, 24% were diabetic, mean age was 64+/-11y, and 31% were
female. Diabetics had a significantly lower LVEF compared with non-diabetics
regardless of the extent of CAD: 53+/-13 and 55+/-13% respectively (P=0.001).
Diabetics and non-diabetics did not differ significantly in the distribution of
SSS categories. Diabetes was an independent predictor of decreased LVEF (odds
ratio 1.6, 95% confidence interval 1.2-2.0; P<0.001). CONCLUSION: Diabetics had a
lower LVEF than non-diabetics. This difference could be demonstrated regardless
of CAD extent and might in part explain their generally worse cardiac survival
compared with non-diabetics on an epidemiological level. In addition, this
finding points to discussed mechanisms other than CAD lowering LVEF in diabetics.
PMID- 21903895
TI - Influence of bone remodelling rate on quantitative ultrasound parameters at the
calcaneus and DXA BMDa of the hip and spine in middle-aged and elderly European
men: the European Male Ageing Study (EMAS).
AB - OBJECTIVE: To assess the influence of sex hormones on markers of bone turnover
and to explore the association between these markers and bone health in middle
aged and elderly European men. DESIGN: A cross-sectional population-based survey.
METHODS: Men aged 40-79 years were recruited from population registers in eight
European centres. Subjects completed a postal questionnaire which included
questions concerning lifestyle and were invited to undergo quantitative
ultrasound (QUS) of the calcaneus and to provide a fasting blood sample from
which the bone markers serum N-terminal propeptide of type 1 procollagen (P1NP)
and crosslinks (beta C-terminal cross-linked telopeptide (beta-cTX)), total
testosterone, total oestradiol (E(2)), sex hormone-binding globulin (SHBG) and
insulin-like growth factor 1 (IGF1) were measured. Dual-energy X-ray
absorptiometry (DXA) of the hip and lumbar spine was performed in two centres.
RESULTS: A total of 3120, mean age 59.9 years (s.d.=11.0) were included. After
adjustment for centre, age, height, weight, lifestyle factors, season and other
hormones, total and free E(2) were negatively associated with beta-cTX but not
P1NP while SHBG, IGF1 and parathyroid hormone (PTH) were positively associated
with both beta-cTX and P1NP. Total or free testosterone was not independently
associated with either bone marker. After the same adjustments, higher levels of
both bone markers were significantly associated with lower QUS parameters and
lower DXA-assessed bone density at the total hip and lumbar spine. CONCLUSIONS:
E(2), SHBG, IGF1 and PTH contribute significantly to the regulation/rate of bone
turnover in middle-aged and older European men. Higher rates of bone remodelling
are negatively associated with male bone health.
PMID- 21903897
TI - Agrin complicates the niche.
PMID- 21903898
TI - Zoledronate activates NK cells.
PMID- 21903899
TI - Gutward, ho! pDCs in SIV infection.
PMID- 21903900
TI - sIL-2Ralpha + IL-2: fertilizer for tolerance.
PMID- 21903901
TI - Bcr-Abl adds another twist to cell fate.
PMID- 21903902
TI - GATA2 finds its macrophage niche.
PMID- 21903903
TI - Can erythroblasts donate iron?
PMID- 21903905
TI - Formation in professional education: an examination of the relationship between
theories of meaning and theories of the self.
AB - Being formed through learning a practice is best understood within a constitutive
theory of meaning as articulated by Charles Taylor. Disengaged views of the
person cannot account for the formative changes in a person's identity and
capacities upon learning a professional practice. Representational or
correspondence theories of meaning cannot account for formation. Formation occurs
over time because students actively seek and take up new concerns and learn new
knowledge and skills. Engaged situated reasoning about underdetermined practice
situations requires well-formed skillful clinicians caring for particular
patients in particular situations.
PMID- 21903906
TI - Enhancement technologies and the modern self.
AB - Many people feel uneasy about enhancement technologies, yet have a hard time
explaining why. This unease is often less with the technologies themselves than
about the desires and aspirations that they express. I suggest here that we can
diagnose the source of that unease by looking at three themes that emerge in
Taylor's writings about the making of the modern self: the importance of social
recognition, the ethics of authenticity, and the rise of instrumental reason.
PMID- 21903907
TI - Prognostic importance of early worsening renal function after initiation of
angiotensin-converting enzyme inhibitor therapy in patients with cardiac
dysfunction.
AB - BACKGROUND: Worsening renal function (WRF) in the setting of heart failure has
been associated with increased mortality. However, it is unclear if this
decreased survival is a direct result of the reduction in glomerular filtration
rate (GFR) or if the mechanism underlying the deterioration in GFR is driving
prognosis. Given that WRF in the setting of angiotensin-converting enzyme
inhibitor (ACE-I) initiation is likely mechanistically distinct from
spontaneously occurring WRF, we investigated the relative early WRF-associated
mortality rates in subjects randomized to ACE-I or placebo. METHODS AND RESULTS:
Subjects in the Studies Of Left Ventricular Dysfunction (SOLVD) limited data set
(n=6337) were studied. The interaction between early WRF (decrease in estimated
GFR >=20% at 14 days), randomization to enalapril, and mortality was the primary
end point. In the overall population, early WRF was associated with increased
mortality (adjusted hazard ratio [HR], 1.2; 95% CI, 1.0-1.4; P=0.037). When
analysis was restricted to the placebo group, this association strengthened
(adjusted HR, 1.4; 95% CI, 1.1-1.8; P=0.004). However, in the enalapril group,
early WRF had no adverse prognostic significance (adjusted HR, 1.0; 95% CI, 0.8
1.3; P=1.0; P=0.09 for the interaction). In patients who continued to receive
study drug despite early WRF, a survival advantage remained with enalapril
therapy (adjusted HR, 0.66; 95% CI, 0.5-0.9; P=0.018). CONCLUSIONS: These data
support the notion that the mechanism underlying WRF is important in determining
its prognostic significance. Specifically, early WRF in the setting of ACE-I
initiation appears to represent a benign event that is not associated with a loss
of benefit from continued ACE-I therapy.
PMID- 21903908
TI - Anatomic location and somatotopic arrangement of the corticospinal tract at the
cerebral peduncle in the human brain.
AB - BACKGROUND AND PURPOSE: Little is known about the detailed anatomic location and
somatotopic arrangement at the CP. Using DTT with FSL tools, we conducted an
investigation of the anatomic location and somatotopic arrangement of the CST at
the CP in the human brain. MATERIALS AND METHODS: We recruited 43 healthy
volunteers for this study. DTI was obtained by using 1.5T, and CSTs for the hand
and leg were obtained by using the FSL tool. The somatotopic location of the CST
was evaluated as the highest probabilistic location at the upper and lower
midbrain. The posterior boundary was determined as the line between the
interpeduncular fossa and the lateral sulcus; we then drew a rectangle on the
basis of the boundary of the CP. RESULTS: In the mediolateral direction, the
highest probabilistic locations for the hand and leg were an average of 60.46%
and 69.98% from the medial boundary at the upper midbrain level and 53.44% and
62.76% at the lower midbrain level, respectively. As for the anteroposterior
direction, the highest probabilistic locations for the hand and leg were an
average of 28.26% and 32.03% from the anterior boundary at the upper midbrain
level and 30.19% and 33.59% at the lower midbrain level, respectively.
CONCLUSIONS: We found that the hand somatotopy for the CST is located at the
middle portion of the CP and the leg somatotopy is located lateral to the hand
somatotopy.
PMID- 21903909
TI - Image quality and radiation dose of dual-energy CT of the head and neck compared
with a standard 120-kVp acquisition.
AB - BACKGROUND AND PURPOSE: DECT offers additional image datasets with potential
benefits, but its use for H&N imaging is not justified unless image quality is
preserved without increased radiation dose. The aim of this work was to compare
image quality and radiation dose between a DE-derived WA image dataset and a
standard SECT acquisition of the H&N. MATERIALS AND METHODS: Thirty-two patients
underwent DECT of the H&N (tube voltages 80 and Sn140 kVp) and were compared with
the last 32 patients who underwent standard SECT (120 kVp) on the same dual
source scanner. WA images from the 2 DE tubes were compared with images obtained
with an SE mode. Radiation doses and attenuation measurements of the internal
jugular vein, submandibular gland, and sternomastoid and tongue muscles were
compared. Objective image noise was compared at 5 anatomic levels. Two blinded
readers compared subjective image quality by using 5-point grading scales.
RESULTS: CTDI(vol) was 12% lower with DE than with SECT, a difference of 1.5 mGy,
(P < .0001). Objective noise was not significantly different between DE and SECT
at any of the anatomic levels (P > .05). No significant differences in
attenuation measurements were observed between DE and SECT (P > .05). No
significant differences in subjective image quality scores were observed between
DE and SECT at any of the 5 anatomic levels (P > .05). CONCLUSIONS: DE-derived WA
images of the H&N are equivalent to standard SE acquisitions and thus can be used
for routine diagnostic purposes. Multiple additional image datasets can be
obtained with no radiation dose penalty.
PMID- 21903911
TI - Unruptured intracranial aneurysms: why clinicians should not resort to
epidemiologic studies to justify interventions.
PMID- 21903910
TI - Creation of bifurcation-type elastase-induced aneurysms in rabbits.
AB - SUMMARY: Elastase incubation was performed in the LCCA in 13 New Zealand white
rabbits. Three weeks after incubation, DSA demonstrated that 10 (10/13, 77%)
bifurcation-type aneurysms at the origin of the LCCA were present; mean aneurysm
neck, width, and height values were 3.7 +/- 1.1, 3.8 +/- 0.9, and 8.7 +/- 2.3 mm,
respectively. The LCCA can be used to create bifurcation aneurysms in rabbits.
PMID- 21903912
TI - Thickening and contrast enhancement of spinal roots on MR imaging in Guillain
Barre syndrome: thoughts on pathologic background.
PMID- 21903913
TI - Stenting for intracranial aneurysms: how to paint oneself into the proverbial
corner.
PMID- 21903914
TI - Risk analysis of unruptured aneurysms using computational fluid dynamics
technology: preliminary results.
AB - BACKGROUND AND PURPOSE: The decision as to the treatment of incidental IAs is
complex. There are no certain quantitative methods that can be used to evaluate
the risk of rupture in IAs. In recent years, CFD technology has been recognized
as a potential risk-analysis tool. The aim of this article was to propose a
hemodynamic parameter, EL, to determine the effects of stable unruptured
aneurysms and of those that ruptured during the subsequent observation period.
MATERIALS AND METHODS: Four incidentally found ICA-PcomA aneurysms ruptured
during the period of observation (ruptured-IAs). Another 26 unruptured aneurysms
(stable-IAs) with similar location, size, and morphology were compared for the
differences in hemodynamic factors, such as EL and WSS. RESULTS: The EL
calculated at the ruptured-IAs was nearly 5 times higher on average than that at
the stable-IAs (ruptured, 0.00374 +/- 0.0011; stable, 0.000745 +/- 0.0001
mW/mm(3), P < .001). However, there was no difference between the ruptured and
stable groups according to the results of time-averaged WSS (P = .8) for ruptured
and stable-IAs. According to flow visualization, though the mean average inflow
speed of ruptured-IAs was 2 times higher than that of the stable-IAs, the flow
inside ruptured-IAs appeared to undergo longer resident tracks, with stronger
impact on the aneurysm wall. On the contrary, the flow inside stable-IAs passed
smoothly through the aneurysms. CONCLUSIONS: These preliminary results indicated
that EL may be a useful parameter for the quantitative estimation of the risks of
rupture for IAs.
PMID- 21903916
TI - Sildenafil-induced cervical spinal cord infarction.
AB - We present a patient with an acute cervical spinal cord infarction resulting from
the use of sildenafil (Viagra) in combination with his hypertension medication.
Symptoms were acute and rapidly progressive, and MR imaging with DWI was crucial
in confirming the diagnosis.
PMID- 21903915
TI - Interpretation errors in CT angiography of the head and neck and the benefit of
double reading.
AB - BACKGROUND AND PURPOSE: CTA provides high-resolution imaging of the head and neck
vasculature but also of the soft tissues and bones. This results in a large
volume of information to be interpreted. This study examines interpretation
errors with head and neck CTAs and assesses whether double reading reduces miss
rates. MATERIALS AND METHODS: Consecutive CTAs of the neck and intracranial
circulation were retrospectively identified and reviewed for vascular and
nonvascular findings by a consensus of 2 neuroradiologists. The results were
compared with the official report. Significant discrepancies were considered
those that would have influenced follow-up or management. RESULTS: We reviewed
503 studies; 144 were originally reported by a staff neuroradiologist alone, 209
by staff and diagnostic radiology resident, and 150 by staff and neuroradiology
fellow. Twenty-six significant discrepancies were discovered in 20 studies,
corresponding to 4.0% of studies with at least 1 miss, and an overall miss rate
per study of 5.2%. There was at least 1 miss in 6.3% of studies interpreted by a
staff neuroradiologist alone, 3.3% by staff and resident, and 2.7% by staff and
fellow. The miss rate differences were not statistically significant. The most
common misses were small aneurysms (50% of misses). CONCLUSIONS: CTA neck and
head datasets are now large, and there is a potential for missed findings.
Significant discrepancies can occur with a low but not insignificant rate.
Arterial pathology accounted for most discrepancies. This study emphasizes the
need for careful systematic scrutiny for both vascular and nonvascular pathology
regardless of indication. Double reading reduces error rates.
PMID- 21903917
TI - Apparent diffusion coefficient with higher b-value correlates better with viable
cell count quantified from the cavity of brain abscess.
AB - BACKGROUND AND PURPOSE: DWI by using higher b-values provides tissue diffusivity
with less T2 shinethrough effect. VCD in the abscess cavity correlates with ADC
values. The purpose of this study was to investigate which b-value-derived ADC
correlates better with VCD. MATERIALS AND METHODS: Thirty patients with brain
abscess underwent conventional MR imaging and DWI with b = 1000, 2000, and 3000
s/mm(2) on a 3T MR imaging scanner. ADC values were quantified by placing regions
of interest inside the abscess cavity in all sections where the lesion was
apparent on coregistered ADC maps derived from different b-values. VCD was
measured on pus aspirated. RESULTS: An increase in b-value was associated with a
decrease in ADC values in normal parenchyma as well as in the abscess cavity. The
most significant negative correlation of VCD was observed with b = 3000 s/mm(2)
(r = -0.98, P = .01). CONCLUSIONS: VCD in the abscess cavity can be best assessed
at b = 3000 s/mm(2) secondary to the reduction in the T2 shinethrough effect. DWI
with b = 3000 s/mm(2) is of promising value in the assessment of the therapeutic
response of brain abscess.
PMID- 21903918
TI - Perineural tumor spread along the sixth cranial nerve: CT and MR imaging.
PMID- 21903919
TI - Infarction of the corpus callosum: a manifestation of giant cell arteritis.
PMID- 21903920
TI - The Omega Point and beyond: the singularity event.
PMID- 21903921
TI - A bat's perspective on navigation.
PMID- 21903922
TI - Source of the human malaria parasite Plasmodium falciparum.
PMID- 21903923
TI - The reserve pool of synaptic vesicles acts as a buffer for proteins involved in
synaptic vesicle recycling.
AB - Presynaptic nerve terminals contain between several hundred vesicles (for example
in small CNS synapses) and several tens of thousands (as in neuromuscular
junctions). Although it has long been assumed that such high numbers of vesicles
are required to sustain neurotransmission during conditions of high demand, we
found that activity in vivo requires the recycling of only a few percent of the
vesicles. However, the maintenance of large amounts of reserve vesicles in many
evolutionarily distinct species suggests that they are relevant for synaptic
function. We suggest here that these vesicles constitute buffers for soluble
accessory proteins involved in vesicle recycling, preventing their loss into the
axon. Supporting this hypothesis, we found that vesicle clusters contain a large
variety of proteins needed for vesicle recycling, but without an obvious function
within the clusters. Disrupting the clusters by application of black widow spider
venom resulted in the diffusion of numerous soluble proteins into the axons.
Prolonged stimulation and ionomycin application had a similar effect, suggesting
that calcium influx causes the unbinding of soluble proteins from vesicles.
Confirming this hypothesis, we found that isolated synaptic vesicles in vitro
sequestered soluble proteins from the cytosol in a process that was inhibited by
calcium addition. We conclude that the reserve vesicles support neurotransmission
indirectly, ensuring that soluble recycling proteins are delivered upon demand
during synaptic activity.
PMID- 21903924
TI - A system for the targeted amplification of bacterial gene clusters multiplies
antibiotic yield in Streptomyces coelicolor.
AB - Gene clusters found in bacterial species classified as Streptomyces encode the
majority of known antibiotics as well as many pharmaceutically active compounds.
A site-specific recombination system similar to those that mediate plasmid
conjugation was engineered to catalyze tandem amplification of one of these gene
clusters in a heterologous Streptomyces species. Three genetic elements were
known to be required for DNA amplification in S. kanamyceticus: the oriT-like
recombination sites RsA and RsB, and ZouA, a site-specific relaxase similar to
TraA proteins that catalyze plasmid transfer. We inserted RsA and RsB sequences
into the S. coelicolor genome flanking a cluster of 22 genes (act) responsible
for biosynthesis of the polyketide antibiotic actinorhodin. Recombination between
RsA and RsB generated zouA-dependent DNA amplification resulting in 4-12 tandem
copies of the act gene cluster averaging nine repeats per genome. This resulted
in a 20-fold increase in actinorhodin production compared with the parental
strain. To determine whether the recombination event required taxon-specific
genetic effectors or generalized bacterial recombination (recA), it was also
analyzed in the heterologous host Escherichia coli. zouA was expressed under the
control of an inducible promoter in wild-type and recA mutant strains. A plasmid
was constructed with recombination sites RsA and RsB bordering a drug resistance
marker. Induction of zouA expression generated hybrid RsB/RsA sites, evidence of
site-specific recombination that occurred independently of recA. ZouA-mediated
DNA amplification promises to be a valuable tool for increasing the activities of
commercially important biosynthetic, degradative, and photosynthetic pathways in
a wide variety of organisms.
PMID- 21903925
TI - Helicobacter pylori vacuolating cytotoxin A (VacA) engages the mitochondrial
fission machinery to induce host cell death.
AB - A number of pathogenic bacteria target mitochondria to modulate the host's
apoptotic machinery. Studies here revealed that infection with the human gastric
pathogen Helicobacter pylori disrupts the morphological dynamics of mitochondria
as a mechanism to induce host cell death. The vacuolating cytotoxin A (VacA) is
both essential and sufficient for inducing mitochondrial network fragmentation
through the mitochondrial recruitment and activation of dynamin-related protein 1
(Drp1), which is a critical regulator of mitochondrial fission within cells.
Inhibition of Drp1-induced mitochondrial fission within VacA-intoxicated cells
inhibited the activation of the proapoptotic Bcl-2-associated X (Bax) protein,
permeabilization of the mitochondrial outer membrane, and cell death. Our data
reveal a heretofore unrecognized strategy by which a pathogenic microbe engages
the host's apoptotic machinery.
PMID- 21903926
TI - Nontelomeric splice variant of telomere repeat-binding factor 2 maintains
neuronal traits by sequestering repressor element 1-silencing transcription
factor.
AB - Telomere repeat-binding factor 2 (TRF2) is critical for telomere integrity in
dividing stem and somatic cells, but its role in postmitotic neurons is unknown.
Apart from protecting telomeres, nuclear TRF2 interacts with the master neuronal
gene-silencer repressor element 1-silencing transcription factor (REST), and
disruption of this interaction induces neuronal differentiation. Here we report a
developmental switch from the expression of TRF2 in proliferating neural
progenitor cells to expression of a unique short nontelomeric isoform of TRF2
(TRF2-S) as neurons establish a fully differentiated state. Unlike nuclear TRF2,
which enhances REST-mediated gene repression, TRF2-S is located in the cytoplasm
where it sequesters REST, thereby maintaining the expression of neuronal genes,
including those encoding glutamate receptors, cell adhesion, and neurofilament
proteins. In neurons, TRF2-S-mediated antagonism of REST nuclear activity is
greatly attenuated by either overexpression of TRF2 or administration of the
excitatory amino acid kainic acid. Overexpression of TRF2-S rescues kainic acid
induced REST nuclear accumulation and its gene-silencing effects. Thus, TRF2-S
acts as part of a unique developmentally regulated molecular switch that plays
critical roles in the maintenance and plasticity of neurons.
PMID- 21903927
TI - The next generation of genetic investigations into the Black Death.
PMID- 21903928
TI - A small pool of vesicles maintains synaptic activity in vivo.
AB - Chemical synapses contain substantial numbers of neurotransmitter-filled synaptic
vesicles, ranging from approximately 100 to many thousands. The vesicles fuse
with the plasma membrane to release neurotransmitter and are subsequently
reformed and recycled. Stimulation of synapses in vitro generally causes the
majority of the synaptic vesicles to release neurotransmitter, leading to the
assumption that synapses contain numerous vesicles to sustain transmission during
high activity. We tested this assumption by an approach we termed cellular
ethology, monitoring vesicle function in behaving animals (10 animal models,
nematodes to mammals). Using FM dye photooxidation, pHluorin imaging, and HRP
uptake we found that only approximately 1-5% of the vesicles recycled over
several hours, in both CNS synapses and neuromuscular junctions. These vesicles
recycle repeatedly, intermixing slowly (over hours) with the reserve vesicles.
The latter can eventually release when recycling is inhibited in vivo but do not
seem to participate under normal activity. Vesicle recycling increased only to ~
5% in animals subjected to an extreme stress situation (frog predation on
locusts). Synapsin, a molecule binding both vesicles and the cytoskeleton, may be
a marker for the reserve vesicles: the proportion of vesicles recycling in vivo
increased to 30% in synapsin-null Drosophila. We conclude that synapses do not
require numerous reserve vesicles to sustain neurotransmitter release and thus
may use them for other purposes, examined in the accompanying paper.
PMID- 21903929
TI - The bacterial actin MreB rotates, and rotation depends on cell-wall assembly.
AB - Bacterial cells possess multiple cytoskeletal proteins involved in a wide range
of cellular processes. These cytoskeletal proteins are dynamic, but the driving
forces and cellular functions of these dynamics remain poorly understood.
Eukaryotic cytoskeletal dynamics are often driven by motor proteins, but in
bacteria no motors that drive cytoskeletal motion have been identified to date.
Here, we quantitatively study the dynamics of the Escherichia coli actin homolog
MreB, which is essential for the maintenance of rod-like cell shape in bacteria.
We find that MreB rotates around the long axis of the cell in a persistent
manner. Whereas previous studies have suggested that MreB dynamics are driven by
its own polymerization, we show that MreB rotation does not depend on its own
polymerization but rather requires the assembly of the peptidoglycan cell wall.
The cell-wall synthesis machinery thus either constitutes a novel type of
extracellular motor that exerts force on cytoplasmic MreB, or is indirectly
required for an as-yet-unidentified motor. Biophysical simulations suggest that
one function of MreB rotation is to ensure a uniform distribution of new
peptidoglycan insertion sites, a necessary condition to maintain rod shape during
growth. These findings both broaden the view of cytoskeletal motors and deepen
our understanding of the physical basis of bacterial morphogenesis.
PMID- 21903930
TI - Self-enforcing strategies to deter free-riding in the climate change mitigation
game and other repeated public good games.
AB - As the Copenhagen Accord indicates, most of the international community agrees
that global mean temperature should not be allowed to rise more than two degrees
Celsius above preindustrial levels to avoid unacceptable damages from climate
change. The scientific evidence distilled in the Fourth Assessment Report of the
Intergovernmental Panel on Climate Change and recent reports by the US National
Academies shows that this can only be achieved by vast reductions of greenhouse
gas emissions. Still, international cooperation on greenhouse gas emissions
reductions suffers from incentives to free-ride and to renegotiate agreements in
case of noncompliance, and the same is true for other so-called "public good
games." Using game theory, we show how one might overcome these problems with a
simple dynamic strategy of linear compensation when the parameters of the problem
fulfill some general conditions and players can be considered to be sufficiently
rational. The proposed strategy redistributes liabilities according to past
compliance levels in a proportionate and timely way. It can be used to implement
any given allocation of target contributions, and we prove that it has several
strong stability properties.
PMID- 21903931
TI - Acoustic measurement of the Deepwater Horizon Macondo well flow rate.
AB - On May 31, 2010, a direct acoustic measurement method was used to quantify fluid
leakage rate from the Deepwater Horizon Macondo well prior to removal of its
broken riser. This method utilized an acoustic imaging sonar and acoustic Doppler
sonar operating onboard a remotely operated vehicle for noncontact measurement of
flow cross-section and velocity from the well's two leak sites. Over 2,500 sonar
cross-sections and over 85,000 Doppler velocity measurements were recorded during
the acquisition process. These data were then applied to turbulent jet and plume
flow models to account for entrained water and calculate a combined hydrocarbon
flow rate from the two leak sites at seafloor conditions. Based on the chemical
composition of end-member samples collected from within the well, this bulk
volumetric rate was then normalized to account for contributions from gases and
condensates at initial leak source conditions. Results from this investigation
indicate that on May 31, 2010, the well's oil flow rate was approximately 0.10 +/
0.017 m(3) s(-1) at seafloor conditions, or approximately 85 +/- 15 kg s(-1)
(7.4 +/- 1.3 Gg d(-1)), equivalent to approximately 57,000 +/- 9,800 barrels of
oil per day at surface conditions. End-member chemical composition indicates that
this oil release rate was accompanied by approximately an additional 24 +/- 4.2
kg s(-1) (2.1 +/- 0.37 Gg d(-1)) of natural gas (methane through pentanes),
yielding a total hydrocarbon release rate of 110 +/- 19 kg s(-1) (9.5 +/- 1.6 Gg
d(-1)).
PMID- 21903932
TI - Crystal structure of the hybrid state of ribosome in complex with the guanosine
triphosphatase release factor 3.
AB - Protein release factor 3 (RF3), a guanosine triphosphatase, binds to ribosome
after release of the nascent peptide and promotes dissociation of the class I
release factors during the termination of protein synthesis. Here we present the
crystal structure of the 70S ribosome with RF3 in the presence of a
nonhydrolyzable GTP analogue, guanosine 5'-beta,gamma-methylenetriphosphate
(GDPCP), refined to 3.8 A resolution. The structure shows that the subunits of
the ribosome are rotated relative to each other compared to the canonical state,
resulting in a P/E hybrid state for the transfer RNA. The substantial
conformational rearrangements in the complex are described and suggest how RF3,
by stabilizing the hybrid state of the ribosome, facilitates the dissociation of
class I release factors.
PMID- 21903933
TI - Delivery of siRNA and other macromolecules into skin and cells using a peptide
enhancer.
AB - Delivery of macromolecules into cells and tissues such as skin is a major
challenge. This obstacle poses a particular challenge for the delivery of siRNA
where cellular and tissue level transport barriers need to be overcome. siRNAs
are potential therapeutics for various dermatological diseases including
psoriasis, atopic dermatitis, and cancer; however, their utility is limited by
their low absorption across the stratum corneum (SC) and into viable cells of
skin. Here, we address this challenge using a peptide identified by phage display
termed skin penetrating and cell entering (SPACE) peptide. In vitro studies
indicated that the SPACE peptide, when conjugated to cargoes such as small
molecules and proteins, was able to facilitate their penetration across the SC
into epidermis and dermis. The peptide also exhibited increased penetration into
various cells including keratinocytes, fibroblasts, and endothelial cells, likely
through a macropinocytosis pathway. The ability of SPACE peptide to deliver siRNA
was tested in vivo using two targets, interleukin-10 and GAPDH. Conjugation of
the peptide to siRNA led to their enhanced absorption into skin and knockdown of
corresponding protein targets.
PMID- 21903934
TI - Menthol attenuates respiratory irritation responses to multiple cigarette smoke
irritants.
AB - Menthol, the cooling agent in peppermint, is added to almost all commercially
available cigarettes. Menthol stimulates olfactory sensations, and interacts with
transient receptor potential melastatin 8 (TRPM8) ion channels in cold-sensitive
sensory neurons, and transient receptor potential ankyrin 1 (TRPA1), an irritant
sensing channel. It is highly controversial whether menthol in cigarette smoke
exerts pharmacological actions affecting smoking behavior. Using plethysmography,
we investigated the effects of menthol on the respiratory sensory irritation
response in mice elicited by smoke irritants (acrolein, acetic acid, and
cyclohexanone). Menthol, at a concentration (16 ppm) lower than in smoke of
mentholated cigarettes, immediately abolished the irritation response to
acrolein, an agonist of TRPA1, as did eucalyptol (460 ppm), another TRPM8
agonist. Menthol's effects were reversed by a TRPM8 antagonist, AMTB. Menthol's
effects were not specific to acrolein, as menthol also attenuated irritation
responses to acetic acid, and cyclohexanone, an agonist of the capsaicin
receptor, TRPV1. Menthol was efficiently absorbed in the respiratory tract,
reaching local concentrations sufficient for activation of sensory TRP channels.
These experiments demonstrate that menthol and eucalyptol, through activation of
TRPM8, act as potent counterirritants against a broad spectrum of smoke
constituents. Through suppression of respiratory irritation, menthol may
facilitate smoke inhalation and promote nicotine addiction and smoking-related
morbidities.
PMID- 21903935
TI - A liver-specific microRNA binds to a highly conserved RNA sequence of hepatitis B
virus and negatively regulates viral gene expression and replication.
AB - Regulated gene expression and progeny production are essential for persistent and
chronic infection by human pathogens, such as hepatitis B virus (HBV), which
affects >400 million people worldwide and is a major cause of liver disease. In
this study, we provide the first direct evidence that a liver-specific microRNA,
miR-122, binds to a highly conserved HBV pregenomic RNA sequence via base-pairing
interactions and inhibits HBV gene expression and replication. The miR-122 target
sequence is located at the coding region of the mRNA for the viral polymerase and
the 3' untranslated region of the mRNA for the core protein. In cultured cells,
HBV gene expression and replication reduces with increased expression of miR-122,
and the expression of miR-122 decreases in the presence of HBV infection and
replication. Furthermore, analyses of clinical samples demonstrated an inverse
linear correlation in vivo between the miR-122 level and the viral loads in the
peripheral blood mononuclear cells of HBV-positive patients. Our results suggest
that miR-122 may down-regulate HBV replication by binding to the viral target
sequence, contributing to the persistent/chronic infection of HBV, and that HBV
induced modulation of miR-122 expression may represent a mechanism to facilitate
viral pathogenesis.
PMID- 21903936
TI - Differential protein kinase C isoform regulation and increased constitutive
activity of acetylcholine-regulated potassium channels in atrial remodeling.
AB - RATIONALE: Atrial fibrillation (AF) causes atrial-tachycardia remodeling (ATR),
with enhanced constitutive acetylcholine-regulated K+ current (I(KAChC))
contributing to action potential duration shortening and AF promotion. The
underlying mechanisms are unknown. OBJECTIVE: To evaluate the role of protein
kinase C (PKC) isoforms in ATR-induced I(KAChC) activation. METHODS AND RESULTS:
Cells from ATR-dogs (400-bpm atrial pacing for 1 week) were compared to control
dog cells. In vitro tachypaced (TP; 3 Hz) canine atrial cardiomyocytes were
compared to parallel 1-Hz paced cells. I(KAChC) single-channel activity was
assessed in cell-attached and cell-free (inside-out) patches. Protein expression
was assessed by immunoblot. In vitro TP activated I(KAChC), mimicking effects of
in vivo ATR. Discrepant effects of PKC activation and inhibition between control
and ATR cells suggested isoform-selective effects and altered PKC isoform
distribution. Conventional PKC isoforms (cPKC; including PKCalpha) inhibited,
whereas novel isoforms (including PKCepsilon) enhanced, acetylcholine-regulated
K+ current (I(KACh)) in inside-out patches. TP and ATR downregulated PKCalpha (by
33% and 37%, respectively) and caused membrane translocation of PKCepsilon,
switching PKC predominance to the stimulatory novel isoform. TP increased [Ca2+]i
at 2 hours by 30%, with return to baseline at 24 hours. Buffering [Ca2+]i during
TP with the cell-permeable Ca2+ chelator BAPTA-AM (1 MUmol/L) or inhibiting the
Ca2+-dependent protease calpain with PD150606 (20 MUmol/L) prevented PKCalpha
downregulation and TP enhancement of I(KAChC). PKCepsilon inhibition with a cell
permeable peptide inhibitor suppressed TP/ATR-induced I(KAChC) activation,
whereas cPKC inhibition enhanced I(KAChC) activity in 1-Hz cells. CONCLUSIONS:
PKC isoforms differentially modulate I(KACh), with conventional Ca(2+)-dependent
isoforms inhibiting and novel isoforms enhancing activity. ATR causes a rate
dependent PKC isoform switch, with Ca2+/calpain-dependent downregulation of
inhibitory PKCalpha and membrane translocation of stimulatory PKCepsilon,
enhancing I(KAChC). These findings provide novel insights into mechanisms
underlying I(KAChC) dysregulation in AF.
PMID- 21903938
TI - MicroRNA-29 in aortic dilation: implications for aneurysm formation.
AB - RATIONALE: Aging represents a major risk factor for coronary artery disease and
aortic aneurysm formation. MicroRNAs (miRs) have emerged as key regulators of
biological processes, but their role in age-associated vascular pathologies is
unknown. OBJECTIVE: We aim to identify miRs in the vasculature that are regulated
by age and play a role in age-induced vascular pathologies. METHODS AND RESULTS:
Expression profiling of aortic tissue of young versus old mice identified several
age-associated miRs. Among the significantly regulated miRs, the increased
expression of miR-29 family members was associated with a profound downregulation
of numerous extracellular matrix (ECM) components in aortas of aged mice,
suggesting that this miR family contributes to ECM loss, thereby sensitizing the
aorta for aneurysm formation. Indeed, miR-29 expression was significantly induced
in 2 experimental models for aortic dilation: angiotensin II-treated aged mice
and genetically induced aneurysms in Fibulin-4(R/R) mice. More importantly, miR
29b levels were profoundly increased in biopsies of human thoracic aneurysms,
obtained from patients with either bicuspid (n=79) or tricuspid aortic valves
(n=30). Finally, LNA-modified antisense oligonucleotide-mediated silencing of miR
29 induced ECM expression and inhibited angiotensin II-induced dilation of the
aorta in mice. CONCLUSION: In conclusion, miR-29-mediated downregulation of ECM
proteins may sensitize the aorta to the formation of aneurysms in advanced age.
Inhibition of miR-29 in vivo abrogates aortic dilation in mice, suggesting that
miR-29 may represent a novel molecular target to augment matrix synthesis and
maintain vascular wall structural integrity.
PMID- 21903939
TI - HCN3 contributes to the ventricular action potential waveform in the murine
heart.
AB - RATIONALE: The hyperpolarization-activated current I(h) that is generated by
hyperpolarization-activated cyclic nucleotide-gated channels (HCNs) plays a key
role in the control of pacemaker activity in sinoatrial node cells of the heart.
By contrast, it is unclear whether I(h) is also relevant for normal function of
cardiac ventricles. OBJECTIVE: To study the role of the HCN3-mediated component
of ventricular I(h) in normal ventricular function. METHODS AND RESULTS: To test
the hypothesis that HCN3 regulates the ventricular action potential waveform, we
have generated and analyzed a HCN3-deficient mouse line. At basal heart rate,
mice deficient for HCN3 displayed a profound increase in the T-wave amplitude in
telemetric electrocardiographic measurements. Action potential recordings on
isolated ventricular myocytes indicate that this effect was caused by an
acceleration of the late repolarization phase in epicardial myocytes.
Furthermore, the resting membrane potential was shifted to more hyperpolarized
potentials in HCN3-deficient mice. Cardiomyocytes of HCN3-deficient mice
displayed approximately 30% reduction of total I(h). At physiological ionic
conditions, the HCN3-mediated current had a reversal potential of approximately
35 mV and displayed ultraslow deactivation kinetics. CONCLUSIONS: We propose that
HCN3 together with other members of the HCN channel family confer a depolarizing
background current that regulates ventricular resting potential and counteracts
the action of hyperpolarizing potassium currents in late repolarization. In
conclusion, our data indicate that HCN3 plays an important role in shaping the
cardiac action potential waveform.
PMID- 21903937
TI - Phosphodiesterase 4D regulates baseline sarcoplasmic reticulum Ca2+ release and
cardiac contractility, independently of L-type Ca2+ current.
AB - RATIONALE: Baseline contractility of mouse hearts is modulated in a
phosphatidylinositol 3-kinase-gamma-dependent manner by type 4 phosphodiesterases
(PDE4), which regulate cAMP levels within microdomains containing the
sarcoplasmic reticulum (SR) calcium ATPase type 2a (SERCA2a). OBJECTIVE: The goal
of this study was to determine whether PDE4D regulates basal cardiac
contractility. METHODS AND RESULTS: At 10 to 12 weeks of age, baseline cardiac
contractility in PDE4D-deficient (PDE4D(-/-)) mice was elevated mice in vivo and
in Langendorff perfused hearts, whereas isolated PDE4D(-/-) cardiomyocytes showed
increased whole-cell Ca2+ transient amplitudes and SR Ca2+content but unchanged L
type calcium current, compared with littermate controls (WT). The protein kinase
A inhibitor R(p)-adenosine-3',5' cyclic monophosphorothioate (R(p)-cAMP) lowered
whole-cell Ca2+ transient amplitudes and SR Ca2+ content in PDE4D(-/-)
cardiomyocytes to WT levels. The PDE4 inhibitor rolipram had no effect on cardiac
contractility, whole-cell Ca2+ transients, or SR Ca2+ content in PDE4D(-/-)
preparations but increased these parameters in WT myocardium to levels
indistinguishable from those in PDE4D(-/-). The functional changes in PDE4D(-/-)
myocardium were associated with increased PLN phosphorylation but not cardiac
ryanodine receptor phosphorylation. Rolipram increased PLN phosphorylation in WT
cardiomyocytes to levels indistinguishable from those in PDE4D(-/-)
cardiomyocytes. In murine and failing human hearts, PDE4D coimmunoprecipitated
with SERCA2a but not with cardiac ryanodine receptor. CONCLUSIONS: PDE4D
regulates basal cAMP levels in SR microdomains containing SERCA2a-PLN, but not L
type Ca2+ channels or ryanodine receptor. Because whole-cell Ca2+ transient
amplitudes are reduced in failing human myocardium, these observations may have
therapeutic implications for patients with heart failure.
PMID- 21903940
TI - Reduced vascular nitric oxide-cGMP signaling contributes to adipose tissue
inflammation during high-fat feeding.
AB - OBJECTIVE: Obesity is characterized by chronic inflammation of adipose tissue,
which contributes to insulin resistance and diabetes. Although nitric oxide (NO)
signaling has antiinflammatory effects in the vasculature, whether reduced NO
contributes to adipose tissue inflammation is unknown. We sought to determine
whether (1) obesity induced by high-fat (HF) diet reduces endothelial nitric
oxide signaling in adipose tissue, (2) reduced endothelial nitric oxide synthase
(eNOS) signaling is sufficient to induce adipose tissue inflammation independent
of diet, and (3) increased cGMP signaling can block adipose tissue inflammation
induced by HF feeding. METHODS AND RESULTS: Relative to mice fed a low-fat diet,
an HF diet markedly reduced phospho-eNOS and phospho-vasodilator-stimulated
phosphoprotein (phospho-VASP), markers of vascular NO signaling. Expression of
proinflammatory cytokines was increased in adipose tissue of eNOS-/- mice.
Conversely, enhancement of signaling downstream of NO by phosphodiesterase-5
inhibition using sildenafil attenuated HF-induced proinflammatory cytokine
expression and the recruitment of macrophages into adipose tissue. Finally, we
implicate a role for VASP, a downstream mediator of NO-cGMP signaling in
mediating eNOS-induced antiinflammatory effects because VASP-/- mice
recapitulated the proinflammatory phenotype displayed by eNOS-/- mice.
CONCLUSIONS: These results imply a physiological role for endothelial NO to limit
obesity-associated inflammation in adipose tissue and hence identify the NO-cGMP
VASP pathway as a potential therapeutic target in the treatment of diabetes.
PMID- 21903941
TI - Selective inhibition of matrix metalloproteinase-13 increases collagen content of
established mouse atherosclerosis.
AB - OBJECTIVE: Evidence has linked collagen loss with the onset of acute coronary
events. This study tested the hypothesis that selective matrix metalloproteinase
13 (MMP-13) collagenase inhibition increases collagen content in already
established and nascent mouse atheromas. METHODS AND RESULTS: In vitro and in
situ experiments documented the selectivity and efficacy of an orally available
MMP-13 inhibitor (MMP13i-A). In vivo observations monitored macrophage
accumulation and MMP-13 activity using molecular imaging. After 10 weeks of
MMP13i-A treatment, apolipoprotein E-deficient mice with evolving or established
lesions exhibited reduced MMP-13 activity without affecting macrophage content,
measured either by intravital microscopy or fluorescence reflectance imaging.
Histological analysis indicated that MMP13-iA did not affect plaque size or
macrophage or smooth muscle cell accumulation. Administration of MMP13i-A to mice
with evolving or established atheromas substantially increased plaque
interstitial collagen content in the intima and locally in the fibrous cap,
compared with vehicle-treated controls. Analysis of collagen revealed thicker
collagen fibers within the plaques of treated groups. CONCLUSION: Pharmacological
MMP-13 inhibition yields collagen accumulation in plaques (a feature associated
in humans with resistance to rupture), even in established plaques. This study,
of considerable clinical relevance, furnishes new mechanistic insight into
regulation of the plaque's extracellular matrix and validates molecular imaging
for studying plaque biology.
PMID- 21903942
TI - Protein phosphatase 2A promotes endothelial survival via stabilization of
translational inhibitor 4E-BP1 following exposure to tumor necrosis factor-alpha.
AB - OBJECTIVE: Tumor necrosis factor-alpha (TNFalpha) may change from a stimulator of
reversible activation of endothelial cells (ECs) to a killer when combined with
cycloheximide (CHX). The means by which endothelial cells are destined to either
the survival pathway or the apoptotic pathway are not fully understood. We
investigated the role of p38 mitogen-activated protein kinase (MAPK) and protein
phosphatase 2A (PP2A) activation and their regulation of 4E-BP1 stability in ECs
to determine whether this pathway contributes to apoptosis induced by TNFalpha
and CHX. METHODS AND RESULTS: Apoptosis was induced in human umbilical vein ECs
(HUVECs) by treating them with a combination of TNFalpha and CHX (TNFalpha/CHX).
Activation of p38 MAPK was increased in HUVECs undergoing apoptosis, which was
associated with degradation of eukaryotic initiation factor 4A regulator 4E-BP1
in a p38 MAPK-dependent manner. CHX attenuated a TNFalpha-stimulated increase in
the expression and activity of PP2A. Silencing PP2A expression with small
interfering RNA transfection mimicked CHX sensitization, increasing HUVEC
apoptosis with TNFalpha stimulation and suggesting a protective role for PP2A in
the apoptotic process. CONCLUSION: Our data suggest that (1) TNFalpha stimulates
PP2A and HUVECs elude apoptosis by PP2A-dependent dephosphorylation of p38 MAPK,
and (2) CHX-induced inhibition of PP2A leads to maintenance of p38 activity and
degradation of 4E-BP1, resulting in enhanced TNFalpha-induced apoptosis.
PMID- 21903943
TI - Genetic variation in liver X receptor alpha and risk of ischemic vascular disease
in the general population.
AB - OBJECTIVE: Although animal studies indicate that liver X receptor alpha
(LXRalpha) might influence risk of atherosclerosis, data in humans remain scarce.
We tested the hypothesis that genetic variation in LXRalpha associates with risk
of ischemic vascular disease and/or plasma lipid and lipoprotein levels in the
general population. METHODS AND RESULTS: We studied 10,281 white persons of
Danish ancestry from a general population cohort, including 1,986 in whom
ischemic heart disease (IHD) developed, and 989 in whom ischemic cerebrovascular
disease developed. We examined another 51,429 white persons of Danish ancestry
from a general population study, including 3,789 with IHD. We genotyped 10
genetic variants identified by resequencing LXRalpha. Homozygosity for -840AA/
115AA(=2.7%) predicted hazard ratios of 1.3 (95% confidence interval, 1.0-1.7)
for IHD, 1.6 (1.2-2.2) for myocardial infarction, and 1.7 (1.3-2.4) for ischemic
cerebrovascular disease. The corresponding odds ratios in the second cohort were
1.1 (0.9-1.4) for IHD and 1.5 (1.1-2.0) for myocardial infarction. In the
combined studies, odds ratios were 1.2 (1.0-1.4) for IHD and 1.5 (1.2-1.9) for
myocardial infarction. Homozygosity for -840AA/-115AA did not associate with
lipid or lipoprotein levels. LXRalpha -1830T>C (tagging the haplotype -1830C/
840A/-115A, all r(2)>=0.97) associated with 91% increased transcriptional
activity. CONCLUSIONS: This study suggests that functional genetic variation in
LXRalpha predicts risk of ischemic vascular disease in the general population.
PMID- 21903944
TI - Role for circulating osteogenic precursor cells in aortic valvular disease.
AB - OBJECTIVE: Approximately 13% of aortic valves removed from patients with end
stage aortic valve disease contain heterotopic ossification (HO). Recently, we
identified a novel population of circulating osteogenic precursor (COP) cells
that are derived from bone marrow and have the capability to form bone. These
cells are identified by coexpression of the osteogenic marker type 1 collagen or
osteoclacin and the hematopoietic marker CD45. We tested the hypothesis that
these cells may contribute to heart valve stenosis. METHODS AND RESULTS:
Quantification of CD45(+) osteoclacin(+) COP cells by flow cytometry showed that
they represent up to 1.1% of mononuclear cells. Clonally derived COP cells
produce bone morphogenetic proteins 2 and 4 by immunohistochemical analysis. We
reviewed 105 cases of end-stage aortic valvular disease and confirmed HO in 13
archived specimens. Using immunohistochemistry, we identified COP cells by
coexpression of CD45 and type 1 collagen. There was a statistically significant
association between the presence of COP cells and early HO lesions. COP cells
were negligible in regions of unaffected valve leaflets (no HO) from the same
individuals. CONCLUSIONS: This study provides the first evidence that osteogenic
cells in the blood home to sites of vascular injury and are associated with HO
formation in heart valves.
PMID- 21903946
TI - Plasma lipidomic analysis of stable and unstable coronary artery disease.
AB - OBJECTIVE: Traditional risk factors for coronary artery disease (CAD) fail to
adequately distinguish patients who have atherosclerotic plaques susceptible to
instability from those who have more benign forms. Using plasma lipid profiling,
this study aimed to provide insight into disease pathogenesis and evaluate the
potential of lipid profiles to assess risk of future plaque instability. METHODS
AND RESULTS: Plasma lipid profiles containing 305 lipids were measured on 220
individuals (matched healthy controls, n=80; stable angina, n=60; unstable
coronary syndrome, n=80) using electrospray-ionisation tandem mass spectrometry.
ReliefF feature selection coupled with an L2-regularized logistic regression
based classifier was used to create multivariate classification models which were
verified via 3-fold cross-validation (1000 repeats). Models incorporating both
lipids and traditional risk factors provided improved classification of unstable
CAD from stable CAD (C-statistic=0.875, 95% CI 0.874-0.877) compared with models
containing only traditional risk factors (C-statistic=0.796, 95% CI 0.795-0.798).
Many of the lipids identified as discriminatory for unstable CAD displayed an
association with disease acuity (severity), suggesting that they are antecedents
to the onset of acute coronary syndrome. CONCLUSION: Plasma lipid profiling may
contribute to a new approach to risk stratification for unstable CAD.
PMID- 21903945
TI - Nucleotide-binding oligomerization domain protein 2 deficiency enhances
neointimal formation in response to vascular injury.
AB - OBJECTIVE: Nucleotide-binding oligomerization domain protein 2 (NOD2) stimulates
diverse inflammatory responses resulting in differential cellular phenotypes. To
identify the role of NOD2 in vascular arterial obstructive diseases, we
investigated the expression and pathophysiological role of NOD2 in a vascular
injury model of neointimal hyperplasia. METHODS AND RESULTS: We first analyzed
for neointimal hyperplasia following femoral artery injury in NOD2(+/+) and NOD2(
/-) mice. NOD2(-/-) mice showed a 2.86-fold increase in neointimal formation that
was mainly composed of smooth muscle (SM) alpha-actin positive cells. NOD2 was
expressed in vascular smooth muscle cells (VSMCs) and NOD2(-/-) VSMCs showed
increased cell proliferation in response to mitogenic stimuli, platelet-derived
growth factor-BB (PDGF-BB), or fetal bovine serum, compared with NOD2(+/+) VSMCs.
Furthermore, NOD2 deficiency markedly promoted VSMCs migration in response to
PDGF-BB, and this increased cell migration was attenuated by a
phosphatidylinositol 3-kinase inhibitor. However, protein kinase C and c-Jun N
terminal kinase inhibitors exerted negligible effects. Moreover, muramyl
dipeptide-stimulated NOD2 prevented PDGF-BB-induced VSMCs migration. CONCLUSION:
Functional NOD2 was found to be expressed in VSMCs, and NOD2 deficiency promoted
VSMCs proliferation, migration, and neointimal formation after vascular injury.
These results provide evidence for the involvement of NOD2 in vascular
homeostasis and tissue injury, serving as a potential molecular target in the
modulation of arteriosclerotic vascular disease.
PMID- 21903948
TI - Antithrombotic therapy use at discharge and 1 year in patients with atrial
fibrillation and acute stroke: results from the AVAIL Registry.
AB - BACKGROUND AND PURPOSE: Current American Heart Association/American Stroke
Association guidelines identify warfarin use as a class IA indication in patients
with atrial fibrillation (AF) and ischemic stroke (IS) or transient ischemic
attack (TIA). However, few studies have examined factors associated with long
term antithrombotic therapy use in IS/TIA patients with AF. METHODS: We utilized
the Get With The Guidelines-Stroke national quality improvement registry and the
Adherence eValuation After Ischemic Stroke Longitudinal (AVAIL) Registry to
examine patterns of antithrombotic use at discharge and at 12 months in IS/TIA
patients with AF. A multivariate logistic regression model was developed to
identify predictors of warfarin use in this patient population at 12 months.
RESULTS: Of the 2460 IS/TIA patients, 291 (11.8%) had AF, of which 5.5% of
patients were discharged on aspirin alone, 49.1% on warfarin alone, 1.4% on
clopidogrel alone, 34.7% on warfarin plus aspirin, 2.1% on aspirin plus
clopidogrel, and 1.0% on aspirin plus clopidogrel plus warfarin. Paradoxically,
there was a decrease in the rate of warfarin use in patients with a CHADS2
score>3. The only factor associated with warfarin use at 12-month follow-up was
male gender (adjusted odds ratio, 2.27; confidence interval, 1.22-4.35; P=0.01).
CONCLUSIONS: Overall, the use of warfarin therapy is high at discharge in IS/TIA
patients with AF; however, there was a decrease in the rate of warfarin use in
patients with a CHADS2 score>3. Compared to women, men were more likely to be on
warfarin at 1 year after the index stroke event. Therefore, opportunities exist
to improve antithrombotic use in all IS/TIA patients with AF.
PMID- 21903947
TI - Genome-wide microarray analyses identify the protein C receptor as a novel
calcineurin/nuclear factor of activated T cells-dependent gene in vascular smooth
muscle cell phenotypic modulation.
AB - OBJECTIVE: Calcineurin (Cn) and the nuclear factor of activated T cells (NFAT)
family of transcription factors are critical in vascular smooth muscle cell (SMC)
development and pathology. Here, we used a genomics approach to identify and
validate NFAT gene targets activated during platelet-derived growth factor-BB
(PDGF-BB)-induced SMC phenotypic modulation. METHODS AND RESULTS: Genome-wide
expression arrays were used to identify genes both (1) differentially activated
in response to PDGF-BB and (2) whose differential expression was reduced by both
the Cn inhibitor cyclosporin A and the NFAT inhibitor A-285222. The 20 most
pharmacologically sensitive genes were validated by quantitative reverse
transcription-polymerase chain reaction analysis of PDGF-BB-stimulated SMCs in
the presence of Cn/NFAT inhibitors, including the VIVIT peptide. In all
experiments, protein C receptor (PROCR) gene activation was reduced. We showed
that PROCR expression was virtually absent in untreated, quiescent SMCs. PDGF-BB
stimulation, however, induced significant PROCR promoter activation and
downstream protein expression in a Cn/NFAT-dependent manner. Mutation of a
species-conserved, NFAT binding motif significantly attenuated PDGF-BB-induced
PROCR promoter activity, thereby distinguishing NFAT as the first PROCR
transcriptional activator to date. Moreover, SMC PROCR expression was upregulated
in the neointima as early as 7 days following acute vascular injury in rat
carotid arteries. CONCLUSION: We hereby report PROCR as a novel, NFAT-dependent
gene that may be implicated in vascular restenosis and consequent inward
remodeling.
PMID- 21903949
TI - Outcomes in mild or rapidly improving stroke not treated with intravenous
recombinant tissue-type plasminogen activator: findings from Get With The
Guidelines-Stroke.
AB - BACKGROUND AND PURPOSE: Mild or rapidly improving stroke is a frequently cited
reason for not giving intravenous recombinant tissue-type plasminogen activator
(rtPA), but some of these patients may have poor outcomes. We used data from a
large nationwide study (Get With The Guidelines-Stroke) to determine risk factors
for poor outcomes after mild or improving stroke at hospital discharge. METHODS:
Between 2003 and 2009, there were 29,200 ischemic stroke patients (from 1092
hospitals) arriving within 2 hours after symptom onset with mild or rapidly
improving stroke symptoms as the only contraindication to rtPA. Logistic
regression was used to determine the independent predictors of discharge to home.
RESULTS: Among 93,517 patients arriving within 2 hours, 31.2% (29,200) did not
receive rtPA solely because of mild/improving stroke. Among the 29,200
mild/improving cases, 28.3% were not discharged to home, and 28.5% were unable to
ambulate without assistance at hospital discharge. The likelihood of home
discharge was strongly related to initial National Institutes of Health Stroke
Scale score (P<0.001). In multivariable-adjusted analysis, patients not
discharged to home were more likely to be older, female, and black; have a higher
National Institutes of Health Stroke Scale score and vascular risk factors; and
were less likely to be taking lipid-lowering medication before admission.
CONCLUSIONS: In this large, nationwide study, a sizeable minority of patients who
did not receive intravenous rtPA solely because of mild/improving stroke had poor
short-term outcomes, raising the possibility that stroke-related disability is
relatively common, even in "mild" stroke. A controlled trial of reperfusion
therapy in this population may be warranted.
PMID- 21903950
TI - Fish consumption and the risk of stroke: a dose-response meta-analysis.
AB - BACKGROUND AND PURPOSE: Fish consumption has been postulated to reduce the risk
of stroke. We conducted a dose-response meta-analysis to summarize the evidence
from prospective studies regarding the association between fish consumption and
stroke risk. METHODS: Pertinent studies were identified by searching Embase and
PubMed through May 2011 and by reviewing the references of retrieved articles. We
included prospective studies that reported relative risks with 95% CIs of stroke
for >=3 categories of fish consumption. Results were combined using a random
effects model. RESULTS: Fifteen prospective studies, with 9360 stroke events
among 383 838 participants, were included. An increment of 3 servings/week in
fish consumption was associated with a 6% reduction in risk of total stroke
(relative risk, 0.94; 95% CI, 0.89-0.99) without heterogeneity among studies
(P=0.15, I2=25.7%). Among 9 studies with results for stroke subtypes, the
relative risks were 0.90 (95% CI, 0.84-0.97) for ischemic stroke and 0.90 (95%
CI, 0.76-1.06) for hemorrhagic stroke. CONCLUSIONS: These findings indicate that
fish consumption is weakly inversely associated with the risk of stroke.
PMID- 21903951
TI - Impact of extracranial-intracranial bypass on cerebrovascular reactivity and
clinical outcome in patients with symptomatic moyamoya vasculopathy.
AB - BACKGROUND AND PURPOSE: The purpose of this study was to evaluate in symptomatic
moyamoya patients the effect of surgical revascularization on impaired
cerebrovascular reactivity (CVR) and its relationship to clinical outcome.
METHODS: Brain revascularization was performed using a direct superficial
temporal artery to middle cerebral artery bypass or indirect encephalo-dural
arterial synangiosis. CVR was measured pre- and 3 months postoperatively using
blood oxygen level-dependent MRI during iso-oxic hypercapnic changes in end-tidal
carbon dioxide. Outcomes were assessed by MRI, clinical examination, and modified
Rankin Scale scores. RESULTS: Fifty-five hemispheres were revascularized in 39
patients (superficial temporal artery to middle cerebral artery in 47, encephalo
dural-arterial synangiosis in 8). Surgery reversed CVR impairment in 52
hemispheres (94.5%) and in 36 of 39 patients (92.3%; Fisher exact test, P<0.001),
and this was predictive of a patent extracranial-intracranial bypass. New,
clinically silent perioperative hemorrhages, cortical foci of ischemia, or new
white matter T2 hyperintensities were detected after 11 surgeries (20%), but no
new lesions arose after 3 postoperative months. One patient had a clinical
perioperative stroke (1.8%). In clinical follow-up, 37 of 39 patients (95%) had
stable or improved modified Rankin Scale scores and 2 patients (5.1%) worsened.
No patients with patent bypasses or CVR improvements exhibited new clinical
symptoms, but failure of CVR improvement corresponded to a poorer long-term
outcome (Fisher exact test, P<0.001). CONCLUSIONS: Cerebral revascularization
surgery is a safe and effective treatment for reversing preoperative CVR defects
and may prevent recurrence of preoperative symptoms. Moreover, CVR measurements
may be useful in long-term follow-up and for predicting bypass patency.
PMID- 21903952
TI - Longitudinal magnetic resonance imaging of sildenafil treatment of embolic stroke
in aged rats.
AB - BACKGROUND AND PURPOSE: Sildenafil provides restorative therapeutic benefits in
the treatment of experimental stroke. The majority of experimental studies on
treatment of stroke have been performed in young animals; however, stroke is
primarily a disease of the aged. Thus, using MRI, we evaluated the effects of
sildenafil treatment of embolic stroke in aged animals. METHODS: Aged male Wistar
rats (18 months) were subjected to embolic stroke and treated daily with saline
(n=10) or with sildenafil (n=10) initiated at 24 hours and subsequently for 7
days after onset of ischemia. MRI measurements were performed at 24 hours and
weekly to 6 weeks after embolization. RESULTS: MRI and histological measurements
demonstrated that sildenafil treatment of aged rats significantly enhanced
angiogenesis and axonal remodeling after stroke compared to saline-treated aged
rats. Local cerebral blood flow in the angiogenic area was elevated and expansion
of the ipsilateral ventricle and, consequently, brain atrophy was significantly
reduced in the sildenafil-treated rats. CONCLUSIONS: Treatment of embolic stroke
in aged rats with sildenafil significantly augments angiogenesis and axonal
remodeling, which increased local blood flow and reduced expansion of the
ipsilateral ventricle 6 weeks after stroke compared to control aged rats. MRI can
be used to investigate brain repair after stroke in aged rats.
PMID- 21903953
TI - Chronic heart failure and ischemic stroke.
AB - Chronic heart failure (CHF) is one of the leading causes of hospitalization,
morbidity, and mortality worldwide. This review summarizes current knowledge with
regard to CHF as a risk factor for ischemic stroke. CHF is associated with an
increased risk of thrombus formation and is accompanied by a 2- to 3-fold
increased risk of stroke. Moreover, stroke in CHF patients is associated with
poor outcome and higher mortality. Available evidence for additional "vascular"
stroke risk factors in heart failure patients is inconsistent and is mostly
derived from cohort studies or retrospective analyses. Current guidelines
recommend anticoagulation for CHF patients with concomitant atrial fibrillation
but not for those in sinus rhythm. Prospective studies are needed to test whether
early detection and optimal treatment of CHF reduces the burden of stroke
associated neurologic and neuropsychological sequelae.
PMID- 21903954
TI - Letter by Cheong regarding article, "Association between stroke and patients with
pelvic inflammatory disease: a nationwide population-based study in Taiwan".
PMID- 21903955
TI - Transient cognitive impairment in TIA and minor stroke.
AB - BACKGROUND AND PURPOSE: Acute cognitive impairment and delirium occur after major
stroke and are associated with poor cognitive outcome. We conducted a population
based study to determine whether transient cognitive impairment (TCI) is seen
acutely after cerebral transient ischemic attack (TIA) or minor stroke, and
whether it predicts long-term cognitive decline. METHODS: Mini-mental-state
examination was performed in consecutive testable patients with TIA or minor
stroke (National Institutes of Health Stroke Scale <=3) seen acutely (1-7 days)
in the Oxford Vascular Study (2002-2005) versus after 7 days, and in referrals
seen acutely who had a subsequent noncerebrovascular diagnosis. We defined TCI as
a baseline Mini-mental-state examination score >=2 points below the 1-month
follow-up score, and identified cognitive impairment (Montreal Cognitive
Assessment [MoCA] <26/30) and severe dementia at 1-, 2-, and 5-year follow-up.
RESULTS: In 280 TIA and minor stroke patients (mean age/SD 73.5/11.8 years), TCI
was more frequent in those seen at 1 to 7 days (80/206; 38.9%) versus later
(14/74; 19%; P=0.002) or in noncerebrovascular patients (10/47; 21%; P=0.004).
TCI was associated with acute confusion (OR, 5.5; 95% CI, 2.5-11.7; P<0.0001),
acute infarct on computed tomography (OR, 2.0; 1.2-3.5; P=0.01), and with
residual focal deficits (OR,1.94; 1.13-3.34; P=0.01). However, it was still seen
acutely in those whose focal deficits had resolved by time of assessment (41/120;
34%). Although patients with TCI had similar Mini-mental-state examination score
by 1 month compared with those without TCI, their 5-year risks of cognitive
impairment (OR, 4.3; 1.2-15.7; P=0.03) and severe dementia (OR, 4.9; 1.0-25.8;
P=0.05) were increased. CONCLUSIONS: TCI is a manifestation of TIA and minor
stroke, and may persist beyond resolution of focal symptoms. Our findings have
implications for definitions in TIA and minor stroke and suggest that cognitive
fragility may be revealed by minor cerebrovascular events.
PMID- 21903956
TI - Emergency department shift change is associated with pneumonia in patients with
acute ischemic stroke.
AB - BACKGROUND AND PURPOSE: Emergency department (ED) nurses play a pivotal role in
early acute ischemic stroke patient management. We hypothesized that patients
exposed to ED nursing shift changes (SC) may develop pneumonia (PNA) more
frequently and have worse early outcomes than do patients who have continuity of
care until stroke unit admission. METHODS: Consecutive acute ischemic stroke
patients presenting to our ED were studied using chart review and prospectively
collected registry data. We evaluated the association of patient presence during
an ED SC (ie, 07:00-08:00, 19:00-20:00) with length of stay in the ED, PNA rates,
and early outcome measures (discharge disposition, modified Rankin Scale score,
and death). RESULTS: Three hundred sixty-six consecutive acute ischemic stroke
patients met the criteria. Of those, 54.9% were present during an SC. After
adjusting for baseline National Institutes of Health Stroke Scale, admission
glucose, and intravenous tissue-type plasminogen activator, patients present
during SC were half as likely to be discharged home or to inpatient rehab (OR,
0.50; 95% CI, 0.26-0.96; P=0.04) and were 2.5 times more likely to develop PNA
(OR, 2.54; 95% CI, 1.02-6.30; P=0.045). After additional adjustment for time in
the ED, the difference in favorable discharge disposition was no longer
significant, but SC was associated with 5 times the odds of PNA (OR, 5.35; 95%
CI, 1.34-21.39; P=0.018) compared with patients with continuity of care.
CONCLUSIONS: In our center, acute ischemic stroke patients present during an ED
nursing SC experienced higher rates of PNA and had decreased rates of favorable
discharge disposition compared with patients with continuity of care. Strategies
to prevent PNA and improve hand-off communication during SC may reduce this risk.
PMID- 21903957
TI - Isoflavone soy protein supplementation and atherosclerosis progression in healthy
postmenopausal women: a randomized controlled trial.
AB - BACKGROUND AND PURPOSE: Although epidemiological and experimental studies suggest
that dietary intake of soy may be cardioprotective, use of isoflavone soy protein
(ISP) supplementation as a primary preventive therapy remains unexplored. We
determined whether ISP reduces subclinical atherosclerosis assessed as carotid
artery intima-media thickness progression. METHODS: In a double-blind, placebo
controlled trial, 350 postmenopausal women 45 to 92 years of age without diabetes
and cardiovascular disease were randomized to 2 evenly divided daily doses of 25
g soy protein containing 91 mg aglycon isoflavone equivalents or placebo for 2.7
years. RESULTS: Overall, mean (95% CI) carotid artery intima-media thickness
progression rate was 4.77 (3.39-6.16) MUm/year in the ISP group and 5.68 (4.30
7.06) MUm/year in the placebo group. Although carotid artery intima-media
thickness progression was reduced on average by 16% in the ISP group relative to
the placebo group, this treatment effect was not statistically significant
(P=0.36). Among the subgroup of women who were randomized within 5 years of
menopause, ISP participants had on average a 68% lower carotid artery intima
media thickness progression rate than placebo participants 2.16 (-1.10 to 5.43)
versus 6.79 (3.56-10.01) MUm/year (P=0.05). ISP supplementation had a null effect
on women who were >5 years beyond menopause when randomized. There were no major
adverse events from ISP supplementation. CONCLUSIONS: ISP supplementation did not
significantly reduce subclinical atherosclerosis progression in postmenopausal
women. Subgroup analysis suggests that ISP supplementation may reduce subclinical
atherosclerosis in healthy young (median age, 53 years) women at low-risk for
cardiovascular disease who were <5 years postmenopausal. These first trial
results of their kind warrant further investigation.
PMID- 21903958
TI - Mild stroke and rapidly improving symptoms: it's not always a happy ending.
PMID- 21903959
TI - Letter by Lee regarding article, "Matrix metalloproteinase-9 in an exploratory
trial of intravenous minocycline for acute stroke".
PMID- 21903960
TI - Letter by Knight et al regarding article, "Validation of in vivo magnetic
resonance imaging blood-brain barrier permeability measurements by comparison
with gold standard histology".
PMID- 21903961
TI - Lung cancer and incidence of stroke: a population-based cohort study.
AB - BACKGROUND AND PURPOSE: Stroke is a known cerebrovascular complication in lung
cancer patients; however, whether lung cancer patients are at elevated risk of
developing stroke relative to the noncancer population remains unclear. METHODS:
The present study used population-based claims data from the Taiwan National
Health Insurance, which identified 52,089 patients with an initial diagnosis of
lung cancer between 1999 and 2007, and 104,178 matched noncancer subjects from
all insured subjects age 20 years and older. Subsequent occurrence of stroke was
measured until 2008, and the association between lung cancer and the hazard of
developing stroke was estimated using Cox proportional hazard models. RESULTS:
The incidence of stroke was 1.5 times higher (25.9 versus 17.4 per 1000 person
years) in the lung cancer group compared with the comparison group. The
multivariate-adjusted hazard ratio (HR) comparing lung cancer patients with the
noncancer group was 1.47 (95% CI, 1.39-1.56) for stroke, 1.78 (95% CI, 1.54-2.05)
for hemorrhagic stroke, and 1.43 (95% CI, 1.34-1.51) for ischemic stroke. The
risk of stroke fell over time, decreasing after 1 year of follow-up for men and
after 2 years of follow-up for women. Within the first year of follow-up, the
risk of stroke peaked during the first 3 months for men and within 4 to 6 months
for women. CONCLUSIONS: Lung cancer is associated with increased risk of
subsequent stroke within 1 year after diagnosis for men and 2 years after
diagnosis for women.
PMID- 21903962
TI - Therapeutic modulation of cerebral microhemorrhage in a mouse model of cerebral
amyloid angiopathy.
AB - BACKGROUND AND PURPOSE: The aging brain demonstrates frequent MRI and
pathological evidence of cerebral microbleeds, which are often associated with
cerebral amyloid angiopathy. To develop new therapeutic strategies for this
disorder, we studied cerebral microhemorrhage in a well-characterized mouse model
of cerebral amyloid angiopathy. METHODS: Tg2576 mice were studied at ages ranging
from 2 to 21 months. Spontaneous and induced microscopic bleeding was analyzed
with and without a passive anti-amyloid immunization regimen and dietary
supplementation of ischemic stroke prevention medication dipyridamole. RESULTS:
Areas of microhemorrhage were easily demonstrated and were significantly more
prominent in the oldest mice and in animals treated with anti-amyloid
immunotherapy. Dipyridamole supplementation in the diet generated plasma levels
>790 ng/mL within the range seen clinically. Dipyridamole treatment did not
worsen frequency and size of cerebral microscopic bleeding. CONCLUSIONS: The
Tg2576 mouse is a useful model to study progression and modification of
spontaneous and immunotherapy-induced cerebral microhemorrhage. Absence of
microhemorrhage worsening with dipyridamole treatment suggests a potential
therapeutic role of this agent when ischemic and microhemorrhagic lesions
coexist.
PMID- 21903963
TI - Neuroprotection by freezing ischemic penumbra evolution without cerebral blood
flow augmentation with a postsynaptic density-95 protein inhibitor.
AB - BACKGROUND AND PURPOSE: The purpose of this study was to determine whether
neuroprotection is feasible without cerebral blood flow augmentation in
experimental permanent middle cerebral artery occlusion. METHODS: Rats were
subjected to permanent middle cerebral artery occlusion by the suture occlusion
method and were treated 1 hour thereafter with a single 5-minute intravenous
infusion of the postsynaptic density-95 protein inhibitor Tat-NR2B9c (7.5 mg/kg)
or saline (n=8/group). Arterial spin-labeled perfusion-weighted MRI and diffusion
weighted MRI were obtained with a 4.7-T Bruker system at 30, 45, 70, 90, 120,
150, and 180 minutes postmiddle cerebral artery occlusion to determine cerebral
blood flow and apparent diffusion coefficient maps, respectively. At 24 hours,
animals were neurologically scored (0 to 5), euthanized, and the brains stained
with 2-3-5-triphenyl tetrazolium chloride to ascertain infarct volumes corrected
for edema. Additionally, the effects of Tat-NR2B9c on adenosine 5'-triphosphate
levels were measured in vitro in neurons subjected to oxygen-glucose deprivation.
RESULTS: Final infarct volume was decreased by 30.3% in the Tat-NR2B9c-treated
animals compared with controls (P=0.028). There was a significant improvement in
24 hours neurological scores in the Tat-NR2B9c group compared with controls,
1.8+/-0.5 and 2.8+/-1.0, respectively (P=0.021). Relative to controls, Tat-NR2B9c
significantly attenuated diffusion-weighted imaging lesion growth and preserved
the diffusion-weighted imaging/perfusion-weighted imaging mismatch (ischemic
penumbra) without affecting cerebral blood flow in the ischemic core or penumbra.
Tat-NR2B9c treatment of primary neuronal cultures resulted in 26% increase in
cell viability and 34% greater adenosine 5'-triphosphate levels after oxygen
glucose deprivation. CONCLUSIONS: Preservation of adenosine 5'-triphosphate
levels in vitro and neuroprotection in permanent middle cerebral artery occlusion
in rats is achievable without cerebral blood flow augmentation using a
postsynaptic density-95 protein inhibitor.
PMID- 21903964
TI - Short-term effect of atorvastatin on carotid artery elasticity: a pilot study.
AB - BACKGROUND AND PURPOSE: Few studies have examined the early effects of statins on
carotid artery elasticity, a potential surrogate marker of cardiovascular risk.
This study examined the short-term effects of atorvastatin 80 mg daily on carotid
elasticity measured by high-resolution B-mode ultrasound. METHODS: The study
included 40 stroke-free and statin-naive subjects older than age 45 (mean age,
70+/-7 years; 55% men; 64% Caribbean-Hispanic). Outcome measures included carotid
stiffness indices at 14 and 30 days after initiation of treatment. The systolic
and diastolic diameters of the right common carotid artery were averaged from
multiple B-mode imaging frames. Absolute and relative changes of strain
[(systolic diameter-diastolic diameter)/diastolic diameter], stiffness (beta) [ln
(systolic/diastolic blood pressure)/strain], and distensibility (1/beta adjusted
for wall thickness) from baseline were compared by the repeated measures t test
and were considered significant at alpha=0.05. RESULTS: Baseline mean stiffness
was 0.08 (95% confidence interval [CI], 0.06-0.10). It significantly decreased at
day 30 to 0.05 (CI, 0.04-0.06; P<0.01). Mean baseline distensibility was 15.25
(CI, 13.18-17.32), increasing significantly at day 30 to 17.23 (CI, 14.01-20.45;
P<0.05). An improvement in distensibility of >=10% from baseline was observed in
29 (73%) subjects. Changes in stiffness and distensibility were maximal among
subjects with baseline low-density lipoprotein levels<130 mg/dL. CONCLUSIONS:
Short-term treatment with high-dose atorvastatin was associated with improvement
in the carotid elasticity metrics. Carotid artery elasticity measured by B-mode
ultrasound is a simple noninvasive measure of arterial wall function and may be a
useful surrogate end point in clinical trials targeting individuals at increased
risk for atherosclerosis.
PMID- 21903965
TI - Prediction of malignant middle cerebral artery infarction using computed
tomography-based intracranial volume reserve measurements.
AB - BACKGROUND AND PURPOSE: Early decompressive surgery in patients with malignant
middle cerebral artery (MCA) infarction improves outcome. Elevation of
intracranial pressure depends on both the space occupying brain edema and the
intracranial volume reserve (cerebrospinal fluid [CSF]). However, CSF volume was
not investigated as a predictor of malignant infarction so far. We hypothesize
that assessment of CSF volume in addition to admission infarct size improves
early prediction of malignant MCA infarction. METHODS: Stroke patients with
carotid-T or MCA main stem occlusion and ischemic lesion (reduced cerebral blood
volume [CBV]) on perfusion CT were considered for the analysis. The end point
malignant MCA infarction was defined by clinical signs of herniation. Volumes of
CSF and CBV lesion were determined on admission. Receiver-operator
characteristics analysis was used to calculate predictive values for radiological
and clinical measurements. RESULTS: Of 52 patients included, 26 (50%) developed
malignant MCA infarction. Age, a decreased level of consciousness on admission,
CBV lesion volume, CSF volume, and the ratio of CBV lesion volume to CSF volume
were significantly different between malignant and nonmalignant groups. The best
predictor of a malignant course was the ratio of CBV lesion volume to CSF volume
with a cut-off value of 0.92 (96.2% sensitivity, 96.2% specificity, 96.2%
positive predictive value, and 96.2% negative predictive value). CONCLUSIONS:
Based on admission native CT and perfusion CT measurements, the ratio of ischemic
lesion volume to CSF volume predicts the development of malignant MCA infarction
with higher accuracy than other known predictors, including ischemic lesion
volume or clinical characteristics.
PMID- 21903966
TI - Assessment of the genetic effects of polymorphisms in the osteoprotegerin gene,
TNFRSF11B, on serum osteoprotegerin levels and carotid plaque vulnerability.
AB - BACKGROUND AND PURPOSE: Osteoprotegerin (OPG) is a secretory glycoprotein which
belongs to the tumor necrosis factor receptor family. Various mechanisms have
been suggested by which calcification might alter atherosclerotic plaque
stability, but the significance of this intimal calcification is controversial.
High concentrations of OPG have been associated with the presence of vascular and
cardiovascular diseases. This study was designed to assess the association
between gene polymorphisms of the OPG gene (TNFRSF11B), the serum OPG level, and
plaque stability in patients with carotid atherosclerosis. METHODS: We studied
177 patients with internal carotid artery stenosis who underwent carotid
endarterectomy and also 303 controls. Carotid endarterectomy samples removed from
patients were assessed by immunohistochemistry. Concentrations of OPG were
measured and gene polymorphisms were examined by polymerase chain reaction and
restriction enzyme analysis and were compared, initially between patients with
carotid atherosclerosis and controls, and subsequently between stable and
unstable carotid plaques. RESULTS: We found that the GG genotype of the T245G
polymorphism, the CC genotype of the T950C polymorphism, and the CC genotype of
the G1181C polymorphism were significantly higher in patients with carotid plaque
than in controls (21.5% versus 10.9% , P<0.01; 15.8% versus 7.6%, P<0.01; and
20.3% versus 10.9%, P<0.01, respectively) and that these polymorphisms were
associated with high serum OPG levels (4.02 [3.07] versus 2.94 [1.81] pmol/L;
P<0.01), which were significantly higher in patients with unstable
atherosclerotic plaques (5.86 [4.02] versus 3.53 [1.87] pmol/L; P<0.01).
CONCLUSIONS: The TNFRSF11B gene polymorphisms studied are associated with high
serum OPG levels and might be potential markers for plaque instability.
PMID- 21903967
TI - Diastolic carotid artery wall shear stress is associated with cerebral infarcts
and periventricular white matter lesions.
AB - BACKGROUND AND PURPOSE: Low wall shear stress (WSS) is an early marker in the
development of vascular lesions. The present study aims to assess the
relationship between diastolic and systolic WSS in the internal carotid artery
and periventricular (PWML), deep white matter lesions, and cerebral infarcts
(CI). METHODS: Early, mid, and late diastolic and peak systolic WSS were derived
from shear rate obtained by gradient echo phase contrast magnetic resonance
sequences multiplied by individually modeled viscosity. PWML, deep white matter
lesions, and CI were derived from proton density (PD), T2, and fluid attenuated
inversion recovery (FLAIR) MRI in 329 participants (70-82 years; PROSPER
baseline). Analyses were adjusted, if appropriate, for age, gender, intracranial
volume, and multiple cardiovascular risk factors. RESULTS: Mid-diastolic WSS was
significantly correlated with the presence of PWML (B=-10.15; P=0.006) and CI (B=
2.06; P=0.044), but not with deep white matter lesions (B=-1.30; P=0.050;
adjusted for age, gender, WML, and intracranial volume). After adjustment for
cardiovascular risk factors, these correlations weakened but remained
significant. Systolic WSS was not correlated with any of the cerebrovascular
parameters. CONCLUSIONS: This study is the first to our knowledge to present a
cross-sectional correlation between carotid artery WSS and cerebrovascular
pathology such as PWML and CI in a large population. Furthermore, it shows that
diastolic hemodynamics may be more important than systolic or mean hemodynamics.
Future studies exploring vascular hemodynamic damage should focus on diastolic
WSS.
PMID- 21903968
TI - The cardioprotective effect of mildronate is diminished after co-treatment with L
carnitine.
AB - Mildronate, an inhibitor of L-carnitine biosynthesis and uptake, is a
cardioprotective drug whose mechanism of action is thought to rely on the changes
in concentration of L-carnitine in heart tissue. In the present study, we
compared the cardioprotective effect of mildronate (100 mg/kg) and a combination
of mildronate and L-carnitine (100 + 100 mg/kg) administered for 14 days with
respect to the observed changes in l-carnitine level and carnitine
palmitoyltransferase I (CPT-I)-dependent fatty acid metabolism in the heart
tissues. Concentrations of L-carnitine and its precursor gamma-butyrobetaine
(GBB) were measured by ultraperformance liquid chromatography with tandem mass
spectrometry. In addition, mitochondrial respiration, activity of CPT-I, and
expression of CPT-IA/B messenger RNA (mRNA) were measured. Isolated rat hearts
were subjected to ischemia-reperfusion injury. Administration of mildronate
induced a 69% decrease in L-carnitine concentration and a 6-fold increase in GBB
concentration in the heart tissue as well as a 27% decrease in CPT-I-dependent
mitochondrial respiration on palmitoyl-coenzyme A. In addition, mildronate
treatment induced a significant reduction in infarct size and also diminished the
ischemia-induced respiration stimulation by exogenous cytochrome c. Treatment
with a combination had no significant impact on L-carnitine concentration, CPT-I
dependent mitochondrial respiration, and infarct size. Our results demonstrated
that the mildronate-induced decrease in L-carnitine concentration, concomitant
decrease in fatty acid transport, and maintenance of the intactness of outer
mitochondrial membrane in heart mitochondria are the key mechanisms of action for
the anti-infarction activity of mildronate.
PMID- 21903969
TI - Reduction in the exposure to being out-of-position among car occupants who used a
sleeping device.
AB - BACKGROUND: This study assesses the impact on safety of a system designed to
enhance sleep in car passengers. The system holds the head posteriorly and limits
its rotation in the sagittal and frontal planes, modifying the occupant's head
position. This device may have an influence on the interaction between the
occupant and the vehicle restraint systems. METHODS: It was a randomised,
prospective, single-blind, cross-over controlled study in which 41 volunteers
were exposed to using the system while riding as car passengers. Whether the
device influenced the posture of the occupants and prevented them from adopting
out-of-position (OOP) configurations was also analysed. Occupants were videotaped
while they were using both the innovative system (cases) and their normal
sleeping device (controls), if any. RESULTS: Controls were exposed to OOP
situations in 825 occasions (18.4%; 95% CI 17.3% to 19.6%), while cases were
exposed in 416 occasions (9.3%; 95% CI 8.4% to 10.2%). The paper also analysed
how many cases and controls were exposed at least once to a particular event and
how frequent a single participant incurred in an OOP situation. In both cases,
the innovative device showed a reduction in exposition. When OOP situations were
grouped into severe, moderate and minor events, the innovative device produced a
statistically significant reduction in the occurrence of severe and moderate
events. CONCLUSIONS: A device originally designed to improve comfort and rest in
car passengers has been found to reduce the exposure of the occupants to being
OOP while resting in the car. TRIAL REGISTRATION NUMBER:
http://www.ClinicalTrials.gov, NCT01062295.
PMID- 21903970
TI - Sarcoidosis presenting as dilated cardiomyopathy.
PMID- 21903971
TI - Serum osteoprotegerin and sex steroid levels in patients with prostate cancer.
AB - The relationship between sex steroids and osteoprotegerin (OPG) in patients with
prostate cancer is not well established. Our aim was to evaluate serum OPG
levels in patients with prostate cancer and its relationship with sex steroids,
bone mineral density, bone turnover markers, and fractures. We performed a cross
sectional study including 91 patients with prostate cancer. We determined: bone
mineral density by dual-energy x-ray absorptiometry, bone turnover markers,
serum levels of sex steroids and osteoprotegerin, and prevalent radiographic
vertebral fractures. Serum OPG levels were higher in patients with vertebral
fractures (8.02 +/- 2.0 vs 4.91 +/- 0.28 pmol/L; P < .05). OPG level and the
duration of hormonal therapy were related (r = 0.299, P = .004), but this
association did not persist after adjustment for age. In patients without
androgen deprivation therapy, serum OPG levels were correlated with the levels
of total testosterone (r = 0.508, P = .001) and bioavailable testosterone (r =
0.311, P = .037). In patients receiving androgen deprivation therapy, serum OPG
levels were correlated with levels of total estradiol (r = 0.199, P = .18),
bioavailable estradiol (r = 0.37, P = .009), and free estradiol (r = 0.349, P =
.016). In conclusion, in patients with prostate cancer treated with androgen
deprivation therapy, serum OPG levels were correlated with the levels of total
estradiol, bioavailable estradiol, and free estradiol. Our hypothesis is that in
patients with androgen deprivation therapy, the higher relative estrogen levels
could stimulate OPG production in response to the higher resorption state.
Future prospective studies are needed to clarify the role of OPG in androgen
deprivation therapy-mediated bone loss.
PMID- 21903972
TI - Association of lactate, intracellular pH, and intracellular calcium during
capacitation and acrosome reaction: contribution of hamster sperm
dihydrolipoamide dehydrogenase, the E3 subunit of pyruvate dehydrogenase complex.
AB - The role of dihydrolipoamide dehydrogenase (DLD), the E3 subunit of the pyruvate
dehydrogenase complex (PDHc) in hamster sperm capacitation and acrosome reaction
has been implicated previously. In this study, attempt has been made to
understand DLD/PDHc involvement from the perspective of pyruvate/lactate
metabolism. Inhibition of DLD was achieved by the use of a specific inhibitor, 5
methoxyindole-2-carboxylic acid. It was seen that 5-methoxyindole-2-carboxylic
acid-treated spermatozoa with inhibited DLD (and PDHc) activity had lactate
accumulation, which caused an initial lowering of the intracellular pH and
calcium and an eventual block in capacitation and acrosome reaction.
Collectively, the data reveal a significant contribution of the metabolic
enzymes DLD and PDHc to lactate regulation in hamster spermatozoa during
capacitation and acrosome reaction. Additionally, the importance of lactate
regulation in the maintenance of sperm intracellular pH and calcium, two
important physiologic factors essential for sperm capacitation and acrosome
reaction, has also been established.
PMID- 21903973
TI - Effect of relaxin on human sperm functions.
AB - Relaxin is a circulating hormone with functions in pregnancy, parturition, and
other aspects of female reproduction. It is also secreted from the prostate
gland into the seminal fluid; however, the role of relaxin in male reproduction
is debated. Studies conducted in the past have suggested possible actions on
human spermatozoa, but the data were contrasting. Here, we show that the relaxin
receptor RXFP1 (Relaxin Family Peptide Receptor 1) is expressed in human
spermatozoa, and it mainly localizes in the astrodome. In vitro studies on human
sperm demonstrated that this hormone attenuates the natural decline in sperm
motility and maintains higher mitochondrial activity and lower apoptosis level.
Furthermore, relaxin induced an increase in sperm hyperactivation, intracellular
calcium and cAMP, and acrosome reaction. These effects were abolished by the use
of the specific anti-RXFP1 antibody. Relaxin concentrations were low in the
blood (x +/- SD, 0.16 +/- 0.03 nM) and very high in the seminal plasma (x +/-
SD, 10.3 +/- 4.0 nM), confirming its secretion mainly by the prostate. Taken
together, these data demonstrate that relaxin influences positively many sperm
functions linked to fertilizing ability, and it preserves sperm functionality,
with possible practical value in assisted reproduction techniques.
PMID- 21903974
TI - Do additional inputs change maximal voluntary motor unit firing rates after
spinal cord injury?
AB - BACKGROUND: Motor unit firing frequencies are low during maximal voluntary
contractions (MVCs) of human thenar muscles impaired by cervical spinal cord
injury (SCI). OBJECTIVE: This study aimed to examine whether thenar motor unit
firing frequencies increase when driven by both maximal voluntary drive and other
concurrent inputs compared with an MVC alone. METHODS: Motor unit firing rates,
force, and surface electromyographic activity (EMG) were compared across 2
contractions: (a) MVC alone and (b) MVC combined with another input (combination
contraction). Other inputs (conditions) included vibration, heat, or cold applied
to the anterior surface of the forearm, electrical stimulation delivered to the
anterior surface of the middle finger, a muscle spasm, or a voluntary contraction
of the contralateral thenar muscles against resistance. RESULTS: The maximal
firing frequency (n = 68 units), force, and electromyographic activity (n = 92
contraction pairs) were all significantly higher during the combined contractions
compared with MVCs alone. There was a 3-way interaction between contraction,
condition, and subject for maximal motor unit firing rates, force, and EMG. Thus,
combined contraction responses were different for conditions across subjects.
Some conditions (eg, a muscle spasm) resulted in more effective and more frequent
responses (increases in unit firing frequency, force, EMG in >50% contractions)
than others. Recruitment of new units also occurred in combined contractions.
CONCLUSIONS: Motoneurons are still responsive to additional afferent inputs from
various sources when rate modulation from voluntary drive is limited by SCI.
Individuals with SCI may be able to combine inputs to control functional tasks
they cannot perform with voluntary drive alone.
PMID- 21903975
TI - Pilot trial of distributed constraint-induced therapy with trunk restraint to
improve poststroke reach to grasp and trunk kinematics.
AB - BACKGROUND: Constraint-induced therapy (CIT) is effective in improving upper
extremity motor function, but evidence is lacking about effectiveness grasp and
trunk control. OBJECTIVE: This study investigated whether distributed CIT
combined with trunk restraint (dCIT + TR) benefited movement kinematics of
grasping and the trunk, as well as motor ability of the upper extremity, more
than dCIT alone. METHODS: A total of 45 stroke participants received 2 hours of
dCIT + TR, dCIT, or the dose-matched control intervention for 3 weeks. Movement
kinematics, motor ability, and daily function were the outcome measures. Movement
kinematics included grasping, joint range, and trunk movement at various phases
of reach-to-grasp tasks. Motor ability and daily function of all participants
were evaluated using the Fugl-Meyer Assessment and the Motor Activity Log.
RESULTS: Four to 5 participants in each group were not included for kinematic
analysis because of their inability to grasp a can. The dCIT + TR group showed
better preplanned grasping movement and less trunk motion at the early phase of
the reach-to-grasp movements than the dCIT or control groups. Compared with the
controls, the dCIT + TR participants showed better motor ability in the overall
and distal arm scores of the Fugl-Meyer Assessment. The dCIT + TR and dCIT
participants demonstrated significantly greater functional use of the affected
arm. CONCLUSIONS: Administering dCIT + TR produced additional benefits by
improving grasping control and reversing the compensatory trunk movement at the
early phase of a reach-to-grasp movement. The use of experimental tasks beyond
and within arm's length might improve our understanding of optimal upper
extremity rehabilitation.
PMID- 21903976
TI - Acquired control of ventral premotor cortex activity by feedback training: an
exploratory real-time FMRI and TMS study.
AB - BACKGROUND: Despite the availability of various options for movement restoration
in stroke patients, there is no effective treatment for patients who show little
or no functional recovery of upper limb motor function. OBJECTIVE: The present
study explored the feasibility of real-time functional magnetic resonance imaging
brain-computer interface (fMRI-BCI) as a new tool for rehabilitation of this
patient population. METHODS: Healthy adults and chronic subcortical stroke
patients with residual movement were trained for 3 days to regulate the blood
oxygenation level dependent (BOLD) response in the ventral premotor cortex (PMv),
a secondary motor area with extensive anatomic connections with the primary motor
cortex. Effect of learned modulation of the PMv was evaluated with BOLD signal
changes across training sessions, transcranial magnetic stimulation (TMS), and a
visuomotor task. RESULTS: fMRI-BCI feedback training showed learning with a
significantly increasing BOLD signal in the PMv over sessions. Participants'
capability to learn self-regulation was found to depend linearly on intracortical
facilitation and correlated negatively with intracortical inhibition measured by
TMS prior to feedback training. After training, intracortical inhibition
decreased significantly with the volitional increase of the BOLD response in the
PMv, indicating a beneficial effect of self-regulation training on motor cortical
output. CONCLUSION: The study provides first evidence for the therapeutic
potential of fMRI-BCI in stroke rehabilitation.
PMID- 21903978
TI - Using a pictorial-modified stroop task to explore the sexual interests of sexual
offenders against children.
AB - The results of two studies are reported examining the utility of a pictorial
modified Stroop task (P-MST) in the assessment of sexual interest in a sample of
nonoffending participants and of sexual offenders against children. A mixed
factorial design was adopted for both. Nine gay and 12 straight participants took
part in the first study which found that participants typically had attentional
bias on the P-MST that was in line with their stated sexual interests. Twenty
four sexual offenders against children and 24 control participants took part in
the second study. Again results indicated that the task was tapping into the
participants' stated sexual interests. Furthermore, extrafamilial offenders and
offenders with an admitted sexual interest in children demonstrated the greatest
mean bias for child stimuli relative to adult stimuli. A cautious interpretation
of the results was recommended, given the sample size in the study, the
heterogeneity of the sample, differences in cognitive speed among offenders and
controls and other methodological caveats.
PMID- 21903979
TI - Using FDA reports to inform a classification for health information technology
safety problems.
AB - OBJECTIVE: To expand an emerging classification for problems with health
information technology (HIT) using reports submitted to the US Food and Drug
Administration Manufacturer and User Facility Device Experience (MAUDE) database.
DESIGN: HIT events submitted to MAUDE were retrieved using a standardized search
strategy. Using an emerging classification with 32 categories of HIT problems, a
subset of relevant events were iteratively analyzed to identify new categories.
Two coders then independently classified the remaining events into one or more
categories. Free-text descriptions were analyzed to identify the consequences of
events. MEASUREMENTS: Descriptive statistics by number of reported problems per
category and by consequence; inter-rater reliability analysis using the kappa
statistic for the major categories and consequences. RESULTS: A search of 899 768
reports from January 2008 to July 2010 yielded 1100 reports about HIT. After
removing duplicate and unrelated reports, 678 reports describing 436 events
remained. The authors identified four new categories to describe problems with
software functionality, system configuration, interface with devices, and network
configuration; the authors' classification with 32 categories of HIT problems was
expanded by the addition of these four categories. Examination of the 436 events
revealed 712 problems, 96% were machine-related, and 4% were problems at the
human-computer interface. Almost half (46%) of the events related to hazardous
circumstances. Of the 46 events (11%) associated with patient harm, four deaths
were linked to HIT problems (0.9% of 436 events). CONCLUSIONS: Only 0.1% of the
MAUDE reports searched were related to HIT. Nevertheless, Food and Drug
Administration reports did prove to be a useful new source of information about
the nature of software problems and their safety implications with potential to
inform strategies for safe design and implementation.
PMID- 21903980
TI - Herpes simplex virus type 2 serological testing and psychosocial harm: a
systematic review.
AB - BACKGROUND: Serological testing for herpes simplex virus (HSV) type 2 in persons
without a history of genital herpes is not recommended, partly because of
concerns that an HSV-2 diagnosis would lead to negative psychosocial sequelae.
This review aimed to examine the evidence regarding the psychosocial effects of
HSV-2 serological testing. METHODS: Eight electronic databases were searched for
empirical studies indexed before March 2010. Abstracts from relevant conferences
were reviewed and senior authors contacted to find unpublished materials.
Eligible studies examined participants without a history of genital herpes who
underwent HSV-2 serological testing and reported data from at least one
quantitative or qualitative psychosocial assessment conducted after receiving HSV
results. RESULTS: Of nine studies that satisfied the inclusion criteria, seven
reported that HSV-2 diagnosis by serological test did not have a persistent
negative impact on 309 participants' mental health or sexual attitude and
satisfaction. Two studies reported a negative impact of testing; one found that
five HSV-2-seropositive college students had increased distress 3 months post
testing compared with HSV-2-negative individuals, and the other found self
reports of sexual undesirability up to 1 year after diagnosis in some people. The
perceived severity of a genital herpes diagnosis was moderately severe for
participants before testing; however, post-testing, the reported severity of a
herpes diagnosis was lower among those testing HSV-2 positive. CONCLUSIONS: HSV-2
diagnosis by type-specific serological testing did not result in long-term
psychosocial harm in most persons without an identified history of genital
herpes. Concerns about sustained emotional impact should not deter clinicians
from offering HSV-2 serological testing to appropriate patients.
PMID- 21903981
TI - The effect of racial origin on total body water volume in peritoneal dialysis
patients.
AB - BACKGROUND AND OBJECTIVES: Peritoneal dialysis adequacy is typically assessed by
urea clearance corrected for total body water (TBW) on the basis of
anthropomorphic equations, which do not readily take into account changes in body
composition, which may vary between ethnic groups. To determine whether ethnicity
could affect estimates of peritoneal dialysis adequacy, we compared TBW estimated
by anthropomorphic equations and that measured by multifrequency bioimpedance
spectroscopy. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: We calculated TBW in
600 healthy adult peritoneal dialysis outpatient attending two tertiary
university hospitals serving an inner-city multiethnic population who had TBW
measured by multifrequency bioimpedance spectroscopy performed. RESULTS: 600
adult peritoneal dialysis patients were studied: mean age, 56.7 +/- 0.6 years;
54.2% men; 29.7% diabetic; mean body mass index, 26.1 +/- 0.2; 47.3% Caucasian;
29.2% South Asian; 12.8% African/Afro-Caribbean. Total body water was calculated
using several anthropomorphic equations and was higher than that calculated
MEASURED BY MF-BIS for all ethnic groups, apart from African/Afro-Caribbeans,
with the greatest difference between Watson calculated TBW and multifrequency
bioelectrical impedance spectroscopy 12.3 +/- 0.6% for the South Asians, 9.0 +/-
2.6% for Far Eastern Asians, 2.8 +/- 0.6% Caucasians, and -0.2 +/- 1.5% for
African/Afro-Caribbeans. CONCLUSIONS: In this United Kingdom-based multiethnic
population, body composition differed particularly for the South Asian patients
compared with Caucasians and African/Afro-Caribbeans. Overestimation of TBW by
anthropomorphic-based equations would lead to a lower calculation of Kt/V(urea),
which may lead to changes in peritoneal dialysis prescription to achieve clinical
standard targets and also affect studies examining the relationship between Kt/V
and survival.
PMID- 21903982
TI - Warfarin in atrial fibrillation patients with moderate chronic kidney disease.
AB - BACKGROUND AND OBJECTIVES: The efficacy of adjusted-dose warfarin for prevention
of stroke in atrial fibrillation patients with stage 3 chronic kidney disease
(CKD) is unknown. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: Patients with
stage 3 CKD participating in the Stroke Prevention in Atrial Fibrillation 3
trials were assessed to determine the effect of warfarin anticoagulation on
stroke and major hemorrhage, and whether CKD status independently contributed to
stroke risk. High-risk participants (n = 1044) in the randomized trial were
assigned to adjusted-dose warfarin (target international normalized ratio 2 to 3)
versus aspirin (325 mg) plus fixed, low-dose warfarin (subsequently shown to be
equivalent to aspirin alone). Low-risk participants (n = 892) all received 325 mg
aspirin daily. The primary outcome was ischemic stroke (96%) or systemic embolism
(4%). RESULTS: Among the 1936 participants in the two trials, 42% (n = 805) had
stage 3 CKD at entry. Considering the 1314 patients not assigned to adjusted-dose
warfarin, the primary event rate was double among those with stage 3 CKD (hazard
ratio 2.0, 95% CI 1.2, 3.3) versus those with a higher estimated GFR (eGFR).
Among the 516 participants with stage 3 CKD included in the randomized trial,
ischemic stroke/systemic embolism was reduced 76% (95% CI 42, 90; P < 0.001) by
adjusted-dose warfarin compared with aspirin/low-dose warfarin; there was no
difference in major hemorrhage (5 patients versus 6 patients, respectively).
CONCLUSIONS: Among atrial fibrillation patients participating in the Stroke
Prevention in Atrial Fibrillation III trials, stage 3 CKD was associated with
higher rates of ischemic stroke/systemic embolism. Adjusted-dose warfarin
markedly reduced ischemic stroke/systemic embolism in high-risk atrial
fibrillation patients with stage 3 CKD.
PMID- 21903985
TI - The 2010 nephrology quiz and questionnaire: part 2.
AB - Presentation of the Nephrology Quiz and Questionnaire (NQQ) has become an annual
"tradition" at the meetings of the American Society of Nephrology. It is a very
popular session judged by consistently large attendance. Members of the audience
test their knowledge and judgment on a series of case-oriented questions prepared
and discussed by experts. They can also compare their answers in real time, using
audience response devices, to those of program directors of nephrology training
programs in the United States, acquired through an Internet-based questionnaire.
As in the past, the topics covered were transplantation, fluid and electrolyte
disorders, end-stage renal disease and dialysis, and glomerular disorders. Two
challenging cases representing each of these categories along with single best
answer questions were prepared by a panel of experts (Drs. Hricik, Palmer,
Bargman, and Fervenza, respectively). The "correct" and "incorrect" answers then
were briefly discussed, after the audience responses and the results of the
questionnaire were displayed. The 2010 version of the NQQ was exceptionally
challenging, and the audience, for the first time, gained a better overall
correct answer score than the program directors, but the margin was small. Last
month we presented the transplantation and fluid and the electrolyte cases; in
this issue we present the remaining end-stage renal disease and dialysis and the
glomerular disorder cases. These articles try to recapitulate the session and
reproduce its educational value for a larger audience--that of the readers of the
Clinical Journal of the American Society of Nephrology. Have fun.
PMID- 21903983
TI - Cardiac magnetic resonance assessment of left ventricular mass in autosomal
dominant polycystic kidney disease.
AB - BACKGROUND AND OBJECTIVES: Autosomal dominant polycystic kidney disease (ADPKD)
is associated with a substantial cardiovascular disease burden including early
onset hypertension, intracranial aneurysms, and left ventricular hypertrophy
(LVH). A 41% prevalence of LVH has been reported in ADPKD, using
echocardiographic assessment of LV mass (LVM). The HALT PKD study was designed to
assess the effect of intensive angiotensin blockade on progression of total
kidney volume and LVM. Measurements of LVM were performed using cardiac magnetic
resonance (MR). DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: Five hundred forty
three hypertensive patients with GFR >60 ml/min per 1.73 m(2) underwent MR
assessment of LVM at baseline. LVM was adjusted for body surface area and
expressed as LVM index (LVMI; g/m(2)). RESULTS: Baseline BP was 125.1 +/-
14.5/79.3 +/- 11.6 mmHg. Average duration of hypertension was 5.79 years. Prior
use of angiotensin-converting enzyme inhibitors or angiotensin receptor blockers
was present in 59.5% of patients. The prevalence of LVH assessed using nonindexed
LVM (g) was 3.9% (n = 21, eight men and 13 women) and 0.93% (n = 5, one man and
four women) using LVMI (g/m(2)). In exploratory analyses, the prevalence of LVH
using LVM indexed to H(2.7), and the allometric index ppLVmass(HW), ranged from
0.74% to 2.23% (n = 4 to 12). Multivariate regression showed significant direct
associations of LVMI with systolic BP, serum creatinine, and albuminuria;
significant inverse associations with LVMI were found with age and female gender.
CONCLUSIONS: The prevalence of LVH in hypertensive ADPKD patients <50 years of
age with short duration of hypertension, and prior use of angiotensin-converting
enzyme inhibitors/angiotensin receptor blockers is low. Early BP intervention in
ADPKD may have decreased LVH and may potentially decrease cardiovascular
mortality.
PMID- 21903984
TI - Tolvaptan in autosomal dominant polycystic kidney disease: three years'
experience.
AB - BACKGROUND AND OBJECTIVES: Autosomal dominant polycystic kidney disease (ADPKD),
a frequent cause of end-stage renal disease, has no cure. V2-specific vasopressin
receptor antagonists delay disease progression in animal models. DESIGN, SETTING,
PARTICIPANTS, AND MEASUREMENTS: This is a prospectively designed analysis of
annual total kidney volume (TKV) and thrice annual estimated GFR (eGFR)
measurements, from two 3-year studies of tolvaptan in 63 ADPKD subjects randomly
matched 1:2 to historical controls by gender, hypertension, age, and baseline TKV
or eGFR. Prespecified end points were group differences in log-TKV (primary) and
eGFR (secondary) slopes for month 36 completers, using linear mixed model (LMM)
analysis. Sensitivity analyses of primary and secondary end points included LMM
using all subject data and mixed model repeated measures (MMRM) of change from
baseline at each year. Pearson correlation tested the association between log-TKV
and eGFR changes. RESULTS: Fifty-one subjects (81%) completed 3 years of
tolvaptan therapy; all experienced adverse events (AEs), with AEs accounting for
six of 12 withdrawals. Baseline TKV (controls 1422, tolvaptan 1635 ml) and eGFR
(both 62 ml/min per 1.73 m(2)) were similar. Control TKV increased 5.8% versus
1.7%/yr for tolvaptan (P < 0.001, estimated ratio of geometric mean 0.96 [95%
confidence interval 0.95 to 0.97]). Corresponding annualized eGFR declined: -2.1
versus -0.71 ml/min per 1.73 m(2)/yr (P = 0.01, LMM group difference 1.1 ml/min
per 1.73 m(2)/yr [95% confidence interval 0.24 to 1.9]). Sensitivity analyses
including withdrawn subjects were similar, whereas MMRM analyses were significant
at each year for TKV and nonsignificant for eGFR. Increasing TKV correlated with
decreasing eGFR (r = -0.21, P < 0.01). CONCLUSION: ADPKD cyst growth progresses
more slowly with tolvaptan than in historical controls, but AEs are common.
PMID- 21903986
TI - Interaction of time-varying albumin and phosphorus on mortality in incident
dialysis patients.
AB - BACKGROUND AND OBJECTIVES: Hypoalbuminemia and hyperphosphatemia have been shown
to be strong predictors of mortality in dialysis patients that might not be
independent from each other. We prospectively investigated the relationship and
interaction between serum albumin and phosphorus with all-cause mortality in an
inception cohort of incident dialysis patients. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: We followed 235 incident dialysis patients in a prospective single
center cohort study (INVOR study) applying a time-dependent Cox proportional
hazards model using all measured laboratory values (2887 albumin and 10306
phosphorus values). RESULTS: Eighty-two patients (35%) died during a median
follow-up of 35.1 months. Albumin was inversely associated with mortality (hazard
ratio [95% confidence interval]: 0.23 [0.14 to 0.36]; P < 0.001), whereas higher
phosphorus concentrations showed a trend to an increasing risk for mortality
(hazard ratio 1.57 [95% confidence interval 0.97 to 2.54]; P = 0.07).
Importantly, we observed a significant interaction between albumin and phosphorus
(P = 0.01). The lowest risk was found with concurrent low phosphorus and high
albumin values, whereas risk was increased with either concurrent low phosphorus
and low albumin values or high phosphorus and high albumin values. CONCLUSIONS:
In incident dialysis patients the associations of serum phosphorus and albumin
concentrations with mortality are modified by each other over time. Phosphorus
lowering interventions that concomitantly can cause a fall in serum albumin level
may be harmful and warrant additional studies. If confirmed, epidemiologic
studies and therapeutic guidelines aiming for target values should consider this
interplay.
PMID- 21903987
TI - Glomerular hyperfiltration and renal progression in children with autosomal
dominant polycystic kidney disease.
AB - BACKGROUND AND OBJECTIVES: The purpose of this study was to determine whether
glomerular hyperfiltration (GH) occurring early in autosomal dominant polycystic
kidney disease (ADPKD) is indicative of more rapid disease progression in
children. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: One hundred eighty
children with ADPKD (ages 4 to 18 years) with normal renal function were examined
by renal ultrasound. Renal volume was calculated using a standard formula for a
modified ellipsoid. Creatinine clearance was calculated from serum creatinine and
24-hour urine creatinine. GH was defined as creatinine clearance >=140 ml/min per
1.73 m(2). RESULTS: Thirty-two children had GH (mean age 11.4 +/- 3.6 years) and
148 had normal renal function (mean age 10.8 +/- 3.9 years). Patients with GH at
baseline demonstrated an increased rate of total renal volume growth (beta: rate
of change = +19.3 +/- 10.8 cm(3)/year) over 5 years compared with those without
GH at baseline (beta = -4.3 +/- 7.7 cm(3)/year), P = 0.008. Those with GH at
baseline experienced a faster decline in creatinine clearance in subsequent years
(beta = -5.0 +/- 0.8 ml/min per 1.73 m(2) per year) compared with those without
GH at baseline (beta = +1.0 +/- 0.4 ml/min per 1.73 m(2) per year), P < 0.0001.
CONCLUSIONS: This study revealed that occurrence of GH in ADPKD children is
associated with a significantly faster decline in renal function and higher rate
of kidney enlargement over time. GH combined with the increased renal volume may
therefore be used as an early marker for a more severe progression of ADPKD in
children.
PMID- 21903988
TI - Acute kidney injury episodes and chronic kidney disease risk in diabetes
mellitus.
AB - BACKGROUND AND OBJECTIVES: Prior studies have examined long-term outcomes of a
single acute kidney injury (AKI) event in hospitalized patients. We examined the
effects of AKI episodes during multiple hospitalizations on the risk of chronic
kidney disease (CKD) in a cohort with diabetes mellitus (DM). DESIGN, SETTING,
PARTICIPANTS, & MEASUREMENTS: A total of 4082 diabetics were followed from
January 1999 until December 2008. The primary outcome was reaching stage 4 CKD
(GFR of <30 ml/min per 1.73 m(2)). AKI during hospitalization was defined as >0.3
mg/dl or a 1.5-fold increase in creatinine relative to admission. Cox survival
models examined the effect of first AKI episode and up to three episodes as time
dependent covariates, on the risk of stage 4 CKD. Covariates included demographic
variables, baseline creatinine, and diagnoses of comorbidities including
proteinuria. RESULTS: Of the 3679 patients who met eligibility criteria (mean age
= 61.7 years [SD, 11.2]; mean baseline creatinine = 1.10 mg/dl [SD, 0.3]), 1822
required at least one hospitalization during the time under observation (mean =
61.2 months [SD, 25]). Five hundred thirty of 1822 patients experienced one AKI
episode; 157 of 530 experienced >=2 AKI episodes. In multivariable Cox
proportional hazards models, any AKI versus no AKI was a risk factor for stage 4
CKD (hazard ratio [HR], 3.56; 95% confidence interval [CI], 2.76, 4.61); each AKI
episode doubled that risk (HR, 2.02; 95% CI, 1.78, 2.30). CONCLUSIONS: AKI
episodes are associated with a cumulative risk for developing advanced CKD in
diabetes mellitus, independent of other major risk factors of progression.
PMID- 21903989
TI - Effect of dialysis modality on survival of hepatitis C-infected ESRF patients.
AB - BACKGROUND AND OBJECTIVES: Hepatitis C virus (HCV) infection is associated with
increased mortality and morbidity in end-stage renal failure (ESRF) patients.
Despite a lower incidence and risk of transmission of HCV infection with
peritoneal dialysis (PD), the optimal dialysis modality for HCV-infected ESRF
patients is not known. The aim of this study was to evaluate the impact of
dialysis modality on the survival of HCV-infected ESRF patients. DESIGN, SETTING,
PARTICIPANTS, & MEASUREMENTS: The study included all adult incident ESRF patients
in Australia and New Zealand who commenced dialysis between January 1, 1994, and
December 31, 2008, and were HCV antibody-positive at the time of dialysis
commencement. Time to all-cause mortality was compared between hemodialysis (HD)
and PD according to modality assignment at day 90, using Cox proportional hazards
model analysis. RESULTS: A total of 424 HCV-infected ESRF patients commenced
dialysis during the study period and survived for at least 90 days (PD n = 134;
HD n = 290). Mortality rates were comparable between PD and HD in the first year
(10.7 versus 13.8 deaths per 100 patient-years, respectively; adjusted hazard
ratio [HR] 0.65, 95% CI 0.34 to 1.26) and thereafter (20 versus 15.9 deaths per
100 patient-years, respectively; HR 1.27, 95% CI 0.86 to 1.88). CONCLUSIONS: The
survival of HCV-infected ESRF patients is comparable between PD and HD.
PMID- 21903991
TI - Phosphate REINs in the renoprotective benefit of ACE inhibition.
PMID- 21903990
TI - Fibroblast growth factor 23 in patients undergoing peritoneal dialysis.
AB - BACKGROUND AND OBJECTIVES: Fibroblast growth factor 23 (FGF23) is an independent
risk factor for mortality in patients with ESRD. Before FGF23 testing can be
integrated into clinical practice of ESRD, further understanding of its
determinants is needed. DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: In a study
of 67 adults undergoing peritoneal dialysis, we tested the hypothesis that longer
dialysis vintage and lower residual renal function and renal phosphate clearance
are associated with higher FGF23. We also compared the monthly variability of
FGF23 versus parathyroid hormone (PTH) and serum phosphate. RESULTS: In
unadjusted analyses, FGF23 correlated with serum phosphate (r = 0.66, P < 0.001),
residual renal function (r = -0.37, P = 0.002), dialysis vintage (r = 0.31, P =
0.01), and renal phosphate clearance (r = -0.38, P = 0.008). In adjusted
analyses, absence of residual renal function and greater dialysis vintage
associated with higher FGF23, independent of demographics, laboratory values,
peritoneal dialysis modality and adequacy, and treatment with vitamin D analogs
and phosphate binders. Urinary and dialysate FGF23 clearances were minimal. In
three serial monthly measurements, within-subject variability accounted for only
10% of total FGF23 variability compared with 50% for PTH and 60% for serum
phosphate. CONCLUSIONS: Increased serum phosphate, loss of residual renal
function, longer dialysis vintage, and lower renal phosphate clearance are
associated with elevated FGF23 levels in ESRD patients undergoing peritoneal
dialysis. FGF23 may be a more stable marker of phosphate metabolism in ESRD than
PTH or serum phosphate.
PMID- 21903992
TI - Membranous nephropathy with crescents.
AB - Membranous nephropathy is a common cause of nephrotic syndrome in adults and can
be primary or secondary to systemic lupus erythematosus, chronic infection, or
drugs. Rapid decline in renal function in patients with membranous nephropathy
may be due to renal vein thrombosis, malignant hypertension, or an additional
superimposed destructive process involving the renal parenchyma. Crescents are
rare in primary membranous nephropathy and thus suggest another underlying
disease process, such as combined membranous and focal or diffuse lupus
nephritis. However, in some patients with membranous nephropathy and crescents,
the crescentic lesion may be due to a distinct, separate disease process, such as
anti-glomerular basement membrane antibodies or anti-neutrophil cytoplasmic
antibodies-related pauci-immune glomerulonephritis. Here we describe a case with
such renal biopsy findings, review previous reported cases, and discuss possible
implications for pathogenesis of the coexistence of these lesions.
PMID- 21903994
TI - Autoantibodies: what's in their teeth?
PMID- 21903993
TI - AMPK potentiates hypertonicity-induced apoptosis by suppressing NFkappaB/COX-2 in
medullary interstitial cells.
AB - Cells residing in the hypertonic, hypoxic renal medulla depend on dynamic
adaptation mechanisms to respond to changes in energy supply and demand. The
serine/threonine kinase 5'-AMP protein kinase (AMPK) is a sensor of cellular
energy status, but whether it contributes to the survival of cells in the renal
medulla is unknown. Here, hypertonic conditions induced a decrease in AMPK
phosphorylation within 12 hours in renal medullary interstitial cells (RMIC),
followed by a gradual return to baseline levels. Activation of AMPK markedly
increased hypertonicity-induced apoptosis of RMICs and suppressed both
hypertonicity-induced NFkappaB nuclear translocation and cyclooxygenase-2 (COX-2)
activation; overexpression of COX-2 significantly attenuated these effects. AMPK
activation also markedly reduced generation of reactive oxygen species and
nuclear expression of tonicity-responsive enhancer-binding protein, which
prevented upregulation of osmoprotective genes. In vivo, pharmacologic activation
of AMPK led to massive apoptosis of RMICs and renal dysfunction in the setting of
water deprivation in mice. Taken together, these results identify a critical role
for AMPK in the maintenance of RMIC viability and suggest that AMPK modulates the
NFkappaB-COX-2 survival pathway in the renal medulla. Furthermore, this study
raises safety concerns for the development of AMPK activators as anti-diabetic
drugs, especially for patients prone to dehydration.
PMID- 21903995
TI - Exome sequencing reveals cubilin mutation as a single-gene cause of proteinuria.
AB - In two siblings of consanguineous parents with intermittent nephrotic-range
proteinuria, we identified a homozygous deleterious frameshift mutation in the
gene CUBN, which encodes cubulin, using exome capture and massively parallel re
sequencing. The mutation segregated with affected members of this family and was
absent from 92 healthy individuals, thereby identifying a recessive mutation in
CUBN as the single-gene cause of proteinuria in this sibship. Cubulin mutations
cause a hereditary form of megaloblastic anemia secondary to vitamin B(12)
deficiency, and proteinuria occurs in 50% of cases since cubilin is coreceptor
for both the intestinal vitamin B(12)-intrinsic factor complex and the tubular
reabsorption of protein in the proximal tubule. In summary, we report successful
use of exome capture and massively parallel re-sequencing to identify a rare,
single-gene cause of nephropathy.
PMID- 21903996
TI - Mineralocorticoid receptor mutations and a severe recessive
pseudohypoaldosteronism type 1.
AB - Pseudohypoaldosteronism type 1 (PHA1) is a rare genetic disease of
mineralocorticoid resistance characterized by salt wasting and failure to thrive
in infancy. Here we describe the first case of a newborn with severe recessive
PHA1 caused by two heterozygous mutations in NR3C2, gene coding for the
mineralocorticoid receptor (MR). Independent segregation of the mutations
occurred in the family, with p.Ser166X being transmitted from the affected father
and p.Trp806X from the asymptomatic mother Whereas the truncated MR(166X) protein
was degraded, MR(806X) was expressed both at the mRNA and protein level.
Functional studies demonstrated that despite its inability to bind aldosterone,
MR(806X) had partial ligand-independent transcriptional activity. Partial nuclear
localization of MR(806X) in the absence of hormone was identified as a
prerequisite to initiate transcription. This exceptional case broadens the
spectrum of clinical phenotypes of PHA1 and demonstrates that minimal residual
activity of MR is compatible with life. It also suggests that rare hypomorphic
NR3C2 alleles may be more common than expected from the prevalence of detected
PHA1 cases. This might prove relevant for patient's care in neonatal salt losing
disorders and may affect renal salt handling and blood pressure in the general
population.
PMID- 21903997
TI - Current therapy for IgA nephropathy.
AB - IgA nephropathy (IgAN) is a very common glomerulonephritis worldwide. In this
review, we discuss therapeutic options in four clinical scenarios encountered in
patients with IgAN: first is the patient with minor urinary abnormalities where
the mainstay of treatment is long-term, regular follow-up to detect renal
progression and hypertension. Second is the typical patient presenting with
microhematuria, significant but non-nephrotic proteinuria, hypertension, and
variable degrees of renal failure. Here the mainstay of treatment is optimized
supportive care. If this does not lower proteinuria below 1 g/d, corticosteroid
monotherapy may be effective, as long as the GFR is above 50 ml/min. There is
insufficient data to advocate the use of other immunosuppressive drugs or even
combination therapy in such patients. Third is the atypical patient with overt
nephrotic syndrome, or acute or rapidly progressive kidney injury where a
possible vasculitic form of IgAN should be sought and, if present, treated with
immunosuppression. In other atypical patients with secondary IgAN, treatment
should target the underlying primary disease. And fourth is the transplanted
patient with recurrent IgAN where the mainstay of treatment is optimized
supportive care.
PMID- 21903998
TI - Is there something better than the best marker of kidney function?
PMID- 21903999
TI - Reliability, validity, and precision of a handheld myometer for assessing in vivo
muscle stiffness.
PMID- 21904000
TI - Talocrural joint mobilization's lack of effect on postural control in healthy
subjects.
PMID- 21904001
TI - The effect of bone-bruise lesions on pain in patients with traumatic knee injury.
PMID- 21904002
TI - Risk of nutrient inadequacies in elite Canadian athletes with spinal cord injury.
AB - Energy intakes of adults with spinal cord injury (SCI) have been reported to be
relatively low, with many micronutrients below recommended amounts, but little is
known about the diets of athletes with SCI. The purpose of this cross-sectional,
observational study was to assess energy intakes and estimate the prevalence of
dietary inadequacy in a sample of elite Canadian athletes with SCI (n = 32).
Three-day self-reported food diaries completed at home and training camp were
analyzed for energy (kcal), macronutrients, vitamins, and minerals and compared
with the dietary reference intakes (DRIs). The prevalence of nutrient inadequacy
was estimated by the proportion of athletes with mean intakes below the estimated
average requirement (EAR). Energy intakes were 2,156 +/- 431 kcal for men and
1,991 +/- 510 kcal for women. Macronutrient intakes were within the acceptable
macronutrient distribution ranges. While at training camp, >25% of men had
intakes below the EAR for calcium, magnesium, zinc, riboflavin, folate, vitamin
B12, and vitamin D. Thiamin, riboflavin, calcium, and vitamin D intakes were
higher at home than training camp. Over 25% of women had intakes below the EAR
for calcium, magnesium, folate, and vitamin D, with no significant differences in
mean intakes between home and training camp. Vitamin/mineral supplement use
significantly increased men's intakes of most nutrients but did not affect
prevalence of inadequacy. Women's intakes did not change significantly with
vitamin/mineral supplementation. These results demonstrate that athletes with SCI
are at risk for several nutrient inadequacies relative to the DRIs.
PMID- 21904003
TI - The effect of preoperative quadriceps strength on strength and function after
anterior cruciate ligament reconstruction.
PMID- 21904004
TI - Effect of therapeutic exercise versus manual therapy on athletes with chronic low
back pain.
AB - Rehabilitation professionals treat individuals suffering from chronic low back
pain (CLBP) using a variety of treatment approaches including manual therapy and
the prescription of therapeutic exercises. The use of manual therapy,
specifically joint mobilization of the lumbar spine, may significantly decrease a
patient's pain and contribute to improvement in his or her functioning. Exercise
may also improve pain and functioning, with some patients reporting gains up to 1
year after the last treatment session.
PMID- 21904005
TI - Nutrition for synchronized swimming: a review.
AB - Synchronized swimming enjoys worldwide popularity and has been part of the formal
Olympic program since 1984. Despite this, relatively little research has been
conducted on participant nutrition practices and requirements, and there are
significant gaps in the knowledge base despite the numerous areas in which
nutrition could affect performance and safety. This review aimed to summarize
current findings and identify areas requiring further research. Uniform physique
in team or duet events may be more important than absolute values for muscularity
or body fat, but a lean and athletic appearance remains key. Synchronized
swimmers appear to have an increased risk of developing eating disorders, and
there is evidence of delayed menarche, menstrual dysfunction, and lower bone
density relative to population norms. Dietary practices remain relatively
unknown, but micronutrient status for iron and magnesium may be compromised. More
research is required across all aspects of nutrition status, anthropometry, and
physiology, and both sports nutrition and sports medicine support may be required
to reduce risks for participants.
PMID- 21904006
TI - Peroneal reaction time and ankle sprain risk in healthy adults: a critically
appraised topic.
PMID- 21904007
TI - Effectiveness of corticosteroids in the treatment of lateral epicondylosis.
PMID- 21904008
TI - On the estimate of the two dominant axes of the knee using an instrumented
spatial linkage.
AB - This article presents the validation of a technique to assess the appropriateness
of a 2 degree-of-freedom model for the human knee, and, in which case, the
dominant axes of flexion/extension and internal/external longitudinal rotation
are estimated. The technique relies on the use of an instrumented spatial linkage
for the accurate detection of passive knee kinematics, and it is based on the
assumption that points on the longitudinal rotation axis describe nearly circular
and planar trajectories, whereas the flexion/extension axis is perpendicular to
those trajectories through their centers of rotation. By manually enforcing a
tibia rotation while bending the knee in flexion, a standard optimization
algorithm is used to estimate the approximate axis of longitudinal rotation, and
the axis of flexion is estimated consequently. The proposed technique is
validated through simulated data and experimentally applied on a 2 degree-of
freedom mechanical joint. A procedure is proposed to verify the fixed axes
assumption for the knee model. The suggested methodology could be possibly
valuable in understanding knee kinematics, and in particular for the design and
implant of customized hinged external fixators, which have shown to be effective
in knee dislocation treatment and rehabilitation.
PMID- 21904010
TI - Fracture resistance and analysis of stress distribution of implant-supported
single zirconium ceramic coping combination with abutments made of different
materials.
AB - The purpose of this study was to compare the fracture resistance and fracture
mode of single implant-zirconium coping combinations using zirconium and titanium
abutments and to analyze the stress distribution pattern using three-dimensional
finite elements analysis. Twenty implants with titanium and zirconium abutments
were randomly divided into two groups (n = 10) and into resin blocks. Zirconium
copings were cemented onto the abutments. The specimens were loaded with 135
degrees angles to the long axis and the load values at the moment of failure
were recorded using a universal test machine. Stress levels were calculated
according to the maximum Von Mises criteria. The fracture resistances for
titanium and zirconium abutment groups were 525.65 N and 514.05 N, respectively.
No significant differences were observed between two groups regarding the
fracture resistance levels. The maximum Von Mises equivalent stress concentrated
on zirconium copings in both of the groups. Implant-abutment-ZrO2 coping
combination has the potential to withstand physiological occlusal forces in the
anterior region. Three-dimensional finite elements analysis results of the
implant-abutment-ZrO2 coping combination is compatible with the results of
fracture resistance.
PMID- 21904011
TI - The effect of cost function on optimum technique of the undersomersault on
parallel bars.
AB - The undersomersault, or felge, to handstand on parallel bars has become an
important skill in Men's Artistic Gymnastics as it forms the basis of many
complex variations. To receive no deductions from the judges, the undersomersault
must be performed without demonstrating the use of strength to achieve the final
handstand position. Two male gymnasts each performed nine undersomersaults from
handstand to handstand while data were recorded using an automatic motion capture
system. The highest and lowest scoring trials of each gymnast, as determined by
four international judges, were chosen for further analysis. Three optimization
criteria were used to generate undersomersault technique during the swing phase
of the skill using a computer simulation model: minimization of peak joint
torques, minimization of horizontal velocity before release, and maximization of
angular momentum. The techniques used by both gymnasts could be explained using
the second optimization criterion which facilitated further skill development.
The first optimization criterion generated a technique advocated for beginners
where strength might be expected to be a limiting factor. The third optimization
criterion resulted in a different type of undersomersault movement of greater
difficulty according to the FIG Code of Points.
PMID- 21904012
TI - Kinetic analysis of ski turns based on measured ground reaction forces.
AB - The objective of this study was to devise a method of kinetic analysis of the
ground reaction force that enables the durations and magnitudes of forces acting
during the individual phases of ski turns to be described exactly. The method is
based on a theoretical analysis of physical forces acting during the ski turn.
Two elementary phases were defined: (1) preparing to turn (initiation) and (2)
actual turning, during which the center of gravity of the skier-ski system moves
along a curvilinear trajectory (steering). The starting point of the turn
analysis is a dynamometric record of the resultant acting ground reaction force
applied perpendicularly on the ski surface. The method was applied to six expert
skiers. They completed a slalom course comprising five gates arranged on the fall
line of a 26 degrees slope at a competition speed using symmetrical carving
turns (30 evaluated turns). A dynamometric measurement system was placed on the
carving skis (168 cm long, radius 16 m, data were recorded at 100 Hz). MATLAB
procedures were used to evaluate eight variables during each turn: five time
variables and three force variables. Comparison of the turn analysis results
between individuals showed that the method is useful for answering various
research questions associated with ski turns.
PMID- 21904013
TI - Qualitative bacteriology in malignant wounds--a prospective, randomized,
clinical study to compare the effect of honey and silver dressings.
AB - Between 5% and 10% of cancer patients develop malignant wounds. In vitro and
some clinical studies suggest that silver- or honey-coated dressings may have an
antibacterial effect in nonmalignant wounds, but their possible antibacterial
effect in malignant wounds remains unknown. A prospective, randomized, single
blind controlled clinical study was conducted to evaluate the bacteriology of
malignant wounds and compare the effect of a honey-coated (Group A) to a silver
coated (Group B) dressing on the qualitative bacteriology of malignant wounds.
All wound interventions were performed by the same healthcare professional. Swab
cultures were obtained at baseline and following a 4-week intervention and were
evaluated without information about the patient treatment group. Of the 75
patients with advanced cancer and malignant wounds identified, 67 (34 in group A,
33 in group B; median age 64 years, range 47-92) consented to participate and
completed the 4-week study. The majority were women (88%) with breast cancer
(79%). No statistically significant differences were found between the type and
number of different wound pathogens in the wounds during the course of the study
or between Group A and Group B. Neither anti-neoplastic nor antibiotic treatment
influenced the presence of wound pathogens. Staphylococci were found in 42%,
enteric bacteria in 34%, anaerobic bacteria in 16%, Pseudomonas in 10%, and
hemolytic streptococci in 6% of wounds at baseline; in total, 25 different
bacterial species were identified. Sixty-one percent (61%) of wounds decreased in
size following treatment, but no significant differences were observed between
the type and variety of wound pathogens and whether wound size decreased.
Although quantitative bacteriological changes may have occurred, the possible
antibacterial effect of the honey or silver dressing could not be confirmed in
these malignant wounds. Routine wound swabbing of malignant wounds is of little
value and should be restricted to cases where signs of infection requiring
antibiotic intervention are observed or where resistant organisms require special
infection control measures.
PMID- 21904014
TI - The effect of autologous bone marrow-derived cells on healing chronic lower
extremity wounds: results of a randomized controlled study.
AB - Case studies suggest that bone marrow-derived stem cells may improve chronic
wound healing. A prospective, randomized, clinical study was conducted to compare
the rate of healing chronic lower limb wounds in patients with diabetes mellitus
whose wounds were treated with topically applied and locally injected bone marrow
derived cells or whole blood (control). Of the 48 patients participating in the
study, 25 were randomized to study treatment and 23 to control treatment. At
baseline, no significant differences were observed between the two groups for
patient age (average for treatment group was 54 years, 3 months; range 33 to 76
years and for the control group 58 years, 7 months; range 28 to 69 years),
comorbidity (82% in the treatment group and 78% in the control group had diabetes
mellitus), ulcer history (mean duration was 14.28 months in the treatment group
and 10.21 months in the control group; SD 0.28), or baseline area (mean was 65.32
cm2 in the treatment group and 48.83 cm2 in the control group). After obtaining
informed consent, all wounds were surgically debrided. Wounds of study
participants randomized to the treatment group were injected and oversprayed with
a total of 5 cc of autologous bone marrow-derived cells. Using a similar
procedure, the wounds of patients randomized to the control group were injected
with 5 cc of autologous peripheral blood. All wounds were covered with saline
moistened gauze and cotton pads. Patients were followed for a maximum of 3
months. The average decrease in wound area at 2 weeks was 17.4% (39.6-43.4 cm2)
in the treatment group compared to 4.84% (41.6-42.8 cm2) in the control group.
After 12 weeks, the average decrease in wound area was 36.4% (SD 0.48) in the
treatment group compared to 27.32% (SD 0.32) in the control group. No adverse
events were observed. None of the patients complained of significant pain or
discomfort following the procedure, no wound infections occurred, and all
patients reported resumption of normal daily activity the day after the
procedure. The results of this study show that a single application of autologous
bone marrow-derived cells increases the rate of healing chronic lower extremity
wounds in the early weeks of treatment. Additional studies to elucidate the
treatment mode of action and optimal application frequency as well as comparisons
between this and other treatment modalities are warranted.
PMID- 21904015
TI - Bactericidal effect of ultraviolet C (UVC), direct and filtered through
transparent plastic, on gram-positive cocci: an in vitro study.
AB - The prevalence of wound infections caused by multidrug-resistant (MDR) bacteria
is increasing along with concern about widespread use of antibiotics. In vitro
studies have shown that ultraviolet radiation, especially UVC, is both an
effective bactericidal and antifungal. However, evidence about its bactericidal
effect on wounds covered with transparent dressings remains inconclusive.
Transparent dressings are used to retain moisture over the wound as part of an
intermittent negative pressure dressing-the Limited Access Dressing (LAD)
technique. Because this dressing is designed to remain in place for a number of
days, an in vitro study was conducted to explore the bactericidal effect of
direct and indirect UVR through a transparent 0.15-mm thick transparent polythene
sheet on Gram-positive cocci. Six bacterial strains were inoculated to sheep
blood agar (SBA) plates and exposed to direct and filtered UVC (254 nm) for 5,
10, 15, 20, 25, and 30 seconds with one media serving as a control (no UVC
exposure). Plates were subsequently incubated for 24 hours and bacterial growth
observed. Each set of experiment was repeated three times. Direct UVC was shown
to have good bactericidal effect (100% eradication of organisms inoculated) at
durations ranging from a minimum of 5 seconds (methicillin-resistant, coagulase
negative Staphylococcus and Streptococcus pyogenes) to a maximum of 15 seconds
(methicillin-susceptible Staphylococcus aureus and Enterococci species). No
bactericidal effect was observed when UVC was filtered through a 0.15-mm thick
transparent polythene sheet. The results confirm the bactericidal effect of UVC
in vitro and suggest that this effect can be achieved after a very short period
of time. At the same time, film dressings appear to filter UVC. This may help
protect skin from exposure to UVC but also limits its utility for use with the
LAD technique. In vivo studies to evaluate the shortest effective UVC treatment
duration and follow-up clinical studies to ascertain treatment efficacy and
effectiveness are needed.
PMID- 21904016
TI - The effect of collagenase on ischemic wound healing: results of an in vivo study
.
AB - Many chronic wounds have a limited blood supply and contain necrotic tissue that
must be debrided. The effect of collagenase, an enzymatic debriding agent, has
been studied in acute but not in chronic wounds. The purpose of this in vivo
study is to evaluate the effect of collagenase on wound healing in ischemic
wounds. The ears of eight young New Zealand White rabbits were rendered ischemic
by ligation of caudal and central arteries and dermal circulation
circumferentially so both ears were perfused only by the rostral artery,
preserving the caudal, central, and rostra veins. Three 6-mm, full-thickness
dermal punches were made on the inner surface of both ears down to perichondrium.
One ear on each rabbit was treated with either collagenase or petrolatum ointment
covered with a semi-occlusive dressing; wounds on the other ear of the same
rabbit were covered with a semi-occlusive dressing only (control). On post
wounding day 8, wound samples were collected and processed for histological
analysis of reepithelialization (epithelial gap, percentage healed, epithelial
height, and epidermal area) and granulation tissue formation (peak height,
granulation tissue distance, and area). Within-animal comparison showed no
significant differences between the petrolatum and control wounds but epithelial
height, epidermal area, wound peak height, and granulation tissue distance and
area were significantly different between the collagenase and control-treated
wounds. Between-animal comparison of petrolatum- and collagenase-covered wounds
showed statistically significant (P <0.05) differences for the following
outcomes: epithelial gap, percenatge healed, epithelial height, epidermal area,
wound peak height, and granulation tissue distance and area. In this ischemic
wound model, outcomes for most of the variables associated with healing were
significantly better in wounds covered with collagenase and a semi-occlusive
dressing than in the control or petrolatum group. Additional in vivo studies are
warranted to confirm these results.
PMID- 21904017
TI - A new in vivo test method to compare wound dressing fluid handling
characteristics and wear time.
AB - A refined in vivo artificial wound model utilizing artificial wound fluid (AWF)
was developed to overcome important limitations such as adhesive-skin
interactions, movement, pressure, shear, and varying environmental conditions in
in vitro models. Using this model, a study with primary endpoints of wear time
and fluid handling capacity was conducted to compare two foam dressings: a high
performance (HPF) dressing and an adhesive dressing (AAF). A 10-cm(2) artificial
wound bed, created using a nonstick absorbent pad, was applied to the lower back
of 24 healthy volunteers and the tip of a 23-gauge catheter was inserted into the
pad to administer AWF. The pad and catheter were secured in place with paper tape
and covered with the test dressings. This model and 1.0-mL AWF infusions every 12
hours was used to simulate highly exudating wounds. The HPF dressing absorbed 75%
more fluid before failure and remained intact for a median of 6.1 days compared
to 3.5 days for the AAF dressing (P <0.001, Cox proportional hazard model).
Comparisons between dressing outcomes using this model and previously published
in vitro results suggest the model may be valid and reliable. Studies to
ascertain the ability of this model to predict clinical dressing performance and
research to compare other wound outcomes that affect dressing change frequency
and cost (eg, healing) are needed.
PMID- 21904018
TI - Evidence-informed leg ulcer care: a cohort study comparing outcomes of
individuals choosing nurse-led clinic or home care.
AB - Clinicians are interested in options for delivering community-based wound care.
Studies have largely focused on nurse clinics as an alternative to people
receiving wound care at home. A prospective, two-arm, cohort study of individuals
who requested and received their preference for community-based leg ulcer care
delivered in either their home or in a nurse-led clinic was conducted to explore
the relationship between choice of care location and health outcomes. Provision
of care was similar-ie, care was guided by an evidence-informed protocol and a
trained nursing team. Individual and system level outcomes were compared between
the groups, including 3-month healing rates, 1-year ulcer recurrence rate, time
free of ulcers, health-related quality of life (HRQL), satisfaction with care,
and resource use. Following the baseline assessment, data were collected every 3
months until healing occurred plus a 1-year follow-up to monitor recurrence. Of
the 104 participants (average age 67.5 years), 56 chose home and 48 chose clinic
based care. More than half (55%) of the participants were men, 53.8% had an ulcer
<5 cm(2) and <6 months' duration, 84% were English-speaking, and 51.9% had a
previous episode of ulceration. No significant sociodemographic, HRQL, or
clinical characteristic differences were found between groups at baseline. A
trend toward improved healing for those choosing clinic care was observed (3
month healing rates at home were 48.1% versus clinic care 66.7%, P = 0.07) but
neither healing outcome nor other variables, including resource utilization, were
significantly different and post-hoc analysis revealed only 48% power to detect a
difference in healing. The results confirm previous research indicating that the
organization rather than the location of care is most important; however,
observed differences in healing rates pose an important question for future
inquiry regarding the potential impact of choice and whether the quality of care
is standardized and delivered by the same team. The concept of providing
individuals/families with the choice of care location warrants further research.
PMID- 21904019
TI - Optimizing a widely used protein structure alignment measure in expected
polynomial time.
AB - Protein structure alignment is an important tool in many biological applications,
such as protein evolution studies, protein structure modeling, and structure
based, computer-aided drug design. Protein structure alignment is also one of the
most challenging problems in computational molecular biology, due to an infinite
number of possible spatial orientations of any two protein structures. We study
one of the most commonly used measures of pairwise protein structure similarity,
defined as the number of pairs of atoms in two proteins that can be superimposed
under a predefined distance cutoff. We prove that the expected running time of a
recently published algorithm for optimizing this (and some other, derived
measures of protein structure similarity) is polynomial.
PMID- 21904020
TI - Breath biomarkers in environmental health science: exploring patterns in the
human exposome.
AB - The human genome is the counterpart to the human exposome with respect to the
gene * environment interaction that describes health state and outcome. The
genome has already been sequenced and is in the process of being assessed for
specific functionality; to similarly decode the exposome will require the
measurement and interpretation of suites of biomarker compounds in biological
media such as blood, breath and urine. Of these, exhaled breath provides some
important advantages for community or population-based studies in that the supply
is essentially unlimited, the sampling procedures are non-invasive and can be
self-administered, and there are little, if any, infectious wastes generated. The
main concerns are to document a variety of compounds in breath, to assess what
compounds and concentrations are considered statistically 'normal' in the healthy
or unremarkably exposed population, and what graphic and mathematical approaches
can be applied to assess outlier measurements as perturbations to the healthy
exposome. In this paper, we explore a data set of exhaled breath measurements of
exogenous exposures to jet fuel and develop summary statistics and variable
clustering methods to establish between-group and intrinsic within-sample
patterns that could be used to assess the status of random subjects.
PMID- 21904021
TI - Lack of consistent intracranial pressure pulse morphological changes during
episodes of microdialysis lactate/pyruvate ratio increase.
AB - Lactate/pyruvate ratio (LPR) from microdialysis is a well-established marker of
cerebral metabolic crisis. For brain injury patients, abnormally high LPR could
indicate cerebral ischemia or failure of O(2) uptake. However, there is a debate
on the primary factor responsible for LPR increase. Exploiting the potential of
using the morphology of a high temporal resolution signal such as intracranial
pulse (ICP) to characterize cerebrovascular changes, a data analysis experiment
is taken to test whether consistent changes in ICP pulse morphological metrics
accompany the LPR increase. We studied 3517 h of LPR and continuous ICP data from
19 severe traumatic brain injury patients. Our morphological clustering and
analysis of intracranial pressure (MOCAIP) algorithm was applied to ICP pulses,
which were matched in time to the LPR measurements, and 128 pulse morphological
metrics were extracted. We automatically identified the episodes of LPR increases
using a moving time window of 10-20 h. We then studied the trending patterns of
each of the 128 ICP MOCAIP metrics within these identified periods and determined
them to be one of the following three types: increasing, decreasing or no trend.
A binomial test was employed to investigate whether any MOCAIP metrics show a
consistent trend among all episodes of LPR increase per patient. Regardless of
the selected values for different parameters of the proposed method, for the
majority of the subjects in the study (78%), none of the ICP metrics show any
consistent trend during the episodes of LPR increase. Even for the few subjects
who have at least one ICP metric with a consistent trend during the LPR increase
episodes, the number of such metrics is small and varies from subject to subject.
Given the fact that ICP pulse morphology is influenced by the cerebral
vasculature, our results suggest that a dominant cerebral vascular cause may be
behind the changes in LPR when LPR trends correlate with ICP pulse morphological
changes. However, the incidence of such correlation seems to be low.
PMID- 21904022
TI - Validation of weighted frequency-difference EIT using a three-dimensional
hemisphere model and phantom.
AB - Frequency-difference (FD) electrical impedance tomography (EIT) using a weighted
voltage difference has recently been proposed for imaging haemorrhagic stroke,
abdominal bleeding and tumors. Although its feasibility was demonstrated through
two-dimensional numerical simulations and phantom experiments, we should validate
the method in three-dimensional imaging objects. At the same time, we need to
investigate its robustness against geometrical modeling errors in boundary shapes
and electrode positions. We performed a validation study of the weighted FD
method through three-dimensional numerical simulations and phantom experiments.
Adopting hemispherical models and phantoms whose admittivity distributions change
with frequency, we investigated the performance of the method to detect an
anomaly. We found that the simple FD method fails to detect the anomaly, whereas
reconstructed images using the weighted FD method clearly visualize the anomaly.
The weighted FD method is robust against modeling errors of boundary-shape
deformations and displaced electrode positions. We also found that the method is
capable of detecting an anomaly surrounded by a shell-shaped obstacle simulating
the skull. We propose the weighted FD method for future studies of animal and
human experiments.
PMID- 21904023
TI - Non-invasive measurement of local pulse pressure by pulse wave-based ultrasound
manometry (PWUM).
AB - Central blood pressure (CBP) has been established as a relevant indicator of
cardiovascular disease. Despite its significance, CBP remains particularly
challenging to measure in standard clinical practice. The objective of this study
is to introduce pulse wave-based ultrasound manometry (PWUM) as a simple-to-use,
non-invasive ultrasound-based method for quantitative measurement of the central
pulse pressure. Arterial wall displacements are estimated using radiofrequency
ultrasound signals acquired at high frame rates and the pulse pressure waveform
is estimated using both the distension waveform and the local pulse wave
velocity. The method was tested on the abdominal aorta of 11 healthy subjects
(age 35.7 +/- 16 y.o.). PWUM pulse pressure measurements were compared to those
obtained by radial applanation tonometry using a commercial system. The average
intra-subject variability of the pulse pressure amplitude was found to be equal
to 4.2 mmHg, demonstrating good reproducibility of the method. Excellent
correlation was found between the waveforms obtained by PWUM and those obtained
by tonometry in all subjects (0.94 < r < 0.98). A significant bias of 4.7 mmHg
was found between PWUM and tonometry. PWUM is a highly translational method that
can be easily integrated in clinical ultrasound imaging systems. It provides an
estimate of the pulse pressure waveform at the imaged location, and may offer
therefore the possibility to estimate the pulse pressure at different arterial
sites. Future developments include the validation of the method against invasive
estimates on patients, as well as its application to other large arteries.
PMID- 21904024
TI - Controlling cell adhesion via replication of laser micro/nano-textured surfaces
on polymers.
AB - The aim of this study is to investigate cell adhesion and viability on highly
rough polymeric surfaces with gradient roughness ratios and wettabilities
prepared by microreplication of laser micro/nano-textured Si surfaces. Negative
replicas on polydimethylsiloxane as well as positive ones on a photocurable
(organically modified ceramic) and a biodegradable (poly(lactide-co-glycolide))
polymer have been successfully reproduced. The final culture substrates comprised
from forests of micron-sized conical spikes exhibiting a range of roughness
ratios and wettabilities, was achieved by changing the laser fluence used to
fabricate the original template surfaces. Cell culture experiments were performed
with the fibroblast NIH/3T3 and PC12 neuronal cell lines in order to investigate
how these surfaces are capable of modulating different types of cellular
responses including, viability, adhesion and morphology. The results showed a
preferential adhesion of both cell types on the microstructured surfaces compared
to the unstructured ones. In particular, the fibroblast NIH/3T3 cells show
optimal adhesion for small roughness ratios, independent of the surface
wettability and polymer type, indicating a non-monotonic dependence of cell
adhesion on surface energy. In contrast, the PC12 cells were observed to adhere
well to the patterned surfaces independent of the roughness ratio and
wettability. These experimental findings are correlated with micromechanical
measurements performed on the unstructured and replicated surfaces and discussed
on the basis of previous observations describing the relation of cell response to
surface energy and rigidity.
PMID- 21904025
TI - Fabrication of tissue engineering scaffolds through solid-state foaming of
immiscible polymer blends.
AB - In scaffold-based tissue engineering, the fabrication process is important for
producing suitable microstructures for seeded cells to grow and reformulate. In
this paper, we present a new approach to scaffold fabrication by combining the
solid-state foaming and the immiscible polymer-blending method. The proposed
approach has the advantage of being versatile and able to create a wide range of
pore size and porosity. The proposed method is studied with polylactic acid (PLA)
and polystyrene (PS) blends. The interconnected porous structure was created by
first foaming the PLA/PS blend and then extracting the PS phase. The solid-state
foaming experiments were conducted under various conditions to achieve the
desired pore sizes. It is shown that the PS phase of the PLA/PS blend can be
extracted much faster in the foamed samples and the pore size of the scaffolds
can be easily controlled with proper gas foaming parameters. The average pore
size achieved in the foaming process ranged from 20 to 70 um. After PS
extraction, both pore size and porosity can be further improved. For example, the
pore size and porosity increased from 48 um and 49% to 59 um and 67%,
respectively, after the PS extraction process. The fabricated porous scaffolds
were used to culture human osteoblast cells. Cells grew well and gradually formed
a fibrous structure. The combined solid-state foaming and immiscible polymer
blending method provides a new technique for fabricating tissue-engineering
scaffolds.
PMID- 21904026
TI - Pico- and femtosecond laser-induced crosslinking of protein microstructures:
evaluation of processability and bioactivity.
AB - This study reports the pico- and femtosecond laser-induced photocrosslinking of
protein microstructures. The capabilities of a picosecond Nd:YAG laser to promote
multiphoton excited crosslinking of proteins were evaluated by fabricating 2D and
3D microstructures of avidin, bovine serum albumin (BSA) and biotinylated bovine
serum albumin (bBSA). The multiphoton absorption-induced photocrosslinking of
proteins was demonstrated here for the first time with a non-toxic biomolecule
flavin mononucleotide (FMN) as the photosensitizer. Sub-micrometer and micrometer
scale structures were fabricated from several different compositions of protein
and photosensitizer by varying the average laser power and scanning speed in
order to determine the optimal process parameters for efficient
photocrosslinking. In addition, the retention of ligand-binding ability of the
crosslinked protein structures was shown by fluorescence imaging of immobilized
biotin or streptavidin conjugated fluorescence labels. The surface topography and
the resolution of the protein patterns fabricated with the Nd:YAG laser were
compared to the results obtained with a femtosecond Ti:Sapphire laser. Quite
similar grain characteristics and comparable feature sizes were achieved with
both laser sources, which demonstrates the utility of the low-cost Nd:YAG
microlaser for direct laser writing of protein microstructures.
PMID- 21904027
TI - In-plate protein crystallization, in situ ligand soaking and X-ray diffraction.
AB - X-ray crystallography is now a recognized technique for ligand screening,
especially for fragment-based drug design. However, protein crystal handling is
still tedious and limits further automation. An alternative method for the
solution of crystal structures of proteins in complex with small ligands is
proposed. Crystallization drops are directly exposed to an X-ray beam after
cocrystallization or soaking with the desired ligands. The use of dedicated
plates in connection with an optimal parametrization of the G-rob robot allows
efficient data collection. Three proteins currently under study in our laboratory
for ligand screening by X-ray crystallography were used as validation test cases.
The protein crystals belonged to different space groups, including a challenging
monoclinic case. The resulting diffraction data can lead to clear ligand
recognition, including indication of alternating conformations. These results
demonstrate a possible method for automation of ligand screening by X-ray
crystallography.
PMID- 21904028
TI - Sixty years from discovery to solution: crystal structure of bovine liver
catalase form III.
AB - The crystallization and structural characterization of bovine liver catalase
(BLC) has been intensively studied for decades. Forms I and II of BLC have
previously been fully characterized using single-crystal X-ray diffraction. Form
III has previously been analyzed by electron microscopy, but owing to the
thinness of this crystal form an X-ray crystal structure had not been determined.
Here, the crystal structure of form III of BLC is presented in space group
P2(1)2(1)2(1), with unit-cell parameters a = 68.7, b = 173.7, c = 186.3 A. The
asymmetric unit is composed of the biological tetramer, which is packed in a
tetrahedron motif with three other BLC tetramers. This higher resolution
structure has allowed an assessment of the previously published electron
microscopy studies.
PMID- 21904029
TI - Inhibitor-bound structures of human pyruvate dehydrogenase kinase 4.
AB - The mitochondrial pyruvate dehydrogenase complex (PDC) catalyzes the oxidative
decarboxylation of pyruvate to acetyl-CoA. PDC activity is tightly regulated by
four members of a family of pyruvate dehydrogenase kinase isoforms (PDK1-4),
which phosphorylate and inactivate PDC. Recently, the development of specific
inhibitors of PDK4 has become an especially important focus for the
pharmaceutical management of diabetes and obesity. In this study, crystal
structures of human PDK4 complexed with either AMPPNP, ADP or the inhibitor
M77976 were determined. ADP-bound PDK4 has a slightly wider active-site cleft and
a more disordered ATP lid compared with AMPPNP-bound PDK4, although both forms of
PDK4 assume open conformations with a wider active-site cleft than that in the
closed conformation of the previously reported ADP-bound PDK2 structure. M77976
binds to the ATP-binding pocket of PDK4 and causes local conformational changes
with complete disordering of the ATP lid. M77976 binding also leads to a large
domain rearrangement that further expands the active-site cleft of PDK4 compared
with the ADP- and AMPPNP-bound forms. Biochemical analyses revealed that M77976
inhibits PDK4 with increased potency compared with the previously characterized
PDK inhibitor radicicol. Thus, the present structures demonstrate for the first
time the flexible and dynamic aspects of PDK4 in the open conformation and
provide a basis for the development of novel inhibitors targeting the nucleotide
binding pocket of PDK4.
PMID- 21904030
TI - Location and conformation of pantothenate and its derivatives in Mycobacterium
tuberculosis pantothenate kinase: insights into enzyme action.
AB - Previous studies of complexes of Mycobacterium tuberculosis PanK (MtPanK) with
nucleotide diphosphates and nonhydrolysable analogues of nucleoside triphosphates
in the presence or the absence of pantothenate established that the enzyme has
dual specificity for ATP and GTP, revealed the unusual movement of ligands during
enzyme action and provided information on the effect of pantothenate on the
location and conformation of the nucleotides at the beginning and the end of
enzyme action. The X-ray analyses of the binary complexes of MtPanK with
pantothenate, pantothenol and N-nonylpantothenamide reported here demonstrate
that in the absence of nucleotide these ligands occupy, with a somewhat open
conformation, a location similar to that occupied by phosphopantothenate in the
'end' complexes, which differs distinctly from the location of pantothenate in
the closed conformation in the ternary 'initiation' complexes. The conformation
and the location of the nucleotide were also different in the initiation and end
complexes. An invariant arginine appears to play a critical role in the movement
of ligands that takes place during enzyme action. The work presented here
completes the description of the locations and conformations of nucleoside
diphosphates and triphosphates and pantothenate in different binary and ternary
complexes, and suggests a structural rationale for the movement of ligands during
enzyme action. The present investigation also suggests that N
alkylpantothenamides could be phosphorylated by the enzyme in the same manner as
pantothenate.
PMID- 21904031
TI - Structure of trifunctional THI20 from yeast.
AB - In a recently characterized thiamin-salvage pathway, thiamin-degradation products
are hydrolyzed by thiaminase II, yielding 4-amino-5-hydroxymethyl-2
methylpyrimidine (HMP). This compound is an intermediate in thiamin biosynthesis
that, once phosphorylated by an HMP kinase, can be used to synthesize thiamin
monophosphate. Here, the crystal structure of Saccharomyces cerevisiae THI20, a
trifunctional enzyme containing an N-terminal HMP kinase/HMP-P kinase (ThiD-like)
domain and a C-terminal thiaminase II (TenA-like) domain, is presented.
Comparison to structures of the monofunctional enzymes reveals that while the
ThiD-like dimer observed in THI20 resembles other ThiD structures, the TenA-like
domain, which is tetrameric in all previously reported structures, forms a dimer.
Similarly, the active site of the ThiD-like domain of THI20 is highly similar to
other known ThiD enzymes, while the TenA-like active site shows unique features
compared with previously structurally characterized TenAs. In addition, a survey
of known TenA structures revealed two structural classes, both of which have
distinct conserved features. The TenA domain of THI20 possesses some features of
both classes, consistent with its ability to hydrolyze both thiamin and the
thiamin-degradation product 2-methyl-4-amino-5-aminomethylpyrimidine.
PMID- 21904033
TI - Accelerating ab initio phasing with de novo models.
AB - Ab initio phasing is one of the remaining challenges in protein crystallography.
Recent progress in computational structure prediction has enabled the generation
of de novo models with high enough accuracy to solve the phase problem ab initio.
This 'ab initio phasing with de novo models' method first generates a huge number
of de novo models and then selects some lowest energy models to solve the phase
problem using molecular replacement. The amount of CPU time required is huge even
for small proteins and this has limited the utility of this method. Here, an
approach is described that significantly reduces the computing time required to
perform ab initio phasing with de novo models. Instead of performing molecular
replacement after the completion of all models, molecular replacement is
initiated during the course of each simulation. The approach principally focuses
on avoiding the refinement of the best and the worst models and terminating the
entire simulation early once suitable models for phasing have been obtained. In a
benchmark data set of 20 proteins, this method is over two orders of magnitude
faster than the conventional approach. It was observed that in most cases
molecular-replacement solutions were determined soon after the coarse-grained
models were turned into full-atom representations. It was also found that all
atom refinement was hardly able to change the models sufficiently to enable
successful molecular replacement if the coarse-grained models were not very close
to the native structure. Therefore, it remains critical to generate good-quality
coarse-grained models to enable subsequent all-atom refinement for successful ab
initio phasing by molecular replacement.
PMID- 21904032
TI - Dark progression reveals slow timescales for radiation damage between T = 180 and
240 K.
AB - Can radiation damage to protein crystals be 'outrun' by collecting a structural
data set before damage is manifested? Recent experiments using ultra-intense
pulses from a free-electron laser show that the answer is yes. Here, evidence is
presented that significant reductions in global damage at temperatures above 200
K may be possible using conventional X-ray sources and current or soon-to-be
available detectors. Specifically, 'dark progression' (an increase in damage with
time after the X-rays have been turned off) was observed at temperatures between
180 and 240 K and on timescales from 200 to 1200 s. This allowed estimation of
the temperature-dependent timescale for damage. The rate of dark progression is
consistent with an Arrhenius law with an activation energy of 14 kJ mol(-1). This
is comparable to the activation energy for the solvent-coupled diffusive damage
processes responsible for the rapid increase in radiation sensitivity as crystals
are warmed above the glass transition near 200 K. Analysis suggests that at T =
300 K data-collection times of the order of 1 s (and longer at lower
temperatures) may allow significant reductions in global radiation damage,
facilitating structure solution on crystals with liquid solvent. No dark
progression was observed below T = 180 K, indicating that no important damage
process is slowed through this timescale window in this temperature range.
PMID- 21904035
TI - Structure of a tropomyosin N-terminal fragment at 0.98 A resolution.
AB - Tropomyosin (TM) is an elongated two-chain protein that binds along actin
filaments. Important binding sites are localized in the N-terminus of
tropomyosin. The structure of the N-terminus of the long muscle alpha-TM has been
solved by both NMR and X-ray crystallography. Only the NMR structure of the N
terminus of the short nonmuscle alpha-TM is available. Here, the crystal
structure of the N-terminus of the short nonmuscle alpha-TM (alphaTm1bZip) at a
resolution of 0.98 A is reported, which was solved from crystals belonging to
space group P3(1) with unit-cell parameters a = b = 33.00, c = 52.03 A, alpha =
beta = 90, gamma = 120 degrees . The first five N-terminal residues are flexible
and residues 6-35 form an alpha-helical coiled coil. The overall fold and the
secondary structure of the crystal structure of alphaTM1bZip are highly similar
to the NMR structure and the atomic coordinates of the corresponding C(alpha)
atoms between the two structures superimpose with a root-mean-square deviation of
0.60 A. The crystal structure validates the NMR structure, with the positions of
the side chains being determined precisely in our structure.
PMID- 21904034
TI - Streptavidin and its biotin complex at atomic resolution.
AB - Atomic resolution crystallographic studies of streptavidin and its biotin complex
have been carried out at 1.03 and 0.95 A, respectively. The wild-type protein
crystallized with a tetramer in the asymmetric unit, while the crystals of the
biotin complex contained two subunits in the asymmetric unit. Comparison of the
six subunits shows the various ways in which the protein accommodates ligand
binding and different crystal-packing environments. Conformational variation is
found in each of the polypeptide loops connecting the eight strands in the beta
sandwich subunit, but the largest differences are found in the flexible binding
loop (residues 45-52). In three of the unliganded subunits the loop is in an
'open' conformation, while in the two subunits binding biotin, as well as in one
of the unliganded subunits, this loop 'closes' over the biotin-binding site. The
'closed' loop contributes to the protein's high affinity for biotin. Analysis of
the anisotropic displacement parameters included in the crystallographic models
is consistent with the variation found in the loop structures and the view that
the dynamic nature of the protein structure contributes to the ability of the
protein to bind biotin so tightly.
PMID- 21904036
TI - Structure of RiVax: a recombinant ricin vaccine.
AB - RiVax is a recombinant protein that is currently under clinical development as
part of a human vaccine to protect against ricin poisoning. RiVax includes ricin
A-chain (RTA) residues 1-267 with two intentional amino-acid substitutions, V76M
and Y80A, aimed at reducing toxicity. Here, the crystal structure of RiVax was
solved to 2.1 A resolution and it was shown that it is superposable with that of
the ricin toxin A-chain from Ricinus communis with a root-mean-square deviation
of 0.6 A over 258 C(alpha) atoms. The RiVax structure is also compared with the
recently determined structure of another potential ricin-vaccine immunogen, RTA 1
33/44-198 R48C/T77C. Finally, the locations and solvent-exposure of two toxin
neutralizing B-cell epitopes were examined and it was found that these epitopes
are within or near regions predicted to be involved in catalysis. The results
demonstrate the composition of the RiVax clinical material and will guide ongoing
protein-engineering strategies to develop improved immunogens.
PMID- 21904037
TI - Structural genomics of infectious disease drug targets: the SSGCID.
AB - The Seattle Structural Genomics Center for Infectious Disease (SSGCID) is a
consortium of researchers at Seattle BioMed, Emerald BioStructures, the
University of Washington and Pacific Northwest National Laboratory that was
established to apply structural genomics approaches to drug targets from
infectious disease organisms. The SSGCID is currently funded over a five-year
period by the National Institute of Allergy and Infectious Diseases (NIAID) to
determine the three-dimensional structures of 400 proteins from a variety of
Category A, B and C pathogens. Target selection engages the infectious disease
research and drug-therapy communities to identify drug targets, essential
enzymes, virulence factors and vaccine candidates of biomedical relevance to
combat infectious diseases. The protein-expression systems, purified proteins,
ligand screens and three-dimensional structures produced by SSGCID constitute a
valuable resource for drug-discovery research, all of which is made freely
available to the greater scientific community. This issue of Acta
Crystallographica Section F, entirely devoted to the work of the SSGCID, covers
the details of the high-throughput pipeline and presents a series of structures
from a broad array of pathogenic organisms. Here, a background is provided on the
structural genomics of infectious disease, the essential components of the SSGCID
pipeline are discussed and a survey of progress to date is presented.
PMID- 21904038
TI - Gene Composer in a structural genomics environment.
AB - The structural genomics effort at the Seattle Structural Genomics Center for
Infectious Disease (SSGCID) requires the manipulation of large numbers of amino
acid sequences and the underlying DNA sequences which are to be cloned into
expression vectors. To improve efficiency in high-throughput protein structure
determination, a database software package, Gene Composer, has been developed
which facilitates the information-rich design of protein constructs and their
underlying gene sequences. With its modular workflow design and numerous
graphical user interfaces, Gene Composer enables researchers to perform all
common bioinformatics steps used in modern structure-guided protein engineering
and synthetic gene engineering. An example of the structure determination of H1N1
RNA-dependent RNA polymerase PB2 subunit is given.
PMID- 21904039
TI - Gene design, cloning and protein-expression methods for high-value targets at the
Seattle Structural Genomics Center for Infectious Disease.
AB - Any structural genomics endeavor, particularly ambitious ones such as the NIAID
funded Seattle Structural Genomics Center for Infectious Disease (SSGCID) and
Center for Structural Genomics of Infectious Disease (CSGID), face technical
challenges at all points of the production pipeline. One salvage strategy
employed by SSGCID is combined gene engineering and structure-guided construct
design to overcome challenges at the levels of protein expression and protein
crystallization. Multiple constructs of each target are cloned in parallel using
Polymerase Incomplete Primer Extension cloning and small-scale expressions of
these are rapidly analyzed by capillary electrophoresis. Using the methods
reported here, which have proven particularly useful for high-value targets,
otherwise intractable targets can be resolved.
PMID- 21904040
TI - Immobilized metal-affinity chromatography protein-recovery screening is
predictive of crystallographic structure success.
AB - The recombinant expression of soluble proteins in Escherichia coli continues to
be a major bottleneck in structural genomics. The establishment of reliable
protocols for the performance of small-scale expression and solubility testing is
an essential component of structural genomic pipelines. The SSGCID Protein
Production Group at the University of Washington (UW-PPG) has developed a high
throughput screening (HTS) protocol for the measurement of protein recovery from
immobilized metal-affinity chromatography (IMAC) which predicts successful
purification of hexahistidine-tagged proteins. The protocol is based on manual
transfer of samples using multichannel pipettors and 96-well plates and does not
depend on the use of robotic platforms. This protocol has been applied to
evaluate the expression and solubility of more than 4000 proteins expressed in E.
coli. The UW-PPG also screens large-scale preparations for recovery from IMAC
prior to purification. Analysis of these results show that our low-cost non
automated approach is a reliable method for the HTS demands typical of large
structural genomic projects. This paper provides a detailed description of these
protocols and statistical analysis of the SSGCID screening results. The results
demonstrate that screening for proteins that yield high recovery after IMAC, both
after small-scale and large-scale expression, improves the selection of proteins
that can be successfully purified and will yield a crystal structure.
PMID- 21904041
TI - Expression of proteins in Escherichia coli as fusions with maltose-binding
protein to rescue non-expressed targets in a high-throughput protein-expression
and purification pipeline.
AB - Despite recent advances, the expression of heterologous proteins in Escherichia
coli for crystallization remains a nontrivial challenge. The present study
investigates the efficacy of maltose-binding protein (MBP) fusion as a general
strategy for rescuing the expression of target proteins. From a group of sequence
verified clones with undetectable levels of protein expression in an E. coli T7
expression system, 95 clones representing 16 phylogenetically diverse organisms
were selected for recloning into a chimeric expression vector with an N-terminal
histidine-tagged MBP. PCR-amplified inserts were annealed into an identical
ligation-independent cloning region in an MBP-fusion vector and were analyzed for
expression and solubility by high-throughput nickel-affinity binding. This
approach yielded detectable expression of 72% of the clones; soluble expression
was visible in 62%. However, the solubility of most proteins was marginal to poor
upon cleavage of the MBP tag. This study offers large-scale evidence that MBP can
improve the soluble expression of previously non-expressing proteins from a
variety of eukaryotic and prokaryotic organisms. While the behavior of the
cleaved proteins was disappointing, further refinements in MBP tagging may permit
the more widespread use of MBP-fusion proteins in crystallographic studies.
PMID- 21904042
TI - High-throughput protein production and purification at the Seattle Structural
Genomics Center for Infectious Disease.
AB - The establishment of an efficient and reliable protein-purification pipeline is
essential for the success of structural genomic projects. The SSGCID Protein
Purification Group at the University of Washington (UW-PPG) has established a
robust protein-purification pipeline designed to purify 400 proteins per year at
a rate of eight purifications per week. The pipeline was implemented using two
AKTAexplorer 100 s and four AKTAprimes to perform immobilized metal-affinity
chromatography (IMAC) and size-exclusion chromatography. Purifications were
completed in a period of 5 d and yielded an average of 53 mg highly purified
protein. This paper provides a detailed description of the methods used to
purify, characterize and store SSGCID proteins. Some of the purified proteins
were treated with 3C protease, which was expressed and purified by UW-PPG using a
similar protocol, to cleave non-native six-histidine tags. The cleavage was
successful in 94% of 214 attempts. Cleaved proteins yielded 2.9% more structures
than uncleaved six-histidine-tagged proteins. This 2.9% improvement may seem
small, but over the course of the project the structure output from UW-PPG is
thus predicted to increase from 260 structures to 318 structures. Therefore, the
outlined protocol with 3C cleavage and subtractive IMAC has been shown to be a
highly efficient method for the standardized purification of recombinant proteins
for structure determination via X-ray crystallography.
PMID- 21904043
TI - The Protein Maker: an automated system for high-throughput parallel purification.
AB - The Protein Maker is an automated purification system developed by Emerald
BioSystems for high-throughput parallel purification of proteins and antibodies.
This instrument allows multiple load, wash and elution buffers to be used in
parallel along independent lines for up to 24 individual samples. To demonstrate
its utility, its use in the purification of five recombinant PB2 C-terminal
domains from various subtypes of the influenza A virus is described. Three of
these constructs crystallized and one diffracted X-rays to sufficient resolution
for structure determination and deposition in the Protein Data Bank. Methods for
screening lysis buffers for a cytochrome P450 from a pathogenic fungus prior to
upscaling expression and purification are also described. The Protein Maker has
become a valuable asset within the Seattle Structural Genomics Center for
Infectious Disease (SSGCID) and hence is a potentially valuable tool for a
variety of high-throughput protein-purification applications.
PMID- 21904044
TI - Salvage and storage of infectious disease protein targets in the SSGCID high
throughput crystallization pathway using microfluidics.
AB - The MPCS Plug Maker is a microcapillary-based protein-crystallization system for
generating diffraction-ready crystals from nanovolumes of protein.
Crystallization screening using the Plug Maker was used as a salvage pathway for
proteins that failed to crystallize during the initial observation period using
the traditional sitting-drop vapor-diffusion method. Furthermore, the
CrystalCards used to store the crystallization experiments set up by the Plug
Maker are shown be a viable container for long-term storage of protein crystals
without a discernable loss of diffraction quality with time. Use of the Plug
Maker with SSGCID proteins is demonstrated to be an effective crystal-salvage and
storage method.
PMID- 21904045
TI - Wheat germ cell-free expression system as a pathway to improve protein yield and
solubility for the SSGCID pipeline.
AB - Recombinant expression of proteins of interest in Escherichia coli is an
important tool in the determination of protein structure. However, lack of
expression and insolubility remain significant challenges to the expression and
crystallization of these proteins. The SSGCID program uses a wheat germ cell-free
expression system as a rescue pathway for proteins that are either not expressed
or insoluble when produced in E. coli. Testing indicates that the system is a
valuable tool for these protein targets. Further increases in solubility were
obtained by the addition of the NVoy polymer reagent to the reaction mixture.
These data indicate that this eukaryotic cell-free expression system has a high
success rate and that the addition of specific reagents can increase the yield of
soluble protein.
PMID- 21904046
TI - Structures of phosphopantetheine adenylyltransferase from Burkholderia
pseudomallei.
AB - Phosphopantetheine adenylyltransferase (PPAT) catalyzes the fourth of five steps
in the coenzyme A biosynthetic pathway, reversibly transferring an adenylyl group
from ATP onto 4'-phosphopantetheine to yield dephospho-coenzyme A and
pyrophosphate. Burkholderia pseudomallei is a soil- and water-borne pathogenic
bacterium and the etiologic agent of melioidosis, a potentially fatal systemic
disease present in southeast Asia. Two crystal structures are presented of the
PPAT from B. pseudomallei with the expectation that, because of the importance of
the enzyme in coenzyme A biosynthesis, they will aid in the search for defenses
against this pathogen. A crystal grown in ammonium sulfate yielded a 2.1 A
resolution structure that contained dephospho-coenzyme A with partial occupancy.
The overall structure and ligand-binding interactions are quite similar to other
bacterial PPAT crystal structures. A crystal grown at low pH in the presence of
coenzyme A yielded a 1.6 A resolution structure in the same crystal form.
However, the experimental electron density was not reflective of fully ordered
coenzyme A, but rather was only reflective of an ordered 4'-diphosphopantetheine
moiety.
PMID- 21904047
TI - Structures of a putative zeta-class glutathione S-transferase from the pathogenic
fungus Coccidioides immitis.
AB - Coccidioides immitis is a pathogenic fungus populating the southwestern United
States and is a causative agent of coccidioidomycosis, sometimes referred to as
Valley Fever. Although the genome of this fungus has been sequenced, many operons
are not properly annotated. Crystal structures are presented for a putative
uncharacterized protein that shares sequence similarity with zeta-class
glutathione S-transferases (GSTs) in both apo and glutathione-bound forms. The
apo structure reveals a nonsymmetric homodimer with each protomer comprising two
subdomains: a C-terminal helical domain and an N-terminal thioredoxin-like domain
that is common to all GSTs. Half-site binding is observed in the glutathione
bound form. Considerable movement of some components of the active site relative
to the glutathione-free form was observed, indicating an induced-fit mechanism
for cofactor binding. The sequence homology, structure and half-site occupancy
imply that the protein is a zeta-class glutathione S-transferase, a
maleylacetoacetate isomerase (MAAI).
PMID- 21904048
TI - An ensemble of structures of Burkholderia pseudomallei 2,3-bisphosphoglycerate
dependent phosphoglycerate mutase.
AB - Burkholderia pseudomallei is a soil-dwelling bacterium endemic to Southeast Asia
and Northern Australia. Burkholderia is responsible for melioidosis, a serious
infection of the skin. The enzyme 2,3-bisphosphoglycerate-dependent
phosphoglycerate mutase (PGAM) catalyzes the interconversion of 3
phosphoglycerate and 2-phosphoglycerate, a key step in the glycolytic pathway. As
such it is an extensively studied enzyme and X-ray crystal structures of PGAM
enzymes from multiple species have been elucidated. Vanadate is a phosphate mimic
that is a powerful tool for studying enzymatic mechanisms in phosphoryl-transfer
enzymes such as phosphoglycerate mutase. However, to date no X-ray crystal
structures of phosphoglycerate mutase have been solved with vanadate acting as a
substrate mimic. Here, two vanadate complexes together with an ensemble of
substrate and fragment-bound structures that provide a comprehensive picture of
the function of the Burkholderia enzyme are reported.
PMID- 21904049
TI - Structure of fructose bisphosphate aldolase from Bartonella henselae bound to
fructose 1,6-bisphosphate.
AB - Fructose bisphosphate aldolase (FBPA) enzymes have been found in a broad range of
eukaryotic and prokaryotic organisms. FBPA catalyses the cleavage of fructose 1,6
bisphosphate into glyceraldehyde 3-phosphate and dihydroxyacetone phosphate. The
SSGCID has reported several FBPA structures from pathogenic sources, including
the bacterium Brucella melitensis and the protozoan Babesia bovis. Bioinformatic
analysis of the Bartonella henselae genome revealed an FBPA homolog. The B.
henselae FBPA enzyme was recombinantly expressed and purified for X-ray
crystallographic studies. The purified enzyme crystallized in the apo form but
failed to diffract; however, well diffracting crystals could be obtained by
cocrystallization in the presence of the native substrate fructose 1,6
bisphosphate. A data set to 2.35 A resolution was collected from a single crystal
at 100 K. The crystal belonged to the orthorhombic space group P2(1)2(1)2(1),
with unit-cell parameters a=72.39, b=127.71, c=157.63 A. The structure was
refined to a final free R factor of 22.2%. The structure shares the typical
barrel tertiary structure and tetrameric quaternary structure reported for
previous FBPA structures and exhibits the same Schiff base in the active site.
PMID- 21904050
TI - Structure of fructose bisphosphate aldolase from Encephalitozoon cuniculi.
AB - Fructose bisphosphate aldolose (FBPA) enzymes have been found in a broad range of
eukaryotic and prokaryotic organisms. FBPA catalyses the cleavage of fructose 1,6
bisphosphate into glyceraldehyde 3-phosphate and dihydroxyacetone phosphate. The
SSGCID has reported several FBPA structures from pathogenic sources.
Bioinformatic analysis of the genome of the eukaryotic microsporidian parasite
Encephalitozoon cuniculi revealed an FBPA homolog. The structures of this enzyme
in the presence of the native substrate FBP and also with the partial substrate
analog phosphate are reported. The purified enzyme crystallized in 90 mM Bis-Tris
propane pH 6.5, 18% PEG 3350, 18 mM NaKHPO(4), 10 mM urea for the phosphate-bound
form and 100 mM Bis-Tris propane pH 6.5, 20% PEG 3350, 20 mM fructose 1,6
bisphosphate for the FBP-bound form. In both cases protein was present at 25 mg
ml(-1) and the sitting-drop vapour-diffusion method was used. For the FBP-bound
form, a data set to 2.37 A resolution was collected from a single crystal at 100
K. The crystal belonged to the orthorhombic space group C222(1), with unit-cell
parameters a=121.46, b=135.82, c=61.54 A. The structure was refined to a final
free R factor of 20.8%. For the phosphate-bound form, a data set was collected to
2.00 A resolution. The space group was also C222(1) and the unit-cell parameters
were a=121.96, b=137.61, c=62.23 A. The structure shares the typical barrel
tertiary structure reported for previous FBPA structures and exhibits the same
Schiff base in the active site. The quaternary structure is dimeric. This work
provides a direct experimental result for the substrate-binding conformation of
the product state of E. cuniculi FBPA.
PMID- 21904051
TI - Probing conformational states of glutaryl-CoA dehydrogenase by fragment
screening.
AB - Glutaric acidemia type 1 is an inherited metabolic disorder which can cause
macrocephaly, muscular rigidity, spastic paralysis and other progressive movement
disorders in humans. The defects in glutaryl-CoA dehydrogenase (GCDH) associated
with this disease are thought to increase holoenzyme instability and reduce
cofactor binding. Here, the first structural analysis of a GCDH enzyme in the
absence of the cofactor flavin adenine dinucleotide (FAD) is reported. The apo
structure of GCDH from Burkholderia pseudomallei reveals a loss of secondary
structure and increased disorder in the FAD-binding pocket relative to the
ternary complex of the highly homologous human GCDH. After conducting a fragment
based screen, four small molecules were identified which bind to GCDH from B.
pseudomallei. Complex structures were determined for these fragments, which cause
backbone and side-chain perturbations to key active-site residues. Structural
insights from this investigation highlight differences from apo GCDH and the
utility of small-molecular fragments as chemical probes for capturing alternative
conformational states of preformed protein crystals.
PMID- 21904052
TI - Inhibitor-bound complexes of dihydrofolate reductase-thymidylate synthase from
Babesia bovis.
AB - Babesiosis is a tick-borne disease caused by eukaryotic Babesia parasites which
are morphologically similar to Plasmodium falciparum, the causative agent of
malaria in humans. Like Plasmodium, different species of Babesia are tuned to
infect different mammalian hosts, including rats, dogs, horses and cattle. Most
species of Plasmodium and Babesia possess an essential bifunctional enzyme for
nucleotide synthesis and folate metabolism: dihydrofolate reductase-thymidylate
synthase. Although thymidylate synthase is highly conserved across organisms, the
bifunctional form of this enzyme is relatively uncommon in nature. The structural
characterization of dihydrofolate reductase-thymidylate synthase in Babesia
bovis, the causative agent of babesiosis in livestock cattle, is reported here.
The apo state is compared with structures that contain dUMP, NADP and two
different antifolate inhibitors: pemetrexed and raltitrexed. The complexes reveal
modes of binding similar to that seen in drug-resistant malaria strains and point
to the utility of applying structural studies with proven cancer chemotherapies
towards infectious disease research.
PMID- 21904053
TI - Structure of a Nudix hydrolase (MutT) in the Mg(2+)-bound state from Bartonella
henselae, the bacterium responsible for cat scratch fever.
AB - Cat scratch fever (also known as cat scratch disease and bartonellosis) is an
infectious disease caused by the proteobacterium Bartonella henselae following a
cat scratch. Although the infection usually resolves spontaneously without
treatment in healthy adults, bartonellosis may lead to severe complications in
young children and immunocompromised patients, and there is new evidence
suggesting that B. henselae may be associated with a broader range of clinical
symptoms then previously believed. The genome of B. henselae contains genes for
two putative Nudix hydrolases, BH02020 and BH01640 (KEGG). Nudix proteins play an
important role in regulating the intracellular concentration of nucleotide
cofactors and signaling molecules. The amino-acid sequence of BH02020 is similar
to that of the prototypical member of the Nudix superfamily, Escherichia coli
MutT, a protein that is best known for its ability to neutralize the promutagenic
compound 7,8-dihydro-8-oxoguanosine triphosphate. Here, the crystal structure of
BH02020 (Bh-MutT) in the Mg(2+)-bound state was determined at 2.1 A resolution
(PDB entry 3hhj). As observed in all Nudix hydrolase structures, the alpha-helix
of the highly conserved 'Nudix box' in Bh-MutT is one of two helices that
sandwich a four-stranded mixed beta-sheet with the central two beta-strands
parallel to each other. The catalytically essential divalent cation observed in
the Bh-MutT structure, Mg(2+), is coordinated to the side chains of Glu57 and
Glu61. The structure is not especially robust; a temperature melt obtained using
circular dichroism spectroscopy shows that Bh-MutT irreversibly unfolds and
precipitates out of solution upon heating, with a T(m) of 333 K.
PMID- 21904054
TI - Structure of a cyclin-dependent kinase from Giardia lamblia.
AB - Giardia lamblia is the etiologic agent of giardiasis, a water-borne infection
that is prevalent throughout the world. The need for new therapeutics for the
treatment of giardiasis is of paramount importance. Owing to the ubiquitous
nature of kinases and their vital importance in organisms, they are potential
drug targets. In this paper, the first structure of a cyclin-dependent kinase
(CDK) from G. lamblia (GlCDK; UniProt A8BZ95) is presented. CDKs are cell-cycle
associated kinases that are actively being pursued as targets for anticancer
drugs as well as for antiparasitic chemotherapy. Generally, a CDK forms a complex
with its associated cyclin. This CDK-cyclin complex is active and acts as a
serine/threonine protein kinase. Typically, CDKs are responsible for the
transition to the next phase of the cell cycle. Although the structure of GlCDK
with its associated cyclin was not solved, the 1.85 A resolution structure of apo
GlCDK and a 2.0 A resolution structure of GlCDK in complex with adenosine
monophosphate are presented and the structural differences from the orthologous
human CDK2 and CDK3 are discussed.
PMID- 21904055
TI - Structure of thymidylate kinase from Ehrlichia chaffeensis.
AB - The enzyme thymidylate kinase phosphorylates the substrate thymidine 5'-phosphate
(dTMP) to form thymidine 5'-diphosphate (dTDP), which is further phosphorylated
to dTTP for incorporation into DNA. Ehrlichia chaffeensis is the etiologic agent
of human monocytotropic erlichiosis (HME), a potentially life-threatening tick
borne infection. HME is endemic in the United States from the southern states up
to the eastern seaboard. HME is transmitted to humans via the lone star tick
Amblyomma americanum. Here, the 2.15 A resolution crystal structure of
thymidylate kinase from E. chaffeensis in the apo form is presented.
PMID- 21904056
TI - Structure of triosephosphate isomerase from Cryptosporidium parvum.
AB - Cryptosporidium parvum is one of several Cryptosporidium spp. that cause the
parasitic infection cryptosporidiosis. Cryptosporidiosis is a diarrheal infection
that is spread via the fecal-oral route and is commonly caused by contaminated
drinking water. Triosephosphate isomerase is an enzyme that is ubiquitous to all
organisms that perform glycolysis. Triosephosphate isomerase catalyzes the
formation of glyceraldehyde 3-phosphate from dihydroxyacetone phosphate, which is
a critical step to ensure the maximum ATP production per glucose molecule. In
this paper, the 1.55 A resolution crystal structure of the open-loop form of
triosephosphate isomerase from C. parvum Iowa II is presented. An unidentified
electron density was found in the active site.
PMID- 21904057
TI - Structure of nitrilotriacetate monooxygenase component B from Mycobacterium
thermoresistibile.
AB - Mycobacterium tuberculosis belongs to a large family of soil bacteria which can
degrade a remarkably broad range of organic compounds and utilize them as carbon,
nitrogen and energy sources. It has been proposed that a variety of mycobacteria
can subsist on alternative carbon sources during latency within an infected human
host, with the help of enzymes such as nitrilotriacetate monooxygenase (NTA-Mo).
NTA-Mo is a member of a class of enzymes which consist of two components: A and
B. While component A has monooxygenase activity and is responsible for the
oxidation of the substrate, component B consumes cofactor to generate reduced
flavin mononucleotide, which is required for component A activity. NTA-MoB from
M. thermoresistibile, a rare but infectious close relative of M. tuberculosis
which can thrive at elevated temperatures, has been expressed, purified and
crystallized. The 1.6 A resolution crystal structure of component B of NTA-Mo
presented here is one of the first crystal structures determined from the
organism M. thermoresistibile. The NTA-MoB crystal structure reveals a homodimer
with the characteristic split-barrel motif typical of flavin reductases.
Surprisingly, NTA-MoB from M. thermoresistibile contains a C-terminal tail that
is highly conserved among mycobacterial orthologs and resides in the active site
of the other protomer. Based on the structure, the C-terminal tail may modulate
NTA-MoB activity in mycobacteria by blocking the binding of flavins and NADH.
PMID- 21904058
TI - BrabA.11339.a: anomalous diffraction and ligand binding guide towards the
elucidation of the function of a 'putative beta-lactamase-like protein' from
Brucella melitensis.
AB - The crystal structure of a beta-lactamase-like protein from Brucella melitensis
was initially solved by SAD phasing from an in-house data set collected on a
crystal soaked with iodide. A high-resolution data set was collected at a
synchroton at the Se edge wavelength, which also provided an independent source
of phasing using a small anomalous signal from metal ions in the active site.
Comparisons of anomalous peak heights at various wavelengths allowed the
identification of the active-site metal ions as manganese. In the native data set
a partially occupied GMP could be identified. When co-crystallized with AMPPNP or
GMPPNP, clear density for the hydrolyzed analogs was observed, providing hints to
the function of the protein.
PMID- 21904059
TI - Structure of aldose reductase from Giardia lamblia.
AB - Giardia lamblia is an anaerobic aerotolerant eukaryotic parasite of the
intestines. It is believed to have diverged early from eukarya during evolution
and is thus lacking in many of the typical eukaryotic organelles and biochemical
pathways. Most conspicuously, mitochondria and the associated machinery of
oxidative phosphorylation are absent; instead, energy is derived from substrate
level phosphorylation. Here, the 1.75 A resolution crystal structure of G.
lamblia aldose reductase heterologously expressed in Escherichia coli is
reported. As in other oxidoreductases, G. lamblia aldose reductase adopts a TIM
barrel conformation with the NADP(+)-binding site located within the eight beta
strands of the interior.
PMID- 21904060
TI - Structure of 3-ketoacyl-(acyl-carrier-protein) reductase from Rickettsia
prowazekii at 2.25 A resolution.
AB - Rickettsia prowazekii, a parasitic Gram-negative bacterium, is in the second
highest biodefense category of pathogens of the National Institute of Allergy and
Infectious Diseases, but only a handful of structures have been deposited in the
PDB for this bacterium; to date, all of these have been solved by the SSGCID.
Owing to its small genome (about 800 protein-coding genes), it relies on the host
for many basic biosynthetic processes, hindering the identification of potential
antipathogenic drug targets. However, like many bacteria and plants, its
metabolism does depend upon the type II fatty-acid synthesis (FAS) pathway for
lipogenesis, whereas the predominant form of fatty-acid biosynthesis in humans is
via the type I pathway. Here, the structure of the third enzyme in the FAS
pathway, 3-ketoacyl-(acyl-carrier-protein) reductase, is reported at a resolution
of 2.25 A. Its fold is highly similar to those of the existing structures from
some well characterized pathogens, such as Mycobacterium tuberculosis and
Burkholderia pseudomallei, but differs significantly from the analogous mammalian
structure. Hence, drugs known to target the enzymes of pathogenic bacteria may
serve as potential leads against Rickettsia, which is responsible for spotted
fever and typhus and is found throughout the world.
PMID- 21904061
TI - Structure of fumarate hydratase from Rickettsia prowazekii, the agent of typhus
and suspected relative of the mitochondria.
AB - Rickettsiae are obligate intracellular parasites of eukaryotic cells that are the
causative agents responsible for spotted fever and typhus. Their small genome
(about 800 protein-coding genes) is highly conserved across species and has been
postulated as the ancestor of the mitochondria. No genes that are required for
glycolysis are found in the Rickettsia prowazekii or mitochondrial genomes, but a
complete set of genes encoding components of the tricarboxylic acid cycle and the
respiratory-chain complex is found in both. A 2.4 A resolution crystal structure
of R. prowazekii fumarate hydratase, an enzyme catalyzing the third step of the
tricarboxylic acid cycle pathway that ultimately converts phosphoenolpyruvate
into succinyl-CoA, has been solved. A structure alignment with human
mitochondrial fumarate hydratase highlights the close similarity between R.
prowazekii and mitochondrial enzymes.
PMID- 21904062
TI - Solution structure of an arsenate reductase-related protein, YffB, from Brucella
melitensis, the etiological agent responsible for brucellosis.
AB - Brucella melitensis is the etiological agent responsible for brucellosis. Present
in the B. melitensis genome is a 116-residue protein related to arsenate
reductases (Bm-YffB; BR0369). Arsenate reductases (ArsC) convert arsenate ion
(H(2)AsO(4)(-)), a compound that is toxic to bacteria, to arsenite ion (AsO(2)(
)), a product that may be efficiently exported out of the cell. Consequently, Bm
YffB is a potential drug target because if arsenate reduction is the protein's
major biological function then disabling the cell's ability to reduce arsenate
would make these cells more sensitive to the deleterious effects of arsenate.
Size-exclusion chromatography and NMR spectroscopy indicate that Bm-YffB is a
monomer in solution. The solution structure of Bm-YffB (PDB entry 2kok) shows
that the protein consists of two domains: a four-stranded mixed beta-sheet
flanked by two alpha-helices on one side and an alpha-helical bundle. The
alpha/beta domain is characteristic of the fold of thioredoxin-like proteins and
the overall structure is generally similar to those of known arsenate reductases
despite the marginal sequence similarity. Chemical shift perturbation studies
with (15)N-labeled Bm-YffB show that the protein binds reduced glutathione at a
site adjacent to a region similar to the HX(3)CX(3)R catalytic sequence motif
that is important for arsenic detoxification activity in the classical arsenate
reductase family of proteins. The latter observation supports the hypothesis that
the ArsC-YffB family of proteins may function as glutathione-dependent thiol
reductases. However, comparison of the structure of Bm-YffB with the structures
of proteins from the classical ArsC family suggest that the mechanism and
possibly the function of Bm-YffB and other related proteins (ArsC-YffB) may
differ from those of the ArsC family of proteins.
PMID- 21904063
TI - NMR structure of an acyl-carrier protein from Borrelia burgdorferi.
AB - Nearly complete resonance assignment and the high-resolution NMR structure of the
acyl-carrier protein from Borrelia burgdorferi, a target of the Seattle
Structural Genomics Center for Infectious Disease (SSGCID) structure
determination pipeline, are reported. This protein was chosen as a potential
target for drug-discovery efforts because of its involvement in fatty-acid
biosynthesis, an essential metabolic pathway, in bacteria. It was possible to
assign >98% of backbone resonances and >92% of side-chain resonances using
multidimensional NMR spectroscopy. The NMR structure was determined to a backbone
r.m.s.d. of 0.4 A and contained four alpha-helices and two 3(10)-helices. A
structure-homology search revealed that this protein is highly similar to the
acyl-carrier protein from Aquifex aeolicus.
PMID- 21904064
TI - Comparative analysis of glutaredoxin domains from bacterial opportunistic
pathogens.
AB - Glutaredoxin proteins (GLXRs) are essential components of the glutathione system
that reductively detoxify substances such as arsenic and peroxides and are
important in the synthesis of DNA via ribonucleotide reductases. NMR solution
structures of glutaredoxin domains from two Gram-negative opportunistic
pathogens, Brucella melitensis and Bartonella henselae, are presented. These
domains lack the N-terminal helix that is frequently present in eukaryotic GLXRs.
The conserved active-site cysteines adopt canonical proline/tyrosine-stabilized
geometries. A difference in the angle of alpha-helix 2 relative to the beta-sheet
surface and the presence of an extended loop in the human sequence suggests
potential regulatory regions and/or protein-protein interaction motifs. This
observation is consistent with mutations in this region that suppress defects in
GLXR-ribonucleotide reductase interactions. These differences between the human
and bacterial forms are adjacent to the dithiol active site and may permit
species-selective drug design.
PMID- 21904065
TI - Solution-state NMR structure and biophysical characterization of zinc-substituted
rubredoxin B (Rv3250c) from Mycobacterium tuberculosis.
AB - Owing to the evolution of multi-drug-resistant and extremely drug-resistant
Mycobacterium tuberculosis strains, there is an urgent need to develop new
antituberculosis strategies to prevent TB epidemics in the industrial world.
Among the potential new drug targets are two small nonheme iron-binding proteins,
rubredoxin A (Rv3251c) and rubredoxin B (Rv3250c), which are believed to play a
role in electron-transfer processes. Here, the solution structure and biophysical
properties of one of these two proteins, rubredoxin B (Mt-RubB), determined in
the zinc-substituted form are reported. The zinc-substituted protein was prepared
by expressing Mt-RubB in minimal medium containing excess zinc acetate. Size
exclusion chromatography and NMR spectroscopy indicated that Mt-RubB was a
monomer in solution. The structure (PDB entry 2kn9) was generally similar to
those of other rubredoxins, containing a three-stranded antiparallel beta-sheet
(beta2-beta1-beta3) and a metal tetrahedrally coordinated to the S atoms of four
cysteine residues (Cys9, Cys12, Cys42 and Cys45). The first pair of cysteine
residues is at the C-terminal end of the first beta-strand and the second pair of
cysteine residues is towards the C-terminal end of the loop between beta2 and
beta3. The structure shows the metal buried deeply within the protein, an
observation that is supported by the inability to remove the metal with excess
EDTA at room temperature. Circular dichroism spectroscopy shows that this
stability extends to high temperature, with essentially no change being observed
in the CD spectrum of Mt-RubB upon heating to 353 K.
PMID- 21904066
TI - Structure of the cystathionine gamma-synthase MetB from Mycobacterium ulcerans.
AB - Cystathionine gamma-synthase (CGS) is a transulfurication enzyme that catalyzes
the first specific step in L-methionine biosynthesis by the reaction of O(4)
succinyl-L-homoserine and L-cysteine to produce L-cystathionine and succinate.
Controlling the first step in L-methionine biosythesis, CGS is an excellent
potential drug target. Mycobacterium ulcerans is a slow-growing mycobacterium
that is the third most common form of mycobacterial infection, mainly infecting
people in Africa, Australia and Southeast Asia. Infected patients display a
variety of skin ailments ranging from indolent non-ulcerated lesions as well as
ulcerated lesions. Here, the crystal structure of CGS from M. ulcerans covalently
linked to the cofactor pyridoxal phosphate (PLP) is reported at 1.9 A resolution.
A second structure contains PLP as well as a highly ordered HEPES molecule in the
active site acting as a pseudo-ligand. These results present the first structure
of a CGS from a mycobacterium and allow comparison with other CGS enzymes. This
is also the first structure reported from the pathogen M. ulcerans.
PMID- 21904067
TI - Pain in pancreatic cancer: does drug treatment still play a role?
PMID- 21904068
TI - Resection status, age and nodal involvement determine survival among patients
receiving adjuvant chemoradiotherapy in pancreatic adenocarcinoma.
AB - CONTEXT: Pancreas cancer can potentially be cured by resection, but the role of
adjuvant chemotherapy and/or chemoradiation has been controversial. OBJECTIVES:
To better define clinicopathological factors that may serve as predictive and/or
prognostic variables. PATIENTS: Between 1984 and 2006, we retrospectively
analyzed 91 patients with pancreas cancer treated with pancreaticoduodenectomy or
total pancreatectomy followed by adjuvant 5-fluorouracil-based chemoradiation at
the University of Pennsylvania. Final pathological coding including margin status
was confirmed by a pathologist. INTERVENTIONS: Patients were treated with 48.6 to
63.0 Gy, and 96.7% completed their prescribed radiation dose. MAIN OUTCOME
MEASURES: The prognostic significance of demographic factors, stage, year of
surgery, tumor location, grade, resection status, and number of positive lymph
nodes on overall survival were examined. RESULTS: With a median follow-up of 6.5
years, the overall median survival was 2.3 years (95% CI 1.5-3.2 years), and the
5-year overall survival was 28.9%. In multivariate analysis, completeness of
resection (P<0.001), fewer number of positive lymph nodes (0 vs. 1-2 vs. 3 or
more) (P=0.004), and age less than, or equal to, 60 years (P=0.006) were all
independently associated with improved overall survival. The overall survival
reported in this study compares favorably with the results of other single
institution studies and with the RTOG 97-04 trial. CONCLUSIONS: Adjuvant 5-FU
based chemoradiation following radical pancreatectomy can be delivered safely and
results in comparatively good overall survival. The results of this analysis
underscore the importance of resection status, number of involved lymph nodes and
patient age as prognostic characteristics. These factors may be considered
stratification variables for future post-pancreatectomy adjuvant therapy trials.
PMID- 21904069
TI - Spleen-preserving distal pancreatectomy with resection of the splenic vessels.
Should one rely on the short gastric arteries?
AB - CONTEXT: Knowing the collaterals is essential for a spleen-preserving distal
pancreatectomy with resection of the splenic vessels. OBJECTIVE: To ascertain the
sources of the blood supply to the spleen after a spleen-preserving distal
pancreatectomy with resection of the splenic vessels. METHODS: Perfusion of the
cadaveric left gastric and right gastroepiploic arteries with methylene blue
after occlusion of all the arteries except the short gastric arteries (n=10).
Intraoperative color Doppler ultrasound was used for the evaluation of the hilar
arterial blood flow at distal pancreatectomy (n=23) after 1) clamping of the
splenic artery alone, 2) clamping of the splenic and left gastroepiploic arteries
and 3) clamping of the splenic and short gastric arteries. CT angiography of the
gastric and splenic vessels before and after a spleen-preserving distal
pancreatectomy (n=10). RESULTS: Perfusion of the cadaveric arteries revealed no
effective direct or indirect (through the submucous gastric arterial network)
communication between the left gastric and the branches of the short gastric
arteries. In no case did intraoperative color Doppler ultrasound detect any hilar
arterial blood flow after the clamping of the splenic and left gastroepiploic
arteries. The clamping of the short gastric arteries did not change the flow
parameters. In none of the cases did a post-spleen-preserving distal
pancreatectomy with resection of the splenic vessels CT angiography delineate the
short gastric vessels supplying the spleen. In all cases, the gastroepiploic
arcade was the main arterial pathway feeding the spleen. CONCLUSION:
Experimental, intra- and postoperative instrumental investigations did not show
the short gastric arteries to be engaged in the blood supply to the spleen after
a spleen-preserving distal pancreatectomy with resection of the splenic vessels.
In all cases, the left gastroepiploic artery was the main collateral vessel.
PMID- 21904071
TI - Successful diagnosis and management of biliary cast syndrome in a liver
transplant patient using single operator cholangioscopy.
AB - CONTEXT: Biliary cast syndrome is an unusual complication of orthotopic liver
transplantation with serious clinical implications. Surgical management has been
the mainstay of treatment. Endoscopic techniques are recently described in the
successful removal of biliary casts. Peroral single operator cholangioscopy is
useful for direct visualization of bile ducts, tissue sampling and therapeutic
applications. CASE REPORT: We report here a post liver transplant patient who
underwent successful complete endoscopic removal of biliary cast using single
operator cholangioscopy in a single sitting. CONCLUSION: Single operator
cholangioscopy provides a safe means of diagnosing and treating patients with
biliary cast syndrome.
PMID- 21904070
TI - Heterotopic pancreas of the gallbladder associated with chronic cholecystitis and
high levels of amylasuria.
AB - CONTEXT: Heterotopic pancreas of the gallbladder is an extremely rare entity,
especially when pancreatic tissue appears histologically with an exclusively
exocrine structure. CASE REPORT: We report the case of a 35-year-old man who
presented with symptoms of acalculous gallbladder disease with high levels of
amylasuria. Immunohistochemical analysis of the surgical specimen of the
cholecystectomy revealed pancreatic tissue at the gallbladder wall. CONCLUSIONS:
Heterotopic pancreatic tissue is a rare pathological finding in the gallbladder.
It requires consideration and sensitization in the differential diagnosis of
acalculous gallbladder disease, which can explain hyperamylasuria in cases of
unknown origin.
PMID- 21904072
TI - A minute pancreatic ductal adenocarcinoma with lipomatous pseudohypertrophy of
the pancreas.
AB - CONTEXT: This report describes a minute pancreatic ductal adenocarcinoma which
appeared to be in early stage tumor progression based on the study of its
molecular abnormalities. In addition, it was associated with lipomatous
pseudohypertrophy, a rare disease. CASE REPORT: A 78-year-old male presented to
our department with an incidental pancreatic tumor. Abdominal dynamic computed
tomography showed an enlarged pancreas, and diffuse fat density in the entire
pancreas was demonstrated. In the pancreatic body, a slightly enhanced early
phase 10 mm mass was detected. He underwent a distal pancreatectomy. The
histological features of the tumor revealed abundant fibrosis and duct lesions
with various atypia. Duct lesions equivalent to well-differentiated
adenocarcinoma were shown sparsely, but no vessel or lymphatic permeation nor
perineural invasion were observed. In the background of the pancreas, diffuse
fatty infiltrations which were composed of abundant normal adipose tissue and
scattered pancreatic parenchyma were observed. The results of immunolabeling for
MUC1, p16, p53 and Smad4 demonstrated that there is the possibility of
coexistence of precancerous duct lesions and cancerous lesions in the genetic
progression of pancreatic cancer. CONCLUSION: The above results suggested that
this pancreatic ductal adenocarcinoma with lipomatous pseudohypertrophy might be
an example of very early stage tumor progression.
PMID- 21904073
TI - Hemosuccus pancreaticus associated with severe acute pancreatitis and
pseudoaneurysms: a report of two cases.
AB - CONTEXT: Hemosuccus pancreaticus is a rare cause of upper gastrointestinal
hemorrhage. The intensity of bleeding ranges from intermittent occult bleeding to
massive acute bleeding leading to death. Although most cases can be managed by
angioembolization, surgery plays an important role. CASE REPORT: We report two
cases of hemosuccus pancreaticus managed at our institution in the past three
years. Both cases occurred associated with acute pancreatitis. A pseudocyst was
found in one case. Angioembolization failed in one case and was not tried in the
other because of hemodynamic instability. Both cases were successfully managed by
surgery. CONCLUSION: Timely intervention, either by embolization or by surgery,
can control this potentially life-threatening bleeding. Choice of treatment,
surgery or embolization, depends on technological availability and expertise of
the practitioner.
PMID- 21904074
TI - Cystic pancreatic lymphangioma. The first report of a preoperative pathological
diagnosis by endoscopic ultrasound-guided cyst aspiration.
AB - CONTEXT: We describe a case of cystic lymphangioma of the pancreas in which a
preoperative tissue diagnosis was made with endoscopic ultrasound-guided fine
needle aspiration (EUS-FNA). CASE REPORT: A 20-year-old female presented with
upper abdominal pain of two months duration and was found to have a cystic lesion
in the pancreatic tail. Radiological imaging could not determine the organ of
origin or the nature of the lesion. On EUS examination, a mixed micro-macrocystic
lesion with thin delicate septae, and clear anechoic contents was found in the
pancreatic tail. EUS-guided aspiration demonstrated the typical endothelial lined
cystic channels. The diagnosis was confirmed after surgical resection.
CONCLUSION: Cystic lymphangioma should be considered in the differential
diagnosis of cystic pancreatic lesions in which the morphology is difficult to
characterize. EUS-FNA has the potential of rendering a positive diagnosis of this
benign entity.
PMID- 21904075
TI - Diffuse pancreatic lesion mimicking autoimmune pancreatitis in an HIV-infected
patient: successful treatment by antiretroviral therapy.
AB - CONTEXT: Pancreatitis is a common complication of acquired immunodeficiency
syndrome. The most common causes of acute pancreatitis in an HIV population are
medication and opportunistic infections. CASE REPORT: We report the case of a
young, untreated, HIV-infected female who presented with acute pancreatitis of
unknown origin. Unique to this case are the autoimmune pancreatitis-like features
on imaging studies associated with renal mass-like lesions and lymph node
involvement as well as the favorable outcome using highly active antiretroviral
therapy alone. CONCLUSION: In HIV-infected patients, acute pancreatitis may
present on imaging studies as autoimmune pancreatitis. In patients with
uncontrolled HIV infection and imaging studies suggestive of autoimmune
pancreatitis, direct HIV-related inflammation should be considered after
exclusion of all other causes of pancreatitis.
PMID- 21904076
TI - Severe impaired deambulation in a patient with vitamin D and mineral deficiency
due to exocrine pancreatic insufficiency.
AB - CONTEXT: Skeletal muscle weakness and impaired gait function are common risk
factors for disease and even death. Therefore, identification of the modifiable
causes of skeletal muscle weakness should have high priority. Knowledge regarding
optimal vitamin D treatment in cases of pancreatic insufficiency is scarce. CASE
REPORT: We report a case of a slow decrease in ability to walk distances more
than 100 m during the previous 6 months. Low exocrine pancreatic function
resulting in phosphorus, magnesium and vitamin D deficiency was found. Medical
treatment with peroral pancreatic enzymes, phosphorus, magnesium and i.m.
injections of ergocalciferol (vitamin D2) was initiated. Gait function gradually
increased to a walking distance of 1,500-3,000 m along with the normalization of
the vitamin D and mineral blood levels. CONCLUSIONS: Vitamin D deficiency due to
exocrine pancreatic insufficiency should be kept in mind as one of the reasons
for impaired gait and skeletal muscle weakness.
PMID- 21904077
TI - Chronic calcific pancreatitis presenting as an isolated left perinephric abscess:
a case report and review of the literature.
AB - CONTEXT: Acute and chronic pancreatitis may present with pseudocysts in atypical
locations. Activated pancreatic enzymes track along anatomic fascial planes
causing digestion of the surrounding tissues and resulting in distant
pseudocysts. Pseudocysts at atypical locations pose significant diagnostic as
well as therapeutic challenges. CASE REPORT: We report an unusual presentation of
a pancreatic pseudocyst in a young male who presented with a left perinephric
abscess. Percutaneous drainage was not successful in resolving the abscess and he
was subsequently diagnosed as having chronic pancreatitis together with a left
perinephric abscess. Needle knife sphincterotomy of the ampulla of Vater resulted
in the gradual resolution of the abscess. CONCLUSION: We report a rare
presentation of chronic pancreatitis with a perinephric abscess and its non
surgical management. This case report indicates that any patient presenting with
a perinephric abscess of unknown etiology not responding to conventional
treatment modalities should be investigated for underlying pancreatitis.
PMID- 21904078
TI - Pancreatic leak after endoscopic ultrasound guided fine needle aspiration managed
by transpapillary pancreatic duct stenting.
AB - CONTEXT: Endoscopic ultrasonography guided fine needle aspiration (EUS-FNA) is a
front line test used for the diagnosis of solid as well as cystic lesions of the
pancreas. This procedure is fairly well tolerated and associated with minimal
complications. Local complications such as perforation and pancreatitis have been
reported with EUS-FNA, albeit rarely. Although pancreatic duct injury can occur
during EUS-FNA, symptomatic pancreatic duct leak as a complication of this
procedure has never been reported. CASE REPORT: We present a 67-year-old patient
who developed symptomatic ascites after EUS-FNA of a pancreatic neck lesion that
required several paracenteses. Analysis of the ascitic fluid revealed that the
fluid amylase and lipase levels were very high consistent with pancreatic
ascites. An endoscopic retrograde pancreatography was subsequently performed that
documented the presence of a pancreatic duct leak in the neck. The pancreatic
duct leak and the ascites resolved after placing a pancreatic duct stent.
CONCLUSION: A clinically significant pancreatic leak can occur as a rare
complication of EUS-FNA that can be effectively managed by endoscopic retrograde
pancreatography and placement of a transpapillary pancreatic duct stent.
PMID- 21904079
TI - Posterior approach pancreaticoduodenectomy: does it really improve long-term
survival in pancreatic head cancer?
PMID- 21904081
TI - Early treatment of acute pancreatitis: do not forget the need for water.
PMID- 21904082
TI - Preliminary reliability and validity of a new time-sensitive ADHD symptom scale
in adolescents with ADHD.
AB - OBJECTIVES: To validate the Time-Sensitive ADHD Symptom Scale (TASS) in the
assessment of symptom change during the day in adolescents with attention
deficit/hyperactivity disorder (ADHD). METHODS: A total of 40 participants with
ADHD aged 13 to 17 years completed 1 or 2 visits, 1 to 9 weeks apart. The TASS
and the ADHD Rating Scale-IV (ADHD-RS-IV) were completed twice at each visit: at
the time of the clinic visit (in-clinic assessment) and 2 to 6 hours afterwards
(evening assessment). RESULTS: Internal consistency of the TASS was high, with
Cronbach's alpha coefficients of 0.91 (in-clinic) and 0.90 (evening) for visit 1,
and 0.88 (in-clinic) and 0.86 (evening) for visit 2. Pearson's correlation
coefficients between the TASS and ADHD-RS-IV were significant at both visits (P <
0.0001). Stability analyses of the TASS found no significant effect between
ratings performed at different visits (P = 0.936), but there was a significant
effect of the assessment time within visits (P < 0.0001). There was not a
significant visit by assessment time interaction (P = 0.924). CONCLUSIONS: The
TASS showed high internal consistency and high concurrent validity with the ADHD
RS-IV. Results of this preliminary study indicate that the TASS is a valid and
reliable self-report scale for adolescents with ADHD.
PMID- 21904083
TI - Managing ADHD across the lifespan in the primary care setting.
AB - Attention-deficit/hyperactivity disorder (ADHD) is a chronic neurobehavioral
condition that affects most patients throughout their lives and is associated
with occupational underachievement, psychiatric comorbidity, and substance abuse.
Primary care physicians (PCPs) are at the forefront of helping patients with ADHD
manage symptoms and overcome functional impairments. In this article, the
problems of recognizing and effectively managing ADHD are explored through the
presentation of 2 composite patient cases based on real patients in the authors'
practices. Both cases highlight maturational changes in ADHD-related problems as
patients develop through childhood, adolescence, and into adulthood. The striking
differences between the cases serve to illustrate the highly varied clinical
presentation and developmental trajectories of ADHD, moderated by family
environment, patient characteristics, and life events. Emphasis is placed on
understanding the crucial developmental turning points from early childhood
through adulthood at which patients with ADHD are most likely to need increased
support and specialized behavioral interventions. Diagnosis of adult ADHD is also
reviewed, including an overview of potentially clinically relevant patient
characteristics that should alert PCPs to the possible presence of ADHD and use
of the World Health Organization's rapid 6-item adult ADHD Self-Report Scale as a
screening device. The present discussion challenges PCPs to recognize the varied
presentations of what ADHD "looks like," and describes the need for PCPs to
establish and maintain working partnerships with families, patients, and mental
health care professionals in their local communities to successfully treat ADHD
across the lifespan.
PMID- 21904084
TI - Exploring the impact of once-daily OROS(r) methylphenidate (MPH) on symptoms and
quality of life in children and adolescents with ADHD transitioning from
immediate-release MPH.
AB - OBJECTIVES: To explore the clinical and health-related quality of life (HRQoL)
outcomes in children/adolescents with attention-deficit/hyperactivity disorder
(ADHD) who required a therapy switch from immediate-release (IR) methylphenidate
(MPH) and were initiated on Osmotic Release Oral System (OROS((r))) MPH. METHODS:
Prospective, noninterventional study including patients (aged 6-18 years) with a
confirmed diagnosis of ADHD who transitioned from IR MPH to OROS((r)) MPH based
on medical needs. Patients were transitioned to OROS((r)) MPH and were followed
for 12 weeks. Attention-deficit/hyperactivity disorder symptoms, functional
outcomes, HRQoL, and tolerability were assessed throughout the study. RESULTS:
598 patients entered the intention-to-treat analysis. The mean OROS((r)) MPH
starting dose was 29.5 +/- 12.0 mg/day, increasing slightly to 33.5 +/- 13.2
mg/day at final visit. Compared with baseline, there were significant (all P <
0.0001) symptomatic, functional, and HRQoL improvements after transitioning from
IR MPH to OROS((r)) MPH as assessed by the Conners' Parent Rating Scale (from
29.0 +/- 10.5 to 19.5 +/- 11.1), Children's Global Assessment Scale (by 11.0 +/-
13.3), and Inventory for Assessing Quality of Life (ILC) LQ0-28 scores (parents'
rating from 17.2 +/- 3.9 to 19.4 +/- 4.0; patients' rating from 18.7 +/- 4.0 to
20.5 +/- 3.9). Overall, no significant changes in quality of sleep or appetite
were observed. More than 70% of parents and physicians rated the effectiveness of
OROS((r)) MPH as at least "good" and were at least "satisfied" with OROS((r))
MPH. The most common treatment-emergent adverse events were insomnia and
anorexia. No clinically relevant changes in body weight or vital signs were
observed. CONCLUSIONS: In this naturalistic setting, transitioning from IR MPH to
OROS((r)) MPH, in patients who showed previously insufficient response and/or
poor tolerability, was successful. Patients' and parents' HRQoL as well as burden
of disease showed a clinically relevant improvement. OROS((r)) MPH was generally
safe and well tolerated.
PMID- 21904086
TI - Toward defining deficient emotional self-regulation in children with attention
deficit/hyperactivity disorder using the Child Behavior Checklist: a controlled
study.
AB - OBJECTIVE: Deficient emotional self-regulation (DESR) is characterized by
deficits in self-regulating the physiological arousal caused by strong emotions.
We examined whether a unique profile of the Child Behavior Checklist (CBCL) would
help identify DESR in children with attention-deficit/hyperactivity disorder
(ADHD). METHODS: Subjects included 197 children with ADHD and 224 children
without ADHD. We defined DESR if a child had an aggregate cut-off score of > 180
but < 210 on the Anxiety/Depression, Aggression, and Attention scales of the CBCL
(CBCL-DESR). This profile was selected because of: 1) its conceptual congruence
with the clinical concept of DESR; and 2) because its extreme (> 210) form has
been previously associated with severe forms of mood and behavioral dysregulation
in children with ADHD. All subjects were comprehensively assessed with structured
diagnostic interviews and a wide range of functional measures. RESULTS: Forty
four percent of children with ADHD had a positive CBCL-DESR profile versus 2% of
controls (P < 0.001). The CBCL-DESR profile was associated with elevated rates of
anxiety and disruptive behavior disorders, as well as significantly more
impairments in emotional and interpersonal functioning. CONCLUSIONS: The CBCL
DESR profile helped identify a subgroup of children with ADHD who had a
psychopathological and functional profile consistent with the clinical concept of
DESR.
PMID- 21904087
TI - A physician's guide to helping patients with ADHD find success in the workplace.
AB - The impact of untreated adult attention-deficit/hyperactivity disorder (ADHD) in
the workplace can be substantial, and employees with ADHD often confront
frustration, employer disappointment, and low performance ratings. As a result,
adults with ADHD may seek treatment from primary care providers to improve
occupational performance. Previously considered a behavior disorder primarily
affecting children and adolescents, ADHD in adulthood presents primarily as a
cognitive disorder. Self-management deficits play a greater role in adult ADHD,
particularly with respect to occupational and interpersonal functioning. Although
specialized resources are available to assist adults with ADHD, many afflicted
individuals may be unaware or unable to access them. Primary care providers who
may be treating adults with ADHD are in a unique position to help them obtain the
care and support needed to build appropriate skills and manage occupational
issues. In this review, a literature search of the past 10 years was conducted to
identify articles concerning ADHD and its impact on individuals in the workplace.
The influence of ADHD on occupational functioning is discussed in the context of
self-management impairments, diagnosis and assessment, and management strategies.
With early and successful intervention, adults with ADHD may be able to become
more aware of the impact of ADHD on work performance and achieve successful
occupational experiences.
PMID- 21904085
TI - Attention-deficit/hyperactivity disorder: is it time to reappraise the role of
sugar consumption?
AB - Attention-deficit/hyperactivity disorder (ADHD) affects nearly 10% of children in
the United States, and the prevalence of this disorder has increased steadily
over the past decades. The cause of ADHD is unknown, although recent studies
suggest that it may be associated with a disruption in dopamine signaling whereby
dopamine D2 receptors are reduced in reward-related brain regions. This same
pattern of reduced dopamine-mediated signaling is observed in various reward
deficiency syndromes associated with food or drug addiction, as well as in
obesity. While genetic mechanisms are likely contributory to cases of ADHD, the
marked frequency of the disorder suggests that other factors are involved in the
etiology. In this article, we revisit the hypothesis that excessive sugar intake
may have an underlying role in ADHD. We review preclinical and clinical data
suggesting overlaps among ADHD, sugar and drug addiction, and obesity. Further,
we present the hypothesis that the chronic effects of excessive sugar intake may
lead to alterations in mesolimbic dopamine signaling, which could contribute to
the symptoms associated with ADHD. We recommend further studies to investigate
the possible relationship between chronic sugar intake and ADHD.
PMID- 21904088
TI - Medication adherence and symptom reduction in adults treated with mixed
amphetamine salts in a randomized crossover study.
AB - OBJECTIVES: The study objectives were to 1) evaluate medication adherence for
adults with attention-deficit/hyperactivity disorder (ADHD) treated with 3 times
daily (TID) mixed amphetamine salts immediate release (MAS IR) versus once-daily
(qAM) MAS extended release (MAS XR) in a randomized, crossover study; and 2) to
examine the associations between adherence and efficacy for MAS IR and MAS XR.
METHODS: Sixty-two adults with ADHD were enrolled and 49 completed the study. The
treatment condition order (TID-qAM or qAM-TID) was counterbalanced across
participants, with an intervening washout period of >= 7 days. Adherence was
assessed via 3 measures: 1) self-report, 2) pill count, and 3) the Medication
Event Monitoring System (MEMS((r))). The primary efficacy measure was the ADHD
Rating Scale (ADHD-RS); secondary measures included the Time-Sensitive ADHD
Symptom Scale (TASS) and Clinical Global Impressions-Severity of Illness (CGI-S)
scale. RESULTS: Adherence to treatment as measured by self-report and pill count
was not significantly different between MAS XR and MAS IR. Adherence was
significantly better for MAS XR than MAS IR for all of the MEMS((r)) measures.
The mean change in ADHD-RS, TASS, and CGI-S scores at endpoint was significantly
improved for both MAS IR and MAS XR and did not differ significantly between
groups. There was not a significant adherence by efficacy interaction.
CONCLUSION: Adults with ADHD adhered equally well with MAS IR as with MAS XR when
assessed by pill count and self-report, but not by the MEMS((r)) measures. Both
treatments significantly reduced ADHD symptoms, and efficacy was not
significantly different between groups. Adherence was not associated with
treatment outcome.
PMID- 21904089
TI - Single-dose pharmacokinetics of NWP06, an extended-release methylphenidate
suspension, in children and adolescents with ADHD.
AB - BACKGROUND: Extended-release formulations of stimulants provide once-daily
treatment options for patients with attention-deficit/hyperactivity disorder
(ADHD). Such preparations are more convenient and may improve compliance, and
thus, improve outcomes. Currently, there is no extended-release liquid oral
preparation of any stimulant. As such, there is a medical need for a liquid
extended-release preparation of methylphenidate for the management of ADHD in
children who are unable or unwilling to swallow solid formulations. OBJECTIVE: To
evaluate the single-dose pharmacokinetics of an extended-release oral liquid
formulation of methylphenidate (NWP06) in pediatric subjects with ADHD. METHODS:
Subjects with ADHD received a single oral dose of NWP06 20 or 60 mg. Serial blood
samples were obtained before and after drug administration for determination of
plasma methylphenidate concentrations and standard pharmacokinetic parameters.
Dose- and weight-corrected pharmacokinetic parameters were presented by age group
(9-12 years and 13-15 years). RESULTS: A total of 14 youths (7 children aged 9-12
years and 7 adolescents aged 13-15 years) were enrolled and completed the study.
Body mass index ranged from 12.08 to 34.08 kg/m(2). Mean values of dose and body
weight-adjusted maximum plasma concentration (Cmax) (23.8, 22.3, 22.1, 25.7
[ng/mL]/mg) and area under the concentration-time curve (AUC) (208, 199, 239, 210
[hr.ng/mL]/[mg/kg]) were similar among all age/dose groups, suggesting dose
proportionality and a similar rate and extent of absorption in children and
adolescents. Values for Cmax were observed between 2 and 4 hours after the dose.
The elimination half-life and body weight-adjusted clearance also appeared to be
independent of dose and age. NWP06 was well tolerated with no serious adverse
events and no adverse event-related treatment discontinuations. CONCLUSION: There
were no age-related pharmacokinetic differences after oral administration of
NWP06 to children or adolescents in this small sample. Over the dose range of
methylphenidate used in this study (0.45-3.3 mg/kg), the pharmacokinetics of
NWP06 were linear and dose proportional.
PMID- 21904090
TI - PDI-4A: an augmented provisional screening instrument assessing 5 additional
common anxiety-related diagnoses in adult primary care patients.
AB - Patients with nonpsychotic mental health and emotional problems are commonly seen
by primary care physicians. The objective of this study was to expand the
Provisional Diagnostic Instrument-4 (PDI-4) to include a short self-report screen
for 5 common anxiety-related diagnoses: panic attack (PA), social phobia (SP),
obsessive-compulsive disorder (OCD), hypochondriasis, and post-traumatic stress
disorder (PTSD). Primary care patients (N = 343) were originally evaluated with a
self-report screen comprised of 85 Diagnostic and Statistical Manual of Mental
Disorders, Fourth Edition symptom-based candidate questions, then interviewed by
a trained rater for Structured Clinical Interview Research Version (SCID)/Adult
ADHD Clinician Diagnostic Scale version 1.2 (ACDS) assessment and diagnosis.
Responses to screening questions were used to calculate sensitivity and
specificity for an SCID diagnosis, and to select the optimal cutoffs in symptom
frequency for 1 or 2 questions for each additional anxiety-related diagnosis. The
PDI-4 Anxiety (PDI-4A) contains 6 items for provisional differential diagnosis of
PA, SP, OCD, hypochondriasis, and PTSD in addition to items for the PDI-4.
Sensitivities/specificities were: PA, 88%/68%; SP, 57%/70%; OCD, 88%/61%;
hypochondriasis, 67%/85%; and PTSD, 71%/72%. Screening for multiple common
anxiety diagnoses may be desirable, although limitations may include reduced
sensitivity and specificity for selected diagnoses. The PDI-4A may additionally
help primary care physicians identify patients with PA, SP, OCD, hypochondriasis,
and PTSD.
PMID- 21904091
TI - Understanding and diagnosing shift work disorder.
AB - A significant proportion of the workforce in industrialized countries (16%) are
employed as shift workers. These workers may be susceptible to shift work
disorder (SWD), a circadian rhythm sleep disorder, particularly those who work at
night or on early-morning shifts. Shift work disorder remains an underdiagnosed
and undertreated problem among this population. Patients with SWD have difficulty
initiating sleep and waking up. Often, these patients have excessive sleepiness
during their work shift. Shift work disorder has been associated with decreased
productivity, impaired safety, diminished quality of life, and adverse effects on
health. Several tools have been validated to assess excessive daytime sleepiness
and are often used to assess excessive nighttime sleepiness, such as that
experienced in patients with SWD, including the Epworth Sleepiness Scale and the
Multiple Sleep Latency Test. The criteria for diagnosing SWD as established by
the American Academy of Sleep Medicine (AASM) and published in the International
Classification of Sleep Disorders-Second Edition (ICSD-2) were most recently
updated in 2005 and thus do not contain newer agents approved for use in patients
with SWD. The symptoms of SWD can be treated using behavioral, prescription, and
nonprescription therapies. Current treatment guidelines suggest nonpharmacologic
interventions, such as exercise and exposure to light. In addition, medications
that contain melatonin or caffeine may have clinical benefits in some patients
with SWD. However, modafinil and armodafinil are approved by the US Food and Drug
Administration to improve wakefulness in patients with excessive sleepiness
associated with SWD, and recent data suggest a clinical benefit. The use of these
therapies can significantly improve sleep, performance, and quality of life for
patients with SWD.
PMID- 21904092
TI - Is shift work making your patient sick? Emerging theories and therapies for
treating shift work disorder.
AB - "Shift work" is a term that applies to a wide array of nontraditional work
schedules. Shift work disorder (SWD) is a circadian rhythm sleep disorder
experienced by a subset of shift workers that is characterized by excessive
sleepiness during work and/or insomnia during scheduled sleep times. It is
estimated to affect up to 2 million Americans, and is associated with increased
morbidity and mortality from metabolic risk factors, cardiovascular and
gastrointestinal diseases, depression, accidents, and some kinds of cancers.
Patient history is all that is needed to make a diagnosis with the International
Classification of Sleep Disorders-Second Edition criteria as described herein.
Circadian rhythm disorders, in which an underlying misalignment of circadian
rhythm with the sleep-wake cycle occurs, may be treated by behavioral and
pharmacologic approaches, including the use of hypnotics to improve the duration
of sleep. However, evidence is limited with these approaches in patients
diagnosed with SWD. Other treatment options may include pharmacologic
interventions such as modafinil and armodafinil, which have shown efficacy in
this population. Combined therapy can reduce insomnia and excessive sleepiness,
and improve attention and alertness during work shifts and the subsequent commute
home.
PMID- 21904093
TI - Exploring the correlates of intermediate CAG repeats in Huntington disease.
AB - OBJECTIVE: To explore the clinical phenotype in individuals with huntingtin gene
CAG repeat lengths between 27 and 35, a range that is termed "intermediate" and
below one traditionally considered diagnostic of Huntington disease (HD).
BACKGROUND: The Prospective Huntington Disease At-Risk Observational Study
(PHAROS) found that patients with intermediate CAG lengths overlapped with those
diagnosed as HD (>= 37 CAG repeats) on the Unified Huntington's Disease Rating
Scale (UHDRS) behavioral measures. Furthermore, several patients with
intermediate CAG repeats demonstrating clinical (and pathological) evidence of HD
have been reported. METHODS: We reviewed all cases with intermediate CAG repeats
who have presented to our clinic, as well as those reported in the literature.
RESULTS: We describe 4 patients with intermediate repeats evaluated at our center
whose clinical features were highly suggestive of HD. Investigations for HD
phenocopies were negative. Anticipation was demonstrated in 1 case with
supportive neuropathological evidence of HD. Additionally, we describe the
clinical features of 5 other patients reported in the literature. CONCLUSION:
Individuals with huntingtin gene CAG repeats in the intermediate (27-35) range
should be considered at risk for the development of HD, particularly if they have
a family history of HD, whether they exhibit clinical features of the disease.
PMID- 21904094
TI - Age of depressed patient does not affect clinical outcome in collaborative care
management.
AB - Clinical response and remission for the treatment of depression has been shown to
be improved utilizing collaborative care management (CCM). Prior studies have
indicated that the presence of mental health comorbidities noted by self-rated
screening tools at the intake for CCM are associated with worsening outcomes; few
have examined directly the impact of age on clinical response and remission. The
hypothesis was that when controlling for other mental health and demographic
variables, the age of the patient at implementation of CCM does not significantly
impact clinical outcome, and that CCM shows consistent efficacy across the adult
age spectrum. We performed a retrospective chart analysis of a cohort of 574
patients with a clinical diagnosis of major depression (not dysthymia) treated in
CCM who had 6 months of follow-up data. Using the age group as a categorical
variable in logistic regression models demonstrated that while maintaining
control of all other variables, age grouping remained a nonsignificant predictor
of clinical response (P >= 0.1842) and remission (P >= 0.1919) after 6 months of
treatment. In both models, a lower Generalized Anxiety Disorder-7 score and a
negative Mood Disorder Questionnaire score were predictive of clinical response
and remission. However, the initial Patient Health Questionnaire-9 score was a
statistically significant predictor only for clinical remission (P = 0.0094), not
for response (P = 0.0645), at 6 months. In a subset (n = 295) of the study
cohort, clinical remission at 12 months was also not associated with age grouping
(P >= 0.3355). The variables that were predictive of remission at 12 months were
the presence of clinical remission at 6 months (odds ratio [OR], 7.4820;
confidence interval [CI], 3.9301-14.0389; P < 0.0001), clinical response (with
persistent symptoms) (OR, 2.7722; CI, 1.1950-6.4313; P = 0.0176), and a lower
initial Patient Health Questionnaire-9 score (OR, 0.9121; CI, 0.8475-0.9816; P =
0.0140). Our study suggests that using CCM for depression treatment may transcend
age-related differences in depression and result in positive outcomes regardless
of age.
PMID- 21904095
TI - Bipolar disorder is common in depressed primary care patients.
AB - OBJECTIVE: To examine the prevalence and treatment of typical and subsyndromal
bipolar disorder (BD) in depressed primary care patients. METHODS: Patients with
a diagnosis of a mood disorder being treated with antidepressants in 2 academic
family practice clinics underwent a structured diagnostic interview (Mini
International Neuropsychiatric Inventory) for manic and hypomanic symptoms.
RESULTS: Of 58 patients evaluated, 19% met full criteria for bipolar I disorder
and 8.6% for bipolar II disorder; 31% had subsyndromal BD (ie, persistent elation
or irritability accompanied by additional symptoms of hypomania but not enough
symptoms to meet full criteria for bipolar I or II disorder). Only 5 patients
with BD were receiving mood stabilizers, which had not been instituted in any
patient by the primary care physician. CONCLUSIONS: The high prevalence of
patients meeting full criteria for BD and the low rate of identification of BD in
primary care patients are consistent with estimates using self-administered
questionnaires, but the interview revealed a substantial additional population
that could be considered to have subsyndromal BD. Because subsyndromal forms of
BD are associated with significant impairment and comorbidity as well as
progression to frank BD, recognition of both full and subthreshold BD in primary
care practice should be improved.
PMID- 21904096
TI - Review of current guidelines on the care of postherpetic neuralgia.
AB - An unfortunate minority of patients with acute herpes zoster (AHZ) experience
pain beyond the typical 4-week duration, and roughly 10% develop the distressing
complication of postherpetic neuralgia (PHN), often defined as pain persisting
for > 4 months after the onset of the rash. Elderly patients are at increased
risk of PHN. The pathophysiology of PHN is complex, likely involving both
peripheral and central processes. This complexity may create opportunities for
pharmacologic interventions with multiple differing mechanisms of action.
Consequently, complementary combinations of pharmacologic agents are frequently
more effective than any monotherapy. Current US and international guidelines on
the care of patients with PHN are reviewed and interpreted here to facilitate
their effective incorporation into the practice of primary care physicians,
acknowledging the contrasts that often exist between the clinical trial
populations analyzed to craft so-called evidence-based medicine and the
individual patients seen in daily practice, many of whom may not have been
candidates for those clinical trials. First-line treatments for PHN include
tricyclic antidepressants, gabapentin and pregabalin, and the topical lidocaine
5% patch. Opioids, tramadol, capsaicin cream, and the capsaicin 8% patch are
recommended as either second- or third-line therapies in different guidelines.
Therapies that have demonstrated effectiveness for other types of neuropathic
pain are discussed, such as serotonin-norepinephrine reuptake inhibitors, the
anticonvulsants carbamazepine and valproic acid, and botulinum toxin. Invasive
procedures such as sympathetic blockade, intrathecal steroids, and implantable
spinal cord stimulators have been studied for relief of PHN, mainly in patients
refractory to noninvasive pharmacologic interventions. The main guidelines
considered here are those issued by the American Academy of Neurology for the
treatment of postherpetic neuralgia (2004) and general guidelines for the
treatment of neuropathic pain issued by the Special Interest Group on Neuropathic
Pain of the International Association for the Study of Pain (2007) and the
European Federation of Neurological Societies (2010).
PMID- 21904097
TI - Management of chronic noncancer pain in depressed patients.
AB - Many patients with chronic noncancer pain present with comorbid depression, which
can greatly complicate the treatment of pain. Chronic pain and depression each
increase the risk of licit and illicit substance abuse, including the abuse of
opioids, and of suicide. Patients attempting suicide may overdose on opioids,
which are commonly perceived as potentially harmful, or acetaminophen, an agent
that is believed to be safe but is actually the leading cause of liver failure in
the United States. Opioids, acetaminophen, and nonsteroidal anti-inflammatory
drugs (NSAIDs) have the potential to interact with antidepressants, and their
adverse effects may be exacerbated by alcohol use, which is also common in
patients with depression. Topical NSAIDs, capsaicin, and lidocaine provide
effective analgesia for several pain conditions. These agents limit systemic drug
exposure, reducing the risk of systemic adverse events without risk of accidental
or deliberate overdose. However, use of topical agents is generally limited to
localized pain syndromes and therefore does not substantially eliminate the need
for systemic analgesics in those patients with diffuse persistent pain, central
sensitization, and opioid-responsive pain. This review will discuss the
challenges associated with treating chronic pain in depressed patients and will
provide recommendations for optimizing treatment.
PMID- 21904098
TI - Opioid titration and conversion in patients receiving morphine sulfate and
naltrexone hydrochloride extended release capsules.
AB - OBJECTIVE: To determine the number of steps and identify characteristics
associated with attaining a stable dose of morphine sulfate and sequestered
naltrexone extended release capsules (MS-sNT). PATIENTS AND METHODS: Data from an
open-label, long-term multicenter study designed to assess the safety of MS-sNT
for managing chronic (>= 3 m), moderate-to-severe pain were analyzed post hoc.
Initial MS-sNT dose was 20 mg twice daily (BID) for opioid-naive patients and 50%
to 75% of current daily opioid dose for opioid-experienced patients. Dose
adjustments upward/downward were allowed throughout the study with >= 3 days
between increases; opioid-experienced patients could increase >= 24 hours after
initial drug dispensing. Nonopioid analgesics were permitted as rescue
medication. Stable dose was defined post hoc as one maintained for 2 consecutive
study visits. RESULTS: Overall, 69% of patients (n = 319/465) achieved a stable
dose; 85% (n = 272) achieved a stable dose in <= 2 titration dose adjustments or
"steps," and 96% (n = 305) achieved a stable dose in <= 4 steps. The mean time to
stable dose was 28.9 days (standard deviation [SD], 34.1 days); the median was 12
days. A stable dose was achieved in 70% (118/168) of opioid-naive patients (mean,
24.2 days [SD, 33.4 days]; median, 8 days) and 68% (201/297) of opioid
experienced patients (mean, 31.7 days [SD, 34.3 days]; median, 25 days). A stable
dose was achieved by 79% (19/24) of patients who previously used morphine, 64%
(27/42) who used oxycodone, 59% (47/79) who used hydrocodone, and 71% (83/117)
who used multiple opioids. Baseline pain scores were similar between patients who
did and did not achieve a stable dose. At the time of stable dose achievement,
average, least, worst, and current pain were all decreased from baseline.
CONCLUSIONS: The study provides information about anticipated rates of achieving
stable opioid dose in patients who received MS-sNT for up to 1 year to manage
chronic, moderate-to-severe pain. Both opioid-naive and opioid-experienced
patients achieved a stable dose of MS-sNT, generally in <= 2 steps. Opioid
experience and previous opioid use may influence ability to achieve a stable dose
and number of steps required. More studies are needed on the anticipated
experience of opioid titration/conversion to help physicians and patients set
expectations for initiation of and conversion between opioid therapies.
PMID- 21904099
TI - Psychological risk factors and cardiovascular disease: is it all in your head?
AB - Psychological stress has been shown to be associated with cardiovascular disease.
Over the past few decades, there has been an increasing interest in this
relationship, leading to a growing pool of clinical and epidemiological data on
the subject. Psychological stress has multiple etiologies, which include
behavioral causes, acute events or stressors, and/or chronic stress. Cardiac
rehabilitation and exercise therapy have been shown to provide protection in
primary and secondary coronary heart disease prevention, as well as improve
overall morbidity and mortality. In this article, we review the available data
regarding the association between psychological stress and cardiovascular
disease, as well as the impact of cardiac rehabilitation and exercise therapy on
psychological stress-related cardiovascular events.
PMID- 21904100
TI - The role of the primary care physician in managing early stages of chronic kidney
disease.
AB - Recent increases in obesity, diabetes, and hypertension, along with the aging of
the US population, are driving a dramatic rise in the prevalence of chronic
kidney disease (CKD). Despite this increase, the majority of Americans with early
stage CKD remain unaware of their disease. Primary care physicians are at the
forefront of efforts for early recognition of CKD and management to control its
progression. Patients with CKD should be referred to nephrologists no later than
the point at which their estimated glomerular filtration rate reaches 30 mL/min.
Nephrology evaluation at this point is essential to facilitate timely preparation
for care of end-stage renal disease through preemptive transplantation or planned
transition to dialysis. In addition to stringent control of underlying
hypertension and/or diabetes, mineral metabolic parameters (serum parathyroid
hormone, phosphorus, calcium, and bicarbonate) in patients with advancing CKD
should be managed closely to avoid adverse effects on the cardiovascular and
skeletal systems.
PMID- 21904101
TI - Safety and efficacy of home-based subcutaneous immunoglobulin G in elderly
patients with primary immunodeficiency diseases.
AB - BACKGROUND: Subcutaneous immunoglobulin (SCIG) is as effective as intravenous
immunoglobulin (IVIG) in minimizing infections in patients with primary
immunodeficiency diseases (PIDD) and is associated with fewer systemic adverse
events (AEs). Self-infusion/home-based infusion of SCIG improves quality of life
and may lower treatment costs compared with hospital or office-based IVIG
therapy, but its suitability has not been assessed in elderly patients (>= 65
years). METHODS: We conducted a retrospective chart review of 47 elderly patients
with PIDD in a single clinical practice in the United States to evaluate the
practicality, safety, and efficacy of home-based SCIG infusions in elderly
patients with PIDD over a 13-month period. Measurements included baseline disease
characteristics, previous and current immunoglobulin G (IgG) replacement
regimens, self-administered versus assisted SCIG infusions, SCIG infusion
parameters, serum IgG levels, infections, and AEs. RESULTS: Forty-seven of 111
elderly patients (42%) treated with IgG in this practice elected to receive SCIG.
All 47 patients received SCIG infusions at home; 39 (83.0%) self-infused the
medication. Most patients (n = 46; 98%) received weekly infusions, requiring a
mean duration of 65.3 minutes. The mean SCIG dose of 103 mg/kg/week resulted in a
mean steady-state serum IgG concentration of 1074 mg/dL. Two patients experienced
serious infections on SCIG: an exacerbation of chronic obstructive pulmonary
disease/bronchitis, and an abscess. There were no serious systemic AEs. Local
injection site reactions, including swelling, redness, burning, or itching, were
considered mild or moderate by the patients and resolved within 24 hours. No
bruising, bleeding, or skin breakdown occurred, despite concomitant anticoagulant
or platelet inhibitor treatment in 45% of patients. Two patients discontinued
home-based SCIG, but did not continue any IgG treatment. No patient switched from
SCIG to another route of IgG treatment. CONCLUSIONS: Home-based SCIG is safe and
effective in elderly patients with PIDD, most of whom can self-infuse. Infection
rates were low, and no AEs or difficulties in administering SCIG occurred that
resulted in treatment discontinuation.
PMID- 21904102
TI - Key concepts of clinical trials: a narrative review.
AB - The recent focus of federal funding on comparative effectiveness research
underscores the importance of clinical trials in the practice of evidence-based
medicine and health care reform. The impact of clinical trials not only extends
to the individual patient by establishing a broader selection of effective
therapies, but also to society as a whole by enhancing the value of health care
provided. However, clinical trials also have the potential to pose unknown risks
to their participants, and biased knowledge extracted from flawed clinical trials
may lead to the inadvertent harm of patients. Although conducting a well-designed
clinical trial may appear straightforward, it is founded on rigorous methodology
and oversight governed by key ethical principles. In this review, we provide an
overview of the ethical foundations of trial design, trial oversight, and the
process of obtaining approval of a therapeutic, from its pre-clinical phase to
post-marketing surveillance. This narrative review is based on a course in
clinical trials developed by one of the authors (DJM), and is supplemented by a
PubMed search predating January 2011 using the keywords "randomized controlled
trial," "patient/clinical research," "ethics," "phase IV," "data and safety
monitoring board," and "surrogate endpoint." With an understanding of the key
principles in designing and implementing clinical trials, health care providers
can partner with the pharmaceutical industry and regulatory bodies to effectively
compare medical therapies and thereby meet one of the essential goals of health
care reform.
PMID- 21904104
TI - Primary care physician attitudes and practice patterns in the management of obese
adults: results from a national survey.
AB - PURPOSE: Obesity remains a serious public health problem. The purpose of this
study was to identify the current attitudes and practices of primary care
physicians (PCPs) with respect to obesity. METHODS: A survey was systematically
developed and administered electronically to PCPs, who received a small
honorarium for their time. Results were analyzed to identify specific attitudes
and practices and their associations with each other and with demographic and
other variables. RESULTS: Physicians expressed little confidence in their ability
to manage obesity. In general, however, they believed that obesity could be
successfully managed. Lifestyle changes were perceived to be the most effective
available method for patients to lose weight, and respondents were more likely to
recommend this approach over pharmacotherapy or bariatric surgery. Respondents
perceive the greatest barrier to managing obese patients to be a lack of patient
motivation. Physicians were significantly more likely to initiate discussions
with obese patients about their weight if they believed they had positive
attitudes about and knowledge of weight management, and adequate resources to
manage the problem. CONCLUSIONS: Physicians report a lack of confidence in
managing obesity. Lack of patient motivation is perceived to be the greatest
barrier. Physicians with greater knowledge, more positive attitudes toward
obesity management, and access to more resources are more likely to provide
weight management in primary care settings.
PMID- 21904103
TI - Effect of a behavioral/nutritional intervention program on weight loss in obese
adults: a randomized controlled trial.
AB - BACKGROUND: Health professionals are in need of more information about the weight
loss outcomes of using commercial weight-loss programs. OBJECTIVE: A commercial
behavioral intervention program using meal replacements, fruits, and vegetables
(MR-FV) was compared with usual-care weight-loss counseling. DESIGN: Obese
volunteers were randomly allocated to usual-care weight-loss counseling or
participation in an MR-FV standardized behavioral education program. The MR-FV
group attended weekly weight-loss classes for 16 weeks and weekly maintenance
classes for 8 weeks. PARTICIPANTS/SETTING: Obese women or men aged 20 to 65 years
were recruited from the community through a commercial program provided at a
university medical center. INTERVENTION: The control group (C group) received
weight-management counseling from an experienced dietitian, while the
intervention group (MR-FV group) participated in scheduled behavioral weight-loss
classes. The MR-FV group participants were encouraged to consume 3 shakes, 2
entrees, and 5 servings of fruits or vegetables daily, and achieve physical
activity goals (expend >= 8.4 mJ/week); they kept records and placed midweek
phone calls. The C group was counseled at baseline, 8 weeks, and 16 weeks.
OUTCOME MEASURES: Both groups were seen at baseline, and 8, 16, and 24 weeks for
assessment of weight, risk factors, and side effects. STATISTICAL ANALYSIS: The
primary data analysis was intention to treat. RESULTS: Thirteen of 16 subjects
(81.3%) in the C group and 18 of 22 subjects (81.8%) in the MR-FV group completed
the 24-week study. Mean weight losses for the C group were: 1.3% (standard error
[SE], 0.9) at 8 weeks; 0.7% (SE, 1.1) at 16 weeks; and 0.7% (SE, 1.1) or 0.7 kg
at 24 weeks. Mean weight losses for the MR-FV group were: 8.5% (SE, 0.6) at 8
weeks, 12.5% (SE, 0.9) at 16 weeks, and 13.9% (SE, 1.1) or 13.7 kg at 24 weeks.
CONCLUSIONS: A behavioral intervention with a low-energy diet including 5 meal
replacements and 5 servings of fruits or vegetables enabled obese individuals to
lose 13 kg more than control subjects over a 24-week period.
PMID- 21904105
TI - Treatment of rheumatoid arthritis with roxithromycin: a randomized trial.
AB - BACKGROUND: High levels of antibodies to oral anaerobic bacteria have been found
in the serum and synovial fluid of patients with rheumatoid arthritis (RA).
Macrolide antibiotics are active against oral anaerobic bacteria. The aim of this
trial was to evaluate the efficacy of roxithromycin in patients with RA who had
not responded to disease-modifying antirheumatic drugs. METHODS: This was a 6
month, randomized, double-blind, placebo-controlled trial. We treated 100
patients with active RA with either once-daily oral roxithromycin 300 mg or daily
oral placebo for 6 months. The primary efficacy variable was the percentage of
patients who had a 20% improvement according to the American College of
Rheumatology (ACR) criteria (an ACR20 response) at 6 months. Secondary outcome
measures were 50% improvement and 70% improvement according to ACR criteria (an
ACR50 response and an ACR70 response, respectively). RESULTS: A significantly
greater percentage of patients treated with roxithromycin 300 mg met the ACR 20%
improvement criteria (ie, achieved an ACR20 response) at 6 months compared with
patients who received placebo (60% vs 34%; P = 0.009). Greater percentages of
patients treated with roxithromycin 300 mg also achieved ACR50 responses (38% vs
12%; P = 0.003) and ACR70 responses (18% vs 2%; P = 0.008) compared with patients
who received placebo. Roxithromycin was well tolerated, with an overall safety
profile similar to that of placebo. CONCLUSION: In patients with active RA,
treatment with roxithromycin significantly improved the signs and symptoms of RA.
PMID- 21904106
TI - Clinical implications of exenatide as a twice-daily or once-weekly therapy for
type 2 diabetes.
AB - Exenatide (exendin-4) is a 39-amino acid peptide belonging to the glucagon-like
peptide-1 (GLP-1) receptor agonist class that has been demonstrated to improve
glycemic control in patients with type 2 diabetes mellitus. Exenatide can be
injected twice daily (ExBID) before meals or once weekly (ExQW) when encompassed
within dissolvable poly-(D,L-lactide-co-glycolide) microspheres. The primary
difference between these formulations is the plasma concentration of exenatide
over time, with the long-acting form providing continuous delivery. Clinical
trials have examined the similarities and differences in the efficacy and
safety/tolerability outcomes of these formulations. In 2 clinical studies
spanning 24 and 30 weeks, significant (P < 0.05) reductions from baseline were
observed in fasting plasma glucose (ExBID, -12 and -25 mg/dL; ExQW, -35 and -41
mg/dL), postprandial glucose (ExBID, -124 mg/dL; ExQW, -95 mg/dL), and glycated
hemoglobin (HbA1c) (ExBID, -0.9% and -1.5%; ExQW, -1.6% and -1.9%). Reductions in
body weight from baseline were significant and similar with both treatments
(ExBID, -1.4 and -3.6 kg; ExQW, -2.3 and -3.7 kg). Reductions in systolic blood
pressure from baseline were observed with both formulations, particularly in
patients who were hypertensive at baseline. Beneficial improvements in lipid
profiles were small and fluctuated in significance. Patients reported greater
treatment satisfaction with ExQW compared with ExBID dosing. Gastrointestinal
adverse events were commonly observed with both formulations but were less
frequent with ExQW. These events were of mild-to-moderate intensity and rarely
led to discontinuation. Real-world data for ExBID demonstrated decreases in
HbA1c, fasting plasma glucose, and body weight that were consistent with clinical
trial results. Cases of pancreatitis or renal impairment have been reported in
patients treated with ExBID, although no causal relationship with treatment has
been shown. This review describes the similarities and differences between
exenatide delivered as a twice-daily or as a once-weekly injection to provide a
better understanding of the clinical effects and potential clinical uses of each.
PMID- 21904107
TI - Next-generation GLP-1 therapy: an introduction to liraglutide.
AB - Liraglutide, a once-daily human glucagon-like peptide-1 (GLP-1) analog, was
approved by the US Food and Drug Administration in 2010 for the treatment of type
2 diabetes mellitus (T2DM). Glucagon-like peptide-1 enhances insulin secretion
and inhibits glucagon in a glucose-dependent manner. The efficacy and safety of
liraglutide were evaluated in 6 phase 3 trials in > 4000 patients in the
Liraglutide Effect and Action in Diabetes (LEAD) program, in another trial in
comparison with sitagliptin, and in another trial where basal insulin was added
to liraglutide + metformin. At liraglutide doses of 1.2 mg or 1.8 mg once daily,
significant mean reductions in glycated hemoglobin (HbA1c) (1%-1.6%) and fasting
plasma glucose (15-43 mg/dL), as well as sustained weight loss (2-3 kg) and a low
rate of hypoglycemia occurred. Mild and transient nausea, reported in 6% to 41%
of patients, was the most frequent adverse event reported. Incretin-based
therapies such as liraglutide provide an important expansion of options for the
treatment of T2DM.
PMID- 21904108
TI - Letter to the editor: The importance of differentiating between topical NSAIDs.
PMID- 21904110
TI - Induction of steroid sulfatase expression by tumor necrosis factor-alpha through
phosphatidylinositol 3-kinase/Akt signaling pathway in PC-3 human prostate cancer
cells.
AB - Steroid sulfatase (STS) is responsible for the hydrolysis of aryl and alkyl
steroid sulfates and has a pivotal role in regulating the formation of
biologically active estrogens. STS may be considered a new promising drug target
for treating estrogen-mediated carcinogenesis. However, the molecular mechanism
of STS expression is not well-known. To investigate whether tumor necrosis factor
(TNF)-alpha is able to regulate gene transcription of STS, we studied the effect
of TNF-alpha on STS expression in PC-3 human prostate cancer cells. RT-PCR and
Western blot analysis showed that TNF-alpha significantly induced the expression
of STS mRNA and protein in a concentration- and time-dependent manner. Treatment
with TNF-alpha resulted in a strong increase in the phosphorylation of Akt on Ser
473 and when cells were treated with phosphatidylinositol (PI) 3-kinase
inhibitors such as LY294002 or wortmannin, or Akt inhibitor (Akt inhibitor IV),
induction of STS mRNA expression by TNF-alpha was significantly prevented.
Moreover, activation of Akt1 by expressing the constitutively active form of Akt1
increased STS expression whereas dominant-negative Akt suppressed TNF-alpha
mediated STS induction. We also found that TNF-alpha is able to increase STS mRNA
expression in other human cancer cells such as LNCaP, MDA-MB-231, and MCF-7 as
well as PC-3 cells. Taken together, our results strongly suggest that PI 3
kinase/Akt activation mediates induction of human STS gene expression by TNF
alpha in human cancer cells.
PMID- 21904111
TI - Interaction of TCP4-mediated growth module with phytohormones.
AB - TCP4 and related members of class II TCP genes regulate leaf morphogenesis. We
earlier demonstrated that level of TCP4 activity determines leaf size and aspects
of plant maturity. The mechanism of TCP function and their target genes remain
unidentified, limiting our understanding of TCP-mediated growth control. As leaf
growth is influenced simultaneously by multiple phytohormones, we have studied if
TCP4 interacts with any of the hormone-response pathways. Our analyses indicate a
role for auxin, gibberellic acid and abscisic acid in TCP4-mediated control of
leaf growth.
PMID- 21904112
TI - A non-destructive screenable marker, OsFAST, for identifying transgenic rice
seeds.
AB - The production of transgenic plants has contributed greatly to plant research.
Previously, an improved method for screening transgenic Arabidopsis thaliana
seeds using the FAST (Fluorescence-Accumulating-Seed Technology) method and FAST
marker was reported. Arabidopsis seeds containing the FAST marker may be visually
screened using a fluorescence stereomicroscope or blue LED handy-type instrument.
Although the FAST method was originally designed for Arabidopsis screens, this
study endeavors to adapt this method for the screening of other plants. Here, an
optimized technology, designated the OsFAST method, is presented as a useful tool
for screening transgenic rice seeds. The OsFAST method is based on the expression
of the OsFAST-G marker under the control of a seed-embryo-specific promoter,
similar to the Arabidopsis FAST-G marker. The OsFAST method provides a simple and
non-destructive method for identifying transgenic rice seeds. It is proposed that
the FAST method is adaptable to various plant species and will enable a deeper
analysis of the floral-dip method.
PMID- 21904113
TI - Evolutionary origin of rhizobium Nod factor signaling.
AB - For over two decades now, it is known that the nodule symbiosis between legume
plants and nitrogen fixing rhizobium bacteria is set in motion by the bacterial
signal molecule named nodulation (Nod) factor. Upon Nod factor perception a
signaling cascade is activated that is also essential for endomycorrhizal
symbiosis (Fig. 1). This suggests that rhizobium co-opted the evolutionary far
more ancient mycorrhizal signaling pathway in order to establish an endosymbiotic
interaction with legumes. As arbuscular mycorrhizal fungi of the Glomeromycota
phylum can establish a symbiosis with the fast majority of land plants, it is
most probable that this signaling cascade is wide spread in plant kingdom.
However, Nod factor perception generally is considered to be unique to legumes.
Two recent breakthroughs on the evolutionary origin of Rhizobium Nod factor
signaling demonstrate that this is not the case. The purification of Nod factor
like molecules excreted by the mycorrhizal fungus Glomus intraradices and the
role of the LysM-type Nod factor receptor PaNFP in the non-legume Parasponia
andersonii provide novel understanding on the evolution of rhizobial Nod factor
signaling.
PMID- 21904114
TI - Mannan synthase activity in the CSLD family.
AB - Cellulose Synthase Like (CSL) proteins are a group of plant glycosyltransferases
that are predicted to synthesize beta-1,4-linked polysaccharide backbones. CSLC,
CSLF and CSLH families have been confirmed to synthesize xyloglucan and mixed
linkage beta-glucan, while CSLA family proteins have been shown to synthesize
mannans. The polysaccharide products of the five remaining CSL families have not
been determined. Five CSLD genes have been identified in Arabidopsis thaliana and
a role in cell wall biosynthesis has been demonstrated by reverse genetics. We
have extended past research by producing a series of double and triple
Arabidopsis mutants and gathered evidence that CSLD2, CSLD3 and CSLD5 are
involved in mannan synthesis and that their products are necessary for the
transition between early developmental stages in Arabidopsis. Moreover, our data
revealed a complex interaction between the three glycosyltransferases and brought
new evidence regarding the formation of non-cellulosic polysaccharides through
multimeric complexes.
PMID- 21904116
TI - Resveratrol fails to improve marginal mass engraftment of transplanted islets of
Langerhans in mice.
AB - One limitation of current islet transplantation protocols is the loss of up to
70% of the transplanted islet mass. Inflammatory events play a major role in
islet loss including the cytokines TNFalpha and IL-1. Resveratrol, a compound
with anti-inflammatory and anti-oxidant properties, has the potential to mitigate
islet loss. Using a syngeneic marginal after mouse islet transplantation model
we tested the ability of resveratrol to enhance islet engraftment. We failed to
show a difference in diabetes reversal between mice treated with vehicle and
those treated with either 10 mg/kg (47.1% for resveratrol vs. 35.3% for control)
or 50 mg/kg (20% for resveratrol vs. 22.2% for control) of resveratrol daily for
three weeks. In addition, at one month there was no difference in glucose
tolerance or graft survival (10 mg/kg: 552.6 ng/ml resveratrol group vs. 576.6
ng/ml control group; 50 mg/kg: 463 ng/ml resveratrol group vs. 444.1 ng/ml
control group). In summary, over a wide range of doses, resveratrol did not exert
a benefit on mouse islet engraftment. Further studies should be conducted with
human islets before deeming resveratrol ineffective in islet engraftment and
survival.
PMID- 21904115
TI - AtMKK6 and AtMPK13 are required for lateral root formation in Arabidopsis.
AB - The mitogen-activated protein (MAP) kinase cascades are important signaling
components that mediate various biological pathwaysin all eukaryotic cells. In
our recent publication,1 we identified AtMPK4 as one of the downstream targets of
AtMKK6 that is required for executing male-specific meiotic cytokinesis. Here we
provide evidence that another target, AtMPK13, is developmentally co-expressed
with AtMKK6 in Arabidopsis, and both AtMPK13 and AtMKK6 display high
Promoter::GUS activity in the primary root tips and at the lateral root
primordia. Partial suppression of either AtMKK6 or AtMPK13 expression
significantly reduces the number of lateral roots in the transgenic lines,
suggesting that the AtMKK6-AtMPK13 module positively regulates lateral root
formation.
PMID- 21904117
TI - Casparian strip development and its potential function in salt tolerance.
AB - The root system is particularly affected by unfavourable conditions because it is
in direct contact with the soil environment. Casparian strips, a specialised
structure deposited in anticlinal walls, are characterised by the impregnation of
the primary wall pores with lignin and suberin. The Casparian strips in the endo-
and exodermis of vascular plant roots appear to play an important role in
preventing the non-selective apoplastic bypass of salts into the stele along the
apoplast under salt stress. However, only a few investigations have examined the
deposition and function of these apoplastic barriers in response to salt stress
in higher plants.
PMID- 21904118
TI - Hydrogen sulfide effects on stomatal apertures.
AB - Hydrogen sulfide (H(2)S) has recently been reported to be a signaling molecule in
plants. It has been well established that is has such roles in animals and it has
been suggested that it is included into the group of gasotransmitters. We have
recently shown that hydrogen sulfide causes stomatal opening in the model plant
Arabidopsis thaliana. H(2)S can be supplied to the plant tissues from donors such
as sodium hydrosulfide (NaSH) or more recently from slow release H(2)S donor
molecules such as GYY4137. Both give similar effects, that is, they cause
stomatal opening. Furthermore both H(2)S donors reduced the accumulation of
nitric oxide (NO) induced by abscisic acid (ABA) treatment of leaf tissues. Here
similar work has been repeated in a crop plant, Capsium anuum, and similar data
has been obtained, suggesting that such effects of hydrogen sulfide on plants is
not confined to model species.
PMID- 21904120
TI - The first use of an investigational multicomponent meningococcal serogroup B
vaccine (4CMenB) in humans.
AB - BACKGROUND: Neisseria meningitidis serogroup B is a well-recognized cause of
bacterial meningitis and sepsis for which no broadly protective vaccine exists.
Whole genome sequencing was used to identify three antigens: factor H binding
protein (fHbp), Neisserial adhesin A (NadA), and Neisseria heparin binding
antigen (NHBA) for an investigational vaccine candidate (rMenB). This was the
first trial of an investigational multicomponent meningococcal serogroup B
vaccine (4CMenB), containing rMenB and outer membrane vesicles (OMV) from the New
Zealand epidemic strain in humans. RESULTS: Seventy adults enrolled and received
study vaccine. All vaccines were generally well tolerated. Immune responses were
observed to multiple serogroup B strains following all investigational vaccines,
suggesting the potential for broad coverage against this serogroup.
Immunogenicity was enhanced by the addition of OMV; the 4CMenB displayed the
optimal profile for further investigation. METHODS: In a phase I, observer blind,
randomized trial, healthy adults (18-40 years of age) were randomized 2:2:1 to
receive 3 doses of 4CMenB, rMenB with OMV from the Norwegian outbreak strain, or
rMenB alone. Pre- and postvaccination sera were evaluated in a serum bactericidal
assay using human complement (hSBA) against a panel of 15 serogroup B strains,
with titers >= 4 considered protective. Solicited injection site and systemic
reactions were evaluated for 7 days following each vaccination and adverse events
were reported throughout the study. CONCLUSION: In this trial, 4CMenB displayed a
favorable profile for further clinical development. 4CMenB demonstrated
immunogenicity against multiple heterologous serogroup B strains. All vaccines
were generally well tolerated in this study.
PMID- 21904122
TI - Bibliography. Atherosclerosis: cell biology and lipoproteins. Current world
literature.
PMID- 21904123
TI - Controversies in nutrition: calcium and heart disease is there a problem?
PMID- 21904119
TI - Interactions between enhancer of rudimentary and Notch and deltex reveal a
regulatory function of enhancer of rudimentary in the Notch signaling pathway in
Drosophila melanogaster.
AB - Enhancer of rudimentary, e(r), encodes a small nuclear protein, ER, that has been
implicated in the regulation of pyrimidine metabolism, DNA replication, and cell
proliferation. In Drosophila melanogaster, a new recessive Notch allele, N (nd-p)
, was isolated as a lethal in combination with an e(r) allele, e(r) (p2) . Both
mutants are viable as single mutants. N (nd-p) is caused by a P-element insertion
in the 5' UTR, 378-bp upstream of the start of translation. Together the
molecular and genetic data argue that N (nd-p) is a hypomorphic allele of N. The
three viable notchoid alleles, N (nd-p) , N (nd-1) , and N (nd-3) , are lethal in
combination with e(r) (-) alleles. Our present hypothesis is that e(r) is a
positive regulator of the Notch signaling pathway and that the lethality of the N
e(r) double mutants is caused by a reduction in the expression of the pathway.
This is supported by the rescue of the lethality by a mutation in Hairless, a
negative regulator of N, and by the synthetic lethality of dx e(r) double
mutants. Further support for the hypothesis is a reduction in E(spl) expression
in an e(r) (-) mutant. Immunostaining localizes ER to the nucleus, suggesting a
nuclear function for ER. A role in the Notch signaling pathway, suggests that
e(r) may be expressed in the nervous system. This turns out to be the case, as
immunostaining of ER shows that ER is localized to the developing CNS.
PMID- 21904124
TI - Genetics and molecular biology: fatty acid metabolism in cancer cell survival;
carnitine palmitoyltransferase-1 as a critical anticancer target.
PMID- 21904125
TI - Atherosclerosis: cell biology and lipoproteins.
PMID- 21904126
TI - Lipid metabolism.
PMID- 21904128
TI - Anal vector volumetry: a bridge too far.
PMID- 21904132
TI - Sessile serrated adenoma/polyp of the large intestine: a potentially aggressive
lesion in need of a new screening strategy.
PMID- 21904133
TI - Choosing your goals.
PMID- 21904134
TI - Who performs proctectomy for rectal cancer in the United States?
AB - BACKGROUND: There is wide variation in surgical care for rectal cancer in the
United States. OBJECTIVE: This study aimed to assess the differences in
individual surgeon procedural profiles that might explain variations in the rates
of restorative vs nonrestorative proctectomy for rectal cancer. DESIGN: This
study was a retrospective examination of a cohort derived from trackable state
hospital discharge data from 11 states. PATIENTS: We identified all patients with
rectal cancer that underwent restorative proctectomy (sphincter-sparing surgery)
vs nonrestorative proctectomy (colostomy formation) over a 24-month study period
(January 1, 2003 through December 31, 2004). INTERVENTION: We developed an
inpatient procedural profile of each treating surgeon's practice across general
surgery procedure codes and summed the number of restorative vs nonrestorative
proctectomies for rectal cancer by surgeon. MAIN OUTCOME MEASURES: The primary
outcome measures were nonrestorative proctectomy, mortality, and length of stay.
RESULTS: A total of 7519 proctectomies were performed for rectal cancer by 2588
surgeons. During the 24-month study period, 1003 (38.8%) surgeons performed only
nonrestorative procedures for rectal cancer. On multivariate analysis, the
likelihood that a surgeon performed only nonrestorative procedures was increased
if that surgeon performed more integumentary procedures and decreased if the
surgeon performed at least one ileoanal pouch procedure or more anorectal
procedures. Patients who underwent proctectomy by surgeons who performed only
nonrestorative procedures had significantly higher mortality (2.5 +/- 0.7%) and
longer length of stay (11.3 +/- 8.8 days) in comparison with those patients
treated by surgeons who performed both restorative and nonrestorative procedures
(1.3 +/- 0.3% mortality and 9.2 +/- 6.9 days, P < .001 for both analyses). The
volume of proctectomy performed significantly affected all analyses. LIMITATIONS:
: The retrospective design introduces potential selection bias. CONCLUSIONS: Over
a 24-month period, 38.8% of surgeons performed only nonrestorative procedures for
rectal cancer. These surgeons did not regularly perform anorectal or ileoanal
pouch procedures, suggesting that they may not have a focus on colorectal disease
in their practice; they had significantly higher mortality and length of stay for
their patients who underwent proctectomy for rectal cancer.
PMID- 21904135
TI - Individuals with sessile serrated polyps express an aggressive colorectal
phenotype.
AB - BACKGROUND: Sessile serrated polyps are precursors of colorectal cancer arising
from molecular pathways distinct from conventional adenomas. The association
between sessile serrated polyps and conventional adenomas is not well known.
OBJECTIVE: We hypothesize that individuals who have coexistent sessile serrated
polyps and conventional adenomas express a more severe phenotype than those
harboring lesions from only one pathway. We compare colorectal phenotypes among
individuals with sessile serrated polyps, those with conventional adenomas, and
those expressing both. DESIGN: This investigation is a retrospective cross
sectional study of 3 cohorts. SETTINGS: This study was conducted in multiple
centers within 1 health care system. PATIENTS: Individuals with sessile serrated
polyps and/or conventional adenomas on first lifetime colonoscopy were included
in the study. MAIN OUTCOME MEASURES: The demographics and polyp characteristics
were compared among 3 cohorts to determine the differences in phenotypic
expression. RESULTS: Two hundred sixty individuals with sessile serrated polyps
and 173 with only conventional adenomas were included. The disease phenotype was
most severe in individuals with coexistent sessile serrated polyps and adenomas.
The sessile serrated polyps in this cohort were larger (P = .01) than in the
serrated-only cohort. The conventional adenomas in this cohort were more numerous
(P = .035) and more advanced (P = .046) than in the adenoma-only cohort.
Synchronous colorectal cancers were found exclusively in the cohorts with sessile
serrated polyps, although this did not reach statistical significance (P = .06).
LIMITATIONS: Cross-sectional design precluded the ability to assess for
metachronous lesions. Sessile serrated polyps, but not all polyps, were reviewed.
CONCLUSIONS: Individuals who coexpress sessile serrated polyps and conventional
adenomas have an aggressive colorectal phenotype. They harbor larger sessile
serrated polyps and more numerous and advanced adenomas than individuals with
only sessile serrated polyps or adenomas. Synchronous colorectal cancers were
found exclusively in cohorts with sessile serrated polyps. Individuals with
sessile serrated polyps, especially with coexistent conventional adenomas, appear
to be a high-risk group, which needs to be accounted for when calculating
postpolypectomy surveillance intervals.
PMID- 21904136
TI - Pathways of carcinogenesis are reflected in patterns of polyp pathology in
patients screened for colorectal cancer.
AB - BACKGROUND: There are multiple genetic routes to colorectal cancer, including
chromosomal instability, mismatch repair dysfunction, and global
hypermethylation. Few consider the possibility that multiple pathways are
synchronously active. OBJECTIVE: This study was conducted to test the hypothesis
that multiple synchronous carcinogenic pathways would result in an enhanced
neoplastic phenotype. SETTING: This study took place during outpatient screening
colonoscopy. PATIENTS: Patient were included who were undergoing colonoscopies
for average and familial risk for colorectal cancer. DESIGN: Adenomas were
evidence of chromosomal instability or DNA mismatch repair dysfunction, and
serrated polyps of CpG island hypermethylation. Patients with 1 or 2 polyps were
compared with those with >2 polyps, with polyps more than 10-mm diameter
(advanced) as the end point. RESULTS: There were 1408 patients: 524 at average
risk (41%) and 884 (59%) with a family history. Polyps were found in 47.7% of the
average-risk patients and in 45.9% of patients with a family history. Adenoma
detection rates were 33.8% and 30.4%, and serrated polyp detection rates were
24.8% and 23.9%. There were more advanced polyps in all patients with >2 polyps
than in those with 1 or 2 (36.2% vs 13.6%, P < .002), as well as in the subgroup
of patients having average-risk screening (50% vs 11.1%, P < .001). Having a
combination of >2 adenomas and serrated polyps in the same colon increased the
risk of finding advanced polyps compared with adenomas or serrated polyps alone
(serrated polyps, 12.7%; >2 adenomas, 17.7%; both, 27.1%; P = .02). LIMITATIONS:
Serrated polyps were not subclassified by histology. CONCLUSION: Coexistence of
serrated and adenomatous polyps reflects a colon prone to advanced polyps, and
potentially cancer.
PMID- 21904137
TI - Family history, surgery, and APC mutation are risk factors for desmoid tumors in
familial adenomatous polyposis: an international cohort study.
AB - BACKGROUND: Ability to identify patients with familial adenomatous polyposis who
have a high risk of developing desmoid tumors may affect decisions in clinical
practice. OBJECTIVES: Our aim was to assess several risk factors for desmoid
tumor development in an international cohort of patients with familial
adenomatous polyposis and to evaluate the clinical relevance of risk factors.
DESIGN: This was a retrospective cohort study. SETTING AND PATIENTS: Polyposis
registries in The Netherlands, France, Denmark, Finland, and Italy provided
information on familial adenomatous polyposis patients with desmoid tumors. MAIN
OUTCOME MEASURES: We used univariate and multivariable analyses of data from
registries in The Netherlands, France, Denmark, and Finland to test whether
gender, APC mutation site, previous colorectal surgery, colorectal cancer, and
family history for desmoid tumors contribute to risk of developing desmoid tumors
at any location, or specifically at an intra-abdominal location. The effect of
family history was tested with a generalized linear mixed model. RESULTS: : Of
2260 patients with familial adenomatous polyposis from 912 families in The
Netherlands, France, Denmark, and Finland, 220 patients (10%) had desmoid tumors
(101 men). In 387 patients with desmoid tumors (including 167 patients from the
Italian registry), the median age at diagnosis of the first desmoid tumor was 31
years (range, 4 months-74 years). Desmoid locations were intra-abdominal (53%),
abdominal wall (24%), extremities (9%), and unknown sites or combinations of
sites (14%). Multivariable analysis of risk factors for desmoids at any location
showed surgery (OR, 2.58; P = .0004), an APC mutation 3' of codon 1444 (OR, 3.0;
P < .0001), and a positive family history (P < .0001) to be independently
associated with desmoid development. When only intra-abdominal location was
analyzed, APC mutation site was not associated with desmoid development.
LIMITATIONS: Selection bias may have occurred. CONCLUSIONS: A positive family
history for desmoid tumors, abdominal surgery, and APC mutation site are
significant risk factors for development of desmoid tumors. The results may have
implications for determining the optimal management of FAP patients and guide
future studies.
PMID- 21904138
TI - Psychometric evaluation of a new patient-completed questionnaire for evaluating
anal incontinence symptoms and impact on quality of life: the ICIQ-B.
AB - BACKGROUND: A psychometrically robust patient-completed questionnaire for anal
incontinence, which reflects issues of importance to both clinicians and
patients, was lacking for assessment purposes. OBJECTIVE: This study aimed to
determine the psychometric properties of a new questionnaire developed to address
this need, the International Consultation on Incontinence Questionnaire-Bowels
module. DESIGN: Qualitative studies were used to refine the developmental version
of the questionnaire. Quantitative studies were conducted to evaluate its
psychometric properties. SETTINGS: Patients were invited to complete the
questionnaire via postal administration. PATIENTS: Two hundred sixty-one patients
with known bowel symptoms participated in the study (244 females, 17 males; mean
age, 59.7 years (range, 24-92)). MAIN OUTCOME MEASURES: The aspects of validity
were evaluated in comparison with available evidence, responses to existing
instruments, and physiological findings. Reliability was assessed through repeat
administration of the questionnaire and evaluation of internal consistency by the
Cronbach alpha coefficient. Responsiveness following treatment was evaluated by
the use of the Wilcoxon signed rank test. Exploratory factor analysis was used to
derive the final version of the questionnaire with evidence from the above
studies. RESULTS: The final questionnaire contains 17 questions arranged in 3
scored domains: bowel pattern, bowel control, and quality of life, with 4
unscored items included to evaluate important issues from a clinical or patient
perspective. The questionnaire demonstrated acceptable validity, "good" to "very
good" reliability, and reasonable response to changes in symptom and quality-of
life status following intervention. LIMITATIONS: Response rates varied according
to location. CONCLUSIONS: The International Consultation on Incontinence
Questionnaire Bowel module is a psychometrically robust, self-report instrument
for the evaluation of anal incontinence and its impact on quality of life. It is
suitable for use in individuals with anal incontinence of varying causes. It
includes a scoring system for use in clinical practice and research.
PMID- 21904139
TI - Timing of surgery following preoperative therapy in rectal cancer: the need for a
prospective randomized trial?
AB - BACKGROUND: In rectal cancer, the standard of care after the completion of
radiotherapy is surgery at 6 to 8 weeks. However, there is variation regarding
the timing of surgery. OBJECTIVE: This investigation aimed to audit the timing of
surgery following radiotherapy and to compare perioperative morbidity and tumor
downstaging in patients operated on, before and after the 6- to 8-week window.
DESIGN: A retrospective review of rectal cancers treated preoperatively in our
cancer network over a 27-month period. The effect of "time till surgery" of 6 to
8 weeks, <6 weeks, and >8 weeks on T downstaging and nodal downstaging was
calculated by univariate and multivariate logistic regression analyses. SETTING:
This study was conducted in an oncology tertiary referral center in the Southwest
London Cancer Network. PATIENTS: Patients receiving preoperative radiotherapy for
primary locally advanced rectal cancer undergoing subsequent surgical resection
were eligible. MAIN OUTCOME MEASURES: The primary outcome measurement was time to
surgery following the completion of (chemo) radiotherapy. Thirty-day
perioperative morbidity and mortality and tumor and nodal downstaging were
examined according to the timing of surgery. LIMITATIONS: This study was limited
by its nonrandomized retrospective design and the lack of standardization of
preoperative chemotherapy. RESULTS: Thirty-two (34%) patients underwent surgery
at 6 to 8 weeks, 45 (47%) at >8 weeks, and 18 (19%) at <6 weeks after
radiotherapy. Delay was attributed to scheduling in 87% of cases and to
comorbidities in the remainder. T downstaging occurred in 6 (33.3%) patients in
the <6 weeks group, in 12 (37.5%) in the 6 to 8 weeks group, and in 28 (62.2%) in
>8 weeks group with no significant differences in perioperative morbidity. On
multivariate analysis, T downstaging was significantly greater for the >8 weeks
group (OR, 3.79; 95% CI: 1.11-12.99; P = .03). More patients were staged ypT0-T2,
19 of 45 (42%) in the >8 weeks group vs other groups, 14 of 50 (28%, P < .05).
CONCLUSIONS: Following radiotherapy, surgery frequently occurs at >8 weeks and is
associated with increased downstaging. The consequences on survival and
perioperative morbidity warrant further investigation.
PMID- 21904140
TI - Can a novel MRI staging system for low rectal cancer aid surgical planning?
AB - BACKGROUND: Low rectal cancers are associated with worse outcomes in comparison
with mid and upper rectal tumors. OBJECTIVE: This study aimed to assess the
predictive accuracy of MRI in identifying the correct surgical approach based on
the mesorectal and extralevator planes. DESIGN: This study involved the
retrospective analysis of MRI and histopathology data of 33 patients with low
rectal cancer, with the use of an anatomically based staging system. Three
radiologists reported on the available surgical planes of excision based on the
predicted relationship of tumor to key anatomical features. MRI-predicted planes
of excision were then compared with the histopathological planes actually
required, with the use of the same staging criteria. SETTINGS: The study was
conducted at 4 English district general hospitals. PATIENTS: Unselected patients
with low rectal cancer, all of whom were participants in a multicenter study,
were eligible for this study. MAIN OUTCOME MEASURES: : The main outcome measured
was the accuracy of operative plane prediction on MRI. RESULTS: : On pathological
analysis, the mesorectal plane would have been sufficient to achieve a clear
margin in 28 of 33 (84.9%) of cases. The extralevator plane was required in 5 of
33 (15.1%). Planes were correctly predicted by MRI in 29 of 33 cases by
radiologist 1 and 24 of 33 cases by radiologists 2 and 3 with an accuracy of
87.9% and 72.7%. Overstaging (extralevator plane predicted when a mesorectal
plane would have sufficed) occurred in 3 of 33 and 7 of 33 cases. Understaging
(mesorectal plane predicted when an extralevator plane was required) occurred in
1 of 33 and 2 of 33 cases. The positive and negative predictive values of MRI in
determining the histopathological plane of excision required were 57% and 96% for
radiologist 1 and 30% and 91% for radiologists 2 and 3. LIMITATIONS: This study
was limited by its retrospective nature and its relatively small patient numbers.
No account was taken of postoperative function when recommending the surgical
plane. CONCLUSIONS: This supports an anatomically based MRI staging system for
low rectal cancer to predict the planes of surgical excision. This may help to
reduce margin positivity and to improve outcome in patients with low rectal
cancer.
PMID- 21904141
TI - Is colonoscopy still mandatory after a CT diagnosis of left-sided diverticulitis:
can colorectal cancer be confidently excluded?
AB - BACKGROUND: It is routine practice to perform colonoscopy as a follow-up after an
attack of diverticulitis, with the main aim to exclude any underlying malignancy.
PURPOSE: This study aimed to determine whether colonoscopy is necessary and what
additional information is gained from this procedure. DESIGN: This is a study of
a retrospective cohort. SETTINGS AND PATIENTS: From January 2003 to June 2009,
patients in whom left-sided diverticulitis was diagnosed on CT scan were matched
with colonoscopy reports within 1 year from the date of CT by the use of
radiology and endoscopy databases. Patients who had colonoscopy within 1 year
before the CT scan were excluded. The Western Australian Cancer Registry was
cross-referenced to identify patients who subsequently received diagnoses of
cancers for whom colonoscopy reports were unavailable. MAIN OUTCOME MEASURES: The
main outcome measures were the number of patients in whom colorectal cancers were
diagnosed and other incidental findings, eg, polyps, colitis, and stricture.
RESULTS: Left-sided diverticulitis was diagnosed in 1088 patients on CT scan,
whereas follow-up colonoscopy reports were available for 319 patients. Eighty-two
(26%) patients had incidental findings of polyps (9 polyps >1 cm), and 9 patients
(2.8%) received diagnoses of colorectal cancers on colonoscopy. After cross
referencing with the cancer registry, the overall prevalence of colorectal cancer
among the cohort within 1 year of CT scan was 2.1% (23 cases). The odds of a
diagnosis of colorectal cancer were 6.7 times (95% CI 2.4-18.7) in patients with
an abscess reported on CT, 4 times (95% CI 1.1-14.9) in patients with local
perforation, and 18 times (95% CI 5.1-63.7) in patients with fistula compared
with patients with uncomplicated diverticulitis. LIMITATIONS: This study was
limited by the unavailability of data for private/interstate hospitals, and the
relatively small number of cancer cases reduced the statistical power of the
study. CONCLUSIONS: We recommend routine colonoscopy after an attack of presumed
left-sided diverticulitis in patients who have not had recent colonic luminal
evaluation. The rate of occult carcinoma is substantial in this patient
population, in particular, when abscess, local perforation, and fistula are
observed.
PMID- 21904142
TI - Efficacy of sacral nerve stimulation for the treatment of fecal incontinence.
AB - BACKGROUND: Sacral nerve stimulation has been shown to be an effective treatment
for fecal incontinence and early studies reported success rates of 67% to 100%.
However, "success" has been arbitrarily set at a 50% reduction in symptoms, and
data are rarely reported with "intention to treat." OBJECTIVE: This study aimed
to assess the true efficacy of sacral nerve stimulation for fecal incontinence
compared with the published literature. DESIGN: This prospective 5-year study was
conducted to assess 50 patients with fecal incontinence treated with sacral nerve
stimulation. All analyses were performed on an intention-to-treat basis.
SETTINGS: This study took place in a single tertiary referral colorectal
department. PATIENTS: Fifty consecutive patients with fecal incontinence
refractory to conservative management were included in the study. INTERVENTIONS:
The interventions performed were temporary evaluation with or without permanent
sacral nerve stimulation. MAIN OUTCOME MEASURES: : Primary outcome measures were
1) attainment of continence, 2) reduction in fecal incontinence episodes, 3)
improvement in Cleveland Clinic Fecal Incontinence scores, and 4) improvement in
the ability to defer defecation. RESULTS: Thirteen patients (26%) did not respond
at the temporary evaluation stage or were dissatisfied with the result. Ten
further patients (20%) did not achieve a 50% reduction in symptoms following
permanent implantation. The median follow-up was 17 months (range, 2-55), at
which time 27 patients (54%) experienced a 50% or more reduction in symptoms,
including 13 (26%) who achieved apparent continence. Median fecal incontinence
episodes per fortnight reduced from 14 (range, 0-53) to 2 (range, 0-20; P <
.0001). Median Cleveland Clinic Fecal Incontinence scores reduced from 15 (range,
3-20) to 8 (range, 0-17; P < .0001). The ability to defer defecation improved
significantly (P < .0001). These results compare favorably with the published
literature. LIMITATIONS: Quality of life was not assessed. CONCLUSIONS: This
study demonstrates that sacral nerve stimulation can be an effective treatment
for patients with fecal incontinence; however, when analyzed by intention to
treat, the symptoms of fecal incontinence continue in the majority (74%) of
patients.
PMID- 21904143
TI - Long-term results of fibrin glue treatment for cryptogenic perianal fistulas: a
multicenter study.
AB - BACKGROUND: Instillation of fibrin glue, a simple and safe procedure, has been
shown to have a moderate short-term success rate in the treatment of cryptogenic
perianal fistulas. OBJECTIVE: This study aimed to assess the long-term outcome of
this procedure. DESIGN: This study included a retrospective chart review and
telephone interviews. SETTINGS: This study was conducted at 4 university
affiliated medical centers. PATIENTS: Patients were included who underwent fibrin
glue instillation for complex cryptogenic fistula between 2002 and 2003 within a
prospective trial and had successful healing. INTERVENTIONS: Fibrin glue was
instilled for complex cryptogenic fistula. MAIN OUTCOME MEASURES: The main
outcome measure was long-term fistula healing. RESULTS: Sixty patients
participated in the initial trial; the fistulas in 32 of these patients were
healed at 6 months. We have located and interviewed 23 (72%) of those patients.
Seventeen (74%) patients remained disease free at a mean follow-up of 6.5 years.
Six (26%) patients had variable degrees of recurrence; 4 needed further surgical
intervention and 2 were treated with antibiotics only. Recurrent disease occurred
at an average of 4.1 years (range, 11 mo to 6 y) from surgery, and on several
occasions was at a different location in the perianal region. None of the
patients experienced incontinence following the procedure. LIMITATIONS: The
retrospective nature of this long-term follow-up was a limitation. Twenty-eight
percent of the potentially eligible patients were lost to long-term follow-up.
CONCLUSIONS: Short-term success of fibrin glue in the treatment of cryptogenic
perianal fistula is predictive of long-term healing, but a quarter of those
healed in the short term may develop recurrent symptoms in the long run.
Injection of fibrin glue remains a safe and simple procedure and may preclude
extensive surgery.
PMID- 21904144
TI - Long-term follow-up of infrared coagulator ablation of anal high-grade dysplasia
in men who have sex with men.
AB - BACKGROUND: We previously reported on infrared coagulator ablation of anal high
grade intraepithelial squamous lesions in HIV-positive and HIV-negative men who
have sex with men (MSM) with a median follow-up of 1.5 years. OBJECTIVE: We
sought to determine high-grade intraepithelial squamous lesion recurrence rates
after long-term follow-up for infrared coagulator ablation, and whether patients
progressed to invasive cancer. DESIGN: : This study investigated a retrospective
cohort. SETTING: This study was set in an office-based practice. PATIENTS: The
patients evaluated were MSM who underwent at least 1 infrared coagulator anal
high-grade intraepithelial squamous lesion ablation between 1999 and 2005 with at
least 1-year additional follow-up. INTERVENTION: Infrared coagulator ablation had
been performed. MAIN OUTCOME MEASUREMENT: The primary outcomes measured were high
grade intraepithelial squamous lesion recurrence and progression to anal squamous
cell carcinoma. RESULTS: Ninety-six MSM were included (44 HIV-positive) with a
median follow-up of 48 and 69 months in HIV-negative and HIV-positive MSM. Thiry
five percent of HIV-positive and 31% of HIV-negative subjects from the original
cohort were lost to follow-up. In HIV-negative MSM, 32 (62%) had a recurrence in
a mean of 14 months. Recurrence rates after the second and third treatments were
48% and 57%. In HIV-positive MSM, 40 (91%) had a recurrence in a mean of 17
months. Recurrence rates after the second, third, and fourth infrared coagulator
ablations were 63%, 85%, and 47%. After the first ablation, HIV-positive MSM were
1.9 times more likely to have a recurrence than HIV-negative MSM (P = .009). One
year after the first ablation, 61% of HIV-positive MSM had recurrent high-grade
intraepithelial squamous lesions in comparison with 38% of HIV-negative MSM. One
year after the second ablation, 49% of HIV-positive MSM had recurrent high-grade
intraepithelial squamous lesions in comparison with 28% of HIV-negative MSM. In
HIV-negative and HIV-positive MSM, the probability of curing an individual lesion
after first ablation was 80% and 67%. Most recurrence was due to the development
of metachronous lesions occurring in 82% and 52% of HIV-positive and HIV-negative
subjects after their first infrared coagulator treatment. The mean number of
recurrent lesions for both HIV-positive and HIV-negative MSM was never >2. No MSM
developed squamous-cell carcinoma, and there were no serious adverse events. At
last visit, 82% of HIV-positive MSM and 90% of HIV-negative MSM were high-grade
intraepithelial squamous lesion free. LIMITATIONS: This was a retrospective,
observational study with significant loss to follow-up. CONCLUSIONS: Infrared
coagulator ablation is an effective treatment for high-grade intraepithelial
squamous lesions, and no patients progressed to cancer. HIV-positive patients are
significantly more likely to have a recurrence, and recurrence occurred more
rapidly in these patients. Continued follow-up is important.
PMID- 21904145
TI - Evaluation of modified estimation of physiologic ability and surgical stress in
colorectal carcinoma surgery.
AB - BACKGROUND: We recently modified Estimation of Physiologic Ability and Surgical
Stress, our prediction scoring system. OBJECTIVE: This study evaluated the
usefulness of our modified version for colorectal carcinoma in comparison with
existing models. DESIGN: This investigation studied a multicenter cohort.
SETTINGS: The study was conducted in regional referral hospitals in Japan.
PATIENTS: Patients were included who underwent elective surgery for colorectal
carcinoma. MAIN OUTCOME MEASURES: Postoperative morbidity, mortality, and
predicted mortality rates for original and modified Estimation of Physiologic
Ability and Surgical Stress were investigated in 2388 patients in comparison with
existing European models. RESULTS: Among the models, the modified Estimation of
Physiologic Ability and Surgical Stress demonstrated the highest discriminatory
power in terms of in-hospital mortality (area under receiver operating
characteristic curve: 0.84 for Estimation of Physiologic Ability and Surgical
Stress, 0.87 for modified Estimation of Physiologic Ability and Surgical Stress,
0.84 for Portsmouth modification of POSSUM, 0.74 for ASA status-based model), as
well as 30-day mortality (area under receiver operating characteristic curve:
0.82 for Estimation of Physiologic Ability and Surgical Stress, 0.84 for modified
Estimation of Physiologic Ability and Surgical Stress, 0.81 for POSSUM, 0.78 for
colorectal POSSUM, 0.76 for Association of Coloproctology of Great Britain and
Ireland score). British models, in general, overpredicted postoperative mortality
rates by more than 10 times. LIMITATIONS: The current study analyzed only the
Japanese population treated in medium-volume centers. CONCLUSIONS: Among the
models, modified Estimation of Physiologic Ability and Surgical Stress was the
most accurate in predicting postoperative mortality in colorectal carcinoma
surgery. These findings should be validated in Western populations, because the
Japanese population may differ from Western populations in terms of body shape or
reserve capacity.
PMID- 21904146
TI - Risk-adjusted colon and rectal cancer incidence rates in the United States.
AB - BACKGROUND: Population-based cancer incidence rates that adjust for multiple
cancer primaries and for prevalent cases of the disease provide a better
approximation of risk. DESIGN: This study is based on a retrospective cohort.
SETTING/PATIENTS: Included in the study were 9 original Surveillance,
Epidemiology and End Results registries focusing on white and black males and
females from 2000 through 2007. MAIN OUTCOME MEASURE: The main outcome measured
was malignant colorectal cancer. RESULTS: Conventional colorectal cancer
incidence rates overestimate population risk by 3.6% for white males, 4.0% for
black males, 3.4% for white females, and 3.3% for black females. The level of
overestimation bias remained similar across the age span for white and black
males. However, for white females, rates were overestimated by 2.1% for ages 30
to 39 and increased to 3.8% for ages 80 years and older. Corresponding values for
black females were 1.5% and 3.8%. The trends in conventional rates were generally
similar to the trends in risk-adjusted incidence rates, increasing or stable
before age 50, but decreasing thereafter. The number of colorectal cancer cases
in the United States is estimated from conventional incidence rates. In 2007, the
number of colorectal cases was 59,599 for white males, 7,670 for black males,
58,972 for white females, and 8,786 for black females. The number of colorectal
cancer cases based on prevalence-corrected incidence rates increased by 2.2% for
white males, 1.5% for black males, 2.1% for white females, and 1.5% for black
females. CONCLUSION: Colorectal cancer incidence rates that include second and
later colorectal cancer primaries and adjust for prevalence better reflect cancer
burden, whereas colorectal cancer incidence rates that only include the first
diagnosed case and adjust for prevalence better reflect cancer risk.
PMID- 21904147
TI - Learning curve associated with colorectal endoscopic submucosal dissection for
endoscopists experienced in gastric endoscopic submucosal dissection.
AB - BACKGROUND: Colorectal endoscopic submucosal dissection requires a high level of
skill and experience in therapeutic endoscopy because of the high risk of
complications such as perforation and bleeding. Greater understanding of the
procedural learning curve is required to standardize training and to achieve
wider acceptance of this procedure. OBJECTIVE: The aims of this study were to
evaluate the clinical outcomes of colorectal endoscopic submucosal dissection and
to clarify its learning curve for endoscopists. DESIGN: We retrospectively
reviewed the clinical outcomes for consecutive patients with colorectal neoplasms
who underwent endoscopic submucosal dissection by 2 trainees under the guidance
of experienced specialists. SETTING: The study was performed at the National
Cancer Center Hospital, Tokyo, Japan. PATIENTS: Colorectal endoscopic submucosal
dissections were performed for 101 consecutive patients with 102 colorectal
neoplasms between April 2008 and December 2010. MAIN OUTCOME MEASURES: Procedure
time, en bloc resection rate, completion rate, and complications were
retrospectively compared between 4 training periods in which each trainee
performed 10 endoscopic submucosal dissections per period and a final training
period in which the trainees performed 10 to 12 endoscopic submucosal dissections
to analyze the skill improvement with time. RESULTS: The procedure time and en
bloc resection rate were not significantly different among the training periods.
However, the completion rates in the fourth (100%) and fifth (95.5%) training
periods (>= 31 cases/trainee) were significantly higher (P < .001) than those in
the first (45%), second (70%), and third (80%) training periods (1-30
cases/trainee). Two cases of perforation occurred during the study. LIMITATIONS:
Limitations include the single-center design. Training programs and instruments
vary with institution, which could affect the learning curve. CONCLUSIONS:
Trainee endoscopists are able to perform colorectal endoscopic submucosal
dissection without serious complications under the guidance of experienced
specialists. They can perform it safely and independently after preparatory
training and experience with >= 30 cases.
PMID- 21904148
TI - Laparoscopic rectosigmoid resection with transanal colonic pull-through and
delayed coloanal anastomosis: a new approach to adult Hirschsprung disease.
AB - BACKGROUND: Hirschsprung disease in adults is a rare and frequently misdiagnosed
cause of long-standing, refractory constipation. Surgical procedures initially
developed for pediatric patients have been applied to adults with varying degrees
of success. OBJECTIVE: Our aim was to describe a new surgical procedure
consisting of laparoscopic rectosigmoid resection with a transanal colonic pull
through followed by a delayed coloanal anastomosis for the treatment of
Hirschsprung disease in adults and to present our preliminary results with this
technique. DESIGN AND SETTING: This was a descriptive observational study of
treatment outcome conducted at the colorectal surgical unit of a university
teaching hospital in France. PATIENTS: Patients were adults with confirmed
Hirschsprung disease treated from October 2006 through February 2009.
INTERVENTION: Laparoscopic rectosigmoid resection was performed with a transanal
colonic pull-through followed by a delayed coloanal anastomosis. MAIN OUTCOME
MEASURES: Clinical and functional data (Cleveland Clinic Florida incontinence
scale and Fecal Incontinence Quality of Life scale) were obtained at
postoperative visits. RESULTS: Five patients underwent the procedure. One patient
died of postoperative cardiovascular complications. No patient had to be
reoperated. No anastomotic leakage occurred. One patient developed anastomotic
stricture requiring dilatation. No sexual or urinary dysfunction was reported.
Postoperative analysis (mean follow-up 16 months) showed good functional outcomes
in 3 (75%) of the 4 evaluable patients. LIMITATIONS: This study had only a small
number of patients and no controls other comparison with cases reported in the
literature. CONCLUSION: Laparoscopic rectosigmoid resection with a transanal
colonic pull-through followed by delayed coloanal anastomosis represents a valid
alternative in the treatment of Hirschsprung disease in adult patients, because
it involves minimally invasive surgery, does not require a preventive diverting
stoma, and shows anastomotic security.
PMID- 21904149
TI - Robotic cylindrical abdominoperineal resection with transabdominal levator
transection.
AB - PURPOSE: The extralevator approach to abdominoperineal resection is an emerging
surgical option for patients with low rectal cancer. This technique involves a
wide excision of the levator muscles that could reduce the high incidence of
circumferential margin positivity associated with conventional abdominoperineal
resections. We present our technique of robotic cylindrical abdominoperineal
resection where the daVinci robot is used to perform a controlled transection of
the levator muscles transabdominally under direct visualization. METHODS: Five
patients with rectal adenocarcinoma within 5 cm of the anal verge underwent robot
assisted cylindrical abdominoperineal resection. Safety, feasibility, immediate
postoperative outcomes, and pathological adequacy of the specimen were assessed.
RESULTS: The procedure was successfully completed in all 5 patients without any
intraoperative complications, robot-associated morbidity, or conversion to the
open approach. The mean operative time and length of hospital stay were 343
minutes and 5.8 days. An intact mesorectal envelope and negative circumferential
margin was achieved in all cases. All specimens had a cylindrical shape.
CONCLUSIONS: Robotic assistance enables the transabdominal transection of the
levator muscles in cylindrical abdominoperineal resection, with acceptable
perioperative and pathological outcomes. Further studies are essential to
objectively define the safety, efficacy, and long-term results of this new
technique.
PMID- 21904151
TI - J-curve for DBP and cardiovascular mortality in coronary artery disease patients:
myth or reality.
PMID- 21904150
TI - Treatment of diverticular disease of the colon and prevention of acute
diverticulitis: a systematic review.
AB - BACKGROUND: Diverticular disease of the colon is a common disorder, characterized
by recurrent symptoms and complications such as diverticulitis, requiring
hospital admissions and surgery. OBJECTIVE: This study aimed to systematically
review the evidence for medical therapy of diverticular disease in reducing
symptoms and preventing acute diverticulitis. DATA SOURCES: MEDLINE and Embase
databases (1966 to February 2010). STUDY SELECTION: The studies selected were
prospective clinical trials on uncomplicated diverticular disease of the colon.
INTERVENTIONS: Four investigators independently reviewed articles, extracted
data, and assessed study quality according to standardized criteria. MAIN OUTCOME
MEASURES: The main outcomes measured were improvement in symptoms, complete
remission of symptoms, and prevention of acute diverticulitis. RESULTS: We
identified 31 studies, including 6 placebo-controlled trials. The methodological
quality of these studies was suboptimal. Only 10 trials provided a detailed
description of the patient history, 8 assessed symptoms by the use of a validated
questionnaire, and 14 appropriately defined inclusion and exclusion criteria.
Only one long-term double-blind placebo-controlled study was identified. This
reported a significant improvement in symptoms and greater prevalence of symptom
free patients at 1 year with fiber plus rifaximin in comparison with fiber alone.
The efficacy of treatment in preventing acute diverticulitis was evaluated in 11
randomized trials. Four trials compared rifaximin plus fiber vs fiber alone and
failed to show a significant difference between treatments. However, cumulative
data from these trials revealed a significant benefit following rifaximin and
fiber (1-year rate of acute diverticulitis: 11/970 (1.1%) vs 20/690 (2.9%); P =
.012), but with a number needed to treat of 57, to prevent an attack of acute
diverticulitis. LIMITATIONS: : Heterogeneity of the study design, patients'
characteristics, regimens and combination of studied treatment, and outcome
reporting precluded the pooling of results and limited interpretation.
CONCLUSIONS: The treatment for diverticular disease relies mainly on data from
uncontrolled studies. Treatment showed some evidence of improvement in symptoms,
but its role in the prevention of acute diverticulitis remains to be defined.
PMID- 21904152
TI - The renin-angiotensin system: new horizons.
PMID- 21904153
TI - To PRESERVE and protect: targeting the microcirculation in prevention of diabetes
and its complications.
PMID- 21904154
TI - Resistance to antihypertensive treatment: reality or artifact of reasoning?
PMID- 21904155
TI - Measurement of central aortic pressure: an acceptable compromise?
PMID- 21904156
TI - A simple test to appreciate compliance to aliskiren treatment.
PMID- 21904159
TI - Hidden sodium in Mediterranean food.
PMID- 21904160
TI - Use of oral contraceptives and arterial stiffness.
PMID- 21904164
TI - Sleep curtailment and workers' productivity: shouldn't the ballgames end by 10
PM.
PMID- 21904165
TI - 9/11 and occupational/environmental medicine.
PMID- 21904166
TI - JOEM bibliography of articles related to the events of September 11, 2001.
PMID- 21904167
TI - What is the Finnish model of work ability?
PMID- 21904170
TI - Modern perspectives of open reduction and plate fixation of proximal humerus
fractures.
AB - Recent innovations have greatly increased the range of proximal humeral fractures
that are amenable to open reduction and plate fixation.The optimum technique for
some of the more complex fracture patterns is not yet fully refined.This article
aims to describe the recent advances in the treatment of complex proximal humeral
fractures by open reduction and locking plate fixation, focusing particularly on
the indications for surgery, the operative techniques, and the expected outcomes
after treatment.
PMID- 21904171
TI - Vision loss after inadvertent corneal perforation during lid anesthesia.
AB - A 68-year-old woman was referred for glaucoma management after inadvertent
corneal perforation during eyelid anesthesia for upper eyelid blepharoplasty. A
mixture of 50:50 2% lidocaine with 1:100,000 epinephrine and 0.5% bupivacaine
buffered with sodium bicarbonate was injected intracamerally. Decreased vision
and uncontrollable intraocular pressure resulted, despite prompt anterior chamber
washout. Examination showed corneal edema, inflammation, and secondary angle
closure. Intraocular pressure control with seton placement led to an improvement
in vision; however, mild corneal haze remained, and specular microscopy showed
endothelial cell loss, presumably secondary to local anesthetic toxicity.
Inadvertent ocular penetration is a rare but serious complication of local eyelid
anesthesia. Prompt recognition is essential to institute appropriate management
and minimize subsequent vision loss.
PMID- 21904172
TI - Nasal fat preservation in upper eyelid blepharoplasty.
AB - INTRODUCTION: Traditional upper blepharoplasty is a subtractive form of surgery
that involves the excision of variable amounts of skin, muscle, and fat from the
eyelid. The goal of surgery is to improve field of vision and/or appearance.
While surgical debulking of the eyelid may improve appearance early on, the
volume loss inherent to this process (especially fat excision) can contribute to
a hollowed appearance with an associated deep and sunken superior sulcus. This
skeletonized look may be mitigated by repositioning a prominent nasal fat pad, if
present, to the central upper eyelid. METHODS: The charts of patients who
underwent upper blepharoplasty with repositioning of the nasal fat pad (as
described in this manuscript) to the central arcus marginalis of the superior
orbital rim during surgery were reviewed. Patients with a history of previous
eyelid surgery or trauma or who had concurrent ptosis or other eyelid
malpositions were excluded from the study. Also excluded were patients who did
not manifest prominent nasal fat pads at surgery. Postoperative interval follow
up was consistent until 6 months after surgery and more sporadic thereafter, as
patients more frequently missed appointments. Postoperative healing issues,
patient complaints, complications, and subjective physician and patient
satisfaction assessments were noted. Final results were gauged on each patient's
final visit after surgery. RESULTS: Seventy-six patients were included in the
study. Forty-eight patients (63%) were women, and 28 patients (37%) were men. The
surgical procedure was uneventful in all patients. The average patient age was 66
years and the mean follow up was 11 months (range 6-22 months). There was one
case of postoperative pseudo-Brown syndrome, which resolved with steroid
injections. There were 2 cases of postoperative presumed mechanical ptosis, early
in the series, lasting for 2 weeks, which in both cases responded to oral
steroids. Subjectively, there was no new or worsening superior sulcus hollowness
observed by patient or surgeon at last follow up in all cases. CONCLUSIONS:
Volume loss and the value of fat preservation in lower blepharoplasty are well
documented and accepted among eyelid surgeons. The affect of iatrogenic volume
depletion in upper eyelid blepharoplasty, while understood, has gained less
attention. Repositioning the prominent nasal fat pad of the upper eyelid to the
central sulcus adds little time to surgery, allows preservation of upper eyelid
fat during surgery, and may be a useful adjunct to the upper blepharoplasty
surgeon. While further studies are needed to more critically assess eyelid
volumetric changes, this technique holds promise as a preventative measure for
superior sulcus hollowing after surgery.
PMID- 21904174
TI - Re: "comparison of the exposure rate of wrapped hydroxyapatite (Bio-Eye) versus
unwrapped porous polyethylene (Medpor) orbital implants in enucleated patients".
PMID- 21904175
TI - Re: "propranolol for the treatment of orbital infantile hemangiomas".
PMID- 21904177
TI - Re: "a case of bilateral silent sinus syndrome presenting with chronic ocular
surface disease".
PMID- 21904179
TI - Re:"intubation of the ostium and nasolacrimal duct with a single self-linking
silicone stent in external dacryocystorhinostomy".
PMID- 21904180
TI - Re: "intubation of the ostium and nasolacrimal duct with a single self-linking
silicone stent in external dacryocystorhinostomy".
PMID- 21904183
TI - Punctoplasty with a Kelly punch.
PMID- 21904184
TI - Asphyxiation due to ocular prosthesis ingestion.
PMID- 21904185
TI - A prescription for disruptions in care: community building among nurses to
address horizontal violence.
AB - In the health care environment, threats exist that can impact a nurse's ability
to provide safe, quality care. One of these threats is disruptive behavior among
health care workers in which negative interactions adversely affect communication
and collaboration. When this occurs among nurses, it is identified as horizontal
violence. An underlying concept is power. When attempting to address these
behaviors in the workplace, it is important that nurses recognize the context in
which they occur so sustaining changes can be made. Community building among
nurses may be an appropriate approach to give nurses the skills to make these
changes.
PMID- 21904186
TI - Food insecurity is associated with morbidity and patterns of healthcare
utilization among HIV-infected individuals in a resource-poor setting.
AB - OBJECTIVE: We undertook a longitudinal study in rural Uganda to understand the
association of food insecurity with morbidity and patterns of healthcare
utilization among HIV-infected individuals enrolled in an antiretroviral therapy
program. DESIGN: Longitudinal cohort study. METHODS: Participants were enrolled
from the Uganda AIDS Rural Treatment Outcomes cohort, and underwent quarterly
structured interviews and blood draws. The primary predictor was food insecurity
measured by the validated Household Food Insecurity Access Scale. Primary
outcomes included health-related quality of life measured by the validated
Medical Outcomes Study-HIV Physical Health Summary (PHS), incident self-reported
opportunistic infections, number of hospitalizations, and missed clinic visits.
To estimate model parameters, we used the method of generalized estimating
equations, adjusting for sociodemographic and clinical variables. Explanatory
variables were lagged by 3 months to strengthen causal interpretations. RESULTS:
Beginning in May 2007, 458 persons were followed for a median of 2.07 years, and
40% were severely food insecure at baseline. Severe food insecurity was
associated with worse PHS, opportunistic infections, and increased
hospitalizations (results were similar in concurrent and lagged models).
Mild/moderate food insecurity was associated with missed clinic visits in
concurrent models, whereas in lagged models, severe food insecurity was
associated with reduced odds of missed clinic visits. CONCLUSION: Based on the
negative impact of food insecurity on morbidity and patterns of healthcare
utilization among HIV-infected individuals, policies and programs that address
food insecurity should be a critical component of HIV treatment programs
worldwide.
PMID- 21904187
TI - Dynamics of gag-pol minority viral populations in naive HIV-1-infected patients
failing protease inhibitor regimen.
AB - OBJECTIVE: Recently, we have reported the role of baseline gag cleavage site
mutations on the virological outcome of a dual-boosted protease inhibitor regimen
in antiretroviral-naive patients (2IP-ANRS 127 trial). The objective of this
substudy was to characterize, in patients experiencing virological failure, from
the 2IP-ANRS 127 trial, the viral quasispecies present at baseline and at
virological failure in gag cleavage site, in gag-pol frameshift and in protease
coding region. METHODS: In four patients, we analysed by clonal analysis the
viral population in gag cleavage site (p17/p24, p24/p2, p2/p7, p7/p1,
p1/p6(gag)), in p6(gag), in gag-pol frameshift [p1/transframe protein
(TFP)/p6(pol)] and in protease-coding region. RESULTS: Clonal analysis of
protease-coding region failed to detect major as well as minor protease inhibitor
resistance-associated mutations in all four patients. In one patient, a I15V
mutated variant increased from 13 to 100% between baseline and week 24. Clonal
analysis of gag and gag-pol cleavage site showed an increase in specific viral
populations in p2/p7 cleavage site between baseline and virological failure in
three patients. Among them, we described in one patient, that the predominant
population at virological failure harboured in p2/p7 and TFP/p6(pol)-specific
genotypic profiles associated with duplication of the P(T)APP motif in p6(gag)
and the I15V protease mutation on the same individual molecular clones.
CONCLUSION: We highlighted the emergence of minority viral populations in the
p2/p7 cleavage site between baseline and virological failure. In addition, we
showed the association of a specific protease mutation with gag and gag-pol
cleavage site substitutions, suggesting their possible role in virological
outcome.
PMID- 21904188
TI - Effects of low-intensity pulsed ultrasound therapy on fracture healing: a
systematic review and meta-analysis.
AB - OBJECTIVE: This systematic review and meta-analysis was performed to identify the
clinical trials relevant to the effects of low-intensity pulsed ultrasound
(LIPUS) on bone regeneration. DESIGN: We searched five international electronic
databases including MEDLINE (1966-June 2010), and PubMed, EMBase, Cumulative
Index to Nursing and Allied Health, and Cochrane (1980-June 2010) to identify the
relevant studies on the effects of LIPUS on bone healing. The inclusion criteria
were human clinical trial, all types of bones, fractures, and outcome
measurements, LIPUS application, and English language. Overall, 260 potentially
eligible abstracts were identified, and 65 articles were retrieved in full text.
Of the 65 studies, 23 met the inclusion criteria and were critically appraised by
two raters independently using the PEDro quality measurement method. The results
of all eligible studies were categorized in three groups: fresh fractures,
delayed or nonunions, and distraction osteogenesis. Seven trials among fresh
fracture trials were identified eligible for meta-analysis because of the
varieties of outcome measurements and clinical situations. The time of the third
cortical bridging (increase in density or size of initial periosteal reaction) in
radiographic healing was our common criteria for the meta-analysis. RESULTS: The
time of third cortical bridging was statistically earlier following LIPUS therapy
in fresh fractures (mean random effect, 2.263; 95% CI, 0.183-4.343, P = 0.033).
CONCLUSIONS: LIPUS can stimulate radiographic bone healing in fresh fractures.
Although there is weak evidence that LIPUS also supports radiographic healing in
delayed unions and nonunions, it was not possible to pool the data because of a
paucity of sufficient studies with similar outcome measures.
PMID- 21904189
TI - Axial low back pain in a patient with a 'mouse face sign' on bone scan.
PMID- 21904190
TI - Diastematomyelia presenting in adulthood as back pain.
PMID- 21904191
TI - Intramuscular hemangioma of flexor digitorum brevis muscle.
PMID- 21904192
TI - Motor factors associated with health-related quality-of-life in ambulatory
children with cerebral palsy.
AB - OBJECTIVE: This study aimed to examine the relationship between fine and gross
motor skills and cerebral palsy-specific quality-of-life in ambulatory children
with cerebral palsy. DESIGN: Thirty-nine children with cerebral palsy (29 boys,
10 girls; mean age +/- SD, 8.8 +/- 2.3 yrs) classified under Gross Motor Function
Classification System Level I or II were enrolled. Health-related quality-of-life
was evaluated using the Cerebral Palsy Quality of Life Questionnaire for Children
(parent-proxy version). Motor functions were measured using the Bruininks
Oseretsky Test of Motor Proficiency. RESULTS: Regression analysis for QOL
revealed fine motor skills, including upper-limb speed and dexterity, which are
positively correlated to functioning (r = 0.205, P < 0.01), and visual-motor
control that is positively correlated to other domains, including social well
being and acceptance, participation and physical health, emotional well-being and
self-esteem, and family health (r = 0.150-0.188, P < 0.05). CONCLUSIONS: Fine
motor functions, including upper-limb speed and dexterity and visual-motor
control, were the most important motor factors associated with health-related
quality-of-life in ambulatory children with cerebral palsy.
PMID- 21904193
TI - Bilateral congenital undescended scapula (sprengel deformity).
PMID- 21904195
TI - Biological mitral valve prosthesis in a patient supported with a permanent left
ventricle assist device.
AB - Mitral valve pathology, especially status postvalve replacement (biological or
mechanical), remains challenging in patients suffering from end-stage heart
failure and undergoing left ventricular assist device (LVAD) placement due to
several factors (potential source for thromboembolic complications, risk for
structural deterioration of the biological prosthesis leading to stenosis, and
inadequate LVAD preload). We report a case of long-term LVAD support in a patient
with a previously implanted biological mitral valve prosthesis. During LVAD
insertion, the valve was left in place, and it functioned well until the death of
the patient 3 years later. The patient remained free of any valve-related
complications.
PMID- 21904194
TI - Intrathecal bupivacaine in comparison with a combination of nalbuphine and
bupivacaine for subarachnoid block: a randomized prospective double-blind
clinical study.
AB - We performed this randomized, prospective double-blind study to evaluate the
effects of 2 different doses of intrathecal nalbuphine (a synthetic opioid
agonist-antagonist) on the onset, duration of action, side effects, and
complication produced by intrathecal hyperbaric 0.5% bupivacaine in lower
abdominal, urologic and lower limb surgeries. Seventy-five patients of ASA grades
1 and 2 of either sex in the age group of 20-60 years were randomly allocated to
1 of 3 groups. Group A (n = 25) received 2.5 mL of 0.5% hyperbaric bupivacaine +
1 mL sterile water intrathecally; group B (n = 25) received 2.5 mL of 0.5%
hyperbaric bupivacaine + 1 mL (200 MUg) nalbuphine intrathecally; group C (n =
25) received 2.5 mL of 0.5% hyperbaric bupivacaine + 1 mL (400 MUg) nalbuphine
intrathecally. The onsets of sensory and motor blockade, highest level of sensory
blockade, 2 segment regression time of sensory blockade, duration of motor
blockade and analgesia, visual analog scale score, hemodynamic and respiratory
changes, side effects were recorded, tabulated, and analyzed. Onsets of sensory
and motor blockade and duration of motor blockade were not affected. Two segment
regression time of sensory blockade and duration of analgesia were maximally
prolonged in group C (P < 0.05). The visual analog scale scores were in the
following order: group A > group B > group C at 90, 120, and 150 minutes after
induction (P < 0.05). Hemodynamic and respiratory complications were absent
except in 2 patients in groups A and C each, and 1 patient in group B developed
bradycardia (P > 0.05). One patient in group A had nausea and vomiting, 2
patients in each group developed shivering (P > 0.05). No other side effect or
complication was observed. Nalbuphine hydrochloride (400 MUg) significantly
prolongs the duration of sensory blockade and postoperative analgesia without any
side effect or complication when introduced intrathecally along with hyperbaric
bupivacaine.
PMID- 21904196
TI - Enhanced cortisol increase upon awakening is associated with greater pain ratings
but not salivary cortisol or soluble tumor necrosis factor-alpha receptor II
responses to acute pain.
AB - OBJECTIVES: The cortisol awakening response (CAR) is related to psychosocial
factors and health in potentially significant ways, suggesting that it may be a
distinctive marker of hypothalamic-pituitary-adrenal axis function and
dysfunction. This study sought to expand upon previous work that examined the
association between CAR and ratings of laboratory-evoked acute pain stimulation.
In addition to evoked pain ratings, this study also tested whether CAR was
prospectively related with salivary cortisol and soluble tumor necrosis factor
alpha receptor II responses to acute pain stimulation. METHODS: This study
included 36 healthy, pain-free volunteers of both sexes recruited through posted
study flyers. Prior to completion of laboratory pain testing, salivary cortisol
samples were obtained at home over the course of a single morning according to
the following time frame: upon awakening, and 15, 30, and 60 minute after
awakening. After collection of saliva, study participants brought their home
saliva samples to the laboratory for assay and subsequently completed acute
experimental pain testing procedures. RESULTS: Cluster analysis of CAR revealed
two distinct groups with similar patterns of cortisol response to awakening;
increased and flattened. Relative to flattened CAR, increased CAR was associated
with greater ratings of pain intensity and unpleasantness. Salivary cortisol was
significantly increased and soluble tumor necrosis factor-alpha receptor II
significantly decreased after pain testing, but neither of these responses
differed as a function of increased versus flattened CAR. DISCUSSION: CAR may be
a marker for stress sensitivity and/or the anticipation of impending stress,
which could explain why the increased CAR cohort reported greater acute pain
ratings.
PMID- 21904197
TI - Addressing parental concerns about pain during childhood vaccination: is there
enough time to include pain management in the ambulatory setting?
AB - OBJECTIVE: Pain from vaccine injections remains undertreated, despite the
availability of numerous pain-relieving strategies. Healthcare providers report
lack of time within current office workflows as a major barrier to routine pain
management. The objective was to document the total time involved in outpatient
vaccine appointments to test the hypothesis that offering pain-relieving
strategies can be practically implemented when considering the element of time to
vaccine injection. PATIENTS AND METHODS: Prospective naturalistic study in 8
urban outpatient primary care clinics (4 pediatric and 4 family practice) in
Toronto. For 48 to 59 consecutive childhood vaccination appointments at each
site, child waiting time from clinic arrival until first vaccine injection was
tracked. RESULTS: Altogether, 405 vaccine appointments were included. The median
age of the child undergoing vaccination was 12 months. The mean (SD) time from
clinic arrival until first vaccine injection was 41.6 minutes (20.9), with a
range of 7 to 132 minutes. Linear regression identified a significant (P<0.05)
difference according to clinic [ranging from 19.4 min (6.5) to 57.5 min (20.2)]
and number of family members in the appointment [ranging from 40.6 min (21.0) for
an appointment in the index child only to 50 min (14.3) for an appointment in the
index child and 2 other family members]. CONCLUSIONS: Contrary to healthcare
provider perceptions, the timing of outpatient childhood vaccine appointments
allows for the inclusion of pain management interventions. Efforts should now
focus on educating healthcare providers and parents about the value of pain
management and how to implement evidence-based strategies.
PMID- 21904198
TI - Persistent pain after surgery for cutaneous melanoma.
AB - OBJECTIVES: Chronic pain is a well-known complication after surgery, but the
prevalence of persistent pain after melanoma surgery is unknown. This study
examined the prevalence and predictors of persistent pain after melanoma surgery.
METHODS: Between September 2005 and June 2009, 448 patients underwent surgery for
cutaneous melanoma at the Department of Plastic Surgery, Aalborg Hospital. A
questionnaire was sent to all 402 survivors, and 350 (87.1%) responded. In
addition, all patients with pain and a control group of sex-matched and age
matched patients without pain were invited to a clinical examination. RESULTS:
Thirty-four patients (9.7%) reported pain in the scar area within the last month,
and 8.6% reported chronic pain. The pain was mostly mild with little impact on
daily life, but 1.7% reported moderate to severe pain, and 3.4% reported at least
moderate impact of pain on daily life. Sensory changes were reported by 108
patients (31.5%); 25% of these had pain compared with 3% of patients with normal
sensation [P<0.001, 10.8 (4.5 to 25.8)]. Young age was a predictor for persistent
pain. A small group of patients (10 with and 22 without pain in the
questionnaire) were clinically examined, suggesting that the areas of sensory
disturbances were larger in patients reporting persistent pain or dysesthesia.
DISCUSSION: The results support previous findings that persistent postoperative
pain is a complication of almost any surgical intervention. Persistent pain was
related to abnormal sensation, and neuropathic pain should be considered in these
patients.
PMID- 21904199
TI - Bisphosphonates for pain management in children with benign cartilage tumors.
AB - OBJECTIVES: Cochrane meta-analyses have shown significant benefit in bone pain
from bisphosphonate therapy in adults with bone diseases such as multiple
myeloma, Paget disease, breast and prostate cancer. Our aim was to assess if
bisphosphonate treatment could alleviate severe pain in children with Ollier
disease and hereditary multiple exostoses that are refractory to standard
analgesics. METHODS: We report our clinical experience with bisphosphonate
therapy in 2 children with cartilage tumors, one with Ollier disease, and the
other with hereditary multiple exostoses. RESULTS: We found bisphosphonate
therapy to be helpful for pain relief and improving overall ability to carry out
daily activities. DISCUSSION: One can consider bisphosphonate therapy in children
with Ollier disease and hereditary multiple exostoses who have debilitating pain
that is refractory to standard analgesic treatment.
PMID- 21904200
TI - A comparative investigation of observational pain assessment tools for older
adults with dementia.
AB - OBJECTIVES: Pain assessment in patients with dementia and severe limitations in
ability to communicate can be challenging. The American Geriatrics Society (AGS)
encourages the incorporation of 6 behavioral domains (ie, facial expressions,
verbalizations/vocalizations, body movements, changes in interpersonal
interactions, changes in activity patterns/routines and mental status changes)
when conducting pain assessments among seniors with dementia. We investigated 6
observational pain assessment measures (differing with respect to the extent that
they cover the AGS-recommended domains) under 2 different pain conditions (ie,
influenza vaccination and movement-exacerbated pain) in a sample of long-term
care residents with cognitive impairments. Given that a criticism of pain
assessment scales is that many of the pain behaviors assessed are highly
overlapping with symptoms of delirium, we also investigated the impact of the
elimination of delirium-related items. RESULTS: Consistent with expectations, all
measures were able to differentiate between pain and baseline conditions.
Reliability and validity varied across measures. Most measures continued to
differentiate between pain and baseline states after items that overlap with
delirium were eliminated. DISCUSSION: Our results provide much needed
psychometric information regarding newly developed pain assessments measures for
seniors with dementia. The measures differed with respect to ability to
differentiate pain-related from non-pain-related states. Moreover, our findings
support the utility of comprehensive coverage of the AGS-recommended pain
assessment domains.
PMID- 21904201
TI - Effectiveness of pain management in hospital in the home programs.
AB - OBJECTIVES: The objective of the study was to examine patients' experiences of
pain in Hospital in the Home (HITH) programs and identify the issues related to
providing optimal pain management for acute care patients in the home
environment. METHODS: A descriptive survey of patients' experience of pain and
pain management in 3 HITH programs in metropolitan Melbourne, Australia (n=359).
Data were collected by telephone interview using a modified version of The
American Pain Society's Patient Outcome Questionnaire. Patients were interviewed
48 to 72 hours after admission to the HITH program. Consecutive, adult, acute
care patients were invited to participate in the study. Patients who had
previously participated or had communication difficulties unable to be overcome
with the assistance of an interpreter were excluded. RESULTS: Sixty-nine percent
of patients interviewed experienced pain at home and 86% of these patients had
experienced pain in the 24 hours before the interview. Over half (56%) of the
patients had experienced moderate-to-severe worst pain in the previous 24 hours
and 33% reported moderate-to-severe pain as their average pain experience. Two
hundred thirty-two (93.2%) of the 250 patients who experienced pain had pain in
hospital before being transferred to HITH. Of these patients, 52.2% (n=132) were
prescribed analgesics to take home with them; the remaining 118 patients
experiencing pain were not prescribed analgesics and either sourced analgesics
once home (n=81, 68.1%) or did not take any analgesics (n=38, 31.9%). DISCUSSION:
Treatment of pain at home was suboptimal with patients experiencing moderate-to
severe pain and discomfort during the treatment phase of their illness. Lack of
appropriate discharge planning strategies meant that patients went home without
adequate analgesia and use of nonprescribed pain medication was common. The
number of patients transferred home without analgesics indicates a worrying
underrecognition of the need for analgesia in this care context and poses a risk
to patient safety that is no less significant because patients are at home.
PMID- 21904202
TI - Self-assessment of cognition in Multiple Sclerosis: the role of personality and
anxiety.
AB - OBJECTIVE: : To investigate the role of personality and anxiety to self-report
measures of cognition in patients with multiple sclerosis (MS). BACKGROUND: :
Self-report measures of cognition have consistently been shown to correlate
better with depressed mood than neuropsychological test performance in patients
with MS, with few studies focusing on the role of anxiety and personality.
METHOD: : One hundred eight MS patients completed the following: (a) patient and
informant report Multiple Sclerosis Neuropsychological Questionnaire (MSNQ); (b)
Hospital Anxiety and Depression Scale; (c) cognitive assessment with the Brief
Repeatable Battery of Neuropsychological Tests; and (d) personality assessment
using the self-report NEO Five-Factor Inventory. RESULTS: : Higher patient MSNQ
(P-MSNQ) scores (greater reported cognitive dysfunction) were significantly
correlated with lower scores on the Paced Auditory Serial Addition Test (PASAT;
r=-0.20, P<0.05), increased depression (r=0.45, P<0.01) and anxiety (r=0.54,
P<0.01), higher neuroticism (r=0.51, P<0.01), and lower conscientiousness (r=
0.35, P<0.01). After controlling for demographic variables, significant
predictors of P-MSNQ scores were anxiety (DeltaR=0.272, P<0.001),
conscientiousness (DeltaR=0.067, P=0.002), and performance on the PASAT
(DeltaR=0.050, P=0.005). Depression and neuroticism did not contribute
significant variance in comparison to anxiety. CONCLUSIONS: : Overall, patient
self-reports of cognition did not correspond well to neuropsychological
performance. Anxiety and conscientiousness contributed significantly to patients'
perceptions of their cognitive failings and thus should be taken into account
when addressing these complaints.
PMID- 21904203
TI - Auditory outcomes after implantation and electrical stimulation of the lateral
ampullar nerve in guinea pig.
AB - OBJECTIVE: To determine in a guinea pig model the factors of invasiveness of a
bipolar electrode implanted in the horizontal semicircular canal (HSC) and to
evaluate the consequences on hearing of electrical stimulation of the ampullary
nerve. DESIGN: Sixteen guinea pigs divided into four groups underwent surgical
opening of the HSC of one ear as follows: control (group 1), cyanoacrylate glue
application on the HSC opening (group 2), electrode implantation with
cyanoacrylate glue on the HSC opening (group 3), and electrode implantation with
electrical stimulation (1 hr/day) for 9 days (group 4). Auditory brainstem
responses were recorded before and after surgery and after electrical
stimulation. The effectiveness of electrical stimulation in producing a
horizontal vestibulo-ocular reflex was evaluated by recording eye movement with
video-oculography. RESULTS: Group 1 animals showed hearing loss, and in group 2,
sealing the HSC opening with cyanoacrylate glue preserved the hearing thresholds.
After electrode implantation, seven of the eight animals showed hearing loss
compared with preoperative values. Electrical stimulation did not induce
additional hearing loss. CONCLUSION: Electrode implantation at the canal level
entailed a risk of hearing loss in an animal model, but electrical stimulation of
the horizontal ampullary nerve did not further alter hearing function.
PMID- 21904204
TI - Efficacy and safety of peginterferon alpha-2a (40 KD) plus ribavirin in treatment
naive chronic hepatitis C patients in Central and Eastern Europe.
AB - OBJECTIVE: Assess the safety and efficacy of 24 or 48 weeks of treatment with
peginterferon alpha-2a (40 KD) plus ribavirin in treatment-naive patients with
chronic hepatitis C. METHODS: All patients in this open-label multinational study
were assigned at the investigator's discretion to receive peginterferon alpha-2a
(40 KD) 180 ug/week plus ribavirin (800 mg/day) for a total of 24 weeks or
peginterferon alpha-2a (40 KD) 180 ug/week plus ribavirin (1000/1200 mg/day) for
a total of 48 weeks. Treatment success was defined as sustained virological
response [sustained virological response (SVR); hepatitis C virus RNA less than
50 IU/ml after completion of untreated follow-up]. RESULTS: A total of 789
treatment-naive patients were enrolled, of whom 91% (138 of 152) of nongenotype 1
patients and 77% (490 of 637) of genotype 1 patients completed 24 and 48 weeks of
treatment, respectively. The overall SVR rate was 58% (459 of 789), and was 70
and 55% in nongenotype 1 and genotype 1 patients, respectively. Age (per 10-year
decrement) and baseline hepatitis C virus RNA level (<= 400 000 vs. >4 00 000
IU/ml) were significantly associated with SVR by multiple logistic regression
analysis. The safety profile of peginterferon alpha-2a (40 KD) plus ribavirin was
similar to that reported in pivotal trials, with no new or unexpected safety
signals. CONCLUSION: The combination of peginterferon alpha-2a (40 KD) plus
ribavirin was well tolerated and produced an overall SVR rate of 58% in treatment
naive patients. This study confirms that SVR rates achieved in pivotal clinical
trials in Western Europe and the USA can be achieved in routine clinical practice
in Central and Eastern Europe.
PMID- 21904205
TI - The characteristics of the positivity to the lactulose breath test in patients
with abdominal bloating.
AB - OBJECTIVES: The clinical utility in patients with bloating is still conflicting.
We aimed to evaluate the positivity to lactulose breath test (LBT) in patients
with bloating, and to assess the clinical characteristics according to the
positivity or subtypes of LBT. METHODS: The patients with abdominal bloating
undergoing LBT were investigated. Rome III positive irritable bowel syndrome
(IBS) and bowel symptoms were surveyed according to the positivity or subtypes of
LBT. RESULTS: The prevalence of IBS and the positivity to LBT was 23.8% (86 of
358) and 29.6% (106 of 358), respectively. The positivity to LBT and the
prevalence in subtypes of LBT were not different according to the presence of
IBS. The subtypes of LBT positive (+) patients were LBT (H2) with 61 (57.5%),
(CH4)+ with 30 (28.3%), and (both) positive with 15 (14.2%). The LBT (H2)+ group
was younger, and had higher symptomatic score for the bloating than that in the
LBT negative (-) group. The LBT (CH4)+ group was older, and had higher scores of
hard stool and strain than those of the LBT (-) group. In the fasting LBT (H2)+
group (n=37) diagnosed by increased baseline H2, the scores for abdominal
discomfort, bloating, and flatus were higher than those for the LBT (H2)+ or LBT(
) groups. CONCLUSIONS: The subtypes of the positivity to LBT according to the gas
produced or the fasting H2 may contribute to specificity or severity of abdominal
symptoms regardless of the presence of IBS.
PMID- 21904206
TI - Upper gastrointestinal bleedings in patients with hereditary coagulation
disorders in Northwest of Iran: prevalence of Helicobacter pylori infection.
AB - OBJECTIVE: Upper gastrointestinal (UGI) bleeding is one of the most life
threatening complications, in up to 25% of persons with hemophilia (PWH).
Recurrent bleeding is common and can be caused by the Helicobacter pylori
infection. Our aim was to evaluate the role of H. pylori infection in UGI
bleeding in PWH. MATERIAL AND METHODS: Ninety patients with hereditary bleeding
disorders, 30 patients with (group A), and 60 patients without (group B) a
history of UGI bleeding episodes were included. The prevalence of H. pylori
infection was investigated by stool antigen test, and serum serologic tests
including immunoglobulin G and anti-CagA. RESULTS: Among 90 patients (81 men,
nine women, mean age 31.30 +/- 10.72 years), 66 patients with hemophilia A, 10
patients with hemophilia B, six patients with Von Willebrand disease, five
patients with platelet function disorders, and three patients with other factor
deficiencies were evaluated. About 46.7% of patients in group A, and 23.3% of
patients in group B were anti-CagA-positive (P=0.02), whereas 76.7% of patients
in group A and 51.7% of patients in group B had H. pylori immunoglobulin G
antibodies (P=0.02). H. pylori antigen in stool was positive in 76.7% in group A
and 55% in group B (P=0.03). No statistically significant difference was found
between type and severity of diseases and risk of UGI. CONCLUSION: H. pylori
infection should be considered as an important cause of UGI bleeding in PWH. We
would recommend stool antigen test as a new and noninvasive screening test for
diagnosis of H. pylori infection in all patients with hereditary hemorrhagic
disorders.
PMID- 21904207
TI - Body mass index and the risk and prognosis of acute pancreatitis: a meta
analysis.
AB - OBJECTIVE: BMI has been indicated to be associated with prognosis of acute
pancreatitis (AP). However, the relationship between BMI and the risk of AP
development is still unresolved. We examined this association by conducting a
detailed meta-analysis. We also assessed its prognostic role by including more
researches. METHODS: Studies were identified by searching MEDLINE and EMBASE
through March 31, 2011. There were two end points in this meta-analysis: the risk
of AP development and the outcome of AP (including severity, local complications,
systemic complications, and mortality). Summary relative risks (SRRs) with their
corresponding 95% confidence intervals (CIs) were calculated using a random
effects model. RESULTS: Compared with normal weight individuals, obese
individuals (BMI>30 kg/m2) had an increased risk of AP development (SRRs 1.34,
95% CI: 1.07-1.68), with significant heterogeneity among these studies (P=0.002,
I2=77.2%). In addition, compared with nonobese patients, obese patients developed
significantly more severe AP (SRRs 1.82, 95% CI: 1.44-2.30), systemic
complications (SRRs 1.71, 95% CI: 1.17-2.50), local complications (SRRs 2.32,
95%CI: 1.79-3.00), and mortality (SRRs 2.21, 95% CI: 1.28-3.83). There was no
heterogeneity among these studies. CONCLUSION: Findings from this meta-analysis
indicated that obesity is not only associated with an increased risk of AP
development, but it is also a poor prognostic factor for AP.
PMID- 21904208
TI - Steatosis degree, measured by morphometry, is linked to other liver lesions and
metabolic syndrome components in patients with NAFLD.
AB - BACKGROUND AND AIM: We carried out morphometric measurements of steatosis to
evaluate relationships between steatosis degree and other liver lesions or
metabolic syndrome components in nonalcoholic fatty liver disease (NAFLD).
PATIENTS AND METHODS: We developed an algorithm to measure steatosis area. Two
hundred and fourteen patients with NAFLD were included in derivation (10) and
validation (204) groups. Controls consisted of patients who were steatosis-free
(12), patients with chronic hepatitis C (188), and patients with alcoholic
chronic liver disease (94). RESULTS: Accuracy of steatosis area was considered as
good or very good in at least 72% of cases by three pathologists. Steatosis areas
were as follows: NAFLD = 10.3 +/- 9.7%, virus = 2.4 +/- 3.1%, alcohol = 7.8 +/-
8.2% (P<0.0001). Steatosis area was closely related to steatosis grades in NAFLD
(P<0.0001 for linear trend). Steatosis area increased from the fibrosis stage F0
to the fibrosis state F2, then decreased in the stages F3 and F4 (cirrhosis)
(P<0.0001 for quadratic trend). Fibrosis was present in an average steatosis area
of approximately 4% (defining significant steatosis) and in nonalcoholic
steatohepatitis by approximately 8% (defining severe steatosis). Steatosis and
fibrosis area increased symmetrically until approximately 10%, then steatosis
area decreased to null as average fibrosis area reached 32%. Average fasting
glycemia (approximately 92 mg/dl) or triglycerides and BMI plateaued before a
steatosis area of approximately 4%, then increased thereafter. Significant
steatosis was present in 61.3% of NAFLD versus 20.2% of viral hepatitis
(P<0.0001) and in 58.7% of alcoholic liver diseases (P=0.674). CONCLUSIONS: The
average threshold of steatosis area is 4% for the development of fibrosis or
metabolic syndrome components and 8% for nonalcoholic steatohepatitis. Steatosis
area may contribute to defining the normal range and clinical course of metabolic
components.
PMID- 21904209
TI - The effect of temperature on soft contact lens modulus and diameter.
AB - PURPOSE: To examine the relative changes in diameter and modulus of soft contact
lenses when the temperature is raised from room temperature (RT) to eye
temperature (ET). METHODS: Thirteen lens types including 9 silicone hydrogel
lenses were measured for diameter and elastic modulus at RT (20 +/- 1 degrees C)
and ET (34 +/- 1 degrees C). Lens diameter measurements were undertaken after
equilibration in ISO saline in a temperature-controlled lens analyzer (Optimec,
Ltd, Malvern, United Kingdom). Measurements of flexural modulus of elasticity
were made using an Instron 3343 tensiometer (Instron, Norwood, MA) with the
samples suspended in a temperature-controlled saline bath. RESULTS: All lens
types reduced in diameter when raised to ET. The largest mean changes with
silicone hydrogel and conventional hydrogel lenses were with Biofinity (Delta0.35
mm) and Acuvue 2 (Delta0.28 mm), respectively. All the silicone hydrogels showed
a statistically significant reduction in modulus when raised to ET ranging from
Delta0.06 MPa with comfilcon A to Delta0.78 MPa with balafilcon A. All the
conventional hydrogels showed relatively small changes (<0.05 MPa) in modulus.
Two of the four conventional hydrogels showed a statistically significant change
in modulus (etafilcon A and ocufilcon A), but these were small and believed to be
clinically insignificant. CONCLUSIONS: This study has highlighted some clinically
relevant changes in soft contact lens modulus and diameter when raised from RT to
ET. It has also shown the importance of standardizing modulus measurement
technique.
PMID- 21904210
TI - Parents seek early intervention services for a two-year-old without autism.
AB - Sam is a 27-month-old boy who you have followed since birth. He lives with his
parents in a small resort town approximately 90 miles outside a major city. Both
his parents are professionals in their late 30s and have been highly involved in
his care since birth. At the 12-month visit, they were concerned about his
difficulty regulating. He was not sleeping through the night and had significant
difficulty with baths. His physical examination and growth were normal. His eye
contact was good, although it was difficult to see him smile. He had 1 or 2 words
and was beginning to walk independently.At the 15-month checkup, they continued
to be concerned about his poor regulation. He napped sporadically, and he was
very difficult to take out on errands as he did not like his car seat. He now had
approximately 10 single words, was using his fingers to point, and very clearly
waved "bye bye" as soon as you entered the room.At the 18-month checkup, they
state that he has not yet learned the word "no." He will follow a 1-step command
when he wants to but now has 15 single words without any combinations. He points
for his needs and to show them something. He has become increasingly "shy" around
strangers and prefers to play with one other child as opposed to a larger group.
He does not like loud noises and prefers to go barefoot constantly. His physical
examination was again normal as was his growth. He is referred for a full hearing
evaluation, which is also normal. The family was referred to early intervention,
and he began receiving speech and language therapy and occupational therapy for
his sensory challenges as well as a play group.At the 24-month checkup, his
language continued to consist of single words-now approximately 30. When the
parents do not understand what he wants, he will often tantrum and has started
banging his head on the floor when frustrated. He has no repetitive behaviors and
is starting to demonstrate imaginative play. Bath time has becoming increasingly
challenging because he does not like the sensation of soap and the water
temperature must be "just right." You refer the child to a Developmental and
Behavioral Pediatrician for evaluation and at 28 months he is seen. During his
testing visit, he had decreased eye contact and followed his own agenda but
improved significantly as testing progressed. As he got more comfortable, he
began making good eye contact, social referenced, and exhibited joint attention
with his parents and the examiner. He did not meet criteria for an autism
spectrum disorder or specifically pervasive developmental disorder-not otherwise
specified (PDD-NOS). He was given a diagnosis of mixed receptive and expressive
language delay and disruptive behavior disorder with sensory processing
problems.The parents come to you a month after their evaluation visit asking you
to give him a "listed diagnosis of PDD-NOS" that could be removed when he turns 3
years so that he may qualify for increased hours of services-up to 15 hours per
week-as well as applied behavioral analysis therapy. A behavioral therapist
through early intervention has told the family that he would benefit from this
increased intervention, specifically applied behavioral analysis but the only way
he can receive it is with a "medical diagnosis" on the autism spectrum. What do
you do next?
PMID- 21904211
TI - Attention deficit hyperactivity disorder and dirty electricity.
PMID- 21904212
TI - The association between parent worry and young children's social-emotional
functioning.
AB - OBJECTIVE: : Behavioral health problems are reported to affect as many as 24% of
children younger than 4 years. Screening within primary care settings remains
low. Brief, inexpensive methods to identify children are needed. The objective of
this study was to determine the extent to which parent worry about their
children's behavior and development is associated with social-emotional problems.
METHODS: : In this cross-sectional study, 378 Spanish and English speaking
mothers of 12- to 48-month-old, underserved children were surveyed before a well
child visit with the Brief Infant-Toddler Social-Emotional Assessment. This is a
parent-report measure that was scored to identify clinically significant (CS)
social-emotional problems (>=85th percentile) and at-risk (AR) problems (75th
84th percentile). Parents rated their worry about their children's behavior and
social-emotional development on 3 questions. RESULTS: : A total of 42.1% of
children had AR or CS problems or low social competence, with 19.8% of these
children having CS problems. Overall, 30.4% of parents expressed worry about
social-emotional/behavioral issues. A total of 19.9% of parents expressed worry
despite having rated their child's behavior in the normal range on the Brief
Infant-Toddler Social-Emotional Assessment. Worry was significantly associated
with having either AR or CS problems. However, worry significantly distinguished
the CS group, but not the AR group, from the normal group. Parent worry regarding
behavior and social-emotional development approached adequate sensitivity (66.7%)
to identify children CS problems with specificity being 78.6%. Parent worry,
however, was not adequately sensitive in detecting AR problems. Ethnic
differences indicated that the sensitivity and specificity of worry to detect CS
behavior and social-emotional problems were excellent in Hispanic families, but
sensitivity was poor in African-American ones. Among parents with low educational
attainment, sensitivity to detect CS behavior and social-emotional problems was
excellent. CONCLUSIONS: : Parent worry regarding social-emotional/behavioral
issues may be a useful adjunct to developmental surveillance, as it identifies
children with the most significant behavioral and social-emotional problems.
However, as a screening method to identify all young children with social
emotional problems, parent worry does not currently achieve acceptable
classification.
PMID- 21904215
TI - Interferon-conditioned dendritic cells for melanoma immunotherapy.
PMID- 21904213
TI - Social support for diabetes illness management: supporting adolescents and
caregivers.
AB - OBJECTIVE: The aim of this research study was to examine the relationship between
4 sources of social support (support for the adolescent from family, support for
the adolescent from friends, support for the caregiver from another adult, and
support to the family from the health care provider) and adolescents' diabetes
outcomes (illness management behavior and health status) using a diverse sample
of urban adolescents. METHOD: One hundred forty-one adolescents with insulin
managed diabetes and their primary caregivers completed questionnaires assessing
social support and illness management behavior. Glucose meters were downloaded
and hemoglobin A1c assays were obtained. Structural equation modeling was used to
test a model social support informed by social ecological theory. RESULTS: The
results of the structural equation modeling indicated that support for the
caregiver from another adult was directly and positively related to support for
the adolescent from family and indirectly related to better illness management.
Support for the adolescent from family was directly related to better diabetes
management and, through better management, to better diabetes health. Support to
the family from the health care provider was not related to support for the
adolescent and support to the adolescent from friends was not related to illness
management, as hypothesized. CONCLUSION: This study identifies a novel target for
social support intervention to improve adolescents' illness management behavior
the caregivers of adolescents with diabetes. By enhancing the social support
caregivers receive from other adults in their lives, caregivers' ability to
support their adolescent children with diabetes might also be improved which, in
turn, improves adolescents' illness outcomes.
PMID- 21904216
TI - Redirected lysis of human melanoma cells by a MCSP/CD3-bispecific BiTE antibody
that engages patient-derived T cells.
AB - Melanoma-associated chondroitin sulfate proteoglycan (MCSP; also called HMW-MAA,
CSPG4, NG2, MSK16, MCSPG, MEL-CSPG, or gp240) is a well characterized melanoma
cell-surface antigen. In this study, a new bispecific T-cell engaging (BiTE)
antibody that binds to MCSP and human CD3 (MCSP-BiTE) was tested for its
cytotoxic activity against human melanoma cell lines. When unstimulated
peripheral mononuclear blood cells (PBMCs) derived from healthy donors were
cocultured with melanoma cells at effector:target ratios of 1:1, 1:5, or 1:10,
and treated with MCSP-BiTE antibody at doses of 10, 100, or 1000 ng/mL, all MCSP
expressing melanoma cell lines (n=23) were lysed in a dose-dependent and
effector:target ratio-dependent manner, whereas there was no cytotoxic activity
against MCSP-negative melanoma cell lines (n=2). To investigate whether T cells
from melanoma patients could act as effector cells, we cocultured unstimulated
PBMCs with allogeneic melanoma cells from 13 patients (4 stage I/II, 3 stage III,
and 6 stage IV) or with autologous melanoma cells from 2 patients (stage IV).
Although cytotoxic activity varied, all 15 PBMC samples mediated significant
redirected lysis by the BiTE antibody. When PBMC or CD8 T cells were
prestimulated by anti-CD3 antibody OKT-3 and interleukin-2, the MCSP-BiTE
concentrations needed for melanoma cell lysis decreased up to 1000-fold. As MCSP
is expressed on most human melanomas, immunotherapy with MCSP/CD3-bispecific
antibodies merits clinical investigation.
PMID- 21904217
TI - Functional consequences of human lymphocyte cryopreservation: implications for
subsequent interactions of cells with endothelium.
AB - In order to understand human inflammatory diseases and to develop and assess new
therapeutic strategies targeting leukocyte recruitment to tissue, it is necessary
to study human lymphocyte interactions with endothelium. It is often not
practical to carry out assays on fresh human samples and therefore cells may be
cryopreserved and batched for later study. Furthermore, many forms of adoptive
cell therapy use cryopreserved cells that are required to migrate to tissue after
infusion in vivo. The consequences of cryopreservation on the adhesion and
migration of leukocytes is not known leading us to study the effects of
cryopreservation on lymphocyte phenotype, migration, and adhesion.
Cryopreservation and subsequent thawing did not alter the proportion of retrieved
T cell subsets. Overall levels of expression of beta1 or beta2 integrins were
unaffected but marked changes were observed in other relevant receptors.
Expression of CD69, a transmembrane protein that plays a critical role in
lymphocyte egress from tissues and the chemokine receptor CXCR4, increased on
thawed populations and levels of CD62L and CXCR3 were reduced on thawed cells but
restored if cells were allowed to recover after thawing. These changes were
associated with modulation of the ability of lymphocytes to migrate across
cytokine-stimulated monolayers of endothelium toward recombinant CXCL11 and
CXCL12. Thus cryopreservation and thawing of lymphocytes induces changes in their
adhesive phenotype and modulates their ability to migrate across endothelial
monolayers. These findings have implications for in vitro experimentation and for
cell therapy in which cryopreserved cells are expected to migrate when reinfused
into patients.
PMID- 21904218
TI - Adeno-associated virus-mediated local delivery of LIGHT suppresses tumorigenesis
in a murine cervical cancer model.
AB - LIGHT is a tumor necrosis factor superfamily ligand that is considered as a
promising candidate for cancer therapy. It has a potent antitumor activity
through establishing lymphoid-like tissues inside tumor sites and recruiting
naive T cells into the tumor. In this study, we examined the possibility of
antitumor activity by expressing LIGHT in cervical cancer (CC) model. A
recombinant adeno-associated virus (AAV) vector was chosen for the transfer,
based on its transfection efficiency and lack of detectable pathology. In vitro
transfer of recombinant AAV vector expressing LIGHT (AAV-LIGHT) stimulated T
lymphocyte proliferation and activation. AAV-mediated gene transfer of LIGHT by
intratumoral injection exerted a very potent antitumor effect against preexisting
TC-1 cell CC in C57BL/6 mice. This study confirmed that AAV-LIGHT regressed tumor
growth by activating cytotoxic T lymphocyte, enhancing infiltration of
inflammatory cells in tumor and increasing stimulatory cytokine expression in
tumor microenvironment. Therefore, AAV-LIGHT therapy might have potential utility
for the treatment of CC.
PMID- 21904219
TI - Vaccines targeting the cancer-testis antigen SSX-2 elicit HLA-A2 epitope-specific
cytolytic T cells.
AB - The cancer-testis antigen synovial sarcoma X breakpoint-2 (SSX-2) is a
potentially attractive target for tumor immunotherapy based upon its tissue
restricted expression to germline cells and its frequent expression in
malignancies. The goal of this study was to evaluate genetic vaccine encoding SSX
2 to prioritize human leukocyte antigen (HLA)-A2-specific epitopes and determine
if a DNA vaccine can elicit SSX-2-specific cytotoxic T lymphocytes (CTLs) capable
of lysing prostate cancer cells. HLA-A2-restricted epitopes were identified based
on their in vitro binding affinity for HLA-A2 and by the ability of a genetic
vaccine to elicit peptide-specific CTL in A2/DR1 (HLA-A2.1+/HLA-DR1+/H-2 class I
/class II-knockout) transgenic mice. We found that SSX-2 peptides p41-49
(KASEKIFYV) and p103-111 (RLQGISPKI) had high affinity for HLA-A2 and were
immunogenic in vivo; however, peptide p103-111 was immunodominant with robust
peptide-specific immune responses elicited in mice vaccinated with a plasmid DNA
vaccine encoding SSX-2. Furthermore, p103-111-specific CTLs were able to lyse an
HLA-A2+ prostate cancer cell line. The immunodominance of this epitope was found
not to be due to a putative HLA-DR1 epitope (p98-112) flanking p103-111. Finally,
we demonstrated that SSX-2 epitope-specific CTLs could be detected and cultured
from the peripheral blood of HLA-A2+ prostate cancer patients, notably patients
with advanced prostate cancer. Overall, we conclude that SSX-2 peptide p103-111
is an immunodominant HLA-A2-restricted epitope, and epitope-specific CD8 T cells
can be detected in patients with prostate cancer, suggesting that tolerance to
SSX-2 can be circumvented in vivo. Together, these findings suggest that SSX-2
may be a relevant target antigen for prostate cancer vaccine approaches.
PMID- 21904220
TI - Long-term intrathecal drug administration for chronic nonmalignant pain.
AB - BACKGROUND: Chronic pain of nonmalignant origin requires effective long-term
treatments, as for many patients pain management will be necessary throughout the
rest of their lives. Intrathecal drug delivery systems (IDDS) have become a
recognized therapy for the management of severe and otherwise intractable chronic
pain. However, it is still not clear whether this treatment can be effective for
periods up to 10 years or longer, given the paucity of long-term follow-up. This
study sought to examine the effectiveness of IDDS following an average of 13
years postimplantation. METHODS: Twenty patients participated in a longitudinal
study with an average follow-up of 13.5 years (range: 10.4 to 17.9) after IDDS
implantation. Investigation was carried out by means of a questionnaire before
IDDS and after an average of 4 and 13 years of IDDS therapy. Assessment of
pharmacological data and complications/side effects was performed. RESULTS:
Statistically significant improvements between baseline and 4-year assessment
were observed for the following sensory and psychosocial variables: pain
intensity, pain relief, coping, self-efficacy, depression, quality of life,
housework, mobility, sleep, and social life (all P<0.001). No statistically
significant changes were detected between assessments at averages of 4 and 13.5
years. CONCLUSIONS: This study, with one of the longest follow-up intervals
reported in the IDDS literature, shows that IDDS has the potential to be a life
long pain management solution in appropriately selected patients with chronic
nonmalignant pain.
PMID- 21904221
TI - Cerebral effect of acute normovolemic hemodilution during brain tumor resection.
AB - BACKGROUND: Acute normovolemic hemodilution (ANH) is used in major surgery
expected to be accompanied by excessive blood loss. Reducing the hemoglobin
content may disturb cerebral oxygen balance. The aim of this study was to assess
the effect of ANH on cerebral oxygen balance in patients subjected to brain tumor
resection. METHODS: Forty patients were randomly allocated into 2 groups
(hemodilution and control). In the hemodilution group (HG), 1000 mL of blood was
drawn and replaced with the same volume of HES 130/0.4 (6%, Voluven) colloid. In
the control group (CG), no blood was drawn, and hemodynamics were stabilized
using normal saline until allogenic blood was needed. Arterial and jugular bulb
blood samples obtained after induction (basal, sample 1), 40 minutes after
induction (or on completion of hemodilution, sample 2), after surgical hemostasis
(sample 3), and just before extubation (sample 4) were used for the calculation
of arterial-jugular oxygen content difference "Ca-jO(2)," cerebral oxygen
extraction "CEO(2)," estimated cerebral metabolic rate for oxygen "eCMRO(2),"
cerebral blood flow equivalent "CBFe," and jugular-arterial lactate difference "J
ALD" in both groups. RESULTS: Jugular oxygen saturation "SjvO(2)", CEO(2), and J
ALD showed no significant difference when the 2 groups were compared at the
corresponding time points and when the values obtained at different time points
were compared with the basal value in the same group. In CG, "Ca-jO(2)"
significantly decreased at the end of surgery and before tracheal extubation
(P<0.003 and 0.002, respectively). In HG, it decreased after hemodilution, with P
value of less than 0.032. eCMRO(2) was significantly reduced in CG 40 minutes
after induction of anesthesia, at the end of surgery, and before tracheal
extubation (P<0.021, 0.001, and 0.001, respectively). In HG, eCMRO(2) was
significantly reduced at the end of hemodilution and at the end of surgery with P
value of less than 0.005 and 0.034, respectively. CBFe was significantly
increased in CG at the end of surgery and before tracheal extubation (P<0.005 and
0.022, respectively). It was also increased after hemodilution in HG (P<0.042).
There were no significant differences in Ca-jvO(2), eCMRO(2), and CBFe between
the 2 groups. CONCLUSION: ANH and allogenic blood transfusion used in this study
design were accompanied by comparable cerebral oxygenation parameters in patients
subjected to brain tumor resection.
PMID- 21904222
TI - Invited commentary. Emergency room coverage of orthopaedic injuries: a public
relations problem.
PMID- 21904223
TI - How do emergency department physicians rate their orthopaedic on-call coverage?
AB - PURPOSE: Throughout the United States, the lack of orthopaedic on-call coverage
at many institutions has been described as a "crisis." This study sought to
understand how emergency department (ED) physicians perceive their orthopaedic on
call coverage. Specifically, the study looked at availability of orthopaedic
coverage, adequacy of coverage, and reasons for patient transfers. METHODS: After
Institutional Review Board approval, written questionnaires were mailed to the ED
directors at 39 of the 41 emergency departments in New Hampshire and Vermont. The
instrument consisted of 25 items. Survey domains included ED physician
demographics, availability and adequacy of orthopaedic coverage, and reasons for
patient transfer. All responses were anonymous. RESULTS: A total of 31
questionnaires was returned. Approximately one third (36%) of ED physicians
reported they had full-time orthopaedic coverage with 8% reporting they "never"
had coverage. Almost two thirds (64%) of respondents felt their daytime
orthopaedic coverage was adequate, but this number dropped to 52% for night
coverage and 48% for weekend coverage. Over half (55%) of respondents felt their
orthopaedist was reluctant to come in to evaluate a patient when the ED physician
felt the patient warranted orthopaedic consultation. Approximately half (52%)
felt it was often the case that a patient's care could have been improved if they
had been evaluated by an orthopaedist. Only 29% of respondents said their
orthopaedist always came in when asked to evaluate a patient. The top three
reasons ED physicians felt their orthopaedist declined to care for a patient were
complexity of the injury, the time of day/night, and if it was a weekend.
CONCLUSION/IMPLICATIONS: The findings in this study suggest there is substantial
room for improvement in orthopaedic on-call coverage for emergency departments.
PMID- 21904225
TI - Open clavicle fractures: patterns of trauma and associated injuries.
AB - OBJECTIVES: To describe the demographic distribution, mechanism of injury, and
associated injuries of patients sustaining open clavicle fractures. DESIGN:
Retrospective case series. SETTING: Level I trauma center. PATIENTS/PARTICIPANTS:
Trauma registry data from all patients who required admission to the hospital
from October 1995 through January 2010, specifically patients with open clavicle
fractures. INTERVENTION: Not applicable. MAIN OUTCOME MEASUREMENTS: The patterns
of open clavicle fractures and their association with severe, nonorthopaedic
injuries (head, thoracic, and great vessel). RESULTS: Fifty-three patients with
open clavicle fractures were identified, and they were organized by mechanism of
injury: 21 sustained blunt injuries, 26 penetrating injuries, and six not
specified. No difference between blunt and penetrating injuries existed with
respect to age, Injury Severity Score, inpatient days, or mortality rates. Blunt
injuries were more likely associated with head injuries (52%) versus penetrating
injuries (22%), but penetrating injuries were more likely associated with a great
vessel injury (27% vs 7%, respectively), all statistically significant (P =
0.0487). CONCLUSIONS: Open clavicle fractures are rare injuries. Patients often
have associated head, thoracic, and great vessel injuries. Penetrating injuries
have higher rates of great vessel injuries and that blunt force injuries have
higher rates of head injuries.
PMID- 21904224
TI - Influence of preoperative 7.5% hypertonic saline on neutrophil activation after
reamed intramedullary nailing of femur shaft fractures: a prospective randomized
pilot study.
AB - OBJECTIVES: Femoral reaming and intramedullary nailing (IMN) primes
polymorphonuclear leukocytes (PMNL) and thereby increases the posttraumatic
systemic inflammatory response. Resuscitation with hypertonic saline (HTS)
attenuates PMNL activation after trauma-hemorrhage. We hypothesized that
preoperative administration of 7.5% HTS attenuates PMNL priming after IMN of
unilateral femur shaft fractures compared with 0.9% normal saline. DESIGN:
Prospective, randomized, double-blind study. SETTING: Level I trauma center.
PATIENTS: Twenty patients between 18 and 80 years of age with an Injury Severity
Score less than 25 and a unilateral femur shaft fracture amenable to IMN fixation
within 24 hours after injury. INTERVENTION: Patients were allocated to equally
sized HTS or normal saline treatment groups (n = 10) before surgery. Solutions
were administered in a blinded bag as a single bolus of 4 mL/kg body weight
immediately before surgery. Whole blood samples were collected directly before
saline application (t0) and at 6, 12, and 24 hours after surgery. MAIN OUTCOME
MEASUREMENTS: PMNL surface expression of CD11b and CD62L, as determined by flow
cytometry analysis. RESULTS: Demographic characteristics of both treatment groups
were comparable. Baseline expression of CD11b and CD62L cell markers was in a
similar range in the two cohorts. The expression levels of CD11b were comparable
between the two groups throughout the observation time, whereas CD62L levels were
significantly higher in the HTS group at 6 and 24 hours after surgery. CONCLUSION
AND SIGNIFICANCE: Preoperative infusion of HTS appears to exert an anti
inflammatory effect by attenuating the extent of postoperative PMNL activation
after reamed IMN for femoral shaft fractures.
PMID- 21904226
TI - Analysis of past secular trends of hip fractures and predicted number in the
future 2010-2050.
AB - BACKGROUND: Understanding past trends and predicted future incidence of hip
fractures is important for the assessment of Medicare sustainability and resource
allocation. The purpose of this article was an analysis of most recent data on
the incidence of hip fractures to predict the number of hip fractures that will
occur in the United States from 2010 to 2050 in individuals 45 and older, by sex,
and age distribution. METHOD: Prior hip fracture data were obtained from the
National Hospital Discharge Survey during the period 1996-2006. These data were
obtained from the US Department of Health and Human Services, Centers for Disease
Control and Prevention, National Center for Health Statistics. Projected
population estimates were obtained from the Population Division, US Census Bureau
and Statistics, August 14, 2008. We used the past number and incidence of hip
fractures extrapolated to population projections to predict the future number of
hip fractures to 2050 using Application Software (SAS 9.2; SAS Institute Inc)
regression model analysis. RESULTS: Two trends were identified from past reported
rates of hip fractures. Trend 1 assumed a continued very slow decline in the
incidence of hip fractures in the future yielding a conservative estimate of
458,000 fractures by 2050. Trend 2 ignored the slight decrease in rate over past
years and used a constant rate determined from linear regression providing an
estimate as high as 1,037,000 in 2050. The largest number of fractures will occur
in females older than 65 years. CONCLUSIONS: Future estimates of the number of
hip fracture will likely fall between the 2 trends described within and by 2050
may range from 458,000 to 1,037,000 with the largest number occurring in female
older than 65 years.
PMID- 21904227
TI - Femoral neck shortening and varus collapse after navigated fixation of
intracapsular femoral neck fractures.
AB - OBJECTIVE: Assessing femoral neck shortening (FNS) and varus collapse after
internal fixation of femoral neck fractures using computerized navigation (CN).
DESIGN: Retrospective cohort study. SETTINGS: Academic Level I trauma center.
PATIENTS AND METHODS: Forty-one patients who had healed femoral neck fractures
treated with CN between the years 2003 and 2008. Average age was 65 years (range,
14-91 years). Thirty-six patients had nondisplaced fractures and five had
displaced fractures. INTERVENTION: Screws were placed using CN in an inverted
triangle formation Follow-up films were digitized into a PACS system, calibrated,
and analyzed using CAD software. OUTCOME MEASURES: The following parameters were
recorded: abductor lever arm shortening (termed x), corresponding vertical femur
shortening (termed y), and the resultant femoral neck shortening vector (z).
Fifteen patients were available for clinical outcome measures by the means of SF
12 survey RESULTS: Significant FNS of the x component (greater than 5 mm)
occurred in 30 of 42 (71%) patients with severe shortening (greater than 10 mm)
in 25% of the patients. Significant y shortening occurred in 43% of the patients
and severe shortening in 17%. Overall (z) femoral neck shortening occurred in 56%
of the patients with severe shortening in 22% of patients. Varus collapse
(greater than 5 degrees ) did not occur in any patient. Screw pullout (greater
than 5 mm) occurred in 17 (41%) patients. Seven patients required late (greater
than 6 months) arthroplasty postoperatively. FNS did not significantly correlate
with fracture type, quality of reduction, age, or neck shaft angle. SF-12 results
were negatively correlated with overall FNS. CONCLUSIONS: Our results show a high
degree of FNS associated with the use of CN for fixation of femoral neck
fractures, similar to recently published series using nonnavigated implants.
However, no varus collapse occurred in our series. Our preliminary clinical data
show a trend toward an adverse effect of FNS on quality-of-life measures.
PMID- 21904228
TI - Augmented osteosynthesis of OTA 44-B fractures in older patients: a technique
allowing early weightbearing.
AB - OBJECTIVES: To determine the effectiveness of an augmented technique of
osteosynthesis in allowing early weightbearing in older patients with OTA 44-B
(Danis-Weber B) fractures. DESIGN: Case series. SETTING: University Level I
trauma center. PATIENTS: Thirty-six patients, nonconsecutive, with OTA 44-B
fractures. INTERVENTION: Augmented internal fixation using an intramedullary
wire, lateral plate, and screw augmentation with polymethylmethacrylate. OUTCOME
MEASURES: MAIN OUTCOME: Healed fracture with no loss of reduction. SECONDARY
OUTCOMES: American Orthopaedic Foot and Ankle Society score; percentage of
patients who returned to prefracture function. RESULTS: All patients began
weightbearing as tolerated in a removable brace at a mean of 13.5 days
postoperatively. Thirty patients were available for follow-up at a minimum of 12
months (range, 12-14 months). All fractures healed with no loss of reduction. The
mean American Orthopaedic Foot and Ankle Society ankle-hindfoot score was 84.9
(range, 74-100), and 90% of patients returned to prefracture function. There were
two infections, one in a 74-year-old diabetic woman and the other in a 92-year
old woman with pre-existing arterial insufficiency and a small ulcer over the tip
of the second toe. CONCLUSIONS: Augmented internal fixation consisting of
intramedullary wire, lateral plate, and screw augmentation with
polymethylmethacrylate may allow for very early weightbearing without risk of
secondary loss of reduction or disruption of the ankle mortise in older patients
with OTA 44-B (Danis-Weber B) malleolar fractures.
PMID- 21904229
TI - Superior versus anteroinferior plating of the clavicle: a finite element study.
AB - OBJECTIVES: Fixation plate positioning remains controversial in clavicle fracture
reconstruction. Biomechanical studies favor a superior plate placement and
clinical series report very low mechanical complications for anteroinferior plate
placement. To clarify this apparent discrepancy, a biomechanical finite element
analysis of the deformation mode, stress patterns, and peak stresses involved
with superior and anteroinferior clavicle plate fixation was performed. METHODS:
Finite element models of the superior and anteroinferior reconstructions were
built and the results were compared with those of the intact clavicle when loaded
in axial compression and cantilever bending. RESULTS: Superior plate placement
was less likely to fail in axial compression but the anteroinferior plate
placement was less likely to fail in cantilever bending. For all placements and
loading modes, the region near the fracture gap experienced the highest stresses
and was consequently critical for the behavior of the whole construct. The
anteroinferior placement led to a deformation mode similar to the intact clavicle
in both loading configurations, whereas the deformation mode with the superior
placement was non-physiological. CONCLUSIONS: Anterorinferior plating is
generally preferable, because it induces deformation modes similar to the intact
clavicle and is less likely to fail during normal physiological loading
(cantilever bending). Superior placement of the reconstruction plate may be
recommended for a patient with a high risk of shoulder impacts (axial
compression). Design improvements in the bridging area of the plate and special
attention to obtain a good fixation around the fracture could reduce plate
failures and provide a stiffer construct.
PMID- 21904230
TI - Randomized, prospective comparison of plate versus intramedullary nail fixation
for distal tibia shaft fractures.
AB - OBJECTIVES: Malalignment has been frequently reported after intramedullary
stabilization of distal tibia fractures. Nails have also been associated with
knee pain in several studies. Historically, plate fixation has resulted in
increased risks of infection and nonunion. Our purposes were to compare plate and
nail stabilization for distal tibia shaft fractures by assessing complications
and secondary procedures. We hypothesized that nails would be associated with
more malalignment and nonunion. DESIGN: Randomized, prospective study. SETTING:
Level I trauma center. PATIENTS/PARTICIPANTS: One hundred four skeletally mature
patients with extra-articular distal tibia shaft fractures with a mean age of 38
years (range, 18-95 years) and mean Injury Severity Score of 13.5 (range, 9-50).
The majority had high-energy injuries. INTERVENTION: Patients were randomized to
a reamed intramedullary nail (n = 56) or a large fragment medial plate (n = 48).
Forty fractures (39%) were open. Twenty-eight (27%) had concomitant fibula
fractures that were stabilized. MAIN OUTCOME MEASUREMENTS: Malunion, nonunion,
infection, and secondary operations. RESULTS: The two treatment groups were
evenly matched with respect to age, gender, Injury Severity Score, fracture
pattern, and presence of open fracture. Six patients (5.8%) developed deep
infection with equal numbers in the two groups. Eighty-three percent of
infections occurred after open fracture (P < 0.001). Four patients (7.1%)
developed nonunion after nailing versus two (4.2%) after plating (P = 0.25) with
a trend for nonunion in patients who had distal fibula fixation (12% versus 4.1%,
P = 0.09). All nonunions occurred after open fracture (P = 0.0007); the primary
union rate for closed fractures was 100%. Primary angular malalignment of 5
degrees or greater occurred in 13 patients with nails (23% of all nails) and
four with plates (8.3% of all plates; P = 0.02 for plates versus nails). Six
additional patients experienced malalignment after immediate weightbearing
against medical advice. Valgus was the most common deformity (n = 16). Malunion
was more common after open fracture (55%, P = 0.04). Eighty-five percent of
patients with malalignment after nailing did not have fibula fixation. Eleven
patients underwent 15 secondary procedures after plating, five of which were for
prominent implant removal. This was not significantly different from patients
treated with nailing: 10 patients had 14 procedures and five for prominent
implant removal. CONCLUSIONS: High primary union rates were noted after surgical
treatment of distal tibia shaft fractures with both nonlocked plates and reamed
intramedullary nails. Rates of infection, nonunion, and secondary procedures were
similar. Open fractures had higher rates of infection, nonunion, and malunion.
Intramedullary nailing was associated with more malalignment versus plating.
Fibula fixation may facilitate reduction of the tibia at the time of surgery. The
effect of fibula fixation on tibia healing deserves further study. Economic
assessment and functional outcomes data for this population will help to enhance
our treatment decision-making.
PMID- 21904231
TI - Impact of testing strategy on expression of upper-body work capacity and one
repetition maximum prediction after resistance training in college-aged men and
women.
AB - The purpose of this study was to assess the effect of resistance training on
upper-body muscular strength and the expression of work capacity and muscular
endurance. In addition, a training-induced change in the relationship between
muscular strength and endurance was assessed by testing changes in the accuracy
of using endurance repetitions to predict 1 repetition maximum (1RM) bench press
before and after training. College-aged men (n = 85) and women (n = 62) completed
a 12-week linear periodization resistance training program. Before and after
training, the subjects were assessed for 1RM and repetitions to fatigue (RTFs)
with a submaximal load. After pretraining 1RM determination, the subjects were
randomly assigned to perform RTFs at 65% 1RM (n = 74) or 90% 1RM (n = 73).
Pretraining and posttraining RTFs were conducted at the same respective % 1RM.
Work capacity was determined from repetition weight * RTF. After training, there
was a significant increase in 1RM in both men (~14%) and women (~23%).
Posttraining RTF was not different from pretraining RTF at 65 %1RM (18.2 +/- 5.1
and 19.0 +/- 6.0, respectively) but was significantly reduced in the 90% 1RM
group (6.1 +/- 3.6 vs. 4.5 +/- 2.7, respectively). Likewise, there was a
differential effect of training on the expression of work capacity, which
increased in the 65 % 1RM group (123 +/- 155 kg-reps) but decreased in the 90%
1RM group (-62 +/- 208 kg-reps); the effect was independent of gender within each
testing group. In conclusion, the changes in muscular strength associated with
resistance training produced an increase in work capacity when tested with a 65 %
1RM load without a change in endurance. In contrast, both work capacity and
endurance decreased when tested with 90% 1RM. Thus, the impact of strength
training on work capacity and muscle endurance is specific to the load at which
endurance testing is performed.
PMID- 21904232
TI - Effects of intensive physical rehabilitation on neuromuscular adaptations in
adults with poststroke hemiparesis.
AB - Hemiparesis-disability and muscle weakness of 1 side of the body-is a common
consequence of stroke. High-intensity strength training may be beneficial to
regain function, but strength coaches in the field of rehabilitation need
evidence-based guidelines. The purpose of this study was to evaluate the effect
of intensive physical rehabilitation on neuromuscular and functional adaptations
in outpatients suffering from hemiparesis after stroke. A within-subject repeated
measures design with the paretic leg as the experimental leg and the nonparetic
leg as the control leg was used. Eleven outpatients with hemiparesis after stroke
participated in 12 weeks of intensive physical rehabilitation comprising
unilateral high-intensity strength training with near-maximal loads (4-12
repetition maximum) and body weight supported treadmill training. At baseline and
12-week follow-up, the patients went through testing consisting of isokinetic
muscle strength, neuromuscular activation measured with electromyography (EMG),
electrically evoked muscle twitch contractile properties, and gait performance
(10-m Walk Test and 6-min Walk Test). After the 12-week conditioning program,
knee extensor and flexor strength increased during all contraction modes and
velocities in the paretic leg. Significant increases were observed for agonist
EMG amplitude at slow concentric and slow eccentric contraction. Twitch torque
increased, whereas twitch time-to-peak tension remained unchanged. By contrast,
no significant changes were observed in the nonparetic control leg. Gait
performance increased 52-68%. In conclusion, intensive physical rehabilitation
after stroke leads to clinically relevant neuromuscular improvements, leading to
increased voluntary strength during a wide range of contraction modes and
velocities, and improved gait velocity. Strength training coaches working in the
field of rehabilitation can use this knowledge to safely and efficiently add high
intensity strength training to existing rehabilitation paradigms.
PMID- 21904233
TI - High-intensity interval training vs. repeated-sprint training in tennis.
AB - The aim of this study was to compare the effects of high-intensity interval
training (HIIT) and repeated-sprint training (RST) on aerobic fitness, tennis
specific endurance, linear and repeated-sprint ability (RSA), and jumping
ability. Thirty-one competitive male tennis players took part in a training
intervention of 6 weeks. The players were matched into 3 groups, HIIT (n = 11),
RST (n = 12), or control group (CON, n = 9). The results showed significant time
* intervention interactions for VO(2)peak, with a significant increase in the
VO(2)peak level of 6.0% in HIIT (p = 0.008) and 4.9% in RST (p = 0.010), whereas
no changes occurred in CON. However, the following differences were found between
the intervention groups: The HIIT-induced greater improvements in tennis-specific
endurance (HIIT 28.9% vs. RST 14.5%; p < 0.05) and RST led to a significant
improvement in RSA (i.e., reduction in the mean sprint time of 3.8%; p < 0.05).
Neither training strategy induced any effects on jumping and sprinting abilities.
Both training interventions showed similar improvements in general aerobic
fitness. Also, the present results suggest that RST represents a time-efficient
stimulus for a simultaneous improvement of general and tennis-specific aerobic
fitness as well for RSA.
PMID- 21904234
TI - The convergent validity between two objective methods for quantifying training
load in young taekwondo athletes.
AB - Various studies used objective heart rate (HR)-based methods to assess training
load (TL). The common methods were Banister's Training Impulse (TRIMP; weights
the duration using a weighting factor) and Edwards' TL (a summated HR zone
score). Both the methods use the direct physiological measure of HR as a
fundamental part of the calculation. To eliminate the redundancy of using various
methods to quantify the same construct (i.e., TL), we have to verify if these
methods are strongly convergent and are interchangeable. Therefore, the aim of
this study was to investigate the convergent validity between Banister's TRIMP
and Edwards' TL used for the assessment of internal TL. The HRs were recorded and
analyzed during 10 training weeks of the preseason period in 10 male Taekwondo
(TKD) athletes. The TL was calculated using Banister's TRIMP and Edwards' TL.
Pearson product moment correlation coefficient was used to evaluate the
convergent validity between the 2 methods for assessing TL. Very large to nearly
perfect relationships were found between individual Banister's TRIMP and Edwards'
TL (r values from 0.80 to 0.99; p < 0.001). Pooled Banister's TRIMP and pooled
Edwards' TL (pooled data n = 284) were nearly largely correlated (r = 0.89; p <
0.05; 95% confidence interval: 0.86-0.91). In conclusion, these findings suggest
that these 2 objective methods, measuring a similar construct, are
interchangeable.
PMID- 21904235
TI - The effect of acute stretching on agility performance.
AB - Static stretching (SS) has shown decreases in many areas including strength,
anaerobic power, and sprinting time. Dynamic stretching (DS) has shown increases
in anaerobic power and decreases in sprinting time. Research on the effects of
stretching on agility performance is limited. The purpose of this study was to
determine the effect of SS and DS on performance time of a sport agility test.
Sixty male subjects consisting of collegiate (n = 18) and recreational (n = 42)
basketball athletes volunteered for the study. Subjects were randomly assigned to
1 of 3 intervention groups: SS, DS, or no stretching (NS). All groups completed a
10-minute warm-up jog followed by a 3-minute rest. The SS and DS groups then
completed an 8.5-minute stretching intervention. Next, all subjects completed 3
trials of the 505 agility test with 2-5 minutes of rest between trials. A 2-way
repeated-measure analysis of variance (Stretch group, athlete category, group *
athlete interaction) was used to determine statistical significance (p < 0.05). A
Tukey post hoc test was performed to determine differences between groups. For
all athletes, the DS group produced significantly faster times on the agility
test (2.22 +/- 0.12 seconds, mean +/- SD) in comparison to both the SS group
(2.33 +/- 0.15 seconds, p = 0.013) and NS group (2.32 +/- 0.12 seconds, p =
0.026). Differences between the SS and NS groups revealed no significance (p =
0.962). There was a significant difference in mean times for the type of athlete
(p = 0.002); however, interaction between the type of athlete and stretching
group was not significant (p = 0.520). These results indicate that in comparison
to SS or NS, DS significantly improves performance on closed agility skills
involving a 180 degrees change of direction.
PMID- 21904237
TI - Consistency of the counting talk test for exercise prescription.
AB - The purpose of this study was to assess the consistency of the counting talk test
(CTT) method for estimating exercise intensity across various modes of exercise
in healthy young adults. Thirty-six individuals completed the study, which
required participation in 3 separate sessions within a 2-week time period. During
the first session, the individuals completed a maximal effort treadmill test from
which each individual's heart rate reserve (HRR) was calculated. During the
second and third sessions, the subjects participated in 2 modes of exercise in
each session for a total of 4 different modes of exercise. The individuals
exercised at 40% HRR, 50% HRR, 60% HRR, 75% HRR, and 85% HRR. The heart rate
(HR), CTT, and rating of perceived exertion (RPE) were recorded at each workload.
Based on the individual's resting CTT (CTT(rest)), the %CTT for each exercise
stage was then calculated. Pearson correlations demonstrated moderate to good
correlations between the CTT and HRR methods and the CTT and RPE methods for
estimating exercise intensity. This study found that for the individuals with
CTT(rest) <25, moderate to vigorous intensity exercise as recommended by the
American College of Sports Medicine HRR guidelines could be achieved by
exercising at a level of 40-50% CTT(rest). Individuals with a CTT(rest) >=25,
exercising at a level of 30-40% CTT(rest) would place them in the moderate to
vigorous exercise intensity range. A high degree of reliability was demonstrated
using the CTT method across the various modes of aerobic exercise. As such,
independent of the exercise mode, the CTT was found to be an easy and consistent
method for prescribing moderate to vigorous aerobic exercise intensity.
PMID- 21904238
TI - Vertical jumping tests in volleyball: reliability, validity, and playing
position specifics.
AB - Vertical jumping is known to be important in volleyball, and jumping performance
tests are frequently studied for their reliability and validity. However, most
studies concerning jumping in volleyball have dealt with standard rather than
sport-specific jumping procedures and tests. The aims of this study, therefore,
were (a) to determine the reliability and factorial validity of 2 volleyball
specific jumping tests, the block jump (BJ) test and the attack jump (AJ) test,
relative to 2 frequently used and systematically validated jumping tests, the
countermovement jump test and the squat jump test and (b) to establish volleyball
position-specific differences in the jumping tests and simple anthropometric
indices (body height [BH], body weight, and body mass index [BMI]). The BJ was
performed from a defensive volleyball position, with the hands positioned in
front of the chest. During an AJ, the players used a 2- to 3-step approach and
performed a drop jump with an arm swing followed by a quick vertical jump. A
total of 95 high-level volleyball players (all men) participated in this study.
The reliability of the jumping tests ranged from 0.97 to 0.99 for Cronbach's
alpha coefficients, from 0.93 to 0.97 for interitem correlation coefficients and
from 2.1 to 2.8 for coefficients of variation. The highest reliability was found
for the specific jumping tests. The factor analysis extracted one significant
component, and all of the tests were highly intercorrelated. The analysis of
variance with post hoc analysis showed significant differences between 5 playing
positions in some of the jumping tests. In general, receivers had a greater
jumping capacity, followed by libero players. The differences in jumping
capacities should be emphasized vis-a-vis differences in the anthropometric
measures of players, where middle hitters had higher BH and body weight, followed
by opposite hitters and receivers, with no differences in the BMI between
positions.
PMID- 21904239
TI - Energy expenditure during tennis play: a preliminary video analysis and metabolic
model approach.
AB - The aim of this study was to estimate, using video analysis, what proportion of
the total energy expenditure during a tennis match is accounted for by aerobic
and anaerobic metabolism, respectively. The method proposed involved estimating
the metabolic power (MP) of 5 activities, which are inherent to tennis: walking,
running, hitting the ball, serving, and sitting down to rest. The energy
expenditure concerned was calculated by sequencing the activity by video
analysis. A bioenergetic model calculated the aerobic energy expenditure
(EEO2mod) in terms of MP, and the anaerobic energy expenditure was calculated by
subtracting this (MP - EEO2mod). Eight tennis players took part in the experiment
as subjects (mean +/- SD: age 25.2 +/- 1.9 years, weight 79.3 +/- 10.8 kg, VO2max
54.4 +/- 5.1 ml.kg(-1).min(-1)). The players started off by participating in 2
games while wearing the K4b2, with their activity profile measured by the video
analysis system, and then by playing a set without equipment but with video
analysis. There was no significant difference between calculated and measured
oxygen consumptions over the 16 games (p = 0.763), and these data were strongly
related (r = 0.93, p < 0.0001). The EEO2mod was quite weak over all the games
(49.4 +/- 4.8% VO2max), whereas the MP during points was up to 2 or 3 times the
VO2max. Anaerobic metabolism reached 32% of the total energy expenditure across
all the games 67% for points and 95% for hitting the ball. This method provided a
good estimation of aerobic energy expenditure and made it possible to calculate
the anaerobic energy expenditure. This could make it possible to estimate the
metabolic intensity of training sessions and matches using video analysis.
PMID- 21904240
TI - Test-retest reliability of the five-repetition sit-to-stand test: a systematic
review of the literature involving adults.
AB - The 5-repetition sit-to-stand test (FRSTST) is a widely used measure of
functional strength, particularly among older adults. The purpose of this review
was to summarize the findings of research using the intraclass correlation
coefficient (ICC) to describe the test-retest reliability of the FRSTST. A search
of 3 electronic databases and hand searches were used to identify relevant
articles. Information on the subjects, test sessions and the ICCs reported was
abstracted from the articles. The searches identified 10 relevant articles. The
ICCs reported in the articles ranged from 0.64 to 0.96. The adjusted mean ICC
calculated from the reported ICCs was 0.81. The test-retest reliability of the
FRSTST can be interpreted as good to high in most populations and settings.
PMID- 21904241
TI - Time course of the effects of static stretching on cycling economy.
AB - Stretching has been implemented as part of the warm-up before physical events and
widely thought to promote increased sport performance and decreased injury risk.
However, recent research has concluded that static stretching before many
exercises inhibits acute power, strength, and sprinting performance. There is
little research examining the time course of these effects on moderate intensity
cycling. The purpose of this study was to examine the time course of static
stretching on cycling economy. The subjects consisted of 5 men and 5 women highly
trained endurance cyclists. The first of 3 visits was baseline testing of their
cycling VO2max. The second and third visits were either stretching or no
stretching before a 30-minute stationary ride at 65% of their VO2max. The
stretching condition consisted of four 30-second repetitions of 5 stretches with
an average total stretching time of 16 minutes. VO2 demonstrated a significant
condition by time interaction with the 5-minute time point being significantly
less in the nonstretching condition (32.66 +/- 5.35 ml.kg(-1).min(-1)) than
stretching (34.39 +/- 5.39 ml.kg(-1).min(-1)). No other time points were
different. Our results demonstrate that static stretching yielded an acute
increase in submaximal VO2; therefore, coaches and highly trained endurance
cyclists should exclude static stretching immediately before moderate intensity
cycling because it reduces acute cycling economy.
PMID- 21904242
TI - Efficacy of potentiation of performance through overweight implement throws on
male and female collegiate and elite weight throwers.
AB - The purpose of this investigation was to determine the acute effects of 2
different overweight implements on weight throw performance. Seventeen collegiate
and elite weight throwers were recruited to participate. A within-subject design
was used to compare the difference between mean and peak distance after warm-up
with a regulation weight (STAND), 1.37-kg overweight (OVRWGHT1), and 2.27-kg
overweight implement (OVRWGHT2). Repeated-measures analysis of variance revealed
a main effect for Treatment (p = 0.006) and a significant interaction effect for
Treatment by Time (p = 0.041). The means for the OVRWGHT1 treatment (16.08 +/-
2.5 m) and OVRWGHT2 (16.08 +/- 2.7 m) were not different; however, the mean for
STAND was significantly lower than that for the other treatments (15.58 +/- 2.5,
p < 0.02). Changes in performance between OVRWGHT treatments and STAND were found
to correlate to one-repetition maximum (1RM) Power Clean (improvement for OVRWGHT
1, r = 0.536, p = 0.016; improvement for OVRWGHT2, r = 0.548, p = 0.014). The
results suggest that in collegiate and elite athletes overweight implement warm
up may improve performance and that stronger athletes may be better suited to
take advantage of this effect.
PMID- 21904243
TI - Fit-climbing test: a field test for indoor rock climbing.
AB - The aim of this study was to develop an indoor rock-climbing test on an
artificial wall (Fit-climbing test). Thirteen climbers (elite group [EG] = 6;
recreational group [RG] = 7) performed the following tests: (a) familiarization
in the Fit-climbing test, (b) the Fit-climbing test, and (c) a retest to evaluate
the Fit-climbing test's reliability. Gas exchange, blood lactate concentration,
handgrip strength, and heart rate were measured during the test. Oxygen uptake
during the Fit-climbing test was not different between groups (EG = 8.4 +/- 1.1
L; RG = 7.9 +/- 1.5 L, p > 0.05). The EG performance (120 +/- 7 movements) was
statistically higher than the RG climbers' performance (78 +/- 13 movements)
during the Fit-climbing test. Consequently, the oxygen cost per movement during
the Fit-climbing test of the EG was significantly lower than that of the RG (p <
0.05). Handgrip strength was higher in the EG when compared with that in the RG
in both pre-Fit- and post-Fit-climbing test (p < 0.05). There were no significant
differences in any other variables analyzed during the Fit-climbing test (p >
0.05). Furthermore, the performance in the Fit-climbing test presented high
reliability (intraclass correlation coefficient = 0.97). Therefore, the
performance during the Fit-climbing test may be an alternative to evaluate rock
climbers because of its specificity and relation to oxygen cost per movement
during climbing.
PMID- 21904244
TI - The effects of 6 weeks of preseason skill-based conditioning on physical
performance in male volleyball players.
AB - The purpose of this study was to determine the changes in physical performance
after a 6-week skill-based conditioning training program in male competitive
volleyball players. Sixteen male volleyball players (mean +/- SD: age 22.3 +/-
3.7 years, body height 190.7 +/- 4.2 cm, and body mass 78.4 +/- 4.5 kg)
participated in this study. The players were tested for sprinting (5- and 10-m
sprint), agility, and jumping performance (the vertical-jump test, the spike-jump
test, and the standing broad jump [SBJ]). Compared with pretraining, there was a
significant improvement in the 5- and 10-m speed. There were no significant
differences between pretraining and posttraining for lower-body muscular power
(vertical-jump height, spike-jump height, and SBJ) and agility. Based on our
results, it could be concluded that a preseason skill-based conditioning program
does not offer a sufficient stimulus for volleyball players. Therefore, a general
conditioning and hypertrophy training along with specific volleyball conditioning
is necessary in the preseason period for the development of the lower-body
strength, agility and speed performance in volleyball players.
PMID- 21904245
TI - Physical demands of National Collegiate Athletic Association Division I football
players during preseason training in the heat.
AB - The purpose of this study was to evaluate physical demands of football players
during preseason practices in the heat. Furthermore, we sought to compare how
physical demands differ between positions and playing status. Male National
Collegiate Athletic Association Division 1 football players (n = 49) participated
in 9 practice sessions (142 +/- 16 minutes per session; wet bulb globe
temperature (WBGT) 28.75 +/- 2.11 degrees C) over 8 days. Heart rate (HR) and
global positioning system data were recorded throughout the entirety of each
practice to determine the distance covered (DC), velocity (V), maximal HR
(HRmax), and average HR (HRavg). The subjects were divided into 2 groups: linemen
(L) (N = 25; age: 22 +/- 1 years, weight: 126 +/- 16 kg, height: 190 +/- 4 cm,)
vs. nonlinemen (NL) (N = 24; age: 21 +/- 1 years, weight: 91 +/- 11 kg, height:
183 +/- 8 cm) and starters (S) (N = 17; age: 21 +/- 1 years, weight: 118 +/- 21
kg, height: 190 +/- 7 cm) vs. nonstarters (NS) (N = 32; age: 20 +/- 1 years,
weight: 105 +/- 22 kg, height: 185 +/- 7 cm) for statistical analysis. The DC
(3,532 +/- 943 vs. 2,573 +/- 489 m; p = 0.001) and HRmax (201 +/- 9 vs. 194 +/-
11 b.min(-1); p = 0.025) were significantly greater in NL compared with that in
L. In addition, NL spent more time (p < 0.0001) and covered more distance (p =
0.002) at higher velocities than L did. Differences between S vs. NS were
observed (p = 0.008, p = 0.031), with S obtaining higher velocities than NS did.
Given the demands of their playing positions, NL were required to cover more
distance at higher velocities, resulting in a greater HRmax than that of L.
Therefore, it appears that L engage in more isometric work than NL do. In
addition, the players exposed to similar practice demands provide similar work
output during preseason practice sessions regardless of their playing status.
PMID- 21904246
TI - Mechanically braked elliptical Wingate test: modification considerations, load
optimization, and reliability.
AB - The 30-second, all-out Wingate test evaluates anaerobic performance using an
upper or lower body cycle ergometer (cycle Wingate test). A recent study showed
that using a modified electromagnetically braked elliptical trainer for Wingate
testing (EWT) leads to greater power outcomes because of larger muscle group
recruitment. The main purpose of this study was to modify an elliptical trainer
using an easily understandable mechanical brake system instead of an
electromagnetically braked modification. Our secondary aim was to determine a
proper test load for the EWT to reveal the most efficient anaerobic test outcomes
such as peak power (PP), average power (AP), minimum power (MP), power drop (PD),
and fatigue index ratio (FI%) and to evaluate the retest reliability of the
selected test load. Delta lactate responses (DeltaLa) were also analyzed to
confirm all the anaerobic performance of the athletes. Thirty healthy and well
trained male university athletes were selected to participate in the study. By
analysis of variance, an 18% body mass workload yielded significantly greater
test outcomes (PP = 19.5 +/- 2.4 W.kg, AP = 13.7 +/- 1.7 W.kg, PD = 27.9 +/- 5
W.s, FI% = 58.4 +/- 3.3%, and DeltaLa = 15.4 +/- 1.7 mM) than the other (12-24%
body mass) tested loads (p < 0.05). Test and retest results for relative PP, AP,
MP, PD, FI%, and DeltaLa were highly correlated (r = 0.97, 0.98, 0.94, 0.91,
0.81, and 0.95, respectively). In conclusion, it was found that the mechanically
braked modification of an elliptical trainer successfully estimated anaerobic
power and capacity. A workload of 18% body mass was optimal for measuring maximal
and reliable anaerobic power outcomes. Anaerobic testing using an EWT may be more
useful to athletes and coaches than traditional cycle ergometers because a
greater proportion of muscle groups are worked during exercise on an elliptical
trainer.
PMID- 21904247
TI - Chocolate milk and endurance exercise recovery: protein balance, glycogen, and
performance.
AB - PURPOSE: This study examined effects of fat-free chocolate milk (MILK)
consumption on kinetic and cellular markers of protein turnover, muscle glycogen,
and performance during recovery from endurance exercise. METHODS: Male runners
participated in two trials separated by 1 wk and consumed either MILK or a
nonnitrogenous isocaloric carbohydrate (CHO) control beverage (CON) after a 45
min run at 65% of VO(2peak). Postexercise muscle protein fractional synthetic
rate (FSR) and whole-body protein turnover were determined during 3 h of recovery
using muscle biopsies and primed constant infusions of L-[ring-2H5]phenylalanine
and L-[1-13C]leucine, respectively. Phosphorylation of translational signaling
proteins and activity of proteolytic molecules were determined using Western
blotting and enzymatic activity assays. Muscle glycogen was quantified, and
treadmill time to exhaustion was determined after the recovery period. RESULTS:
Consuming MILK after exercise resulted in higher mixed muscle FSR with lower
whole-body proteolysis and synthesis compared with CON (P <= 0.05).
Phosphorylation of eIF4E-BP1 and FOXO3a was higher for MILK (P < 0.01), whereas
Akt phosphorylation was lower during recovery regardless of dietary treatment (P
< 0.05). Enzymatic activity assays indicated lower caspase-3 activity during
recovery for MILK (P < 0.01) and higher 26S proteasome activity for CON (P <
0.01). Muscle glycogen was not affected by either dietary treatment; however,
time to exhaustion was greater for MILK than for CON (P < 0.05). CONCLUSIONS: The
effects of consumption of MILK after endurance exercise on FSR, signaling
molecules of skeletal muscle protein turnover, leucine kinetics, and performance
measures suggest unique benefits of milk compared with a CHO-only beverage.
PMID- 21904248
TI - Adjustments in motor unit properties during fatiguing contractions after
training.
AB - OBJECTIVE: The objective of the study was to investigate the effect of strength
and endurance training on muscle fiber membrane properties and discharge rates of
low-threshold motor units of the vasti muscles during fatiguing contractions.
METHODS: Twenty-five sedentary healthy men (age (mean +/- SD) = 26.3 +/- 3.9 yr)
were randomly assigned to one of three groups: strength training, endurance
training, or a control group. Conventional endurance and strength training was
performed 3 d.wk-1, during a period of 6 wk. Motor unit conduction velocity and
EMG amplitude of the vastus medialis obliquus and lateralis muscles and biceps
femoris were measured during sustained isometric knee extensions at 10% and 30%
of the maximum voluntary contraction before and immediately after training.
RESULTS: After 6 wk of training, the reduction in motor unit conduction velocity
during the sustained contractions at 30% of the maximum voluntary force occurred
at slower rates compared with baseline (P < 0.05). However, the rate of decrease
was lower after endurance training compared with strength training (P < 0.01).
For all groups, motor unit discharge rates declined during the sustained
contraction (P < 0.001), and their trend was not altered by training. In
addition, the biceps femoris-vasti coactivation ratio declined after the
endurance training. CONCLUSIONS: Short-term strength and endurance training
induces alterations of the electrophysiological membrane properties of the muscle
fiber. In particular, endurance training lowers the rate of decline of motor unit
conduction velocity during sustained contractions more than strength training.
PMID- 21904249
TI - Evaluation of activity monitors in controlled and free-living environments.
AB - Numerous studies have established the usefulness of pedometers and accelerometers
as objective activity monitors. Under laboratory conditions, some of these
devices have been shown to provide accurate and reliable measures of steps.
However, limited data exist on the performance of these devices under free-living
conditions. PURPOSE: This study aimed 1) to compare the effects of speed and body
mass index (BMI) on the step count accuracy of five different accelerometer-based
activity monitors and a pedometer during treadmill walking, 2) to compare the
performance of these devices in a free-living environment, and 3) to compare the
step counts of three generations of a single device (ActiGraph) against a
criterion method. METHODS: Fifty-six individuals wore six activity monitors while
performing treadmill walking (40, 54, 67, 80, and 94 m.min-1) and during 1 d of
free-living activity. The criterion measure of steps during treadmill walking was
investigator-determined steps, whereas the criterion measure of steps during the
free-living condition was the StepWatch. RESULTS: BMI had no effect on step count
accuracy during treadmill walking. The StepWatch, activPALTM, and the AG7164 were
the most accurate across all speeds, whereas the remaining devices were only
accurate at 67 m.min-1 and faster. In the free-living environment, the AG7164
recorded 99.5% +/- 27% (mean +/- SD) of StepWatch-determined steps. CONCLUSIONS:
We demonstrated that BMI does not affect the step output of commonly used
activity monitors during walking. In addition, 67 m.min-1 seems to be the minimum
speed required for accurate step counting, at least for most waist-mounted
activity monitors. Finally, the StepWatch, AG7164, and activPALTM were the most
accurate devices on the TM, but only the AG7164 yielded comparable step counts to
the StepWatch in the free-living environment.
PMID- 21904250
TI - Selective influence of auditory distractors on motor cortex excitability.
AB - The diversion of attention from a primary goal by irrelevant events is known as
attention capture, and is often followed by a directed action. The hypothesis
that corticospinal excitability is modulated by attention capture was tested
using transcranial magnetic stimulation. Participants watched a video while
sounds were intermittently presented. Motor evoked potentials (MEPs) were
elicited in each hand using transcranial magnetic stimulation 1 s after sound
onset. MEP amplitudes were assessed as a function of hand (dominant,
nondominant), sound location (ipsilateral or contralateral to hand location), and
sound sample valence (negative, neutral, positive). Results showed that MEP
amplitudes increased during sound presentation, but only for the dominant hand.
There were no effects of location or emotional valence. The selective modulation
of the dominant hand motor cortex may indicate that auditory events can prime the
preferred hand for action.
PMID- 21904251
TI - Anatomical study on the "perforator-free zone": reconsidering the proximal
superior cerebellar artery and basilar artery perforators.
AB - BACKGROUND: The proximal superior cerebellar artery (pSCA) is often considered a
perforator-free area. Precise anatomical knowledge of this region clarifies the
pathophysiology underlying posterior fossa ischemic syndromes and helps avoid
treatment-related complications. OBJECTIVE: To anatomically evaluate perforating
branches arising from the pSCA and the upper basilar artery (BA). METHODS: Forty
four SCAs from 20 cadaveric heads were examined to determine patterns of the
pSCA; its morphometry for medial and lateral branches; and frequency, number,
diameter, distribution, and vascular territory of perforators arising from the
pSCA and rostral BA. RESULTS: SCA arose as a single trunk in 36 sides (90%): mean
diameter at origin was 1.38 mm; mean length was 14.4 +/- 7.9 mm. Ninety-nine pSCA
perforator branches were present in 82% of specimens (mean, 2.3 +/- 1.6; range, 0
7 perforators/side). Of these, 59% were direct, belonging to the interpeduncular
group in 85% of cases; 28% were short circumflex, belonging to lateral and medial
pontine group; and 13% were long circumflex, reaching the medullary perforation
zone (basal cerebellar group). Median distance to the first perforator was 2.0 mm
(range, 0.1-15 mm). There were 132 perforator branches in the last centimeter of
the BA. CONCLUSION: The pSCA should not be regarded as a perforator-free area.
Although the pSCA territories likely overlap with the posterior cerebral artery,
BA, and anterior inferior cerebellar artery, the pSCA segment cannot be
surgically manipulated with impunity.
PMID- 21904253
TI - Prognostic value of major extracranial injury in traumatic brain injury: an
individual patient data meta-analysis in 39,274 patients.
AB - BACKGROUND: Major extracranial injury (MEI) is common in traumatic brain injury
(TBI) patients, but the effect on outcome is controversial. OBJECTIVE: To assess
the prognostic value of MEI on mortality after TBI in an individual patient data
meta-analysis of 3 observational TBI studies (International Mission on Prognosis
and Clinical Trial Design in TBI [IMPACT]), a randomized controlled trial
(Corticosteroid Randomization After Significant Head Injury [CRASH]), and a
trauma registry (Trauma Audit and Research Network [TARN]). METHODS: MEI
(extracranial injury with an Abbreviated Injury Scale >= 3 or requiring hospital
admission) was related to mortality with logistic regression analysis, adjusted
for age, Glasgow Coma Scale motor score, and pupil reactivity and stratified by
TBI severity. We pooled odds ratios (ORs) with random-effects meta-analysis.
RESULTS: We included 39,274 patients. Mortality was 25%, and 32% had MEI. MEI was
a strong predictor for mortality in TARN, with adjusted odds ratios of 2.81 (95%
confidence interval [CI], 2.44-3.23) in mild, 2.18 (95% CI, 1.80-2.65) in
moderate, and 2.14 (95% CI, 1.95-2.35) in severe TBI patients. The prognostic
effect was smaller in IMPACT and CRASH, with pooled adjusted odds ratios of 2.14
(95% CI, 0.93-4.91) in mild, 1.46 (95% CI, 1.14-1.85) in moderate, and 1.18 (95%
CI, 1.03-1.55) in severe TBI. When patients who died within 6 hours after injury
were excluded from TARN, the effect of MEI was comparable with IMPACT and CRASH.
CONCLUSION: MEI is an important prognostic factor for mortality in TBI patients.
However, the effect varies by population, which explains the controversy in the
literature. The strength of the effect is smaller in patients with more severe
brain injury and depends on time of inclusion in a study.
PMID- 21904254
TI - Image-guided thoracoscopic resection of thoracic dumbbell nerve sheath tumors.
AB - BACKGROUND: Surgical removal of dumbbell nerve sheath tumors (NSTs) remains
challenging because these neoplasms occupy >= 2 spinal and extraspinal spaces.
The presence of intraspinal extension, tumor dimension, and/or its location
within the thoracic cavity have previously made the resection of these types of
neoplasms difficult. OBJECTIVE: To describe the feasibility of performing
minimally invasive thoracoscopic surgery, as facilitated by an image guidance
system (IGS), to achieve gross total resection of select dumbbell NSTs located in
the thoracic spine. METHODS: The 3 cases presented here contained small
intraspinal or foraminal components. Preoperative symptoms included Horner
syndrome and back and chest wall pain. We used IGS to help guide the complete
thoracoscopic resection of select dumbbell NSTs, consisting of extradural,
intraforaminal, and paravertebral tumor components, which previously would have
been challenging with only a thoracoscopic approach. RESULTS: IGS provided
continuous intraoperative anatomic orientation to achieve gross total resection
in all 3 cases. All surgical and postsurgical outcomes were satisfactory;
preoperative symptoms improved or resolved; and no adverse events were observed.
CONCLUSION: Thoracic dumbbell NSTs that have small intraspinal or foraminal
components could be resected thoracoscopically when facilitated by IGS. Image
guided thoracoscopic resection of such dumbbell tumors may not only improve the
precision of resection, reduce recurrence, and avoid the need for spinal
reconstruction but also obviate the need for more invasive or simultaneous
posterior procedures. The IGS enhances the accuracy and safety of 2-dimensional
thoracoscopic surgery and may reduce its learning curve.
PMID- 21904255
TI - Internal jugular vein compression mitigates traumatic axonal injury in a rat
model by reducing the intracranial slosh effect.
AB - BACKGROUND: Traumatic brain injury (TBI) remains a devastating condition for
which extracranial protection traditionally has been in the form of helmets,
which largely fail to protect against intracranial injury. OBJECTIVE: To
determine whether the pathological outcome after traumatic brain injury can be
improved via slosh mitigation by internal jugular vein (IJV) compression.
METHODS: Two groups of 10 adult male Sprague-Dawley rats were subjected to impact
acceleration traumatic brain injury. One group underwent IJV compression via
application of a collar before injury; the second group did not. Intracranial
pressure and intraocular pressure were measured before and after IJV compression
to assess collar performance. All rats were killed after a 7-day recovery period,
and brainstem white matter tracts underwent fluorescent immunohistochemical
processing and labeling of beta-amyloid precursor protein, a marker of axonal
injury. Digital imaging and statistical analyses were used to determine whether
IJV compression resulted in a diminished number of injured axons. RESULTS:
Compression of the IJV resulted in an immediate 30% increase in intraocular and
intracranial pressures. Most notably, IJV compression resulted in > 80% reduction
in the number of amyloid precursor protein-positive axons as indicated by
immunohistochemical analysis. CONCLUSION: Using a standard acceleration
deceleration laboratory model of mild traumatic brain injury, we have shown
successful prevention of axonal injury after IJV compression as indicated by
immunohistochemical staining of amyloid precursor protein. We argue that IJV
compression reduces slosh-mediated brain injury by increasing intracranial blood
volume, which can be indirectly measured by intracranial and intraocular
pressures.
PMID- 21904257
TI - epsilon-Aminocaproic acid in angiographically negative subarachnoid hemorrhage
patients is safe: a retrospective review of 83 consecutive patients.
AB - BACKGROUND: epsilon-Aminocaproic acid (EACA) has been used to reduce the rate of
cerebral aneurysm rerupture before definitive treatment. In centers administering
EACA to patients with a subarachnoid hemorrhage (SAH), patients eventually
diagnosed with angiographically negative subarachnoid hemorrhage (ANSAH) may also
initially receive EACA, perhaps placing them at increased risk for ischemic
complications. OBJECTIVE: To evaluate the effect of short-term EACA on outcomes
and secondary measures in patients with ANSAH. METHODS: We conducted a
retrospective study of 454 consecutive SAH patients over a 2-year period under a
current protocol for EACA use. Patients were excluded if a source for the SAH was
discovered, yielding a total of 83 ANSAH patients. The patients were assigned to
groups that did or did not receive EACA. The primary end points of the study were
ischemic complications, pulmonary emboli, vasospasm, ventriculoperitoneal
shunting rates, and outcomes. RESULTS: Statistical analysis yielded no
significant difference between the 2 arms with respect to any of the end points:
vasospasm (P = .65), deep vein thrombosis (P = .51), pulmonary embolism (P =
1.0), stroke (P = 1.0), myocardial infarction (P = 1.0), and ventriculoperitoneal
shunt (P = .57). There was no statistically significant outcome difference using
the modified Rankin Scale (P = .30). CONCLUSION: Short-term (<72 hour)
application of EACA does not result in an increase in adverse events in patients
with ANSAH.
PMID- 21904258
TI - The middle fossa approach and extended middle fossa approach: technique and
operative nuances.
AB - BACKGROUND: The middle fossa approach and extended middle fossa approach, also
known as the anterior transpetrosal approach, are cranial base techniques for
addressing small vestibular schwannomas, medial temporal bone lesions, midbasilar
trunk aneurysms, and selected petroclival lesions. OBJECTIVE: To provide an
outline of a number of technical nuances that are important to correct
application of these approaches, maximizing exposure, and limiting potential
morbidity. METHODS: Via a temporal craniotomy, the petrous apex is removed in
variable degrees, depending on the exposure requirements of the lesion. The
technique is described in detail with appropriate nuances of the technique
provided. RESULTS: The described nuances of technique in the performance of the
approaches have resulted in successful application of these techniques in a
significant number of cases. CONCLUSION: Significant familiarity and practice
with these surgical approach techniques are critical to applying them safely to
clinical problems. A number of technical details can assist the surgeon in
achieving optimal exposure and limited morbidity.
PMID- 21904259
TI - Delayed intracerebral hemorrhage after uneventful embolization of brain
arteriovenous malformations is related to volume of embolic agent administered:
multivariate analysis of 13 predictive factors.
AB - BACKGROUND: The mechanisms and management of delayed intracerebral hemorrhage
(dICH) after treatment of brain arteriovenous malformations (AVMs) are poorly
understood and widely debated. Many clinical predictive factors have been
theorized for dICH after an otherwise uneventful AVM embolization, but there is
an absence of data to discern their significance. OBJECTIVE: To analyze 13
proposed predictive factors and to assess their potential in guiding prevention
strategies. METHODS: One hundred sixty-eight embolization procedures were
performed on 67 patients with brain AVMs by a single surgeon. Patients were
divided into 2 groups: those with symptomatic dICH and control subjects. Thirteen
factors were analyzed: age, sex, race, previous ICH, Spetzler-Martin grade, AVM
size, eloquence, embolic volume, embolic agent, percent obliteration, and timing,
number, and stage of embolizations. Univariate and multivariate analyses were
performed on these factors to determine significance. RESULTS: Six procedures
were complicated by dICH; 5 (83%) occurred after the final planned procedure. The
volume of embolic agent was significantly higher in the dICH group (4.5 +/- 1.0
mL) compared with control subjects (1.7 +/- 0.2 mL) in both univariate and
multivariate analyses (P < .01), even after controlling for AVM size. AVM size
was significant in univariate analysis but not multivariate analysis. There were
no statistically significant differences between the groups for any of the other
possible predictive factors. CONCLUSION: High volume of embolic agent
administered per procedure is an independent predictive factor for dICH. Limiting
the injected volume for each procedure may reduce this poorly understood
complication.
PMID- 21904260
TI - Microplate-bridge technique for watertight dural closures in the combined
petrosal approach.
AB - BACKGROUND: Although the combined petrosal approach has significant advantages
for medium to large petroclival lesions, it carries the risk of a few major
complications. The cerebrospinal fluid leak rate with this approach has been
reported to be as high as 15%. OBJECTIVE: To describe an innovative technique of
watertight dural closure with a long microplate-bridge technique for the combined
petrosal approach. METHODS: We describe our method of watertight dural closures
with the microplate-bridge technique for combined petrosal approaches using
cadaveric heads and clinical cases. We review our postoperative outcomes in
respect to cerebrospinal fluid leaks. RESULTS: The technique involves a fascial
graft to the presigmoid-subtemporal defect, fixated with a long microtitanium
plate over the cranial base side. The fascial graft is augmented by covering it
with an abdominal fat graft and a vascularized pericranial flap. This technique
was performed in 23 patients after surgical resection of petroclival meningiomas
with only 1 postoperative cerebrospinal fluid leak (4.4%). CONCLUSION: We
recommend this safe and simple closure technique during skull base surgery.
PMID- 21904261
TI - Analysis of nonmodifiable risk factors for intracranial aneurysm rupture in a
large, retrospective cohort.
AB - BACKGROUND: The risk factors predictive of intracranial aneurysm rupture remain
incompletely defined. OBJECTIVE: To examine the association between various
nonmodifiable risk factors and aneurysm rupture in a large cohort of patients
evaluated at a single institution. METHODS: A retrospective analysis of patients
admitted to a cerebrovascular facility between January 2006 and 2010 with a
primary diagnosis of cerebral aneurysm. Aneurysms were divided into 2 groups:
unruptured or ruptured. The dome diameter, aspect ratio (AR), location,
sidedness, neck morphology, and multiplicity were entered into a central
database. A full model was constructed, and a systematic removal of the least
significant variables was performed in a sequential fashion until only those
variables reaching significance remained. RESULTS: We identified 2347 patients
harboring 5134 individual aneurysms, of which 34.90% were ruptured and 65.09%
were unruptured. On admission, 25.89% of aneurysms with a dome diameter <10 mm
and 58.33% of aneurysms with a dome >10 mm were ruptured (P < .001). Of aneurysms
with an AR >1.6, 52.44% presented following a rupture (P < .001). The highest
incidence of rupture (69.21%) was observed in aneurysms with an AR >1.6, dome
diameter <10 mm, and a deviated neck. Deviated neck-type aneurysms had a
significantly greater incidence of rupture than classical neck-type aneurysms (P
< .001). CONCLUSION: An AR >1.6, dome diameter >10 mm, a deviated neck, and right
sidedness are independently associated with aneurysm rupture.
PMID- 21904262
TI - Carotid endarterectomy with primary closure: analysis of outcomes and review of
the literature.
AB - BACKGROUND: Despite abundant published support of patch angioplasty during
carotid endarterectomy (CEA), primary closure is still widely used. The reasons
underlying the persistence of primary closure are not quite evident in the
literature. OBJECTIVE: To present our experience with primary closure in CEA, and
provide a rationale for its persistent wide use. METHODS: Medical records of all
patients undergoing CEA by the senior author (R.F.) were retrospectively
reviewed. Follow-up was supplemented with a telephone interview and completion of
a structured questionnaire. A review of the current literature was performed.
RESULTS: From 1998 to 2010, the senior author performed 111 CEAs. Average cross
clamp time was 33 +/- 11 minutes. Postoperative complications included 1 non-ST
elevation myocardial infarction and 2 strokes. No deaths, cranial-nerve deficits,
or acute reocclusions were observed. After a mean follow-up of 64.6 months
(7170.6 case-months), there were 3 contralateral strokes and 7 deaths. There were
no ipsilateral strokes or restenoses >50%. Follow-up medication compliance was
94.6% for antiplatelet agents and 91.9% for statins. The outcomes of the current
study were comparable to those of the available trials comparing patch
angioplasty with primary closure. A careful evaluation of the literature revealed
a number of reasons potentially explaining the persistent use of patch
angioplasty. CONCLUSION: In conjunction with contemporary medical management,
primary closure during CEA may yield results comparable or superior to patch
angioplasty. Advantages of primary closure include shorter cross-clamp times and
elimination of graft-specific complications.
PMID- 21904263
TI - Risk factors for posttreatment edema in patients treated with stereotactic
radiosurgery for meningiomas.
AB - BACKGROUND: Peritumoral edema is a recognized complication following stereotactic
radiosurgery (SRS). OBJECTIVE: To evaluate the risk of posttreatment peritumoral
edema following SRS for intracranial meningiomas and determine predictive
factors. METHODS: Between 2002 and 2008, 173 evaluable patients underwent
CyberKnife or Gamma Knife SRS for meningiomas. Eighty-four patients (49%) had
prior surgical resections, 13 patients had World Health Organization grade II
(atypical) meningiomas, and 117 patients had a neurological deficit before SRS.
Sixty-two tumors were in parasagittal, parafalcine, and convexity locations. The
median tumor volume was 4.7 mL (range, 0.1-231.8 mL). The median prescribed dose
and median prescribed biologically equivalent dose were 15 Gy (range, 9-40 Gy)
and 67 Gy (range, 14-116 Gy), respectively. Ninety-seven patients were treated
with single-fraction SRS, 74 received 2 to 5 fractions, and 2 received >5
fractions. RESULTS: The median follow-up was 21.0 months. Thirteen patients (8%)
developed symptomatic peritumoral edema, with a median onset time of 4.5 months
(range, 0.2-9.5 months). The 3-, 6-, 12-, and 24-month actuarial symptomatic
edema rates were 2.9%, 4.9%, 7.7%, and 8.5%, respectively. The crude tumor
control rate was 94%. On univariate analysis, large tumor volume (P = .01) and
single-fraction SRS (P = .04) were predictive for development of posttreatment
edema. CONCLUSION: SRS meningioma treatment demonstrated a low incidence of
toxicity; however, large tumor volumes and single-fraction SRS treatment had an
increased risk for posttreatment edema. Risk factors for edema should be
considered in meningiomas treatment.
PMID- 21904264
TI - Epilepsy surgery of the temporal lobe in pediatric population: a retrospective
analysis.
AB - BACKGROUND: There is still some reluctance to refer pediatric patients for
epilepsy surgery, despite evidence of success in retrospective series. OBJECTIVE:
To describe surgical experience and long-term outcome in pediatric temporal lobe
epilepsy (TLE) at a single institution. METHODS: Retrospective review of
pediatric (<18-years-old) TLE patients who underwent surgery between November
1996 and December 2006 at Cleveland Clinic Epilepsy Center. Cox proportional
hazard modeling was used to assess outcome predictors. RESULTS: One hundred
thirty pediatric patients met study criteria. Mean time between seizure onset and
surgery was 6.3 years. Invasive evaluation was used in 32 patients (24.5%).
Hippocampal sclerosis was present in 70 patients (53.8%), either alone or
associated in dual pathology. The complication rate was 7%. The seizure-freedom
rates at 1, 2, 5, and 12 years were 76%, 72%, 54%, and 41%, respectively (Kaplan
Meier). With the use of the Engel outcome classification, 98 (75.3%) patients
were class I, 11 (8.5%) class II, 9 (7%) class III, and 12 (9.2%) were class IV
at last follow-up. Only 4 (3.1%) patients underwent reoperations. Antiepileptic
drugs (AEDs) were discontinued in 36 patients (28.3%) in a mean period of 18
months (SD +/- 17 months; range, 6-102 months). Although left-sided resection,
lower number of preoperative AED trials (<= 4), and tumor pathology correlated
with favorable seizure outcomes, extensive surgical resection remained the only
significant outcome predictor after multivariate analysis (P = .007; HR = 0.13
[95% confidence interval 0.007-0.64]). CONCLUSION: Careful selection of surgical
candidates by multidisciplinary evaluations is required. Long-term seizure
control is achieved successfully with acceptable low complication rates.
PMID- 21904265
TI - Frederic Gibbs and his contributions to epilepsy surgery and
electroencephalography.
AB - Frederic Gibbs' (1903-1992) long research career was devoted to the understanding
and treatment of epileptic phenomena and closely associated with the development
of electroencephalography (EEG). After medical school, he joined the Harvard
Neurological Unit at Boston City Hospital directed by Stanley Cobb. In the early
1930s, Gibbs developed a thermoelectric blood flow probe and, with William
Lennox, proved in animals and humans that a seizure increases cerebral blood
flow. By 1934, Gibbs became a pioneer in the field of EEG while working at
Harvard with Hallowell Davis and Lennox, and was the first to convincingly record
and report EEG findings in epilepsy and states of altered consciousness. Several
years later, Gibbs and Lennox were the first to recommend cerebral excisions in
several patients with uncontrolled epilepsy based on EEG. Moving to the
University of Illinois at Chicago in 1944, Gibbs founded a consultation clinic
for epilepsy, performed the first EEG depth recordings using
pneumoencephalography-guided stereotaxy, and noted that sleep EEGs in patients
with psychomotor seizures frequently disclosed temporal epileptic patterns. Gibbs
convinced Percival Bailey to collaborate on patients with refractory temporal
lobe psychomotor seizures without tumors. In 1947, the first nonlesional temporal
lobe excisions based on EEG localization were performed in these patients, and,
by 1948, anterior temporal lobectomy had become their procedure of choice. Gibbs
and Lennox received the coveted Lasker Award among other honors as pioneers in
establishing the modern era of epilepsy diagnosis and treatment.
PMID- 21904266
TI - Management of residual and recurrent aneurysms after initial endovascular
treatment.
AB - BACKGROUND: Coil instability possibly translating into higher delayed rebleeding
rates remains a concern in the endovascular management of cerebral aneurysms.
OBJECTIVE: To report on 127 patients with endovascular aneurysmal remnants who
underwent re-treatment over an 18 year period. METHODS: Patients presenting with
aneurysm residuals >20% of the original lesion, unstable neck remnants,
aneurysmal regrowth, or new aneurysmal daughter sacs were treated by an
individualized approach, using both endovascular and surgical techniques.
RESULTS: Seventy-five aneurysmal remnants (59.1%) were treated by further re
embolization. Standard coil embolization was used in 65 cases, stent-protected
coiling in 9 cases, and balloon remodeled coiling in 1 case, respectively. Fifty
two (40.9%) aneurysmal remnants were treated surgically. Standard microsurgical
clipping was used in 44 patients, parent artery occlusion or trapping under
bypass protection in 5 cases, deliberate clipping of the basilar artery trunk in
2 cases, and aneurysm wrapping in one case, respectively. Mechanisms of aneurysm
recurrence were coil compaction in 93 cases and regrowth in 34 cases. A single
reembolization was sufficient to occlude 78.7% of recurrences from coil
compaction, but only 14.3% of recurrences from aneurysm regrowth. CONCLUSION: The
individualized approach resulted in complete occlusion of 114 aneurysms (89.7%),
with neck remnants and residual aneurysms detectable in 11 (8.7%) and 2 (1.6%)
cases, respectively. Treatment morbidity was 11.9%, without significant
differences between surgical (15.6%) and endovascular (9.3%) patients (P = .09).
Recurrences from coil compaction were safely treated by re-embolization, whereas
recurrences from aneurysmal regrowth may best be managed surgically when
technically feasible.
PMID- 21904267
TI - Gamma knife thalamotomy for Parkinson disease and essential tremor: a
prospective multicenter study.
AB - BACKGROUND: No prospective study of gamma knife thalamotomy for intractable
tremor has previously been reported. OBJECTIVE: To clarify the safety and
optimally effective conditions for performing unilateral gamma knife (GK)
thalamotomy for tremors of Parkinson disease (PD) and essential tremor (ET), a
systematic postirradiation 24-month follow-up study was conducted at 6
institutions. We present the results of this multicenter collaborative trial.
METHODS: In total, 72 patients (PD characterized by tremor, n = 59; ET, n = 13)
were registered at 6 Japanese institutions. Following our selective thalamotomy
procedure, the lateral part of the ventralis intermedius nucleus, 45% of the
thalamic length from the anterior tip, was selected as the GK isocenter. A single
130-Gy shot was applied using a 4-mm collimator. Evaluation included neurological
examination, magnetic resonance imaging and/or computerized tomography, the
unified Parkinson's disease rating scale (UPDRS), electromyography, medication
change, and video observations. RESULTS: Final clinical effects were favorable.
Of 53 patients who completed 24 months of follow-up, 43 were evaluated as having
excellent or good results (81.1%). UPDRS scores showed tremor improvement (parts
II and III). Thalamic lesion size fluctuated but converged to either an almost
spherical shape (65.6%), a sphere with streaking (23.4%), or an extended high
signal zone (10.9%). No permanent clinical complications were observed.
CONCLUSION: GK thalamotomy is an alternative treatment for intractable tremors of
PD as well as for ET. Less invasive intervention may be beneficial to patients.
PMID- 21904268
TI - Cause of apical thinning on attenuation-corrected myocardial perfusion SPECT.
AB - OBJECTIVES: Decreases in apical and apex activities - namely, 'apical thinning' -
are a well-known phenomenon in attenuation-corrected (AC) myocardial perfusion.
The aim of this study was to compare actual myocardial thickness derived from a
multidetector-row computed tomography with AC myocardial perfusion count from a
hybrid single-photon emission computed tomography/computed tomography to
investigate the cause of apical thinning. METHODS: We enrolled 21 participants
with a low likelihood of coronary artery disease (mean age 65 +/- 21 years, 13
men) from 185 consecutive patients and 11 healthy volunteers, who independently
underwent 99mTc-sestamibi single-photon emission computed tomography/computed
tomography and 64-slice multidetector-row computed tomography scans. AC and non
AC myocardial perfusion counts and thickness were measured on the basis of a 17
segment model and averaged at the apex, apical, mid, and basal walls. RESULTS:
Myocardial thickness at the apex was significantly thinner than that at the
apical and mid walls (5.1 +/- 1.3, 7.3 +/- 1.3, and 9.9 +/- 2.4 mm, respectively;
P<0.005). AC count at the apex was significantly lower than that at the apical
and mid regions (76.0 +/- 5.5, 82.8 +/- 4.7, and 85.6 +/- 3.8, respectively;
P<0.002). Moderate relationship was observed between myocardial thickness and AC
count (y=-10.5 + 0.22x, r=0.54, P<0.0001. No relationship was found between
thickness and non-AC count (r=0.16, P=0.263). CONCLUSION: The low apex and apical
counts were caused by anatomical thinning of the myocardium in AC myocardial
perfusion imaging. Attenuation correction provided an accurate relationship
between myocardial count and thickness because of the partial volume effect.
PMID- 21904269
TI - Epidemiology of cytomegalovirus infection after pancreas transplantation.
AB - BACKGROUND: Epidemiology of cytomegalovirus (CMV) infection has not been
comprehensively studied after all three types of pancreas transplant (PT)
including simultaneous pancreas-kidney transplantation (SPK), pancreas
transplantation alone (PTA), and pancreas after kidney transplantation (PAK).
METHODS: We evaluated incidence, risk factors, and outcomes of CMV infection
after pancreas transplant at our center from January 1, 1998, to December 31,
2009. RESULTS: The study cohort included 252 recipients (SPK 60, PTA 71, and PAK
121), 53% men, age 43.9+/-9 years, followed for 6.3 (interquartile range 3-9)
years. CMV serostatus was donor (D) seropositive and recipient (R) seronegative
(D+/R-) (27%), D+/R+ (32%), D-/R+ (18%), D-/R- (23%), and one unknown/R+ (0.4%).
Two hundred six (82%) patients received CMV prophylaxis. Twelve patients
experienced CMV viremia, whereas 31 developed CMV disease. The cumulative
incidence of CMV infection (viremia and disease) was 15%, 17%, and 20% at 1, 5,
and 10 years, respectively, with no events after 10 years. It was higher in D+/R-
group (P<0.004) and patients with kidney graft failure (P=0.036). The variables
significantly associated with pancreas graft failure were transplant type (PTA
vs. SPK, hazard ratio [HR]=2.29, P=0.020; PAK vs. SPK, HR=2.73, P=0.003) and
acute pancreas rejection (HR=2.47, P<0.001). In multivariable mortality model,
increased age (P<0.001) and pancreas graft failure (P<0.001) were associated with
an increased risk of death, whereas CMV infection (P=0.036) was associated with a
borderline decreased risk. CONCLUSIONS: CMV remains a common cause of clinical
illness, particularly among the CMV D+/R- mismatched and patients with kidney
graft failure. Marginal association was observed between CMV infection and a
lower risk of death, but not with allograft failure.
PMID- 21904270
TI - Synthesis and chemical characterisation of some new diheteroaryl thienothiophene
derivatives.
AB - Treatment of 1-(5-acetyl-3,4-dimethythieno[2,3-b]thiophene-2yl)ethanone (1) with
dimethylformamide dimethyl acetal afforded enaminone derivative 2, which reacted
with amino derivatives to give the corresponding bis-pyrimidine, bis-pyrazole,
bis-triazolo-pyrimidine and bis-benzoimidazopyrimidine derivatives.
PMID- 21904271
TI - Crystal structure and density functional theory study on structural properties
and energies of a isonicotinohydrazide compound.
AB - An X-ray and a theoretical study of the structure of the isoniazid derivative N'
(4-dimethylaminobenzylidene)-isonicotinohydrazide monohydrate (1) are reported.
In this work, we will report a combined experimental and theoretical study on the
molecular structure, vibrational spectra and energies of N'-(4
dimethylaminobenzylidene)-isonicotinohydrazide monohydrate. The calculated
parameters are in good agreement with the corresponding X-ray diffraction values.
The FTIR spectrum in the range of 400-4000 cm-1 of N'-(4
dimethylaminobenzylidene)-isonicotinohydrazide monohydrate has been recorded. The
molecular geometry and vibrational frequencies and energies in the ground state
are calculated by using the DFT (B3LYP, PBE1PBE) methods with 6-311G** basis
sets. The calculated HOMO and LUMO energies also confirm that charge transfer
occurs within the molecule. The geometries and normal modes of vibrations
obtained from B3LYP/PBE1PBE/6-311G** calculations are in good agreement with the
experimentally observed data.
PMID- 21904272
TI - Chemical composition and possible in vitro phytotoxic activity of Helichrsyum
italicum (Roth) Don ssp. italicum.
AB - The chemical composition of the essential oil of Helichrysum italicum (Roth) Don
ssp. italicum, collected in the National Park of Cilento and Diano Valley,
Southern Italy, was studied by means of GC and GC/MS. Forty four compounds of 45
constituents were identified in the oil, mainly oxygenated sesquiterpenes. The
essential oil was evaluated for its potential in vitro phytotoxic activity
against germination and early radicle elongation of radish and garden cress. The
radicle elongation of radish was significantly inhibited at the highest doses
tested, while germination of both seeds was not affected.
PMID- 21904273
TI - Lower extremity biomechanics during kendo strike-thrust motion in healthy kendo
athletes.
AB - AIM: The aim of this study was to demonstrate the kinematics and kinetics of the
lower extremity during the kendo strike-thrust motion in experienced kendo
athletes. METHODS: Fifteen experienced kendo athletes (age 20.4+/-1.2 years;
height 171.5+/-4 cm; weight 73.9+/-9.1 kg; the kendo experience 11.1+/-3.1 years)
volunteered to participate in the study. The three-dimensional kinematic and
kinetic data was collected by the motion analysis system with eight cameras and
with a force platform. We instructed the participants to perform three sets of
kendo motion at the distances of 1.8 m, 2 m, and 2.2 m to the target. We then
obtained the joint kinematic and kinetic data of the ankle dorsiflexion
planterflexion, foot pronation-supination, knee flexion-extension, and hip
flexion-extension during the single support phase. The peak foot pronation angle
and the range of motion (ROM) of foot pronation were also calculated. RESULTS:
The result demonstrated the high intra-subject repeatability of the joint angle
and the torque curve of the left lower extremity during the single support phase
in the kendo motion. Our result also showed that as for the peak foot pronation
angle and the pronation ROM there was no significant difference between different
distances to the target. CONCLUSION: We provided the basic biomechanical
information during the kendo strike-thrust motion, and the result will help us to
understand the Achilles tendon injury occurrence in kendo athletes.
PMID- 21904274
TI - Kinematic analysis of kicking in young top-class soccer players.
AB - AIM: The aim of this study was to describe the kinematic pattern of the kicking
movement of young top-class soccer players focusing in examining the linear joint
markers velocity of the leg kick and the segments angular position. METHODS:
Maximal in step kicks performed by 21 young top-class soccer players (16.1 +/-
0.2 years) were analyzed using a three dimensional motion capture system.
RESULTS: The ball was released at a mean velocity of 30.6 +/- 1.54 m/s. The
maximum linear velocity of the hip (5.49 +/- 0.53 m/s), knee (10.89 +/- 0.63
m/s), ankle (19.36 +/- 0.96 m/s) and toe (24.59 +/- 1.33 m/s) joint markers were
achieved consecutively during the kick, representing a typical proximal to distal
kinetic chain. Significant (P<0.01) differences in the arms, trunk, thigh, shank
and foot segments angular positions were found among the instant times in which
the key events took place, determined by the maximum velocity of the hip (T1),
knee (T2), ankle (T3) and toe (T4) joint markers (except between T3 and T4). This
fact indicates that the instant time when each joint marker reached its maximum
velocity implied different positions of the body segments. CONCLUSION: The
results of this study provide additional data about the kicking biomechanics of
young top-class soccer players. This information should be taken in consideration
by coaches that train young soccer players.
PMID- 21904275
TI - Can the official soccer game be considered the most important contribution to
player's physical fitness level?
AB - AIM: During competitive soccer match play, elite players are subdued to vigorous
physical and psychological strains. Thus, some changes in overall physical
fitness can be expected. The objective of this paper was to determine whether
official games influence physical fitness in soccer players. METHODS: The
participants were divided according to the number of minutes spent in the game as
well as according to some additional criteria into starters (STA; N.=33, >1000
minutes spent in the official game) and non-starters (NON; N.=31, <1000 minutes
spent in the official game). Both groups participated in the initial (end of pre
season) and in the final testing procedures (one week after the end of the
competition period). The total of 24 variables covered players' power
performance, kicking the ball, aerobic capacity, agility and flexibility.
RESULTS: The STA group showed positive significant differences (P<0.05) from the
NON group in 21 out of 24 variables. The most significant findings of this study
point to the fact that official games help in maintaining and improving agility
parameters (6 out of 7 tests) as well as in maintaining and improving overall
power performance of soccer players which includes sprinting, jumping and kicking
the ball. CONCLUSION: Due to specific physiological and psychological factors,
official matches make it possible for the starters to develop the mentioned
aspects to a higher level when compared to the non-starting team colleagues.
PMID- 21904276
TI - Effect of maximal and slow versus recreational muscle contractions on energy
expenditure in trained and untrained men.
AB - AIM: The primary purpose of this study was to compare energy expenditure among
resistance exercise protocols using maximally explosive or slow contractions
versus recreational in trained and untrained men. METHODS: Seven trained (21.9+/
2.1 yrs) and seven untrained men (20.1+/-2.2 yrs) performed three nearly
identical exercise protocols, and a no-exercise (CONTROL) session in a randomly
assigned, counterbalanced order. Subjects performed three sets of squats,
dumbbell-row, deadlift, bench press, lat-pulldown, shoulder press, arm curls and
dips using either recreational (REC), 2s (SLOW) or maximally explosive
contractions (MAX). Expired air was collected continuously for 15 min before, ~37
43 min during, and 2 hr postexercise. Finger prick samples (25 uL) were collected
and analyzed for blood lactate (BL) (mmol.L-1) before, immediately after, and
during 120 min of recovery. RESULTS: Rates of energy expenditure were
significantly (P<=0.05) greater for MAX than SLOW and REC during all exercises
and +5 min after exercise in trained men, and MAX was greater than REC during all
exercises except deadlift in untrained men. In trained men, total kcal were
significantly greater (P<=0.05) with MAX (507+/-48) compared to REC (431+/-47),
but not in untrained. Conversely, BL was significantly greater (P<=0.05) after
SLOW compared to REC in trained and untrained men, while BL was only greater
after MAX versus REC in trained men. CONCLUSION: For whole-body resistance
exercise programs, maximally explosive contractions optimize energy expenditure
in trained men, but slow contractions are recommended for untrained exercisers.
Therefore, contraction intensity should be considered a program design variable
for exercise prescriptions aimed to improve general health and fitness.
PMID- 21904277
TI - Aerobic training programs and glycemic control in diabetic children in relation
to exercise frequency.
AB - AIM: The aim of this study was to assess the effect of an aerobic training on
glycemic control and lipid profile in diabetic children. METHODS: Thirty-three
children with type 1 diabetes mellitus (T1DM) were equally divided in three
groups. The control group (CG) did not perform any training intervention during
the period of the investigation and the subjects were instructed to continue with
their daily lifestyle patterns. The second group (G1) and the third group (G2)
completed a six-month aerobic training programs. During the period of the
investigation, G1 participated in one daily session (~60 min) of aerobic exercise
twice weekly, while G2 performed in the form of daily session four times a week
(~60 min). HbA1c and lipid profile were measured before training intervention,
then after three and six months. RESULTS: The three-month periods was without
significant changes of HbA1c in the two groups but significantly increased high
density lipoprotein cholesterol (HDL-C) in G1 (P<0.05). In G2, an increase of HDL
C was accompanied by a decrease of serum triglyceride (TG) (P<0.05). After six
months, only HDL-C and TG levels were significantly lower in G1 (P<0.01), while
in G2 HDL-C increased (68.8+/-5.7 mg/dL vs. 56.7 +/-7.2 mg/dL; P<0.01), low
density lipoprotein cholesterol (LDL-C) (69.2+/-8.5 mg/dL vs. 81.6+/-11.8 mg/dL;
P<0.01), TG concentrations decreased (60.7+/-6.9 mg/dL vs. 77.4+/-9.3 mg/dL;
P<0.01) and HbA1c was significantly lower (6.8+/-1.1% vs. 8.2+/-1.5%; P<0.05),
comparatively to those before training. CONCLUSION: However, the six-month
periods showed that children exercising more than two times weekly significantly
improved HbA1c and lipid profile. These results must encourage children with T1DM
to regularly practice sporty activities for long periods.
PMID- 21904278
TI - Effect of a five-toed minimal protection shoe on static and dynamic ankle
position sense.
AB - AIM: The main aim of this study was to test the hypothesis that the
VibramFivefingers model, with its minimal structure, cushioning and finger
separation, allows a more accurate estimate of static and dynamic foot position
compared to wearing a standard cushioned running shoe. METHODS: Static ankle
joint position sense was assessed in the sagittal and frontal plane by asking
fourteen healthy experienced amateur runners to estimate the perceived direction
and amplitude of a support slope surface board placed under their right foot
while standing. The dynamic measures were performed with the subjects running on
a treadmill at 12 km/h and asking them to evaluate the treadmill surface slope.
Two footwear (Fivefingers and a cushioned protective running shoe) and the
barefoot condition were compared. RESULTS: Plantarflexion, dorsiflexion, eversion
and inversion movements were underestimated in all the experimental conditions.
In the static trials there was significantly more angle error underestimation
(P<0.05) with the running shoe, while no significant differences were found
between Fivefingers and barefoot condition. While running, the treadmill surface
slope was significantly better estimated with Fivefingers than in the other two
conditions (P<0.05). CONCLUSION: The data support the assumption that with
Fivefingers is more likely to get a more correct estimation of the surface slope
while standing and running compared to using a standard cushioned running shoe.
The finding that the cushioned shoes significantly impair foot position awareness
compared to less structured shoes is consistent with the results of some previous
studies on healthy and unhealthy subjects.
PMID- 21904279
TI - Effects of training frequency on physical fitness in male prepubertal tennis
players.
AB - AIM: Aim of the present study was to test if training frequency determines
physical fitness and fat mass accumulation in prepubertal male tennis players
(TP). METHODS: Body composition scans (DXA), jump performance (SJ and CMJ) and 30
m running speed tests were conducted in 24 TP (10.6 years) and 17 physically
active boys. Tennis players were divided into two groups (TP5: playing 5 d/week,
n.=14; TP2: playing 2 d/week, n.=10). RESULTS: Compared to TP5 and TP2, controls
had more total body fat (+23-28%) and fat mass in the trunk (+42-43%) and legs
(+13-19%), respectively (P<0.05). The relative VO2max was higher in TP2 (12%) and
TP5 (15%) than in controls (P<0.001). A positive correlation was observed between
weekly trainng hours and VO2max (r=0.42, P<0.05, N.=24). Compared to controls,
TP5 and TP2 jumped higher in SJ and CMJ (P<0.05) and ran faster in the 30 m test
(P<0.05). Similar VO2max, jumping heights and running speed times were observed
between TP5 and TP2. After adjustment for the percentage of body fat and age, TPs
reached higher VO2max values than controls, while differences in SJ, CMJ and 30 m
did not reach statistical significance. CONCLUSION: Playing tennis 2 d/week at
prepubertal ages was associated to enhanced aerobic power and reduced total and
regional adiposity (trunk and legs) compared to non-active boys. Playing 5 d/week
only has a small additional effect. TPs had also higher jumping and sprinting
performance than the controls, although these differences were accounted for by
the increased fat mass of the control boys.
PMID- 21904280
TI - Sport-specific power assessment for rock climbing.
AB - AIM: The popularity of rock climbing has resulted in a growing research base for
the sport. However, at present there is a lack of sport-specific measures of
performance in the field. The aim of this study was to examine the use of the
powerslap test as a sport specific power measure. METHODS: The participants in
this study were categorised into four different ability groups (novice,
intermediate, advanced and elite) based on self reported lead grade. Two separate
experiments were conducted to determine validity and reliability. The powerslap
test was conducted on a revolution board with two variations - wide and narrow
grip, for both sides of the body. The test started with the climber hanging at
full extension from two holds from which a pull up movement was made releasing
one hand to slap a scaled score board above. RESULTS: There was a significant
relationship between powerslap scores and climbing ability (Left Wide: r=0.7,
P<0.0005; right wide: r=0.69, P<0.0005; left narrow: r=0.73, P<0.0005; right
narrow: r =0.72, P<0.0005). Further to this, scores on the powerslap narrow test
were significantly differentiated by climber ability (LEFT: F(3,37)=15.74,
P<0.0005; right: F(3,37)=12.16, P<0.0005). Limits of agreement and intra-class
correlation indicated that the powerslap test is a reliable performance measure.
CONCLUSION: According to the present findings the narrow grip variation of the
powerslap test is a useful sport-specific power test that is related to climbing
performance.
PMID- 21904281
TI - Quality control of 157 whole body adiposity prediction formulae in age and
activity matched men.
AB - AIM AND METHODS: The physiological and clinical importance of body composition is
part of public health, nutrition and Sports medicine. The most popular field
method for estimating total body adiposity remains anthropometry separately or in
formulae. The aim of this study was to verify the suitability of an absolute
maximum out of more than 600 existing anthropometry equations estimating %
adipose tissue (AT) in a cross validation with dual energy X-ray absorptiometry
(DXA), bioelectrical impedance analysis (BIA) and hydrodensitometry (HD) on 74
British male subjects (mean age 34.4+/-14.1) with different lifestyles
corresponding to a maximum of populations the original formula was developed for.
RESULTS: Pearson correlation coefficients, paired sample t-test and Bland and
Altman plots where used for analyses. From the tested formulae, 19 correlated
well (r>=0.70) and showed no significant difference (p>0.05) with BIA, 15 with
DXA and only 12 with HD. The results show a better match of the predicted % AT by
anthropometric equations with BIA then with DXA or HD. CONCLUSION: All results
and conditions considered, this study suggest not to use HD nor anthropometric
formulae to assess % AT in men for an individual estimation.
PMID- 21904282
TI - The effect of player positional groups on the nature of tackles that result in
tackle-related injuries in professional rugby league matches.
AB - AIM: The aim of this study was to describe the effect of player positional groups
on the nature of tackles that result in tackle-related injuries in professional
rugby league matches. METHODS: Prospective observational epidemiology analyses
for tackle-related injuries and video analyses for the nature of tackles were
conducted for a single team in the National Rugby League (NRL) throughout the
2007 and 2008 competitions for a total of 48 games. Risk ratios (RR) were
calculated for comparisons between positional groups (adjustable, hit-up forwards
or outside backs). RESULTS: The total missed match tackle-related injury rate was
57.8 per 1 000 player hours. Hit-up forwards recorded significantly more total
tackle-related injuries than outside backs (RR: 1.3; P=0.049), but not more than
adjustables (RR: 1.0; P=0.922). Hit-up forwards recorded significantly more chest
back tackle-related injuries than adjustables (RR: 6.0; P=0.008). Outside backs
recorded significantly more tackle injuries as the ball carrier than the tackler
(RR: 2.4; P=0.015) while adjustables recorded significantly more tackle injuries
as the tackler than the ball carrier (RR: 1.8; P<0.001). Hit-up forwards had a
higher incidence of contusions, and sprains while adjustables had a higher
incidence of fracture/dislocations. There were no differences in injury severity
between the positional groups. CONCLUSION: Player positional group had an effect
on tackle-related injury type and injury site. Hit-up forwards and outside backs
recorded more tackle-related injuries as a ball carrier than as a tackler, while
in contrast, adjustables recorded more tackle-related injuries as the tackler
than the ball carrier.
PMID- 21904283
TI - Heart rate response to a standardized walking exercise in the Arctic circumpolar
region in morning vs. evening during the polar night and midnight sun.
AB - AIM: Awareness of daytime and/or seasonal variation in performance and exercise
efficiency can be important for athletes and coaches in order to suitably plan
training sessions and avoid over-training. The study goal was to evaluate and
compare walking-speed and time-related heart rate (HR) responses to a walking
task at two times of day and year. METHODS: Five healthy females (age 21-35
years) performed 9 km outdoor walking at 09:00h and 18:00h on 4 consecutive days
in a period without sunlight (January) and with continuous daylight (May).
Walking speed, average-heart rate (HR) and peak-HR (as %HRmax) were compared
across all exercise sessions. RESULTS: In January the subjects achieved a higher
peak-HR when they trained in the evening vs. morning, while average-HR and
walking speed were not modified significantly. In May they achieved a higher peak
HR and kept a higher average-HR when they trained in the morning, under the same
walking speed. In both training-times carried out in May, average-HR and peak-HR
were lower compared with January, while the walking speed was unvaried.
CONCLUSION: A lower exercise average and peak HR, that could potentially be a
favourable condition for exercise conditioning, was observed at both daily test
times in May vs. January, with overall lowest HR observed in May when exercise
occurred at 18:00 h. These findings support the presence of both seasonal and
time of day effects on HR responses to a standardized exercise.
PMID- 21904284
TI - Systolic right ventricular adaptive changes in athletes as predictors of the
maximal functional capacity: a pulsed tissue Doppler study.
AB - AIM: The aim of this study was to extend the analysis of the systolic right
ventricular (RV) adaptation to combined endurance and strength training, to
assess the utility of tissue Doppler imaging in detecting the degree of these
changes and to find independent RV predictors of the maximal functional capacity.
METHODS: Standard Doppler and TDI were used to assess cardiac parameters at rest
in 37 elite male athletes (16 wrestlers, 21 water polo players) and 20 sedentary
subjects of similar age. Progressive maximal test on treadmill was used to assess
VO2max. The obtained parameters were adjusted for HR, FFM, and BSA. RESULTS:
Wrestlers showed higher VO2max than controls, but lesser than water polo players.
RV diameter was larger in athletes. Right atrial pressure (RVE/e) was higher in
water polo players than in other groups. Systolic function assessed by tricuspid
annular plane systolic excursion (TAPSE) and RVs' was the highest in wrestlers.
Global RV systolic parameters myocardial performance index (MPI) and preejection
time/ejection time index (PET/ET) were similar. On multivariate analysis systolic
parameters were independent predictors of VO2max only in wrestlers: RVs'
(beta=3.18, P=0.001) and RV ET (beta=2.32, P=0.001). RVE/e' correlated with RVs'
(r=-0.57, P=0.000). TAPSE correlated with RV ET (r=0.32, P=0.015) and RVs'
(beta=0.28, P=0.033). CONCLUSION: Systolic function assessed by TAPSE and RVs'
has more improved in less endurance athletes. RVs'and TDI ejection time predict
VO2max in wrestlers, and possibly in other athletes with lesser right atrial
pressure. TDI enables quantifying RV adaptation degree in athletes, but
complementary to M-mode technique.
PMID- 21904285
TI - Morphology and biochemical markers of people living with HIV/AIDS undergoing a
resistance exercise program: clinical series.
AB - This descriptive case study aimed at assessing body's composition and impact on
biochemical markers of people living with HIV/AIDS (S1=male-1, S2=female-1)
undergoing a four-month intervention program of resistance exercises. Was
analyzed the lipid profile (total cholesterol, LDL, HDL and triglycerides serum),
immunological parameters (CD4 and viral load/VL) and morphological parameters
(body mass index BMI, waist/Hip/WHR, perimeters and skinfold). Blood samples and
antropometric measures were obtained in the pre-exercise (pre-test) and
immediately after (16 weeks) of exercise (post-test). An increase in HDL (38 pre,
42 post), LDL (89.6 pre, 95 post) was noted for S1 and a decrease in HDL (33 pre,
25 post) and LDL (121.6 pre, 121 post) for S2; a decrease in Triglyceride for S1
(292 pre, 214 post) and increase for S2 (102 pre, 166 post). Total cholesterol
increased for both subjects (186 pre, 261 post S1 and 175 pre, 179 post S2).
there was a decrease in CD4 for S1 (598 pre, 577 post) and an increase for S2
(748 pre, 1.071 post). With respect to viral load, we found that both subjects
(S1 and S2) presented values below the minimum limit (pre and post test), with no
significant changes. Body composition improved (LMpre S1=43.13% and S2=23.35% and
LMpost S1=46.51 and S2=26.15%; BFpre S1=41.13 and S2=18.14% and BFpost S1=38.32
and S2=14.77%), as did BMI (25.27 pre, 27.44 post S1) and (24.24 pre, 24.74 post
S2). The resistance exercise program as base in this intervention model promoted
a healthy state for HIV and AIDS patients and did not pose any health risks to
them.
PMID- 21904286
TI - Advising parents on physical activity for children between 0 and 5 years.
AB - AIM: Physical activity is thought to have a crucial role to play in health,
psychomotor development and habit formation for children between 0 and 5 years
old. However, all children under 6 are not as active as recommended in the
literature. OBJECTIVE: to provide physicians with some guidelines, based on the
principle of Early Screening and Brief Intervention, in order to encourage
parents with young sedentary children to be more active. METHODS: Literature
review, development of a decision algorithm, analysis by professionals. Results:
Data were gathered from Medline Pubmed, Cochrane Library, and the French Database
in Public Health (any date to November 2009). Papers which did not give enough
details (length, nature, etc.) on the advice were rejected and papers which dealt
with a brief consultation, or with advice that was given either to a whole group
of people, or that was given by several health professionals during the course of
a personal coaching program. RESULTS: For instance, 1 492 hits were found after
researching the terms screening and brief intervention on Medline, but there were
only 12 when the research was run together with the terms (physical activity or
active play or exercise) and primary care. A decision algorithm to advise parents
was developed, based on Early Screening and Brief Intervention principle. This
tool should be usable by every doctor in primary care. "Early screening" starts
with the parents being asked questions concerning their young child's physical
activity, and "Brief Intervention" is based on existing recommendations, as well
as recent studies on the key factors linked to physical activity in very young
children. CONCLUSION: The ESBI approach proved successful when applied to
psychoactive substances and merits testing in the field of physical activity.
Further studies are needed to provide doctors with efficient tools to evaluate
physical activity during a consultation.
PMID- 21904287
TI - Heart rate monitoring as a reliable tool for assessing energy expenditure in
obese individuals.
AB - Previous studies have suggested the use of the FlexHR method for predicting daily
energy expenditure in various populations. We investigated the stability of the
relation between oxygen consumption (VO2) and heart rate (HR) in obese subjects
undergoing a fitness and weight reduction program. Eleven obese (BMI>30) healthy
subjects (6 males, 5 females) were recruited from a Wellness program. Subjects
performed a laboratory calibration procedure between HR and VO2 in resting and
exercising conditions, before starting the Wellness program (Stage I) and after
reducing 10% of their initial body weights (BW) within 6 months (Stage II). Mean
BW, BMI and % body fat were reduced by 13.1+/-4.4 kg, 4.2+/-1.4 kg.m-2 and 6.8+/
4.1%, respectively, for all parameters (P<0.001). Mean peak VO2 increased from
31.3+/-7.1 at the beginning to 37.2+/-7.3 mL.kg-1.min-1 at the end of the
intervention period (P<0.01). The mean flex HR point changed from 96+/-14 to 86+/
15 beats.min-1 (P<0.05). There were no significant individual differences in the
HR-VO2 prediction equations derived from the laboratory calibrations in either
stage. In conclusion, the relationship between HR and VO2 consumption remains
stable during a time period of weight reduction. The use of the FlexHR method for
predicting energy expenditure by heart rate monitoring is recommended for
subjects undergoing a weight-reduction program. It should be taken in account,
however, that an increase in aerobic capacity, in parallel to changes in body
weight and composition, might cause a decrease in the flex point.
PMID- 21904288
TI - Goal orientations and sport motivation, differences between the athletes of
competitive and non-competitive rhythmic gymnastics.
AB - AIM: The present study examines the sport motivation and the goal orientations in
the competitive and non-competitive structure of rhythmic gymnastics.
Participation of individuals in one or the other structure of the sport differs
in line with the goals they want to achieve and possibly also with respect to the
factors that impulse them to take part in one or the other. The purpose of this
study is to examine how individuals who participate in different structures of
the sport of rhythmic gymnastics differentiate with regard to the type of
motivation (intrinsic, extrinsic, amotivation) and goal orientations. METHODS:
The study involved 98 young female rhythmic gymnastics athletes (aged 14 years
and up), out of which 40 were athletes of competitive clubs or members of
national teams, and 58 were athletes of non-competitive clubs. For the evaluation
of motivation and goal orientations the following tools were used: the Sport
Motivation Scale (SMS) and the Task and Ego Orientation in Sport Questionnaire
(TEOSQ). Descriptive and inductive statistical data analysis was conducted.
RESULTS: The results showed that the athletes of the non-competitive structure
presented higher levels of introjected regulation (extrinsic motivation),
amotivation and lower levels of ego orientation (P<0.05). Rhythmic gymnastics
athletes' (regardless of the structure of the sport) presented high level in task
orientation while the high levels of task orientation is positively associated
with high levels of intrinsic motivation regardless of the levels of ego
orientation. CONCLUSION: The intrinsic motivation of athletes participating in
rhythmic gymnastics runs at high levels. The amotivation of rhythmic gymnastics
athletes' is a phenomenon which is also presented in the the non-competitive
sport structure. It is important that the two different structures of sports be
determined with accurate criteria.
PMID- 21904289
TI - Effects of strength and aerobic-based training on functional fitness, mood and
the relationship between fatness and mood in older adults.
AB - AIM: Physical independence and positive mood states contribute to successful
aging. The aim of this study was to analyze the effects of aerobic and strength
based training programs on functional fitness and mood in older adults, and to
assess the relationship between adiposity and mood states. METHODS: Seventy eight
participants (age 65 to 95 year old) were randomly assigned to a control group,
aerobic training (AT), or strength training group (ST). Functional fitness was
assessed using dimensions of the Senior Fitness Test battery relating to lower
and upper body strength and flexibility, velocity, agility and dynamic balance,
and aerobic endurance. Mood states (depression, tension, fatigue, vigour, anger,
and confusion) were determined using the POMS-SF questionnaire. Participants were
evaluated at the baseline and at the end of a 16-week exercise programme.
RESULTS: Both the ST and AT groups improved their functional fitness following
the 16 week training. Body Mass Index (BMI) was positively associated with
tension (r=0.30; P<0.01), fatigue (r=0.31; P<0.01) and confusion (r=0.24;
P<0.05). At 16-week evaluation, control group reported increased levels of
confusion, and the ST group reported increases in vigour (P<0.05). CONCLUSION:
Results support the idea that strength-based training can be as effective as
aerobic-based training in improving physical skills that contribute to functional
mobility in later years. Positive associations between increased BMI and mood
disturbance were also found. Physical training also contributed to some
improvements in mood.
PMID- 21904290
TI - Radiological changes and signs of osteoarthritis in the fingers of male
performance sport climbers.
AB - AIM: The aim of this study was to investigate the radiological changes and signs
of osteoarthritis in the fingers of performance sport climbers that felt to be
from acute mechanical stress placed on the fingers and intense training regime
over years. METHODS: A total of 31 male sport climbers who were either strong
rock climbers or former members of the Swiss climbing team, and 67 non-climbers
participated in the study. Fisher's exact test was used to compare the
proportions of signs of osteoarthritis between climbers and non-climbers. For the
evaluation of radiological changes, antero-posterior and lateral radiographs were
taken of both hands. Distal interphalangeal (DIP) and proximal interphalangeal
(PIP) joints of the fingers Dig. II-V on the antero-posterior radiographs were
scored for signs of osteoarthritis using an atlas (Altman) method. Osteophytes at
the DIP and PIP joints were also evaluated on lateral radiographs and compared to
the findings on the antero-posterior radiographs. RESULTS: According to the
Kellgren-Lawrence method, 6 out of 31 climbers had evidence of clear signs of
osteoarthritis in the fingers, whereas none of the non-climbers developed any
signs of osteoarthritis (P<=0.001). Twenty-three climbers had definite signs of
osteophytosis using antero-posterior radiographs compared to 31 climbers using
lateral radiographs. Lateral radiographs have shown to be more accurate in
finding, localizing and rating of osteophytes than antero-posterior radiographs.
CONCLUSION: Male climbers have more signs of osteoarthritis compared to male non
climbers at similar age. Development of osteophytes seems to be ordinary in every
climber. Lateral radiographs are more accurate in diagnosing osteophytes in
climbers than using traditional antero-posterior radiographs.
PMID- 21904291
TI - Evaluation of energy expenditure in children with cerebral palsy using a multi
sensor accelerometer.
AB - AIM: The aim of this paper was to evaluate the validity and reliability of a
multisensor accelerometer, the Intelligent Device for Energy Expenditure and
Activity (IDEEA, MiniSun, CA), for measuring energy expenditure in children with
cerebral palsy (CP). Twenty-one children with CP, age range 4-10 years, with
varying degrees of impairment, were recruited for the study. In addition, 7
children with normal development, age range 5.67-8.5 years, were also tested.
METHODS: Children were connected to a portable metabolic cart (Cosmed, Rome,
Italy) and to the IDEEA by five sensors. Children were asked to perform a series
of activities simulating everyday activity, walk on a treadmill for 4 min and
climb a staircase for 4 min. During all activities oxygen consumption values were
measured and converted to energy units. Energy expenditure as measured by the
IDEEA was also recorded. RESULTS: During the simulation of daily activities and
during walking at a comfortable speed the IDEEA significantly overestimated the
energy expenditure. However significant and relatively high positive correlations
(0.70-0.97) were found between the two instruments. Inconsistent results were
obtained during walking at increased speed. During a step test similar means were
found by the two instruments for children with normal development and children
with CP with good correlations between the values measured by the two
instruments. Energy expenditure measurement in children with CP were found to be
very reliable, with a correlation of 0.998 for repeated measurements during
treadmill walking. CONCLUSION: It seems IDEEA, with its present conversion
equations, is not suitable for exact evaluation of energy expenditure in children
with CP or in young children with normal development. However, in light of the
good correlation with the standard method of evaluating energy expenditure and
the high test-retest reliability of the IDEEA's measurements, It is suggested
that IDEEA may be a valuable tool for clinical follow-up of children with CP for
quantitative evaluation of the efficacy of treatment interventions. The
establishment of population specific conversion equations is expected to
significantly increase the accuracy of energy expenditure evaluation by the
IDEEA.
PMID- 21904292
TI - Markers of bone turnover across a competitive season in female athletes: a
preliminary investigation.
AB - AIM: Higher levels of bone formation have been observed in athletes performing
high impact exercise when compared to non-impact exercisers. This study was
designed to determine if bone formation and resorption fluctuate across a
competitive season (4-6 months) in females training at different levels of
mechanical stress. METHODS: Markers of bone formation (osteocalcin [OC]; bone
specific alkaline phosphatase [BAP]) and resorption (cross-linked N-telopeptides
[NTx]) were measured in serum at pre-, mid- and post-season in 33 female athletes
and controls (19.6+/-1.4 yr). Participants were divided into impact groups of
high (HIGH, basketball, N.=6), medium (MED, soccer, N.=12), and non (NON,
swimmers, N.=11), and compared to sedentary controls (CON, N.=4). Bone mineral
density (BMD) and bone mineral content (BMC) were assessed by DXA in a subset of
each group. RESULTS: BAP was greater in HIGH and MED (31.82+/-12.21 and 33.09+/
6.78 U/L) than both NON and CON (23.96+/-5.71 and 16.66+/-2.07 U/L); no
significant changes over time were noted. Hip BMD was greater in HIGH (1.17+/
0.14 g*cm-2) than NON and CON (0.98+/-0.09 and 1.02+/-0.04 g*cm-2, respectively),
while hip BMC was greater in HIGH and MED (41.76+/-3.06 and 38.56 +/- 3.94 g)
than NON and CON (32.37 +/-3.5 and 30.51 +/- 5.91). CONCLUSION: Women involved in
HIGH and MED impact activities have higher levels of bone formation throughout a
season than those involved in non-impact activities which may have long term
implications for bone health.
PMID- 21904293
TI - Effect of aerobic exercise on insulin, insulin-like growth factor-1 and insulin
like growth factor binding protein-3 in overweight and obese postmenopausal
women.
AB - AIM: The purpose of presented study was to verify the influence of aerobic
physical activity program on the serum insulin, insulin-like growth factor-1 (IGF
1) and insulin-like growth factor binding protein-3 (IGFBP-3) concentrations in
overweight and obese postmenopausal women. METHODS: Somatic parameters and serum
concentrations of insulin, IGF-1 and IGFBP-3 were measured in 21 overweight and
obese women, before and after 8-week cycloergometer physical workout and 16 age
matched, controls. Age of all studied women ranged from 54 to 78 years. RESULTS:
The comparative analysis of biochemical indices measured before and after the
training program showed that the systematic exercise cause the significant
decrease in insulin (P<0.05) and IGF-1 concentrations (P<0.05). There were no
differences in these parameters in controls over the studied period. The level of
IGFBP-3 was not significantly changed in both investigated groups. In women
participating in the training program the positive correlation (P<0.05) between
changes in IGF-1 levels (Delta) and changes in insulin concentrations (Delta)
within two terms of the study were found. The magnitude of changes (Delta) in
insulin and IGF-1 levels over the study period correlated with their
concentrations measured before the training program (P<0.01). CONCLUSION: Results
of the present study indicate that regular aerobic physical activity decreases
serum insulin and IGF-1 levels in postmenopausal women. The magnitude of insulin
and IGF-1 concentration changes depend on their initial levels. Changes of IGF-1
levels are associated with insulin concentration modifications.
PMID- 21904294
TI - Acute oxidative stress and antioxidant status responses following an American
football match.
AB - AIM: Intense physical activity is known to induce oxidative stress. Though
regular physical training enhances the antioxidant defence system, the effects of
diminished training periods are unclear. American football is one of the recently
popular sports in Turkiye and is defined as a mixed activity. The aim of the
research was to examine some markers of oxidative stress and antioxidant status
in occasionally competitive American football players following a match, and
relations with aerobic power and playing position. METHODS: Twenty two male
players volunteered for the study. To determine oxidative stress and antioxidant
status from blood samples collected before and immediately following a match,
plasma malondialdehyde (MDA) and nitric oxide (NO) levels, erythrocyte superoxide
dismutase (SOD) and glutathione peroxidase (GPx) activities, and total
antioxidant status (TAS) were assessed, using spectrophotometric methods.
Wilcoxon's signed rank test was used to determine the match effect, and Mann
Whitney U test was used to compare playing position and VO2max effects. RESULTS:
Plasma MDA (P<0.001) and NO (P<0.05) levels increased significantly following the
match. Antioxidant parameters were unchanged following the match when compared
with the resting level, except for a VO2max related effect (P<0.05) on TAS.
CONCLUSION: Collected data revealed that an American football match caused
excessive production of free radicals and oxidative stress. The training loads
players underwent were not high enough to cause positive effects on the
antioxidant status. To enhance training-induced antioxidant status adaptation,
higher amounts of physical activity may be required.
PMID- 21904295
TI - Measuring the kinetics of mRNA transcription in single living cells.
AB - The transcriptional activity of RNA polymerase II (Pol II) is a dynamic process
and therefore measuring the kinetics of the transcriptional process in vivo is of
importance. Pol II kinetics have been measured using biochemical or molecular
methods. In recent years, with the development of new visualization methods, it
has become possible to follow transcription as it occurs in real time in single
living cells. Herein we describe how to perform analysis of Pol II elongation
kinetics on a specific gene in living cells. Using a cell line in which a
specific gene locus (DNA), its mRNA product, and the final protein product can be
fluorescently labeled and visualized in vivo, it is possible to detect the actual
transcription of mRNAs on the gene of interest. The mRNA is fluorescently tagged
using the MS2 system for tagging mRNAs in vivo, where the 3'UTR of the mRNA
transcripts contain 24 MS2 stem-loop repeats, which provide highly specific
binding sites for the YFP-MS2 coat protein that labels the mRNA as it is
transcribed. To monitor the kinetics of transcription we use the Fluorescence
Recovery After Photobleaching (FRAP) method. By photobleaching the YFP-MS2-tagged
nascent transcripts at the site of transcription and then following the recovery
of this signal over time, we obtain the synthesis rate of the newly made mRNAs.
In other words, YFP-MS2 fluorescence recovery reflects the generation of new MS2
stem-loops in the nascent transcripts and their binding by fluorescent free YFP
MS2 molecules entering from the surrounding nucleoplasm. The FRAP recovery curves
are then analyzed using mathematical mechanistic models formalized by a series of
differential equations, in order to retrieve the kinetic time parameters of
transcription.
PMID- 21904296
TI - Effect of early breast milk expression on milk volume and timing of lactogenesis
stage II among mothers of very low birth weight infants: a pilot study.
AB - OBJECTIVE: The purpose of this randomized pilot study was to collect preliminary
data regarding the feasibility and effects of early initiation of milk expression
on the onset of lactogenesis stage II and milk volume in mothers of very low
birth weight (VLBW) infants. STUDY DESIGN: Twenty women were randomized to
initiate milk expression within 60 min (group 1) or 1 to 6 h (group 2) following
delivery. Milk volume and timing of lactogenesis stage II was compared between
groups using Wilcoxon's rank sum tests. RESULT: Group 1 produced statistically
significantly more milk than group 2 during the first 7 days (P=0.05) and at week
3 (P=0.01). Group 1 also demonstrated a significantly earlier lactogenesis stage
II (P=0.03). CONCLUSION: Initiation of milk expression within 1 h following
delivery increases milk volume and decreases time to lactogenesis stage II in
mothers of VLBW infants.
PMID- 21904297
TI - Biopsychosocial risks of parental care for high-risk neonates: implications for
evidence-based parental counseling.
AB - OBJECTIVE: Provide an evidence base for counseling parents of high-risk neonates
about the biopsychosocial impact of providing long-term care. STUDY DESIGN: A
review of the effects of long-term care on families of high-risk neonates. Our
search was limited to 1993-2010. We used the terms 'long-term care,' 'family,'
'neonate' and 'technology dependence.' Results were organized based on Engel's
biopsychosocial model. RESULT: Physical-parental caregivers reported more health
problems, had fewer health-promoting behaviors and lower vitality.Psychological
parental caregivers had higher rates of post-traumatic stress disorder and
depressive symptoms, although some improved with time. Siblings reported greater
stress and depression. Social-parental caregivers achieved fewer years of
education, higher unemployment and lower incomes. Couples reported greater family
strain. The effect on divorce was mixed. Siblings reported disruption in their
academic and social lives. CONCLUSION: Providing long-term care involves
biopsychosocial risks. Counseling of parents should identify them and advocate
strategies for prevention.
PMID- 21904299
TI - The retinoic acid receptor-related orphan nuclear receptor gamma1 (RORgamma1): a
novel player determinant of insulin sensitivity in morbid obesity.
AB - The orphan nuclear receptors (ONRs), retinoic acid receptor-related orphan
receptor gamma-1 (RORgamma1) and peroxisome proliferator-activated receptor gamma
2 (PPARgamma2), are central mediators controlling adipocyte (AD) differentiation.
Through their distinct tissue distribution and specific target gene activation,
ONRs control diverse aspects of fatty acid metabolism and insulin sensitivity.
Adding further complexity, obesity begets resistance to insulin signals and can
ultimately result in diabetes. In this study, we investigate whether there are
differences in the RORgamma1 and PPARgamma2 expression in visceral adipose tissue
(VAT) and subcutaneous adipose tissue (SAT) from morbid obesity (MO) individuals
either insulin resistant (high-IR MO) or insulin sensitivity (low-IR MO). Our
results indicate for the first time in human the RORgamma1 mRNA and protein
expression levels and activation with coactivator, such as peroxisome
proliferator-activated receptor gamma coactivator 1-alpha (PGC-1alpha) were
higher in the VAT from high-IR MO. In contrast, PPARgamma2 expression and
activation were higher in the VAT from low-IR MO. In this way, we have also found
a positive association between RORgamma1 mRNA and protein expression with many
components of metabolic syndrome, with a strong dependence of insulin and
HOMA(IR) index in VAT, but not in SAT. Our data suggest that RORgamma1 may be
added to the growing list of nuclear receptors in adipose tissue use to modulate
the insulin resistance associated to the obesity. Measurement of RORgamma1 and
PPARgamma2 in adipose tissue might be useful for evaluating the outcomes of
various clinical interventions for obesity-related diabetes type II.
PMID- 21904301
TI - Outbreak genomics.
PMID- 21904298
TI - Fetal sex-related dysregulation in testosterone production and their receptor
expression in the human placenta with preeclampsia.
AB - OBJECTIVE: To determine the effects of fetal sex on aromatase and androgen
receptor (AR) expression in the placenta of normal and preeclamptic pregnancies.
STUDY DESIGN: Placentae from preeclamptic (five female and six male fetuses) and
healthy pregnancies (seven female and seven male fetuses) were examined by
immunofluorescence, western blotting and quantitative reverse transcriptase PCR.
RESULT: Placental AR levels were significantly higher (P<0.05) in placentae of
both male and female fetuses compared with their respective sexes in normal
pregnancies. The placental aromatase levels varied depending on fetal sex. If the
fetus was female, aromatase levels were substantially higher (P<0.05) in
preeclamptic than in normal placentae. If the fetus was male, the aromatase
levels were significantly lower (P<0.05) in preeclamptic than in normal
placentae. Placental aromatase levels were significantly higher (P<0.05) in male-
than in female-bearing normal placentae. CONCLUSION: Dysregulation in androgen
production and signaling in preeclamptic placentae may contribute to placental
abnormalities, increasing the frequency of maternal-fetal complications
associated with preeclampsia.
PMID- 21904302
TI - Myriad decision reassures biotechs but diagnostics still murky.
PMID- 21904303
TI - GM grass eludes outmoded USDA oversight.
PMID- 21904304
TI - New models emerge for commercializing university assets.
PMID- 21904306
TI - BIO marches to Congress with growth package in hand.
PMID- 21904309
TI - Drugmakers use real-world patient data to calibrate product development.
PMID- 21904312
TI - Biotech on pace for record year.
PMID- 21904313
TI - Trends in biotech literature 2009-2010.
PMID- 21904314
TI - More than insulin.
PMID- 21904315
TI - Shaping the future of safer innovative drugs in Europe.
PMID- 21904316
TI - Clarity and claims in variation/mutation databasing.
PMID- 21904318
TI - Why an abbreviated FDA pathway for biosimilars is overhyped.
PMID- 21904320
TI - Comprehensive catalog of European biobanks.
PMID- 21904321
TI - Pharmaceutical patent evaluation and licensing using a stochastic model and Monte
Carlo simulations.
PMID- 21904323
TI - Toward safer regenerative medicine.
PMID- 21904324
TI - Semiconductors charge into sequencing.
PMID- 21904325
TI - Genome remodeling.
PMID- 21904331
TI - Verification of systems biology research in the age of collaborative competition.
PMID- 21904332
TI - Second-quarter biotech job picture.
PMID- 21904334
TI - Estimating the need for dental sedation. 1. The Indicator of Sedation Need (IOSN)
- a novel assessment tool.
AB - While the control of pain and anxiety is fundamental to the practice of
dentistry, the use of conscious sedation in dentistry is very variable among
dentists. The need for conscious sedation could be considered by assessing and
ranking a combination of information on patient anxiety, medical history and the
complexity of the anticipated clinical treatment. By undertaking this systemtic
assessment an indication of sedation need may be developed which would act as an
aide to decision making and, potentially, referral management. Such a tool could
also be used by commissioners who need to identify patients who need conscious
sedation for dental treatment in order to plan, commission and deliver
appropriate sedation services.
PMID- 21904335
TI - Estimating the need for dental sedation. 2. Using IOSN as a health needs
assessment tool.
AB - AIM: This service evaluation assessed the need for sedation in a population of
dental attenders (n = 607) in the North West of England. METHODS: Using the novel
IOSN tool, three clinical domains of sedation need were assessed: treatment
complexity, medical and behavioural indicators and patient reported anxiety using
the Modified Dental Anxiety Scale. RESULTS: The findings suggest that 5% of the
population are likely to require a course of treatment under sedation at some
time. All three clinical domains contributed to the IOSN score and indication of
treatment need. Females were 3.8 times more likely than males to be placed within
the high need for sedation group. Factors such as age, deprivation and practice
location were not associated with the need for sedation. CONCLUSIONS: Primary
care trusts (PCTs) need health needs assessment data in order to commission
effectively and in line with World Class Commissioning guidelines. This study
provides both an indicative figure of need as well as a tool by which individual
PCTs can undertake local health needs assessment work. Caution should be taken
with the figure as a total need within a population as the study has only
included those patients that attended dental practices.
PMID- 21904336
TI - Disgusted of Tunbridge Wells.
PMID- 21904337
TI - Outdated misconceptions.
PMID- 21904338
TI - DLBCL awareness.
PMID- 21904339
TI - Choumps.
PMID- 21904342
TI - Hominids shrank molars through cooking.
PMID- 21904343
TI - 'I've got #toothache'.
PMID- 21904350
TI - The problem with an epidemiological index for dental erosion.
AB - This article reviews and discusses the difficulties posed by the application of
epidemiological indices for the measurement of dental erosion. It covers several
aspects of index design.
PMID- 21904351
TI - First report of an acute purulent maxillary sinusitis caused by Pseudomonas
aeruginosa secondary to dental implant placement in an immunocompetent patient.
AB - STUDY DESIGN: In this case report, we present maxillary Pseudomonas aeruginosa
sinusitis in an immunocompetent patient who underwent an autologous bone
transplant for the insertion of dental implants. RESULTS: The infection was
eradicated after removal of the dental implants and long-term antibiotic therapy.
CONCLUSION: Despite the infection resolution, severe complications were observed
with important legal consequences.
PMID- 21904352
TI - The diabetic patient and dental treatment: an update.
AB - This paper has been written to both refresh and update clinicians' knowledge of
diabetes. Treatment for patients with diabetes continues to develop with the
majority of Type 1 diabetics now using multiple daily injections and an
increasing minority using insulin pumps. Blood glucose monitoring and patient
education programmes have resulted in more patient involvement in controlling
this condition. Type 2 diabetics have had improvement in care provision through
the development of shorter acting sulphonylureas and the potential for GLP1
injections. The impact of diabetes on both oral health and quality of life is
discussed. Practical suggestions are made regarding the dental treatment of
diabetic patients using both local anaesthetic and under sedation. Diabetes
continues to be a fickle master for those affected by this condition. The paper
is written from the perspective of the 'expert patient'. It is hoped that a
greater understanding of this chronic condition will improve both access to, and
safety of, dental care for those patients with diabetes.
PMID- 21904357
TI - Summary of: estimating the need for dental sedation. 1. The Indicator of Sedation
Need (IOSN) - a novel assessment tool.
AB - While the control of pain and anxiety is fundamental to the practice of
dentistry, the use of conscious sedation in dentistry is very variable among
dentists. The need for conscious sedation could be considered by assessing and
ranking a combination of information on patient anxiety, medical history and the
complexity of the anticipated clinical treatment. By undertaking this systemtic
assessment an indication of sedation need may be developed which would act as an
aide to decision making and, potentially, referral management. Such a tool could
also be used by commissioners who need to identify patients who need conscious
sedation for dental treatment in order to plan, commission and deliver
appropriate sedation services.
PMID- 21904358
TI - Summary of: estimating the need for dental sedation. 2. Using IOSN as a health
needs assessment tool.
AB - AIM: This service evaluation assessed the need for sedation in a population of
dental attenders (n = 607) in the North West of England. METHODS: Using the novel
IOSN tool, three clinical domains of sedation need were assessed: treatment
complexity, medical and behavioural indicators and patient reported anxiety using
the Modified Dental Anxiety Scale. RESULTS: The findings suggest that 5% of the
population are likely to require a course of treatment under sedation at some
time. All three clinical domains contributed to the IOSN score and indication of
treatment need. Females were 3.8 times more likely than males to be placed within
the high need for sedation group. Factors such as age, deprivation and practice
location were not associated with the need for sedation. CONCLUSIONS: Primary
care trusts (PCTs) need health needs assessment data in order to commission
effectively and in line with World Class Commissioning guidelines. This study
provides both an indicative figure of need as well as a tool by which individual
PCTs can undertake local health needs assessment work. Caution should be taken
with the figure as a total need within a population as the study has only
included those patients that attended dental practices.
PMID- 21904359
TI - Policies of dental journals for reporting and monitoring authorship and
contributorship.
AB - Authorship contribution is an important issue that has been discussed frequently
in the medical literature. There are many reported cases of 'guest' authors (who
sign as authors without meeting authorship criteria) and 'ghost' authors (who are
credited to get authorship, but for some reason do not sign the article).
Therefore, clear and transparent policies for reporting
authorship/contributorship are needed in scientific journals. The objective of
this survey was to assess the authorship and contributorship policies that are
made publically available on the homepages of dental journals. The policies of 64
impact factor-ranked dental journals were assessed with a 6-item checklist based
on the International Committee of Medical Journal Editors (ICMJE) guidelines for
authorship/contributorship. Most dental journals partially reported the ICMJE
guidelines, and therefore the improvement of this reporting is a requirement to
promote transparency and integrity in dental research. Moreover, dental journals
should develop strategies to improve author adherence to available guidelines for
authorship and contributorship.
PMID- 21904378
TI - The surface molecule signature of primary human acute myeloid leukemia (AML)
cells is highly associated with NPM1 mutation status.
PMID- 21904377
TI - Allogeneic transplantation following a reduced-intensity conditioning regimen in
relapsed/refractory peripheral T-cell lymphomas: long-term remissions and
response to donor lymphocyte infusions support the role of a graft-versus
lymphoma effect.
AB - Rescue chemotherapy or autologous stem cell transplantation (autoSCT) gives
disappointing results in relapsed peripheral T-cell lymphomas (PTCLs). We have
retrospectively evaluated the long-term outcome of 52 patients receiving
allogeneic SCT for relapsed disease. Histologies were PTCL-not-otherwise
specified (n=23), anaplastic large-cell lymphoma (n=11), angioimmunoblastic T
cell lymphomas (n=9) and rare subtypes (n=9). Patients were allografted from
related siblings (n=33, 64%) or alternative donors (n=13 (25%) from unrelated and
6 (11%) from haploidentical family donors), following reduced-intensity
conditioning (RIC) regimens including thiotepa, fludarabine and cyclophosphamide.
Most of the patients had chemosensitive disease (n=39, 75%) and 27 (52%) failed a
previous autoSCT. At a median follow-up of 67 months, 27 of 52 patients were
found to be alive (52%) and 25 (48%) were dead (n=19 disease progression, n=6 non
relapse mortality (NRM)). The cumulative incidence (CI) of NRM was 12% at 5
years. Extensive chronic graft-versus-host disease increased the risk of NRM (33%
versus 8%, P=0.04). The CI of relapse was 49% at 5 years, influenced by disease
status at the time of allografting (P=0.0009) and treatment lines (P=0.007). Five
year overall survival and progression-free survival (PFS) were 50% (95% CI, 36 -
63%) and 40% (95% CI, 27 - 53%), respectively. The current PFS was 44% (95% CI,
30-57%). In all, 8 out of 12 patients (66%) who received donor-lymphocytes
infusions for disease progression had a response. At multivariable analysis,
refractory disease and age over 45 years were independent adverse prognostic
factors. RIC allogeneic SCT is an effective salvage treatment with a better
outcome for younger patients with chemosensitive disease.
PMID- 21904379
TI - Impact of arsenic trioxide in the treatment of acute promyelocytic leukemia.
AB - Arsenic trioxide (ATO) is presently the most active single agent in the treatment
of acute promyelocytic leukemia (APL). This review provides insights into the
mode of action and the pharmacological properties of ATO, and summarizes the most
relevant results of more than 20 treatment studies in relapsed or newly diagnosed
APL published between 1997 and 2011. ATO acts by targeting multiple pathways in
APL leading to apoptosis and myeloid differentiation. It induces complete
remission without myelosuppression and causes only few adverse effects. In
relapsed APL, ATO-based salvage therapy has been able to induce long-lasting
remissions and possible cure in 50-81% of patients. In newly diagnosed APL, two
main strategies are currently pursued. ATO is either included into induction
therapy with the aim to minimize or eliminate chemotherapy, or it is incorporated
as an additive into established first-line concepts with all-trans-retinoic acid
and chemotherapy to reinforce their anti-leukemic efficacy. Recent results
suggest a high efficacy of ATO in both concepts. In conclusion, experimental
research and clinical studies have made contributions toward a better
understanding of the molecular mechanisms induced by ATO in APL cells and have
established this historic substance as an important candidate for the further
improvement of APL therapy.
PMID- 21904380
TI - MRx102, a triptolide derivative, has potent antileukemic activity in vitro and in
a murine model of AML.
AB - Triptolide, isolated from the herb Tripterygium wilfordii, has been shown to
potently induce apoptosis in various malignant cells by inhibiting RNA synthesis
and nuclear factor-kappaB activity. Previously, we showed that triptolide
promotes apoptosis in acute myeloid leukemia (AML) cells via the mitochondria
mediated pathway, in part, by decreasing levels of the anti-apoptotic proteins
XIAP and Mcl-1. MRx102 is a triptolide derivative, currently in preclinical
development. Here we show that MRx102 potently promoted apoptosis in AML cell
lines, with EC(50) values of 14.5+/-0.6 nM and 37.0+/-0.9 nM at 48 h for OCI-AML3
and MV4-11 cells, respectively. MRx102, at low nanomolar concentrations, also
induced apoptosis in bulk, CD34(+) progenitor, and more importantly, CD34(+)CD38(
) stem/progenitor cells from AML patients, even when they were protected by
coculture with bone marrow derived mesenchymal stromal cells. MRx102 decreased
XIAP and Mcl-1 protein levels and inhibited RNA synthesis in OCI-AML3 cells. In
vivo, MRx102 greatly decreased leukemia burden and increased survival time in non
obese diabetic/severe combined immunodeficiency mice harboring Ba/F3-ITD cells.
Collectively, we demonstrated that MRx102 has potent antileukemic activity both
in vitro and in vivo, has the potential to eliminate AML stem/progenitor cells
and overcome microenvironmental protection of leukemic cells, and warrants
clinical investigation.
PMID- 21904381
TI - NK cells are dysfunctional in human chronic myelogenous leukemia before and on
imatinib treatment and in BCR-ABL-positive mice.
AB - Although BCR-ABL+ stem cells in chronic myeloid leukemia (CML) resist elimination
by targeted pharmacotherapy in most patients, immunological graft-versus-leukemia
effects can cure the disease. Besides cytotoxic T cells, natural killer (NK)
cells may have a role in immune control of CML. Here, we explored the
functionality of NK cells in CML patients and in a transgenic inducible BCR-ABL
mouse model. Compared with controls, NK-cell proportions among lymphocytes were
decreased at diagnosis of CML and did not recover during imatinib-induced
remission for 10-34 months. Functional experiments revealed limited in vitro
expansion of NK cells from CML patients and a reduced degranulation response to
K562 target cells both at diagnosis and during imatinib therapy. Consistent with
the results in human CML, relative numbers of NK1.1+ NK cells were reduced
following induction of BCR-ABL expression in mice, and the defects persisted
after BCR-ABL reversion. Moreover, target-induced degranulation by expanded BCR
ABL+ NK cells was compromised. We conclude that CML is associated with
quantitative and functional defects within the NK-cell compartment, which is
reproduced by induced BCR-ABL expression in mice. Further work will aim at
identifying the mechanisms of NK-cell deficiency in CML and at developing
strategies to exploit NK cells for immunotherapy.
PMID- 21904382
TI - How to manage lower-risk myelodysplastic syndromes.
AB - Patients with lower-risk myelodysplastic syndromes (MDSs), usually defined as
having an International Prognostic Scoring System score of 1.0 or less, and/or
<5% myeloblasts, comprise the majority of newly diagnosed and established MDS
patients and have a survival measured in years. Most will eventually require
therapy for their disease, usually when MDS-related symptoms or transfusion
requirements accelerate and outweigh potential drug-related toxicities. The
decision of when to initiate therapy is far from straightforward. Erythropoiesis
stimulating agents yield responses in up to 40% of appropriately selected
patients, while disease-modifying drugs, including lenalidomide, azacitidine,
decitabine and anti-thymocyte globulin, can evoke responses as high as 67% in
patient subgroups. Newer therapies hold the promise of activity in patients who
have failed standard regimens.
PMID- 21904383
TI - Overexpression of GATA2 predicts an adverse prognosis for patients with acute
myeloid leukemia and it is associated with distinct molecular abnormalities.
PMID- 21904384
TI - The NPM1 wild-type OCI-AML2 and the NPM1-mutated OCI-AML3 cell lines carry DNMT3A
mutations.
PMID- 21904385
TI - Regulatory T cells and immunodeficiency in mycosis fungoides and Sezary syndrome.
AB - Cutaneous T-cell lymphoma (CTCL) is the term for diseases characterized by
primary accumulation of malignant T cells in the skin. Patients with the two
predominant clinical forms of CTCL called mycosis fungoides (MF) and Sezary
syndrome (SS) characteristically develop severe immunodeficiency during disease
progression and consequently patients with advanced disease frequently die of
infections and not from the tumor burden. For decades, it has been suspected that
the malignant T cells actively drive the evolving immunodeficiency to avoid
antitumor immunity, yet, the underlying mechanisms remain unclear. The
identification of a subset of highly immunosuppressive regulatory T cells (Tregs)
triggered a variety of studies investigating if MF and SS are malignant
proliferations of Tregs but seemingly discordant findings have been reported.
Here, we review the literature to clarify the role of Tregs in MF and SS and
discuss the potential mechanisms driving the immunodeficiency.
PMID- 21904386
TI - Trafficking: Tracking immune cells on the lymph node map.
PMID- 21904388
TI - Inflammasome: NAIPs: pathogen-sensing proteins.
PMID- 21904387
TI - Inflammatory modulation of HSCs: viewing the HSC as a foundation for the immune
response.
AB - Cells of the innate and adaptive immune systems are the progeny of a variety of
haematopoietic precursors, the most primitive of which is the haematopoietic stem
cell. Haematopoietic stem cells have been thought of generally as dormant cells
that are only called upon to divide under extreme conditions, such as bone marrow
ablation through radiation or chemotherapy. However, recent studies suggest that
haematopoietic stem cells respond directly and immediately to infections and
inflammatory signals. In this Review, we summarize the current literature
regarding the effects of infection on haematopoietic stem cell function and how
these effects may have a pivotal role in directing the immune response from the
bone marrow.
PMID- 21904390
TI - Two novel mutations of the PAX6 gene causing different phenotype in a cohort of
Chinese patients.
AB - PURPOSE: Aniridia (AN) is a rare congenital panocular disorder caused by the
mutations of the paired box homeotic gene 6(PAX6) gene. The PAX6gene is also
involved in other anterior segment malformations including Peters anomaly. We
studied the PAX6gene mutations in a cohort of affected individuals with different
clinical phenotype including AN, coloboma of iris and choroid, or anterior
segment malformations. PATIENTS AND METHODS: Six unrelated families and 10
sporadic patients were examined clinically. After informed consent was obtained,
genomic DNA was extracted from the venous blood of all participants. Mutation
screening of all exons of the PAX6gene was performed by direct sequencing of PCR
amplified DNA fragments. Multiplex ligation-dependent probe amplification (MLPA)
was performed to detect large deletions. RESULTS: By clinical examination, the
patients and the pedigrees were divided into the following three groups: AN,
coloboma of iris and choroids, and the anterior segment malformations including
peters anomaly. Sequencing of the PAX6gene, three intragenic mutations including
a novel heterozygous splicing-site mutations c.357-3C>G (p.Ser119fsX) were
identified in the patients of the AN group. A novel missense mutation c.643T>C
(p.S216P) was detected in the anterior segment malformation group. The mutation
p.S216P located in the homeodomain region of the PAX6 caused the phenotype of
Peters anomaly in family A6 with different expressing. Through MLPA analysis, a
large deletion including the whole PAX6gene and DKFZ p686k1684gene was detected
in one sporadic patient from the AN group. Neither intragenic mutation nor large
deletion was identified in the group with coloboma of iris and choroid.
CONCLUSION: Our findings further confirmed that different kind of mutations might
cause different ocular phenotype, and clearly clinical phenotype classification
might increase the mutation detection rate of the PAX6gene.
PMID- 21904389
TI - New insights into the T cell synapse from single molecule techniques.
AB - T cell activation depends on extracellular ligation of the T cell receptor (TCR)
by peptide-MHC complexes in a synapse between the T cell and an antigen
presenting cell. The process then requires the assembly of signalling complexes
between the TCR and the adaptor protein linker for activation of T cells (LAT),
and subsequent filamentous actin (F-actin)-dependent TCR cluster formation.
Recent progress in each of these areas, made possible by the emergence of new
techniques, has forced us to rethink our assumptions and consider some radical
new models. These describe the receptor interaction parameters that control T
cell responses and the mechanism by which LAT is recruited to the TCR signalling
machinery. This is an exciting time in T cell biology, and further innovation in
imaging and genomics is likely to lead to a greater understanding of how T cells
are activated.
PMID- 21904391
TI - Anterior segment OCT imaging in opaque grafts with secondary glaucoma following
tectonic penetrating keratoplasty for perforated corneal ulcers.
PMID- 21904392
TI - Corneal hysteresis in patients with dry eye.
AB - PURPOSE: To measure corneal hysteresis and intraocular pressure (IOP) in patients
with dry eye and to compare with normal subjects. METHODS: This cross-sectional
study consists of 70 eyes of 40 patients with dry eye (group 1) and 75 eyes of 40
normal subjects (group 2). Eyes were diagnosed as dry eye or normal according to
the clinical symptoms, biomicroscopical evaluation, and Schirmer test. Corneal
hysteresis (CH), corneal resistance factor (CRF), and cornea-compensated
intraocular pressure (IOPcc) were measured by the Ocular Response Analyser (ORA).
RESULTS: Mean CH values were 10.56+/-0.25 mm Hg and 10.34+/-0.26 mm Hg, mean CRF
values were 10.75+/-0.28 mm Hg and 10.70+/-0.28 mm Hg, mean CCT values were 542+/
3.20 MUm and 543+/-3.89 MUm in group 1 and group 2, respectively. There was no
statistically significant difference between the groups for these three
parameters. IOPcc values measured with ORA were 15.73+/-0.36 mm Hg in group 1 and
16.60+/-0.33 mm Hg in group 2, and there was no statistically significant
difference between the two groups. CONCLUSIONS: Corneal biomechanical parameters
such as CH and CRF are not influenced in dry eye. Also statistical difference was
not found between the two groups according to CCT and IOPcc values.
PMID- 21904393
TI - Incorporating life expectancy in glaucoma care.
AB - AIM: To calculate for which combinations of age and perimetric disease stage
glaucoma patients are unlikely to become visually impaired during their lifetime.
METHODS: We used residual life expectancy data (life expectancy adjusted for the
age already reached) as provided by Statistics Netherlands and rates of
progression as derived from published studies. We calculated the baseline mean
deviation (MD) for which an individual would reach a MD of -20 dB at the end of
life as a function of age and rate of progression. For situations in which the
individual rate of progression is unknown, we used the 90th percentiles of rate
of progression and residual life expectancy. For situations in which the
individual rate of progression is known, we used the 95th percentile of the
residual life expectancy. RESULTS: An easily applicable graphical tool was
developed that enables an accurate estimate of the probability of becoming
visually impaired during lifetime, given age, current glaucomatous damage, and-
if available--the individual rate of progression. CONCLUSIONS: This novel tool
enables the clinician to incorporate life expectancy in glaucoma care in a well
founded manner and may serve as a starting point for personalized decision
making.
PMID- 21904394
TI - Multispectral retinal image analysis: a novel non-invasive tool for retinal
imaging.
AB - PURPOSE: To develop a non-invasive method for quantification of blood and pigment
distributions across the posterior pole of the fundus from multispectral images
using a computer-generated reflectance model of the fundus. METHODS: A computer
model was developed to simulate light interaction with the fundus at different
wavelengths. The distribution of macular pigment (MP) and retinal haemoglobins in
the fundus was obtained by comparing the model predictions with multispectral
image data at each pixel. Fundus images were acquired from 16 healthy subjects
from various ethnic backgrounds and parametric maps showing the distribution of
MP and of retinal haemoglobins throughout the posterior pole were computed.
RESULTS: The relative distributions of MP and retinal haemoglobins in the
subjects were successfully derived from multispectral images acquired at
wavelengths 507, 525, 552, 585, 596, and 611 nm, providing certain conditions
were met and eye movement between exposures was minimal. Recovery of other fundus
pigments was not feasible and further development of the imaging technique and
refinement of the software are necessary to understand the full potential of
multispectral retinal image analysis. CONCLUSION: The distributions of MP and
retinal haemoglobins obtained in this preliminary investigation are in good
agreement with published data on normal subjects. The ongoing development of the
imaging system should allow for absolute parameter values to be computed. A
further study will investigate subjects with known pathologies to determine the
effectiveness of the method as a screening and diagnostic tool.
PMID- 21904395
TI - Treatment of mesothelioma with gene-modified PA1STK cells and ganciclovir: a
phase I study.
AB - Mesothelioma is an incurable cancer of the pleura with a life expectancy of less
than 1 year. On the basis of in vivo efficacy seen with the herpes simplex virus
type 1 thymidine kinase (HSVtk) suicide gene-modified PA1STK cell line and
ganciclovir (GCV) in a murine model of mesothelioma, a first in humans, clinical
trial was designed for this therapeutic concept. The study was a phase I clinical
trial using direct infusion of escalating doses of HSVtk suicide gene-modified
PA1STK cells directly into tumor-associated pleural effusions followed by 7 days
of intravenous GCV infusion. Therapeutic levels of GCV in both serum and pleura
were achieved within 1 h, and GCV trough levels remained above the therapeutic
threshold for the duration of GCV treatment. The treatment was well tolerated
without any Grade 3 or 4 toxicity observed. Significant inductions of both Th1
and Th2 cytokines up to 20-fold over baseline were observed. No significant
differences were seen between serum and pleura cytokine profiles, with the
exception of interleukin-10, which was consistently elevated in the pleura
specimens. No objective radiographic responses were observed. The data indicate
significant immunological responses and validate the principal anti-tumor
mechanisms observed in preclinical models of mesothelioma in a human clinical
trial.
PMID- 21904396
TI - Silencing oncogene expression in cervical cancer stem-like cells inhibits their
cell growth and self-renewal ability.
AB - Accumulating evidence supports the concept that cancer stem cells (CSCs) are
responsible for tumor initiation and maintenance. They are also considered as an
attractive target for advanced cancer therapy. Using a sphere culture method that
favors the growth of self-renewal cells, we have isolated sphere-forming cells
(SFCs) from cervical cancer cell lines HeLa and SiHa. HeLa-SFCs were resistant to
multiple chemotherapeutic drugs and were more tumorigenic, as evidenced by the
growth of tumors following injection of immunodeficient mice with 1 * 10(4)
cells, compared with 1 * 10(6) parental HeLa cells required to grow tumors of
similar size in the same time frame. These cells showed an expression pattern of
CD44(high)/CD24(low) that resembles the CSC surface biomarker of breast cancer.
We further demonstrated that HeLa-SFCs expressed a higher level (6.9-fold) of the
human papillomavirus oncogene E6, compared with that of parental HeLa cells. Gene
silencing of E6 with a lentiviral-short-hairpin RNA (shRNA) profoundly inhibited
HeLa-SFC sphere formation and cell growth. The inhibition of cell growth was even
greater than that for sphere formation after E6 silence, suggesting that the loss
of self-renewing ability may be more important. We then measured the expression
of self-renewal genes, transformation growth factor-beta (TGF-beta) and leukemia
inhibitory factor (LIF), in shRNA-transduced HeLa-SFCs and found that expression
of all three TGF-beta isoforms was significantly downregulated while LIF remained
unchanged. Expression of the Ras gene (a downstream component of TGF-beta) was
also markedly decreased, suggesting that the growth-inhibitory effect could be
via the TGF-beta pathway. The above data indicate RNA interference-based therapy
may offer a new approach for CSC-targeted cancer therapy.
PMID- 21904397
TI - [The association obesity-psychiatric disease. One more need for a
multidisciplinary approach].
PMID- 21904399
TI - [Consensus on the legibility criteria of health education leaflets].
AB - BACKGROUND: To identify the most relevant aspects that guarantee the readability,
clarity and simplicity of written health education materials. MATERIAL AND
METHODS: Delphi methodology in order to reach a state of consensus among health
education experts on criteria of legibility in the design and publication of
informative material and literature. RESULTS: Seventeen experts reached agreement
on the principal recommendations for ensuring the legibility of health education
materials. They were as follows: a) text content and layout: to structure the
text using a title or subtitle, message explanation and conclusion; b) text
construction: to use simple and concise sentences, diagrams and examples, and
graphically highlighting the principal ideas; c) lexical comprehension: to use
simple words and avoid technical language and abbreviations; d) typography: to
use an easy-to-read font. CONCLUSIONS: There is a high degree of consensus
regarding the way health education materials should be drawn up. This list of
recommendations could be used as an instrument for reviewing and improving the
design of health education materials. In general, it is recommended to identify
the users of the leaflets and involve them in the writing and design.
PMID- 21904398
TI - [Biochemical diagnosis of monomeric hyperprolactinemia].
AB - BACKGROUND: Prolactin can take several molecular forms of which the most
biologically active is the monomeric form (PRLm). The presence of macroprolactin
(MPRL) can give rise to a false diagnosis of hyperprolactinemia due to
interference in the measuring procedure. The aim was to develop a protocol that
enables diagnosis of monomeric hyperprolactinemia, which should also be
complementary to the procedure for detecting MPRL. MATERIAL AND METHODS: The
reference population for PRLm was made up of 122 healthy women and 140 healthy
men, from whom blood was extracted for PRL quantification. Additionally, 49
hyperprolactinemic serums (33 women and 16 men) were collected. PRL was
quantified in all the samples in an Immulite 2000. The detection of MPRL and PRLm
was carried out following precipitation with polyetylenglicol (PEG). The result
was confirmed by gelatin filtration chromatography. The reference values were
obtained following the indications of the Expert Panel of the IFCC. RESULTS: The
PRLm reference values were 3,4 - 26,6 ug/L and 4,6 - 16,4 ug/L in women and men,
respectively. In 57% of the 49 hyperprolactinemic patients the concentration of
PRLm following PEG fell outside the previously obtained reference interval,
confirming the presence of monomeric hyperprolactinemia. CONCLUSIONS: A protocol
for quantifying PRLm has been developed and implemented. Obtaining PRLm reference
values makes it possible to diagnose monomeric or active hyperprolactinemia in a
complementary form to the identification of MPRL.
PMID- 21904400
TI - [Preoperative staging MRI for rectal cancer and pathologic correlation].
AB - BACKGROUND: Our aim is to asses the accuracy of MRI for preoperative rectal
cancer staging and to establish a histopathologic correlation. METHODS AND
MATERIALS: A retrospective analysis was performed on 120 patients aged between 43
and 87 with histologically proven rectal cancer who underwent MRI for
preoperative staging in our hospital between 2005 and 2010. Patients were
categorized according to the TNM classification. RESULTS: Eighty of 120 patients
underwent adjuvant chemoradiotheraphy because they had advanced disease (T3-T4),
lymph node involvement (N1-N2) or resectable metastases. With 40 patients who
didn't undergo neoadjuvant therapy we performed a correlation between MRI and
histopathological findings with a diagnostic accuracy in predicting T stage of
72%, N stage of 60% and distance to the mesorectal fascia of 87,5%. CONCLUSION:
Pelvic MRI is a useful technique for locoregional staging of rectal cancer with a
good radiopathologic correlation although the identification of nodal disease is
still a diagnostic problem.
PMID- 21904401
TI - [Glenohumeral osteometry-scapulometry in anterior shoulder instabilities. Study
of one of the static stabilizers through computer tomography].
AB - We have carried out a scapulometric study, using CT-scan, of 98 shoulders: 36
with recurrent anterior shoulder dislocation (RAD), 37 stable contralateral
shoulders (CSS) and 25 normal shoulders (NS). Six parameters were evaluated:
Horizontal and Vertical glenohumeral index, glenoid tilt, anteversion angle of
the scapula, glenoid angle and humeral retroversion. We found statistically
significant differences between the RAD and CSS groups in the horizontal
glenohumeral index. Both the RAD and CSS groups showed significant differences in
comparison with the NS group in the horizontal glenohumeral index, glenoid tilt
and anteversion angle of the scapula. An imbalance of the head-glenoid size and
the anterior glenoid tilt are the anatomical factors which favour instability.
The determination of these three parameters has great value when assessing
patients with anterior shoulder instability. Our results confirm that although
the aetiology of anterior glenohumeral instability is multifactorial, there is an
anatomical congenital predisposition which favours instability and this
predisposition affects (to a lesser extent) the stable contralateral side,
confirming the role of subtle congenital dysplasic theory. In addition the
following were revealed as relevant parameters in the study of anterior
instability: the horizontal glenohumeral index, glenoid tilt and angle of
anteversion of the scapula; while the value of the humeral retroversion is under
discussion.
PMID- 21904402
TI - [Impact of universal vaccination against chicken pox in Navarre, 2006-2010].
AB - BACKGROUND: In 2007 universal vaccination against chicken pox was introduced in
the vaccine calendar of Navarre. The aim of this study is to evaluate the impact
of this measure on the incidence of chicken pox in both the vaccinated cohorts
(direct effect) and in the unvaccinated cohorts (indirect effect). MATERIAL AND
METHODS: Chicken pox is a disease of individualized compulsory notification. We
analyzed the annual incidence by age groups between 2006 and 2010. Hospital
admittances with chicken pox or complicated chicken pox as the principal
diagnosis were taken from the minimum basic data set on hospital discharges for
the years 2006 to 2009. RESULTS: The incidence of chicken pox has fallen by
93.0%, from 8.04 cases per 1,000 inhabitants in 2006 to 0.56 per 1,000
inhabitants in 2010 (p<0,0001). In children from 1 to 6 years (vaccinated
cohorts), the incidence of chicken pox has fallen by 96.3%. In the cohorts
vaccinated at 10 and 14 years, a fall of 93.6% can also be observed in children
from 10 to 14 years, and of 85.0% in those of 15 to 19 years. In the unvaccinated
age groups we can observe falls of 88.2% in children under one year, of 73.3% in
those of 7 to 9 years, and of 84.6% in people over 20 years. In 2006 there were
25 hospital admissions due to chicken pox in Navarre and in 2009 this figure fell
to 7. The rate of admissions fell by 71%. CONCLUSION: The introduction of
universal chicken pox vaccination in Navarre has resulted in a rapid and very
steep reduction of the incidence of chicken pox in both vaccinated and
unvaccinated people.
PMID- 21904403
TI - [Factors associated with unusually long stays in heart failure hospitalizations
in Spain].
AB - BACKGROUND: Heart failure is a process of high prevalence that causes repeated
hospital admissions with increased health care costs. The aim of this article is
to describe and characterize the cases with long stays due to this syndrome,
identifying associated factors wherever possible. METHODS: An historical cohort
of all the episodes of people over 45 years with a diagnosis of heart failure
admitted in the Spanish Public Health System in the period 1997-2007. SOURCE:
808,229 episodes classified as Diagnosis Related Groups 127 and 544 according to
the Minimum Basic Data provided by the Institute for Health Information. We
assessed sociodemographic variables (age, gender, region), clinical variables
(comorbidities, complications, type of admission and discharge) and management
variables (length of stay, type of hospital readmissions). An abnormally
prolonged stay (APS) was defined as one exceeding the 90th percentile (14 and 16
days, respectively); we built a logistic regression model to assess their
possible associated factors. RESULTS: Eleven point four percent (11.4%) presented
abnormally prolonged stays, showing lower mean age and increased number of
diagnoses and procedures, readmissions and mortality than the non-abnormally
prolonged stay group. Anemia, kidney failure, pulmonary embolism or stroke as
well as readmission and scheduled admission were associated with increased
likelihood of APS. CONCLUSION: It is possible to define a comorbidities and
sociodemographic profile to assess the likelihood of a prolonged hospital stay,
but given the nature of administrative database the model's discriminative
ability is quite discreet.
PMID- 21904404
TI - [Molecular subtypes of breast cancer: prognostic implications and clinical and
immunohistochemical characteristics].
AB - BACKGROUND: Breast carcinomas are a heterogeneous group of tumours, in both their
clinical behavior and their prognosis. The aim of this article is to classify
breast carcinomas according to molecular subtypes by means of immunohistochemical
markers and to analyse the clinicopathological and immunohistochemical
characteristics and the patterns of survival and relapse of the different
subtypes. METHODS: Two hundred and seventy-two patients diagnosed with breast
cancer were classified into five subtypes: breast carcinomas of the basal type,
HER2 type, luminal A type, luminal B type and normal. RESULTS: The most frequent
breast carcinomas were: luminal A type carcinomas (62.5%), luminal B type
carcinomas (18%), HER2 type carcinomas (9.9%), basal type carcinomas (8.4%) and
normal phenotype carcinomas (1.4%). Significantly and with greater frequency, the
luminal type breast carcinomas proved to be well differentiated tumours, of small
tumoral size, with negative axillary ganglions, at an early stage at the time of
diagnosis, with high levels of BCL-2 and a low Ki-67 proliferation index. On the
contrary, the basal type and HER2 carcinomas presented larger tumours, poorly
differentiated, greater ganglionar involvement and more advanced stages at the
time of diagnosis. They expressed high Ki-67 proliferation indexes with greater
frequency and were the subtypes that showed a worse prognosis on global survival
and progression-free survival curves. CONCLUSION: Breast cancer classification
based on immunohistochemical (IHC) parameters makes a better prognostic
definition possible. Both the basal type and the HER2 type breast carcinomas
present more unfavourable histopathological and IHC characteristics, as well as a
worse survival and less relapse time, while the luminal type breast carcinomas
show more benign characteristics and a better prognosis.
PMID- 21904405
TI - [Facilitators in the implantation of telemedicine services. Perspective of
professionals involved in its design and implementation].
AB - BACKGROUND: Given the difficulties encountered by Telemedicine for final
incorporation into clinical practice and given the lack of scientific evidence
regarding the most appropriate implementation strategies, it is necessary to
collect and disseminate lessons gained from experience in its introduction and
diffusion in our health system. The aim of this study is to identify the
facilitators perceived by professionals who actively participate in the design
and implementation of telemedicine projects in the health care system. METHODS:
Qualitative study of data from semi-structured interviews with 17 key informants
belonging to different Catalan health organizations. RESULTS: The identified
facilitators are grouped in four broad areas: a TM service that meets a need
clearly perceived by practitioners; a core leadership with a clinical profile,
managing an open, participatory and flexible model that takes into account the
needs of professionals; the ability to establish partnerships with different
stakeholders beyond the customer-supplier relationship; and the inclusion in the
initial design of a strategy for sustainability and normalization. CONCLUSIONS:
Understanding the facilitators and barriers that appear in the process of
implementing TM experiences in health care organizations becomes an item of high
value for its final introduction. An approach combining the evidence on clinical
effectiveness and cost-benefit with lessons learned about the dynamics of
implementation and normalization will allow for a holistic understanding of the
adoption of the TM and provide guidance for improving its organizational
management.
PMID- 21904406
TI - [The role of the DOG1 antibody in the diagnosis of gastrointestinal stromal
tumours - GIST].
AB - Gatrointestinal stromal tumours (GIST) harbour oncogenic mutations in tyrosin
kynases receptors (RTKs) including KIT and PDGFRA. The inhibition of this
activity has been regarded as the primary target for the treatment of these
patients. Diagnosis of GIST relies on c-KIT inmunoreactivity; however there is a
4-15% of GISTs that are C-KIT negative which may lead to underdiagnosis of GISTs
and possible withholding of therapy. The novel gene DOG1 has been found
overexpressed in GISTs and has potential as a diagnostic marker for GISTs showing
even more sensitivity (Se) and specificity (Sp) than c-KIT for the diagnosis of
these tumors. In this study we compared the (Se) and (Sp) of DOG1 in typical and
atypical GISTs (c-KIT positive or negative) with c-KIT and other mesenchymal
neoplasms in the differential diagnosis of GISTs We examined 40 GIST (39 showed
inmunoreactivity for c-KIT and one was c-KIT negative) and another seven fusiform
tumors. An inmunohistochemical panel was performed with c-KIT, CD34, smooth
muscle actin, DOG1 and S100 antibodies on both types of neoplasms. The overall Se
and Sp of DOG1 and KIT in GISTs were nearly identical: 100 and 97,5%. Negativity
for DOG1 was observed in all fusiform mesenchymal neoplasms. DOG1 is highly
expressed in GIST and its expression seems quite specific for these tumours when
the differential diagnosis includes another mesenchymal neoplasms. DOG1 should be
added to the diagnostic panel evaluating GISTs.
PMID- 21904407
TI - [Psychopathological comorbidity of obesity].
AB - Obesity is one of the most important health problems in the early twenty-first
century as it affects millions of people around the world and remains a major
cause of mortality. At present there is no clear link between obesity and
psychopathology, and whether the possible psychological disorders are a cause or
a consequence of obesity has not yet been clarified either. This paper reviews
some recent contributions (2007-2010) related to the comorbidity between
psychopathology and obesity. It can be concluded from this analysis that certain
psychological disorders seem to be more common in obese people, such as
depression, anxiety, substance abuse, etc., although the direction of the
explanatory relation is not clear; moreover, the opposite result has also been
found. The evidence of likely comorbid psychopathology means that the
practitioner must consider its assessment in obesity cases, and include a plan
for a psychiatric and/or psychological therapeutic intervention.
PMID- 21904408
TI - [Emergent drugs (I): smart drugs].
AB - In recent years, a series of new drugs, known as smart drugs or legal highs, have
gaining in popularity. They are easily obtainable through online shops. This is
happening amongst younger segments of the population and is associated with
recreational consumption, at weekends. In general, they are synthetic derivatives
of natural products. There has been hardly any clinical research into them and
they are not detectable in hospital laboratories. Three of these products, BZP (1
benzylpiperazine), mefedrone (4-methylmethcathinone) and Spice are probably the
most widely used in Europe. The first two are consumed as an alternative to
ecstasy and cocaine and are characterized by their producing a clinical profile
of a sympathetic mimetic type; on occasion, they have serious consequences, with
convulsions and even death. Spice (a mixture of herbs with synthetic cannabinoids
such as JWH-018, JWH-073 and CP 47497-C8) is giving rise to profiles of
dependence and schizophrenia. Although the emergent drugs have an aura of safety,
there is an increasing amount of experience on their secondary effects.
PMID- 21904409
TI - [Multidisciplinary management of ovarian epithelial cancer. Radiological
diagnosis].
AB - In the context of a multidisciplinary approach for the diagnosis and treatment of
ovarian cancer, the contribution of radiology includes the following four
fundamental points: identification of the lesion, its characterization, study of
its extension and evaluation of its evolution. This article describes the
findings and key diagnostic elements in MDCT (Multidetector Computed Tomography)
and MR (Magnetic Resonance), the contribution and indication of other
technologies like PET (Positron Emission Tomography), and outlines the emergent
techniques based on functional image analysis (dynamic contrast-enhanced MRI
studies and MRI diffusion studies).
PMID- 21904410
TI - Cuboid-navicular tarsal coalition in an athlete.
PMID- 21904411
TI - [Influenza A. Act two. Suitability of request for PCR for Influenza A (H1N1) in
an emergency service department].
PMID- 21904412
TI - [Right aortic arch, Kommerell's diverticulum and aberrant left subclavian
artery].
AB - The right aberrant subclavian artery or "arteria lusoria" is the most common
anatomical variant of the embryonic development of the aorta and its branches,
with a presence in 0.5-2% of the population. Less frequently, a right aortic arch
with aberrant left subclavian artery may be present. These anatomical variations
should be included in the differential diagnosis of superior mediastinal widening
seen on chest radiographs. In this report, we present a right aortic arch with
left aberrant subclavian artery dilated at its origin (Kommerell's diverticulum)
as a cause of superior mediastinal widening detected incidentally on a chest
radiograph.
PMID- 21904413
TI - Nodular glomerulosclerosis in a non-diabetic hypertensive smoker with
dyslipidemia.
AB - Nodular glomerulosclerosis may be idiopathic or develop associated with diabetes
mellitus, membranoprolipherative glomerulonephritis, light or heavy chain
deposits, amyloidosis, fibrillary or immunotactoide disease, and Takayasu's
arteritis. Histological features of idiopathic nodular glomerulosclerosis are
similar to the Kimmelstiel-Wilson changes. Recent evidence points to the role of
hyperglycemia, hyperlipidemia, hypertension and smoking in the mechanisms of this
uncommon condition. The case study of a 65-year-old male presenting recent
arterial hypertension and nodular non-diabetic glomerulosclerosis is described,
and the possible role of heavy smoking in the pathogenesis of this condition is
emphasized.
PMID- 21904414
TI - [Complete response in a patient with a metastatic cutaneous melanoma].
AB - BACKGROUND: The management of patients with disseminated disease is a difficult
problem. There is currently no consensus on the standard first-line treatment for
metastatic melanoma. We present a case because of his exceptional evolution.
RESULTS: A 43 year old male diagnosed in 1999 with malignant melanoma stage IIA.
In May 2000 hepatic and splenic metastases were detected. He received 6 cycles of
biochemotherapy (cisplatin and DTIC, plus interleukin-2 and interferon-alpha) and
another 6 cycles with single immunotherapy (interleukin-2 and interferon-alpha).
Today, the patient is still alive and without evidence of disease. CONCLUSION:
Metastatic cutaneous melanoma, sometimes presents an unusual, favourable
evolution. In the near future, the methods of detection of molecular markers are
expected to identify factors involved in this type of response. Furthermore, new
targeted therapies may become essential to maintain this positive trend.
PMID- 21904415
TI - [Bilateral posterior scleritis].
AB - Posterior scleritis is an inflammatory process of the posterior part of the
sclera. Its prevalence is very low and its diagnosis can be complicated due to
the absence of external ocular signs. It is more frequent in women. In young
patients it does not usually have other associated pathologies, but in those over
55 years nearly one-third of the cases have a relation with some systemic
disease, above all rheumatoid arthritis. The diagnosis of this pathology can
require a multidisciplinary approach and the collaboration of ophthalmologists
with neurologists, internists or rheumatologists. This article describes a case
of idiopathic bilateral posterior scleritis.
PMID- 21904416
TI - [The use of SWI-MRI to differentiate between seizures and transient ischemic
attacks in a patient with cerebral amyloid angiopathy].
AB - BACKGROUND: Cerebral amyloid angiopathy (CAA) is characterized by the deposit of
beta-amyloid on the walls of small and medium-sized arteries of the cerebral
cortex and leptomeninges causing cerebral bleeding. Clinical presentations may
include transient neurological events for which differential diagnosis can be
difficult. CASE REPORT: We report a subject with a medical history of a recent
stroke who presented somesthetic seizures mimicking transient ischemic attacks
owing to CAA microbleeding. Antiplatelet treatment was reduced and after
lamotrigine was commenced the episodes disappeared. Susceptibility-weighted
magnetic resonance imaging was very helpful for diagnosis (SWI-MRI). CONCLUSIONS:
CAA microbleeding can be manifested in the form of seizures mimicking focal
transient sensitive neurological deficits that can be erroneously attributed to
cerebral ischemia. The present case report suggests that, despite the presence of
a past medical history of strokes, neurologists should consider CAA microbleeding
as a possible cause of pseudo-transient ischemic attacks. High-resolution
neuroimaging including SWI-MRI imaging can be helpful in identifying cortical
microbleedings. In this way, the start or increase of antiplatelet treatment can
be avoided, and the risk of potentially fatal complications minimized.
PMID- 21904417
TI - Transannular Anti-Michael Addition: Formation of 4H-Pyrazolo[5,1-c]thiazines.
AB - The reaction of 2-(diphenylmethylene)thietan-3-one (2) with 1,2,4,5-tetrazines
(3a-c) in KOH/MeOH/THF gives 4H-pyrazolo[5,1-c]thiazines (7a-c). This no vel
condensation reaction proceeds via the intermediacy of an 8-(diphenylmethylene)
2H-1,4,5-thiadiazocin-7(8H)-one (5), which undergoes a multi-step rearrangement
including a rare anti-Michael addition.
PMID- 21904418
TI - Rejoinder.
PMID- 21904419
TI - A Descriptive Study of Home Modifications for People with Dementia and Barriers
to Implementation.
AB - This study describes home environmental features, safety issues, and health
related modifications in a community dwelling sample of 82 elderly people with
dementia. Main barriers to the accessibility of the homes were steps, both inside
and outside the house. The majority of the caregivers had made home
modifications, which pertained mainly to physical limitations. Home modifications
to support cognitive deficits were made to a lesser extent. The main barrier to
the implementation of home modifications to accommodate the care recipient's
memory loss was skepticism about their usefulness. Regarding the removal of
physical barriers, financial constraints were most frequently mentioned.
PMID- 21904420
TI - The Neuropathology of Developmental Dysphasia: Behavioral, Morphological, and
Physiological Evidence for a Pervasive Temporal Processing Disorder.
AB - Over the past twenty years, Tallal and colleagues have directed their research
toward defining the neuropathological mechanisms responsible for developmental
dysphasia. We have hypothesized that higher level auditory processing
dysfunction, which has previously been associated with developmental dysphasia,
may result from more basic temporal processing deficits which interfere with the
resolution of rapidly presented, brief duration stimuli. This temporal processing
deficit interferes with adequate perception of specific verbal stimuli which
require resolution of brief duration formant transitions, resulting in disordered
language development. The temporal processing deficit occurs across multiple
sensory modalities, and also affects rapid and sequential motor production
skills. Despite relatively normal clinical neuroradiological examinations, in
vivo morphological analysis, utilizing magnetic resonance imaging techniques for
quantitative volumetric measurements of specific brain structures, has identified
abnormalities in superior parietal, prefrontal, and temporal cortices, as well as
diencephalic and caudate nuclei. Abnormalities in structures which are involved
in multimodal processing and sensory motor integration is consistent with the
behavioral profile of developmental dysphasia. Two alternative hypotheses
regarding the neurophysiological basis of the multimodal temporal processing
disorder include: dysfunction in specifc cellular systems which subserve rapid,
transient processing; and abnormal gating of sensory relay by intralaminar and
reticular thalamic nuclei.
PMID- 21904421
TI - Catalytic Addition of Simple Alkenes to Carbonyl Compounds Using Group 10 Metals.
AB - Recent advances using nickel complexes in the activation of unactivated
monosubstituted olefins for catalytic intermolecular carbon-carbon bond-forming
reactions with carbonyl compounds, such as simple aldehydes, isocyanates, and
conjugated aldehydes and ketones, are discussed. In these reactions, the olefins
function as vinyl- and allylmetal equivalents, providing a new strategy for
organic synthesis. Current limitations and the outlook for this new strategy are
also discussed.
PMID- 21904422
TI - Homology modeling and consensus protein disorder prediction of human filamin.
AB - Filamins are dimeric actin-binding proteins participating in the organization of
the actin-based cytoskeleton. Their modular domain organization is made up of an
N-terminal actin-binding domain composed of two CH domains followed by flexible
rod regions that consist of 24 Ig-like domains. Homology modeling was used to
model human filamin using Modeller 9v5. The resulting model assessed by Verify 3D
and PROCHECK showed that the final model is reliable. The conformational disorder
prediction of human filamin residues were also mapped on the validated structure
of human filamin. Prediction of protein disorder in filamin structures will help
structural biologists to find suitable targets to be analyzed and for
understanding protein function.
PMID- 21904423
TI - Identification of Escherichia coli through analysis of 16S rRNA and 16S-23S rRNA
internal transcribed spacer region sequences.
AB - A bacterial strain, designated BzDS03 was isolated from water sample, collected
from Dal Lake Srinagar. The strain was characterized by using 16S ribosomal RNA
gene and 16S-23S rRNA internal transcribed spacer region sequences. Phylogenetic
analysis showed that 16S rRNA sequence of the isolate formed a monophyletic clade
with genera Escherichia. The closest phylogenetic relative was Escherichia coli
with 99% 16S rRNA gene sequence similarity. The result of Ribosomal database
project's classifier tool revealed that the strain BzDS03 belongs to genera
Escherichia.16S rRNA sequence of isolate was deposited in GenBank with accession
number FJ961336. Further analysis of 16S-23S rRNA sequence of isolate confirms
that the identified strain BzDS03 be assigned as the type strain of Escherichia
coli with 98% 16S-23S rRNA sequence similarity. The GenBank accession number
allotted for 16S-23S rRNA intergenic spacer sequence of isolate is FJ961337.
PMID- 21904424
TI - Selection of herbal therapeutics against deltatoxin mediated Clostridial
infections.
AB - Clostridium perfringens (a versatile pathogenic bacterium) secretes enterotoxins
(the deltatoxin, virulent factor) and causes food borne gastroenteritis and
gasgangrene. The organism was isolated and characterized from improperly cooked
meat and poultry samples. The isolated organism showed multiple drug resistance
indicating that the treatment is challenging. Hence, there is need for improved
therapeutic agents. The rational design of improved therapeutics requires the
crystal structure for the toxin. However, the structure for the toxin is not yet
available in its native form. Thus, we modeled the toxin structure using alpha-
hemolysin of Staphylococcus aureus (PDB: 3M4D chain A) as template. The docking
of the toxin with the herbal extract curcumin (1,7-bis(4-hydroxy-3-
methoxyphenyl)hepta-1,6-diene-3,5-dione) showed a binding energy of -8.6
Kcal/mol, in comparison to the known antibiotic Linezolid with binding energy of
6.1 Kcal/mol. This data finds application in the design and development of novel
compounds against the deltatoxin from Clostridium perfringens.
PMID- 21904425
TI - SSPred: A prediction server based on SVM for the identification and
classification of proteins involved in bacterial secretion systems.
AB - Protein secretion systems used by almost all bacteria are highly significant for
the normal existence and interaction of bacteria with their host. The
accumulation of genome sequence data in past few years has provided great
insights into the distribution and function of these secretion systems. In this
study, a support vector machine (SVM)- based method, SSPred was developed for the
automated functional annotation of proteins involved in secretion systems further
classifying them into five major sub-types (Type-I, Type-II, Type-III, Type-IV
and Sec systems). The dataset used in this study for training and testing was
obtained from KEGG and SwissProt database and was curated in order to avoid
redundancy. To overcome the problem of imbalance in positive and negative
dataset, an ensemble of SVM modules, each trained on a balanced subset of the
training data were used. Firstly, protein sequence features like amino-acid
composition (AAC), dipeptide composition (DPC) and physico-chemical composition
(PCC) were used to develop the SVM-based modules that achieved an average
accuracy of 84%, 85.17% and 82.59%, respectively. Secondly, a hybrid module
(hybrid-I) integrating all the previously used features was developed that
achieved an average accuracy of 86.12%. Another hybrid module (hybrid-II)
developed using evolutionary information of a protein sequence extracted from
position-specific scoring matrix and amino-acid composition achieved a maximum
average accuracy of 89.73%. On unbiased evaluation using an independent data set,
SSPred showed good prediction performance in identification and classification of
secretion systems. SSPred is a freely available World Wide Web server at
http//www.bioinformatics.org/sspred.
PMID- 21904426
TI - PDBToSDF: Create ligand structure files from PDB file.
AB - Protein Data Bank (PDB) file contains atomic data for protein and ligand in
protein-ligand complexes. Structure data file (SDF) contains data for atoms,
bonds, connectivity and coordinates of molecule for ligands. We describe PDBToSDF
as a tool to separate the ligand data from pdb file for the calculation of ligand
properties like molecular weight, number of hydrogen bond acceptors, hydrogen
bond receptors easily.
PMID- 21904427
TI - RegStatGel: proteomic software for identifying differentially expressed proteins
based on 2D gel images.
AB - Image analysis of two-dimensional gel electrophoresis is a key step in proteomic
workflow for identifying proteins that change under different experimental
conditions. Since there are usually large amount of proteins and variations shown
in the gel images, the use of software for analysis of 2D gel images is
inevitable. We developed open-source software with graphical user interface for
differential analysis of 2D gel images. The user-friendly software, RegStatGel,
contains fully automated as well as interactive procedures. It was developed and
has been tested under Matlab 7.01. AVAILABILITY: The database is available for
free at http://www.mediafire.com/FengLi/2DGelsoftware.
PMID- 21904428
TI - A web accessible resource for investigating cassava phenomics and genomics
information: BIOGEN BASE.
AB - The goal of our research is to establish a unique portal to bring out the
potential outcome of the research in the Casssava crop. The Biogen base for
cassava clearly brings out the variations of different traits of the germplasms,
maintained at the Tapioca and Castor Research Station, Tamil Nadu Agricultural
University. Phenotypic and genotypic variations of the accessions are clearly
depicted, for the users to browse and interpret the variations using the
microsatellite markers. Database (BIOGEN BASE - CASSAVA) is designed using PHP
and MySQL and is equipped with extensive search options. It is more user-friendly
and made publicly available, to improve the research and development of cassava
by making a wealth of genetics and genomics data available through open, common,
and worldwide forum for all individuals interested in the field. AVAILABILITY:
The database is available for free at
http://www.tnaugenomics.com/biogenbase/casava.php.
PMID- 21904429
TI - Mycobacteriophage genome database.
AB - Mycobacteriophage genome database (MGDB) is an exclusive repository of the 64
completely sequenced mycobacteriophages with annotated information. It is a
comprehensive compilation of the various gene parameters captured from several
databases pooled together to empower mycobacteriophage researchers. The MGDB
(Version No.1.0) comprises of 6086 genes from 64 mycobacteriophages classified
into 72 families based on ACLAME database. Manual curation was aided by
information available from public databases which was enriched further by
analysis. Its web interface allows browsing as well as querying the
classification. The main objective is to collect and organize the complexity
inherent to mycobacteriophage protein classification in a rational way. The other
objective is to browse the existing and new genomes and describe their functional
annotation. AVAILABILITY: The database is available for free at
http://mpgdb.ibioinformatics.org/mpgdb.php.
PMID- 21904430
TI - Insights from the molecular docking of withanolide derivatives to the target
protein PknG from Mycobacterium tuberculosis.
AB - A crucial virulence factor for intracellular Mycobacterium tuberculosis survival
is Protein kinase G (PknG), a eukaryotic-like serinethreonine protein kinase
expressed by pathogenic mycobacteria that blocks the intracellular degradation of
mycobacteria in lysosomes. Inhibition of PknG results in mycobacterial transfer
to lysosomes. Withania somnifera, a reputed herb in ayurvedic medicine, comprises
a large number of steroidal lactones known as withanolides which show various
pharmacological activities. We describe the docking of 26 withanferin and 14
withanolides from Withania somnifera into the three dimensional structure of PknG
of M. tuberculosis using GLIDE. The inhibitor binding positions and affinity were
evaluated using scoring functions- Glidescore. The withanolide E, F and D and
Withaferin - diacetate 2 phenoxy ethyl carbonate were identified as potential
inhibitors of PknG. The available drug molecules and the ligand AX20017 showed
hydrogen bond interaction with the aminoacid residues Glu233 and Val235. In
addition to Val235 the other amino acids, Gly237, Gln238 and Ser239 are important
for withanolide inhibitor recognition via hydrogen bonding mechanisms.
PMID- 21904431
TI - Functional co-evolutionary study of glucosamine-6-phosphate synthase in mycoses
causing fungi.
AB - Invasive fungal opportunistic infections or mycoses have been on the rise with
increase in the number of immuno-compromised patients accounting for associated
high morbidity and mortality rates. The antifungal drugs are not completely
effective due to increased resistance and varied susceptibility of fungi. Hence,
the functional diversification study of novel targets has to be carried out. The
enzyme glucosamine-6-phosphate synthase [EC 2.6.1.16], a novel drug target,
catalyzes the rate-limiting step of the fungal cell-wall biosynthetic pathway,
comprising four conserved domains, two glutaminase and sugar-isomerising (SIS)
domains with active site. The amino acids within these domains tend to mutate
simultaneously and exert mutual selective forces which might result in untoward
fungal adaptations that are fixed through random genetic drift over time. The
current study is an attempt to investigate such 'non-independent' coevolving
residues which play critical functional and structural role in the protein.
Residues with Shannon entropy ?1 (calculated by the Protein Variability Server)
were considered and subsequently, positional correlations were estimated by
InterMap3D 1.3 server. It was observed that majority of coevolving pairs of first
SIS domain involved interactions with hydrophobic leucine and found to be
spatially coupled in 3-dimensional structure of the enzyme. The coevolving groups
of Aspergillus niger and Rhizopus oryzae species might play a role in drug
resistance. Such coevolutionary analysis is important for understanding the
receptor-ligand interactions and effective drug designing.
PMID- 21904432
TI - A comprehensive molecular interaction map for Hepatitis B virus and drug
designing of a novel inhibitor for Hepatitis B X protein.
AB - Hepatitis B virus (HBV) infection is a leading source of liver diseases such as
hepatitis, cirrhosis and hepatocellular carcinoma. In this study, we use
computation methods in order to improve our understanding of the complex
interactions that occur between molecules related to Hepatitis B virus (HBV). Due
to the complexity of the disease and the numerous molecular players involved, we
devised a method to construct a systemic network of interactions of the processes
ongoing in patients affected by HBV. The network is based on high-throughput
data, refined semi-automatically with carefully curated literature-based
information. We find that some nodes in the network that prove to be
topologically important, in particular HBx is also known to be important target
protein used for the treatment of HBV. Therefore, HBx protein is the preferential
choice for inhibition to stop the proteolytic processing. Hence, the 3D structure
of HBx protein was downloaded from PDB. Ligands for the active site were designed
using LIGBUILDER. The HBx protein's active site was explored to find out the
critical interactions pattern for inhibitor binding using molecular docking
methodology using AUTODOCK Vina. It should be noted that these predicted data
should be validated using suitable assays for further consideration.
PMID- 21904433
TI - Insights from the analysis of conserved motifs and permitted amino acid exchanges
in the human, the fly and the worm GPCR clusters.
AB - G-protein coupled receptors (GPCRs) belong to biologically important and
functionally diverse and largest super family of membrane proteins. GPCRs retain
a characteristic membrane topology of seven alpha helices with three
intracellular, three extracellular loops and flanking N' and C' terminal
residues. Subtle differences do exist in the helix boundaries (TM-domain), loop
lengths, sequence features such as conserved motifs, and substituting amino acid
patterns and their physiochemical properties amongst these sequences (clusters)
at intra-genomic and inter-genomic level (please re-phrase into 2 statements for
clarity). In the current study, we employ prediction of helix boundaries and
scores derived from amino acid substitution exchange matrices to identify the
conserved amino acid residues (motifs) as consensus in aligned set of homologous
GPCR sequences. Co-clustered GPCRs from human and other genomes, organized as 32
clusters, were employed to study the amino acid conservation patterns and species
specific or cluster-specific motifs. Critical analysis on sequence composition
and properties provide clues to connect functional relevance within and across
genome for vast practical applications such as design of mutations and
understanding of disease-causing genetic abnormalities.
PMID- 21904434
TI - Molecular modeling of human neutral sphingomyelinase provides insight into its
molecular interactions.
AB - The neutral sphingomyelinase (N-SMase) is considered a major candidate for
mediating the stress-induced production of ceramide, and it plays an important
role in cell-cycle arrest, apoptosis, inflammation, and eukaryotic stress
responses. Recent studies have identified a small region at the very N-terminus
of the 55 kDa tumour necrosis factor receptor (TNF-R55), designated the neutral
sphingomyelinase activating domain (NSD) that is responsible for the TNF-induced
activation of N-SMase. There is no direct association between TNF-R55 NSD and N
SMase; instead, a protein named factor associated with N-SMase activation (FAN)
has been reported to couple the TNF-R55 NSD to N-SMase. Since the three
dimensional fold of N-SMase is still unknown, we have modeled the structure using
the protein fold recognition and threading method. Moreover, we propose models
for the TNF-R55 NSD as well as the FAN protein in order to study the structural
basis of N-SMase activation and regulation. Protein-protein interaction studies
suggest that FAN is crucially involved in mediating TNF-induced activation of the
N-SMase pathway, which in turn regulates mitogenic and proinflammatory responses.
Inhibition of N-SMase may lead to reduction of ceramide levels and hence may
provide a novel therapeutic strategy for inflammation and autoimmune diseases.
Molecular dynamics (MD) simulations were performed to check the stability of the
predicted model and protein-protein complex; indeed, stable RMS deviations were
obtained throughout the simulation. Furthermore, in silico docking of low
molecular mass ligands into the active site of N-SMase suggests that His135,
Glu48, Asp177, and Asn179 residues play crucial roles in this interaction. Based
on our results, these ligands are proposed to be potent and selective N-SMase
inhibitors, which may ultimately prove useful as lead compounds for drug
development.
PMID- 21904435
TI - Remote homologue identification of Drosophila GAGA factor in mouse.
AB - GAGA factor (GAF) is involved in both gene activation and gene repression and
plays a role in the modulation of chromatin structure. In Drosophila, Trithroax
like (Trl) gene encodes the DNA binding protein called GAGA factor (GAF). Trl-GAF
binds to GAGA sites through its C2H2 zinc finger domain and has an N-terminal
BTB/POZ domain. Identification of Trl-GAF homologue in mouse helps in deeper
understanding of the mechanism and function. Conventional alignment tools such as
BLAST and FASTA cannot identify homologues in mouse genome as their sequence
identity is below 30%. In the present study, various sequence and structure
analyses were followed for the detection of remote homologues of Drosophila GAGA
FACTOR in mouse to identify as Zbtb3. Through homology modeling and docking
approach, the zinc finger region of mouse Zbtb3 showed conserved residues and
favorable DNA binding sites with GAGA sites similar to that of Drosophila GAGA
FACTOR.
PMID- 21904436
TI - Adaptive thresholds to detect differentially expressed genes in microarray data.
AB - To detect changes in gene expression data from microarrays, a fixed threshold for
fold difference is used widely. However, it is not always guaranteed that a
threshold value which is appropriate for highly expressed genes is suitable for
lowly expressed genes. In this study, aiming at detecting truly differentially
expressed genes from a wide expression range, we proposed an adaptive threshold
method (AT). The adaptive thresholds, which have different values for different
expression levels, are calculated based on two measurements under the same
condition. The sensitivity, specificity and false discovery rate (FDR) of AT were
investigated by simulations. The sensitivity and specificity under various noise
conditions were greater than 89.7% and 99.32%, respectively. The FDR was smaller
than 0.27. These results demonstrated the reliability of the method.
PMID- 21904437
TI - ValFold: Program for the aptamer truncation process.
AB - DNA or RNA aptamers have gained attention as the next generation antibody-like
molecules for medical or diagnostic use. Conventional secondary structure
prediction tools for nucleic acids play an important role to truncate or minimize
sequence, or introduce limited chemical modifications without compromising or
changing its binding affinity to targets in the design of improved aptamers
selected by Systematic Evolution of Ligands by EXponential enrichment (SELEX). We
describe a novel software package, ValFold, capable of predicting secondary
structures with improved accuracy based on unique aptamer characteristics.
ValFold predicts not only the canonical Watson-Crick pairs but also G-G pairs
derived from G-quadruplex (known structure for many aptamers) using the stem
candidate selection algorithm. AVAILABILITY: The database is available for free
at http://code.google.com/p/valfold/
PMID- 21904438
TI - Antagomirbase- a putative antagomir database.
AB - The accurate prediction of a comprehensive set of messenger putative antagomirs
against microRNAs (miRNAs) remains an open problem. In particular, a set of
putative antagomirs against human miRNA is predicted in this current version of
database. We have developed Antagomir database, based on putative antagomirs
miRNA heterodimers. In this work, the human miRNA dataset was used as template to
design putative antagomirs, using GC content and secondary structures as
parameters. The algorithm used predicted the free energy of unbound antagomirs.
Although in its infancy the development of antagomirs, that can target cell
specific genes or families of genes, may pave the way forward for the generation
of a new class of therapeutics, to treat complex inflammatory diseases. Future
versions need to incorporate further sequences from other mammalian homologues
for designing of antagomirs for aid in research. AVAILABILITY: The database is
available for free at
http://bioinfopresidencycollegekolkata.edu.in/antagomirs.html.
PMID- 21904439
TI - DEB: A web interface for RNA-seq digital gene expression analysis.
AB - Digital expression (DE) is an important application of RNA-seq technology to
quantify the transcriptome. The number of mapped reads to each transcript or gene
varies under different conditions and replicates. Currently, three different
statistical algorithms (edgeR, DESeq and bayseq) are available as R packages, to
compare the reads to identify significantly expressed transcripts or genes. So
far, users have to manually install and run each R package separately. It is also
of users' interest to compare the results of different approaches. Here, we
present a pipeline DEB which automates all the steps in file preparation,
computation and result comparison. AVAILABILITY: The database is available for
free at http://www.ijbcb.org/DEB/php/onlinetool.php.
PMID- 21904440
TI - Penalized variable selection with U-estimates.
AB - U-estimates are defined as maximizers of objective functions that are U
statistics. As an alternative to M-estimates, U-estimates have been extensively
used in linear regression, classification, survival analysis, and many other
areas. They may rely on weaker data and model assumptions and be preferred over
alternatives. In this article, we investigate penalized variable selection with U
estimates. We propose smooth approximations of the objective functions, which can
greatly reduce computational cost without affecting asymptotic properties. We
study penalized variable selection using penalties that have been well
investigated with M-estimates, including the LASSO, adaptive LASSO, and bridge,
and establish their asymptotic properties. Generically applicable computational
algorithms are described. Performance of the penalized U-estimates is assessed
using numerical studies.
PMID- 21904441
TI - Abdominal-pelvic actinomycosis mimicking malignant neoplasm.
AB - Abdominal-pelvic actinomycosis is often mistaken for other conditions, presenting
a preoperative diagnostic challenge. In a 46-year-old female, computed tomography
showed an abdominal-pelvic retroperitoneal mass extending from the lower pole of
the right kidney to the lower pelvis. The patient had a 3-year history of
intrauterine device. The mass appeared to involve the ascending colon, cecum,
distal ileum, right Fallopian tube and ovary, and ureter anteriorly and the psoas
muscle posteriorly. The resection of retroperitoneal mass, distal ileum
appendicectomy, right hemicolectomy, and right salpingo-oophorectomy was
performed. The postoperative period was uneventful. Penicillin therapy was given
for six months without any complication. The retroperitoneal mass measured 4.5 *
3.5 * 3 cm, surrounded adjacent organs and histologically showed inflammatory
granulomatous tissue, agglomeration of filaments, and sulfur granules of
Actinomyces, with positive reaction with periodic acid Schiff. Right tubo-ovarian
abscess was present. Abdominalpelvic actinomycosis should always be considered in
patients with a pelvic mass especially in ones using intrauterine device.
PMID- 21904442
TI - Diagnosis and management of cystic lesions of the pancreas.
AB - Pancreatic cysts are challenging lesions to diagnose and to treat. Determining
which of the five most common diagnoses-pancreatic pseudocyst, serous cystic
neoplasm (SCN), solid pseudopapillary neoplasm (SPN), mucinous cystic neoplasm
(MCN), and intraductal mucinous papillary neoplasm (IPMN)-is likely the correct
one requires the careful integration of many historical, radiographic,
laboratory, and other factors, and management is markedly different depending on
the type of cystic lesion of the pancreas. Pseudocysts are generally
distinguishable based on historical, clinical and radiographic characteristics,
and among the others, the most important differentiation is between the mucin
producing MCN and IPMN (high risk for cancer) versus the serous SCN and SPN (low
risk for cancer). EUS with FNA and cyst-fluid analysis will continue to play an
important role in diagnosis. Among mucinous lesions, those that require treatment
(resection currently) are any MCN, any MD IPMN, and BD IPMN larger than 3 cm,
symptomatic, or with an associated mass, with the understanding that SCN or
pseudocysts may be removed inadvertently due to diagnostic inaccuracy, and that a
certain proportion of SPN will indeed be malignant at the time of removal. The
role of ethanol ablation is under investigation as an alternative to resection in
selected patients.
PMID- 21904443
TI - Improving antigenicity of the recombinant hepatitis C virus core protein via
random mutagenesis.
AB - In order to enhance the sensitivity of diagnosis, a recombinant clone containing
domain I of HCV core (amino acid residues 1 to 123) was subjected to random
mutagenesis. Five mutants with higher sensitivity were obtained by colony
screening of 616 mutants using reverse ELISA. Sequence analysis of these mutants
revealed alterations focusing on W(84), P(95), P(110), or V(129). The inclusion
bodies of these recombinant proteins overexpressed in E. coli BL21(DE3) were
subsequently dissolved using 6 M urea and then refolded by stepwise dialysis.
Compared to the unfolded wild-type antigen, the refolded M3b antigen (W(84)S,
P(110)S and V(129)L) exhibited an increase of 66% antigenicity with binding
capacity of 0.96 and affinity of 113 MUM(-1). Moreover, the 33% decrease of the
production demand suggests that M3b is a potential substitute for anti-HCV
antibody detection.
PMID- 21904444
TI - Natural killer cells in healthy and diseased subjects.
PMID- 21904445
TI - Th subset balance in lupus nephritis.
AB - Lupus nephritis, which has various histological patterns and variable clinical
outcomes, is one of the most important complications of systemic lupus nephritis
(SLE). This pathogenetic mechanism in each histologically different type of lupus
nephritis (LN) remains unclear. Although SLE is suggested to be a Th2-driven
disease, elevation of both Th1 and Th2 cytokines occurs in both humans and mice,
suggesting that SLE is a complex disease driven by different lymphocyte subsets
with high heterogeneity of clinical manifestations and organ involvement. Recent
findings in LN elucidate an essential role for the Th1, IL-17 producing T cells
and Th17 cells in the development of diffuse proliferative lupus nephritis
(DPLN), and Th2 cytokine in that of membranous lupus nephritis (MLN). These data
support the hypothesis that individual Th1/Th2 balance is one of the critical
determinants for histopathology of LN.
PMID- 21904446
TI - A Model for NAD(P)H:Quinoneoxidoreductase 1 (NQO1) Targeted Individualized Cancer
Chemotherapy.
AB - NQO1 (NAD(P)H:quinoneoxidoreductase 1) is a reductive enzyme that is an important
activator of bioreductive antitumor agents. NQO1 activity varies in individual
tumors but is generally higher in tumor cells than in normal cells. NQO1 has been
used as a target for tumor specific drug development. We investigated a series of
bioreductive benzoquinone mustard analogs as a model for NQO1 targeted
individualized cancer chemotherapy. We compared the tumor cell growth inhibitory
activity of benzoquinone mustard analogs with sterically bulky groups of
different size and placed at different positions on the benzoquinone ring, using
tumor cell lines with different levels of NQO1. We demonstrated that functional
groups of different steric size could be used to produce a series of bioreductive
antitumor agents that were activated by different levels of NQO1 in tumor cells.
This series of drugs could then be used to target cells with specific levels of
NQO1 for growth inhibition and to avoid damage to normal cells, like bone marrow
cells, that have low levels of NQO1. This approach could be used to develop new
bioreductive antitumor agents for NQO1 targeted individualized cancer
chemotherapy.
PMID- 21904447
TI - The effect of valproic Acid on mesenchymal pluripotent cell proliferation and
differentiation in extracellular matrices.
AB - Valproic acid (2-n-propylpentanoic acid, VPA) is a widely used antiepileptic and
anticonvulsant drug. Previous studies have reported that VPA effects osteogenesis
in vivo and in vitro, yet it remains unclear whether VPA promotes cell
differentiation of osteoblasts derived from mesenchymal cells. The purpose of
this study was to clarify the effect of VPA on undifferentiated pluripotent
mesenchymal cell proliferation and differentiation into osteoblasts while
analyzing the impact of the absence or presence of extracellular matrices (ECMs).
Mouse mesenchymal cells were cultured on non-coated plastic, type I collagen
coated, and fibronectin-coated plates in the absence or presence of VPA. A cell
proliferation assay was performed in which modified formazan dye content was
analyzed and proliferation nuclear antigen (PCNA)-positive cells were counted at
various concentrations of VPA. A high concentration of VPA did not clearly alter
cell morphology, but large numbers of stress fibers were observed in these cells
and the cell proliferation ratio was decreased with positive PCNA counts. In the
presence of matrices, the cell proliferation ratio decreased at low VPA
concentrations compared with the ratio obtained in the absence of these ECMs. On
the other hand, VPA promoted osteoblastic differentiation in the presence of type
I collagen. These findings indicate that for undifferentiated mesenchymal cells,
VPA promotes a decrease in the cell proliferation rate in the presence of ECMs
and promotes osteoblastic differentiation, both of which could provide insight
into additional mechanisms of osteoblastic cell differentiation caused by VPA.
PMID- 21904448
TI - Comment: update on the management of constipation in the elderly: new treatment
options.
PMID- 21904449
TI - Convection-enhanced delivery of methotrexate-loaded maghemite nanoparticles.
AB - Convection-enhanced delivery (CED) is a novel approach for delivering drugs
directly into brain tumors by intracranial infusion, enabling the distribution of
high drug concentrations over large tissue volumes. This study was designed to
present a method for binding methotrexate (MTX) to unique crystalline, highly
ordered and superparamagnetic maghemite nanoparticles via human serum albumin
(HSA) coating, optimized for CED treatments of gliomas. Naked nanoparticles and
HSA- or polyethylene glycol (PEG)-coated nanoparticles with/without MTX were
studied. In vitro results showed no toxicity and a similar cell-kill efficacy of
the MTX-loaded particles via HSA coating to that of free MTX, while MTX-loaded
particles via PEG coating showed low efficacy. In vivo, the PEG-coated
nanoparticles provided the largest distributions in normal rat brain and long
clearance times, but due to their low efficacy in vitro, were not considered
optimal. The naked nanoparticles provided the smallest distributions and shortest
clearance times. The HSA-coated nanoparticles (with/without MTX) provided good
distributions and long clearance times (nearly 50% of the distribution volume
remained in the brain 3 weeks post treatment). No MTX-related toxicity was noted.
These results suggest that the formulation in which HSA was bound to our
nanoparticles via a unique precipitation method, and MTX was bound covalently to
the HSA, could enable efficient and stable drug loading with no apparent
toxicity. The cell-kill efficacy of the bound MTX remained similar to that of
free MTX, and the nanoparticles presented efficient distribution volumes and slow
clearance times in vivo, suggesting that these particles are optimal for CED.
PMID- 21904450
TI - Transdermal delivery of paeonol using cubic gel and microemulsion gel.
AB - BACKGROUND: The aim of this study was to develop new systems for transdermal
delivery of paeonol, in particular microemulsion gel and cubic gel formulations.
METHODS: Various microemulsion vehicles were prepared using isopropyl myristate
as an oil phase, polyoxyethylated castor oil (Cremophor((r)) EL) as a surfactant,
and polyethylene glycol 400 as a cosurfactant. In the optimum microemulsion gel
formulation, carbomer 940 was selected as the gel matrix, and consisted of 1%
paeonol, 4% isopropyl myristate, 28% Cremophor EL/polyethylene glycol 400 (1:1),
and 67% water. The cubic gel was prepared containing 3% paeonol, 30% water, and
67% glyceryl monooleate. RESULTS: A skin permeability test using excised rat
skins indicated that both the cubic gel and microemulsion gel formulations had
higher permeability than did the paeonol solution. An in vivo pharmacokinetic
study done in rats showed that the relative bioavailability of the cubic gel and
microemulsion gel was enhanced by about 1.51-fold and 1.28-fold, respectively,
compared with orally administered paeonol suspension. CONCLUSION: Both the cubic
gel and microemulsion gel formulations are promising delivery systems to enhance
the skin permeability of paeonol, in particular the cubic gel.
PMID- 21904451
TI - Microemulsion-based novel transdermal delivery system of tetramethylpyrazine:
preparation and evaluation in vitro and in vivo.
AB - OBJECTIVE: To deliver 2,3,5,6-tetramethylpyrazine (TMP) in a relatively large
dose through a transdermal route and facilitate the practical application of
microemulison in transdermal drug delivery. METHODS: The pseudo-ternary phase
diagram for microemulsion regions was constructed using isopropyl myristate as
oil phase, Labrasol((r)) as surfactant, and Plurol((r)) Oleique CC 497 as
cosurfactant. A uniform experimental design was applied for formulation
optimization. In vitro skin permeation experiments of six formulations were
undertaken with TMP transdermal patch (EUDRAGIT((r)) E100 as matrix) and TMP
saturated solution as controls. We prepared TMP-oil dispersed in water-ethylene
vinyl acetate-transdermal therapeutic system (TMP-O/W-EVA-TTS) with microemulsion
as reservoir and EVA membrane as release liner; pharmacokinetic and brain
distribution studies in rats were conducted with TMP transdermal patches as
control. RESULTS: The skin fluxes of TMP from microemulsions were 8.2- to 26.7
fold and 0.9- to 4.7-fold higher than those of TMP transdermal patch and TMP
saturated solution, respectively, and were strongly affected by the microemulsion
composition. The improvement in TMP solubility as well as the skin permeation
enhancement effect of microemulsion components contributed mainly to transdermal
delivery facilitation. In the pharmacokinetic study, the relative bioavailability
of TMP-O/W-EVA-TTS was 350.89% compared with the TMP transdermal patch. Higher
and more stable TMP contents in rat plasma were obtained after administration of
TMP-O/WEVA- TTS than after application of TMP transdermal patch. In the brain
distribution study, higher rate and extent of TMP distribution to brain, and
lower rate of TMP clearance from brain were observed after transdermal
administration of TMP-O/W-EVA-TTS than after application of TMP transdermal
patch. CONCLUSION: The novel transdermal delivery system prepared in this study
showed a remarkable skin permeation improvement of microemulsion and facilitated
its practical application in transdermal drug delivery. With this system as a
vehicle, a relatively large dose of TMP could enable successful drug delivery via
the transdermal route.
PMID- 21904452
TI - Preparation and evaluation of quercetin-loaded lecithin-chitosan nanoparticles
for topical delivery.
AB - BACKGROUND: The purpose of this study was to investigate lecithin-chitosan
nanoparticles as a topical delivery system for quercetin. METHODS: Tocopheryl
propylene glycol succinate was chosen to be the surfactant for the nanosystem.
The mean particle size of the nanoparticles was 95.3 nm, and the entrapment
efficiency and drug loading for quercetin were 48.5% and 2.45%, respectively.
Topical delivery in vitro and in vivo of the quercetin-loaded nanoparticles was
evaluated using quercetin propylene glycol solution as the control. RESULTS:
Compared with quercetin solution, the quercetin-loaded nanoparticles showed
higher permeation ability, and significantly increased accumulation of quercetin
in the skin, especially in the epidermis. Microstructure observation of the skin
surface after administration indicated that the interaction between ingredients
of the nanoparticles and the skin surface markedly changed the morphology of the
stratum corneum and disrupted the corneocyte layers, thus facilitating the
permeation and accumulation of quercetin in skin. CONCLUSION: Lecithin-chitosan
nanoparticles are a promising carrier for topical delivery of quercetin.
PMID- 21904453
TI - Improvement of effect of water-in-oil microemulsion as an oral delivery system
for fexofenadine: in vitro and in vivo studies.
AB - Fexofenadine (FEX) has high solubility and low permeability (BCS, Class III). In
this work, novel FEX loaded water in oil microemulsion (w/o) was designed to
improve bioavailability and compared with Fexofen((r)) syrup in in vitro and in
vivo studies. In addition, pharmacokinetic parameters in permeability studies
were estimated by using WinNonLin software program. w/o microemulsion system was
optimized using a pseudoternary phase diagram, composed of span 80/lutrol F 68
(9.5:0.5 w/w), oleic acide, isopropyl alcohol and water as surfactant mixture;
oil and cosurfactant was developed for oral drug delivery. w/o microemulsion
systems were characterized by phase behavior, particle size, viscosity and
solubilization capacity. In vitro studies were studied using Caco-2 cell
monolayer. Pharmacokinetic parameters of w/o microemulsion were investigated in
rabbits and compared to Fexofen((r)) syrup. Fexofen((r)) syrup and microemulsion
were administered by oral gavage at 6 mg/kg of the same concentration. The
experimental results indicated that microemulsion (HLB = 5.53) formed nanometer
sized droplets (33.29 +/- 1.76) and had good physical stability. This
microemulsion increased the oral bioavailability of FEX which was highly water
soluble but fairly impermeable. The relative bioavailability of FEX microemulsion
was about 376.76% compared with commercial syrup in rabbits. In vitro experiments
were further employed for the enhanced effect of the microemulsion for FEX. These
results suggest that novel w/o microemulsion plays an important role in enhancing
oral bioavailability of low permeability drugs.
PMID- 21904454
TI - Synthesis and properties of a novel biodegradable poly(ester amine) copolymer
based on poly(L-lactide) and low molecular weight polyethylenimine for gene
delivery.
AB - BACKGROUND: Gene therapy is a promising approach to the treatment of a wide range
of diseases. The development of efficient and adequate gene delivery systems
could be one of the most important factors. Polyethyleneimine, a cationic
polymer, is one of the most successful and widely used vectors for nonviral
transfection in vitro and in vivo. METHODS: A novel biodegradable poly(ester
amine) copolymer (PEA) was successfully prepared from low molecular weight
polyethylenimine (PEI, 2000 Da) and poly(L-lactide) copolymers. RESULTS:
According to the results of agarose gel electrophoresis, particle size and zeta
potential measurement, and transfection efficiency, the PEA copolymers showed a
good ability to condense plasmid DNA effectively into nanocomplexes with a small
particle size (<=150 nm) and moderate zeta potential (>=10 mV) at an appropriate
polymeric carrier/DNA weight ratio. Compared with high molecular weight PEI
(25kDa), the PEA obtained showed relatively high gene transfection efficiency as
well as low cytotoxicity in vitro. CONCLUSION: These results indicate that such
PEA might have potential application as a gene delivery system.
PMID- 21904455
TI - HA/nylon 6,6 porous scaffolds fabricated by salt-leaching/solvent casting
technique: effect of nano-sized filler content on scaffold properties.
AB - Nanohydroxyapatite (n-HA)/nylon 6,6 composite scaffolds were produced by means of
the salt-leaching/solvent casting technique. NaCl with a distinct range size was
used with the aim of optimizing the pore network. Composite powders with
different n-HA contents (40%, 60%) for scaffold fabrication were synthesized and
tested. The composite scaffolds thus obtained were characterized for their
microstructure, mechanical stability and strength, and bioactivity. The
microstructure of the composite scaffolds possessed a well-developed
interconnected porosity with approximate optimal pore size ranging from 200 to
500 MUm, ideal for bone regeneration and vascularization. The mechanical
properties of the composite scaffolds were evaluated by compressive strength and
modulus tests, and the results confirmed their similarity to cortical bone. To
characterize bioactivity, the composite scaffolds were immersed in simulated body
fluid for different lengths of time and results monitored by scanning electron
microscopy and energy dispersive X-ray microanalysis to determine formation of an
apatite layer on the scaffold surface.
PMID- 21904456
TI - Development of small interfering RNA delivery system using PEI-PEG-APRPG polymer
for antiangiogenic vascular endothelial growth factor tumor-targeted therapy.
AB - BACKGROUND: Small interfering RNA (siRNA) can silence target genes in the
cytoplasm and be a major tool in gene therapy. Vascular endothelial growth factor
(VEGF), a potent regulator of angiogenesis, is overexpressed in most tumors and
is closely associated with tumor growth and metastasis. It has been shown that
inhibition of VEGF expression by siRNA is an effective and useful method for
antiangiogenic tumor therapy. METHODS: In the present study, we synthesized a
targeted delivery system of PEI-PEG-APRPG incorporating angiogenic vessel-homing
Ala-Pro-Arg-Pro-Gly (APRPG) peptide into cationic polyethylenimine (PEI) via a
hydrophilic poly(ethylene glycol) (PEG) spacer. RESULTS: PEI-PEG-APRPG
effectively condensed siRNA into 20-50 nm nanoparticles with a positive surface
charge using a suitable N/P ratio. The siRNA/PEI-PEG-APRPG complex effectively
enhanced the stability of siRNA in RNase A, and improved the proliferation
inhibiting ability and transfection efficiency of siRNA in vitro and tumor
accumulation in vivo. In addition, the siRNA/PEI-PEG-APRPG complex exhibited high
efficiency as antitumor therapy with regard to tumor growth, microvessel density,
and VEGF protein and mRNA levels. CONCLUSION: These findings suggest that PEI-PEG
APRPG effectively delivers siRNA to tumors overexpressing VEGF and thereby
inhibits tumor growth.
PMID- 21904457
TI - Advances in cancer therapy through the use of carbon nanotube-mediated targeted
hyperthermia.
AB - Carbon nanotubes (CNTs) are emerging versatile tools in nanomedicine
applications, particularly in the field of cancer targeting. Due to diverse
surface chemistry and unique thermal properties, CNTs can act as strong optical
absorbers in near infrared light where biological systems prove to be highly
transparent. The process of laser-mediated ablation of cancer cells marked with
biofunctionalized CNTs is frequently termed "nanophotothermolysis." This paper
illustrates the potential of engineered CNTs as laser-activated photothermal
agents for the selective nanophotothermolysis of cancer cells.
PMID- 21904458
TI - Treatment of drug-resistant tuberculosis.
AB - CLINICAL QUESTION: What is the best approach to the treatment of drug-resistant
tuberculosis (TB)? RESULTS: Evidence-based treatment of drug-susceptible TB is
the best means of preventing the development of drug-resistant disease.
Suspecting the possibility of drug-resistant TB, and prompt detection of all
forms of drug-resistant TB, not only multidrug-resistant and extensively drug
resistant TB, should be part of the algorithm for diagnosis and management of all
patients with active TB. IMPLEMENTATION: Treatment of all forms of drug-resistant
TB must be tailored to the specific form of resistance with appropriate and
effective drug regimens.
PMID- 21904460
TI - Impact of restriction of cefepime use on the antimicrobial susceptibility of Gram
negative bacilli related to healthcare-associated infections in an orthopedic
hospital.
AB - INTRODUCTION: In recent decades, antimicrobial resistance has become a public
health problem, particularly in cases of healthcare-associated infections.
Interaction between antibiotic consumption and resistance development is of
particular interest regarding Gram-negative bacilli, whose growing resistance has
represented a great challenge. OBJECTIVE: Assess the impact of restriction of
cefepime use on antimicrobial susceptibility among the Gram-negative bacilli
(GNB) most frequently involved in healthcare-associated infections (HAI).
METHODS: DATA RELATING TO HOSPITAL OCCUPANCY AND MORTALITY RATES, INCIDENCE OF
HAI, INCIDENCE OF GNB AS CAUSATIVE AGENTS OF HAI, ANTIMICROBIAL CONSUMPTION AT
THE HOSPITAL AND ANTIMICROBIAL SUSCEPTIBILITY OF GNB RELATED TO HAI WERE COMPARED
BETWEEN TWO PERIODS: a 24-month period preceding restriction of cefepime use and
a 24-month period subsequent to this restriction. RESULTS: There was a
significant drop in cefepime consumption after its restriction. Susceptibility of
Acinetobacter baumanii improved relating to gentamicin, but it worsened in
relation to imipenem, subsequent to this restriction. For Pseudomonas aeruginosa,
there was no change in antimicrobial susceptibility. For Klebsiella pneumoniae
and Enterobacter spp, there were improvements in susceptibility relating to
ciprofloxacin. CONCLUSION: Restriction of cefepime use had a positive impact on
K. pneumoniae and Enterobacter spp, given that after this restriction, their
susceptibilities to ciprofloxacin improved. However, for A. baumanii, the impact
was negative, given the worsening of susceptibility to imipenem.
PMID- 21904459
TI - Critical appraisal of a quadrivalent CRM(197) conjugate vaccine against
meningococcal serogroups A, C W-135 and Y (Menveo) in the context of treatment
and prevention of invasive disease.
AB - Worldwide, invasive meningococcal disease affects about 500,000 people annually.
Case fatality in developed countries averages 10%, and higher rates are reported
in less prosperous regions. According to the World Health Organization, the most
important pathogenic serogroups are A, B, C, W-135, X, and Y. Clinical features
of invasive meningococcal disease make diagnosis and management difficult.
Antibiotic measures are recommended for prophylaxis after exposure and for
treatment of invasive meningococcal disease cases; however, resistant strains may
be emerging. Vaccines are generally regarded as the best preventative measure for
invasive meningococcal disease. Polysaccharide vaccines against serogroups A, C,
W-135, and Y using protein conjugation technology have clear advantages over
older plain polysaccharide formulations without a protein component. The first
quadrivalent meningococcal conjugate vaccine (MenACWY-D) was licensed in the US
in 2005. More recently, MenACWY-CRM (Menveo((r))) was licensed in Europe, the US,
the Middle East, and Latin America. MenACWY-CRM uses cross-reactive material 197,
a nontoxic mutant of diphtheria toxin, as the carrier protein. MenACWY-CRM offers
robust immunogenicity in all age groups, with a tolerability profile similar to
that of a plain polysaccharide vaccine. Given its potential for protecting
persons from infancy to old age, MenACWY-CRM offers the opportunity to protect
broad populations against invasive meningococcal disease. The most optimal
strategy for use of the vaccine has to be assessed country by country on the
basis of local epidemiology, individual health care systems, and need.
PMID- 21904461
TI - Similarity of hydrolyzing activity of human and rat small intestinal
disaccharidases.
AB - BACKGROUND: The purpose of this study was to clarify whether it is possible to
extrapolate results from studies of the hydrolyzing activity of disaccharidases
from rats to humans. MATERIALS AND METHODS: We measured disaccharidase activity
in humans and rats using identical preparation and assay methods, and
investigated the similarity in hydrolyzing activity. Small intestinal samples
without malignancy were donated by five patients who had undergone bladder tumor
surgery, and homogenates were prepared to measure disaccharidase activity. Adult
rat homogenates were prepared using small intestine. RESULTS: Maltase activity
was the highest among the five disaccharidases, followed by sucrase and then
palatinase in humans and rats. Trehalase activity was slightly lower than that of
palatinase in humans and was similar to that of sucrase in rats. Lactase activity
was the lowest in humans, but was similar to that of palatinase in rats. Thus,
the hydrolyzing activity of five disaccharidases was generally similar in humans
and rats. The relative activity of sucrose and palatinase versus maltase was
generally similar between humans and rats. The ratio of rat to human hydrolyzing
activity of maltase, sucrase, and palatinase was 1.9-3.1, but this was not a
significant difference. Leaf extract from Morus alba strongly inhibited the
activity of maltase, sucrase, and palatinase, but not trehalase and lactase, and
the degree of inhibition was similar in humans and rats. L-arabinose mildly
inhibited sucrase activity, but hardly inhibited the activity of maltase,
palatinase, trehalase and lactase in humans and rats. The digestibility of 1
kestose, galactosylsucrose, and panose by small intestinal enzymes was very
similar between humans and rats. CONCLUSION: These results demonstrate that the
digestibility of newly developed saccharide materials evaluated by rat small
intestinal enzymes can substitute for evaluation using human enzymes.
PMID- 21904462
TI - Update on the management of inflammatory bowel disease: specific role of
adalimumab.
AB - Anti-tumor necrosis factor alpha (TNF-alpha) medications are a class of biologics
employed in the treatment of patients with inflammatory bowel disease (IBD).
Adalimumab is the first fully human monoclonal immunoglobulin directed against
TNF-alpha, which binds with high affinity and specificity to membrane and soluble
TNF. Adalimumab administered subcutaneously has demonstrated efficacy in the
treatment of rheumatoid arthritis, ankylosing spondylitis, psoriatic arthritis,
and severe chronic psoriasis. Studies have shown that adalimumab is effective for
inducing and maintaining remission of moderate-to-severe active Crohn's disease
(CD) patients at an induction dose of 160/80 mg (week 0 and 2) and at a
maintenance dose of 40 mg every other week. The efficacy of adalimumab as a
second-line therapy has also been documented for patients with loss of response
or intolerance to infliximab. Adalimumab is also superior to placebo for inducing
and maintaining complete perianal fistula closure. It also seems effective for
reducing extraintestinal manifestations. The safety profile is similar to that of
other anti-TNF therapy in CD patients, with lower immunogenicity and rate of
adverse injection reactions than infliximab. Adalimumab is not approved for the
treatment of ulcerative colitis (UC). Recently, however, the results of the first
randomized, controlled trial on adalimumab for UC showed that adalimumab at
160/80 mg induction dose was safe and effective for inducing remission and
clinical response after 8 weeks in patients with moderately-to-severely active UC
failing treatment with corticosteroids and/or immunosuppressants. More data are
necessary to clarify the therapeutic role of adalimumab in UC. This review of the
literature summarizes available data on the efficacy and safety profile
adalimumab in patients with IBD.
PMID- 21904463
TI - Assessing patients' satisfaction with anti-TNFalpha treatment in Crohn's disease:
qualitative steps of the development of a new questionnaire.
AB - PURPOSE: To develop a self-administered questionnaire assessing patients'
satisfaction with treatments in Crohn's disease for use in clinical research and
epidemiological studies. PATIENTS AND METHODS: Semi-directive interviews (16)
were conducted with patients with severe Crohn's disease treated with anti-tumor
necrosis factor alpha (anti-TNFalpha). Transcripts were analyzed and concepts
related to satisfaction with treatment were extracted and organized into a model.
Items were generated using patients' words. The resulting test version was tested
for relevance and comprehension with 7 patients and revised accordingly; the new
version was tested with 5 other patients and revised to provide the pilot
version. A clinician advisory board was involved at each milestone of the
development. RESULTS: The test questionnaire assessed treatment satisfaction
through 67 items, organized into 5 sections: treatment efficacy, side-effects,
convenience and constraints, overall impact, and satisfaction. Conceptual content
of the questionnaire includes comparison with prior state and with expectations,
satisfaction, acceptability, and intentions. The questionnaire was generally well
accepted and understood by patients; few modifications were made in the structure
and item formulation. After the second round of comprehension tests, the pilot
version contained 62 items; the questionnaire was named Satisfaction of PAtients
in Crohn's diseasE (SPACE((c))). CONCLUSION: The questionnaire is a unique tool
to assess treatment satisfaction in patients with Crohn's disease. A scoring and
validation study is currently being performed to finalize and establish its
scoring, as well as its psychometric properties.
PMID- 21904464
TI - Trends in utilization and off-label use of polyethylene glycol 4000 laxatives and
the prevalence of constipation in children in France.
AB - PURPOSE: To determine trends in utilization and off-label use of polyethylene
glycol (PEG) 4000 in children and to determine the prevalence of constipation.
METHODS: Retrospective cross-sectional analyses were conducted from 1997 to 2007
in a French health care database comprising general practitioners and
pediatricians. Patients prescribed PEG 4000 (Forlax((r)); Ipsen Pharma, Paris,
France) were used to assess PEG 4000 use; constipation was defined by a diagnosis
of constipation or use of any laxative. Analyses used linear regression models to
fit trends over time. RESULTS: The study sample consisted of a nationally
representative sample of 1200 general practitioners with 1.6 million patient
medical records and 100 pediatricians with 70,000 patient medical records. Data
were available from 1997 to 2007 for general practitioners and from 2003 to 2007
for pediatricians and were extrapolated to the national level. Among both groups
of physicians there were statistically significant increases in the number of
patients prescribed 4 g. For the 10 g dose, there was a decline among
pediatricians. The largest increases were in children aged 0-8 years and in
females. The prevalence of constipation in children aged 0-18 years was 4%.
CONCLUSION: There has been an increase in the use of PEG 4000 laxative in
children, particularly in the younger ages and in females. Use of the 4 g dose
has increased. There was considerable off-label use of PEG 4000 in children aged
0-8 years before the introduction of the 4 g pediatric dose, which reflected
clinical need.
PMID- 21904465
TI - Prevalence and factors associated with off-label antidepressant prescriptions for
insomnia.
AB - BACKGROUND: The primary objective of our study was to investigate the prevalence
of off-label antidepressant drug use in insomnia. The secondary objective was to
compare prescribing patterns between off-label antidepressants vs hypnotics
approved by the US Food and Drug Administration for insomnia, with particular
emphasis on socioeconomic characteristics of patients and physicians. METHODS: We
undertook a secondary data analysis using the national longitudinal database from
the 2006 National Ambulatory Medical Care Survey. Subjects were identified from
outpatient visits in which at least one insomnia drug was prescribed. A series of
weighted Chi-squared statistics was used to compare drug use for insomnia across
various patient and physician characteristics. Multivariate logistic regression
was conducted to identify factors associated with off-label antidepressant drug
use. RESULTS: Among 901.95 million outpatient visits that took place in the US in
2006, an estimated 30.43 million visits included at least one drug prescription
for insomnia. Off-label antidepressants were prescribed significantly more
frequently (45.1%) than nonbenzodiazepine z-hypnotics (43.2%) and benzodiazepines
(11.7%). Insomnia prescribing patterns were significantly influenced by physician
specialty and physician office settings. Pediatricians (odds ratio [OR]: 65.892;
95% confidence interval [CI]: 5.536-810.564) and neurologists (OR: 4.784; 95% CI:
2.044-11.201) were more likely to prescribe off-label antidepressants than
psychiatrists. Self-paying patients were more likely to receive off-label
antidepressants as treatment for insomnia than patients with private insurance
(OR 2.594; 95% CI: 1.128-5.967). CONCLUSION: Our findings indicate significant
socioeconomic disparities in the use of off-label antidepressants. Future studies
might explore interventional and educational strategies to ensure well informed
clinical decisions that can withstand pharmaceutical marketing strategies and
diagnostic uncertainties regarding the treatment of insomnia.
PMID- 21904466
TI - Should benefit-risk assessment have its own drug "label"?
AB - Many consumers and clinicians incorrectly believe that the Food and Drug
Administration (FDA) approval of a new therapeutic implies that its benefits have
been proven to exceed its harms. While the FDA could require proof that benefits
exceed harms prior to approval, it has been argued that this approach would be
infeasible because of prohibitively large sample sizes. One possible alternative
would be for the FDA to supplement its standard "label" denoting "safe and
effective" with a secondary "label" denoting benefits have been demonstrated to
exceed harms, which would be granted only after sufficient post-marketing data
had accumulated to prove that its benefits exceeded its harms. This secondary
label would not necessarily be linked to marketing restrictions or other
commercial prohibitions but, rather, would be only information for consumers and
clinicians. Strengths, weaknesses, and feasibility challenges of this approach
are discussed.
PMID- 21904467
TI - Trigeminal neuralgia: successful antiepileptic drug combination therapy in three
refractory cases.
AB - Antiepileptic drug combination therapy remains an empirical second-line treatment
approach in trigeminal neuralgia, after treatment with one antiepileptic drug or
other nonantiepileptic drugs have failed. The results in three patients followed
in our clinic are not sufficient to draw definitive conclusions, but suggest the
possibility of developing this type of therapeutic approach further.
PMID- 21904469
TI - Automatic discrimination of the geographical origins of milks by excitation
emission fluorescence spectrometry and chemometrics.
AB - This paper presents the automatic discrimination of geographical origins of milks
from Western Yunnan Plateau areas and eastern China by excitation-emission
fluorescence spectrometry and chemometrics. Genuine plateau milks (n = 60) and
milks from eastern China (n = 89) are scanned in the regions of 180-300 nm for
excitation and 200-800 nm for emission. Different options of data analysis are
investigated and compared in terms of their performance in discriminating milks
of different geographical origins: (1) two-way partial least squares discriminant
analysis (PLSDA) based on excitation and emission spectra, respectively; (2) two
way PLSDA based on fusion of excitation and emission spectra; (3) three-way PLSDA
based on excitation-emission matrix spectra. The two-way PLSDA methods with
excitation spectra, emission spectra, and fusion of excitation and emission
spectra correctly classify 91.3%, 88.6%, and 95.3% of the milk samples,
respectively; while the total accuracy of three-way PLSDA is 96.0%. The results
demonstrate the two-way data combining excitation and emission spectra are
sufficient to characterize and identify the plateau milks. Considering both model
accuracy and the analytical time required, two-way PLS-DA with fusion of
excitation and emission spectra is recommended as a reliable and quick method to
discriminate plateau milks from ordinary milks.
PMID- 21904471
TI - Gastro-hep news.
PMID- 21904472
TI - Treatment of gastroesophageal reflux disease in obese patients.
PMID- 21904470
TI - The coevolution of phycobilisomes: molecular structure adapting to functional
evolution.
AB - Phycobilisome is the major light-harvesting complex in cyanobacteria and red
alga. It consists of phycobiliproteins and their associated linker peptides which
play key role in absorption and unidirectional transfer of light energy and the
stability of the whole complex system, respectively. Former researches on the
evolution among PBPs and linker peptides had mainly focused on the phylogenetic
analysis and selective evolution. Coevolution is the change that the conformation
of one residue is interrupted by mutation and a compensatory change selected for
in its interacting partner. Here, coevolutionary analysis of allophycocyanin,
phycocyanin, and phycoerythrin and covariation analysis of linker peptides were
performed. Coevolution analyses reveal that these sites are significantly
correlated, showing strong evidence of the functional and structural importance
of interactions among these residues. According to interprotein coevolution
analysis, less interaction was found between PBPs and linker peptides. Our
results also revealed the correlations between the coevolution and adaptive
selection in PBS were not directly related, but probably demonstrated by the
sites coupled under physical-chemical interactions.
PMID- 21904473
TI - Management of viral resistance in the therapy of chronic hepatitis B.
PMID- 21904468
TI - A Role for Sigma Receptors in Stimulant Self Administration and Addiction.
AB - Sigma(1) receptors (sigma(1)Rs) represent a structurally unique class of
intracellular proteins that function as chaperones. sigma(1)Rs translocate from
the mitochondria-associated membrane to the cell nucleus or cell membrane, and
through protein-protein interactions influence several targets, including ion
channels, G-protein-coupled receptors, lipids, and other signaling proteins.
Several studies have demonstrated that sigmaR antagonists block stimulant-induced
behavioral effects, including ambulatory activity, sensitization, and acute
toxicities. Curiously, the effects of stimulants have been blocked by sigmaR
antagonists tested under place-conditioning but not self-administration
procedures, indicating fundamental differences in the mechanisms underlying these
two effects. The self administration of sigmaR agonists has been found in
subjects previously trained to self administer cocaine. The reinforcing effects
of the sigmaR agonists were blocked by sigmaR antagonists. Additionally, sigmaR
agonists were found to increase dopamine concentrations in the nucleus accumbens
shell, a brain region considered important for the reinforcing effects of abused
drugs. Although the effects of the sigmaR agonist, DTG, on dopamine were obtained
at doses that approximated those that maintained self administration behavior
those of another agonist, PRE-084 required higher doses. The effects of DTG were
antagonized by non-selective or a preferential sigma(2)R antagonist but not by a
preferential sigma(1)R antagonist. The effects of PRE-084 on dopamine were
insensitive to sigmaR antagonists. The data suggest that the self administration
of sigmaR agonists is independent of dopamine and the findings are discussed in
light of a hypothesis that cocaine has both intracellular actions mediated by
sigmaRs, as well as extracellular actions mediated through conventionally studied
mechanisms. The co-activation and potential interactions among these mechanisms,
in particular those involving the intracellular chaperone sigmaRs, may lead to
the pernicious addictive effects of stimulant drugs.
PMID- 21904474
TI - The Use of ERCP Versus MRCP in Primary Sclerosing Cholangitis.
PMID- 21904475
TI - Vaccinations and the Utilization of Immunosuppressive IBD Therapy.
PMID- 21904476
TI - Correlation of Laparoscopic Liver Biopsy to Elasticity Measurements (FibroScan)
in Patients With Chronic Liver Disease.
AB - BACKGROUND: Elastography is a noninvasive method to assess liver fibrosis by
measuring liver stiffness. Studies have compared elas-tography to percutaneous
biopsy. Laparoscopic biopsy is associated with decreased sampling error compared
to percutaneous biopsy, as laparoscopic biopsies are obtained from both liver
lobes and gross nodu-larity can be visualized. METHODS: Patients undergoing
laparoscopic liver biopsy were enrolled. Gross liver appearance was assessed, and
biopsy specimens were blindly evaluated by a pathologist. Elastography
(FibroScan) was used to measure liver stiffness. RESULTS: 101 patients were
examined. Fibrosis was related to elasticity (Spearman correlation r=0.63;
P<.0001). Elasticity was strongly associated with advanced stages of fibrosis
(stages 3 and 4; Spearman correlation r(2)=0.44; P<.001). Significant fibrosis
was associated with an irregular liver surface, nodularity, and thickened edge
(multiple regression r(2)=0.41; P<.001). Increased elasticity was associated with
a fatty-appearing liver, irregular surface, firmness, and nodularity (multiple
regression r(2)=0.46; P<.001). Receiver operating characteristic curve for
elasticity for identifying patients with a liver fibrosis stage of at least 3 or
of 4 had an area under the curve (AUC) of 0.85 or 0.86, respectively. AUC was
0.857 when gross nodularity was used as the gold standard for cirrhosis and 0.875
when nodularity/histology were used. Elasticity of at least 7 kPa, at least 9.5
kPa, and at least 11.8 kPa had the highest accuracy for identifying patients with
a fibrosis stage of at least 2, at least 3, and 4, respectively. In hepatitis C
patients, AUC was 0.921, 0.882, and 0.925 when histology, gross nodularity, and
nodularity/histology, respectively, were used as the gold standard for cirrhosis.
CONCLUSION: FibroScan could be useful for detecting advanced stages of fibrosis
when validated against laparoscopic liver biopsy.
PMID- 21904477
TI - A Case of Type IV Cholecystobiliary Fistula.
PMID- 21904478
TI - Review.
PMID- 21904480
TI - A case of new onset Crohn's disease after renal transplantation.
PMID- 21904479
TI - Crohn's disease and solid organ transplantation.
PMID- 21904482
TI - Gastro-hep news.
PMID- 21904481
TI - A new look at a mainstay ulcerative colitis therapy.
PMID- 21904483
TI - Treatment of older patients with hiatal hernia.
PMID- 21904484
TI - Sinusoidal obstruction syndrome.
PMID- 21904485
TI - Update on the use of capsule endoscopy.
PMID- 21904486
TI - Evolving protocols in colorectal cancer surveillance.
PMID- 21904487
TI - Highlights from the 2007 ACG.
PMID- 21904488
TI - Leukocytapheresis in the treatment of nasal Crohn's disease.
PMID- 21904489
TI - Nasal Crohn's disease /apheresis.
PMID- 21904490
TI - Current Treatment Options for Severe Clostridium difficile-associated Disease.
AB - A notable trend toward severe Clostridium difficile colitis and poor outcomes has
emerged since recognition of the hyper-virulent C. difficile NAP1/027 strain.
This trend has increased the emphasis on appropriate treatment regimens in
refractory cases of C. difficile infection. In mild-to-moderate cases, oral
metronidazole remains adequate first-line therapy, but in the absence of a good
clinical response, switching to vancomycin may be necessary. Oral vancomycin
should be used as initial therapy in severely ill patients or patients who cannot
tolerate metronidazole. Rectal administration of vancomycin may be used as
adjunctive therapy for severely ill patients. Patients with an ileus who cannot
tolerate oral medications may improve with adjunct intravenous metronidazole
and/or rectal vancomycin. Early surgical consultation should be requested, as
some patients will require emergent colectomy. The shifting landscape of C.
difficile infection has undermined our complacency regarding this long-recognized
disease.
PMID- 21904492
TI - The Role of EUS in the Investigation of Abdominal Pain of Possible Pancreatic
Origin.
PMID- 21904491
TI - Pediatric gastrointestinal motility disorders: challenges and a clinical update.
AB - Pediatric gastrointestinal motility disorders are common and can range from
relatively benign conditions such as functional constipation to more serious
disorders such as achalasia, Hirschsprung disease, and intestinal
pseudoobstruction. Performing and interpreting motility evaluations in children
presents unique challenges and is complicated by a dearth of control information,
underlying gastrointestinal developmental maturation, technical challenges (eg,
catheter size limitations), and patient cooperation. Primary diseases such as
congenital pseudoobstruction or Hirschsprung disease occur more often in
children, but as with adults, abnormal motility may be secondary to other
processes. Diagnostic studies include radiographic studies, manometry, breath
testing, myoelectrical testing, and histologic evaluation. Although recent
advances in technology, genetics, and biology are making an important impact and
have allowed for a better understanding of the pathophysiology and therapy of
gastrointestinal motility disorders in children, further research and new
therapeutic agents are needed.
PMID- 21904493
TI - Polycystic liver disease.
PMID- 21904495
TI - Gastro-hep news.
PMID- 21904494
TI - Current surveillance and therapeutic options for barrett esophagus.
PMID- 21904496
TI - Developing universal electronic medical records.
PMID- 21904497
TI - The optimization of immunosuppressive and biologic cotherapies in inflammatory
bowel disease.
PMID- 21904499
TI - Healing of leg ulcers associated with transjugular intrahepatic portosystemic
shunt in decompensated cirrhosis: case series of a possible hepatodermal
syndrome.
AB - The occurrence of leg ulcers in patients with cirrhosis is not well documented in
the literature. In this case series, we describe 4 patients with cirrhosis
complicated by leg ulceration that failed all conventional therapy, yet healed
completely following the placement of transjugular intrahepatic portosystemic
shunt. The course of disease and the possibility of a hepatodermal syndrome
underlying this observation are discussed.
PMID- 21904498
TI - Screening for hepatocellular carcinoma.
AB - Hepatocellular carcinoma (HCC) currently has the fifth highest incidence rate
among tumors worldwide, a rate expected to continue to increase over the next
several decades. The majority of patients with HCC have cirrhosis of the liver,
with chronic hepatitis B and C as the major agents of etiology. Despite advances
in technology, the prognosis of patients with HCC has shown little improvement
over time, most likely because most patients are diagnosed at advanced stages.
HCC meets the criteria established by the World Health Organization for
performing surveillance in those at risk for developing this tumor (ie, patients
with cirrhosis of the liver). The objective of surveillance is to use a
relatively simple and inexpensive examination in a large number of individuals to
determine whether or not they are likely to develop cancer, with the overall goal
of reducing morbidity and mortality from the cancer. In this article, we evaluate
the criteria for performing surveillance for HCC and review the data on the
efficacy of current surveillance programs.
PMID- 21904500
TI - An Unusual Cause of Profound Weight Loss in a Middle-aged Person.
PMID- 21904501
TI - Review.
PMID- 21904502
TI - Premalignant gastric heterotopic pancreas.
PMID- 21904503
TI - Review.
PMID- 21904504
TI - Understanding the Mechanism of 5-ASA in Treating Colonic Inflammation.
PMID- 21904505
TI - Long-term Use of Proton-Pump Inhibitor Therapy.
PMID- 21904506
TI - Patient-controlled Sedation for Endoscopic Procedures.
PMID- 21904508
TI - Gastro-hep news.
PMID- 21904507
TI - Management of elevated serum ferritin levels.
PMID- 21904510
TI - Intramucosal esophageal dissection leading to esophageal perforation: case report
and review of the literature.
PMID- 21904511
TI - Intramural esophageal dissection with perforation.
PMID- 21904512
TI - Gastro-hep news.
PMID- 21904509
TI - Diagnosis and treatment of pouchitis.
AB - Ileal pouch-anal anastomosis following total proctocolectomy has become part of
the standard surgical treatment for patients with ulcerative colitis or familial
adenomatous polyposis who require colectomy. Although this surgery has improved
patient quality of life and significantly reduced the risk of dysplasia or
neoplasia in ulcerative colitis patients, complications are common. Pouchitis is
the most common long-term complication of ileal pouch surgery and has a
significant adverse impact on patient quality of life. The diagnosis and
differential diagnosis of pouchitis are not straightforward, and the management
of pouchitis, particularly chronic antibiotic-refractory pouchitis, which is one
of the leading causes of pouch failures, can be challenging.
PMID- 21904513
TI - Apoptosis and hepatic necroinflammation.
PMID- 21904515
TI - News From DDW.
PMID- 21904514
TI - Double-Balloon Enteroscopy in Patients With Altered Biliary and/or Pancreatic
Anatomy.
PMID- 21904516
TI - Emerging Technologies for Esophageal Manometry and pH Monitoring.
PMID- 21904517
TI - Recent Research in IBD Epidemiology.
PMID- 21904518
TI - Recent advances in autoimmune pancreatitis.
AB - ALTHOUGH THE PATHOGENESIS OF AUTOIMMUNE PANCREATITIS REMAINS UNCLEAR, THIS REPORT
PRESENTS RECENT EVIDENCE OF THE CLINICAL ASPECTS OF THIS DISEASE: mild abdominal
symptoms, usually without acute attacks of pancreatitis; occasional presence of
obstructive jaundice; elevated levels of serum gammaglobulin, immunoglobulin
(Ig)G, or IgG4; presence of autoantibodies; diffuse enlargement of the pancreas;
irregular narrowing of the pancreatic duct (sclerosing pancreatitis), often with
intrapancreatic biliary stenosis or coexisting biliary lesions (sclerosing
cholangitis similar to primary sclerosing cholangitis) seen on endoscopic
retrograde cholangiopancreatography; fibrotic changes with lymphocyte and IgG4
positive plasmacyte infiltration and obliterative phlebitis; occasional
association with other systemic lesions (such as sialadenitis), retroperitoneal
fibrosis, and interstitial renal tubular disorders; and response to steroid
therapy. Based upon these findings, several sets of diagnostic criteria have been
proposed. Further studies and international consensus for diagnostic criteria and
pathogenetic mechanisms are needed.
PMID- 21904519
TI - Acute gastric dilatation in a patient with spinal injury and multiple myeloma.
PMID- 21904520
TI - Review.
PMID- 21904521
TI - Hepatocellular Carcinoma in Wilson Disease-related Liver Cirrhosis.
PMID- 21904522
TI - Wilson disease and hepatocellular carcinoma.
PMID- 21904523
TI - Vascular growth in health and disease.
AB - Vascular growth forms the first functional organ system during development, and
continues into adult life, wherein it is often associated with disease states.
Genetically determined vasculogenesis produces a primary vascular plexus during
ontogenesis. Angiogenesis, occurring, e.g., in response to metabolic stress
within hypoxic tissues, enhances tissue capillarization. Arteriogenesis denotes
the adaptive outgrowth of pre-existent collateral arteries to bypass arterial
stenoses in response to hemodynamic changes. It has been debated whether
vasculogenesis occurs in the adult, and whether or not circulating progenitor
cells structurally contribute to vessel regeneration. Secondly, the major
determinants of vascular growth - genetic predisposition, metabolic factors
(hypoxia), and hemodynamics - cannot be assigned in a mutually exclusive fashion
to vasculogenesis, angiogenesis, and arteriogenesis, respectively; rather,
mechanisms overlap. Lastly, all three mechanisms of vessel growth seem to
contribute to physiological embryogenesis as well as adult adaptive
vascularization as occurs in tumors or to circumvent arterial stenosis. Thus,
much conceptual and terminological confusion has been created, while therapies
targeting neovascularization have yielded promising results in the lab, but
failed randomized studies when taken to the bedside. Therefore, this review
article aims at providing an exact definition of the mechanisms of vascular
growth and their contribution to embryonic development as well as adult adaptive
revascularization. We have been looking for potential reasons for why clinical
trials have failed, how vitally the application of appropriate methods of
measuring and assessment influences study outcomes, and how relevant, e.g.,
results gained in models of vascular occlusive disease may be for antineoplastic
strategies, advocating a reverse bedside-to-bench approach, which may hopefully
yield successful approaches to therapeutically targeting vascular growth.
PMID- 21904524
TI - GSK3 and Alzheimer's Disease: Facts and Fiction....
AB - The physiological functions and pathological roles of the Glycogen synthase
kinase-type 3 (GSK3) kinases in peripheral and central systems are diverse and
complex, and therefore hard to unravel in molecular detail in vivo. Our
assignment to review and discuss available data to clarify the actual position of
these kinases in the pathology of Alzheimer's dementia (AD) was both ambitious
and easy. On the one hand, numerous studies are available in isolated,
recombinant, or cell-based systems, which have resulted in very diverse data-sets
that are hardly informative for the brain in vivo. At the other extreme,
reliable, and relevant models for the role of GSK3 in CNS are rare, if not
lacking. Moreover, (too) many in vivo studies used Li(+) as "specific" inhibitor
of GSK3, which is factually not valid because lithium ions are neither specific
nor potent inhibitors of GSK3 in vivo. More specific pharmacological inhibitors
of GSK3 have met with considerable problems, and are reviewed by others in this
issue or elsewhere. We concentrate here on AD-related aspects of GSK3 in brain in
vivo, mainly studied in transgenic mice and highlight some of the more important
issues, among many remaining: activation of GSK3 by amyloid, phosphorylation of
protein tau, effects on or interference with synaptic activity, differentiation
between both GSK3 isoforms. These relate directly to brain function, and brain
dysfunction in AD, and are to be resolved if we want to understand the molecular
pathology of this dreadful disease.
PMID- 21904526
TI - Parallel optical control of spatiotemporal neuronal spike activity using high
speed digital light processing.
AB - Neurons in the mammalian neocortex receive inputs from and communicate back to
thousands of other neurons, creating complex spatiotemporal activity patterns.
The experimental investigation of these parallel dynamic interactions has been
limited due to the technical challenges of monitoring or manipulating neuronal
activity at that level of complexity. Here we describe a new massively parallel
photostimulation system that can be used to control action potential firing in in
vitro brain slices with high spatial and temporal resolution while performing
extracellular or intracellular electrophysiological measurements. The system uses
digital light processing technology to generate 2-dimensional (2D) stimulus
patterns with >780,000 independently controlled photostimulation sites that
operate at high spatial (5.4 MUm) and temporal (>13 kHz) resolution. Light is
projected through the quartz-glass bottom of the perfusion chamber providing
access to a large area (2.76 mm * 2.07 mm) of the slice preparation. This system
has the unique capability to induce temporally precise action potential firing in
large groups of neurons distributed over a wide area covering several cortical
columns. Parallel photostimulation opens up new opportunities for the in vitro
experimental investigation of spatiotemporal neuronal interactions at a broad
range of anatomical scales.
PMID- 21904525
TI - Beyond the dopamine receptor: regulation and roles of serine/threonine protein
phosphatases.
AB - Dopamine plays an important modulatory role in the central nervous system,
helping to control critical aspects of motor function and reward learning.
Alteration in normal dopaminergic neurotransmission underlies multiple
neurological diseases including schizophrenia, Huntington's disease, and
Parkinson's disease. Modulation of dopamine-regulated signaling pathways is also
important in the addictive actions of most drugs of abuse. Our studies over the
last 30 years have focused on the molecular actions of dopamine acting on medium
spiny neurons, the predominant neurons of the neostriatum. Striatum-enriched
phosphoproteins, particularly dopamine and adenosine 3':5'-monophosphate
regulated phosphoprotein of 32 kDa (DARPP-32), regulator of calmodulin signaling
(RCS), and ARPP-16, mediate pleiotropic actions of dopamine. Notably, each of
these proteins, either directly or indirectly, regulates the activity of one of
the three major subclasses of serine/threonine protein phosphatases, PP1, PP2B,
and PP2A, respectively. For example, phosphorylation of DARPP-32 at Thr34 by
protein kinase A results in potent inhibition of PP1, leading to potentiation of
dopaminergic signaling at multiple steps from the dopamine receptor to the
nucleus. The discovery of DARPP-32 and its emergence as a critical molecular
integrator of striatal signaling will be discussed, as will more recent studies
that highlight novel roles for RCS and ARPP-16 in dopamine-regulated striatal
signaling pathways.
PMID- 21904527
TI - A Parametric Empirical Bayesian Framework for the EEG/MEG Inverse Problem:
Generative Models for Multi-Subject and Multi-Modal Integration.
AB - We review recent methodological developments within a parametric empirical
Bayesian (PEB) framework for reconstructing intracranial sources of extracranial
electroencephalographic (EEG) and magnetoencephalographic (MEG) data under linear
Gaussian assumptions. The PEB framework offers a natural way to integrate
multiple constraints (spatial priors) on this inverse problem, such as those
derived from different modalities (e.g., from functional magnetic resonance
imaging, fMRI) or from multiple replications (e.g., subjects). Using variations
of the same basic generative model, we illustrate the application of PEB to three
cases: (1) symmetric integration (fusion) of MEG and EEG; (2) asymmetric
integration of MEG or EEG with fMRI, and (3) group-optimization of spatial priors
across subjects. We evaluate these applications on multi-modal data acquired from
18 subjects, focusing on energy induced by face perception within a time
frequency window of 100-220 ms, 8-18 Hz. We show the benefits of multi-modal,
multi-subject integration in terms of the model evidence and the reproducibility
(over subjects) of cortical responses to faces.
PMID- 21904529
TI - Change in sympathetic nerve firing pattern associated with dietary weight loss in
the metabolic syndrome.
AB - Sympathetic activation in subjects with the metabolic syndrome (MS) plays a role
in the pathogenesis of cardiovascular disease development. Diet-induced weight
loss decreases sympathetic outflow. However the mechanisms that account for
sympathetic inhibition are not known. We sought to provide a detailed description
of the sympathetic response to diet by analyzing the firing behavior of single
unit sympathetic nerve fibers. Fourteen subjects (57 +/- 2 years, nine men, five
females) fulfilling ATP III criteria for the MS underwent a 3-month low calorie
diet. Metabolic profile, hemodynamic parameters, and multi-unit and single-unit
muscle sympathetic nerve activity (MSNA, microneurography) were assessed prior to
and at the end of the diet. Patients' weight dropped from 96 +/- 4 to 88 +/- 3 kg
(P < 0.001). This was associated with a decrease in systolic and diastolic blood
pressure (-12 +/- 3 and -5 +/- 2 mmHg, P < 0.05), and in heart rate (-7 +/- 2
bpm, P < 0.01) and an improvement in all metabolic parameters (fasting glucose:
0.302.1 +/- 0.118 mmol/l, total cholesterol: -0.564 +/- 0.164 mmol/l,
triglycerides: -0.414 +/- 0.137 mmol/l, P < 0.05). Multi-unit MSNA decreased from
68 +/- 4 to 59 +/- 5 bursts/100 heartbeats (P < 0.05). Single-unit MSNA indicated
that the firing rate of individual vasoconstrictor fibers decreased from 59 +/-
10 to 32 +/- 4 spikes/100 heart beats (P < 0.05). The probability of firing
decreased from 34 +/- 5 to 23 +/- 3% of heartbeats (P < 0.05), and the incidence
of multiple firing decreased from 14 +/- 4 to 6 +/- 1% of heartbeats (P < 0.05).
Cardiac and sympathetic baroreflex function were significantly improved (cardiac
slope: 6.57 +/- 0.69 to 9.57 +/- 1.20 ms.mmHg(-1); sympathetic slope: -3.86 +/-
0.34 to -5.05 +/- 0.47 bursts/100 heartbeats.mmHg(-1), P < 0.05 for both).
Hypocaloric diet decreased sympathetic activity and improved hemodynamic and
metabolic parameters. The sympathoinhibition associated with weight loss involves
marked changes, not only in the rate but also in the firing pattern of active
vasoconstrictive fibers.
PMID- 21904530
TI - Longitudinal analysis of arterial blood pressure and heart rate response to acute
behavioral stress in rats with type 1 diabetes mellitus and in age-matched
controls.
AB - We recorded via telemetry the arterial blood pressure (BP) and heart rate (HR)
response to classical conditioning following the spontaneous onset of autoimmune
diabetes in BBDP/Wor rats vs. age-matched, diabetes-resistant control (BBDR/Wor)
rats. Our purpose was to evaluate the autonomic regulatory responses to an acute
stress in a diabetic state of up to 12 months duration. The stress was a 15-s
pulsed tone (CS+) followed by a 0.5-s tail shock. The initial, transient increase
in BP (i.e., the "first component," or C(1)), known to be derived from an
orienting response and produced by a sympathetic increase in peripheral
resistance, was similar in diabetic and control rats through ~9 months of
diabetes; it was smaller in diabetic rats 10 months after diabetes onset.
Weakening of the C(1) BP increase in rats that were diabetic for >10 months is
consistent with the effects of sympathetic neuropathy. A longer-latency, smaller,
but sustained "second component" (C(2)) conditional increase in BP, that is
acquired as a rat learns the association between CS+ and the shock, and which
results from an increase in cardiac output, was smaller in the diabetic vs.
control rats starting from the first month of diabetes. A concomitant HR slowing
was also smaller in diabetic rats. The difference in the C(2) BP increase, as
observed already during the first month of diabetes, is probably secondary to the
effects of hyperglycemia upon myocardial metabolism and contractile function, but
it may also result from effects on cognition. The small HR slowing concomitant
with the C(2) pressor event is probably secondary to differences in baroreflex
activation or function, though parasympathetic dysfunction may contribute later
in the duration of diabetes. The nearly immediate deficit after disease onset in
the C(2) response indicates that diabetes alters BP and HR responses to external
challenges prior to the development of structural changes in the vasculature or
autonomic nerves.
PMID- 21904528
TI - Anticancer targets in the glycolytic metabolism of tumors: a comprehensive
review.
AB - CANCER IS A METABOLIC DISEASE AND THE SOLUTION OF TWO METABOLIC EQUATIONS: to
produce energy with limited resources and to fulfill the biosynthetic needs of
proliferating cells. Both equations are solved when glycolysis is uncoupled from
oxidative phosphorylation in the tricarboxylic acid cycle, a process known as the
glycolytic switch. This review addresses in a comprehensive manner the main
molecular events accounting for high-rate glycolysis in cancer. It starts from
modulation of the Pasteur Effect allowing short-term adaptation to hypoxia,
highlights the key role exerted by the hypoxia-inducible transcription factor HIF
1 in long-term adaptation to hypoxia, and summarizes the current knowledge
concerning the necessary involvement of aerobic glycolysis (the Warburg effect)
in cancer cell proliferation. Based on the many observations positioning
glycolysis as a central player in malignancy, the most advanced anticancer
treatments targeting tumor glycolysis are briefly reviewed.
PMID- 21904531
TI - Oxytocin Receptor (OXTR) Polymorphisms and Attachment in Human Infants.
AB - Ordinary variations in human infants' attachment behaviors - their proclivity to
seek and accept comfort from caregivers - are associated with a wide range of
individual differences in psychological functioning in adults. The current
investigation examined variation in the oxytocin receptor (OXTR) gene as one
possible source of these variations in infant attachment. One hundred seventy-six
infants (77 Caucasian, 99 non-Caucasian) were classified as securely or
insecurely attached based on their behavior in the Strange Situation (Ainsworth
et al., 1978). The A allele of OXTR rs2254298 was associated with attachment
security in the non-Caucasian infants (p < 0.005). These findings underscore the
importance of oxytocin in the development of human social behavior and support
its role in social stress-regulation and the development of trust.
PMID- 21904532
TI - The psychophysics of brain rhythms.
AB - It is becoming increasingly apparent that brain oscillations in various frequency
bands play important roles in perceptual and attentional processes.
Understandably, most of the associated experimental evidence comes from human or
animal electrophysiological studies, allowing direct access to the oscillatory
activities. However, such periodicities in perception and attention should, in
theory, also be observable using the proper psychophysical tools. Here, we review
a number of psychophysical techniques that have been used by us and other
authors, in successful and sometimes unsuccessful attempts, to reveal the
rhythmic nature of perceptual and attentional processes. We argue that the two
existing and largely distinct debates about discrete vs. continuous perception
and parallel vs. sequential attention should in fact be regarded as two facets of
the same question: how do brain rhythms shape the psychological operations of
perception and attention?
PMID- 21904533
TI - Multi-modal MRI analysis with disease-specific spatial filtering: initial testing
to predict mild cognitive impairment patients who convert to Alzheimer's disease.
AB - BACKGROUND: Alterations of the gray and white matter have been identified in
Alzheimer's disease (AD) by structural magnetic resonance imaging (MRI) and
diffusion tensor imaging (DTI). However, whether the combination of these
modalities could increase the diagnostic performance is unknown. METHODS:
Participants included 19 AD patients, 22 amnestic mild cognitive impairment
(aMCI) patients, and 22 cognitively normal elderly (NC). The aMCI group was
further divided into an "aMCI-converter" group (converted to AD dementia within 3
years), and an "aMCI-stable" group who did not convert in this time period. A
T(1)-weighted image, a T(2) map, and a DTI of each participant were normalized,
and voxel-based comparisons between AD and NC groups were performed. Regions-of
interest, which defined the areas with significant differences between AD and NC,
were created for each modality and named "disease-specific spatial filters"
(DSF). Linear discriminant analysis was used to optimize the combination of
multiple MRI measurements extracted by DSF to effectively differentiate AD from
NC. The resultant DSF and the discriminant function were applied to the aMCI
group to investigate the power to differentiate the aMCI-converters from the aMCI
stable patients. RESULTS: The multi-modal approach with AD-specific filters led
to a predictive model with an area under the receiver operating characteristic
curve (AUC) of 0.93, in differentiating aMCI-converters from aMCI-stable
patients. This AUC was better than that of a single-contrast-based approach, such
as T(1)-based morphometry or diffusion anisotropy analysis. CONCLUSION: The multi
modal approach has the potential to increase the value of MRI in predicting
conversion from aMCI to AD.
PMID- 21904534
TI - Modulation of Mucosal Immune Response, Tolerance, and Proliferation in Mice
Colonized by the Mucin-Degrader Akkermansia muciniphila.
AB - Epithelial cells of the mammalian intestine are covered with a mucus layer that
prevents direct contact with intestinal microbes but also constitutes a substrate
for mucus-degrading bacteria. To study the effect of mucus degradation on the
host response, germ-free mice were colonized with Akkermansia muciniphila. This
anaerobic bacterium belonging to the Verrucomicrobia is specialized in the
degradation of mucin, the glycoprotein present in mucus, and found in high
numbers in the intestinal tract of human and other mammalian species. Efficient
colonization of A. muciniphila was observed with highest numbers in the cecum,
where most mucin is produced. In contrast, following colonization by
Lactobacillus plantarum, a facultative anaerobe belonging to the Firmicutes that
ferments carbohydrates, similar cell-numbers were found at all intestinal sites.
Whereas A. muciniphila was located closely associated with the intestinal cells,
L. plantarum was exclusively found in the lumen. The global transcriptional host
response was determined in intestinal biopsies and revealed a consistent, site
specific, and unique modulation of about 750 genes in mice colonized by A.
muciniphila and over 1500 genes after colonization by L. plantarum. Pathway
reconstructions showed that colonization by A. muciniphila altered mucosal gene
expression profiles toward increased expression of genes involved in immune
responses and cell fate determination, while colonization by L. plantarum led to
up-regulation of lipid metabolism. These indicate that the colonizers induce host
responses that are specific per intestinal location. In conclusion, we propose
that A. muciniphila modulates pathways involved in establishing homeostasis for
basal metabolism and immune tolerance toward commensal microbiota.
PMID- 21904535
TI - In vivo Bioluminescence Imaging of Burkholderia mallei Respiratory Infection and
Treatment in the Mouse Model.
AB - Bioluminescent imaging (BLI) technology is a powerful tool for monitoring
infectious disease progression and treatment approaches. BLI is particularly
useful for tracking fastidious intracellular pathogens that might be difficult to
recover from certain organs. Burkholderia mallei, the causative agent of
glanders, is a facultative intracellular pathogen and has been classified by the
CDC as a Category B select agent due to its highly infectious nature and
potential use as a biological weapon. Very little is known regarding pathogenesis
or treatment of glanders. We investigated the use of bioluminescent reporter
constructs to monitor the dynamics of infection as well as the efficacy of
therapeutics for B. mallei in real-time. A stable luminescent reporter B. mallei
strain was created using the pUTmini-Tn5::luxKm2 plasmid and used to monitor
glanders in the BALB/c murine model. Mice were infected via the intranasal route
with 5 * 10(3) bacteria and monitored by BLI at 24, 48, and 72 h. We verified
that our reporter construct maintained similar virulence and growth kinetics
compared to wild-type B. mallei and confirmed that it maintains luminescent
stability in the presence or absence of antibiotic selection. The luminescent
signal was initially seen in the lungs, and progressed to the liver and spleen
over the course of infection. We demonstrated that antibiotic treatment 24 h post
infection resulted in reduction of bioluminescence that can be attributed to
decreased bacterial burden in target organs. These findings suggest that BLI can
be used to monitor disease progression and efficacy of therapeutics during
glanders infections. Finally, we report an alternative method to mini-Tn5::luxKm2
transposon using mini-Tn7-lux elements that insert site-specifically at known
genomic attachment sites and that can also be used to tag bacteria.
PMID- 21904537
TI - Mycobacterium tuberculosis response to stress from reactive oxygen and nitrogen
species.
PMID- 21904536
TI - Pathology of Kaposi's Sarcoma-Associated Herpesvirus Infection.
AB - Kaposi's sarcoma-associated herpesvirus (KSHV; human herpesvirus 8) is a human
herpesvirus, classified as a gamma-herpesvirus. KSHV is detected in Kaposi's
sarcoma (KS), primary effusion lymphoma (PEL), and some cases of multicentric
Castleman's disease (MCD). Similar to other herpes viruses, there are two phases
of infection, latent and lytic. In KSHV-associated malignancies such as KS and
PEL, KSHV latently infects almost all tumor cells. Quantitative PCR analysis
revealed that each tumor cell contains one copy of KSHV in KS lesions. The
oncogenesis by KSHV has remained unclear. Latency-associated nuclear antigen
(LANA)-1 plays an important role in the pathogenesis of KSHV-associated
malignancies through inhibition of apoptosis and maintenance of latency. Because
all KSHV-infected cells express LANA-1, LANA-1 immunohistochemistry is a useful
tool for diagnosis of KSHV infection. KSHV encodes some homologs of cellular
proteins including cell-cycle regulators, cytokines, and chemokines, such as
cyclin D, G-protein-coupled protein, interleukin-6, and macrophage inflammatory
protein-1 and -2. These viral proteins mimic or disrupt host cytokine signals,
resulting in microenvironments amenable to tumor growth. Lytic infection is
frequently seen in MCD tissues, suggesting a different pathogenesis from KS and
lymphoma.
PMID- 21904538
TI - Deconvolution-Based CT and MR Brain Perfusion Measurement: Theoretical Model
Revisited and Practical Implementation Details.
AB - Deconvolution-based analysis of CT and MR brain perfusion data is widely used in
clinical practice and it is still a topic of ongoing research activities. In this
paper, we present a comprehensive derivation and explanation of the underlying
physiological model for intravascular tracer systems. We also discuss practical
details that are needed to properly implement algorithms for perfusion analysis.
Our description of the practical computer implementation is focused on the most
frequently employed algebraic deconvolution methods based on the singular value
decomposition. In particular, we further discuss the need for regularization in
order to obtain physiologically reasonable results. We include an overview of
relevant preprocessing steps and provide numerous references to the literature.
We cover both CT and MR brain perfusion imaging in this paper because they share
many common aspects. The combination of both the theoretical as well as the
practical aspects of perfusion analysis explicitly emphasizes the simplifications
to the underlying physiological model that are necessary in order to apply it to
measured data acquired with current CT and MR scanners.
PMID- 21904539
TI - Cardiomyocyte-Restricted Deletion of PPARbeta/delta in PPARalpha-Null Mice Causes
Impaired Mitochondrial Biogenesis and Defense, but No Further Depression of
Myocardial Fatty Acid Oxidation.
AB - It is well documented that PPARalpha and PPARbeta/delta share overlapping
functions in regulating myocardial lipid metabolism. However, previous studies
demonstrated that cardiomyocyte-restricted PPARbeta/delta deficiency in mice
leads to severe cardiac pathological development, whereas global PPARalpha
knockout shows a benign cardiac phenotype. It is unknown whether a PPARalpha-null
background would alter the pathological development in mice with cardiomyocyte
restricted PPARbeta/delta deficiency. In the present study, a mouse model with
long-term PPARbeta/delta deficiency in PPARalpha-null background showed a
comparably reduced cardiac expression of lipid metabolism to those of single PPAR
deficient mouse models. The PPARalpha-null background did not rescue or aggravate
the cardiac pathological development linked to cardiomyocyte-restricted
PPARbeta/delta deficiency. Moreover, PPARalpha-null did not alter the phenotypic
development in adult mice with the short-term deletion of PPARbeta/delta in their
hearts, which showed mitochondrial abnormalities, depressed cardiac performance,
and cardiac hypertrophy with attenuated expression of key factors in
mitochondrial biogenesis and defense. The present study demonstrates that
cardiomyocyte-restricted deletion of PPARbeta/delta in PPARalpha-null mice causes
impaired mitochondrial biogenesis and defense, but no further depression of fatty
acid oxidation. Therefore, PPARbeta/delta is essential for maintaining
mitochondrial biogenesis and defense in cardiomyocytes independent of PPARalpha.
PMID- 21904540
TI - Effect of exposure of human monocyte-derived macrophages to high, versus normal,
glucose on subsequent lipid accumulation from glycated and acetylated low-density
lipoproteins.
AB - During atherosclerosis monocyte-derived macrophages accumulate cholesteryl esters
from low-density lipoproteins (LDLs) via lectin-like oxidised LDL receptor-1 (LOX
1) and class AI and AII (SR-AI, SR-AII) and class B (SR-BI, CD36) scavenger
receptors. Here we examined the hypothesis that hyperglycaemia may modulate
receptor expression and hence lipid accumulation in macrophages. Human monocytes
were matured into macrophages in 30 versus 5 mM glucose and receptor expression
and lipid accumulation quantified. High glucose elevated LOX1 mRNA, but decreased
SR-AI, SR-BI, LDLR, and CD36 mRNA. SR-BI and CD36 protein levels were decreased.
Normo- and hyperglycaemic cells accumulated cholesteryl esters from modified LDL
to a greater extent than control LDL, but total and individual cholesteryl ester
accumulation was not affected by glucose levels. It is concluded that, whilst
macrophage scavenger receptor mRNA and protein levels can be modulated by high
glucose, these are not key factors in lipid accumulation by human macrophages
under the conditions examined.
PMID- 21904541
TI - Expression of endoplasmic reticulum stress-related factors in the retinas of
diabetic rats.
AB - Recent reports show that ER stress plays an important role in diabetic
retinopathy (DR), but ER stress is a complicated process involving a network of
signaling pathways and hundreds of factors, What factors involved in DR are not
yet understood. We selected 89 ER stress factors from more than 200, A rat
diabetes model was established by intraperitoneal injection of streptozotocin
(STZ). The expression of 89 ER stress-related factors was found in the retinas of
diabetic rats, at both 1- and 3-months after development of diabetes, by
quantitative real-time polymerase chain reaction arrays. There were significant
changes in expression levels of 13 and 12 ER stress-related factors in the
diabetic rat retinas in the first and third month after the development of
diabetes, Based on the array results, homocysteine- inducible, endoplasmic
reticulum stress-inducible, ubiquitin-like domain member 1(HERP), and
synoviolin(HRD1) were studied further by immunofluorescence and Western blot.
Immunofluorescence and Western blot analyses showed that the expression of HERP
was reduced in the retinas of diabetic rats in first and third month. The
expression of Hrd1 did not change significantly in the retinas of diabetic rats
in the first month but was reduced in the third month.
PMID- 21904542
TI - Accelerometry-based classification of human activities using Markov modeling.
AB - Accelerometers are a popular choice as body-motion sensors: the reason is partly
in their capability of extracting information that is useful for automatically
inferring the physical activity in which the human subject is involved, beside
their role in feeding biomechanical parameters estimators. Automatic
classification of human physical activities is highly attractive for pervasive
computing systems, whereas contextual awareness may ease the human-machine
interaction, and in biomedicine, whereas wearable sensor systems are proposed for
long-term monitoring. This paper is concerned with the machine learning
algorithms needed to perform the classification task. Hidden Markov Model (HMM)
classifiers are studied by contrasting them with Gaussian Mixture Model (GMM)
classifiers. HMMs incorporate the statistical information available on movement
dynamics into the classification process, without discarding the time history of
previous outcomes as GMMs do. An example of the benefits of the obtained
statistical leverage is illustrated and discussed by analyzing two datasets of
accelerometer time series.
PMID- 21904543
TI - Functional magnetic resonance in the evaluation of oesophageal motility
disorders.
AB - Functional magnetic resonance imaging (fMRI) has been recently proposed for the
evaluation of the esophagus. Our aim is to assess the role of fMRI as a technique
to assess morphological and functional parameters of the esophagus in patients
with esophageal motor disorders and in healthy controls. Subsequently, we
assessed the diagnostic efficiency of fMRI in comparison to videofluoroscopic and
manometric findings in the investigation of patients with esophageal motor
disorders. Considering that fMRI was shown to offer valuable information on bolus
transit and on the caliber of the esophagus, variations of these two parameters
in the different types of esophageal motor alterations have been assessed. fMRI,
compared to manometry and videofluoroscopy, showed that a deranged or absent
peristalsis is significantly associated with slower transit time and with
increased esophageal diameter. Although further studies are needed, fMRI
represents a promising noninvasive technique for the integrated functional and
morphological evaluation of esophageal motility disorders.
PMID- 21904544
TI - Pelvic lymphadenectomy in the treatment of invasive bladder cancer: literature
review.
AB - The standard surgical treatment of invasive bladder cancer is the radical
cystectomy and pelvic lymph node dissection (PLND). Up to one-third of patients
with invasive bladder cancer have lymph node metastasis. Thus, PLND has important
therapeutic and prognostic benefits. The number of lymph nodes that should be
removed and the extent of the PLND are still a controversial issue. Recently, the
trend of PLND increased toward more extended PLND. Several prognostic factors
related to PLND were reported in the literature. In this paper, we will discuss
the different PLND templates, number of lymph nodes that should be resected,
lymph node density, lymphovascular invasion, tumor burden, extracapsular
extension, and the aggregate lymph node metastasis diameter.
PMID- 21904546
TI - Genome evolution.
PMID- 21904545
TI - Immune Modulation as Adjunctive Therapy for Pneumocystis pneumonia.
AB - Pneumocystis is an opportunistic fungal respiratory pathogen that causes life
threatening pneumonia (Pcp) in patients suffering from defects in cell-mediated
immunity, including those with acquired immunodeficiency syndrome (AIDS) and
immunosuppression secondary to chemotherapy or organ transplantation. Despite
major advances in health care, the mortality associated with Pcp has changed
little over the past 25 years. Pcp remains a leading cause of death among HIV
infected patients, with mortality rates of 50% or higher for patients developing
severe Pcp. In addition, as more potent immunosuppressive therapies are developed
for chronic inflammatory diseases, more cases of Pcp are occurring in non-HIV
patients and in previously unreported clinical settings. These features highlight
the importance of developing a better understanding of the pathogenesis of this
disease, and the need to search for new therapeutic strategies to improve the
outcome of Pcp patients. Immune-mediated inflammatory responses play an important
role in the pathogenesis of Pcp, and may be even more significant in determining
the outcome of Pcp than direct damage due to the organism itself. In this review
we will summarize the immunopathogenic mechanisms that contribute to Pcp
associated lung injury, and discuss the potential to target these pathways for
adjunctive immune modulation therapy for Pcp.
PMID- 21904547
TI - Moderate weight reduction in an outpatient obesity intervention program
significantly reduces insulin resistance and risk factors for cardiovascular
disease in severely obese adolescents.
AB - Background. Metabolic risk factors like insulin resistance and dyslipidemia are
frequently observed in severly obese children. We investigated the hypothesis
that moderate weight reduction by a low-threshold intervention is already able to
reduce insulin resistance and cardiovascular risk factors in severely obese
children. Methods. A group of 58 severely obese children and adolescents between
8 and 17 years participating in a six-month-long outpatient program was studied
before and after treatment. The program included behavioral treatment, dietary
education and specific physical training. Metabolic parameters were measured in
the fasting state, insulin resistance was evaluated in an oral glucose tolerance
test. Results. Mean standard deviation score of the body mass index (SDS-BMI) in
the study group dropped significantly from +2.5 +/- 0.5 to 2.3 +/- 0.6 (P <
0.0001) after participation in the program. A significant decrease was observed
in HOMA (6.3 +/- 4.2 versus 4.9 +/- 2.4, P < 0.03, and in peak insulin levels
(232.7 +/- 132.4 versus 179.2 +/- 73.3 MUU/mL, P < 0.006). Significant reductions
were also observed in mean levels of hemoglobin A(1c), total cholesterol and LDL
cholesterol. Conclusions. These data demonstrate that already moderate weight
reduction is able to decrease insulin resistance and dyslipidemia in severely
obese children and adolescents.
PMID- 21904548
TI - Prevalence of epithelial ovarian cancer stem cells correlates with recurrence in
early-stage ovarian cancer.
AB - Epithelial ovarian cancer stem cells (EOC stem cells) have been associated with
recurrence and chemoresistance. CD44 and CK18 are highly expressed in cancer stem
cells and function as tools for their identification and characterization. We
investigated the association between the number of CD44+ EOC stem cells in
ovarian cancer tumors and progression-free survival. EOC stem cells exist as
clusters located close to the stroma forming the cancer stem cell "niche". 17.1%
of the samples reveled high number of CD44+ EOC stem cells (>20% positive cells).
In addition, the number of CD44+ EOC stem cells was significantly higher in
patients with early-stage ovarian cancer (FIGO I/II), and it was associated with
shorter progression-free survival (P = 0.026). This study suggests that
quantification of the number of EOC stem cells in the tumor can be used as a
predictor of disease and could be applied for treatment selection in early-stage
ovarian cancer.
PMID- 21904549
TI - Angiogenesis in acute myeloid leukemia and opportunities for novel therapies.
AB - Acute myeloid leukemia (AML) arises from neoplastic transformation of
hematopoietic stem and progenitor cells, and relapsed disease remains one of the
greater challenges in treating this hematologic malignancy. This paper focuses on
angiogenic aspects of AML including the significance and prognostic value of bone
marrow microvessel density and circulating cytokine levels. We show three general
mechanisms whereby AML exploits angiogenic pathways, including direct induction
of angiogenesis, paracrine regulation, and autocrine stimulation. We also present
early evidence that leukemia cells contribute directly to vascular endothelia.
Novel treatment strategies are proposed, and a review of relevant antiangiogenic
clinical trials is presented. By understanding how blood vessels can serve as a
reservoir for refractory and relapsed AML, new diagnostics and promising
treatment strategies can be developed.
PMID- 21904551
TI - Oral health-related quality of life of greek adults: a cross-sectional study.
AB - Purpose. The aim of the present study was to investigate the impact of oral
health status on the quality of life of adults in different regions of Greece,
using the Oral Health Impact Profile-short form (OHIP-14). Methods. A random
sample consisting of a total of 504 Greek adults between the ages of 35-44 years
(mean 39.1 +/- 3.5) was selected from different urban and rural areas, and face
to-face interviews were conducted using the validated Greek language OHIP-14.
Associations of the total OHIP-14 score and its 7 sub-scales along with the self
perceived quality of life were evaluated with Spearman's correlations. Results.
The subjects had an overall weighted OHIP-14 score of 1.1 (sd 1.9). No
significant differences were found for either rural or non-metropolitan areas
when compared to urban or metropolitan regions. High scores of above 2 were
determined for functional limitation, physical pain, handicap, and the
psychological discomfort scales. The education level of the subjects had a
significant positive impact on the quality of life of the subjects. Conclusions.
Dental and oral health conditions are factors that do impact on the quality of
life of individuals.
PMID- 21904550
TI - The Role of PTEN in Tumor Angiogenesis.
AB - During the past 20 years, the phosphatase and tensin homolog PTEN has been shown
to be involved in major physiological processes, and its mutation or loss is
often associated with tumor formation. In addition PTEN regulates angiogenesis
not only through its antagonizing effect on the PI3 kinase pathway mainly, but
also through some phosphatase-independent functions. In this paper we delineate
the role of this powerful tumor suppressor in tumor angiogenesis and dissect the
underlying molecular mechanisms. Furthermore, it appears that, in a number of
cancers, the PTEN status determines the response to chemotherapy, highlighting
the need to monitor PTEN expression and to develop PTEN-targeted therapies.
PMID- 21904552
TI - Insights into the Function of the Unstructured N-Terminal Domain of Proteins 4.1R
and 4.1G in Erythropoiesis.
AB - Membrane skeletal protein 4.1R is the prototypical member of a family of four
highly paralogous proteins that include 4.1G, 4.1N, and 4.1B. Two isoforms of
4.1R (4.1R(135) and 4.1R(80)), as well as 4.1G, are expressed in erythroblasts
during terminal differentiation, but only 4.1R(80) is present in mature
erythrocytes. One goal in the field is to better understand the complex
regulation of cell type and isoform-specific expression of 4.1 proteins. To start
answering these questions, we are studying in depth the important functions of
4.1 proteins in the organization and function of the membrane skeleton in
erythrocytes. We have previously reported that the binding profiles of 4.1R(80)
and 4.1R(135) to membrane proteins and calmodulin are very different despite the
similar structure of the membrane-binding domain of 4.1G and 4.1R(135). We have
accumulated evidence for those differences being caused by the N-terminal 209
amino acids headpiece region (HP). Interestingly, the HP region is an
unstructured domain. Here we present an overview of the differences and
similarities between 4.1 isoforms and paralogs. We also discuss the biological
significance of unstructured domains.
PMID- 21904553
TI - Candida albicans versus Candida dubliniensis: Why Is C. albicans More Pathogenic?
AB - Candida albicans and Candida dubliniensis are highly related pathogenic yeast
species. However, C. albicans is far more prevalent in human infection and has
been shown to be more pathogenic in a wide range of infection models. Comparison
of the genomes of the two species has revealed that they are very similar
although there are some significant differences, largely due to the expansion of
virulence-related gene families (e.g., ALS and SAP) in C. albicans, and increased
levels of pseudogenisation in C. dubliniensis. Comparative global gene expression
analyses have also been used to investigate differences in the ability of the two
species to tolerate environmental stress and to produce hyphae, two traits that
are likely to play a role in the lower virulence of C. dubliniensis. Taken
together, these data suggest that C. dubliniensis is in the process of undergoing
reductive evolution and may have become adapted for growth in a specialized
anatomic niche.
PMID- 21904554
TI - Communication, psychosocial, and educational outcomes of children with cochlear
implants and challenges remaining for professionals and parents.
AB - This paper provides an overview and a synthesis of the findings of a large,
multifaceted study investigating outcomes from paediatric cochlear implantation.
The study included children implanted at several Australian implant clinics and
attending a variety of early intervention and educational settings across a range
of locations in eastern Australia. It investigated three major aspects of
childhood cochlear implantation: (1) parental expectations of their children's
implantation, (2) families' decision-making processes, and (3) the communication,
social, and educational outcomes of cochlear implantation for deaf children. It
employed a mixed-methods approach in which quantitative survey data were gathered
from 247 parents and 151 teachers, and qualitative data from semistructured
interviews with 27 parents, 15 teachers, and 11 children and adolescents with
cochlear implants. The summarised findings highlight several areas where
challenges remain for implant clinics, parents, and educators if children with
cochlear implants are to reach their full potential personally, educationally,
and socially.
PMID- 21904555
TI - Clinicopathological Role of Serum-Derived Hyaluronan-Associated Protein (SHAP)
Hyaluronan Complex in Endometrial Cancer.
AB - The role of hyaluronan (HA), serum-derived HA-associated protein (SHAP)-HA
complex and hyaluronan synthase (HAS) in endometrial carcinomas was investigated.
The relationship of metalloproteinase (MMP) and its inhibitor (TIMP) with HA and
the SHAP-HA complex was also examined. The expression of HAS1 was related to the
depth of myometrial invasion and lymph-vascular space involvement. The serum
levels of HA, SHAP-HA complex, MMP-9, and TIMP-1 were increased in related with
the depth of myometrial invasion, histological grade and lymph-vascular space
involvement. They were also higher in the HAS1-positive group compared to
negative group. The serum concentrations of HA and SHAP-HA complex had a
significant correlation with the MMP-9 and TIMP-1. The patients with elevated
SHAP-HA complex had the shorter disease-free survival. The multivariate analysis
revealed that the SHAP-HA complex was the independent variable for disease-free
survival of endometrial cancer patients. In conclusion, the elevation of serum
SHAP-HA complex depended on the HAS1 expression and the SHAP-HA complex is a
useful marker to predict disease recurrence in endometrial cancer patients. The
SHAP-HA complex may promote the lymph-vascular space involvement and the
synthesis and activation of MMP-9 and TIMP-1 in the progression of endometrial
cancer.
PMID- 21904556
TI - Feasibility of multiple repeat gamma knife radiosurgeries for trigeminal
neuralgia: a case report and review of the literature.
AB - Treatment options for trigeminal neuralgia (TN) must be customized for the
individual patient, and physicians must be aware of the medical, surgical, and
radiation treatment modalities to prescribe optimal treatment courses for
specific patients. The following case illustrates the potential for gamma knife
radiosurgery (GKRS) to be repeated multiple times for the purpose of achieving
facial pain control in cases of TN that have been refractory to other medical and
surgical options, as well as prior GKRS. The patient described failed to achieve
pain control with initial GKRS, as well as medical and surgical treatments, but
experienced significant pain relief for a period of time with a second GKRS
procedure and later underwent a third procedure. Only a small subset of patients
have reportedly undergone more than two GKRS for TN; thus, further research and
long-term clinical followup will be valuable in determining its usefulness in
specific clinical situations.
PMID- 21904557
TI - From banking to international governance: fostering innovation in stem cell
research.
AB - Stem cell banks are increasingly recognized as an essential resource of
biological materials for both basic and translational stem cell research. By
providing transnational access to quality controlled and ethically sourced stem
cell lines, stem cell banks seek to foster international collaboration and
innovation. However, given that national stem cell banks operate under different
policy, regulatory and commercial frameworks, the transnational sharing of stem
cell materials and data can be complicating. This paper will provide an overview
of the most pressing challenges regarding the governance of stem cell banks, and
the difficulties in designing regulatory and commercial frameworks that foster
stem cell research. Moreover, the paper will shed light on the numerous
international initiatives that have arisen to help harmonize and standardize stem
cell banking and research processes to overcome such challenges.
PMID- 21904558
TI - Structural and Functional Consequences Induced by Post-Translational
Modifications in alpha-Defensins.
AB - HNP-1 is an antimicrobial peptide that undergoes proteolytic cleavage to become a
mature peptide. This process represents the mechanism commonly used by the cells
to obtain a fully active antimicrobial peptide. In addition, it has been recently
described that HNP-1 is recognized as substrate by the arginine-specific ADP
ribosyltransferase-1. Arginine-specific mono-ADP-ribosylation is an enzyme
catalyzed post-translational modification in which NAD(+) serves as donor of the
ADP-ribose moiety, which is transferred to the guanidino group of arginines in
target proteins. While the arginine carries one positive charge, the ADP-ribose
is negatively charged at the phosphate moieties at physiological pH. Therefore,
the attachment of one or more ADP-ribose units results in a marked change of
cationicity. ADP-ribosylation of HNP-1 drastically reduces its cytotoxic and
antibacterial activities. While the chemotactic activity of HNP-1 remains
unaltered, its ability to induce interleukin-8 production is enhanced. The
arginine 14 of HNP-1 modified by the ADP-ribose is in some cases processed into
ornithine, perhaps representing a different modality in the regulation of HNP-1
activities.
PMID- 21904559
TI - Integrated models for solid waste management in tourism regions: Langkawi Island,
Malaysia.
AB - The population growth, changing consumption patterns, and rapid urbanization
contribute significantly to the growing volumes of solid waste that are generated
in urban settings. As the rate of urbanization increases, demand on the services
of solid waste management increases. The rapid urban growth in Langkawi Island,
Malaysia, combined with the increasing rates of solid waste production has
provided evidence that the traditional solid waste management practices,
particularly the methods of waste collection and disposal, are inefficient and
quite nonsustainable. Accordingly, municipal managers and planners in Langkawi
need to look for and adopt a model for solid waste management that emphasizes an
efficient and sustainable management of solid wastes in Langkawi Island. This
study presents the current practices of solid waste management in Langkawi
Island, describes the composition of the solid waste generated in that area, and
presents views of local residents and tourist on issues related to solid waste
management like the aesthetic value of the island environment. The most important
issue of this paper is that it is the first time that integrated solid waste
management is investigated in the Langkawi Island.
PMID- 21904560
TI - Comparative approach to define increased regulatory T cells in different cancer
subtypes by combined assessment of CD127 and FOXP3.
AB - In recent years an increase of functional CD4(+)CD25(+) regulatory T cells
(T(reg) cells) has been established for patients with solid tumors, acute
leukemias, and lymphomas. We have reported an expanded pool of CD4(+)CD25(high)
T(reg) cells in patients with chronic lymphatic leukemia (CLL), multiple myeloma
(MM) as well as its premalignant precursor monoclonal gammopathy of undetermined
significance (MGUS). In healthy individuals, low-level expression of CD127 on T
cells in addition to the expression of FOXP3 has been associated with T(reg)
cells. Here, we demonstrate that the expanded FOXP3(+) T-cell population in
patients with colorectal cancer, CLL, MGUS, MM, follicular lymphoma, and
Hodgkin's disease are exclusively CD127(low) T(reg) cells and were strongly
suppressive. A significant portion of CD127(low)FOXP3(+) T(reg) cells expressed
only low levels of CD25 suggesting that the previously reported expansion of
CD25(+) T(reg) cells underestimates the true expansion. The assessment of CCR7
and CD45RA expression on the expanded CD4(+)CD127(low)FOXP3(+) T(reg) cells
revealed an increase of both naive as well as central and effector memory T(reg)
cells in peripheral blood. Our data strongly support superiority of combined
CD127 and FOXP3 analysis in comparison to CD25 and FOXP3 assessment for further
quantification of T(reg) cells in malignant diseases.
PMID- 21904561
TI - Identification and Characterization of Cell Wall Proteins of a Toxic
Dinoflagellate Alexandrium catenella Using 2-D DIGE and MALDI TOF-TOF Mass
Spectrometry.
AB - The cell wall is an important subcellular component of dinoflagellate cells with
regard to various aspects of cell surface-associated ecophysiology, but the full
range of cell wall proteins (CWPs) and their functions remain to be elucidated.
This study identified and characterized CWPs of a toxic dinoflagellate,
Alexandrium catenella, using a combination of 2D fluorescence difference gel
electrophoresis (DIGE) and MALDI TOF-TOF mass spectrometry approaches. Using
sequential extraction and temperature shock methods, sequentially extracted CWPs
and protoplast proteins, respectively, were separated from A. catenella. From the
comparison between sequentially extracted CWPs labeled with Cy3 and protoplast
proteins labeled with Cy5, 120 CWPs were confidently identified in the 2D DIGE
gel. These proteins gave positive identification of protein orthologues in the
protein database using de novo sequence analysis and homology-based search. The
majority of the prominent CWPs identified were hypothetical or putative proteins
with unknown function or no annotation, while cell wall modification enzymes,
cell wall structural proteins, transporter/binding proteins, and signaling and
defense proteins were tentatively identified in agreement with the expected role
of the extracellular matrix in cell physiology. This work represents the first
attempt to investigate dinoflagellate CWPs and provides a potential tool for
future comprehensive characterization of dinoflagellate CWPs and elucidation of
their physiological functions.
PMID- 21904562
TI - Effects of bee venom on glutamate-induced toxicity in neuronal and glial cells.
AB - Bee venom (BV), which is extracted from honeybees, is used in traditional Korean
medical therapy. Several groups have demonstrated the anti-inflammatory effects
of BV in osteoarthritis both in vivo and in vitro. Glutamate is the predominant
excitatory neurotransmitter in the central nervous system (CNS). Changes in
glutamate release and uptake due to alterations in the activity of glutamate
transporters have been reported in many neurodegenerative diseases, including
Parkinson's disease, Alzheimer's disease, and amyotrophic lateral sclerosis. To
assess if BV can prevent glutamate-mediated neurotoxicity, we examined cell
viability and signal transduction in glutamate-treated neuronal and microglial
cells in the presence and absence of BV. We induced glutamatergic toxicity in
neuronal cells and microglial cells and found that BV protected against cell
death. Furthermore, BV significantly inhibited the cellular toxicity of
glutamate, and pretreatment with BV altered MAP kinase activation (e.g., JNK,
ERK, and p38) following exposure to glutamate. These findings suggest that
treatment with BV may be helpful in reducing glutamatergic cell toxicity in
neurodegenerative diseases.
PMID- 21904563
TI - Brain-modulated effects of auricular acupressure on the regulation of autonomic
function in healthy volunteers.
AB - Auricular acupuncture has been described in ancient China as well as Egypt,
Greece, and Rome. At the end of the 1950s, ear acupuncture was further developed
by the French physician Dr. Paul Nogier. The goal of this study was to develop a
new system for ear acupressure (vibration stimulation) and to perform pilot
investigations on the possible acute effects of vibration and manual ear
acupressure on heart rate (HR), heart rate variability (HRV), pulse wave velocity
(PWV), and the augmentation index (AIx) using new noninvasive recording methods.
Investigations were performed in 14 healthy volunteers (mean age +/- SD: 26.3 +/-
4.3 years; 9 females, 5 males) before, during, and after acupressure vibration
and manual acupressure stimulation at the "heart" auricular acupuncture point.
The results showed a significant decrease in HR (P <= 0.001) and a significant
increase in HRV total (P = 0.008) after manual ear acupressure. The PWV decreased
markedly (yet insignificantly) whereas the AIx increased immediately after both
methods of stimulation. The increase in the low-frequency band of HRV was mainly
based on the intensification of the related mechanism of blood pressure
regulation (10-s-rhythm). Further studies in Beijing using animal models and
investigations in Graz using human subjects are already in progress.
PMID- 21904564
TI - Antirheumatoid Arthritis Activities and Chemical Compositions of Phenolic
Compounds-Rich Fraction from Urtica atrichocaulis, an Endemic Plant to China.
AB - Urtica atrichocaulis, an endemic plant to China, is commonly used to treat
rheumatoid arthritis even though its pharmaceutical activities and chemical
constituents were not studied. Herein, we reported our investigations on the
chemical compositions of the phenolic compounds-rich fraction from U.
atrichocaulis (TFUA) and their antirheumatoid arthritis activities. We found that
the TFUA significantly inhibited the adjuvant-induced rats arthritis, carrageenin
induced rats paw edema, cotton pellet-induced mice granuloma, and the acetic acid
induced mice writhing response. Our phytochemical investigations on the TFUA
resulted in the first-time isolation and identification of 17 phenolic
constituents and a bis (5-formylfurfuryl) ether. The extensive HPLC analysis also
revealed the chemical compositions of TFUA. Our further biological evaluation of
the main phenolic components, individually and collectively, indicated that the
antirheumatoid arthritis activities of TFUA were the combined effect of multiple
phenolic constituents.
PMID- 21904565
TI - Liuwei dihuang lowers body weight and improves insulin and leptin sensitivity in
obese rats.
AB - The present study was aimed at investigating the efficacy and mechanism(s) of
action of a Chinese herbal formulation, Liuwei Dihuang (LWDH), as a prospective
natural weight-lowering product. Following a 2-week acclimation period, 48
obesity-prone (OP-CD) rats were divided into 4 groups (n = 12 each). One group
served as a positive control for obesity (OP), while the other 3 were challenged
twice daily by oral gavage with total daily dosages of 500, 1500, or 3500 mg/kg
BW LWDH, respectively, for 10 weeks. One group (n = 12) of obesity-resistant (OR
CD) rats served as the normal control group. All rats were fed the same AIN-93G
diet modified to contain 60% energy from fat. The highest LWDH dose significantly
reduced body weight during the last 4 weeks of treatment. Food intake was reduced
beginning in week 2. The high LWDH dose lowered serum triglyceride (TG) and
nonesterified fatty acid (NEFA) levels and body fat. Both the high and medium
doses also lowered serum leptin and insulin levels. Liver function testing
revealed no adverse side effects under the current experimental conditions. The
results of the present study suggest that LWDH has potential as a preventive or
therapeutic natural product against overweight and obesity.
PMID- 21904567
TI - Management of invasive bladder cancer in patients who are not candidates for or
decline cystectomy.
AB - Bladder cancer is a common malignancy seen in older adults with coexisting
medical illnesses. The management of patients with muscle invasive disease
includes perioperative chemotherapy and radical cystectomy; however, patients may
decline surgery and older patients with comorbid conditions may not be candidates
for surgery and thus alternative treatment strategies are needed. Trimodality
bladder preservation protocols for muscle invasive bladder cancer have generally
included only those patients who are candidates for a salvage cystectomy. In this
review, we discuss the current status of bladder preservation treatment options
for patients with muscle-invasive disease who are not candidates for cystectomy
or who decline surgery and highlight the need for clinical trials investigating
novel treatment approaches in this older patient population.
PMID- 21904566
TI - Total testosterone in young men is more closely associated than free testosterone
with prostate cancer disparities.
AB - INTRODUCTION: Early adulthood has been suggested as the most relevant time to
determine the influence of testosterone on prostate carcinogenesis. For a more
detailed assessment of this hypothesis, the present study examined whether serum
total or free testosterone in young men was more closely associated with prostate
cancer disparities. METHODS: A literature search was conducted for studies that
reported both total and free testosterone levels for population samples of young
men, along with prostate cancer incidences for the populations from which study
populations were sampled. A previously developed analytical method was used to
standardize the hormone levels of 19 population samples gathered from nine
studies, and these standardized values were compared with disparities in prostate
cancer incidence. RESULTS: Population differences in total testosterone levels
were significantly associated with prostate cancer disparities, r = 0.833, p =
0.001, as were population differences in free testosterone, r = 0.661, p = 0.027.
After controlling for age differences, total and free testosterone remained
associated with prostate cancer disparities, partial r = 0.888, p < 0.001, and
partial r = 0.657, p = 0.039, respectively. A marginally significant difference
existed in the strength of relationships between total and free testosterone with
respect to prostate cancer disparities, with total testosterone exhibiting a
stronger association, T(2) = 1.573, p = 0.077. CONCLUSIONS: Across analyses,
total testosterone demonstrated a more robust relationship than free testosterone
with cancer disparities, which may suggest that total testosterone is the more
sensitive biomarker for evaluating androgenic stimulation of the prostate gland.
PMID- 21904568
TI - Instrumentation in endourology.
AB - Success with endourological procedures requires expertise and instrumentation.
This review focuses on the instrumentation required for ureteroscopy and
percutaneous nephrolithotomy, and provides a critical assessment of in vitro and
clinical studies that have evaluated the comparative effectiveness of these
medical devices.
PMID- 21904569
TI - An update on the use of gonadotropin-releasing hormone antagonists in prostate
cancer.
AB - Androgen deprivation therapy (ADT) is the main treatment approach in advanced
prostate cancer and in recent years has primarily involved the use of
gonadotropin-releasing hormone (GnRH) agonists. However, despite their efficacy,
GnRH agonists have several drawbacks associated with their mode of action. These
include an initial testosterone surge and testosterone microsurges on repeat
administration. GnRH antagonists provide an alternative approach to ADT with a
more direct mode of action that involves immediate blockade of GnRH receptors.
Antagonists produce a more rapid suppression of testosterone (and prostate
specific antigen [PSA]) without a testosterone surge or microsurges and appear to
offer an effective and well tolerated option for the hormonal treatment of
prostate cancer. Comparisons with GnRH agonists have shown GnRH antagonists to be
at least as effective in achieving and maintaining castrate testosterone levels
in patients with prostate cancer. Furthermore, with antagonists, the lack of an
initial testosterone surge (which may cause clinical flare) may allow more rapid
relief of symptoms related to prostate cancer, avoid the need for concomitant
antiandrogens to prevent clinical flare (so avoiding any antiandrogen-associated
adverse events) and allow GnRH antagonist use in patients with high tumour burden
and/or acute problems such as spinal cord compression. Although several
antagonists have been investigated, only degarelix and abarelix are currently
available for clinical use in prostate cancer. Currently, degarelix is the most
extensively studied and widely available agent in this class. Degarelix is one of
a newer generation of antagonists which, in a comprehensive and ongoing clinical
development programme, has been shown to provide rapid, profound and sustained
testosterone suppression without the systemic allergic reactions associated with
earlier antagonists. This review examines the currently available data on GnRH
antagonists in prostate cancer.
PMID- 21904570
TI - Laparoendoscopic single-site pyeloplasty.
AB - Since the inception of laparoscopic surgery, there has been an ongoing effort to
develop an even more 'minimally invasive' approach to surgery. A novel example of
such advancement can be found in laparoendoscopic single-site (LESS) surgery.
Performing surgery through a single site of access holds the promise of decreased
morbidity, lower blood loss, shorter convalescence, and improved cosmesis. Given
the nonextirpative nature of the pyeloplasty procedure, this patient cohort has
proven to be the ideal candidates for LESS surgery. The driving force behind
adaptation of this newer technique can be attributed to innovations in access
sites and devices, instrumentation, optics, and robotic-based assistance. There
are now several studies in the published literature demonstrating the feasibility
and efficacy of LESS pyeloplasty in both children and adults. Although
comparative studies have failed to demonstrate any objective advantage of the
LESS pyeloplasty operation, it is important to realize that LESS surgery is still
a fairly new technique. Further technological developments and additional studies
will ultimately define its role in the field of urologic surgery, and
subsequently, its application for the pyeloplasty procedure.
PMID- 21904572
TI - The challenge of developing universal vaccines.
AB - Antigenic variability of immunodominant antigens is a common mechanism used by
pathogens to escape the immune response. Frequently, the proposed solution is a
universal vaccine based on conserved antigens present on all strains of the
pathogen. Indeed, a lot of progress has been made in the development of vaccines
that induce broad immune responses. However, truly universal vaccines are not
easy to produce and still face many challenges, mostly because in those pathogens
that use antigenic variability to escape the immune response, conserved antigens
have been selected by evolution to be poorly immunogenic. This review describes
the progress made towards the development of vaccines inducing broad protection
against Neisseria meningitidis, influenza, HIV, and Candida and the challenges of
developing truly universal vaccines.
PMID- 21904571
TI - Diagnosis and management of premalignant penile lesions.
AB - Diagnosing premalignant penile lesions from benign penile dermatoses presents a
unique challenge. The rarity of these conditions and the low incidence of penile
cancer mean that the majority of our knowledge is based on small, non-randomized,
retrospective studies. The introduction of specialist penile cancer centres in
the UK has resulted in the centralization of expertise and resources, and has
furthered our understanding of the biological behaviour and management of this
rare malignancy. We review the current trends in the approach to diagnosing and
treating various premalignant penile conditions.
PMID- 21904573
TI - Pulmonary lymphangioleiomyomatosis: unusual manifestations of multiple large
pulmonary nodules with retroperitoneal lymph node involvement mimicking
metastatic malignancy.
AB - Pulmonary lymphangioleiomyomatosis (LAM) is a rare, idiopathic disorder that
predominantly affects the lung parenchyma of women of childbearing age. While the
characteristic radiographic finding of pulmonary LAM consists of multiple well
defined thin-walled cysts, we describe a very unusual case of pulmonary LAM with
multiple bilateral large pulmonary nodules and retroperitoneal involvement
mimicking metastatic malignancy. A 48-year-old woman who had never smoked with a
history of bilateral pneumothorax presented with progressive exertional dyspnea
and abdominal discomfort. Imaging studies revealed multiple enlarged
retroperitoneal lymph nodes, ascites and bilateral multiple large pulmonary
nodules ranging from 3 to 18 mm in diameter. Exploratory laparoscopic surgery for
intra-abdominal lesions and video-assisted thoracoscopic wedge resection of lung
nodules were carried out to rule out metastatic malignancy. Pathology showed
benign looking smooth muscle cell proliferation and immunoreactivity for alpha
smooth muscle actin and HMB-45 in both specimens. After treatment with GnRH
antagonist, the patient was well over a 6-month period without evidence of
disease progression.
PMID- 21904574
TI - Renal infarction and rapidly progressive arterial thromboembolism following a
percutaneous renal biopsy.
AB - A percutaneous renal biopsy is the diagnostic procedure of choice in a variety of
renal diseases. Although the risk is generally minimal, serious complications can
rarely occur. Here we present the case of a 50-year-old male with renal
amyloidosis due to myeloma, who suddenly developed left flank pain after a
percutaneous renal biopsy. Imaging studies revealed a renal infarction with an
intraluminal thrombus in the left wall of the descending aorta. Subsequent
arterial thromboembolic events in the left limb followed immediately after the
femoral arteriography. Arterial thromboembolism is a relatively rare, serious,
unexpected and multifactorial event that occurs during an exacerbation of the
nephrotic syndrome. In this case, the arterial vascular trauma from the renal
biopsy and arterial puncture for angiography might have increased the risk for
the thrombotic episode that resulted in death. Therefore, a thorough assessment
for the risk factors prior to an invasive procedure such as a renal biopsy should
be required so that patients at high risk can be identified.
PMID- 21904577
TI - Maintenance therapy in advanced non-small cell lung cancer: evolution,
tolerability and outcomes.
AB - Non-small cell lung cancer (NSCLC) is the leading cause of cancer death in the
industrialized world. Despite significant progress in early stage disease,
survival rates for advanced disease remain low. Maintenance therapy is a
treatment strategy that has been investigated extensively in NSCLC and has been
the subject of considerable recent debate. Options for maintenance include
continuing the initial combination chemotherapy regimen, continuing only single
agent chemotherapy ('continuation maintenance') or introducing a new agent
('switch' maintenance therapy). Therapies that have been studied in this setting
in randomized trials to date include chemotherapy, molecularly targeted agents
and immunotherapy approaches. Following the development of multiple new agents
that show activity in NSCLC, and have a tolerable side-effect profile, there has
been increasing interest in utilizing them to maintain response to initial
therapy after treatment with platinum-based doublets. Despite considerable
controversy, it has become an acceptable treatment paradigm. Here, we briefly
outline the evolution of this treatment paradigm and examine which subgroups of
patients are most likely to benefit.
PMID- 21904575
TI - Genotype-driven therapies for non-small cell lung cancer: focus on EGFR, KRAS and
ALK gene abnormalities.
AB - Non-small cell lung cancers (NSCLCs) are heterogeneous cancers. In 2004, the
identification of epidermal growth factor receptor (EGFR) somatic mutations
provided the first glimpse of a clinically relevant NSCLC oncogene. Approximately
70% of NSCLCs with EGFR mutations (exon 19 deletions or the exon 21 L858R) attain
responses to EGFR tyrosine kinase inhibitors (TKIs) gefitinib and erlotinib, with
improved response rate (RR), progression-free survival (PFS) and in some reports
overall survival (OS) when compared with EGFR wildtype (WT) cases. Three
randomized trials of gefitinib versus chemotherapy (IPASS, WJTOG3405, NEJ002) in
stage IV NSCLC have consistently demonstrated better RR and PFS (hazard ratios of
0.48 [IPASS], 0.49 [WJTOG3405] and 0.30 [NEJ002]) for EGFR-mutated NSCLCs treated
with gefitinib. Novel irreversible EGFR TKIs (afatinib, XL647, PF00299804) show
similar activity in EGFR-mutated patients. A translocation involving the
anaplastic lymphoma kinase (ALK) gene with EML4, identified in 2007, is the most
recent oncogene found in NSCLC. Crizotinib (PF02341066), an ALK TKI, has shown
impressive activity against ALK translocated NSCLC in an expanded cohort of a
phase I trial (NCT00585195). Over 80 patients have been treated and the RR is
~60% with the 6-month PFS rate exceeding 70%. A registration phase III trial of
crizotinib versus second-line chemotherapy (pemetrexed/docetaxel) is underway
(PROFILE 1007, NCT00932893). KRAS, EGFR mutations and ALK translocations are
mutually exclusive and few EGFR WT NSCLCs respond to EGFR TKIs. The promising
results of EGFR and ALK TKIs in molecular subgroups of NSCLCs herald a new age of
drug and clinical trial development for patients with NSCLC.
PMID- 21904576
TI - Prognostic factors in stage III non-small cell lung cancer: a review of
conventional, metabolic and new biological variables.
AB - Lung cancer is one of the most frequently occurring neoplasms and usually has a
poor prognosis because most of the patients present with advanced or metastatic
disease at the time of diagnosis. Numerous prognostic factors (PFs) have been
studied, but the two most prominent, having both prognostic and operational
values, are disease stage and performance status. Even if the literature on PFs
in lung cancer is impressive, the number of publications specifically dealing
with PFs in stage III non-small cell lung cancer (NSCLC) is limited. We reviewed
the literature on this topic and separated the available information into three
groups: conventional PFs, metabolic criteria (standardized uptake value [SUV]
measured on(18)F-FDG-PET) and new biomarkers. Performance status and the
distinction between stage IIIA and IIIB confirmed their prognostic value in stage
III NSCLC. Other conventional PFs have been suggested such as age, weight loss,
response to treatment and some characteristics describing the locoregional
extension of the tumour. There is a place for the SUV as a PF for survival in
early NSCLC, but its role in stage III NSCLC has to be further assessed. Some new
biomarkers involved in cell cycle regulation or in apoptosis have been shown to
have potential value. Their role needs to be confirmed in large prospective
studies including conventional PFs to determine their independent value as a PF
in stage III NSCLC. In conclusion, few PFs have been well evaluated in stage III
NSCLC. New studies, taking into account the modifications derived from the 7th
international staging system of the UICC, have to be performed.
PMID- 21904578
TI - Experience of first- and subsequent-line systemic therapy in the treatment of non
small cell lung cancer.
AB - INTRODUCTION: The treatment of advanced non-small cell cancer (NSCLC) has changed
with multiple new treatment algorithms proposed based on histological and
molecular subtyping but low mutation rates will ensure the dominance of cytotoxic
chemotherapy. Accordingly, we undertook a detailed review of our practice
delivering multiple lines of systemic therapy. METHOD: We undertook a
retrospective review of consecutive patients presenting with advanced (stage
IIIb/IV) NSCLC treated with systemic therapy at two UK hospitals during a 2-year
period, January 2007 to December 2008. RESULTS: A total of 130 patients were
identified, treated with predominantly carboplatin/gemcitabine (20 initially
radically). Fifty of 110 patients (45%) treated with first-line systemic therapy
subsequently received second-line therapy, of which 10 patients received third
line and two patients fourth-line therapy. Sixty three of 110 first-line patients
(58%) achieved clinical benefit, 19 out of 50 (38%) in the second-line, 6 out of
10 (60%) in third-line but both patients progressed at fourth-line. Median
overall survival for 110 patients was 10 months (95% confidence interval [CI] 8.6
11.4); but 16 months (95% CI 14-17.9) in those receiving multiple lines. Median
survival from the first cycle of last-line treatment to death in the multiple
therapy lines was 5 months (95% CI 2.6-7.3) and the majority of patients spent
more time off treatment. CONCLUSION: Overall our outcomes are consistent with
published data and show good survival times can be achieved. The future of
advanced NSCLC is in selecting the best treatment approach on a histological and
genotypic basis.
PMID- 21904579
TI - The role of the c-Met pathway in lung cancer and the potential for targeted
therapy.
AB - Hepatocyte growth factor receptor (HGFR), the product of the MET gene, plays an
important role in normal cellular function and oncogenesis. In cancer, HGFR has
been implicated in cellular proliferation, cell survival, invasion, cell
motility, metastasis and angiogenesis. Activation of HGFR can occur through
binding to its ligand, hepatocyte growth factor (HGF),
overexpression/amplification, mutation, and/or decreased degradation.
Amplification of HGFR can occur de novo or in resistance to therapy. Mutations of
HGFR have been described in the tyrosine kinase domain, juxtamembrane domain, or
semaphorin domain in a number of tumors. These mutations appear to have gain of
function, and also reflect differential sensitivity to therapeutic inhibition.
There have been various drugs developed to target HGFR, including antibodies to
HGFR/HGF, small-molecule inhibitors against the tyrosine kinase domain of HGFR
and downstream targets. Different HGFR inhibitors are currently in clinical
trials in lung cancer and a number of solid tumors. Several phase I trials have
already been completed, and two specific trials have been reported combining HGFR
with epidermal growth factor receptor (EGFR) inhibition in non-small cell lung
cancer. In particular, trials involving MetMAb and ARQ197 (tivantinib) have
gained interest. Ultimately, as individualized therapies become a reality for
cancers, HGFR will be an important molecular target.
PMID- 21904580
TI - How close are we to customizing chemotherapy in early non-small cell lung cancer?
AB - Although surgery is the only potentially curative treatment for early-stage non
small cell lung cancer (NSCLC), 5-year survival rates range from 77% for stage IA
tumors to 23% in stage IIIA disease. Adjuvant chemotherapy has recently been
established as a standard of care for resected stage II-III NSCLC, on the basis
of large-scale clinical trials employing third-generation platinum-based
regimens. As the overall absolute 5-year survival benefit from this approach does
not exceed 5% and potential long-term complications are an issue of concern, the
aim of customized adjuvant systemic treatment is to optimize the toxicity/benefit
ratio, so that low-risk individuals are spared from unnecessary intervention,
while avoiding undertreatment of high-risk patients, including those with stage I
disease. Therefore, the application of reliable prognostic and predictive
biomarkers would enable to identify appropriate patients for the most effective
treatment.This is an overview of the data available on the most promising
clinicopathological and molecular biomarkers that could affect adjuvant and
neoadjuvant chemotherapy decisions for operable NSCLC in routine practice. Among
the numerous candidate molecular biomarkers, only few gene-expression profiling
signatures provide clinically relevant information warranting further validation.
On the other hand, real-time quantitative polymerase-chain reaction strategy
involving relatively small number of genes offers a practical alternative, with
high cross-platform performance. Although data extrapolation from the metastatic
setting should be cautious, the concept of personalized, pharmacogenomics-guided
chemotherapy for early NSCLC seems feasible, and is currently being evaluated in
randomized phase 2 and 3 trials. The mRNA and/or protein expression levels of
excision repair cross-complementation group 1, ribonucleotide reductase M1 and
breast cancer susceptibility gene 1 are among the most potential biomarkers for
early disease, with stage-independent prognostic and predictive values, the
clinical utility of which is being validated prospectively. Inter-assay
discordance in determining the biomarker status and association with clinical
outcomes is noteworthing.
PMID- 21904581
TI - Customizing systemic therapy in patients with advanced non-small cell lung
cancer.
AB - Lung cancer is the leading cause of cancer deaths worldwide. Standard
chemotherapy has been shown to improve quality of life and has a modest influence
on overall survival. This modest improvement in survival is partly due to the
choice of chemotherapy regimens that have been based on prognostic factors such
as age, performance status and comorbidities of the patient. This underlines the
importance of developing a more personalized therapy for patients with non-small
cell lung cancer. Such an approach may reduce the variation in how individual
patients respond to medications by tailoring therapies to their genetic profile.
In this review we focus on several aspects of customized therapy, looking not
only at patient characteristics but also to tumor histology and specific tumor
biomarkers.
PMID- 21904582
TI - Regional differences in the use of hysterectomy.
PMID- 21904583
TI - Hysterectomy in Germany: a DRG-based nationwide analysis, 2005-2006.
AB - BACKGROUND: Hysterectomy is among the more common surgical procedures in
gynecology. The aim of this study was to calculate population-wide rates of
hysterectomy across Germany and to obtain information on the different modalities
of hysterectomy currently performed in German hospitals. This was done on the
basis of nationwide DRG statistics (DRG = diagnosis-related groups) covering the
years 2005-2006. METHODS: We analyzed the nationwide DRG statistics for 2005 and
2006, in which we found 305 015 hysterectomies. Based on these data we calculated
hysterectomy rates for the female population. We determined the indications for
each hysterectomy with an algorithm based on the ICD-10 codes, and we categorized
the operations on the basis of their OPS codes (OPS = Operationen- und
Prozedurenschlussel [Classification of Operations and Procedures]). RESULTS: The
overall rate of hysterectomy in Germany was 362 per 100 000 person-years. 55% of
hysterectomies for benign diseases of the female genital tract were performed
transvaginally. Bilateral ovariectomy was performed concomitantly in 23% of all
hysterectomies, while 4% of all hysterectomies were subtotal. Hysterectomy rates
varied considerably across federal states: the rate for benign disease was lowest
in Hamburg (213.8 per 100 000 women per year) and highest in Mecklenburg-West
Pomerania (361.9 per 100 000 women per year). CONCLUSION: Hysterectomy rates vary
markedly from one region to another. Moreover, even though recent studies have
shown that bilateral ovariectomy is harmful to women under 50 who undergo
hysterectomy for benign disease, it is still performed in 4% of all
hysterectomies for benign indications in Germany.
PMID- 21904584
TI - Concordance analysis: part 16 of a series on evaluation of scientific
publications.
AB - BACKGROUND: In this article, we describe qualitative and quantitative methods for
assessing the degree of agreement (concordance) between two measuring or rating
techniques. An assessment of concordance is particularly important when a new
measuring technique is introduced. METHODS: We give an example to illustrate a
number of simple methods of comparing different measuring or rating techniques,
and we explain the underlying principle of each method. We also give further
illustrative examples from medical research papers that were retrieved by a
selective literature search. RESULTS: Methods of comparing different measuring or
rating techniques are of two kinds: those with a nominal rating scale and those
with a continuous rating scale. We only discuss methods for comparing one
measuring or rating technique with another one. Moreover, we point out some
common erroneous approaches to concordance analysis. CONCLUSION: Concordance
analysis is needed to establish the validity of a new diagnostic measuring or
rating technique or to demonstrate the near-equivalence of multiple measuring or
rating techniques. Erroneous approaches to concordance analysis can lead to false
conclusions.
PMID- 21904585
TI - Ports made from synthetic materials are poorly visible on x-ray films.
PMID- 21904586
TI - Patient information is lacking.
PMID- 21904587
TI - Suggested consensus.
PMID- 21904588
TI - Huber cannula releases silicone particles from port.
PMID- 21904589
TI - In Reply.
PMID- 21904590
TI - The treatment of anterior dental trauma.
AB - BACKGROUND: Avulsed frontal teeth often cannot be saved because of improper or
lack of initial treatment. The result is a need for multiple interventions over
the patient's lifetime, which also carry a high financial cost. METHODS: We
explored the subject of lost anterior teeth in young patients with a PubMed
search based on the term "prevalence of traumatic dental injuries" over the time
period 2000-2010. In this article, we selectively review the publications
retrieved by the search and give case examples to illustrate the proper initial
treatment of children and adolescents (ages 6 to 17) with broken anterior teeth.
RESULTS: The search retrieved 138 articles. Here, we review retrospective
clinical studies of dental trauma between the ages of 6 and 17: only 6 adequately
designed studies of this type were found. The estimated prevalence of anterior
dental trauma in this age group ranged from 6.4% to 37.9%. The recommended
initial steps for the preservation of traumatized teeth are easy to take. Avulsed
teeth can and should be replanted at once. If there is no time, or if the patient
simultaneously has other, life-threatening injuries, the avulsed teeth can be
stored in a special nutrient medium until they can be replanted. Commercially
available tooth rescue boxes enable replantation to be performed up to 24 hours
after the injury. CONCLUSION: The authors of the selected studies agree that
dental trauma is often improperly treated. Timely treatment of injured anterior
teeth prevents much further damage and expensive treatment for the affected young
patients.
PMID- 21904591
TI - The diagnosis and treatment of endometrial cancer: progress and controversies.
AB - BACKGROUND: Endometrial carcinoma is the fourth most common type of cancer among
women in Germany, with more than 11 000 newly diagnosed cases each year. The
present lack of clarity about the optimal clinical management of these patients
is due in part to inconsistencies in the scientific evidence and in part to
recent modifications of the FIGO classification. In this article, the issues
requiring clarification are presented and discussed. METHODS: This article is
based on a selective review of the pertinent literature, including evidence-based
guidelines and recommendations. RESULTS AND CONCLUSION: Current scientific
evidence does not support the screening of asymptomatic women. On the other hand,
women with postmenopausal and acyclic bleeding should undergo histopathological
evaluation, particularly if they have risk factors for endometrial cancer. The
current FIGO classification divides endometrial cancer into stages depending on
the findings at surgery. On the basis of risk stratification (e.g., by tumor
stage and histological differentiation grade), women who are judged to be at high
risk (FIGO Stage IB and above, Grade 3) should undergo not just hysterectomy and
adnexectomy, but also systematic pelvic and para-aortic lymphadenectomy. Risk
stratification also determines whether adjuvant radiotherapy should be given. The
additional or alternative administration of chemotherapy is a particular
consideration for women at high risk, although the pertinent clinical trials to
date have yielded conflicting evidence on this point.
PMID- 21904592
TI - Pancreatic enzyme therapy.
AB - BACKGROUND: Treatment with pancreatic enzymes must be based on an understanding
of the normal physiology and pathophysiology of exocrine pancreatic function, as
well as of the diseases that cause exocrine pancreatic insufficiency of either a
structural or a functional type. These include chronic pancreatitis, pancreatic
cancer, cystic fibrosis, pancreaticocibal asynchrony after gastric or pancreatic
surgery, and celiac disease. METHODS: Selective review of the literature.
RESULTS: Exocrine pancreatic insufficiency can cause meteorism, diarrhea,
steatorrhea, and weight loss. All of these manifestations are non-specific except
steatorrhea. Enzyme supplementation is indicated only for the treatment of
demonstrated pancreatic dysfunction; unfortunately, however, no sensitive and
specific pancreatic function tests are currently available. As a result,
pancreatic enzyme supplementation is considered to be indicated on pragmatic
grounds when, for example, the patient is suffering from diarrhea and weight loss
and has been demonstrated to have a disease leading to exocrine pancreatic
insufficiency. To be acceptable for clinical use, a pancreatin preparation must
satisfy the following criteria: it must be enterically coated, so that it will
not be destroyed by gastric acid; mix well with gastric chyme; exit the stomach
simultaneously with chyme; and be rapidly released from its enteric coating upon
entering the duodenum. Although there have been no large-scale, randomized
comparative studies of different types of pancreatin preparation, the current
clinical preference is for enterically coated micropellets or minitablets with a
diameter of 2 mm or less. The initial dosage is 20 000 to 40 000 units of lipase
taken once or twice per meal, with dose adjustment afterward as needed. The dose
can be raised, and a proton-pump inhibitor can be added on. CONCLUSION: There is
still no simple test that can be used to diagnose pancreatic exocrine
insufficiency with certainty. The treatment is symptomatic; its goals are to
lessen steatorrhea and reverse weight loss.
PMID- 21904593
TI - Acute treatment with candesartan reduces early injury after permanent middle
cerebral artery occlusion.
AB - We have shown that reduction of blood pressure (BP) immediately after the onset
of reperfusion reduced neurovascular damage and improved functional outcome after
experimental cerebral ischemia and candesartan is particularly effective in
improving long-term functional outcome. In this study, we sought to determine if
early BP lowering with candesartan, in the presence of an occluded cerebral
artery, will reduce injury and improve outcome after experimental stroke. Male
Wistar rats underwent 24 h or 7 days of middle cerebral artery occlusion (MCAO).
A single dose of 1 mg/kg candesartan was administered intravenously at 3 h after
MCAO. Animals received neurobehavioral testing at 3 h, 24 h, and 7 days, and
blood pressure was measured by telemetry. Animals had brain tissue collected for
infarct size (24 h and 7 days), hemoglobin content, matrix metalloproteinase
(MMP) activity, and vascular endothelial growth factor (VEGF) expression (24 h
only). Candesartan significantly decreased blood pressure, infarct size (-20%;
p=0.021), hemoglobin excess (-50%; p=0.0013), and edema (-35%; p=0.0005) at 24 h
after MCAO. This resulted in a reduced cerebral perfusion deficit (p=0.034) in
the ischemic hemisphere compared with saline and significantly improved Bederson
scores and paw grasp. MMP-2, MMP-9, and VEGF were significantly increased by
MCAO, but there were no differences between candesartan- and saline-treated
animals. There were no significant differences in behavioral outcome at day 7. BP
lowering with candesartan reduces early brain injury after experimental stroke
even when the artery remains occluded. The early benefits were not sustained at 7
days, as seen in reperfused animals, however. The neuroprotection and
neurorestorative properties of candesartan may occur by separate distinct
mechanisms.
PMID- 21904594
TI - Antiviral activity of 3(2H)- and 6-chloro-3(2H)-isoflavenes against highly
diverged, neurovirulent vaccine-derived, type2 poliovirus sewage isolates.
AB - BACKGROUND: Substituted flavanoids interfere with uncoating of Enteroviruses
including Sabin-2 polio vaccine strains. However flavanoid resistant and
dependent, type-2 polio vaccine strains (minimally-diverged), emerged during in
vitro infections. Between 1998-2009, highly-diverged (8 to >15%) type-2,
aVDPV(2)s, from two unrelated persistent infections were periodically isolated
from Israeli sewage. AIM: To determine whether highly evolved aVDPV(2)s derived
from persistent infections retained sensitivity to isoflavenes. METHODS: Sabin-2
and ten aVDPV(2) isolates from two independent Israeli sources were titered on
HEp2C cells in the presence and absence of 3(2H)- Isoflavene and 6-chloro-3(2H)
Isoflavene. Neurovirulence of nine aVDPV(2)s was measured in PVR-Tg-21 transgenic
mice. Differences were related to unique amino acid substitutions within capsid
proteins. PRINCIPAL FINDINGS: The presence of either flavanoid inhibited viral
titers of Sabin-2 and nine of ten aVDPV(2)s by one to two log(10). The tenth
aVDPV(2), which had unique amino acid substitution distant from the isoflavene
binding pocket but clustered at the three- and five-fold axies of symmetry
between capsomeres, was unaffected by both flavanoids. Genotypic neurovirulence
attenuation sites in the 5'UTR and VP1 reverted in all aVDPV(2)s and all
reacquired a full neurovirulent phenotype except one with amino acid
substitutions flanking the VP1 site. CONCLUSION: Both isoflavenes worked equally
well against Sabin 2 and most of the highly-diverged, Israeli, aVDPV(2)s
isolates. Thus, functionality of the hydrophobic pocket may be unaffected by
selective pressures exerted during persistent poliovirus infections. Amino acid
substitutions at sites remote from the drug-binding pocket and adjacent to a
neurovirulence attenuation site may influence flavanoid antiviral activity, and
neurovirulence, respectively.
PMID- 21904595
TI - Control of Kaposi's sarcoma-associated herpesvirus reactivation induced by
multiple signals.
AB - The ability to control cellular functions can bring about many developments in
basic biological research and its applications. The presence of multiple signals,
internal as well as externally imposed, introduces several challenges for
controlling cellular functions. Additionally the lack of clear understanding of
the cellular signaling network limits our ability to infer the responses to a
number of signals. This work investigates the control of Kaposi's sarcoma
associated herpesvirus reactivation upon treatment with a combination of multiple
signals. We utilize mathematical model-based as well as experiment-based
approaches to achieve the desired goals of maximizing virus reactivation. The
results show that appropriately selected control signals can induce virus lytic
gene expression about ten folds higher than a single drug; these results were
validated by comparing the results of the two approaches, and experimentally
using multiple assays. Additionally, we have quantitatively analyzed potential
interactions between the used combinations of drugs. Some of these interactions
were consistent with existing literature, and new interactions emerged and
warrant further studies. The work presents a general method that can be used to
quantitatively and systematically study multi-signal induced responses. It
enables optimization of combinations to achieve desired responses. It also allows
identifying critical nodes mediating the multi-signal induced responses. The
concept and the approach used in this work will be directly applicable to other
diseases such as AIDS and cancer.
PMID- 21904596
TI - Genetic variation of the human alpha-2-Heremans-Schmid glycoprotein (AHSG) gene
associated with the risk of SARS-CoV infection.
AB - Genetic background may play an important role in the process of SARS-CoV
infection and SARS development. We found several proteins that could interact
with the nucleocapsid protein of the SARS coronavirus (SARS-CoV). alpha-2
Heremans-Schmid Glycoprotein (AHSG), which is required for macrophage
deactivation by endogenous cations, is associated with inflammatory regulation.
Cytochrome P450 Family 3A (CYP4F3A) is an omega-oxidase that inactivates
Leukotriene B4 (LTB4) in human neutrophils and the liver. We investigated the
association between the polymorphisms of these two inflammation-associated genes
and SARS development. The linkage disequilibrium (LD) maps of these two genes
were built with Haploview using data on CHB+JPT (version 2) from the HapMap. A
total of ten tag SNPs were selected and genotyped. In the Guangzhou cohort study,
after adjusting for age and sex, two AHSG SNPs and one CYP4F3 SNP were found to
be associated with SARS susceptibility: rs2248690 (adjusted odds ratio [AOR]
2.42; 95% confidence interval [CI] 1.30-4.51); rs4917 (AOR 1.84; 95% CI 1.02
3.34); and rs3794987 (AOR 2.01; 95% CI 1.10-3.68). To further validate the
association, the ten tag SNPs were genotyped in the Beijing cohort. After
adjusting for age and sex, only rs2248690 (AOR, 1.63; 95% CI, 1.30-2.04) was
found to be associated with SARS susceptibility. The combined analysis of the two
studies confirmed tag SNP rs2248690 in AHSG as a susceptibility variant (AOR
1.70; 95% CI 1.37-2.09). The statistical analysis of the rs2248690 genotype data
among the patients and healthy controls in the HCW cohort, who were all similarly
exposed to the SARS virus, also supported the findings. Further, the SNP
rs2248690 affected the transcriptional activity of the AHSG promoter and thus
regulated the AHSG serum level. Therefore, our study has demonstrated that the AA
genotype of rs2268690, which leads to a higher AHSG serum concentration, was
significantly associated with protection against SARS development.
PMID- 21904597
TI - Biological activity of CXCL8 forms generated by alternative cleavage of the
signal peptide or by aminopeptidase-mediated truncation.
AB - BACKGROUND: Posttranslational modification of chemokines is one of the mechanisms
that regulate leukocyte migration during inflammation. Multiple natural NH(2)
terminally truncated forms of the major human neutrophil attractant interleukin-8
or CXCL8 have been identified. Although differential activity was reported for
some CXCL8 forms, no biological data are available for others.
METHODOLOGY/PRINCIPAL FINDINGS: Aminopeptidase-cleaved CXCL8(2-77) and CXCL8(3
77), the product of alternative cleavage of the signal peptide CXCL8(-2-77) and
the previously studied forms containing 77 and 72 amino acids, CXCL8(1-77) and
CXCL8(6-77), were prepared by solid-phase peptide synthesis, purified and folded
into active proteins. No differences in binding and calcium signaling potency
were detected between CXCL8(1-77), CXCL8(-2-77), CXCL8(2-77) and CXCL8(3-77) on
cells transfected with one of the human CXCL8 receptors, i.e. CXCR1 and CXCR2.
However, CXCL8(-2-77) was more potent compared to CXCL8(1-77), CXCL8(2-77) and
CXCL8(3-77) in signaling and in vitro chemotaxis of peripheral blood-derived
human neutrophils. Moreover, CXCL8(-2-77) was less efficiently processed by
plasmin into the more potent CXCL8(6-77). The truncated forms CXCL8(2-77) and
CXCL8(3-77) had higher affinity for heparin than CXCL8(1-77), a property
important for the presentation of CXCL8 on endothelial layers. Upon
intraperitoneal injection in mice, elongated, truncated and intact CXCL8 were
equally potent to recruit neutrophils to the peritoneal cavity. CONCLUSIONS: In
terms of their ability to induce neutrophil recruitment in vivo, the multiple
CXCL8 forms may be divided in three groups. The first group includes CXCL8
proteins consisting of 75 to 79 amino acids, cleaved by aminopeptidases, with
intermediate activity on neutrophils. The second group, generated through
proteolytic cleavage (e.g. by Ser proteases), contains 69 to 72 amino acid forms
which are highly potent neutrophil attractants in vivo. A third category is
generated through the modification of the arginine in the NH(2)-terminal region
into citrulline by peptidylarginine deiminases and has weak potency to induce
neutrophil extravasation.
PMID- 21904598
TI - DELLA-induced early transcriptional changes during etiolated development in
Arabidopsis thaliana.
AB - The hormones gibberellins (GAs) control a wide variety of processes in plants,
including stress and developmental responses. This task largely relies on the
activity of the DELLA proteins, nuclear-localized transcriptional regulators that
do not seem to have DNA binding capacity. The identification of early target
genes of DELLA action is key not only to understand how GAs regulate
physiological responses, but also to get clues about the molecular mechanisms by
which DELLAs regulate gene expression. Here, we have investigated the global,
early transcriptional response triggered by the Arabidopsis DELLA protein GAI
during skotomorphogenesis, a developmental program tightly regulated by GAs. Our
results show that the induction of GAI activity has an almost immediate effect on
gene expression. Although this transcriptional regulation is largely mediated by
the PIFs and HY5 transcription factors based on target meta-analysis, additional
evidence points to other transcription factors that would be directly involved in
DELLA regulation of gene expression. First, we have identified cis elements
recognized by Dofs and type-B ARRs among the sequences enriched in the promoters
of GAI targets; and second, an enrichment in additional cis elements appeared
when this analysis was extended to a dataset of early targets of the DELLA
protein RGA: CArG boxes, bound by MADS-box proteins, and the E-box CACATG that
links the activity of DELLAs to circadian transcriptional regulation. Finally,
Gene Ontology analysis highlights the impact of DELLA regulation upon the
homeostasis of the GA, auxin, and ethylene pathways, as well as upon pre-existing
transcriptional networks.
PMID- 21904599
TI - Association of organophosphate pesticide exposure and paraoxonase with birth
outcome in Mexican-American women.
AB - BACKGROUND: Epidemiologic studies suggest that maternal organophosphorus (OP)
pesticide exposure is associated with poorer fetal growth, but findings are
inconsistent. We explored whether paraoxonase (PON1), a key enzyme involved in
detoxification of OPs, could be an effect modifier in this association. METHODS:
The study population included 470 pregnant women enrolled in the CHAMACOS Study,
a longitudinal cohort study of mothers and children living in an agricultural
region of California. We analyzed urine samples collected from mothers twice
during pregnancy for dialkyl phosphate (DAP) metabolites of OP pesticides. We
analyzed maternal and fetal (cord) blood samples for PON1 genotype (PON1(192) and
PON1(-108)) and enzyme activity (paraoxonase and arylesterase). Infant birth
weight, head circumference, and gestational age were obtained from medical
records. RESULTS: Infants' PON1 genotype and activity were associated with birth
outcome, but mothers' were not. Infants with the susceptible PON1(-108TT)
genotype had shorter gestational age (beta = -0.5 weeks, 95% Confidence Interval
(CI): -0.9, 0.0) and smaller head circumference (beta = -0.4 cm, 95% CI: -0.7,
0.0) than those with the PON1(-108CC) genotype. Infants' arylesterase and
paraoxonase activity were positively associated with gestational age. There was
some evidence of effect modification with DAPs: maternal DAP concentrations were
associated with shorter gestational age only among infants of the susceptible
PON1(-108TT) genotype (p-value(interaction) = 0.09). However, maternal DAP
concentrations were associated with larger birth weight (p-value(interaction) =
0.06) and head circumference (p-value(interaction)<0.01) in infants with non
susceptible genotypes. CONCLUSIONS: Infants whose PON1 genotype and enzyme
activity levels suggested that they might be more susceptible to the effects of
OP pesticide exposure had decreased fetal growth and length of gestation. PON1
may be another factor contributing to preterm or low birth weight birth.
PMID- 21904600
TI - Age as a criterion for setting priorities in health care? A survey of the German
public view.
AB - Although the German health care system has budget constraints similar to many
other countries worldwide, a discussion on prioritization has not gained the
attention of the public yet. To probe the acceptance of priority setting in
medicine, a quantitative survey representative for the German public (n = 2031)
was conducted. Here we focus on the results for age, a highly disputed criterion
for prioritizing medical services. This criterion was investigated using
different types of questionnaire items, from abstract age-related questions to
health care scenarios, and discrete choice settings, all performed within the
same sample. Several explanatory variables were included to account for
differences in preference; in particular, interviewee's own age but also his or
her sex, socioeconomic status, and health status. There is little evidence that
the German public accepts age as a criterion to prioritize health care services.
PMID- 21904601
TI - Intradermal indocyanine green for in vivo fluorescence laser scanning microscopy
of human skin: a pilot study.
AB - BACKGROUND: In clinical diagnostics, as well as in routine dermatology, the
increased need for non-invasive diagnosis is currently satisfied by reflectance
laser scanning microscopy. However, this technique has some limitations as it
relies solely on differences in the reflection properties of epidermal and dermal
structures. To date, the superior method of fluorescence laser scanning
microscopy is not generally applied in dermatology and predominantly restricted
to fluorescein as fluorescent tracer, which has a number of limitations.
Therefore, we searched for an alternative fluorophore matching a novel skin
imaging device to advance this promising diagnostic approach.
METHODOLOGY/PRINCIPAL FINDINGS: Using a Vivascope(r)-1500 Multilaser microscope,
we found that the fluorophore Indocyanine-Green (ICG) is well suited as a
fluorescent marker for skin imaging in vivo after intradermal injection. ICG is
one of few fluorescent dyes approved for use in humans. Its fluorescence
properties are compatible with the application of a near-infrared laser, which
penetrates deeper into the tissue than the standard 488 nm laser for fluorescein.
ICG-fluorescence turned out to be much more stable than fluorescein in vivo,
persisting for more than 48 hours without significant photobleaching whereas
fluorescein fades within 2 hours. The well-defined intercellular staining pattern
of ICG allows automated cell-recognition algorithms, which we accomplished with
the free software CellProfiler, providing the possibility of quantitative high
content imaging. Furthermore, we demonstrate the superiority of ICG-based
fluorescence microscopy for selected skin pathologies, including dermal nevi,
irritant contact dermatitis and necrotic skin. CONCLUSIONS/SIGNIFICANCE: Our
results introduce a novel in vivo skin imaging technique using ICG, which
delivers a stable intercellular fluorescence signal ideal for morphological
assessment down to sub-cellular detail. The application of ICG in combination
with the near infrared laser opens new ways for minimal-invasive diagnosis and
monitoring of skin disorders.
PMID- 21904602
TI - O-GlcNAc modification of NFkappaB p65 inhibits TNF-alpha-induced inflammatory
mediator expression in rat aortic smooth muscle cells.
AB - BACKGROUND: We have shown that glucosamine (GlcN) or O-(2-acetamido-2-deoxy-D
glucopyranosylidene)amino-N-phenylcarbamate (PUGNAc) treatment augments O-linked
N-acetylglucosamine (O-GlcNAc) protein modification and attenuates inflammatory
mediator expression, leukocyte infiltration and neointima formation in balloon
injured rat carotid arteries and have identified the arterial smooth muscle cell
(SMC) as the target cell in the injury response. NFkappaB signaling has been
shown to mediate the expression of inflammatory genes and neointima formation in
injured arteries. Phosphorylation of the p65 subunit of NFkappaB is required for
the transcriptional activation of NFkappaB. This study tested the hypothesis that
GlcN or PUGNAc treatment protects vascular SMCs against tumor necrosis factor
(TNF)-alpha induced inflammatory stress by enhancing O-GlcNAcylation and
inhibiting TNF-alpha induced phosphorylation of NFkappaB p65, thus inhibiting
NFkappaB signaling. METHODOLOGY/PRINCIPAL FINDINGS: Quiescent rat aortic SMCs
were pretreated with GlcN (5 mM), PUGNAc (10(-4) M) or vehicle and then
stimulated with TNF-alpha (10 ng/ml). Both treatments inhibited TNF-alpha-induced
expression of chemokines [cytokine-induced neutrophil chemoattractant (CINC)
2beta and monocyte chemotactic protein (MCP)-1] and adhesion molecules [vascular
cell adhesion molecule (VCAM)-1 and P-Selectin]. Both treatments inhibited TNF
alpha induced NFkappaB p65 activation and promoter activity, increased NFkappaB
p65 O-GlcNAcylation and inhibited NFkappaB p65 phosphorylation at Serine 536,
thus promoting IkappaBalpha binding to NFkappaB p65. CONCLUSIONS: There is a
reciprocal relationship between O-GlcNAcylation and phosphorylation of NFkappaB
p65, such that increased NFkappaB p65 O-GlcNAc modification inhibits TNF-alpha
Induced expression of inflammatory mediators through inhibition of NFkappaB p65
signaling. These findings provide a mechanistic basis for our previous
observations that GlcN and PUGNAc treatments inhibit inflammation and remodeling
induced by acute endoluminal arterial injury.
PMID- 21904604
TI - Genomic organization, molecular diversification, and evolution of antimicrobial
peptide myticin-C genes in the mussel (Mytilus galloprovincialis).
AB - Myticin-C is a highly variable antimicrobial peptide associated to immune
response in Mediterranean mussel (Mytilus galloprovincialis). In this study, we
tried to ascertain the genetic organization and the mechanisms underlying myticin
C variation and evolution of this gene family. We took advantage of the large
intron size variation to find out the number of myticin-C genes. Using fragment
analysis a maximum of four alleles was detected per individual at both introns in
a large mussel sample suggesting a minimum of two myticin-C genes. The
transmission pattern of size variants in two full-sib families was also used to
ascertain the number of myticin-C genes underlying the variability observed.
Results in both families were in accordance with two myticin-C genes organized in
tandem. A more detailed analysis of myticin-C variation was carried out by
sequencing a large sample of complementary (cDNA) and genomic DNA (gDNA) in 10
individuals. Two basic sequences were detected at most individuals and several
sequences were constituted by combination of two different basic sequences,
strongly suggesting somatic recombination or gene conversion. Slight within-basic
sequence variation detected in all individuals was attributed to somatic
mutation. Such mutations were more frequently at the C-terminal domain and mostly
determined non-synonymous substitutions. The mature peptide domain showed the
highest variation both in the whole cDNA and in the basic-sequence samples, which
is in accordance with the pathogen recognition function associated to this
domain. Although most tests suggested neutrality for myticin-C variation,
evidence indicated positive selection in the mature peptide and C-terminal
region. Three main highly supported clusters were observed when reconstructing
phylogeny on basic sequences, meiotic recombination playing a relevant role on
myticin-C evolution. This study demonstrates that mechanisms to generate
molecular variation similar to that observed in vertebrates are also operating in
molluscs.
PMID- 21904603
TI - Dietary alpha-eleostearic acid ameliorates experimental inflammatory bowel
disease in mice by activating peroxisome proliferator-activated receptor-gamma.
AB - BACKGROUND: Treatments for inflammatory bowel disease (IBD) are modestly
effective and associated with side effects from prolonged use. As there is no
known cure for IBD, alternative therapeutic options are needed. Peroxisome
proliferator-activated receptor-gamma (PPARgamma) has been identified as a
potential target for novel therapeutics against IBD. For this project, compounds
were screened to identify naturally occurring PPARgamma agonists as a means to
identify novel anti-inflammatory therapeutics for experimental assessment of
efficacy. METHODOLOGY/PRINCIPAL FINDINGS: Here we provide complementary
computational and experimental methods to efficiently screen for PPARgamma
agonists and demonstrate amelioration of experimental IBD in mice, respectively.
Computational docking as part of virtual screening (VS) was used to test binding
between a total of eighty-one compounds and PPARgamma. The test compounds
included known agonists, known inactive compounds, derivatives and stereoisomers
of known agonists with unknown activity, and conjugated trienes. The compound
identified through VS as possessing the most favorable docked pose was used as
the test compound for experimental work. With our combined methods, we have
identified alpha-eleostearic acid (ESA) as a natural PPARgamma agonist. Results
of ligand-binding assays complemented the screening prediction. In addition, ESA
decreased macrophage infiltration and significantly impeded the progression of
IBD-related phenotypes through both PPARgamma-dependent and -independent
mechanisms in mice with experimental IBD. CONCLUSIONS/SIGNIFICANCE: This study
serves as the first significant step toward a large-scale VS protocol for natural
PPARgamma agonist screening that includes a massively diverse ligand library and
structures that represent multiple known target pharmacophores.
PMID- 21904605
TI - The response of Lactococcus lactis to membrane protein production.
AB - BACKGROUND: The biogenesis of membrane proteins is more complex than that of
water-soluble proteins, and recombinant expression of membrane proteins in
functional form and in amounts high enough for structural and functional studies
is often problematic. To better engineer cells towards efficient protein
production, we set out to understand and compare the cellular consequences of the
overproduction of both classes of proteins in Lactococcus lactis, employing a
combined proteomics and transcriptomics approach. METHODOLOGY AND FINDINGS:
Highly overproduced and poorly expressed membrane proteins both resulted in
severe growth defects, whereas amplified levels of a soluble substrate receptor
had no effect. In addition, membrane protein overproduction evoked a general
stress response (upregulation of various chaperones and proteases), which is
probably due to accumulation of misfolded protein. Notably, upon the expression
of membrane proteins a cell envelope stress response, controlled by the two
component regulatory CesSR system, was observed. CONCLUSIONS: The physiological
response of L. lactis to the overproduction of several membrane proteins was
determined and compared to that of a soluble protein, thus offering better
understanding of the bottlenecks related to membrane protein production and
valuable knowledge for subsequent strain engineering.
PMID- 21904606
TI - Impact of early growth on postprandial responses in later life.
AB - BACKGROUND: Low birth weight and slow growth during infancy are associated with
increased rates of chronic diseases in adulthood. Associations with risk factors
such as fasting glucose and lipids concentrations are weaker than expected based
on associations with disease. This could be explained by differences in
postprandial responses, which, however, have been little studied. Our aim was to
examine the impact of growth during infancy on postprandial responses to a fast
food meal (FF-meal) and a meal, which followed the macro-nutrient composition of
the dietary guidelines (REC-meal). METHODOLOGY/PRINCIPAL FINDINGS: We recruited
24 overweight 65-75 year-old subjects, 12 with slow growth during infancy (SGI
group) and 12 with normal early growth. All the subjects were born at term. The
study meals were isocaloric and both meals were consumed once. Plasma glucose,
insulin, triglycerides (TG) and free fatty acids (FFA) were measured in fasting
state and over a 4-h period after both meals. Subjects who grew slowly during
infancy were also smaller at birth. Fasting glucose, insulin or lipid
concentrations did not differ significantly between the groups. The TG responses
were higher for the SGI-group both during the FF-meal (P = 0.047) and the REC
meal (P = 0.058). The insulin responses were significantly higher for the SGI
group after the FF-meal (P = 0.036). Glucose and FFA responses did not differ
significantly between the groups. CONCLUSIONS: Small birth size and slow early
growth predict postprandial TG and insulin responses. Elevated responses might be
one explanation why subjects who were small at birth and experiencing slow growth
in infancy are at an increased risk of developing cardiovascular diseases in
later life.
PMID- 21904607
TI - Do facial expressions develop before birth?
AB - BACKGROUND: Fetal facial development is essential not only for postnatal bonding
between parents and child, but also theoretically for the study of the origins of
affect. However, how such movements become coordinated is poorly understood. 4-D
ultrasound visualisation allows an objective coding of fetal facial movements.
METHODOLOGY/FINDINGS: Based on research using facial muscle movements to code
recognisable facial expressions in adults and adapted for infants, we defined two
distinct fetal facial movements, namely "cry-face-gestalt" and "laughter-
gestalt," both made up of up to 7 distinct facial movements. In this conceptual
study, two healthy fetuses were then scanned at different gestational ages in the
second and third trimester. We observed that the number and complexity of
simultaneous movements increased with gestational age. Thus, between 24 and 35
weeks the mean number of co-occurrences of 3 or more facial movements increased
from 7% to 69%. Recognisable facial expressions were also observed to develop.
Between 24 and 35 weeks the number of co-occurrences of 3 or more movements
making up a "cry-face gestalt" facial movement increased from 0% to 42%.
Similarly the number of co-occurrences of 3 or more facial movements combining to
a "laughter-face gestalt" increased from 0% to 35%. These changes over age were
all highly significant. SIGNIFICANCE: This research provides the first evidence
of developmental progression from individual unrelated facial movements toward
fetal facial gestalts. We propose that there is considerable potential of this
method for assessing fetal development: Subsequent discrimination of normal and
abnormal fetal facial development might identify health problems in utero.
PMID- 21904608
TI - C-terminal substitution of MDM2 interacting peptides modulates binding affinity
by distinctive mechanisms.
AB - The complex between the proteins MDM2 and p53 is a promising drug target for
cancer therapy. The residues 19-26 of p53 have been biochemically and
structurally demonstrated to be a most critical region to maintain the
association of MDM2 and p53. Variation of the amino acid sequence in this range
obviously alters the binding affinity. Surprisingly, suitable substitutions
contiguous to this region of the p53 peptides can yield tightly binding peptides.
The peptide variants may differ by a single residue that vary little in their
structural conformations and yet are characterized by large differences in their
binding affinities. In this study a systematic analysis into the role of single C
terminal mutations of a 12 residue fragment of the p53 transactivation domain
(TD) and an equivalent phage optimized peptide (12/1) were undertaken to
elucidate their mechanistic and thermodynamic differences in interacting with the
N-terminal of MDM2. The experimental results together with atomistically detailed
dynamics simulations provide insight into the principles that govern peptide
design protocols with regard to protein-protein interactions and peptidomimetic
design.
PMID- 21904610
TI - Fragmentation of contaminant and endogenous DNA in ancient samples determined by
shotgun sequencing; prospects for human palaeogenomics.
AB - BACKGROUND: Despite the successful retrieval of genomes from past remains, the
prospects for human palaeogenomics remain unclear because of the difficulty of
distinguishing contaminant from endogenous DNA sequences. Previous sequence data
generated on high-throughput sequencing platforms indicate that fragmentation of
ancient DNA sequences is a characteristic trait primarily arising due to
depurination processes that create abasic sites leading to DNA breaks.
METHODOLOGY/PRINCIPALS FINDINGS: To investigate whether this pattern is present
in ancient remains from a temperate environment, we have 454-FLX pyrosequenced
different samples dated between 5,500 and 49,000 years ago: a bone from an
extinct goat (Myotragus balearicus) that was treated with a depurinating agent
(bleach), an Iberian lynx bone not subjected to any treatment, a human Neolithic
sample from Barcelona (Spain), and a Neandertal sample from the El Sidron site
(Asturias, Spain). The efficiency of retrieval of endogenous sequences is below
1% in all cases. We have used the non-human samples to identify human sequences
(0.35 and 1.4%, respectively), that we positively know are contaminants.
CONCLUSIONS: We observed that bleach treatment appears to create a depurination
associated fragmentation pattern in resulting contaminant sequences that is
indistinguishable from previously described endogenous sequences. Furthermore,
the nucleotide composition pattern observed in 5' and 3' ends of contaminant
sequences is much more complex than the flat pattern previously described in some
Neandertal contaminants. Although much research on samples with known contaminant
histories is needed, our results suggest that endogenous and contaminant
sequences cannot be distinguished by the fragmentation pattern alone.
PMID- 21904609
TI - The long life of birds: the rat-pigeon comparison revisited.
AB - The most studied comparison of aging and maximum lifespan potential (MLSP) among
endotherms involves the 7-fold longevity difference between rats (MLSP 5y) and
pigeons (MLSP 35y). A widely accepted theory explaining MLSP differences between
species is the oxidative stress theory, which purports that reactive oxygen
species (ROS) produced during mitochondrial respiration damage bio-molecules and
eventually lead to the breakdown of regulatory systems and consequent death.
Previous rat-pigeon studies compared only aspects of the oxidative stress theory
and most concluded that the lower mitochondrial superoxide production of pigeons
compared to rats was responsible for their much greater longevity. This
conclusion is based mainly on data from one tissue (the heart) using one
mitochondrial substrate (succinate). Studies on heart mitochondria using pyruvate
as a mitochondrial substrate gave contradictory results. We believe the
conclusion that birds produce less mitochondrial superoxide than mammals is
unwarranted. We have revisited the rat-pigeon comparison in the most
comprehensive manner to date. We have measured superoxide production (by heart,
skeletal muscle and liver mitochondria), five different antioxidants in plasma,
three tissues and mitochondria, membrane fatty acid composition (in seven tissues
and three mitochondria), and biomarkers of oxidative damage. The only substantial
and consistent difference that we have observed between rats and pigeons is their
membrane fatty acid composition, with rats having membranes that are more
susceptible to damage. This suggests that, although there was no difference in
superoxide production, there is likely a much greater production of lipid-based
ROS in the rat. We conclude that the differences in superoxide production
reported previously were due to the arbitrary selection of heart muscle to source
mitochondria and the provision of succinate. Had mitochondria been harvested from
other tissues or other relevant mitochondrial metabolic substrates been used,
then very different conclusions regarding differences in oxidative stress would
have been reached.
PMID- 21904611
TI - CD40-activated B cell cancer vaccine improves second clinical remission and
survival in privately owned dogs with non-Hodgkin's lymphoma.
AB - Cell-based active immunotherapy for cancer is a promising novel strategy, with
the first dendritic cell (DC) vaccine achieving regulatory approval for clinical
use last year. Manufacturing remains arduous, especially for DC vaccines, and the
prospect of using cell-based immunotherapy in the adjuvant setting or in
combination with chemotherapy remains largely untested. Here, we used a
comparative oncology approach to test the safety and potential efficacy of tumor
RNA-loaded, CD40-activated B cells in privately owned dogs presenting with non
Hodgkin's lymphoma (NHL), a clinical scenario that represents not only a major
problem in veterinary medicine but also a bona fide spontaneous animal model for
the human condition. When administered to NHL dogs in remission after induction
chemotherapy, CD40-B cells electroporated ex vivo with autologous tumor RNA
safely stimulated immunity in vivo. Although chemotherapy plus CD40-B vaccination
did not improve time-to-progression or lymphoma-specific survival compared to
dogs treated with chemotherapy alone, vaccination potentiated the effects of
salvage therapy and improved the rate of durable second remissions as well as
subsequent lymphoma-specific survival following salvage therapy. Several of these
relapsed dogs are now long-term survivors and free of disease for more than a
year. Overall, these clinical and immunological results suggest that cell-based
CD40 cancer vaccination is safe and synergizes with chemotherapy to improve
clinical outcome in canine NHL. More broadly, our findings underscore the unique
value of clinical investigations in tumor-bearing companion animals.
PMID- 21904612
TI - Presence of RD149 deletions in M. tuberculosis Central Asian Strain 1 isolates
affect growth and TNFalpha induction in THP-1 monocytes.
AB - Central Asian Strain 1 (CAS1) is the prevalent Mycobacterium tuberculosis
genogroup in South Asia. CAS1 strains carry deletions in RD149 and RD152 regions.
Significance of these deletions is as yet unknown. We compared CAS1 strains with
RD149 and concurrent RD149-RD152 deletions with CAS1 strains without deletions
and with the laboratory reference strain, M. tuberculosis H37Rv for growth and
for induction of TNFalpha, IL6, CCL2 and IL10 in THP-1 cells. Growth of CAS1
strains with deletions was slower in broth (RD149; p = 0.024 and RD149-RD152; p =
0.025) than that of strains without deletions. CAS1 strains with RD149 deletion
strains further showed reduced intracellular growth (p = 0.013) in THP-1 cells as
compared with strains without deletions, and also as compared with H37Rv (p =
0.007) and with CAS1 RD149-RD152 deletion strains (p = 0.029). All CAS1 strains
induced higher levels of TNFalpha and IL10 secretion in THP-1 cells than H37Rv.
Additionally, CAS1 strains with RD149 deletions induced more TNFalpha secretion
than those without deletions (p = 0.013). CAS1 RD149 deletion strains from
extrapulmonary sources showed more rapid growth and induced lower levels of
TNFalpha and IL6 secretion in THP-1 cells than isolates from pulmonary sources.
This data suggests that presence of RD149 reduces growth and increases the
induction of TNFalpha in host cells by CAS1 strains. Differences observed for
extrapulmonary strains may indicate an adaptation which increases potential for
dissemination and tropism outside the lung. Overall, we hypothesise that RD149
deletions generate genetic diversity within strains and impact interactions of
CAS1 strains with host cells with important clinical consequences.
PMID- 21904613
TI - Nucleolar accumulation of RNA binding proteins induced by Actinomycin D is
functional in Trypanosoma cruzi and Leishmania mexicana but not in T. brucei.
AB - We have recently shown in T. cruzi that a group of RNA Binding Proteins (RBPs),
involved in mRNA metabolism, are accumulated into the nucleolus in response to
Actinomycin D (ActD) treatment. In this work, we have extended our analysis to
other members of the trypanosomatid lineage. In agreement with our previous
study, the mechanism seems to be conserved in L. mexicana, since both endogenous
RBPs and a transgenic RBP were relocalized to the nucleolus in parasites exposed
to ActD. In contrast, in T. brucei, neither endogenous RBPs (TbRRM1 and TbPABP2)
nor a transgenic RBP from T. cruzi were accumulated into the nucleolus under such
treatment. Interestingly, when a transgenic TbRRM1 was expressed in T. cruzi and
the parasites exposed to ActD, TbRRM1 relocated to the nucleolus, suggesting that
it contains the necessary sequence elements to be targeted to the nucleolus.
Together, both experiments demonstrate that the mechanism behind nucleolar
localization of RBPs, which is present in T. cruzi and L. mexicana, is not
functional in T. brucei, suggesting that it has been lost or retained
differentially during the evolution of the trypanosomatid lineage.
PMID- 21904614
TI - Taxonomic chauvinism revisited: insight from parental care research.
AB - Parental care (any non-genetic contribution by a parent that appears likely to
increase the fitness of its offspring) is a widespread trait exhibited by a broad
range of animal taxa. In addition to influencing the fitness of parent(s) and
offspring, parental care may be inextricably involved in other evolutionary
processes, such as sexual selection and the evolution of endothermy. Yet, recent
work has demonstrated that bias related to taxonomy is prevalent across many
biological disciplines, and research in parental care may be similarly burdened.
Thus, I used parental care articles published in six leading journals of
fundamental behavioral sciences (Animal Behaviour, Behavioral Ecology, Behavioral
Ecology and Sociobiology, Ethology, Hormones and Behavior, and Physiology &
Behavior) from 2001-2010 (n = 712) to examine the year-to-year dynamics of two
types of bias related to taxonomy across animals: (1) taxonomic bias, which
exists when research output is not proportional to the frequency of organisms in
nature, and (2) taxonomic citation bias, which is a proxy for the breadth of a
given article-specifically, the proportion of articles cited that refer solely to
the studied taxon. I demonstrate that research on birds likely represents a
disproportionate amount of parental care research and, thus, exhibits taxonomic
bias. Parental care research on birds and mammals also refers to a relatively
narrow range of taxonomic groups when discussing its context and, thus, exhibits
taxonomic citation bias. Further, the levels of taxonomic bias and taxonomic
citation bias have not declined over the past decade despite cautionary messages
about similar bias in related disciplines--in fact, taxonomic bias may have
increased. As in Bonnet et al. (2002), my results should not be interpreted as
evidence of an 'ornithological Mafia' conspiring to suppress other taxonomic
groups. Rather, I generate several rational hypotheses to determine why bias
persists and to guide future work.
PMID- 21904615
TI - A common path to innate immunity to HIV-1 induced by Toll-like receptor ligands
in primary human macrophages.
AB - Toll-like receptors (TLR) represent the best characterized receptor family
transducing innate immune responses, the first line of defense against microbial
invaders. This study was designed to investigate whether responses through TLR
inhibit HIV-1 replication in its primary target cells. Primary human macrophages
and lymphocytes from several different donors and HIV-1 infection in tissue
culture were used exclusively in this work. We report that ligands of three
different TLR: LPS, R848, and double stranded RNA, induce a common antiviral
response in macrophages as assayed by measurement of HIV-1 p24 protein, gag DNA,
and entry into cells. HIV-1 infection is arrested after efficient entry but prior
to reverse transcription. TLR-ligand activated cells secrete antiviral factors
that induce a similar restriction. HIV-1 infection of lymphocytes is not affected
by exposure to TLR ligands or to antiviral factors secreted by activated
macrophages. TBK1, but neither NF-kappaB nor JAK-STAT activity, is required in
macrophages to mount this antiviral response; the combination of p38 MAPK and JNK
are partially required for induction of antiviral activity. Based on
transcriptional induction and inhibition, the TLR-linked antiviral activity is
different from APOBEC3 A or G, interferon-beta, NAMPT, or p21(Cip1). The cell
type specificity, site of action, and requirement for signaling intermediates
suggest that the TLR-linked antiviral activity is novel.
PMID- 21904617
TI - The epidemiological, clinical, and laboratory features of sporadic Creutzfeldt
Jakob disease patients in China: surveillance data from 2006 to 2010.
AB - BACKGROUND: Creutzfeldt-Jakob disease (CJD) is a rare, rapidly progressive fatal
central nervous system disorder, which consists of three main catalogues:
sporadic, familial, and iatrogenic CJD. METHODOLOGY/PRINCIPAL FINDINGS: In China,
the surveillance for CJD started in 2006, covering 12 provincial Centers for
Disease Control and Prevention (CDCs) and 15 hospitals. From 2006 to 2010, 624
suspected patients were referred to China CJD surveillance. The epidemiological,
clinical and laboratory features of sporadic CJD (sCJD) were analysed. Both
groups of probable and possible sCJD showed highest incidences in the population
of 60 to 69 year-olds. The most common presenting symptoms were progressive
dementia and mental-related symptoms (neurological symptoms including sleeping
turbulence, depression, anxiety and stress). Among the four main clinical
manifestations, myoclonus was more frequently observed in the probable sCJD
patients. About 2/3 of probable sCJD cases showed positive 14-3-3 in CSF and/or
periodic sharp wave complexes (PSWC) in electroencephalography (EEG). The
presence of myoclonus was significantly closely related with the appearance of
PSWC in EEG. Polymorphisms of codon 129 in PRNP of the notified cases revealed a
highly predominant M129M genotype in Han Chinese. Among 23 genetic human prion
diseases, ten were D178N/M129M Fatal familial insomnia (FFI) and five were T188K
genetic CJD (gCJD), possibly indicating a special distribution of gCJD-related
mutations in Han Chinese. CONCLUSION: From the period of 2006 to 2010, 261
patients were diagnosed as sCJD and 23 patients were diagnosed as genetic human
prion diseases in China. The epidemiological, clinical and laboratory analysis
data were consistent with the characteristics of sporadic CJD, which provide
insight into the features of CJD in China.
PMID- 21904616
TI - Associations of HLA-DP variants with hepatitis B virus infection in southern and
northern Han Chinese populations: a multicenter case-control study.
AB - BACKGROUND: Human leukocyte antigen DP (HLA-DP) locus has been reported to be
associated with hepatitis B virus (HBV) infection in populations of Japan and
Thailand. We aimed to examine whether the association can be replicated in Han
Chinese populations. METHODOLOGY/PRINCIPAL FINDINGS: Two HLA-DP variants
rs2395309 and rs9277535 (the most strongly associated SNPs from each HLA-DP
locus) were genotyped in three independent Han cohorts consisting of 2 805 cases
and 1 796 controls. By using logistic regression analysis, these two SNPs in the
HLA-DPA1 and HLA-DPB1 genes were significantly associated with HBV infection in
Han Chinese populations (P = 0.021~3.36*10(-8) at rs2395309; P = 8.37*10(
3)~2.68*10(-10) at rs9277535). In addition, the genotype distributions of both
sites (rs2395309 and rs9277535) were clearly different between southern and
northern Chinese population (P = 8.95*10(-5) at rs2395309; P = 1.64*10(-9) at
rs9277535). By using asymptomatic HBV carrier as control group, our study showed
that there were no associations of two HLA-DP variants with HBV progression (P =
0.305~0.822 and 0.163~0.881 in southern Chinese population, respectively; P =
0.097~0.697 and 0.198~0.615 in northern Chinese population, respectively).
CONCLUSIONS: Our results confirmed that two SNPs (rs2395309 and rs9277535) in the
HLA-DP loci were strongly associated with HBV infection in southern and northern
Han Chinese populations, but not with HBV progression.
PMID- 21904618
TI - Clinical implication of coronary tortuosity in patients with coronary artery
disease.
AB - BACKGROUND: Coronary tortuosity (CT) is a common coronary angiography finding.
The exact pathogenesis, clinical implication and long-term prognosis of CT are
not fully understood. The purpose of this study is to investigate the clinical
characteristics of CT in patients with suspected coronary artery disease (CAD) in
a Chinese population. METHODS: A total of 1010 consecutive patients underwent
coronary angiography with complaints of chest pain or related symptoms were
included in the present study (544 male, mean age: 64+/-11 years). CT was defined
by the finding of >=3 bends (defined as >=45 degrees change in vessel direction)
along main trunk of at least one artery in systole and in diastole. Patients with
or without CAD were further divided into CT-positive and CT-negative groups, all
patients were followed up for the incidence of major adverse cardiovascular
events (MACE) for 2 to 4 years. RESULTS: The prevalence of CT was 39.1% in this
patient cohort and incidence of CT was significantly higher in female patients
than that in male patients (OR = 2.603, 95%CI 1.897, 3.607, P<0.001). CT was
positively correlated with essential hypertension (OR = 1.533, 95%CI 1.131,
2.076, P = 0.006) and negatively correlated with CAD (OR = 0.755, 95%CI 0.574,
0.994, P = 0.045). MACE during follow up was similar between CAD patients with or
without CT. CONCLUSIONS: CT is more often seen in females and positively
correlated with hypertension and negatively correlated with coronary
atherosclerosis.
PMID- 21904620
TI - Functional electrical stimulation of intrinsic laryngeal muscles under varying
loads in exercising horses.
AB - Bilateral vocal fold paralysis (BVCP) is a life threatening condition and appears
to be a good candidate for therapy using functional electrical stimulation (FES).
Developing a working FES system has been technically difficult due to the
inaccessible location and small size of the sole arytenoid abductor, the
posterior cricoarytenoid (PCA) muscle. A naturally-occurring disease in horses
shares many functional and etiological features with BVCP. In this study, the
feasibility of FES for equine vocal fold paralysis was explored by testing
arytenoid abduction evoked by electrical stimulation of the PCA muscle. Rheobase
and chronaxie were determined for innervated PCA muscle. We then tested the
hypothesis that direct muscle stimulation can maintain airway patency during
strenuous exercise in horses with induced transient conduction block of the
laryngeal motor nerve. Six adult horses were instrumented with a single bipolar
intra-muscular electrode in the left PCA muscle. Rheobase and chronaxie were
within the normal range for innervated muscle at 0.55+/-0.38 v and 0.38+/-0.19 ms
respectively. Intramuscular stimulation of the PCA muscle significantly improved
arytenoid abduction at all levels of exercise intensity and there was no
significant difference between the level of abduction achieved with stimulation
and control values under moderate loads. The equine larynx may provide a useful
model for the study of bilateral fold paralysis.
PMID- 21904619
TI - IFN-alpha is constitutively expressed in the human thymus, but not in peripheral
lymphoid organs.
AB - Type I interferons have been typically studied for their effects in the context
of bacterial or viral infections. However in this report, we provide evidence
that Interferon-alpha (IFN-alpha) expressing cells are present in the thymus in
the absence of infection. We show that pDC express the highest level of IFN-alpha
and that MxA, which is exclusively expressed after engagement of the type I IFN
receptor by IFN-alpha/beta, is expressed in normal fetal and post-natal thymus,
but not in the periphery. The highest level of MxA is expressed in mature
thymocytes and pDC located in the medulla and at the cortico-medullary junction.
The anti-microbial peptide LL-37, which is expressed in the thymus, when
complexed with eukaryotic nucleic acids, induces the secretion of IFN-alpha by
thymic pDC. This results in the upregulation of MxA expression in responsive
thymocytes. We propose that the secretion of IFN-alpha in the thymus may function
to regulate the rate of T cell development and modulate the requirements for the
selection of developing T cells.
PMID- 21904621
TI - Whole genome PCR scanning reveals the syntenic genome structure of toxigenic
Vibrio cholerae strains in the O1/O139 population.
AB - Vibrio cholerae is commonly found in estuarine water systems. Toxigenic O1 and
O139 V. cholerae strains have caused cholera epidemics and pandemics, whereas the
nontoxigenic strains within these serogroups only occasionally lead to disease.
To understand the differences in the genome and clonality between the toxigenic
and nontoxigenic strains of V. cholerae serogroups O1 and O139, we employed a
whole genome PCR scanning (WGPScanning) method, an rrn operon-mediated fragment
rearrangement analysis and comparative genomic hybridization (CGH) to analyze the
genome structure of different strains. WGPScanning in conjunction with CGH
revealed that the genomic contents of the toxigenic strains were conservative,
except for a few indels located mainly in mobile elements. Minor nucleotide
variation in orthologous genes appeared to be the major difference between the
toxigenic strains. rrn operon-mediated rearrangements were infrequent in El Tor
toxigenic strains tested using I-CeuI digested pulsed-field gel electrophoresis
(PFGE) analysis and PCR analysis based on flanking sequence of rrn operons. Using
these methods, we found that the genomic structures of toxigenic El Tor and O139
strains were syntenic. The nontoxigenic strains exhibited more extensive sequence
variations, but toxin coregulated pilus positive (TCP+) strains had a similar
structure. TCP+ nontoxigenic strains could be subdivided into multiple lineages
according to the TCP type, suggesting the existence of complex intermediates in
the evolution of toxigenic strains. The data indicate that toxigenic O1 El Tor
and O139 strains were derived from a single lineage of intermediates from complex
clones in the environment. The nontoxigenic strains with non-El Tor type TCP may
yet evolve into new epidemic clones after attaining toxigenic attributes.
PMID- 21904622
TI - Utility of survival motor neuron ELISA for spinal muscular atrophy clinical and
preclinical analyses.
AB - OBJECTIVES: Genetic defects leading to the reduction of the survival motor neuron
protein (SMN) are a causal factor for Spinal Muscular Atrophy (SMA). While there
are a number of therapies under evaluation as potential treatments for SMA, there
is a critical lack of a biomarker method for assessing efficacy of therapeutic
interventions, particularly those targeting upregulation of SMN protein levels.
Towards this end we have engaged in developing an immunoassay capable of
accurately measuring SMN protein levels in blood, specifically in peripheral
blood mononuclear cells (PBMCs), as a tool for validating SMN protein as a
biomarker in SMA. METHODS: A sandwich enzyme-linked immunosorbent assay (ELISA)
was developed and validated for measuring SMN protein in human PBMCs and other
cell lysates. Protocols for detection and extraction of SMN from transgenic SMA
mouse tissues were also developed. RESULTS: The assay sensitivity for human SMN
is 50 pg/mL. Initial analysis reveals that PBMCs yield enough SMN to analyze from
blood volumes of less than 1 mL, and SMA Type I patients' PBMCs show ~90%
reduction of SMN protein compared to normal adults. The ELISA can reliably
quantify SMN protein in human and mouse PBMCs and muscle, as well as brain, and
spinal cord from a mouse model of severe SMA. CONCLUSIONS: This SMN ELISA assay
enables the reliable, quantitative and rapid measurement of SMN in healthy human
and SMA patient PBMCs, muscle and fibroblasts. SMN was also detected in several
tissues in a mouse model of SMA, as well as in wildtype mouse tissues. This SMN
ELISA has general translational applicability to both preclinical and clinical
research efforts.
PMID- 21904623
TI - Human migration through bottlenecks from Southeast Asia into East Asia during
Last Glacial Maximum revealed by Y chromosomes.
AB - Molecular anthropological studies of the populations in and around East Asia have
resulted in the discovery that most of the Y-chromosome lineages of East Asians
came from Southeast Asia. However, very few Southeast Asian populations had been
investigated, and therefore, little was known about the purported migrations from
Southeast Asia into East Asia and their roles in shaping the genetic structure of
East Asian populations. Here, we present the Y-chromosome data from 1,652
individuals belonging to 47 Mon-Khmer (MK) and Hmong-Mien (HM) speaking
populations that are distributed primarily across Southeast Asia and extend into
East Asia. Haplogroup O3a3b-M7, which appears mainly in MK and HM, indicates a
strong tie between the two groups. The short tandem repeat network of O3a3b-M7
displayed a hierarchical expansion structure (annual ring shape), with MK
haplotypes being located at the original point, and the HM and the Tibeto-Burman
haplotypes distributed further away from core of the network. Moreover, the East
Asian dominant haplogroup O3a3c1-M117 shows a network structure similar to that
of O3a3b-M7. These patterns indicate an early unidirectional diffusion from
Southeast Asia into East Asia, which might have resulted from the genetic drift
of East Asian ancestors carrying these two haplogroups through many small bottle
necks formed by the complicated landscape between Southeast Asia and East Asia.
The ages of O3a3b-M7 and O3a3c1-M117 were estimated to be approximately 19
thousand years, followed by the emergence of the ancestors of HM lineages out of
MK and the unidirectional northward migrations into East Asia.
PMID- 21904624
TI - Lysophosphatidylinositol causes neurite retraction via GPR55, G13 and RhoA in
PC12 cells.
AB - GPR55 was recently identified as a putative receptor for certain cannabinoids,
and lysophosphatidylinositol (LPI). Recently, the role of cannabinoids as GPR55
agonists has been disputed by a number of reports, in part, because studies
investigating GPR55 often utilized overexpression systems, such as the GPR55
overexpressing HEK293 cells, which make it difficult to deduce the physiological
role of endogenous GPR55. In the present study, we found that PC12 cells, a
neural model cell line, express endogenous GPR55, and by using these cells, we
were able to examine the role of endogenous GPR55. Although GPR55 mRNA and
protein were expressed in PC12 cells, neither CB(1) nor CB(2) mRNA was expressed
in these cells. GPR55 was predominantly localized on the plasma membrane in
undifferentiated PC12 cells. However, GPR55 was also localized in the growth
cones or the ruffled border in differentiated PC12 cells, suggesting a potential
role for GPR55 in the regulation of neurite elongation. LPI increased
intracellular Ca(2+) concentration and RhoA activity, and induced ERK1/2
phosphorylation, whereas endogenous and synthetic cannabinoids did not, thereby
suggesting that cannabinoids are not GPR55 agonists. LPI also caused neurite
retraction in a time-dependent manner accompanied by the loss of neurofilament
light chain and redistribution of actin in PC12 cells differentiated by NGF. This
LPI-induced neurite retraction was found to be G(q)-independent and G(13)
dependent. Furthermore, inactivation of RhoA function via C3 toxin and GPR55
siRNA knockdown prevented LPI-induced neurite retraction. These results suggest
that LPI, and not cannabinoids, causes neurite retraction in differentiated PC12
cells via a GPR55, G(13) and RhoA signaling pathway.
PMID- 21904625
TI - Structural mechanism of S-adenosyl methionine binding to catechol O
methyltransferase.
AB - Methyltransferases possess a homologous domain that requires both a divalent
metal cation and S-adenosyl-L-methionine (SAM) to catalyze its reactions. The
kinetics of several methyltransferases has been well characterized; however, the
details regarding their structural mechanisms have remained unclear to date.
Using catechol O-methyltransferase (COMT) as a model, we perform discrete
molecular dynamics and computational docking simulations to elucidate the initial
stages of cofactor binding. We find that COMT binds SAM via an induced-fit
mechanism, where SAM adopts a different docking pose in the absence of metal and
substrate in comparison to the holoenzyme. Flexible modeling of the active site
side-chains is essential for observing the lowest energy state in the apoenzyme;
rigid docking tools are unable to recapitulate the pose unless the appropriate
side-chain conformations are given a priori. From our docking results, we
hypothesize that the metal reorients SAM in a conformation suitable for donating
its methyl substituent to the recipient ligand. The proposed mechanism enables a
general understanding of how divalent metal cations contribute to
methyltransferase function.
PMID- 21904626
TI - Novel biomarkers distinguishing active tuberculosis from latent infection
identified by gene expression profile of peripheral blood mononuclear cells.
AB - BACKGROUND: Humans infected with Mycobacterium tuberculosis (MTB) can delete the
pathogen or otherwise become latent infection or active disease. However, the
factors influencing the pathogen clearance and disease progression from latent
infection are poorly understood. This study attempted to use a genome-wide
transcriptome approach to identify immune factors associated with MTB infection
and novel biomarkers that can distinguish active disease from latent infection.
METHODOLOGY/PRINCIPAL FINDINGS: Using microarray analysis, we comprehensively
determined the transcriptional difference in purified protein derivative (PPD)
stimulated peripheral blood mononuclear cells (PBMCs) in 12 individuals divided
into three groups: TB patients (TB), latent TB infection individuals (LTBI) and
healthy controls (HC) (n = 4 per group). A transcriptional profiling of 506
differentially expressed genes could correctly group study individuals into three
clusters. Moreover, 55- and 229-transcript signatures for tuberculosis infection
(TB<BI) and active disease (TB) were identified, respectively. The validation
study by quantitative real-time PCR (qPCR) performed in 83 individuals confirmed
the expression patterns of 81% of the microarray identified genes. Decision tree
analysis indicated that three genes of CXCL10, ATP10A and TLR6 could
differentiate TB from LTBI subjects. Additional validation was performed to
assess the diagnostic ability of the three biomarkers within 36 subjects, which
yielded a sensitivity of 71% and specificity of 89%. CONCLUSIONS/SIGNIFICANCE:
The transcription profiles of PBMCs induced by PPD identified distinctive gene
expression patterns associated with different infectious status and provided new
insights into human immune responses to MTB. Furthermore, this study indicated
that a combination of CXCL10, ATP10A and TLR6 could be used as novel biomarkers
for the discrimination of TB from LTBI.
PMID- 21904627
TI - Comparative proteomic analysis of Methanothermobacter themautotrophicus DeltaH in
pure culture and in co-culture with a butyrate-oxidizing bacterium.
AB - To understand the physiological basis of methanogenic archaea living on
interspecies H(2) transfer, the protein expression of a hydrogenotrophic
methanogen, Methanothermobacter thermautotrophicus strain DeltaH, was
investigated in both pure culture and syntrophic coculture with an anaerobic
butyrate oxidizer Syntrophothermus lipocalidus strain TGB-C1 as an H(2) supplier.
Comparative proteomic analysis showed that global protein expression of
methanogen cells in the model coculture was substantially different from that of
pure cultured cells. In brief, in syntrophic coculture, although methanogenesis
driven energy generation appeared to be maintained by shifting the pathway to the
alternative methyl coenzyme M reductase isozyme I and cofactor F(420)-dependent
process, the machinery proteins involved in carbon fixation, amino acid
synthesis, and RNA/DNA metabolisms tended to be down-regulated, indicating
restrained cell growth rather than vigorous proliferation. In addition, our
proteome analysis revealed that alpha subunits of proteasome were differentially
acetylated between the two culture conditions. Since the relevant modification
has been suspected to regulate proteolytic activity of the proteasome, the global
protein turnover rate could be controlled under syntrophic growth conditions. To
our knowledge, the present study is the first report on N-acetylation of
proteasome subunits in methanogenic archaea. These results clearly indicated that
physiological adaptation of hydrogenotrophic methanogens to syntrophic growth is
more complicated than that of hitherto proposed.
PMID- 21904628
TI - An artemisinin-derived dimer has highly potent anti-cytomegalovirus (CMV) and
anti-cancer activities.
AB - We recently reported that two artemisinin-derived dimers (dimer primary alcohol
606 and dimer sulfone 4-carbamate 832-4) are significantly more potent in
inhibiting human cytomegalovirus (CMV) replication than artemisinin-derived
monomers. In our continued evaluation of the activities of artemisinins in CMV
inhibition, twelve artemisinin-derived dimers and five artemisinin-derived
monomers were used. Dimers as a group were found to be potent inhibitors of CMV
replication. Comparison of CMV inhibition and the slope parameter of dimers and
monomers suggest that dimers are distinct in their anti-CMV activities. A deoxy
dimer (574), lacking the endoperoxide bridge, did not have any effect on CMV
replication, suggesting a role for the endoperoxide bridge in CMV inhibition.
Differences in anti-CMV activity were observed among three structural analogs of
dimer sulfone 4-carbamate 832-4 indicating that the exact placement and oxidation
state of the sulfur atom may contribute to its anti-CMV activity. Of all tested
dimers, artemisinin-derived diphenyl phosphate dimer 838 proved to be the most
potent inhibitor of CMV replication, with a selectivity index of approximately
1500, compared to our previously reported dimer sulfone 4-carbamate 832-4 with a
selectivity index of about 900. Diphenyl phosphate dimer 838 was highly active
against a Ganciclovir-resistant CMV strain and was also the most active dimer in
inhibition of cancer cell growth. Thus, diphenyl phosphate dimer 838 may
represent a lead for development of a highly potent and safe anti-CMV compound.
PMID- 21904629
TI - Population structure of an invasive parthenogenetic gastropod in coastal lakes
and estuaries of northern KwaZulu-Natal, South Africa.
AB - BACKGROUND: Estuaries and coastal lakes receive little attention despite being
heavily invaded by non-indigenous invasive species (NIS). In these situations,
studies of population dynamics in invaded habitats can provide valuable insights
into how NIS interact with new environments. Tarebia granifera is a prosobranch
gastropod from south-east Asia which has invaded other sub-tropical parts of the
world. This study addresses whether a small number of key environmental factors
influences gastropod communities, and specifically how the population density and
size structure of T. granifera were influenced by environmental change in
estuaries and coastal lakes in southern Africa. METHODOLOGY/PRINCIPAL FINDINGS:
T. granifera's density, number of brooded juveniles and size structure were
measured at the St. Lucia Estuary, Mgobozeleni Estuary, Lake Sibaya and Lake
Nhlange. Size structure was classified according to shell height (SH). All
dissected individuals were found to be female and free from trematode infection.
Salinity, water depth, temperature, and pH were the main factors correlated with
population density of gastropod communities. T. granifera often reached densities
well over 1000 ind. m(-2), displacing indigenous gastropods and becoming a
dominant component of the benthic community. T. granifera successfully invaded
estuaries despite frequent exposure to high salinity and desiccation, which could
together eliminate >97% of the population. The persistence of T. granifera was
ensured due to its high fecundity and the environmental tolerance of large adults
(20-30 mm SH) which carried an average of 158+/-12.8 SD brooded juveniles. Repeat
introductions were not essential for the success of this parthenogenetic NIS.
CONCLUSION/SIGNIFICANCE: There is a need for a broader study on the reproductive
biology of T. granifera (including the previously overlooked "brood pouch
ecology"), which affects population dynamics and may be relevant to other
parthenogenetic NIS, such as Melanoides tuberculata and Potamopyrgus antipodarum.
PMID- 21904630
TI - Primary vascular tumors of bone: a spectrum of entities?
AB - Vascular tumors of bone are a heterogeneous group. Numerous terms have been
introduced as well as different classification systems. None of the
classification schemes have been accepted due to lack of consistent terminology,
accepted histologic criteria, and limited correlation with clinical outcome. It
is acknowledged that vascular tumors of bone originate from endothelial cells,
resulting in variable expression of endothelial markers. None of these markers
are useful to discriminate between benign and malignant lesions. Although
radiologic appearance is not specific, radiologic multifocality should trigger to
include a vascular neoplasm in the differential diagnosis. This review gives an
overview of current literature by describing all different histologic subtypes in
correspondence with clinical, radiologic and genetic data. We propose the
classification of vascular tumors of bone according to the three-tiered World
Health Organization classification scheme for soft tissue tumors dividing
entities into a benign, intermediate and malignant category. Hemangioma is the
most often and commonly recognized benign lesion. Epithelioid hemangioma has been
better defined over the past few years. Based on its locally aggressive behavior
and occurrence of lymph node metastases, classification within the intermediate
category could be considered. Angiosarcoma is the only accepted term for high
grade malignant vascular tumor of bone and so far, epithelioid
hemangioendothelioma is the only accepted low-grade malignant vascular tumor of
bone. It is still unclear whether other low-grade malignant vascular tumors of
bone (e.g. hemangioendothelioma) truly exist. Unfortunately, molecular / genetic
studies of vascular tumors of bone which might support the proposed
classification are very sparse.
PMID- 21904631
TI - IL-17 Expression by macrophages is associated with proliferative inflammatory
atrophy lesions in prostate cancer patients.
AB - Intraprostatic leukocyte function may vary depending on local inflammatory or
malignant cell microenvironment. Interleukin (IL)-17 producing cells play key
roles in chronic inflammation and autoimmunity. Little is known about the
relevance of IL-17 producing cells at sites of prostate tissue inflammation
and/or prostate adenocarcinoma. In this study, we analyzed thirty formalin-fixed
paraffin-embedded whole-mount radical prostatectomy specimens of prostate cancer
patients. Immunohistochemistry was employed to identify IL-17 producing cells in
all sites of mononuclear cell accumulation, noting their relationships to areas
of prostate cancer, proliferative inflammatory atrophy (PIA), or hyperplastic
benign tissue. Levels of IL-17 producing cells were similar in zones of benign
prostate tissue and areas of prostate cancer. Pronounced intraluminal and peri
glandular IL-17 producing cell accumulations were identified in the mononuclear
cell infiltrates associated with PIA lesions. Glandular and peri-glandular CD68+
macrophages and neutrophils were the predominant IL-17 producing cells in PIA
lesions. The accumulation of IL-17 expressing cells in PIA lesions presents
direct evidence of an inflammatory microenvironment that may support the
development of prostate cancer.
PMID- 21904632
TI - Quantitation of brain edema and localisation of aquaporin 4 expression in
relation to susceptibility to experimental cerebral malaria.
AB - The pathogenic mechanisms underlying the occurrence of cerebral malaria (CM) are
still incompletely understood but, clearly, cerebral complications may result
from concomitant microvessel obstruction and inflammation. The extent to which
brain edema contributes to pathology has not been investigated. Using the model
of P. berghei ANKA infection, we compared brain microvessel morphology of CM
susceptible and CM-resistant mice. By quantitative planimetry, we provide
evidence that CM is characterized by enlarged perivascular spaces (PVS). We show
a dramatic aquaporin 4 (AQP4) upregulation, selectively at the level of
astrocytic foot processes, in both CM and non-CM disease, but significantly more
pronounced in mice with malarial-induced neurological syndrome. This suggests
that a threshold of AQP4 expression is needed to lead to neurovascular pathology,
a view that is supported by significantly higher levels in mice with clinically
overt CM. Numbers of intravascular leukocytes significantly correlated with both
PVS enlargement and AQP4 overexpression. Thus, brain edema could be a
contributing factor in CM pathogenesis and AQP4, specifically in its astrocytic
location, a key molecule in this mechanism. Since experimental CM is associated
with substantial brain edema, it models paediatric CM better than the adult
syndrome and it is tempting to evaluate AQP4 in the former context. If AQP4
changes are confirmed in human CM, it may represent a novel target for
therapeutic intervention.
PMID- 21904633
TI - Plasma microRNAs as novel biomarkers for early detection of lung cancer.
AB - A diagnosis of lung cancer at its early stages is vital for improving the
survival rate of patients. MicroRNAs (miRNAs), a family of 19- to 25-nucleotide
non-coding small RNAs, are frequently dysregulated in lung cancer. The objective
of this study was to investigate the potential of circulating miRNAs for early
detection of lung cancer. We searched the published literature for the miRNA
microarray data of primary lung cancer and selected 15 miRNAs that were most
frequently up-regulated in lung cancer tissues. Total plasma RNA including miRNAs
was isolated, polyadenylated and reverse-transcribed into cDNAs. The levels of
miRNAs were determined by real-time RT-PCR in 74 lung cancer patients and 68 age
matched cancer-free controls. We found that the levels of miR-155, miR-197, and
miR-182 in the plasma of lung cancer including stage I patients were
significantly elevated compared with controls (P<0.001). The combination of these
3 miRNAs yielded 81.33% sensitivity and 86.76% specificity in discriminating lung
cancer patients from controls. The levels of miR-155 and miR-197 were higher in
the plasma from lung cancer patients with metastasis than in those without
metastasis (P<0.05) and were significantly decreased in responsive patients
during chemotherapy (P<0.001). These results indicate that miR-155, miR-197, and
miR-182 can be potential non-invasive biomarkers for early detection of lung
cancer.
PMID- 21904634
TI - NVC-422 topical gel for the treatment of impetigo.
AB - Impetigo is a highly contagious bacterial skin infection affecting children
worldwide that is caused by the Gram-positive bacteria Staphylococcus aureus,
Streptococcus pyogenes, or both. Staphylococcus species can quickly develop drug
resistance rendering mupirocin, fusidic acid, and erythromycin ineffective.
Preclinical and clinical studies demonstrated that NVC-422 (N, N-dichloro-2, 2
dimethyltaurine) rapidly kills pathogens without the development of drug
resistance. 129 patients with clinically diagnosed impetigo were randomized to
three dose groups (0.1, 0.5, or 1.5% NVC-422 topical gel) in a study conducted at
2 centers; 125 patients (97%) had microbiologically confirmed infection.
Treatment was administered three times a day (TID) for 7 days to all randomized
subjects. Response was measured at the completion of treatment (Day 8) and 1 week
post treatment (Day 15) by the Skin Infection Rating Scale (SIRS) and by
microbiological response. A total of 120 subjects (96%) completed all 7 days of
treatment and were assessed at end of treatment (EOT). Clinical response rate at
EOT in the PPC population was excellent in each of the dose groups (84.6%, 87.2%,
and 92.3% in the 0.1%, 0.5% and 1.5% dose groups respectively). The majority of
the infections were caused by S. aureus, alone (106/125, 85%) of which
approximately 10% were MRSA. There were no clinical recurrences in any treatment
groups. Treatment-emergent adverse events were seen in 5.4% of the subjects
(7/129) and were mild to moderate and resolved. NVC-422 topical gel administered
TID was well tolerated, with high rates of clinical and microbiological responses
for treating impetigo.
PMID- 21904635
TI - Dynamics of early histopathological changes in GVHD after
busulphan/cyclophosphamide conditioning regimen.
AB - Hematopoietic stem cell transplantation (HSCT) is a curative treatment for
otherwise incurable diseases. Conditioning regimen is an important part of HSCT
and consists of chemotherapy with or without irradiation. Conditioning exerts
myelosuppressive, immunosuppressive and antitumor effects, but also contributes
to HSCT-related complications including graft-versus-host disease (GVHD). Since
almost 50% of the transplanted patients are conditioned with cytostatics without
irradiation, we developed and characterized a GVHD mouse model following
conditioning with busulphan and cyclophosphamide. Recipient Balb/c female mice
were treated with busulphan (20 mg/kg/day for 4 days) and cyclophosphamide (100
mg/kg/day for two days). After one day of rest, recipient mice were transplanted
with 2*10(7) bone marrow and 3*10(7) spleen cells from male C57BL/6 (allogeneic
group) or female Balb/c (syngeneic/control group) mice. The allogeneic, but not
syngeneic transplanted mice developed GVHD. Histopathology of the major internal
organs (liver, pancreas, spleen, lungs, heart and kidney) was examined before
conditioning start, after conditioning's end and 5, 7 and 21 days after
transplantation using hematoxylin-eosin staining. Decreased spleen cellularity
and diminished glycogen content in the liver were observed after conditioning
regimen. Histopathological changes such as vasculitis, inflammation and apoptotic
cell forms in liver, spleen, pancreas, lungs and heart were observed in
allogeneic transplanted mice, however, only hypocellular spleen and extramedullar
hematopoiesis were detected in syngeneic transplanted animals. No morphological
changes were observed in kidney in either HSCT setting. This is the first study
describing early histopathological changes after conditioning regimen with
busulphan/cyclophosphamide and dynamics of GVHD development in several major
internal organs.
PMID- 21904636
TI - The selective mineralocorticoid receptor antagonist eplerenone is protective in
mild anti-GBM glomeru-lonephritis.
AB - BACKGROUND: Growing evidence suggests that blockade of the aldosterone-receptor
may preserve kidney function by anti-inflammatory effects independent of the
blood pressure. We hypothesized that the selective aldosterone-receptor
antagonist eplerenone has a profound anti-inflammatory effect in the autologous
phase of anti-glomerular basement membrane (GBM) glomerulonephritis (GN).
METHODS: Mice received ~200mg/kg body wt/day eplerenone via supplemented chow
diet or standard chow starting at the day of immunization with rabbit IgG. Three
days later the anti-GBM antibody was injected and the experiments were stopped at
day 7 and 14. RESULTS: Mice receiving eplerenone showed significantly decreased
albuminuria and glomerular sclerosis at day 7 and 14 after induction of anti-GBM
GN. Eplerenone treatment significantly inhibited the infiltration of CD4+, CD8+ T
cells and macrophages into the kidneys. Circulating levels and glomerular
deposition of autologous IgG were comparable in both groups. At day 7 the pro
inflammatory cytokines MCP-1 and IL-6 were found to be significantly decreased in
regional draining lymph nodes of eplerenone-treated mice, whereas the anti
inflammatory cytokine IL-10 was significantly upregulated. In line, splenocytes
from eplerenone-treated nephritic mice produced significantly increased IL-10.
CONCLUSION: Aldosterone-receptor blockade by eplerenone effectively attenuated
proteinuria, kidney damage and the inflammatory response in anti-GBM GN by
significantly decreasing pro-inflammatory cytokines in the regional draining
lymph nodes of the kidney. Our results suggest that this selective aldosterone
receptor antagonist is a possible additional tool in the treatment of GN.
PMID- 21904637
TI - Brain microvasculature and hypoxia-related proteins in Alzheimer's disease.
AB - Alzheimer's disease (AD) is a progressive, neurodegenerative disease of
increasing incidence. The pathologic processes that underlie this disorder are
incompletely understood, however, hypoperfusion/hypoxia is thought to contribute
to disease pathogenesis. Hypoxia inducible factor 1-alpha (HIF-1alpha), a key
regulator of cellular responses to hypoxia, is elevated in the microcirculation
of AD patients. Cerebral hypoxia is a potent stimulus for vascular activation and
angiogenesis. Microvessels isolated from the brains of AD patients express a
large number of angiogenic proteins. Despite considerable data in human tissues
regarding vascular expression of hypoxia-related angiogenic proteins, there is
little information regarding these proteins in the brain vasculature of
transgenic AD mice. The objectives of this study were to determine expression of
HIF-1alpha, angiogenic proteins, angiopoietin-2 (Ang-2), and matrix
metalloproteinase 2 (MMP2), and survival/apoptotic proteins (Bcl-xL, caspase 3)
in the cerebromicrovasculature of AD transgenic mice and to determine the direct
effect of hypoxia on cerebral endothelial expression of these proteins in vitro.
Cultured brain endothelial cells were subjected to hypoxia for 4-6 h and analyzed
by western blot and immunofluorescence. Our results demonstrated that HIF-1alpha
is induced in cultured brain endothelial cells exposed to hypoxia and that
expression of Ang-2, MMP2 and caspase 3 was elevated and the anti-apoptotic
protein Bcl-xL decreased. Brain sections from AD and control mice showed that HIF
1alpha, Ang-2, MMP2 and caspase 3 are elevated and Bcl-xL decreased in the
microvasculature of AD mice. These data suggest the cerebromicrovasculature is an
important target for the effects of hypoxia in the AD brain.
PMID- 21904638
TI - Papillary cystadenofibroma of epididymis: a case report.
AB - We present the first reported case of papillary cystadenofibroma of the
epididymis. The tumor occurred in a 46-year-old man. The mass was 3.7 cm and
included a hemorrhagic fluid-filled cyst. Microscopically, stromal-filled
papillae were lined by low cuboidal to columnar epithelium. Epithelial cells were
reactive for cytokeratin 7, cytokeratins AE1/3, and focally in the apical
cytoplasm for CD10. Focal CD10 reactivity was also noted in the stroma. The
lesion was negative for alpha-fetoprotein. These findings ruled out other
lesions, including metastatic renal cell carcinoma.
PMID- 21904639
TI - Immunohistochemical profile of normal mesothelium and histiocytic/methothelial
hyperplasia: a case report.
AB - Immunohistochemical profiles of normal mesothelium and histiocytic/mesothelial
hyperplasia (HMH) are unknown. A 19-year-old man was treated by thoracoscopic
resection of bullae of left lung. Histologically, there were cell proliferative
foci composed of round cells without significant atypia (histiocyte, mesothelium
and T-lymphocytes). The cell proliferative foci were patch-like, and no invasive
features were seen. Because it is composed of histiocytes, mesothelium, and T
lymphocytes, the diagnosis was HMH. Immunohistochemically, cell components of HMH
showed the following immunoreactions: calrenitin 3+, D2-40 3+, pancytokeratin
AE1/3 3+, pancytokeratin CAM5.2 3+, cytokeratin (CK) 34betaE12 1+, CK5/6 1+, CK7
1+, CK8 3+, CK 14 1+, CK18 2+, CK19 2+, p53 10%, Ki67 20%, CD68 3+, CD45 2+, CD45
RO 2+, vimentin 3+, Ber-EP4 -, CK20 -, EMA -, desmin -, CEA -, CA19-9 -, TTF-1 -,
S100 protein -, alphasmooth muscle actin -, CD34 -, CD20 -, chromogranin -,
synaptophysin -, NSE -, CDX2 -, CD56 -, HER2 -, MUC1 -, MUC2 -, MUC5AC -, and
MUC6 -. The normal mesothelium showed the following immunoprofile: calrenitin 3+,
D2-40 3+, pancytokeratin AE1/3 3+, pancytokeratin CAM5.2 3+, CK34betaE12 3+,
CK5/6 2+, CK7 2+, CK8 3+, CK 14 -, CK18 3+, CK19 2+, vimentin 1+, p53 -, Ki67 1%,
CD68 -, CD45 -, CD45 RO -, Ber-EP4 -, CK20 -, EMA -, desmin -, CEA -, CA19-9 -,
TTF-1 -, S100 protein -, alpha-smooth muscle actin -, CD34 -, chromogranin -,
synaptophysin -, NSE -, CDX2 -, CD56 -, HER2 -, MUC1 -, MUC2 -, MUC5AC -, and
MUC6 -. These findings indicate that the immunoprolfile of mesothelium in HMH was
immunohistochemically very similar to that of normal mesothelium except for CD68,
p53 protein, Ki-67 labeling, CD45 and CD45 RO. These indicate that the HMH was
reactive phenomenon and HMH is composed of hyperplastic mesothelium, histiocytes
and T-lymphocytes. The immunoprofile of normal mesothelium provide basic
knowledge of mesothelial pathology.
PMID- 21904640
TI - 4-hydroxy-2-nonenal-modified glyceraldehyde-3-phosphate dehydrogenase is degraded
by cathepsin G in rat neutrophils.
AB - Degradation of oxidized or oxidatively modified proteins is an essential part of
the antioxidant defenses of cells. 4-Hydroxy-2-nonenal, a major reactive aldehyde
formed by lipid peroxidation, causes many types of cellular damage. It has been
reported that 4-hydroxy-2-nonenal-modified proteins are degraded by the ubiquitin
proteasome pathway or, in some cases, by the lysosomal pathway. However, our
previous studies using U937 cells showed that 4-hydroxy-2-nonenal-modified
glyceraldehyde-3-phosphate dehydrogenase is degraded by cathepsin G. In the
present study, we isolated the 4-hydroxy-2-nonenal-modified glyceraldehyde-3
phosphate dehydrogenase-degrading enzyme from rat neutrophils to an active
protein fraction of 28 kDa. Using the specific antibody, the 28 kDa protein was
identified as cathepsin G. Moreover, the degradation activity was inhibited by
cathepsin G inhibitors. These results suggest that cathepsin G plays a crucial
role in the degradation of 4-hydroxy-2-nonenal-modified glyceraldehyde-3
phosphate dehydrogenase.
PMID- 21904641
TI - N-acetylcysteine supplementation controls total antioxidant capacity, creatine
kinase, lactate, and tumor necrotic factor-alpha against oxidative stress induced
by graded exercise in sedentary men.
AB - Aim of this study was to evaluate the effects of short-term (7 days) N
acetylcysteine (NAC) at 1,200 mg daily supplementation on muscle fatigue, maximal
oxygen uptake (VO(2max)), total antioxidant capacity (TAC), lactate, creatine
kinase (CK), and tumor necrotic factor-alpha (TNF-alpha). Twenty-nine sedentary
men (13 controls; 16 in the supplement group) from a randomized control were
included. At before and after supplementation, fatigue index (FI) was evaluated
in the quadriceps muscle, and performed a graded exercise treadmill test to
induce oxidative stress, and as a measure of VO(2max). Blood samples were taken
before exercise and 20 minutes after it at before and after supplementation, to
determine TAC, CK, lactate, and TNF-alpha levels. Results showed that FI and
VO(2max) increased significantly in the supplement group. After exercise
decreased the levels of TAC and increased lactate, CK, and TNF-alpha of both
groups at before supplementation. After supplementation, lactate, CK, and TNF
alpha levels significantly increased and TAC decreased after exercise in the
control group. Whereas the TAC and lactate levels did not change significantly,
but CK and TNF-alpha increased significantly in the supplement group. Therefore,
this results showed that NAC improved the muscle fatigue, VO(2max), maintained
TAC, controlled lactate production, but had no influence on CK and TNF-alpha.
PMID- 21904642
TI - Chopper is prodeath regardless of the effect of p75ICD on sensitivity to
oxidative stress.
AB - BACKGROUND: The intracellular domain (ICD) of the neurotrophin receptor, p75NTR,
exhibits variably pro- and antiapoptotic activity and has been implicated in
neurodegenerative and neurodestructive disease. The molecular determinants of
these cellular effects are not completely understood. The "Chopper" domain of
p75ICD has been shown to be proapoptotic in in vitro systems in which p75ICD is
proapoptotic. The effects of Chopper in systems in which p75ICD is antiapoptotic
and, therefore, whether or not Chopper accounts for the variability of the
cellular effects of p75ICD are not known. We therefore examined the effects of
deletion of Chopper on the effects of p75ICD on in vitro cell culture systems in
which p75ICD is pro- or antiapoptotic, respectively. RESULTS: In HN33.11 murine
neuroblastoma-hippocampal neuron hybrid cells, p75ICD is antiapoptotic. In NIH
3T3 cells, p75ICD is proapoptotic. In both cell lines deletion of the Chopper
domain from p75ICD decreases the incidence of apoptosis resulting from oxidative
stress. Thus, irrespective of the nature of the effects of p75ICD on the cell,
its Chopper domain is proapoptotic. CONCLUSIONS: Expression of p75ICD can enhance
or attenuate oxidative induction of apoptosis. Variability of the effects of
p75ICD is not related to variability of the effects of its Chopper domain.
PMID- 21904643
TI - S-nitrosation of cellular proteins by NO donors in rat embryonic fibroblast 3Y1
cells: factors affecting S-nitrosation.
AB - The mechanism of protein S-nitrosation in cells is not fully understood. Using
rat 3Y1 cells, we addressed this issue. Among S-nitrosothiols and NO donors
tested, only S-nitrosocysteine (CysNO) induced S-nitrosation when exposed in
Hanks' balanced salt solution (HBSS) and not in serum-containing general culture
medium. In HBSS, NO release from CysNO was almost completely abolished by
sequestering metal ions with a metal chelator without affecting cellular S
nitrosation. In contrast, L-leucine, a substrate of L-type amino acid
transporters (LATs), significantly inhibited S-nitrosation. The absence of S
nitrosation with CysNO in general culture medium resulted not only from a
competition with amino acids in the medium for LATs but also from
transnitrosation of cysteine residues in serum albumin. Collectively, these
results suggest that in simple buffered saline, CysNO-dependent S-nitrosation
occurs through a cellular incorporation-dependent mechanism, but if it occurs in
general culture media, it may be through an NO-dependent mechanism.
PMID- 21904644
TI - Probucol attenuates oxidative stress, energy starvation, and nitric acid
production following transient forebrain ischemia in the rat hippocampus.
AB - Oxidative stress and energy depletion are believed to participate in hippocampal
neuronal damage after forebrain ischemia. This study has been initiated to
investigate the potential neuroprotective effects of probucol, a lipid-lowering
drug with strong antioxidant properties, against transient forebrain ischemia
induced neuronal damage and biochemical abnormalities in rat hippocampal CA1
region. Adult male Wistar albino rats were subjected to forebrain ischemia and
injected with probucol for the next 7 successive days, and compared to controls.
Forebrain ischemia resulted in a significant decrease in the number of intact
neurons (77%), glutathione (GSH), and adenosine triphosphate (ATP), and a
significant increase in thiobarbituric acid reactive substances (TBARS) and total
nitrate/nitrite, (NO(x)) production in hippocampal tissues. The administration of
probucol attenuated forebrain ischemia-induced neuronal damage, manifested as a
complete reversal of the decrease in the number of intact neurons, ATP and GSH
and the increase in TBARS and NO(x) in hippocampal tissues. This study
demonstrates that probucol treatment abates forebrain ischemia-induced
hippocampal neuronal loss, energy depletion, and oxidative stress in hippocampal
CA1 region. Thus, probucol could be a promising neuroprotective agent in the
treatment of forebrain ischemia.
PMID- 21904645
TI - A review of the receptor-binding properties of p-synephrine as related to its
pharmacological effects.
AB - Bitter orange (Citrus aurantium) extract and its primary protoalkaloid p
synephrine are used widely in weight loss/weight management and sports
performance products. Because of structural similarities, the pharmacological
effects of p-synephrine are widely assumed to be similar to those of ephedrine, m
synephrine (phenylephrine), and endogenous amine neurotransmitters as
norepinephrine and epinephrine. However, small structural changes result in the
receptor binding characteristics of these amines that are markedly different,
providing a plausible explanation for the paucity of adverse effects associated
with the wide-spread consumption of p-synephrine in the form of dietary
supplements as well as in various Citrus foods and juices. This paper summarizes
the adrenoreceptor binding characteristics of p-synephrine relative to m
synephrine, norepinephrine, and other amines as related to the observed
pharmacological effects.
PMID- 21904646
TI - Activation of Nrf2-regulated glutathione pathway genes by ischemic
preconditioning.
AB - Prophylactic pharmacological activation of astrocytic gene expression driven by
the transcription factor Nrf2 boosts antioxidant defences and protects against
neuronal loss in ischemia and other disease models. However, the role of Nrf2 in
mediating endogenous neuroprotective responses is less clear. We recently showed
that Nrf2 is activated by mild oxidative stress in both rodent and human
astrocytes. Moreover, brief exposure to ischemic conditions was found to activate
Nrf2 both in vivo and in vitro, and this was found to contribute to
neuroprotective ischemic preconditioning. Here we show that transient ischemic
conditions in vitro and in vivo cause an increase in the expression of Nrf2
target genes associated with the glutathione pathway, including those involved in
glutathione biosynthesis and cystine uptake. Taken together, these studies
indicate that astrocytic Nrf2 may represent an important mediator of endogenous
neuroprotective preconditioning pathways.
PMID- 21904647
TI - Hydroxyl radical and its scavengers in health and disease.
AB - It is generally believed that diseases caused by oxidative stress should be
treated with antioxidants. However, clinical trials with such antioxidants as
ascorbic acid and vitamin E, failed to produce the expected beneficial results.
On the other hand, important biomolecules can be modified by the introduction of
oxygen atoms by means of non-oxidative hydroxyl radicals. In addition, hydroxyl
radicals can reduce disulfide bonds in proteins, specifically fibrinogen,
resulting in their unfolding and scrambled refolding into abnormal spatial
configurations. Consequences of this reaction are observed in many diseases such
as atherosclerosis, cancer and neurological disorders, and can be prevented by
the action of non-reducing substances. Moreover, many therapeutic substances,
traditionally classified as antioxidants, accept electrons and thus are effective
oxidants. It is described in this paper that hydroxyl radicals can be generated
by ferric ions without any oxidizing agent. In view of the well-known damaging
effect of poorly chelated iron in the human body, numerous natural products
containing iron binding agents can be essential in the maintenance of human
health. However, beneficial effects of the great number of phytochemicals that
are endowed with hydroxyl radical scavenging and/or iron chelating activities
should not be considered as a proof for oxidative stress.
PMID- 21904648
TI - Assessment of anti-cytogenotoxic effects of quercetin in animals treated with
topotecan.
AB - The present investigation was directed to study the possible chemoprotective
activity of orally administered quercetin against topotecan-induced cyto- and
genotoxicity towards mouse somatic cells in vivo. DNA strand breaks, micronuclei
formation, and mitotic activity were undertaken in the current study as markers
of cyto- and genotoxicity. Oxidative stress markers such as intracellular
reactive oxygen species generation, lipid peroxidation, and reduced and oxidized
glutathione were assessed in bone marrow as a possible mechanism underlying this
amelioration. Quercetin was neither cytotoxic nor genotoxic in mice at doses
tested. Pretreatment of mice with quercetin significantly reduced topotecan
induced genotoxicity and cytotoxicity in bone marrow cells, and these effects
were dose dependent. Moreover, prior administration of quercetin ahead of
topotecan challenge ameliorated oxidative stress markers. In conclusion,
quercetin has a protective role in the abatement of topotecan-induced cyto- and
genotoxicity in the bone marrow cells of mice that resides, at least in part, on
its antioxidant effects. Based on the data presented, strategies can be developed
to decrease the topotecan-induced bone marrow suppression and secondary
malignancy in cancer patients and medical personnel exposing to topotecan.
PMID- 21904649
TI - Von Willebrand factor and oxidative stress parameters in acute coronary
syndromes.
AB - Considering the role of von Willebrand factor (vWf) in hemostasis, and the role
of oxidative stress in the development of endothelial dysfunction and
atherosclerotic disease, the aim of our study was to investigate the relationship
between vWf, parameters of oxidative stress and different types of acute coronary
syndromes (ACS). Levels of vWf activity (vWfAct), vWf antigen (vWfAg), nitric
oxide (estimated through nitrites-NO(2)-), superoxide anion radical (O(2)-),
hydrogen peroxide (H2O2), index of lipid peroxidation (estimated through
thiobarbituric acid reactive substances-TBARS), superoxide dismutase (SOD) and
catalase (CAT) activity of 115 patients were compared with those of 40 healthy
controls. ACS patients had significantly higher vWfAct and vWfAg levels, as well
as TBARS levels, while their levels of NO(2)-, H2O2, SOD and CAT activities were
lower than controls'. vWfAg showed high specificity and sensitivity as a test to
reveal healthy or diseased subjects. Multivariant logistic regression marked only
vWfAg and TBARS as parameters that were under independent effect of ACS type. The
results of our study support the implementation of vWf in clinical rutine and
into therapeutic targets, and suggest that ACS patients are in need of
antioxidant supplementation to improve their impaired antioxidant defence.
PMID- 21904650
TI - A sphingosine kinase form 2 knockout sensitizes mouse myocardium to
ischemia/reoxygenation injury and diminishes responsiveness to ischemic
preconditioning.
AB - Sphingosine kinase (SphK) exhibits two isoforms, SphK1 and SphK2. Both forms
catalyze the synthesis of sphingosine 1-phosphate (S1P), a sphingolipid involved
in ischemic preconditioning (IPC). Since the ratio of SphK1:SphK2 changes
dramatically with aging, it is important to assess the role of SphK2 in IR injury
and IPC. Langendorff mouse hearts were subjected to IR (30 min equilibration, 50
min global ischemia, and 40 min reperfusion). IPC consisted of 2 min of ischemia
and 2 min of reperfusion for two cycles. At baseline, there were no differences
in left ventricular developed pressure (LVDP), +/- dP/dtmax, and heart rate
between SphK2 null (KO) and wild-type (WT) hearts. In KO hearts, SphK2 activity
was undetectable, and SphK1 activity was unchanged compared to WT. Total SphK
activity was reduced by 53%. SphK2 KO hearts subjected to IR exhibited
significantly more cardiac damage (37 +/- 1% infarct size) compared with WT (28
+/- 1% infarct size); postischemic recovery of LVDP was lower in KO hearts. IPC
exerted cardioprotection in WT hearts. The protective effect of IPC against IR
was diminished in KO hearts which had much higher infarction sizes (35 +/- 2%)
compared to the IPC/IR group in control hearts (12 +/- 1%). Western analysis
revealed that KO hearts had substantial levels of phosphorylated p38 which could
predispose the heart to IR injury. Thus, deletion of the SphK2 gene sensitizes
the myocardium to IR injury and diminishes the protective effect of IPC.
PMID- 21904651
TI - Royal jelly modulates oxidative stress and apoptosis in liver and kidneys of rats
treated with cisplatin.
AB - Cisplatin (CDDP) is one of the most active cytotoxic agents in the treatment of
cancer and has adverse side effects such as nephrotoxicity and hepatotoxicity.
The present study was designed to determine the effects of royal jelly (RJ)
against oxidative stress caused by CDDP injury of the kidneys and liver, by
measuring tissue biochemical and antioxidant parameters and investigating
apoptosis immunohistochemically. Twenty-four Sprague Dawley rats were divided
into four groups, group C: control group received 0.9% saline; group CDDP:
injected i.p. with cisplatin (CDDP, 7 mg kg(-1) body weight i.p., single dose);
group RJ: treated for 15 consecutive days by gavage with RJ (300 mg/kg/day);
group RJ + CDDP: treated by gavage with RJ 15 days following a single injection
of CDDP. Malondialdehyde (MDA) and glutathione (GSH) levels, glutathione S
transferase (GST), glutathione peroxidase (GSH-Px), and superoxide dismutase
(SOD) activities were determined in liver and kidney homogenates, and the liver
and kidney were also histologically examined. RJ elicited a significant
protective effect towards liver and kidney by decreasing the level of lipid
peroxidation (MDA), elevating the level of GSH, and increasing the activities of
GST, GSH-Px, and SOD. In the immunohistochemical examinations were observed
significantly enhanced apoptotic cell numbers and degenerative changes by
cisplatin, but these histological changes were lower in the liver and kidney
tissues of RJ + CDDP group. Besides, treatment with RJ lead to an increase in
antiapoptotic activity hepatocytes and tubular epithelium. In conclusion, RJ may
be used in combination with cisplatin in chemotherapy to improve cisplatin
induced oxidative stress parameters and apoptotic activity.
PMID- 21904654
TI - Physical activity among cancer survivors and those with no history of cancer- a
report from the National Health and Nutrition Examination Survey 2003-2006.
AB - INTRODUCTION: Cancer survivors are at greater risk for chronic diseases that make
regular physical activity a challenge. The purpose of this manuscript was to
compare physical activity levels among five-year cancer survivors and those with
no history of cancer, and to determine risk factors for physical inactivity.
METHODS: Participants who completed the physical activity monitoring portion of
the National Health and Nutrition Examination Survey (NHANES) in 2003-04 and 2005
06 were included in these analyses. Physical activity collected via accelerometer
was used to determine who completed recommended amounts of physical activity
according to Centers for Disease Control (CDC) guidelines. Associations between
physical activity and cancer status were evaluated with multiple logistic
regressions. RESULTS: 95.5% of five-year cancer survivors and 87.3% of those with
no cancer history did not meet the CDC guidelines. After adjusting for sex, age,
race, education and chronic conditions, cancer survivors were 1.7 (95% CI: 1.0,
2.9) times more likely than those with no cancer history to fail to meet CDC
guidelines for physical activity. CONCLUSIONS: Neither the general population nor
cancer survivors met the CDC guidelines for physical activity. Cancer survivors
were less likely to meet recommendations and may need tailored interventions
designed to take into account comorbid conditions to increase their physical
activity levels.
PMID- 21904653
TI - Positron emission tomography neuroimaging in Parkinson's disease.
AB - Positron emission tomography (PET) is a nuclear imaging technique, which allows
in vivo estimations of important physiological parameters such as, glucose
metabolism and neuroreceptor binding enabling greater understanding of the
pathophysiology of Parkinson's disease (PD). The review will evaluate the role of
PET in assessing both the dopaminergic (DA) and non-DA systems in relation to the
pathophysiology of PD, differential diagnosis, progression of disease and pre
clinical disease. Medication side effects, genetic forms of PD, the non-motor
symptoms of PD and alternative restorative approaches will also be discussed in
relation to how PET imaging can enhance our understanding of these aspects of the
disease. PET neuroimaging has to date, provided an excellent tool to assess the
underlying mechanisms of the disease as well as evaluating the complications and
management of PD and has the potential to be of great clinical value if the
current limitations of costing and availability are resolved.
PMID- 21904652
TI - The emerging importance of alpha-L-fucose in human breast cancer: a review.
AB - Breast cancer cells incorporate the simple sugar alpha-L-fucose (fucose) into
glycoproteins and glycolipids which, in turn, are expressed as part of the
malignant phenotype. We have noted that fucose is not simply a bystander
molecule, but, in fact, contributes to many of the fundamental oncologic
properties of breast cancer cells. Here, we summarize the evidence from us and
others that fucose is necessary for key functions of neoplastic progression
including hematogenous metastasis, tumor invasion through extracellular matrices
including basement membranes and up-regulation of the Notch signaling system,
with implications for epithelial-to-mesenchymal transition and activation of
breast cancer stem cells. Additionally, certain breast cancer biomarkers are
fucose-rich while a well-known marker of breast cancer progression, soluble E
selectin, is a known counter-receptor of fucosylated selectin ligands. We provide
illustrative examples and supportive evidence drawn from work with human breast
cancer cell lines in vitro as well as clinical studies with human pathologic
material. And finally, we discuss evidence that fucose (or its absence) is
central to the mechanisms of action of several experimental targeted therapies
which may prove useful in breast cancer treatment. We propose that alpha-L-fucose
is essential in order to construct first, the malignant and then the metastatic
phenotype of many human breast cancers. This knowledge may inform the search for
novel treatment approaches in breast cancer.
PMID- 21904655
TI - 5'- Adenosine monophosphate induced hypothermia reduces early stage myocardial
ischemia/reperfusion injury in a mouse model.
AB - Early intervention using hypothermia treatment has been shown to reduce early
inflammation, apoptosis and infarct size in animal models of cardiac
ischemia/reperfusion. We have shown that 5'-adenosine monophosphate (5'-AMP) can
induce a reversible deep hypothermia in mammals. We hypothesize that 5'-AMP
induced hypothermia (AIH) may reduce ischemic/reperfusion damage following
myocardial infarct. C57BL/6J male mice were subjected to myocardial ischemia by
ligating the left anterior descending coronary artery (LAD) followed by
reperfusion. Compared to euthermic controls, mice given AIH treatment exhibited
significant inhibition of neutrophil infiltration and a reduction in matrix
metallopeptidase 9 (MMP-9) expressions in the infarcted myocardium. A decrease in
terminal deoxynucleotidyl transferase dUTP nick end labeling (TUNEL)-positive
nuclei in the left ventricle myocardium were also observed. The overall infarct
size of the heart was significantly smaller in AIH treated mice. Myocardial
ischemia in mice given 5'-AMP without hypothermia had similar
ischemia/reperfusion injuries as the euthermic control. Thus, the AIH cardio
protective effects were primarily hypothermia based.
PMID- 21904656
TI - Urothelial carcinomas: a focus on human epidermal receptors signaling.
AB - Bladder cancer is a common malignancy and a frequent cause of cancer-related
death worldwide. The benefit from current chemotherapy has reached a relative
plateau, thus identification of molecular targets for better therapy is a high
priority. Human epidermal receptors constitute a family of receptor tyrosine
kinases, which appear to be implicated in cellular transformation and can be over
expressed in a variety of solid tumors. There is preclinical and clinical data
suggesting the role of EGFR and HER2 in urothelial carcinoma, thus prompting
clinical investigation of anti-HER targeted therapies attempting to inhibit HER
induced tumor-promoting signaling. There is significant and dynamic cross-talk
between HER and other signaling pathways and the identification of the structure
and function of such cellular networks in the setting of urothelial cancer is a
complex and difficult task. The development of prognostic and predictive
biomarkers is needed in order to improve the personalized management of patients
with urothelial cancer.
PMID- 21904657
TI - Network modeling of CDF treated pancreatic cancer cells reveals a novel c-myc-p73
dependent apoptotic mechanism.
AB - Systems biology and molecular network modeling are important tools that are
finding application in anti-cancer drug discovery. These technologies can be
utilized to map and evaluate the entire set of pathways modulated by drugs in
cancer cells without loosing key details. Such integrated approaches are
especially useful in understanding the mechanism of action of agents that do not
have a defined target. Our novel compound CDF (a synthetic analogue of curcumin),
is one such multi-targeted agent with proven anti-cancer activity in vitro and in
vivo. However, its mechanism of action is not fully understood, and thus a
thorough analysis of key pathways targeted by CDF would be important for
developing targeted and tailored therapy in the future. Applying Ingenuity
Pathway Analysis (IPA), we have mapped the pathways altered by CDF treatment of
BxPC-3 pancreatic cancer (PC) cells. Illumina HT-12 microar-rays were performed
on RNA extracted from CDF treated cells. IPA analysis of gene expression at early
time point (24 hrs) revealed deregulation of genes in the c-Myc hub. Western blot
analysis validated the activation of c-Myc, p73 and its downstream pro-apoptotic
effector Bax with simultaneous down-regulation of Bcl-2 in two distinct
pancreatic cancer cell lines (BxPC-3 and Colo-357). In order to further delineate
the role of c-Myc in inducing apoptosis, siRNA silencing technology was used. As
expected, c-Myc siRNA knockdown resulted in abrogation of the growth inhibitory
and apoptotic potential of CDF. In conclusion, our results demonstrate a novel c
Myc driven apoptotic network activated by CDF in PC cells that is independent of
wild-type p53, and thus warrants further investigation on the clinical utility of
CDF.
PMID- 21904658
TI - A systemic review of PET and biology in lung cancer.
AB - Positron emission tomography imaging with 2-[fluorine-18]-fluoro-2-deoxy-D
glucose ((18)F-FDG) has been established as a significant molecular imaging
technique in the management of lung cancer. However, (18)F-FDG accumulation is
not specific, therefore several other radiotracers targeting hypoxia, cell
proliferation and amino acid metabolism have been developed for the imaging of
human cancers. This review summarizes the current data on the correlation between
the underlying molecular biology and tumor PET accumulation in lung cancer.
PMID- 21904660
TI - Synthesis and Evaluation of Noviose Replacements on Novobiocin that Manifest Anti
proliferative Activity.
AB - Structural modifications to the coumarin core and benzamide side chain of
novobiocin have successfully transformed the natural product from a selective DNA
gyrase inhibitor into a potent inhibitor of the Hsp90 C-terminus. However, no SAR
studies have been conducted on the noviose appendage, which represents the rate
limiting synthon in the preparation of analogues. Therefore, a series of sugar
mimics and non-sugar derivatives were synthesized and evaluated to identify
simplified compounds that exhibit Hsp90 inhibition. Evaluation against two breast
cancer cell lines demonstrated that replacement of the stereochemical complex
noviose with simplified alkyl amines increased anti-proliferative activity,
resulting in novobiocin analogues that manifest IC(50) values in the mid
nanomolar range.
PMID- 21904659
TI - HaloTag: a novel reporter gene for positron emission tomography.
AB - Among the many molecular imaging techniques, reporter gene imaging has been a
dynamic area of research. The HaloTag protein is a modified haloalkane
dehalogenase which was designed to covalently bind to synthetic ligands (i.e. the
HaloTag ligands [HTL]). Covalent bond formation between the HaloTag protein and
the chloroal-kane within the HTL occurs rapidly under physiological conditions,
which is highly specific and essentially irreversible. Over the years, HaloTag
technology has been investigated for various applications such as in vitro/in
vivo imaging, protein purification/trafficking, high-throughput assays, among
others. The goal of this study is to explore the use of the HaloTag protein as a
novel reporter gene for positron emission tomography (PET) imaging. By attaching
a HaloTag -reactive chloroalkane to 1, 4, 7-triazacyclononane-N, N', N"-triacetic
acid (NOTA) through hydrophilic linkers, the resulting NOTA-conjugated HTLs were
labeled with (64)Cu and tested for PET imaging in living mice bearing 4T1-HaloTag
ECS tumors, which stably express the HaloTag protein on the cell surface.
Significantly higher uptake of (64)Cu-NOTA-HTL-S (which contains a short
hydrophilic linker) in the 4T1-HaloTag-ECS than the non-HaloTag-expressing 4T1
tumors was observed, which demonstrated the HaloTag specificity of (64)Cu-NOTA
HTL-S and warranted future investigation of the HaloTag protein as a PET reporter
gene.
PMID- 21904662
TI - Oxidative injury is a common consequence of BMPR2 mutations.
AB - BACKGROUND: Hereditary pulmonary arterial hypertension(PAH) is usually caused by
mutations in BMPR2. Mutations are found throughout the gene, and common molecular
consequences of different types of mutation are not known. Knowledge of common
molecular consequences would provide insight into molecular etiology of disease.
The objective of this study was to determine common molecular consequences across
classes of BMPR2 mutation. METHODS #ENTITYSTARTX00026; RESULTS: Increased
superoxide and peroxide production, and alterations in genes associated with
oxidative stress were a common consequence of stable transfection of vascular
smooth muscle cells with three distinct classes of BMPR2 mutation, in the ligand
binding domain, the kinase domain, and the cytoplasmic tail domain. Measurement
of oxidized lipids in whole lung from transgenic mice expressing a mutation in
the BMPR2 cytoplasmic tail showed a 50% increase in isoprostanes and a twofold
increase in isofurans, suggesting increased ROS of mitochondrial origin.
Immunohistochemistry on BMPR2 transgenic mouse lung showed that oxidative stress
was vascular-specific. Electron microscopy showed decreased mitochondrial size
and variability in pulmonary vessels from BMPR2 mutant mice. Measurement of
oxidized lipids in urine from humans with BMPR2 mutations demonstrated increased
ROS, regardless of disease status. Immunohistochemistry on HPAH patient lung
confirmed oxidative stress specific to the vasculature. CONCLUSIONS: Increased
oxidative stress, likely of mitochondrial origin, is a common consequence of
BMPR2 mutation across mutation types in cell culture, mice, and humans.
PMID- 21904663
TI - Intraoperative hyperglycemia augments ischemia reperfusion injury in renal
transplantation: a prospective study.
AB - Background. Diabetes is a risk factor for delayed graft function in kidney
transplantation, and hyperglycemia increases ischemia reperfusion injury in
animal models. Methods. To explore the role of perioperative hyperglycemia in
ischemia reperfusion injury, we conducted a prospective study of 40 patients
undergoing living donor renal transplantation. Blood glucose levels were
monitored intraoperatively, and serum samples were obtained at the time
anesthesia was induced and one hour after allograft reperfusion. The percentage
change in neutrophil gelatinase-associated lipocalin (NGAL), a protein whose
expression is increased with renal ischemia, was then used to determine the
extent of injury. Results. In a multivariate model including recipient, donor,
and transplant factors, recipient blood glucose >160 mg/dL at the time of
allograft reperfusion (beta 0.19, P-value < 0.01), warm ischemia time >30 minutes
(beta 0.11, P-value 0.13), and recipient age (beta 0.05, P-value 0.05) were
associated with percentage change in NGAL. These same predictors were associated
with the percentage change in creatinine on postoperative day 2. Conclusions.
Hyperglycemia is associated with increased ischemic injury in renal
transplantation. Both creatinine and NGAL, a marker of ischemic injury and renal
function, fall less rapidly in patients with elevated blood glucose.
PMID- 21904661
TI - Bioprocess forces and their impact on cell behavior: implications for bone
regeneration therapy.
AB - Bioprocess forces such as shear stress experienced during routine cell culture
are considered to be harmful to cells. However, the impact of physical forces on
cell behavior is an area of growing interest within the tissue engineering
community, and it is widely acknowledged that mechanical stimulation including
shear stress can enhance osteogenic differentiation. This paper considers the
effects of bioprocess shear stress on cell responses such as survival and
proliferation in several contexts, including suspension-adapted cells used for
recombinant protein and monoclonal antibody manufacture, adherent cells for
therapy in suspension, and adherent cells attached to their growth substrates.
The enhanced osteogenic differentiation that fluid flow shear stress is widely
found to induce is discussed, along with the tissue engineering of mineralized
tissue using perfusion bioreactors. Recent evidence that bioprocess forces
produced during capillary transfer or pipetting of cell suspensions can enhance
osteogenic responses is also discussed.
PMID- 21904664
TI - The Role of FRMD7 in Idiopathic Infantile Nystagmus.
AB - Idiopathic infantile nystagmus (IIN) is an inherited disorder in which the
nystagmus arises independently of any other symptoms, leading to the speculation
that the disorder represents a primary defect in the area of the brain
responsible for ocular motor control. The inheritance patterns are heterogeneous,
however the most common form is X-linked. FRMD7 resides at Xq26-27 and
approximately 50% of X-linked IIN families map to this region. Currently 45
mutations within FRMD7 have been associated with IIN, confirming the importance
of FRMD7 in the pathogenesis of the disease. Although mutations in FRMD7 are
known to cause IIN, very little is known about the function of the protein. FRMD7
contains a conserved N-terminal FERM domain suggesting that it may provide a link
between the plasma membrane and actin cytoskeleton. Limited studies together with
the knowledge of the function of other FERM domain containing proteins, suggest
that FRMD7 may play a role in membrane extension during neuronal development
through remodeling of the actin cytoskeleton.
PMID- 21904665
TI - Influence of a hairpin loop on the thermodynamic stability of a DNA oligomer.
AB - DSC was used to evaluate the mechanism of the thermally induced unfolding of the
single-stranded hairpin HP = 5'-CGGAATTCCGTCTCCGGAATTCCG-3' and its core duplex D
(5'-CGGAATTCCG-3')(2). The DSC melting experiments performed at several salt
concentrations were successfully described for HP and D in terms of a three-state
transition model HP<->I (intermediate state) <-> S (unfolded single-stranded
state) and two state transition model D<->2S, respectively. Comparison of the
model-based thermodynamic parameters obtained for each HP and D transition shows
that in unfolding of HP only the HP<->I transition is affected by the TCTC loop.
This observation suggests that in the intermediate state its TCTC loop part
exhibits significantly more flexible structure than in the folded state while its
duplex part remains pretty much unchanged.
PMID- 21904666
TI - The stability of a model substrate for topoisomerase 1-mediated DNA religation
depends on the presence of mismatched base pairs.
AB - Topoisomerase 1 (Top1) enzymes regulate DNA superhelicity by forming covalent
cleavage complexes that undergo controlled rotation. Substitution of nucleoside
analogs at the +1 position of the DNA duplex relative to the Top1 cleavage site
inhibits DNA religation. The reduced efficiency for Top1-mediated religation
contributes to the anticancer activity of widely used anticancer drugs including
fluoropyrimidines and gemcitabine. In the present study, we report that
mismatched base pairs at the +1 position destabilize the duplex DNA components
for a model Top1 cleavage complex formation even though one duplex component does
not directly include a mismatched base pair. Molecular dynamics simulations
reveal G-dU and G-FdU mismatched base pairs, but not a G-T mismatched base pair,
increase flexibility at the Top1 cleavage site, and affect coupling between the
regions required for the religation reaction to occur. These results demonstrate
that substitution of dT analogs into the +1 position of the non-scissile strand
alters the stability and flexibility of DNA contributing to the reduced
efficiency for Top1-mediated DNA religation. These effects are inherent in the
DNA duplex and do not require formation of the Top1:DNA complex. These results
provide a biophysical rationale for the inhibition of Top1-mediated DNA
religation by nucleotide analog substitution.
PMID- 21904668
TI - Production and Partial Characterization of Cellulases from Trichoderma sp. IS-05
Isolated from Sandy Coastal Plains of Northeast Brazil.
AB - This study evaluated the production of cellulolytic enzymes by Trichoderma sp. IS
05 strain, isolated from sand dunes, according to its ability to grow on
cellulose as carbon source. Wheat bran was tested as the carbon source and
peptone tested as the nitrogen source. Different concentrations of carbon and
nitrogen were tested using a factorial design to identify optimal cellulase
activity production. The results showed that media containing wheat bran 4.0%
(w/v) and peptone 0.25% (w/v) lead to the highest production, 564.0 U L(-1) of
cellulase, obtained after 2 days of fermentation. The pH and temperature profile
showed optimal activity at pH 3.0 and 60 degrees C. As for thermostability, the
cellulase was most tolerant at 60 degrees C, retaining more than 59.6% of maximal
activity even after 4 hours of incubation. The combination of acid pH, high
temperature tolerance, and production of cellulase from agro-industrial residues
by Trichoderma sp. IS-05 offers possibilities condition for the biomass
hydrolysis process to produce bioethanol.
PMID- 21904667
TI - Cell-specific aptamers as emerging therapeutics.
AB - Aptamers are short nucleic acids that bind to defined targets with high affinity
and specificity. The first aptamers have been selected about two decades ago by
an in vitro process named SELEX (systematic evolution of ligands by exponential
enrichment). Since then, numerous aptamers with specificities for a variety of
targets from small molecules to proteins or even whole cells have been selected.
Their applications range from biosensing and diagnostics to therapy and target
oriented drug delivery. More recently, selections using complex targets such as
live cells have become feasible. This paper summarizes progress in cell-SELEX
techniques and highlights recent developments, particularly in the field of
medically relevant aptamers with a focus on therapeutic and drug-delivery
applications.
PMID- 21904670
TI - Cost-Effective Production and Optimization of Alkaline Xylanase by Indigenous
Bacillus mojavensis AG137 Fermented on Agricultural Waste.
AB - A xylanase producer Bacillus mojavensis strain, called AG137, isolated from
cotton farm (Kashan-Iran). The optimal xylanase activity reached at 55 degrees C
& pH 9.0. Enzyme yield was studied using a medium with different agricultural
wastes as inducers. Xylanase production of about 249.308 IU/mL was achieved at pH
8 and 37 degrees C, within 48 h submerged fermentation in enzyme production
medium supplemented with 2% (w/v) oat bran as an optimum carbon source. A mixture
of 1% (w/v) yeast extract and 1% (w/v) tryptone as optimum nitrogen sources,
agitation speed 200 rpm, and inoculum size 2% (v/v) were the optimums for maximum
production. Accordingly, xylanase yield from 194.68 IU/mL under non-optimized
fermentation condition enhanced to 302.466 IU/mL in optimized condition. Screened
xylanase is thermostable, presenting 70% stability at 60 degrees C during 30 min.
Further enzyme incubation in higher temperature caused a decrease in the residual
enzyme activity, yet it retained 68%-50% of its activity after 1 hour from 45
degrees C to 55 degrees C. Besides, it is stable in pH 9 and 10, maintaining over
70% of its activity for 2 h. The enzyme also could preserve 71% and 63% of its
initial activity after 3 hours of pre-incubation in the same alkaline condition.
Produced xylanase therefore was introduced as an alkaline-active and stable one,
displaying suitable thermostability feature, confirmed by HPLC analysis. Hence,
all xylanase properties highlight its promising uses in industrial scale.
PMID- 21904671
TI - Accessibility of Enzymatically Delignified Bambusa bambos for Efficient
Hydrolysis at Minimum Cellulase Loading: An Optimization Study.
AB - In the present investigation, Bambusa bambos was used for optimization of
enzymatic pretreatment and saccharification. Maximum enzymatic delignification
achieved was 84%, after 8 h of incubation time. Highest reducing sugar yield from
enzyme-pretreated Bambusa bambos was 818.01 mg/g dry substrate after 8 h of
incubation time at a low cellulase loading (endoglucanase, beta-glucosidase,
exoglucanase, and xylanase were 1.63 IU/mL, 1.28 IU/mL, 0.08 IU/mL, and 47.93
IU/mL, respectively). Enzyme-treated substrate of Bambusa bambos was
characterized by analytical techniques such as Fourier transformed infrared
spectroscopy (FTIR), X-ray diffraction (XRD), and scanning electron microscopy
(SEM). The FTIR spectrum showed that the absorption peaks of several functional
groups were decreased after enzymatic pretreatment. XRD analysis indicated that
cellulose crystallinity of enzyme-treated samples was increased due to the
removal of amorphous lignin and hemicelluloses. SEM image showed that surface
structure of Bambusa bambos was distorted after enzymatic pretreatment.
PMID- 21904672
TI - Health-related quality of life in the gender, race, and clinical experience
trial.
AB - Background. We report health-related QoL (HRQoL) from GRACE (Gender, Race, And
Clinical Experience) study by sex and race over 48 weeks. Methods. 429 treatment
experienced adults (HIV-1 RNA >= 1000 copies/mL) received darunavir/ritonavir
600/100 mg twice daily plus an appropriate background regimen. QoL was measured
by the Functional Assessment of HIV Infection (FAHI) questionnaire. Results. 67%
women and 77% men, including 67.4% black, 76.0% Hispanic, and 73.8% white
patients, completed the trial. Baseline total FAHI scores were similar between
sexes and races. Total FAHI of the entire population improved by Week 4 (P <
.05); near-maximum changes obtained by Week 12 were maintained through Week 48.
Women and black patients demonstrated larger improvements in total FAHI versus
men, and Hispanic and white patients, respectively. Conclusion. HRQoL improved in
all sex and racial/ethnic groups. Sex-based and race-based differences in
improvements in FAHI subscales may provide insight into subtle differences of HIV
1 and treatment on HRQoL in different populations.
PMID- 21904669
TI - Protein kinases and phosphatases in the control of cell fate.
AB - Protein phosphorylation controls many aspects of cell fate and is often
deregulated in pathological conditions. Several recent findings have provided an
intriguing insight into the spatial regulation of protein phosphorylation across
different subcellular compartments and how this can be finely orchestrated by
specific kinases and phosphatases. In this review, the focus will be placed on
(i) the phosphoinositide 3-kinase (PI3K) pathway, specifically on the kinases Akt
and mTOR and on the phosphatases PP2a and PTEN, and on (ii) the PKC family of
serine/threonine kinases. We will look at general aspects of cell physiology
controlled by these kinases and phosphatases, highlighting the signalling
pathways that drive cell division, proliferation, and apoptosis.
PMID- 21904673
TI - The Direct Medical Costs of Late Presentation (<350/mm) of HIV Infection over a
15-Year Period.
AB - We describe the immediate- and longer-term direct medical costs of care for
individuals diagnosed with HIV at CD4 counts <350/mm(3) ("late presenters"). We
collected and stratified by initial CD4 count all inpatient, outpatient, and drug
costs for all newly diagnosed patients accessing HIV care within Southern Alberta
from 1/1/1995 to 1/1/2010. 59% of new patients were late presenters. We found
significantly higher costs for late presenters, especially inpatient costs,
during the first year after accessing care. Direct medical costs remained almost
twice as high for late presenters in subsequent years compared to patients
presenting with CD4 counts >350/mm(3) despite significantly their improved CD4
counts. The sustained high cost for late presenters has implications for recent
recommendations for wider routine HIV testing and the earlier initiation of cART.
Earlier diagnosis and treatment, while increasing the immediate expenditures
within a population, may produce both direct and indirect cost savings in the
longer term.
PMID- 21904674
TI - Depressive symptom clusters and neuropsychological performance in mild
Alzheimer's and cognitively normal elderly.
AB - Objectives. Determine the relationship between depressive symptom clusters and
neuropsychological test performance in an elderly cohort of cognitively normal
controls and mild Alzheimer's disease (AD). Design. Cross-sectional analysis.
Setting. Four health science centers in Texas. Participants. 628 elderly
individuals (272 diagnosed with mild AD and 356 controls) from ongoing
longitudinal study of Alzheimer's disease. Measurements. Standard battery of
neuropsychological tests and the 30-item Geriatric Depression Scale with
regressions model generated on GDS-30 subscale scores (dysphoria, apathy,
meaninglessness and cognitive impairment) as predictors and neuropsychological
tests as outcome variables. Follow-up analyses by gender were conducted. Results.
For AD, all symptom clusters were related to specific neurocognitive domains;
among controls apathy and cognitive impairment were significantly related to
neuropsychological functioning. The relationship between performance and symptom
clusters was significantly different for males and females in each group.
Conclusion. Findings suggest the need to examine disease status and gender when
considering the impact of depressive symptoms on cognition.
PMID- 21904675
TI - The Induction of IgM and IgG Antibodies against HLA or MICA after Lung
Transplantation.
AB - The production of IgG HLA antibodies after lung transplantation (LTx) is
considered to be a major risk factor for the development of chronic rejection,
represented by the bronchiolitis obliterans syndrome (BOS). It has recently been
observed that elevated levels of IgM HLA antibodies also correlates with the
development of chronic rejection in heart and kidney transplantation. This study
investigates the relationship between IgM and IgG antibodies against HLA and MICA
after lung transplantation. Serum was collected from 49 patients once prior to
transplantation and monthly for up to 1 year after lung transplantation was
analyzed by Luminex to detect IgM and IgG antibodies against HLA and MICA. The
presence of either IgM or IgG HLA and/or MICA antibodies prior to or after
transplantation was not related to survival, gender, primary disease, or the
development of BOS. Additionally, the production of IgG alloantibodies was not
preceded by an increase in levels of IgM, and IgM levels were not followed by an
increase in IgG. Under current immune suppressive regimen, although the presence
of IgM antibodies does not correlate with BOS after LTx, IgM( high) IgG( low) HLA
class I antibody titers were observed more in patients with BOS compared to
patients without BOS.
PMID- 21904676
TI - Nephrotic syndrome in children: from bench to treatment.
AB - Idiopathic nephrotic syndrome (INS) is the most frequent form of NS in children.
INS is defined by the association of the clinical features of NS with renal
biopsy findings of minimal changes, focal segmental glomerulosclerosis (FSGS), or
mesangial proliferation (MP) on light microscopy and effacement of foot processes
on electron microscopy. Actually the podocyte has become the favourite candidate
for constituting the main part of the glomerular filtration barrier. Most cases
are steroid sensitive (SSINS). Fifty percents of the latter recur frequently and
necessitate a prevention of relapses by nonsteroid drugs. On the contrary to
SSINS, steroid resistant nephrotic syndrome (SRINS) leads often to end-stage
renal failure. Thirty to forty percents of the latter are associated with
mutations of genes coding for podocyte proteins. The rest is due to one or
several different circulating factors. New strategies are in development to
antagonize the effect of the latter.
PMID- 21904678
TI - Validation of automated white matter hyperintensity segmentation.
AB - Introduction. White matter hyperintensities (WMHs) are a common finding on MRI
scans of older people and are associated with vascular disease. We compared 3
methods for automatically segmenting WMHs from MRI scans. Method. An operator
manually segmented WMHs on MRI images from a 3T scanner. The scans were also
segmented in a fully automated fashion by three different programmes. The voxel
overlap between manual and automated segmentation was compared. Results. Between
observer overlap ratio was 63%. Using our previously described in-house software,
we had overlap of 62.2%. We investigated the use of a modified version of SPM
segmentation; however, this was not successful, with only 14% overlap.
Discussion. Using our previously reported software, we demonstrated good
segmentation of WMHs in a fully automated fashion.
PMID- 21904677
TI - Molecular and genetic basis of inherited nephrotic syndrome.
AB - Nephrotic syndrome is an heterogeneous disease characterized by increased
permeability of the glomerular filtration barrier for macromolecules. Podocytes,
the visceral epithelial cells of glomerulus, play critical role in
ultrafiltration of plasma and are involved in a wide number of inherited and
acquired glomerular diseases. The identification of mutations in nephrin and
other podocyte genes as causes of genetic forms of nephrotic syndrome has
revealed new important aspects of the pathogenesis of proteinuric kidney diseases
and expanded our knowledge of the glomerular biology. Moreover, a novel concept
of a highly dynamic slit diaphragm proteins is emerging. The most significant
discoveries in our understanding of the structure and function of the glomerular
filtration barrier are reviewed in this paper.
PMID- 21904679
TI - The increased activity of liver lysosomal lipase in nonalcoholic Fatty liver
disease contributes to the development of hepatic insulin resistance.
AB - We tested the hypothesis that TAG accumulation in the liver induced by short-term
high-fat diet (HFD) in rats leads to the dysregulation of endogenous TAG
degradation by lysosomal lipase (LIPA) via lysosomal pathway and is causally
linked with the onset of hepatic insulin resistance. We found that LIPA could be
translocated between qualitatively different depots (light and dense lysosomes).
In contrast to dense lysosomal fraction, LIPA associated with light lysosomes
exhibits high activity on both intracellular TAG and exogenous substrate and
prandial- or diet-dependent regulation. On standard diet, LIPA activity was
upregulated in fasted and downregulated in fed animals. In the HFD group, we
demonstrated an increased TAG content, elevated LIPA activity, enhanced
production of diacylglycerol, and the abolishment of prandial-dependent LIPA
regulation in light lysosomal fraction. The impairment of insulin signalling and
increased activation of PKCepsilon was found in liver of HFD-fed animals.
Lipolysis of intracellular TAG, mediated by LIPA, is increased in steatosis
probably due to the enhanced formation of phagolysosomes. Consequent
overproduction of diacylglycerol may represent the causal link between HFD
induced hepatic TAG accumulation and hepatic insulin resistance via PKCepsilon
activation.
PMID- 21904680
TI - Overexpression of PGC-1alpha increases fatty acid oxidative capacity of human
skeletal muscle cells.
AB - We investigated the effects of PGC-1alpha (peroxisome proliferator-activated
receptor gamma coactivator-1alpha) overexpression on the oxidative capacity of
human skeletal muscle cells ex vivo. PGC-1alpha overexpression increased the
oxidation rate of palmitic acid and mRNA expression of genes regulating lipid
metabolism, mitochondrial biogenesis, and function in human myotubes. Basal and
insulin-stimulated deoxyglucose uptake were decreased, possibly due to
upregulation of PDK4 mRNA. Expression of fast fiber-type gene marker (MHCIIa) was
decreased. Compared to skeletal muscle in vivo, PGC-1alpha overexpression
increased expression of several genes, which were downregulated during the
process of cell isolation and culturing. In conclusion, PGC-1alpha overexpression
increased oxidative capacity of cultured myotubes by improving lipid metabolism,
increasing expression of genes involved in regulation of mitochondrial function
and biogenesis, and decreasing expression of MHCIIa. These results suggest that
therapies aimed at increasing PGC-1alpha expression may have utility in treatment
of obesity and obesity-related diseases.
PMID- 21904681
TI - Aortoesophageal fistula after endovascular aortic aneurysm repair of a mycotic
thoracic aneurysm.
AB - Mycotic aneurysms constitute a small proportion of aortic aneurysms. Endovascular
repair of mycotic aneurysms has been applied with good short-term and midterm
results. However, the uncommon aortoenteric fistula formation remains a
potentially fatal complication when repairing such infective aneurysms. We
present the case of an 80-year-old woman with thoracic and abdominal aortic
mycotic aneurysms, which were successfully treated with endografting. However,
the patient presented 3 months later with upper gastrointestinal bleeding
secondary to erosion of the thoracic graft into the oesophagus. The patient was
treated conservatively due to the high risk of surgical repair. There is
currently little exposure to the management of mycotic aortic aneurysms. If
suspected, imaging of the entire vasculature will aid initial diagnosis and
highlight the extent of the disease process, allowing for efficient management.
Aortic endografting for mycotic thoracic aneurysms is a high-risk procedure yet
is still an appropriate intervention. Aortoenteric fistulae pose a rare but
severe complication of aortic endografting in this setting.
PMID- 21904683
TI - Antibacterial activities of actinomycete isolates collected from soils of
rajshahi, bangladesh.
AB - This study was performed to isolate actinomycete colonies having antibacterial
activity from soil samples collected from different places around Rajshahi,
Bangladesh. Thirty actinomycete colonies were isolated in pure culture from five
soil samples using Starch-casein-nitrate-agar medium. The isolates were grouped
in five color series based on their aerial mycelia color and screened for their
antibacterial activity against a range of test bacteria. Sixteen isolates (53.3%)
were found to have moderate to high activity against four gram-positive and four
gram-negative bacteria. Since many isolates showed inhibitory activity against
indicator bacteria, it is suggestive that Bangladeshi soil could be an
interesting source to explore for antibacterial secondary metabolites.
PMID- 21904682
TI - Lipoplatin formulation review article.
AB - Patented platform technologies have been used for the liposomal encapsulation of
cisplatin (Lipoplatin) into tumor-targeted 110 nm (in diameter) nanoparticles.
The molecular mechanisms, preclinical and clinical data concerning lipoplatin,
are reviewed here. Lipoplatin has been successfully administered in three
randomized Phase II and III clinical trials. The clinical data mainly include non
small-cell lung cancer but also pancreatic, breast, and head and neck cancers. It
is anticipated that lipoplatin will replace cisplatin as well as increase its
potential applications. For the first time, a platinum drug has shown superiority
to cisplatin, at least in non-squamous non-small-cell lung cancer as reported in
a Phase III study which documented a simultaneous lowering of all of the side
effects of cisplatin.
PMID- 21904684
TI - Interpretation of controversial teratogenic findings of drugs such as
phenobarbital.
AB - Objective. To check the debated association between phenobarbital treatment
during pregnancy and risk for congenital abnormalities (CAs) in their children.
Study Design. It is a comparison of phenobarbital treatment in the mothers of
cases with CA and matched controls without CAs in the Hungarian Case-Control
Surveillance System of Congenital Abnormalities. Results. Of 22,843 cases with
CA, 149 (0.65%) had mothers with phenobarbital treatment, while of 38,151 control
newborn infants without CA, 209 (0.55%) were born to mothers with phenobarbital
treatment (100-400 mg daily) (OR with 95% CI : 1.3, 1.1-1.7). Of 16 CA groups,
only hypospadias had a higher risk after phenobarbital treatment in the critical
period of this CA (OR with 95% CI : 2.4, 1.1-5.4). However, if only medically
recorded phenobarbital treatments were evaluated and multiple testing bias was
considered, this association would disappear. Conclusions. This study stresses
the importance of the exclusion of recall bias and multiple testing bias.
PMID- 21904686
TI - Cancer and cardiovascular disease.
PMID- 21904685
TI - GABA neuron alterations, cortical circuit dysfunction and cognitive deficits in
schizophrenia.
AB - Schizophrenia is a brain disorder associated with cognitive deficits that
severely affect the patients' capacity for daily functioning. Whereas our
understanding of its pathophysiology is limited, postmortem studies suggest that
schizophrenia is associated with deficits of GABA-mediated synaptic transmission.
A major role of GABA-mediated transmission may be producing synchronized network
oscillations which are currently hypothesized to be essential for normal
cognitive function. Therefore, cognitive deficits in schizophrenia may result
from a GABA synapse dysfunction that disturbs neural synchrony. Here, we
highlight recent studies further suggesting alterations of GABA transmission and
network oscillations in schizophrenia. We also review current models for the
mechanisms of GABA-mediated synchronization of neural activity, focusing on
parvalbumin-positive GABA neurons, which are altered in schizophrenia and whose
function has been strongly linked to the production of neural synchrony.
Alterations of GABA signaling that impair gamma oscillations and, as a result,
cognitive function suggest paths for novel therapeutic interventions.
PMID- 21904687
TI - Gene duplication and the genome distribution of sex-biased genes.
AB - In species that have two sexes, a single genome encodes two morphs, as each sex
can be thought of as a distinct morph. This means that the same set of genes are
differentially expressed in the different sexes. Many questions emanate from this
statement. What proportion of genes contributes to sexual dimorphism? How do they
contribute to sexual dimorphism? How is sex-biased expression achieved? Which sex
and what tissues contribute the most to sex-biased expression? Do sex-biased
genes have the same evolutionary patterns as nonbiased genes? We review the
current data on sex-biased expression in species with heteromorphic sex
chromosomes and comment on the most important hypotheses suggested to explain the
origin, evolution, and distribution patterns of sex-biased genes. In this
perspective we emphasize how gene duplication serves as an important molecular
mechanism to resolve genomic clashes and genetic conflicts by generating sex
biased genes, often sex-specific genes, and contributes greatly to the underlying
genetic basis of sexual dimorphism.
PMID- 21904689
TI - Thyroid carcinoma in children and adolescents-systematic review of the
literature.
AB - Thyroid cancer in children and adolescents is usually a major concern for
physicians, patients, and parents. Controversies regarding the aggressiveness of
the clinical presentation and the ideal therapeutic approach remain among the
scientific community. The current recommendations and staging systems are based
on data generated by studies in adults, and this might lead to overtreating in
some cases as well as undertreating in others. Understanding the differences in
the biology, clinical course, and outcomes in this population is crucial for
therapeutic decisions. This paper evaluates the biology, clinical presentation,
recurrences, and overall survival as well as the staging systems in children and
adolescents with differentiated thyroid cancer.
PMID- 21904690
TI - Effects of the demethylating agent, 5-azacytidine, on expression of the
kallikrein-kinin genes in carcinoma cells of the lung and pleura.
AB - Tissue kallikrein (KLK1) and plasma kallikrein (KLKB1) may regulate the growth
and proliferation of tumours of the lung and pleura, through the generation of
kinin peptides that signal through the kinin B(1) (BDKRB1) and B(2) (BDKRB2)
receptors. The development and progression of cancer results from genetic
mutations, as well as epigenetic changes that include methylation of DNA at CpG
islands. The aim of this study was to assess whether expression of the kallikrein
kinin genes in lung cancer and mesothelioma cells is regulated by DNA
methylation. Quantitative reverse transcriptase-PCR and immunocytochemistry
showed differences in the basal expression of the kallikrein-kinin genes and
proteins in lung carcinoma and mesothelioma cells, compared with non-malignant
lung epithelial and mesothelial cells, respectively. Following treatment with the
demethylating agent, 5-azacytidine (5-AZA), KLKB1 mRNA expression was
consistently increased in both lung carcinoma and mesothelioma cells, whereas
KLK1, BDKRB1 and BDKRB2 mRNA expression was decreased or unchanged. Increased
expression of KLKB1 after 5-AZA treatment suggests it may function as a tumour
suppressor gene in cancers of the lung and pleura. Studies on DNA methylation of
the kallikrein-kinin genes will enhance understanding of their role in
carcinogenesis and provide insights into the importance of kallikreins as tumour
biomarkers.
PMID- 21904691
TI - Prostatic adenocarcinoma metastatic to pleomorphic liposarcoma, a "collision
phenomenon": report of a case with review of pelvic collision tumors.
AB - "Collision tumor" is an uncommon phenomenon characterized by coexistence of two
completely distinct and independent tumors at the same site. Collision tumors
have been reported in different sites in the body; however, these are
particularly uncommon in the pelvic cavity. A 70-year-old man, with prior history
of urothelial and prostate cancer, presented with a large pelvic mass detected on
imaging studies. Pathological examination revealed a large liposarcoma with
prostatic carcinoma embedded in it. Immunohistochemistry and florescence in situ
hybridization studies were performed to reach to a conclusive diagnosis. To the
best of our knowledge, this is the second case reported till date. We present the
challenges encountered in the diagnosis of this case and review of pelvic
collision tumors.
PMID- 21904692
TI - Oxidative stress in veterinary medicine.
PMID- 21904688
TI - Similarities in acquired factors related to postmenopausal osteoporosis and
sarcopenia.
AB - Postmenopausal population is at increased risk of musculoskeletal impairments.
Sarcopenia and osteoporosis are associated with significant morbidity and social
and health-care costs. These two conditions are uniquely linked with similarities
in pathophysiology and diagnostic methods. Uniform diagnostic criteria for
sarcopenia are still evolving. Postmenopausal sarcopenia and osteoporosis share
many environmental risk- and preventive factors. Moreover, geriatric frailty
syndrome may result from interaction of osteoporosis and sarcopenia and may lead
to increased mortality. The present paper reviews the factors in evolution of
postmenopausal sarcopenia and osteoporosis.
PMID- 21904693
TI - Effect of Feed Restriction during Pregnancy on Performance and Productivity of
New Zealand White Rabbit Does.
AB - This study aimed to evaluate effect of stage of feed restriction on performance
and productivity of pregnant does. New Zealand white female rabbits were randomly
divided into three groups. Control group was provided daily with 185 g of food
increased to 200 g from the 15th day of gestation. R(1) was offered daily a
restricted amount of food (60% restriction, 111 g) for the first half of
pregnancy and then offered 200 g of food daily till parturition. R(2) was
provided with 185 g of food daily through the first half of pregnancy and then
offered daily a restricted amount of food (60% restriction, 120 g) for the second
half. After parturition, food was provided adlibitum. Maternal body weights,
litter size, litter weight, and average body weight of kits at kindling of R(1)
showed no change, whereas R(2) showed significant reduction in the weights of
does at the 4th week of pregnancy and at kindling. The birth weight and weaning
weight of R(2) were significantly reduced. The highest mortality was recorded in
kits of R(2). No significant differences in blood parameters or serum prolactin
were observed. The serum protein was significantly reduced R(2).
PMID- 21904694
TI - Differences in change scores and the predictive validity of three commonly used
measures following concussion in the middle school and high school aged
population.
AB - BACKGROUND: A battery of tests is commonly used to measure disability with and
recovery from concussion. A number of different concussion-oriented assessment
tests exist and each is considered useful. To the authors' knowledge, no study
has compared the scores of these tests during recovery in the middle school and
high school aged population to see how each change over time. PURPOSE: The
purposes of this study were to analyze clinical data of concussed middle school
and high school aged athletes to determine the concurrent and predictive validity
for post-concussion syndrome (PCS) of the Post-Concussion Symptom Scale (PCSS),
Balance Error Scoring System (BESS), and the five subscales of the Immediate Post
Concussion Assessment and Cognitive Testing (ImPACT). METHODS: The study was a
retrospective chart review performed on middle school and high school aged
individuals with a diagnosis of concussion from the years 2008-2010 within the
Akron Children's Hospital Sports Medicine system. To be eligible for inclusion in
the dataset, each subject required a baseline measurement for each of the three
tests (and all five subscales of the ImPACT) and a post-test measure. The mean
age of the population was 15.38 years (SD = 1.7) and ranged from 11 to 19 years.
Pearson product correlation tests (correlation matrix) were used to analyze the
concurrent validity of the test items during recovery following a concussion.
Receiver operating characteristics (ROC) curves were used to determine the
predictive validity of initial scores for developing PCS. RESULTS: The
correlation matrix captured five statistically significant findings; however,
these suggested only weak to mild correlations. Five test items yielded an area
under the curve (AUC) greater than 0.50 but only one was statistically
significant. After qualitative evaluation, only one of the three tests (including
the five subscales of the ImPACT) was useful in predicting post-concussion
syndrome. CONCLUSION: This study suggests that there is poor concurrent validity
among three commonly used concussion tests and there is no baseline score that
predicts whether post-concussion syndrome will occur. LEVEL OF EVIDENCE: 2b.
PMID- 21904695
TI - Management of acute sports injuries and medical conditions by physical
therapists: assessment via case scenarios.
AB - PURPOSE/BACKGROUND: Some physical therapists (PTs) provide services at sporting
events, but there are limited studies investigating whether PTs are properly
prepared to provide such services. The purpose of this study was to assess acute
sports injury and medical condition management decision-making skills of PTs.
METHODS: A Web-based survey presented 17 case scenarios related to acute medical
conditions and sport injuries. PTs from the Sports Physical Therapy Section of
The American Physical Therapy Association were e-mailed a cover letter/Web link
to the survey and invited to participate over a 30-day period. Data were analyzed
using SPSS 18.0. RESULTS: A total of 411 of 5158 PTs who were members of the
Sports Physical Therapy Association in 2009 and had valid e-mail addresses
completed the survey, of which 389 (7.5%) were appropriate for analysis. Over
75.0% of respondents felt "prepared" or "somewhat prepared" to provide immediate
care for 13 out of 16 medical conditions, with seizures, spinal cord injuries,
and internal organ injuries having the lowest percentages. Over 75.0% of the
respondents made "appropriate" or "overly cautious" decisions for 11 of the 17
acute injury or medical condition cases. CONCLUSIONS: Results of the current
study indicate that PTs felt more "prepared" and tended to make "appropriate"
return to play decisions on the acute sports injury and medical condition case
studies more often than coaches who participated in a similar study, regardless
of level of importance of the game or whether the athlete was a starter vs. non
starter. However, for PTs who plan on assisting at sporting events, additional
preparation/education may be recommended, such as what is taught in an emergency
responder course.
PMID- 21904696
TI - A pilot survey on injury and safety concerns in international sledge hockey.
AB - OBJECTIVE: To describe sledge hockey injury patterns, safety issues and to
develop potential injury prevention strategies. DESIGN: Pilot survey study of
international sledge hockey professionals, including trainers, physiotherapists,
physicians, coaches and/or general managers. SETTING: Personal encounter or
online correspondence. RESPONDENTS: Sledge hockey professionals; a total of 10
respondents from the 5 top-ranked international teams recruited by personal
encounter or online correspondence. MAIN OUTCOME MEASUREMENTS: Descriptive Data
reports on sledge athlete injury characteristics, quality of rules and
enforcement, player equipment, challenges in the medical management during
competition, and overall safety. RESULTS: Muscle strains and concussions were
identified as common, and injuries were reported to affect the upper body more
frequently than the lower body. Overuse and body checking were predominant injury
mechanisms. Safety concerns included excessive elbowing, inexperienced refereeing
and inadequate equipment standards. CONCLUSIONS: This paper is the first
publication primarily focused on sledge hockey injury and safety. This
information provides unique opportunity for the consideration of implementation
and evaluation of safety strategies. Safety interventions could include improved
hand protection, cut-resistant materials in high-risk areas, increased vigilance
to reduce intentional head-contact, lowered rink boards and modified bathroom
floor surfacing.
PMID- 21904697
TI - The influence of heel height on sagittal plane knee kinematics during landing
tasks in recreationally active and athletic collegiate females.
AB - PURPOSE: To determine if heel height alters sagittal plane knee kinematics when
landing from a forward hop or drop landing. BACKGROUND: Knee angles close to
extension during landing are theorized to increase ACL injury risk in female
athletes. METHODS: Fifty collegiate females performed two single-limb landing
tasks while wearing heel lifts of three different sizes (0, 12 & 24 mm) attached
to the bottom of a sneaker. Using an electrogoniometer, sagittal plane kinematics
(initial contact [KA(IC)], peak flexion [KA(Peak)], and rate of excursion [RE])
were examined. Repeated measures ANOVAs were used to determine the influence of
heel height on the dependent measures. RESULTS: Forward hop task- KA(IC) with 0
mm, 12 mm, and 24 mm lifts were 8.88+/-6.5, 9.38+/-5.8 and 11.28+/-7.0,
respectively. Significant differences were noted between 0 and 24 mm lift
(p<.001) and 12 and 24 mm lifts (p=.003), but not between the 0 and 12 mm
conditions (p=.423). KA(Peak) with 0 mm, 12 mm, and 24 mm lifts were 47.08+/
10.9, 48.18+/-10.3 and 48.88+/-9.7, respectively. A significant difference was
noted between 0 and 24 mm lift (p=.004), but not between the 0 and 12 mm or 12
and 24 mm conditions (p=.071 and p=.282, respectively). The RE decreased
significantly from 2128/sec+/-52 with the 12 mm lift to 1958/sec+/-55 with the 24
mm lift (p=.004). RE did not differ from 0 to 12 or 0 to 24 mm lift conditions
(p=.351 and p=.086, respectively). Jump-landing task- No significant differences
were found in KA(IC) (p=.531), KA(Peak) (p=.741), or the RE (p=.190) between any
of the heel lift conditions. CONCLUSIONS: The addition of a 24 mm heel lift to
the bottom of a sneaker significantly alters sagittal plane knee kinematics upon
landing from a unilateral forward hop but not from a drop jump.
PMID- 21904698
TI - The navicular position test - a reliable measure of the navicular bone position
during rest and loading.
AB - BACKGROUND: Lower limb injuries are a large problem in athletes. However, there
is a paucity of knowledge on the relationship between alignment of the medial
longitudinal arch (MLA) of the foot and development of such injuries. A reliable
and valid test to quantify foot type is needed to be able to investigate the
relationship between arch type and injury likelihood. Feiss Line is a valid
clinical measure of the MLA. However, no study has investigated the reliability
of the test. OBJECTIVES: The purpose was to describe a modified version of the
Feiss Line test and to determine the intra- and inter-tester reliability of this
new foot alignment test. To emphasize the purpose of the modified test, the
authors have named it The Navicular Position Test. METHODS: Intra- and inter
tester reliability were evaluated of The Navicular Position Test with the use of
ICC (interclass correlation coefficient) and Bland-Altman limits of agreement on
43 healthy, young, subjects. RESULTS: Inter-tester mean difference -0.35 degrees
[-1.32; 0.62] p = 0.47. Bland-Altman limits of agreement -6.55 to 5.85 degrees,
ICC = 0.94. Intra-tester mean difference 0.47 degrees [-0.57; 1.50] p = 0.37.
Bland-Altman limits of agreement -6.15 to 7.08 degrees, ICC = 0.91. DISCUSSION:
The present data support The Navicular Position Test as a reliable test of the
navicular bone position during rest and loading measured in a simple test set-up.
CONCLUSION: The Navicular Position Test was shown to have a high intraday-, intra
and inter-tester reliability. When cut off values to categorize the MLA into
planus, rectus, or cavus feet, has been determined and presented, the test could
be used in prospective observational studies investigating the role of the arch
type on the development of various lower limb injuries.
PMID- 21904699
TI - Shoulder pain and dysfunction secondary to neural injury.
AB - STUDY DESIGN: Resident's Case Study BACKGROUND/INTRODUCTION: The reports of
spinal accessory nerve injury in the literature primarily focus on injury
following surgical dissection or traumatic stretch injury. There is limited
literature describing the presentation and diagnosis of this injury with an
unknown cause. The purpose of this case report is to describe the clinical
decision-making process that guided the diagnosis and treatment of a complex
patient with spinal accessory nerve palsy (SANP) whose clinical presentation and
response to therapy were inconsistent with the results of multiple diagnostic
tests. CASE DESCRIPTION: The patient was a 27-year-old female triathlete with a
five month history of right-sided neck, anterior shoulder, and chest pain.
OUTCOME: Based on the physical exam, magnetic resonance imaging, radiographs,
electrodiagnostic and nerve conduction testing, the patient was diagnosed by her
physician with right sterno-clavicular joint strain and scapular dyskinesis and
was referred to physical therapy. Care was initiated based on this initial
diagnosis. Upon further examination and perusal of the literature, the physical
therapist proposed a diagnosis of spinal accessory nerve injury. Intervention
included manual release of soft tissue tightness, neuromuscular facilitation and
sport-specific strengthening, resulting in full return to functional and sport
activities. These interventions focused on neurological re-education and muscular
facilitation to address SANP as opposed to a joint sprain and dysfunction, as
initially diagnosed. DISCUSSION: Proper diagnosis is imperative to effective
treatment in all patients. This case illustrates the importance of a thorough
examination and consideration of multiple diagnostic findings, particularly when
EMG/NCV tests were negative, the cause was not apparent, and symptoms were less
severe than other cases documented in the literature. LEVEL OF EVIDENCE:
Diagnosis, level 4.
PMID- 21904700
TI - Postactivation potentiation: an introduction.
AB - Improving strength and power in the athlete who is being rehabilitated is a
central focus of the sports physical therapist, particularly in the terminal
phases of rehabilitation where the emphasis shifts to readiness to return to
sport and sports performance enhancement. High load strength training and power
training through plyometric exercises are two key components of performance
enhancement programs. A current concept in the strength and conditioning
literature that is relatively unknown in sports physical therapy is
postactivation potentiation (PAP). Even though we have limited data and there may
be limited application of the concept of PAP for the sports physical therapist,
awareness of this phenomenon is important nonetheless. The purpose of this
clinical commentary is to introduce the sports physical therapist to the concept
of PAP.
PMID- 21904701
TI - Integration of strength and conditioning principles into a rehabilitation
program.
AB - BACKGROUND AND PURPOSE: Rehabilitation and strength and conditioning are often
seen as two separate entities in athletic injury recovery. Traditionally an
athlete progresses from the rehabilitation environment under the care of a
physical therapist and/or athletic trainer to the strength and conditioning coach
for specific return to sport training. These two facets of return to sport are
often considered to have separate goals. Initial goals of each are often
different due to the timing of their implementation encompassing different stages
of post-injury recovery. The initial focus of post injury rehabilitation includes
alleviation of dysfunction, enhancement of tissue healing, and provision of a
systematic progression of range-of-motion and strength. During the return to
function phases, specific return to play goals are paramount. Understanding of
specific principles and program parameters is necessary when designing and
implementing an athlete's rehabilitation program. Communication and collaboration
amongst all individuals caring for the athlete is a must. The purpose of this
review is to outline the current evidence supporting utilization of training
principles in athletic rehabilitation, as well as provide suggested
implementation of such principles throughout different phases of a proposed
rehabilitation program. EVIDENCE ACQUISITION: THE FOLLOWING ELECTRONIC DATABASES
WERE USED TO IDENTIFY RESEARCH RELEVANT TO THIS CLINICAL COMMENTARY: MEDLINE
(from 1950-June 2011) and CINAHL (1982-June 2011), for all relevant journal
articles written in English. Additional references were accrued by independent
searching of references from relevant articles. RESULTS: Currently evidence is
lacking in the integration of strength and conditioning principles into the
rehabilitation program for the injured athlete. Numerous methods are suggested
for possible utilization by the clinician in practice to improve strength, power,
speed, endurance, and metabolic capacity. CONCLUSION: Despite abundance of
information on the implementation of training principles in the strength and
conditioning field, investigation regarding the use of these principles in a
properly designed rehabilitation program is lacking.
PMID- 21904702
TI - The use of cardiopulmonary resuscitation and the automated external defibrillator
in the practice of sports physical therapy.
AB - During the initial assessment of the injured athlete, the Sports Physical
Therapist (PT) must first be concerned with life-threatening emergencies such as
absence of breathing and pulse. The sports PT must also be aware of the
possibility of "sudden cardiac death" that could occur in others, including
coaches, officials, and fans. If the PT assumes the role of "most medical" person
at the contest or event, the responsibility for life saving action falls squarely
on their shoulders. Therefore, skills and ongoing certification in cardio-
pulmonary resuscitation techniques and the use of an automated external
defibrillator are a basic necessity. These skills are required as part of the
specialty practice of sports PT (BLS Healthcare Provider course or CPR for the
Professional Rescuer in addition to completion of the First Responder Course OR
credentials as an EMT or ATC), and are mandatory for being qualified to sit for
the exam to become a sports certified specialist (SCS) by the American Board of
Physical Therapy Specialties (ABPTS).(3).
PMID- 21904703
TI - Strayed dogs sentinels of Trichinella britovi infection in Kosovo.
PMID- 21904704
TI - Viewpoint: management of the patient with an 'incidentally' raised troponin.
AB - Troponin assays are a valuable tool in early risk stratification of patients with
ischaemic sounding chest pain. However, troponin is often measured outside of
this clinical context. The finding of a raised troponin value may be
misinterpreted as an acute coronary syndrome leading to unnecessary and sometimes
dangerous anticoagulation. This article looks at some of the considerations which
need to be made when interpreting the significance of a raised troponin value.
PMID- 21904705
TI - The value of the Modified Early Warning Score and biochemical parameters as
predictors of patient outcome in acute medical admissions a prospective study.
AB - We evaluated the effectiveness of MEWS and biochemical parameters in predicting
outcomes for acute medical admissions. Data from consecutive admissions to the
Acute Medical Unit (AMU) of National Hospital of Sri Lanka were collected. C
reactive protein (CRP), albumin, white cell count, platelet count and haemoglobin
values were collected. Adverse endpoints were HDU/ICU admission,cardio
respiratory emergency/resuscitation and death. A MEWS score of >=5 together with
increasing age,pulse rate, respiratory rate, AVPU score, CRP,CRP/Albumin ratio
and reduced platelet and albumin levelall increased the odds of reaching "adverse
endpoints". Adding a score for biochemical parameters increased the area under
the ROC curve for reaching "adverse endpoints" Biochemical parameters better
predicted length of hospital stay and adverse outcomes. A combined scoring system
improved the sensitivity of prediction.
PMID- 21904706
TI - Teaching on the AMU ward round.
AB - AMU ward rounds can be busy, and many consultants feel they are 'too busy to
teach'. Yet the AMU is a rich learning environment. If we take the starting point
that teaching is not the same as learning, how can consultants facilitate
learning during a busy AMU ward round? Opportunistic teaching requires some
planning but can easily be incorporated in to the business of the working day.
Good educational practice requires some knowledge however. This article aims to
give physicians some understanding and tips on teaching on the AMU ward round.
PMID- 21904708
TI - An 'acute' presentation of motor neuron disease.
AB - Motor neurone disease (MND) is a chronic condition which presents mainly in the
seventh and eighth decades. It classically presents with a mixture of upper and
lower motor neurone features, with a predilection for the limb muscles as the
presenting feature. The case report outlined below describes acute respiratory
failure requiring non invasive ventilation (NIV), at the time of diagnosis of
MND. It highlights the need for the acute physician to be vigilant in the
differing forms of presentation of this condition and its subsequent diagnosis
and management.
PMID- 21904709
TI - A case of haemophagocytic syndrome in HIV-associated disseminated histoplasmosis.
AB - Disseminated histoplasmosis is an opportunistic infection which is commonly
associated with HIV. Haemophagocytic lymphohistiocytosis (HLH) has been described
as a secondary phenomenon to infection, collagen-vascular disorders and
malignancies. In patients with HIV, cases of reactive haemophagocytic syndrome
associated with disseminated histoplasmosis have been reported with CD4 counts of
less than 50 cells/ul (450-1660 cells/ul). We report a case of a 25 year old man
with HIV who presented with a CD4 count of 153 cells/ul and would suggest that
this diagnosis should be considered at higher CD4 counts than previously
reported.
PMID- 21904710
TI - Acute fulminant necrotizing amoebic colitis: a potentially fatal cause of
diarrhoea on the Acute Medical Unit.
AB - Diarrhoea is a common presenting complaint to the Acute Medical Unit. We report a
case of acute fulminant necrotizing amebic colitis in a 73 year old man with no
recent travel history preceding his admission. Such cases are often difficult to
diagnose and hence associated with a high mortality, unless treated promptly and
appropriately. This case report highlights the importance of early diagnosis and
prompt initiation of treatment.
PMID- 21904711
TI - Acute medicine trainee update.
PMID- 21904713
TI - Problem-based review: calcium channel blocker (CCB) poisoning.
AB - A 56-year-old female presents to the emergency department 6h after taking an
overdose of verapamil MR 120 mg * 28 capsules. She has a past medical history of
hypertension and atrial flutter. On admission her GCS is 15, HR 50/min, BP
100/64, Capillary blood glucose(CBG) 10.2. ECG shows sinus bradycardia with
prolongation of the PR interval. You estimate her weight to be 60 kg.
PMID- 21904714
TI - Problem-based review: self-harm and suicide risk.
PMID- 21904715
TI - Balloon tamponade for variceal haemorrhage: a practical approach.
AB - Balloon tamponade with compression tubes is used to stabilise life-threatening
variceal bleeds when first-line endotherapy has failed and acts as a bridge to
early definitive therapy. We present an overview of the use of compression tubes
for variceal haemorrhage with a focus on insertion technique and aftercare.
PMID- 21904716
TI - Picture quiz: a young man with palpitations. Wolff Parkinson White (WPW)
syndrome.
PMID- 21904717
TI - Contemporary definition of sepsis and the role of the Acute Medicine trainee in
the management.
PMID- 21904723
TI - New aspects of size-dependent metal-insulator transition in synthetic single
domain monoclinic vanadium dioxide nanocrystals.
AB - Nanoscale materials with size smaller than the characteristic domain size could
simplify the domain structure and uncover the intrinsic properties in detail.
Herein, a ultrafast open space calcination pathway is first put forward to
synthesize high-quality single-domain VO(2)(M) nanocrystals and an in situ
variable-temperature IR spectroscopy is first proposed to identify the size
dependent MIT behaviors in VO(2)(M) below single-domain size. The variable
temperature IR spectroscopy clearly reveals that these single-domain VO(2)(M)
nanocrystals exhibit new size-dependent MIT behaviors, while the IR analysis
further suggests that the size-related defect density and scattering efficiency
could be used to account for their novel size-dependent MIT behaviors. This new
characterization strategy of in situ variable-temperature IR spectroscopy holds
great promise for extending to other systems to gain valuable insight into their
intrinsic phase transition behaviors. Also, this ultrafast open space calcination
pathway sets forth a new avenue in fabricating high-quality functional
nanocrystals and paves the way for constructing intelligent nanodevices in the
near future.
PMID- 21904724
TI - Controlling the action of chlorine radical: from lab to environment.
AB - The strength of Bz-Cl complexation has been explored using density functional
theory (DFT) calculations, including dispersion-corrected (DFT-D) calculations.
Of the methods tested, the omegaB97X-D method seems the best performing, along
with the previously tested MPW1K method. The effect of substituent (X = NO(2), F,
Cl, Br, H, CH(3), OCH(3), OH, NH(2) and N(CH(3))(2)) on the stabilities of the Ar
Clpi-like intermediates show a good correlation with the linear free energy
relationships used experimentally, but this is not the case for Ar-Clsigma
complexes, suggesting the transition state of abstraction as being pi-like in
nature. The role of PAH and lignin derivatives in mediating chlorination
reactions in nature is explored. Stable pi-complexes were identified for lignin
derivatives, indicating humic substances may mediate chlorine atom reactivity at
the marine boundary layer, in addition to forming chlorolignins.
PMID- 21904726
TI - Palladium containing periodic mesoporous organosilica with imidazolium framework
(Pd@PMO-IL): an efficient and recyclable catalyst for the aerobic oxidation of
alcohols.
AB - The application of a novel palladium containing ionic liquid based periodic
mesoporous organosilica (Pd@PMO-IL) catalyst in the aerobic oxidation of primary
and secondary alcohols under molecular oxygen and air atmospheres is
investigated. It was found that the catalyst is quite effective for the selective
oxidation of several activated and non-activated alcoholic substrates. The
catalyst system could be successfully recovered and reused several times without
any significant decrease in activity and selectivity. Moreover, the hot
filtration test, atomic absorption spectroscopy (AA) and kinetic study with and
without selective catalyst poisons showed that the catalyst works in a
heterogeneous pathway without any palladium leaching in reaction solution.
Furthermore, nitrogen-sorption experiment and transmission electron microscopy
(TEM) image proved the superior stability of high-ordered PMO-IL mesostructure
during reaction process. TEM image also confirmed the presence of well
distributed Pd-nanoparticles in the uniform mesochannels of the material. These
observations can be attributed to the ionic liquid nature of PMO-IL mesostructure
which facilitates the reaction through production, chemical immobilization and
stabilization of active palladium nanoparticles, as well as preventing Pd
agglomeration during overall process.
PMID- 21904727
TI - pH-responsive self-duplex of (Py)A-substituted oligodeoxyadenylate in graphene
oxide solution as a molecular switch.
AB - In this paper, we demonstrated a highly discriminated and reliable molecular
switch based on the interaction between the self-duplex of (Py)A-substituted
oligodeoxyadenylate and graphene oxide in aqueous solution. This system showed a
clear on/off state through the association and dissociation of (Py)A-modified
oligodeoxynucleotide with graphene oxide in manipulated pH conditions, high
amplitude efficiency for at least 50 cycles, and rapid response within seconds.
Our molecular switch system has high reproducibility and simple operation by
using pH stimulus.
PMID- 21904728
TI - Detection of reverse transcription-PCR products by a simple and rapid light
scattering technique.
AB - A fast, sensitive and simple light scattering approach is developed to detect
reverse transcription-PCR (RT-PCR) products. In the solution of HClO(4), the RT
PCR products can be denatured and aggregated to form large particles, which can
result in very strong light scattering. The RT-PCR products of D1/D2 domain in
yeast 26S rRNA are successfully quantified with the proposed method. The light
scattering intensity is well proportional to the concentration of RT-PCR products
in the range of 0.01-0.5 MUg ml(-1) and 0.5-4.0 MUg ml(-1), respectively. The
light scattering method gives more sensitive results, typically, two orders of
magnitude better than agarose gel electrophoresis with ethidium bromide staining.
The novel method has many advantages over conventional gel-based methods and
other non-gel-based methods--fast detection within 5 min and stable signal within
60 min, a simple detection system including only one cheap chemical agent
(HClO(4)) and direct one-step detection without purification of the PCR reaction
products--showing great potential in nucleic acid-based clinical diagnostics and
other related fields.
PMID- 21904725
TI - Restoration of taxonomic and functional genes after bioaugmentation of petroleum
contaminated soil.
AB - Soil microbial ecosystems are responsive to environmental changes that underpin
the biological functions of the soil. The present study was conducted to profile
variations in the microbial ecological system of remediated soil (R) and
petroleum contaminated soil (P) based on comparisons with soil that had not been
contaminated (N), using a cloning library of taxonomic genes (16S rRNA gene for
bacteria and 18S rRNA gene for eukaryotes) and functional genes (nifH, amoA and
narG). The results showed that N and R had a similar distribution in both the
taxonomic genes and functional genes for bacteria and eukaryotes, which were
dominated by Proteobacteria and Arthropoda, respectively. Phylogenetic analysis
based on the nifH gene showed that the sequences from the three soils were
clustered into six taxonomic groups, Actinobacteridae, and Alpha-, Beta-, Gamma-
and Delta-proteobacteria, as well as an unclassified group. Evaluation of the
amoA gene revealed that all sequences derived from the three samples belonged to
Betaproteobacteria. The R and N soil had similar Shannon-Wiener diversity index
(H') values, both of which were significantly higher than that of the P soil. The
most abundant bacterial phylotype identified in the N and R soils were the same
and were related to an uncultured bacterial clone (GAN-SB17, FN423475). None of
the narG genes were found in the P soil. Similar results in terms of
distribution, composition and the related index were obtained for nifH and amoA.
These parameters may comprise a biological ecology index that may be applied to
aid the design, implementation and evaluation of soil bioremediation.
PMID- 21904729
TI - Chloro- and phenoxy-phosphines in frustrated Lewis pair additions to alkynes.
AB - The reaction of tBu(C(6)H(4)O(2))P, with the borane B(C(6)F(5))(3) gives rise to
NMR data consistent with the formation of the classical Lewis acid-base adduct
tBu(C(6)H(4)O(2))P(B(C(6)F(5))(3)) (1). In contrast, the NMR data for the
corresponding reactions of tBu(C(20)H(12)O(2))P and Cl(C(20)H(12)O(2))P with
B(C(6)F(5))(3) were consistent with the presence of equilibria between free
phosphine and borane and the corresponding adducts. Nonetheless, in each case,
the adducts tBu(C(20)H(12)O(2))P(B(C(6)F(5))(3)) (2) and
Cl(C(20)H(12)O(2))P(B(C(6)F(5))(3)) (3) were isolable. The species 1 reacts with
PhCCH to give the new species tBu(C(6)H(4)O(2))P(Ph)C=CHB(C(6)F(5))(3) (4) in
near quantitative yield. In an analogous fashion, the addition of PhCCH to
solutions of the phosphines tBu(C(20)H(12)O(2))P, tBuPCl(2) and (C(6)H(3)(2,4
tBu(2))O)(3)P each with an equivalent of B(C(6)F(5))(3) gave rise to
L(Ph)C=CHB(C(6)F(5))(3) (L = tBu(C(20)H(12)O(2))P 5, tBuPCl(2)6 and (C(6)H(3)(2,4
tBu(2))O)(3)P 7). X-Ray data for 1, 2, 6 and 7 are presented. The implications of
these findings are considered.
PMID- 21904730
TI - Highly effective copper-catalyzed decarboxylative coupling of aryl halides with
alkynyl carboxylic acids.
AB - We have developed a highly effective copper-catalyzed decarboxylative coupling of
alkynylcarboxylic acids with various aryl and alkyl halides at 2 mol% loading of
copper. This method is simple, economical and practical for the synthesis of
disubstituted alkyne compounds.
PMID- 21904731
TI - Self-assembled mesoporous Co and Ni-ferrite spherical clusters consisting of
spinel nanocrystals prepared using a template-free approach.
AB - Based on a self-assembly strategy, spherical mesoporous cobalt and nickel ferrite
nanocrystal clusters with a large surface area and narrow size distribution were
successfully synthesized for the first time via a template-free solvothermal
process in ethylene glycol and subsequent heat treatment. In this work, the
mesopores in the ferrite clusters were derived mainly from interior voids between
aggregated primary nanoparticles (with crystallite size of less than 7 nm) and
disordered particle packing domains. The concentration of sodium acetate is shown
herein to play a crucial role in the formation of mesoporous ferrite spherical
clusters. These ferrite clusters were characterized in detail using wide-angle X
ray diffraction, thermogravimetric-differential thermal analysis, (57)Fe
Mossbauer spectroscopy, X-ray photoelectron spectroscopy, field-emission scanning
electron microscopy, standard and high-resolution transmission electron
microscopy, and other techniques. The results confirmed the formation of both
pure-phase ferrite clusters with highly crystalline spinel structure, uniform
size (about 160 nm) and spherical morphology, and worm-like mesopore structures.
The BET specific surface areas and mean pore sizes of the mesoporous Co and Ni
ferrite clusters were as high as 160 m(2) g(-1) and 182 m(2) g(-1), and 7.91 nm
and 6.87 nm, respectively. A model for the formation of the spherical clusters in
our system is proposed on the basis of the results. The magnetic properties of
both samples were investigated at 300 K, and it was found that these materials
are superparamagnetic.
PMID- 21904732
TI - Effect of antibody immobilization strategies on the analytical performance of a
surface plasmon resonance-based immunoassay.
AB - Antibody immobilization strategies (random, covalent, orientated and combinations
of each) were examined to determine their performance in a surface plasmon
resonance-based immunoassay using human fetuin A (HFA) as the model antigen
system. The random antibody immobilization strategy selected was based on passive
adsorption of anti-HFA antibody on 3-aminopropyltriethoxysilane (APTES)
functionalized gold (Au) chips. The covalent strategy employed covalent
crosslinking of anti-HFA antibody on APTES-functionalized chips using 1-ethyl-3
[3-dimethylaminopropyl]carbodiimide (EDC) and sulfo-N-hydroxysuccinimide (SNHS).
The orientation strategy used passive adsorption of protein A (PrA) on Au chips,
with subsequent binding of the anti-HFA antibody in an orientated fashion via its
fragment crystallisable (Fc) region. In the covalent-orientated strategy, PrA was
first bound covalently, to the surface, which in turn, then binds the anti-HFA
antibody in an orientated manner. Finally, in the most widely used strategy,
covalent binding of anti-HFA antibody to carboxymethyldextran (CM5-dextran) was
employed. This immobilization strategy gave the highest anti-HFA antibody
immobilization density, whereas the highest HFA response was obtained with the
covalent-orientated immobilization strategy. Therefore, the covalent-orientated
strategy was the best for SPR-based HFA immunoassay and can detect 0.6-20.0 ng/mL
of HFA in less than 10 min.
PMID- 21904733
TI - Preparation of oligosaccharides by homogenous enzymatic synthesis and solid phase
extraction.
AB - This communication describes a method for enzymatic preparation of bioactive
glycans, which integrated the high-efficiency of homogenous phase enzymatic
reaction and fast separation of solid phase extraction.
PMID- 21904734
TI - Homolytic molecular dissociation in natural orbital functional theory.
AB - The dissociation of diatomic molecules of the 14-electron isoelectronic series
N(2), O(2)(2+), CO, CN(-) and NO(+) is examined using the Piris natural orbital
functional. It is found that the method describes correctly the dissociation
limit yielding an integer number of electrons on the dissociated atoms, in
contrast to the fractional charges obtained when using the variational two
particle reduced density matrix method under the D, Q and G positivity necessary
N-representability conditions. The chemistry of the considered systems is
discussed in terms of their dipole moments, natural orbital occupations and bond
orders as well as atomic Mulliken populations at the dissociation limit. The
values obtained agree well with accurate multiconfigurational wave function based
CASSCF results and the available experimental data.
PMID- 21904735
TI - Bi2S3 nanomaterials: morphology manipulation and related properties.
AB - The Bi(2)S(3) nanomaterials with various morphologies such as nanorods,
nanowires, nanowire bundles, urchin-like microspheres and urchin-like
microspheres with cavities have been successfully synthesized through a simple
hydrothermal method. Experimental results indicate that sulfur sources play
crucial roles in determining the morphologies of Bi(2)S(3) products. Moreover,
formation mechanisms of different Bi(2)S(3) nanostructures are discussed based on
understanding of the growth habit of Bi(2)S(3) crystal. Finally, we also studied
the morphologies-dependent electrochemical and optical properties of the as
synthesized Bi(2)S(3) nanomaterials.
PMID- 21904736
TI - Chiral organoselenium-transition-metal catalysts in asymmetric transformations.
AB - In recent years, there has been an increasing application of chiral selenium
compounds as ligands in metal-catalyzed enantioselective transformations. One of
the most important challenges in this field is the development of new chiral
complexes (catalyst) generated from the reaction between a metal and appropriate
chiral selenium-containing compounds (ligand). The vast majority of these ligands
are easily synthesized in a few high-yielding synthetic steps, starting from
readily available chiral amino alcohols. In this context, the advantages of using
these compounds will be discussed, mainly with regard to their easy
accessibility, modular nature and the formation of strong bonds with soft or,
more rarely, hard metals. Important selective contributions within the field of
chiral selenium complexes are examined, according to their applications. As final
remarks, future developments and perspectives of the field are discussed.
PMID- 21904737
TI - Carbene-stabilized main group diatomic allotropes.
AB - While transition metals are well known for assuming the formal oxidation state of
zero in various compounds main group elements have rarely engaged in this
practice. Recent reports of N-heterocyclic carbene-stabilized main group diatomic
allotropes (i.e., Si(2), Ge(2), P(2), As(2)) denote a breakthrough of zero
oxidation state main group chemistry. This Perspective addresses the synthesis
and characterization of these highly reactive main group molecules, with a
particular emphasis on the very recent progress in the reactivity study of
carbene-stabilized Si(2) and P(2).
PMID- 21904738
TI - Whole-cell bacterial biosensors for rapid and effective monitoring of heavy
metals and inorganic pollutants in wastewater.
AB - The increasing number of potentially harmful pollutants in the wastewater
effluent discharge necessitates the need for the development of fast and cost
effective analytical techniques for extensive monitoring programmes to assess the
effectiveness of the treatment process. This study compared the use of bacterial
biosensors to the conventional Daphnia magna assay, Chemical Oxygen Demand (COD)
and Biochemical Oxygen Demand (BOD) tests as well as chemical analysis, for
monitoring the toxicity of wastewater. The bacterial biosensors constructed in
this study, using S. sonnei and E. coli, were found to be sensitive to the
toxicity of the wastewater effluents. A linear increase in bioluminescence with
increasing concentration of heavy metals and inorganic pollutants in water was
observed, with a correlation coefficient (r(2)) as high as 0.995 and 0.997,
respectively. No notable correlation between biosensor toxicity and BOD and COD
test results was observed. These bacterial biosensors could provide appropriate
alternatives for a rapid, sensitive and cost effective detection of wastewater
quality. However, the differences in sensitivity obtained for the different
systems suggest that the use of a battery of toxicity assays may be required to
provide a real ecotoxicological assessment of wastewater samples.
PMID- 21904740
TI - DNA discrete modified gold nanoparticles.
AB - Recently, controlling assembly process in a precise manner has attracted
increasing attentions in nanofabrication. Gold nanoparticles (AuNPs) modified
with countable number of DNA strands, i.e., DNA discrete modified AuNPs, which
bring AuNPs much more controllable manipulating possibilities have been playing
an important role in this field. In this feature article, we will summarize
recent progress on their preparation strategies and application in positioning
assembly, which could benefit to the improvement of preparation methods of DNA
discrete modified AuNPs and even other nanoparticles.
PMID- 21904741
TI - UVA filters in sun-protection products: regulatory and biological aspects.
AB - This review of published in vitro and in vivo studies concerning the biological
effects of ultraviolet A (UVA; 320-400 nm) radiation illustrates the evidence for
combining UVA and UVB filters in sun-protection products. These data have led to
the development of new sunscreens as well as methods to evaluate their efficacy.
After listing the UVA filters available and briefly noting the requirements for a
high SPF, broad-spectrum sunscreen, the methods for evaluating the level of UVA
protection will be described. This article also summarizes several studies
looking at the prevention of erythema, pigmentation, DNA damage,
photoimmunosuppression, photoaging and photodermatoses. These data demonstrate in
vitro and in vivo that only well-balanced UVA-UVB sunscreens, absorbing over the
entire UV spectrum are able to prevent or significantly reduce the associated
biological damage.
PMID- 21904742
TI - MEMS microwell and microcolumn arrays: novel methods for high-throughput cell
based assays.
AB - Although the cell-based assay is becoming more popular for high throughput drug
screening and the functional characterization of disease-associated genes, most
researchers in these areas do not use it because it is a complex and expensive
process. We wanted to create a simple method of performing an on-chip cell-based
assay. To do this, we used micro-electro-mechanical systems (MEMS) to fabricate a
microwell array chip comprised of a glass substrate covered with a photoresist
film patterned to form multiple microwells and tested it in two reverse
transfection experiments, an exogenous gene expression study and an endogenous
gene knockdown study. It was used effectively in both. Then, using the same MEMS
technology, we fabricated a complementary microcolumn array to be used as a drug
carrier device to topically apply drugs to cells cultured in the microwell array.
We tested the effectiveness of microwell-microcolumn on-chip cell-based assay by
using it in experiments to identify epidermal growth factor receptor (EGFR)
activity inhibitors, for which it was found to provide effective high throughput
and high content functional screening. In conclusion, this new method of cell
based screening proved to be a simple and efficient method of characterizing gene
function and discovering drug leads.
PMID- 21904744
TI - Coordination driven axial chirality in a microporous solid assembled from an
achiral linker via in situ C-N coupling.
AB - Metal mediated in situ C-N coupling between 4,4'-azobipyridine and disodium-trans
glutaconate at room temperature has formed a new multifunctional linker Z-dhpe
which subsequently self-assembles with Zn(II) or Cd(II) resulting in a chiral or
an achiral metal-organic framework, respectively, depending on its different
coordination modes.
PMID- 21904743
TI - Towards models of the oxygen-evolving complex (OEC) of photosystem II: a Mn4Ca
cluster of relevance to low oxidation states of the OEC.
AB - Synthetic access has been achieved into high oxidation state Mn/Ca chemistry with
the 4 : 1 Mn : Ca stoichiometry of the oxygen-evolving complex (OEC) of plants
and cyanobacteria; the anion of (Et(3)NH)(2)[Mn(III)(4)Ca(O(2)CPh)(4)(shi)(4)]
has a square pyramidal metal topology and an S = 0 ground state.
PMID- 21904745
TI - A modular molecular photovoltaic system based on phospholipid/alkanethiol hybrid
bilayers: photocurrent generation and modulation.
AB - Monolayer quantities of 1-palmitoyl-2-oleoyl-sn-glycero-3-phosphocholine (POPC),
incorporated with either fullerenes or ruthenium tris(bipyridyl) (Ru(bpy)(3)(2+))
complexes, were formed on ferrocene-terminated C11-alkanethiol self-assembled
monolayers (SAMs) through lipid fusion. Thus formed hybrid structures are
characterized by quartz crystal microbalance, UV-vis spectroscopy, cyclic
voltammetry and impedance analysis. In comparison to lipid monolayers deposited
on C12-alkanethiol SAMs, photocurrent generation from these ferrocene-based
structures is significantly modulated, displaying attenuated anodic photocurrents
and enhanced cathodic photocurrents. While a similar trend was observed for the
two photoagents studied, the degree of such modulations was always found to be
greater in fullerene-incorporated bilayers. These findings are evaluated in the
context of the film structure, energetics of the involved photo(electrochemical)
species and cross-membrane electron-transfer processes.
PMID- 21904746
TI - Chiral salen-metal derivatives of polyoxometalates with asymmetric catalytic and
photocatalytic activities.
AB - Immobilization of the chiral salen-metal complex [Mn(III)(salen)(H(2)O)(2)ClO(4)]
on the Keggin-type polyoxometalate (POM) skeletons leads to the isolation of POM
derivatives functionalized with chiral salen-metal complexes, which represent the
first examples of introducing chiral salen-metal complexes into the POM systems.
PMID- 21904747
TI - Spontaneous symmetry breaking in a non-rigid molecule approach to intrinsically
disordered proteins.
AB - An analog to Longuet-Higgins' non-rigid molecular group theory arguments can be
applied to the structure and reaction dynamics of intrinsically disordered
proteins via a somewhat counterintuitive Morse Function treatment inspired by
statistical mechanics, providing possible symmetry classifications of the
molecular 'fuzzy lock-and-key'.
PMID- 21904748
TI - Metal retention in human transferrin: consequences of solvent composition in
analytical sample preparation methods.
AB - The analysis of metal-binding proteins requires careful sample manipulation to
ensure that the metal-protein complex remains in its native state and the metal
retention is preserved during sample preparation or analysis. Chemical analysis
for the metal content in proteins typically involves some type of liquid
chromatography/electrophoresis separation step coupled with an atomic (i.e.,
inductively coupled plasma-optical emission spectroscopy or -mass spectrometry)
or molecular (i.e., electrospray ionization-mass spectrometry) analysis step that
requires altered-solvent introduction techniques. UV-VIS absorbance is employed
here to monitor the iron content in human holo-transferrin (Tf) under various
solvent conditions, changing polarity, pH, ionic strength, and the ionic and
hydrophobic environment of the protein. Iron loading percentages (i.e. 100%
loading equates to 2 Fe(3+):1 Tf) were quantitatively determined to evaluate the
effect of solvent composition on the retention of Fe(3+) in Tf. Maximum retention
of Fe(3+) was found in buffered (20 mM Tris) solutions (96 +/- 1%). Exposure to
organic solvents and deionized H(2)O caused release of ~23-36% of the Fe(3+) from
the binding pocket(s) at physiological pH (7.4). Salt concentrations similar to
separation conditions used for ion exchange had little to no effect on Fe(3+)
retention in holo-Tf. Unsurprisingly, changes in ionic strength caused by
additions of guanidine HCl (0-10 M) to holo-Tf resulted in unfolding of the
protein and loss of Fe(3+) from Tf; however, denaturing and metal loss was found
not to be an instantaneous process for additions of 1-5 M guanidinium to Tf. In
contrast, complete denaturing and loss of Fe(3+) was instantaneous with >=6 M
additions of guanidinium, and denaturing and loss of iron from Tf occurred in
parallel proportions. Changes to the hydrophobicity of Tf (via addition of 0-14 M
urea) had less effect on denaturing and release of Fe(3+) from the Tf binding
pocket compared to changes in ionic strength.
PMID- 21904749
TI - Facile synthesis of two-dimensional graphene/SnO2 /Pt ternary hybrid
nanomaterials and their catalytic properties.
AB - In this paper, we reported a simple, aqueous-phase route to the synthesis of two
dimensional graphene/SnO(2) composite nanosheets (GSCN) hybrid nanostructures
consisting of 5 nm Pt nanoparticles supported on the both sides of GSCN.
Functional two-dimensional GSCN were obtained through the reduction of graphene
oxide (GO) using SnCl(2) in the presence of polyelectrolyte
poly(diallyldimethylammonium chloride) (PDDA). The main advantages of this
preparation are that the reduction of GO, the formation of SnO(2) and the
functionalization of GSCN were achieved simultaneously through one-pot reaction.
GSCN/Pt ternary hybrid nanomaterials were generated by in situ reduction of
negatively charged PtCl(6)(2-) precursors adsorbed on the positively charged
surface of GSCN through electrostatic attraction. The as-synthesized GSCN/Pt
ternary hybrid nanomaterials exhibited high cycle stabilization during the
catalytic reduction of p-nitrophenol into p-aminophenol by NaBH(4). Additionally,
our approach is expected to extend to other hybrid nanomaterials. We believe that
the obtained GSCN/Pt ternary hybrid nanomaterials have great potential for
applications in other field, such as electrochemical energy storage, sensors, and
so on.
PMID- 21904750
TI - Two-dimensional single-crystalline Zn hexagonal nanoplates: size-controllable
synthesis and X-ray diffraction study.
AB - We synthesized two-dimensional (2D) Zn hexagonal nanoplates using the thermal
metal-vapor deposition technique. An increase and decrease in the surface area
and thickness of the 2D Zn hexagonal nanoplates were shown with elevated
annealing temperatures, indicating their sizes to be controlled using the
annealing treatment. X-Ray diffractometry (XRD) studies revealed the crystalline
nature of the 2D Zn hexagonal nanoplates and the diffraction intensity of the
(002) lattice plane, which increased parabolically with elevated annealing
temperatures.
PMID- 21904751
TI - Dual modal in vivo imaging using upconversion luminescence and enhanced computed
tomography properties.
AB - In vivo upconversion luminescence (UCL) imaging, exhibiting favorable
characteristics such as high photostability, no blinking, sharp emission lines,
and long lifetimes, is recognized as the excellent and significant
photoluminescence imaging for the future. To develop the imaging system with high
visual sensitivity and tissue penetration, the functional molecules with X-ray
computed tomography (CT) contrast were grafted onto upconversion nanoparticles to
obtain beta-NaYF(4):18% Yb(3+),2%Er(3+)@SiO(2)-I/PEG (UCNPs@SiO(2)-I/PEG)
nanoprobes. These nanoprobes are water-soluble, have low cytotoxicity, and
possess excellent UCL and remarkable CT contrast. Of particular note is that,
besides the element iodine, rare earth elements (Y, Yb, and Er) present in the
nanoprobes also show CT contrast. Moreover, no background autofluorescence signal
is found in in vivo UCL images. We believe that these nanoprobes with dual modal
in vivo imaging of UCL and CT can serve as a promising platform for clinical
diagnosis or biomedical studies.
PMID- 21904752
TI - A facile and sensitive immunoassay for the detection of alpha-fetoprotein using
gold-coated magnetic nanoparticle clusters and dynamic light scattering.
AB - A facile and sensitive immunoassay protocol for the detection of alpha
fetoprotein (AFP) was developed using gold-coated iron oxide magnetic
nanoclusters and dynamic light scattering (DLS) methods. The increase in the
average particle size due to AFP-mediated aggregation was measured using DLS, and
the detection limit was better than 0.01 ng mL(-1).
PMID- 21904754
TI - Tuning of spin crossover behaviour in iron(III) complexes involving pentadentate
Schiff bases and pseudohalides.
AB - Investigations on a series of eight novel mononuclear iron(III) Schiff base
complexes with the general formula [Fe(L(5))(L(1))].S (where H(2)L(5) =
pentadentate Schiff-base ligand, L(1) = a pseudohalido ligand, and S is a solvent
molecule) are reported. Several different aromatic 2-hydroxyaldehyde derivatives
were used in combination with a non-symmetrical triamine 1,6-diamino-4-azahexane
to synthesize the H(2)L(5) Schiff base ligands. The consecutive reaction with
iron(III) chloride resulted in the preparation of the [Fe(L(5))Cl] precursor
complexes which were left to react with a wide range of the L(1) pseudohalido
ligands. The low-spin compounds were prepared using the cyanido ligand: [Fe(3m
salpet)(CN)].CH(3)OH (1a), [Fe(3e-salpet)(CN)].H(2)O (1b), while the high-spin
compounds were obtained by the reaction of the pseudohalido (other than cyanido)
ligands with the [Fe(L(5))Cl] complex arising from salicylaldehyde derivatives:
[Fe(3Bu5Me-salpet)(NCS)] (2a), [Fe(3m-salpet)(NCO)].CH(3)OH (2b) and [Fe(3m
salpet)(N(3))] (2c). The compounds exhibiting spin-crossover phenomena were
prepared only when L(5) arose from 2-hydroxy-1-naphthaldehyde (H(2)L(5) =
H(2)napet): [Fe(napet)(NCS)].CH(3)CN (3a, T(1/2) = 151 K),
[Fe(napet)(NCSe)].CH(3)CN (3b, T(1/2) = 170 K), [Fe(napet)(NCO)] (3c, T(1/2) =
155 K) and [Fe(napet)(N(3))], which, moreover, exhibits thermal hysteresis (3d,
T(1/2)? = 122 K, T(1/2)? = 117 K). These compounds are the first examples of
octahedral iron(III) spin-crossover compounds with the coordinated pseudohalides.
We report the structure and magnetic properties of these complexes. The magnetic
data of all the compounds were analysed using the spin Hamiltonian formalism
including the ZFS term and in the case of spin-crossover, the Ising-like model
was also applied.
PMID- 21904753
TI - Calix[4]arene-supported rare earth octahedra.
AB - A series of calix[4]arene-supported Ln(III)(6) clusters have been synthesised
under facile bench top conditions. The magnetic and structural properties of
these clusters are reported, the latter suggesting that the Ln(III)-calix[4]arene
moiety may be used for the construction of other assemblies in a manner akin to
that for the Mn(III)-calix[4]arene analogue.
PMID- 21904755
TI - Nuclear translocation of NF-kappaB in intact human gut tissue upon stimulation
with coffee and roasting products.
AB - In the healthy gut, NF-kappaB is a critical factor of the intestinal immune
system, whereas inflammatory bowel diseases are associated with chronic
activation of NF-kappaB. Previous studies indicated that coffee induces nuclear
translocation of NF-kappaB in macrophages, an effect attributed to roasting
products. In the present work, coffee extract or roasting products induced
nuclear translocation of NF-kappaB in macrophages, Caco-2 cells, and primary
human intestinal microvascular endothelial cells (up to fivefold, p<0.001). Since
the effect clearly depended on the cell type, ex vivo experiments were performed
with intact human gut tissue from biopsies. The uniformity of the specimens and
tissue viability during ex vivo incubation for up to 2 h were verified. Roasting
products led to a concentration dependent significant increase of nuclear
translocation of NF-kappaB in human gut tissue (up to 2.85 fold increase,
p=0.0321), whereas coffee extract induced a trend towards higher nuclear NF
kappaB concentration. NF-kappaB activation in macrophages and Caco-2 cells by
roasting products was significantly blocked by co-incubation with catalase
(p=0.011 and p=0.024) indicating involvement of H(2)O(2)-signaling. Monitoring of
extracellular H(2)O(2) indicated that roasting products in coffee constantly
generate H(2)O(2) by spontaneous oxygen reduction, which is only partially
detoxified by cellular antioxidative systems. Thus, it can be concluded that ex
vivo stimulation of intact human gut tissue is a valuable model to study
nutritional effects on complex tissue systems. Furthermore, the consumption of
coffee and roasting products may be able to induce nuclear NF-kappaB
translocation in the human gut.
PMID- 21904756
TI - Organic-based molecular switches for molecular electronics.
AB - In a general sense, molecular electronics (ME) is the branch of nanotechnology
which studies the application of molecular building blocks for the fabrication of
electronic components. Among the different types of molecules, organic compounds
have been revealed as promising candidates for ME, due to the easy access, great
structural diversity and suitable electronic and mechanical properties. Thanks to
these useful capabilities, organic molecules have been used to emulate electronic
devices at the nanoscopic scale. In this feature article, we present the diverse
strategies used to develop organic switches towards ME with special attention to
non-volatile systems.
PMID- 21904757
TI - A molecular dynamics study of the mechanical properties of graphene nanoribbon
embedded gold composites.
AB - Molecular dynamics simulations were performed to investigate the mechanical
properties of a single-crystal gold nanosheet and graphene nanoribbon-embedded
gold (GNR/Au) composites for various embedded locations, temperatures, and
lengths. The computational results show that the Young's modulus, tensile
strength, and fracture strain of GNR/Au composites are much larger than those of
pure gold. The mechanical properties of GNR/Au composites deteriorate drastically
due to C-C bond breaking. Thermal fluctuation and an increase in length can
decrease the mechanical properties of GNR/Au composites.
PMID- 21904758
TI - Multivalent interaction and selectivities in selectin binding of functionalized
gold colloids decorated with carbohydrate mimetics.
AB - Colloidal gold particles with functionalized organic shells were applied as novel
selectin binders. The ligand shell was terminated with different monocyclic
carbohydrate mimetics as simplified analogs of the sLe(x) unit found in
biological selectin ligands. The multivalent presentation of the sulfated
selectin binding epitopes on the gold particles led to extremely high binding
affinities towards L- and P-selectin and IC(50) values in the subnanomolar range.
Depending on the ring size of the sulfated carbohydrate mimetic, its substitution
pattern and its configuration, different selectivities for either L-selectin or P
selectin were obtained. These selectivities were not found for gold particles
with simple acyclic sulfated alcohols, diols and triols in the ligand shell. In
addition, the influence of the particle size and the thickness of the hydrophobic
organic shell were systematically investigated.
PMID- 21904759
TI - Nonheme ferric hydroperoxo intermediates are efficient oxidants of bromide
oxidation.
AB - This work presents the first combined experimental and computational study that
gives evidence of the electrophilic reactivity of a nonheme iron(III)-hydroperoxo
species. We show that in contrast to their heme counterparts the nonheme
iron(III)-hydroperoxo complexes are catalytically much more active and even more
so than nonheme iron(IV)-oxo species.
PMID- 21904760
TI - Noncovalent interaction of polyethylene glycol with copper complex of
ethylenediaminetetraacetic acid and its application in constructing inorganic
nanomaterials.
AB - In this study, we try to answer a fundamental question: what is the consequence
of the noncovalent interaction between a polymer and a coordination compound?
Here, polyethylene glycol (PEG-4000, PEG-b) and copper complex of
ethylenediaminetetraacetic acid (H(2)CuY) were employed to solve this problem. A
novel adduct (CEP) between H(2)CuY and PEG-b was prepared. Our results indicated
several interesting findings. First, the introduction of H(2)CuY had no effect on
the stacking structure of PEG-b but led to a large change in surface structure of
the polymer. Second, there was a significant difference (117 K) in the maximum
degradation temperature between the PEG and the CEP, suggesting that the
noncovalent interaction can drastically improve the thermal stability of the PEG.
Third, sintering experiments showed that H(2)CuY and CEP produced completely
different decomposition products. The former formed Cu crystals in nitrogen and
CuO in air, but the latter generated Cu and CuCl crystals with good
crystallinity, respectively. Finally, three independent measurements: viscosity,
conductivity and nuclear magnetic resonance in solution, provided useful
information and insights from both sides of the noncovalent interaction. Probable
interaction mechanisms and interaction sites were proposed. We consider that the
current research could create the foundation for a new understanding of how the
noncovalent adduct interaction between a metallic complex and a polymer relates
to the change in physical and chemical properties of the adducted components.
PMID- 21904761
TI - The chemistry and biology of syringolins, glidobactins and cepafungins
(syrbactins).
AB - Syrbactin is a subordinate term for the syringolin, glidobactin and cepafungin
natural product families. Their grouping is based on their related molecular
frameworks, similar biosynthesis pathways and, most importantly, identical modes
of-action, being irreversible proteasome inhibition. With this report, we aim to
review their chemical biology, describing their common, but also differential
characteristics.
PMID- 21904762
TI - Surface modified electrospun poly(vinyl alcohol) membranes for extracting
nanoparticles from water.
AB - Contamination of water from nanomaterials will be an emerging problem in the
future due to incorporation of nanomaterials in many commercial products and
improper disposal of waste materials. In this report, electrospun polyvinyl
alcohol nanofibers (PVA NFs) with diameters ranging between 300 and 500 nm were
used for the extraction of nanosized contaminants from the aqueous environment.
To obtain the best extraction efficiency, surface hydroxyl groups of PVA NFs were
chemically modified with functional groups, such as thiols and amines. Two model
nanoparticles (silver and gold) dissolved in water were used for adsorption
studies. Depending on the nature of the surface functionalities, the fibers
showed unique ability to adsorb nanoparticles. The extraction studies revealed
that the amine and thiol modified PVA NFs showed 90% extraction efficiency for
both silver and gold nanoparticles. The thiol and amine functionalized PVA NFs
showed maximum adsorption capacities (Q(t)) towards Au NPs, which were around 79
84 mg g(-1). Similarly for Ag NP extraction, amine functionalized PVA NFs showed
a value for Q(t) at 56 mg g(-1). Our results highlight that functionalized
nanofibers have high extraction efficiency for dissolved nanoparticles in water
and can be used for removal of the nanocontaminants from the aqueous environment.
PMID- 21904763
TI - Rapid, in situ synthesis of bidentate ligands: chromatography-free generation of
catalyst libraries.
AB - The parallel synthesis of chiral bidentate ligands and their subsequent use in
situ for a catalytic process is described. The ligands thus prepared gave
comparable results to those obtained when the ligands were synthesized and
purified by conventional means. This includes oxazolines and other compounds of
similar complexity, meaning that for the first time these valuable compounds have
been brought into the field of combinatorial catalysis.
PMID- 21904767
TI - Can an eight pi-electron bare ring be planar?
AB - Here we explore in silico an alternative to make planar eight pi-electron bare
ring systems with substitutions of some cyclooctatetraene ring carbon atoms by
heavier group 14 elements. We found that the most stable eight membered rings
with formulae C(4)Si(4)H(8), C(4)Ge(4)H(8), and C(4)Sn(4)H(8) have a perfect
planar structure, enhancing delocalization energy as compared to cot.
PMID- 21904768
TI - Thiolato gold(I) complexes containing water-soluble phosphane ligands: a
characterization of their chemical and biological properties.
AB - A series of thiolate gold(I) derivatives bearing water soluble phosphanes--namely
sodium triphenylphosphane monosulfonate (TPPMS), sodium triphenylphosphane
trisulfonate (TPPTS), 1,3,5-triaza-7-phosphaadamantane (PTA) and 3,7-diacetyl
1,3,7-triaza-5-phosphabicyclo[3.3.1]nonane (DAPTA)--is reported and the compounds
studied for their luminescence properties in the solid state. Two of these
derivatives, [Au(SMe(2)pyrim)(PTA)] and [Au(SBenzoxazole)(DAPTA)], are also
structurally characterized by X-ray diffraction analysis. Strong
antiproliferative effects are observed for most of the compounds in the human
ovarian carcinoma cell lines (A2780/S) and its cisplatin-resistant variant
(A2780/R), which depend on both the type of thiolate and phosphane ligands. ICP
MS studies were also performed to evaluate the influence of the gold uptake on
the cytotoxic potency of the compounds.
PMID- 21904769
TI - The effect of peripheral bipyridine ligands on the photocatalytic hydrogen
production activity of Ru/Pd catalysts.
AB - A pyrazine bridged ruthenium/palladium bimetallic photocatalyst with peripheral
4,4'-dicarboxyethyl-2,2'-bipyridine ligands, EtOOC-RuPd, is reported, together
with its 2,2'-bipyridine analogue. Upon irradiation with visible light, EtOOC
RuPd catalyses the production of hydrogen gas whereas the complex RuPd does not.
PMID- 21904770
TI - An independent review and prioritization of past radionuclide and chemical
releases from the Los Alamos National Laboratory--implications for future dose
reconstruction studies.
AB - From 1999 through 2010, a team of scientists and engineers systematically
reviewed approximately eight million classified and unclassified documents at Los
Alamos National Laboratory (LANL) that describe historical off-site releases of
radionuclides and chemicals in order to determine the extent to which a full
scale dose reconstruction for releases is warranted and/or feasible. As a part of
this effort, a relative ranking of historical airborne and waterborne
radionuclide releases from LANL was established using priority index (PI) values
that were calculated from estimated annual quantities released and the maximum
allowable effluent concentrations according to The U.S. Nuclear Regulatory
Commission (USNRC). Chemical releases were ranked based on annual usage estimates
and U.S. Environmental Protection Agency (USEPA) toxicity values. PI results for
airborne radionuclides indicate that early plutonium operations were of most
concern between 1948 and 1961, in 1967, and again from 1970 through 1973.
Airborne releases of uranium were found to be of most interest for 1968, from
1974 through 1978, and again in 1996. Mixed fission products yielded the highest
PI value for 1969. Mixed activation product releases yielded the highest PI
values from 1979 to 1995. For waterborne releases, results indicate that
plutonium is of most concern for all years evaluated with the exception of 1956
when (90)Sr yielded the highest PI value. The prioritization of chemical releases
indicate that four of the top five ranked chemicals were organic solvents that
were commonly used in chemical processing and for cleaning. Trichloroethylene
ranked highest, indicating highest relative potential for health effects, for
both cancer and non-cancer effects. Documents also indicate that beryllium was
used in significant quantities, which could have lead to residential exposures
exceeding established environmental and occupational exposure limits, and
warrants further consideration. In part because of the close proximity of
residents to LANL, further study of historical LANL releases and the potential
impact to public health is recommended for those materials with the largest
priority index values; namely, plutonium, uranium, and selected chemicals.
PMID- 21904771
TI - Chimeric GNA/DNA metal-mediated base pairs.
AB - DNA double helices comprising chimeric GNA/DNA metal-mediated base pairs have
been synthesized and characterized (GNA = glycol nucleic acid). The possibility
to combine different nucleic acid backbones within one metal-mediated base pair
expands the applicability of metal-functionalized nucleic acids.
PMID- 21904772
TI - Photo-driven anti-Markovnikov alkyne hydration in self-assembled hollow
complexes.
AB - Under UV-light irradiation, the anti-Markovnikov hydration of internal
arylalkynes proceeds in the cavity of an electron-deficient coordination cage to
give benzyl ketones; the reaction is triggered by cage-mediated, photo-induced
electron transfer from the alkynes to the cage.
PMID- 21904773
TI - Exosomes from breast cancer cells can convert adipose tissue-derived mesenchymal
stem cells into myofibroblast-like cells.
AB - Exosomes are small membrane vesicles secreted into the extracellular environment
by various types of cells, including tumor cells. Exosomes are enriched with a
discrete set of cellular proteins, and therefore expected to exert diverse
biological functions according to cell origin. Mesenchymal stem cells (MSCs)
possess the potential for differentiation into multilineages and can also
function as precursors for tumor stroma including myofibroblast that provides a
favorable environment for tumor progression. Although a close relationship
between tumor cells and MSCs in a neoplastic tumor microenvironment has already
been revealed, how this communication works is poorly understood. In this study,
we investigated the influence of tumor cell-derived exosomes on MSCs by treating
adipose tissue-derived MSCs (ADSCs) with breast cancer-derived exosomes. The
exosome-treated ADSCs exhibited the phenotypes of tumor-associated myofibroblasts
with increased expression of alpha-SMA. Exosome treatment also induced increased
expression of tumor-promoting factors SDF-1, VEGF, CCL5 and TGFbeta. This
phenomenon was correlated with increased expression of TGFbeta receptor I and II.
Analysis of SMAD2, a key player in the TGFbeta receptor-mediated SMAD pathway,
revealed that its phosphorylation was increased by exosome treatment and was
inhibited by treatment with SB431542, an inhibitor of the SMAD-mediated pathway,
resulting in decreased expression of alpha-SMA. Taken together, our results show
that tumor-derived exosomes induced the myofibroblastic phenotype and
functionality in ADSCs via the SMAD-mediated signaling pathway. In conclusion,
this study suggests that tumor-derived exosomes can contribute to progression and
malignancy of tumor cells by converting MSCs within tumor stroma into tumor
associated myofibroblasts in the tumor microenvironment.
PMID- 21904774
TI - Risk factors for epithelial ovarian cancer in Japan - results from the Japan
Public Health Center-based Prospective Study cohort.
AB - The aim of this study was to evaluate the risk factors for invasive primary
epithelial ovarian cancer among Japanese women. In 1990-1994, 45,748 women aged
40-69 years were enrolled in the Japan Public Health Center-based Prospective
Study cohort. Only 86 epithelial ovarian cancer cases were diagnosed during
follow-up through 2008, reflecting the low ovarian cancer incidence rates in
Japan. Cox proportional hazard models were used to estimate hazard ratios (HR)
and 95% confidence intervals (CI) according to the exposure of interest. The
median age at epithelial ovarian cancer diagnosis was 59 years, with a median
follow-up before diagnosis of 7.6 years. There were no statistically significant
associations for age at menarche or first birth, breastfeeding, use of exogenous
hormones, menopausal status at cohort enrollment, height, body mass index,
smoking status, second-hand smoke, alcohol consumption, physical activity and
family history of cancer in a first-degree relative. The linear decrease in HR
associated with each additional birth was 0.75 (95% CI 0.56-0.99). Among women
who usually slept >7 h per day, an HR of 0.4 (95% CI 0.2-0.9) emerged compared to
those who slept <6 h. This study did not confirm risk factors for epithelial
ovarian cancer among Japanese women that have been reported in studies carried
out elsewhere. Usual sleep duration of >7 h per day was inversely associated with
epithelial ovarian cancer risk, which is a novel finding that needs to be
confirmed in other studies.
PMID- 21904775
TI - Novel anti-cancer role of naphthazarin in human gastric cancer cells.
AB - Gastric cancer is one of the most common malignant tumors and the second cause of
cancer-related deaths worldwide. Naphthoquinones such as juglone and plumbagin
are compounds used extensively to overcome resistance to chemotherapeutic agents
in cancers due to their cytotoxic role. This study is the first to investigate
the anti-cancer effect of naphthazarin (Naph), one of the naphthaquinones, in
human gastric cancer AGS cells. We showed that Naph exhibited effective
preferential cell growth inhibition via G2/M phase arrest and apoptosis, which
was associated with reduced levels of Cdc2 and Cdc25C expression. Naph also
increased cleaved caspase-3 and Poly ADR(adenosine diphosphate ribose) Polymerase
expression, gamma-H2AX expression (an indicator of DNA double strand breaks) and
DNA fragmentation. We also found the generation of reactive oxygen species is a
critical mediator in Naph-induced cell growth inhibition and apoptosis. The non
protein antioxidant, glutathione significantly abolished Naph-mediated inhibition
of cell growth and apoptosis. Taken together, our findings showed that Naph not
only inhibited cell growth, but also induced apoptosis of AGS cells, suggesting
that Naph may be a potential candidate for cancer therapy against gastric
cancers.
PMID- 21904776
TI - A new membrane re-anchored protein originating from GPC3 against hepatoma cells
HepG2.
AB - The aim of this study was to confirm the localization of recombinant pGPC3+afp
EGFP which expressed a new re-anchored protein named GPC3+afp-EGFP on the
cytoplasmic membrane and to investigate its functions against hepatocellular
carcinoma (HCC). EGFP expression in transfected HepG2 cells was observed using
fluorescence and a confocal microscope. pGPC3+afp-EGFP expression was detected in
membranous and soluble proteins extracted from transfected human embryonic kidney
293 cells by Western blot analysis using GPC3 mAb. The proliferation of
transfected HepG2 cells with pGPC3+afp-EGFP (experimental group) was detected
using SRB assay and compared to those of transfected HepG2 cells with pGPC3
(control group) and non-transfected HepG2 cells (blank group). Quantitative
analysis of mRNA expression of the Fas gene was conducted by real-time PCR using
the beta-actin housekeeping gene as the internal control at variable times.
Apoptotic HepG2 cells in the three groups were counted and statistically analyzed
by a contingency table Chi-square test using Spss 11.5 software and TUNEL assay.
Production of both TNF-alpha and IFN-gamma/IL2 was detected by ELISPOT after co
cultivation of transfected HepG2 cells with peripheral blood lymphocytes at
different time-points in the experimental group. Green fluorescence was mainly
found around the transfected HepG2 cell periphery through fluorescence and
confocal microscopy. GPC3+afp-EGFP could not be detected in soluble protein but
only in membranous protein. Proliferation curves showed that the proliferative
quantities of transfected HepG2 cells in the experimental group decreased,
whereas the mRNA expression of the Fas gene increased significantly compared to
those of the other two groups. The numbers of apoptotic cells in the experimental
group were significantly higher compared to those in the other two groups, as
shown by statistical analysis. Both TNF-alpha and IFN-gamma/IL2 were induced and
were much higher in the experimental groups than in the diverse control groups at
variable times. A new re-anchored protein GPC3+afp-EGFP expressed by recombinant
pGPC3+afp-EGFP was localized on the cytoplasmic membrane, and had multiple
functions against HCC, such as inhibition of transfected HepG2 cell
proliferation, promotion of transfected HepG2 apoptosis and induction of
antitumor cytokine excretion.
PMID- 21904777
TI - Synergistic antitumor effect of beta-elemene and etoposide is mediated via
induction of cell apoptosis and cell cycle arrest in non-small cell lung
carcinoma cells.
AB - beta-Elemene, an anticancer agent, was isolated from the traditional Chinese
medicine plant, curcuma aromatica. In this study, we investigated the synergistic
antitumor effect of beta-elemene and etoposide phosphate (VP-16) in A549 non
small cell lung carcinoma cells. The cells were treated with beta-elemene (20 or
50 ug/ml), VP-16 (15 ug/ml) or the combination of both for 24 h. Compared to the
treatment with beta-elemene or VP-16 alone, an increased antitumor activity was
observed with the combination of both, which was mediated by the cleavage of
PARP, the up-regulation of Bax, p53 and p21, and the suppression of cyclin D1.
These results suggest that the combination of beta-elemene and VP-16 may be a
promising therapeutic option for lung cancer.
PMID- 21904778
TI - Dosing of glucocorticosteroids in nephrotic syndrome.
PMID- 21904780
TI - Can sugammadex encapsulation eliminate the antigenic activity of aminosteroidal
neuromuscular blocking agent?
PMID- 21904779
TI - Pentazocine increases bispectral index without surgical stimulation during
nitrous oxide-sevoflurane anesthesia.
AB - Although there have been a large number of reports on the effects of opioids on
the bispectral index (BIS) during anesthesia, the effects of pentazocine on the
BIS have not been reported. In this study, 60 patients scheduled for elective
oral surgery [30 females, 30 males; all American Society of Anesthesiologists
Physical Status (ASA PS) category 1] were enrolled in the trials. Maintaining
gender parity, we randomly assigned the patients to one of three groups:
pentazocine group (0.3 mg/kg; n = 20), fentanyl group (1 MUg/kg; n = 20), or
saline group (n = 20); these opioids were administered intravenously 15 min after
the intubation. Anesthesia was induced with thiopental and vecuronium bromide and
maintained with nitrous oxide (4 l/min)-oxygen (2 l/min)-sevoflurane (1%). At 15
min after the intubation, mean arterial blood pressure (MAP), heart rate (HR),
and BIS index were recorded as baseline values. MAP, HR, and BIS values were
measured at 2.5-min after the intubation up to 30 min. All data were expressed as
the mean +/- standard deviation. Differences in BIS values, MAP, and HR among the
three groups throughout the experiment were analyzed using two-way repeated
measures analysis of variance (ANOVA), and demographic data among the three
groups were analyzed using one-way ANOVA. Post hoc comparisons were performed
using Fisher's protected least significant difference test. A P value of <0.05
was considered to indicate statistically significance. MAP and HR showed no
significant differences among the three groups during the study. BIS values
significantly increased between 5 and 15 min after the intubation relative to the
baseline value in the pentazocine group (P < 0.001), and BIS values in this group
were significantly during this time period than those in the fentanyl and saline
group (P < 0.001). BIS values were not significantly different between the
fentanyl group and saline group. These results indicated that pentazocine, but
not fentanyl, under nitrous oxide-sevoflurane anesthesia caused a statistically
significant increase in BIS in our patients.
PMID- 21904781
TI - Impact of remifentanil introduction on practice patterns in general anesthesia.
AB - PURPOSE: The introduction of new medicine can change clinical practice patterns
and may affect patient outcomes. In the present study, we investigated whether
introduction of remifentanil in Japan affected the practice patterns of
anesthesia. METHODS: Using the Japanese Diagnosis Procedure Combination database,
we extracted records of 423,491 patients who underwent surgery with general
anesthesia in 243 hospitals before (2006) and after (2007) the introduction of
remifentanil, and identified anesthetic agents used for each patient. A
hierarchical mixed-effects logistic regression analysis was performed to analyze
the factors that affected selection of remifentanil. Further, we compared
postoperative length of stay (LOS), in-hospital mortality, and total costs
between 2006 and 2007. RESULTS: In 2007, remifentanil was used for up to 41.4% of
all general anesthesia, accompanied by a reduction in nitrous oxide use and an
increase in total intravenous anesthesia. Female gender, increasing age, and
preoperative comorbidities including diabetes mellitus, hypertension, liver
cirrhosis, and chronic renal failure were positively associated with the use of
remifentanil, whereas accompanying cardiac disease and co-application of epidural
anesthesia were negatively associated. In 2007, a similar in-hospital death rate,
similar or decreased total costs, slightly reduced duration of anesthesia, and
substantially reduced postoperative LOS were seen compared to those in 2006.
CONCLUSIONS: Our data revealed rapid changes in practice patterns in anesthesia
after the introduction of remifentanil in Japan. Remifentanil was used more often
in patients with comorbidities and without epidural anesthesia, and its
introduction did not affect increase in total medical costs.
PMID- 21904782
TI - A case of cardiopulmonary arrest caused by laxatives-induced hypermagnesemia in a
patient with anorexia nervosa and chronic renal failure.
AB - We report a case of laxatives induced severe hypermagnesemia complicated with
cardiopulmonary arrest. A 55-year-old woman, with nephritic syndrome and anorexia
nervosa, was later transported to our emergency room (ER) because of oliguria and
consciousness disturbance. During transfer to the intensive care unit from the
ER, cardiopulmonary arrest suddenly occurred. Cardiopulmonary resuscitation was
immediately performed, and spontaneous circulation was restored after 3 min.
Thereafter, administration of dopamine, norepinephrine, and epinephrine was
required to maintain systolic blood pressure at 80 mmHg. Arterial blood gas
analysis showed severe metabolic alkalosis, and blood biochemical tests revealed
hypermagnesemia (serum magnesium concentration, 18.5 mg/dl) and renal
dysfunction. Continuous infusion of diuretics followed by massive hydration and
continuous hemodiafiltration (CHDF) was started. Five days after starting CHDF,
magnesium concentration was almost normalized and administration of catecholamine
was stopped. It was thought that progression of renal dysfunction that occurred
in the patient taking a magnesium product for chronic constipation caused
reduction in magnesium excretion ability, resulting in hypermagnesemia-induced
cardiopulmonary arrest. To avoid a rebound phenomenon following magnesium flux
from cells, continuous blood purification seems to be an effective treatment for
symptomatic hypermagnesemia.
PMID- 21904783
TI - Difference of fibromyalgia symptom scale between the Japanese version of the 2010
ACR preliminary diagnostic criteria for fibromyalgia and the modification of the
ACR preliminary diagnostic criteria for fibromyalgia.
PMID- 21904784
TI - Celecoxib, a cyclooxygenase-2 inhibitor, improved upper gastrointestinal lesions
in rheumatoid arthritis patients as assessed by endoscopic evaluation.
AB - We prospectively evaluated the effects of celecoxib (CEL) on the gastrointestinal
(GI) tract of rheumatoid arthritis (RA) patients with endoscopically identified
GI mucosal injury after therapeutic switching from the long-term use of
traditional nonsteroidal anti-inflammatory drugs (NSAIDs). Upper GI endoscopy was
performed on RA patients who had been treated with NSAIDs for >=3 months. GI
mucosal injury was evaluated according to the modified LANZA score. Patients with
mucosal injury without ulcers were switched from NSAIDs to CEL, while those with
ulcers were switched to CEL with famotidine after ulcer healing. At week 16 of
treatment, GI mucosal injury was endoscopically revaluated. An efficacy analysis
was performed before therapeutic switching and at 8 and 16 weeks post-switching.
Endoscopic analysis revealed GI mucosal injury, including six ulcers, in 45 of
the 82 patients (54.9%). Sixteen weeks after switching to CEL, LANZA scores were
significantly improved [2.1 +/- 0.8 (pre-switching) vs. 1.6 +/- 1.3, P = 0.0073]
in patients with LANZA scores of 1, 2, or 3 (n = 35). The Disease Activity Score
using 28 joint counts (DAS28) [erythrocyte sedimentation rate item score (ESR4)
(P = 0.0257) and C-reactive protein item score (CRP4) (P = 0.0031)] was also
significantly improved by week 16. Based on these results, we conclude that
preexisting NSAID-induced upper GI injury is improved following therapeutic
switching to CEL without any reduction in analgesic efficacy.
PMID- 21904785
TI - Diffuse alveolar damage in patients with dermatomyositis: a six-case series.
AB - The clinical course of diffuse alveolar damage (DAD) was studied in six
consecutive cases of dermatomyositis (DM) based on our hospital records over 8
years. Three patients had severe myopathy at presentation, and the other three
patients showed clinically amyopathic DM (CADM). Interstitial pneumonia in all
patients developed shortly after they manifested DM. DAD in five deceased
patients, which was proven pathologically, did not respond to steroid therapy
combined with cyclosporine or tacrolimus. Of these, two patients began receiving
combination therapy before suffering respiratory symptoms, and one of them had
elevated serum Krebs von der Lungen-6 (KL-6) levels before visible abnormalities
appeared on a plain chest X-ray. Only one patient with CADM survived; this
patient received intravenously administered pulse cyclophosphamide (IVCY) therapy
intravenously for DAD from the early stage. Delayed adjunctive IVCY was
ineffective for progressed DAD in the remaining five patients. Elevated serum
ferritin levels were observed in all four patients examined and might have
predicted the lethal DAD, as in a previous report. In conclusion, promptly
beginning IVCY therapy may be beneficial for patients with DM and interstitial
pneumonia who show elevated serum levels of ferritin or KL-6 with minimal
pulmonary abnormalities.
PMID- 21904786
TI - Neurotrophins: potential therapeutic tools for the treatment of spinal cord
injury.
AB - Spinal cord injury permanently disrupts neuroanatomical circuitry and can result
in severe functional deficits. These functional deficits, however, are not
immutable and spontaneous recovery occurs in some patients. It is highly likely
that this recovery is dependent upon spared tissue and the endogenous plasticity
of the central nervous system. Neurotrophic factors are mediators of neuronal
plasticity throughout development and into adulthood, affecting proliferation of
neuronal precursors, neuronal survival, axonal growth, dendritic arborization and
synapse formation. Neurotrophic factors are therefore excellent candidates for
enhancing axonal plasticity and regeneration after spinal cord injury.
Understanding growth factor effects on axonal growth and utilizing them to alter
the intrinsic limitations on regenerative growth will provide potent tools for
the development of translational therapeutic interventions for spinal cord
injury.
PMID- 21904788
TI - Combining cell-based therapies and neural prostheses to promote neural survival.
AB - Cochlear implants provide partial restoration of hearing for profoundly deaf
patients by electrically stimulating spiral ganglion neurons (SGNs); however,
these neurons gradually degenerate following the onset of deafness. Although the
exogenous application of neurotrophins (NTs) can prevent SGN loss, current
techniques to administer NTs for long periods of time have limited clinical
applicability. We have used encapsulated choroid plexus cells (NTCells; Living
Cell Technologies, Auckland, New Zealand) to provide NTs in a clinically viable
manner that can be combined with a cochlear implant. Neonatal cats were deafened
and unilaterally implanted with NTCells and a cochlear implant. Animals received
chronic electrical stimulation (ES) alone, NTs alone, or combined NTs and ES (ES
+ NT) for a period of as much as 8 months. The opposite ear served as a deafened
unimplanted control. Chronic ES alone did not result in increased survival of
SGNs or their peripheral processes. NT treatment alone resulted in greater SGN
survival restricted to the upper basal cochlear region and an increased density
of SGN peripheral processes. Importantly, chronic ES in combination with NTs
provided significant SGN survival throughout a wider extent of the cochlea, in
addition to an increased peripheral process density. Re-sprouting peripheral
processes were observed in the scala media and scala tympani, raising the
possibility of direct contact between peripheral processes and a cochlear implant
electrode array. We conclude that cell-based therapy is clinically viable and
effective in promoting SGN survival for extended durations of cochlear implant
use. These findings have important implications for the safe delivery of
therapeutic drugs to the cochlea.
PMID- 21904787
TI - Cell therapy for multiple sclerosis.
AB - The spontaneous recovery observed in the early stages of multiple sclerosis (MS)
is substituted with a later progressive course and failure of endogenous
processes of repair and remyelination. Although this is the basic rationale for
cell therapy, it is not clear yet to what degree the MS brain is amenable for
repair and whether cell therapy has an advantage in comparison to other
strategies to enhance endogenous remyelination. Central to the promise of stem
cell therapy is the therapeutic plasticity, by which neural precursors can
replace damaged oligodendrocytes and myelin, and also effectively attenuate the
autoimmune process in a local, nonsystemic manner to protect brain cells from
further injury, as well as facilitate the intrinsic capacity of the brain for
recovery. These fundamental immunomodulatory and neurotrophic properties are
shared by stem cells of different sources. By using different routes of delivery,
cells may target both affected white matter tracts and the perivascular niche
where the trafficking of immune cells occur. It is unclear yet whether the
therapeutic properties of transplanted cells are maintained with the duration of
time. The application of neural stem cell therapy (derived from fetal brain or
from human embryonic stem cells) will be realized once their purification, mass
generation, and safety are guaranteed. However, previous clinical experience with
bone marrow stromal (mesenchymal) stem cells and the relative easy expansion of
autologous cells have opened the way to their experimental application in MS. An
initial clinical trial has established the probable safety of their intravenous
and intrathecal delivery. Short-term follow-up observed immunomodulatory effects
and clinical benefit justifying further clinical trials.
PMID- 21904790
TI - Neural stem cell transplantation as a therapeutic approach for treating lysosomal
storage diseases.
AB - Treating the central nervous system manifestations of subjects with neuropathic
lysosomal storage diseases remains a major technical challenge. This is because
of the low efficiency by which lysosomal enzymes in systemic circulation are able
to traverse the blood brain barrier into the central nervous system. Intracranial
transplantation of neural stems cells genetically modified to overexpress the
respective deficient enzymes represents a potential approach to addressing this
group of diseases. The unique properties of neural stem cells and progenitor
cells, such as their ability to migrate to distal sites, differentiate into
various cell types and integrate within the host brain without disrupting normal
function, making them particularly attractive therapeutic agents. In addition,
neural stem cells are amenable to ex vivo propagation and modification by gene
transfer vectors. In this regard, transplanted cells can serve not only as a
source of lysosomal enzymes but also as a means to potentially repair the injured
brain by replenishing the organ with healthy cells and effecting the release of
neuroprotective factors. This review discusses some of the well-characterized
neural stem cell types and their possible use in treating neuropathic lysosomal
storage diseases such as the Niemann Pick A disease.
PMID- 21904789
TI - Stem cell transplantation for motor neuron disease: current approaches and future
perspectives.
AB - Motor neuron degeneration leading to muscle atrophy and death is a pathological
hallmark of disorders, such as amyotrophic lateral sclerosis or spinal muscular
atrophy. No effective treatment is available for these devastating diseases. At
present, cell-based therapies targeting motor neuron replacement, support, or as
a vehicle for the delivery of neuroprotective molecules are being investigated.
Although many challenges and questions remain, the beneficial effects observed
following transplantation therapy in animal models of motor neuron disease has
sparked hope and a number of clinical trials. Here, we provide a comprehensive
review of cell-based therapeutics for motor neuron disorders, with a particular
emphasis on amyotrophic lateral sclerosis.
PMID- 21904792
TI - Immune mechanisms underlying the beneficial effects of autologous hematopoietic
stem cell transplantation in multiple sclerosis.
AB - A recent phase I/II clinical trial drew serious attention to the therapeutic
potential of autologous hematopoietic stem cell transplantation (AHSCT) in
multiple sclerosis. However, questions were raised as to whether these beneficial
effects should be attributed to the newly reconstituted immune system per se, or
to the lymphoablative conditioning regimen-induced immunosuppression, given that
T-cell depleting combinational drug therapies were used in the study. We discuss
here the possibility that both AHSCT and T-cell depleting therapies may re
program alternatively the immune system, and why transplantation of CD34+
hematopoietic stem cells may offer AHSCT a possible advantage regarding long-term
remission.
PMID- 21904793
TI - Modulation of intramolecular charge transfer emission inside micelles: a
fluorescence probe for studying microenvironment of micellar assemblies.
AB - Modulation of intramolecular charge transfer reaction of ethyl ester of N,N
dimethylaminonaphthyl-(acrylic)-acid (EDMANA) in anionic sodium dodecyl sulfate
(SDS), cationic cetyltrimethylammonium bromide (CTAB) and non-ionic p-tert
octylphenoxy polyoxyethanol (Triton-X 100, TX-100) micelles has been addressed
using steady state and time resolved spectroscopy. The interaction of the CT
probe EDMANA with micelles and its location inside the micelles have been
investigated by the study of fluorescence spectral band position of EDMANA in
micelle, the effective polarity of micelle-water interface and cetyl pyridinium
chloride induced fluorescence quenching measurement. The effects of urea on the
properties of the micelles such as Critical Micelle Concentration and the
interaction between EDMANA and micelles have been explored using EDMANA as
emission probe.
PMID- 21904794
TI - A novel approach for determination of free fatty acids in vegetable oils by a
flow injection system with manual injection.
AB - A non-aqueous flow injection method for determining free fatty acid (FFA) content
in corn and sunflower oil samples was developed. A single-line manifold system
was built by modification of an HPLC for flow injection analysis (FIA). Without
pre-treatment, oil samples were injected into a n-propanol solution containing
KOH and phenolphthalein (PHP). The main parameters, such as flow rate of carrier
phase, length, geometry, inner diameters of the coils and reagent concentration
were all optimized. The proposed FIA method was validated for precision,
accuracy, linear region, limit of detection (LOD) and limit of quantification
(LOQ). The intra- and inter-day measurements of the precision of the method were
found to be within the limits of acceptance criteria (RSD < 1%), and were rugged
when the method was performed by a different analyst. The linear concentration
range was calculated as 0.09-1.50 and 0.07-1.40 FFA% for corn and sunflower oils,
correspondingly. The LOD and LOQ were found to be 7.53 * 10(-4)-2.28 * 10(-3)
oleic acid % and 7.11 * 10(-4)-2.23 * 10(-3) oleic acid % for corn and sunflower
oils, respectively. The results were compared with those obtained by the AOCS (Ca
5a-40) method using statistical t and F tests, and a significant difference was
not observed between the methods at a 95% confidence level. The proposed method
is suitable for quality control of routine applications due to its simplicity,
high sample throughput, and economy of solvents and sample, offering considerable
promise as a low cost analytical system that needs minimum human intervention
over long periods of time.
PMID- 21904791
TI - Myelin regeneration in multiple sclerosis: targeting endogenous stem cells.
AB - Regeneration of myelin sheaths (remyelination) after central nervous system
demyelination is important to restore saltatory conduction and to prevent axonal
loss. In multiple sclerosis, the insufficiency of remyelination leads to the
irreversible degeneration of axons and correlated clinical decline. Therefore, a
regenerative strategy to encourage remyelination may protect axons and improve
symptoms in multiple sclerosis. We highlight recent studies on factors that
influence endogenous remyelination and potential promising pharmacological
targets that may be considered for enhancing central nervous system
remyelination.
PMID- 21904795
TI - Electrospray ionization tandem mass spectrometry of sodiated adducts of
cholesteryl esters.
AB - Cholesteryl esters (CE) are important lipid storage molecules. The present study
demonstrates that sodiated adducts of CE molecular species form positive ions
that can be detected in both survey scan mode as well as by exploiting class
specific fragmentation in MS/MS scan modes. A common neutral loss for CE is the
loss of cholestane (NL 368.5), which can be used to specifically quantify tissue
CE molecular species. Using this MS/MS technique, CE molecular species were
quantified in mouse monocyte-derived macrophages (J774 cells) incubated with
either linoleic (18:2) or arachidonic acid (20:4). These studies revealed that
arachidonic acid was not only incorporated into the CE pool, but also was
elongated resulting in the accumulation of 22:4 and 24:4 CE molecular species in
macrophages. Additionally, this technique was used to quantify CE molecular
species present in crude lipid extracts from plasma of female mice fed a Western
diet, which led to an enrichment in CE molecular species containing
monounsaturated fatty acids compared to female mice fed a normal chow diet. Last,
NL 368.5 spectra revealed the oxidation of the aliphatic fatty acid residues of
CE molecular species containing polyunsaturated fatty acids. Taken together,
these studies demonstrate the utility of using sodiated adducts of CE in
conjunction with direct infusion electrospray ionization tandem mass spectrometry
to rapidly quantify CE molecular species in biological samples.
PMID- 21904797
TI - Impedance spectroscopy in monitoring the maturation of stem cell-derived retinal
pigment epithelium.
AB - The development and differentiation of stem cell-derived impermeable retinal
pigment epithelium (RPE) with tight junctions (TJs) is a gradual process that is,
at confluence, controlled by cell-to-cell contact. The objective of this study
was to evaluate the use of electric impedance spectroscopy (EIS) to follow the
maturation and development of barrier function in human embryonic stem cell
derived RPE (hESC-RPE). Barrier function was assessed using EIS, permeability
measurements, and microscopic inspection in intact cells and following calcium
sequestration with ethylene glycol tetraacetic acid (EGTA). The results showed
that the cultures with the most mature morphology had the highest impedance and
the lowest permeability values. The EIS of samples of high integrity fitted well
to the equivalent model of a single RC circuit, whereas the semicircular shape of
the Nyquist plots was distorted for samples of lower integrity. EGTA treatment
resulted in lower impedance values and changes in the shapes of plots. Our
results show that EIS-as a measure of overall maturity and integrity of the
epithelium-is useful when evaluating the maturity of cell cultures. It is highly
warranted in future transplantation therapies and in in vitro cell culture models
in drug development.
PMID- 21904796
TI - Low-molecular-weight lignin-rich fraction in the extract of cultured Lentinula
edodes mycelia attenuates carbon tetrachloride-induced toxicity in primary
cultures of rat hepatocytes.
AB - The extract of cultured Lentinula edodes mycelia (LEM) is a medicinal food
ingredient that has hepatoprotective effects. In this study, we fractionated the
LEM extract to explore novel active compounds related to hepatoprotection by
using primary cultures of rat hepatocytes exposed to carbon tetrachloride
(CCl(4)). The LEM extract and the fractions markedly inhibited the release of
alanine aminotransferase (ALT) from hepatocytes damaged by CCl(4) into the
culture medium. The strongest hepatocyte-protective activity was seen in a
fraction (Fr. 2) in which a 50% ethanol extract was further eluted with 50%
methanol and separated using reverse-phase HPLC. Fr. 2 had an average molecular
weight of 2753, and the main components are lignin (49%) and saccharides (36%, of
which xylose comprises 41%). Therefore, Fr. 2 was presumed to be a low-molecular
weight compound consisting mainly of lignin and xylan-like polysaccharides. The
hepatocyte-protective activity was observed even after digestion of xylan-like
polysaccharides in Fr.2 and confirmed with low-molecular-weight lignin (LM
lignin) alone. In addition, Fr. 2, the xylan-digested Fr. 2 and LM-lignin showed
higher superoxide dismutase (SOD)-like activity than the LEM extract. These
results suggested that the effective fraction in the LEM extract related to
hepatocyte protection consisted mainly of LM-lignin, and its antioxidant activity
partially contributes to the hepatocyte-protective activity of the LEM extract.
PMID- 21904798
TI - Direct laser photo-induced fluorescence determination of bisphenol A.
AB - Classical photo-induced fluorescence methods are conducted in two steps: a UV
irradiation step in order to form a photo-induced compound followed by its
fluorimetric determination. Automated flow injection methods are frequently used
for these analyses. In this work, we propose a new method of direct laser photo
induced fluorescence analysis. This new method is based on direct irradiation of
the analyte in a fluorimetric cell in order to form a photo-induced fluorescent
compound and its direct fluorimetric detection during a short irradiation time.
Irradiation is performed with a tuneable Nd:YAG laser to select the optimal
excitation wavelength and to improve the specificity. It has been applied to the
determination of bisphenol A, an endocrine disrupter compound that may be a
potential contaminant for food. Irradiation of bisphenol A at 230 nm produces a
photo-induced compound with a much higher fluorescence quantum yield and specific
excitation/emission wavelengths. In tap water, the fluorescence of bisphenol A
increases linearly versus its concentration and, its determination by direct
laser photo-induced fluorescence permits to obtain a low limit of detection of 17
MUg L(-1).
PMID- 21904799
TI - Feasibility of using atmospheric pressure matrix-assisted laser
desorption/ionization with ion trap mass spectrometry in the analysis of
acetylated xylooligosaccharides derived from hardwoods and Arabidopsis thaliana.
AB - The atmospheric pressure matrix-assisted laser desorption/ionization with ion
trap mass spectrometry (AP-MALDI-ITMS) was investigated for its ability to
analyse plant-derived oligosaccharides. The AP-MALDI-ITMS was able to detect
xylooligosaccharides (XOS) with chain length of up to ten xylopyranosyl residues.
Though the conventional MALDI-time-of-flight/mass spectrometry (TOF/MS) showed
better sensitivity at higher mass range (>m/z 2,000), the AP-MALDI-ITMS seems to
be more suitable for detection of acetylated XOS, and the measurement also
corresponded better than the MALDI-TOF/MS analysis to the actual compositions of
the pentose- and hexose-derived oligosaccharides in a complex sample. The
structures of two isomeric aldotetrauronic acids and a mixture of acidic XOS were
elucidated by AP-MALDI-ITMS using multi-stages mass fragmentation up to MS(3).
Thus, the AP-MALDI-ITMS demonstrated an advantage in determining both mass and
structures of plant-derived oligosaccharides. In addition, the method of
combining the direct endo-1,4-beta-D-xylanase hydrolysis of plant material, and
then followed by AP-MALDI-ITMS detection, was shown to recognize the substitution
variations of glucuronoxylans in hardwood species and in Arabidopsis thaliana. To
our knowledge, this is the first report to demonstrate the acetylation of
glucuronoxylan in A. thaliana. The method, which requires only a small amount of
plant material, such as 1 to 5 mg for the A. thaliana stem material, can be
applied as a high throughput fingerprinting tool for the fast comparison of
glucuronoxylan structures among plant species or transformants that result from
in vivo cell wall modification.
PMID- 21904800
TI - Characterization of "oil on copper" paintings by energy dispersive X-ray
fluorescence spectrometry.
AB - Energy dispersive X-ray fluorescence is a common analytical tool for layer
thickness measurements in quality control processes in the coating industry, but
there are scarce microanalytical applications in order to ascertain semi
quantitative or quantitative information of painted layers. "Oil on copper"
painting becomes a suitable material to be analysed by means of X-ray
fluorescence spectrometry, due to the metallic nature of substrate and the
possibility of applying layered models as used in coating industry. The aim of
this work is to study the suitability of a quantitative energy dispersive X-ray
fluorescence methodology for the assessment of the areal distribution of pigments
and the characterization of painting methods on such kind of pictorial artworks.
The method was calibrated using standard reference materials: dried droplets of
monoelemental standard solutions laid on a metallic plate of copper. As an
example of application, we estimated pigment mass distribution of two "oil on
copper" paintings from the sixteenth and eighteenth centuries. Pictorial layers
have been complementarily analysed by X-ray diffraction. Apart of the supporting
media made of copper or brass, we could identify two different superimposed
layers: (a) a preparation layer mainly composed by white lead and (b) the
pictorial layer of variable composition depending on the pigments used by the
artist on small areas of the painting surface. The areal mass distribution of the
different elements identified in the painting pigments (Ca, Cr, Mn, Fe, Zn, Cd,
Hg and Pb) have been determined by elemental mapping of some parts of the
artworks.
PMID- 21904801
TI - Amorphous carbon nanoparticles: a versatile label for rapid diagnostic
(immuno)assays.
AB - Carbon nanoparticles (CNPs) labeled with reporter molecules can serve as
signaling labels in rapid diagnostic assays as an alternative to gold, colored
latex, silica, quantum dots, or up-converting phosphor nanoparticles. Detailed
here is the preparation of biomolecule-labeled CNPs and examples of their use as
a versatile label. CNPs can be loaded with a range of biomolecules, such as DNA,
antibodies, and proteins (e.g., neutravidin or a fusion protein of neutravidin
with an enzyme), and the resulting conjugates can be used to detect analytes of
high or low molecular mass.
PMID- 21904803
TI - Huffing-induced cardiomyopathy: a case report.
AB - The intentional inhalation of fumes from gasoline or solvents for recreational
purposes is commonly known as Huffing, Sniffing or Dusting (Anderson and Loomis
in Am Fam Physician 68(5):869-874, 2003). Inhalant abuse is known to be "Cardio
toxic", causing sudden death and chronic myocardial damage (Meadows and Verghese
in South Med J 89(5):455-462, 1996; Anderson and Loomis in Am Fam Physician
68(5):869-874, 2003). We report a 20-year-old white man who presented with
altered mental status following ingestion of multiple alprazolam and oxycodone
tablets. He was found to have diffused ST-T changes on his EKG. Cardiac enzymes
were found to be elevated-CK: 599 U/L, CK-MB: 16.8 ng/mL and Troponin: 0.78
ng/mL. A transthoracic echocardiogram (TTE) revealed global left ventricular (LV)
dysfunction with an ejection fraction (EF) of 10-15%. During hospitalization, the
cardiac enzymes started trending downward and this was followed by spontaneous
resolution of the LV dysfunction. The patient also admitted to inhaling "Dust
Off" spray 2-3 days prior to admission. Inhalant abuse can cause cardiomyopathy
and should be considered a probable cause in patients presenting with cardiac
dysfunction of unknown etiology, particularly in teenagers and young adults.
PMID- 21904802
TI - Evaluation of the potential of PET-MRI fusion for detection of liver metastases
in patients with neuroendocrine tumours.
AB - OBJECTIVES: This study was performed to assess the role of retrospective PET-MRI
fusion with Ga-68-DOTA(0)-Phe(1)-Tyr(3)-octreotide (Ga-68-DOTATOC) PET and Gd-EOB
DTPA MRI in the detection of hepatic metastases from neuroendocrine tumours
(NET). METHODS: Twenty-two consecutive patients with suspected liver metastases
from histopathologically proven NET were examined with Gd-EOB-DTPA MRI and
multiphase contrast-enhanced Ga-68-DOTATOC PET/CT. PET and MRI images were
retrospectively fused using commercially available software. Two physicians
experienced in nuclear medicine and radiology analysed the images to assess
diagnostic confidence and characterise liver lesions. RESULTS: A total of 181
lesions were detected. PET-MRI showed a sensitivity of 91.2% (significantly
superior to PET/CT; P < 0.05) and a specificity of 95.6% (significantly superior
to MRI; P < 0.05). PET/CT had a sensitivity of 73.5% and a specificity of 88.2%.
MRI had a sensitivity of 87.6% and a specificity of 86.8%. The area under the
curve was 0.98 for PET-MRI, 0.96 for MRI, and 0.89 for PET/CT (P < 0.05).
CONCLUSIONS: Retrospectively fused PET-MRI was superior to multiphase contrast
enhanced Ga-68-DOTATOC PET/CT and Gd-EOB-DTPA MRI in the detection of NET liver
metastases. It was more sensitive than PET/CT and more specific than MRI. Fused
PET-MRI therefore seems well suited for surgical and interventional treatment
planning of NET liver metastases. KEY POINTS: * Ga-68-DOTATOC PET-Gd-EOB-DTPA MRI
fusion can improve imaging of liver metastases of neuroendocrine tumours. * This
technique appears more sensitive than PET/CT for staging NET hepatic metastases.
* Ga-68-DOTATOC PET-Gd-EOB-DTPA MRI fusion is more specific than MRI alone.
PMID- 21904804
TI - A dentist's role: prevention of snoring at temporary refuges for victims of the
East Japan earthquake and the Fukushima Daiichi Nuclear Power Plant accident on
March 11, 2011.
PMID- 21904805
TI - Obstructive sleep apnea in epilepsy: a preliminary Egyptian study.
AB - PURPOSE: The extent and clinical relevance of the association between epilepsy
and sleep apnea are not previously studied in Egypt. What we wanted to know was
the frequency of sleep apnea in Egyptian children with epilepsy and its influence
on seizure frequency, other seizure characteristics, sleep complaint, and
architecture. METHODS: All patients with epilepsy, aged up to 18 years, who
underwent polysomnography were studied. Patients with any neurological disease
apart from epilepsy, with psychiatric illness, had hypnotics, or sedatives or
those with liver or kidney failure were excluded from the study. The patients
were divided into two subgroups according to apnea/hypopnea index: group (1)
patients without obstructive sleep apnea (OSA) and group (2) patients with OSA.
For control group, we choose 12 healthy individuals, with age and sex matched to
that of our patients. We studied the clinical characteristics of epilepsy, sleep
history, and polysomnographic recording of the patients with epilepsy and the
control. EEG digital and video monitoring was done for all patients. RESULTS:
Eleven patients (42.3%) were found to have obstructive sleep apnea. Seizure
frequency was significantly higher in the patients with OSA. Apart from apnea and
hypopnea indices, all other sleep parameters did not differ between patients'
subgroups. Hypopnea index in REM positively correlates with number of awaking.
Apnea index in REM positively correlates with latency to deep sleep and to
periodic leg movement. CONCLUSIONS AND RECOMMENDATIONS: Sleep apnea is frequent
in patients with epilepsy. OSA may contribute to increase seizure frequency. We
recommend investigating sleep apnea in all patients with epilepsy.
PMID- 21904807
TI - Special issue, Phenology 2010 conference, Dublin, Ireland.
PMID- 21904806
TI - Neurocognitive performance in children and adolescents with bipolar disorder: a
review.
AB - A number of studies have reported the evidence of cognitive deficits in adult
bipolar patients. Recently, there has been a shift in research on neurocognitive
performance in bipolar disorder (BD) towards examining younger age groups. A
review of the literature on neurocognitive impairments in BD in childhood and
adolescence was conducted. We searched systematically for studies in samples of
age groups younger than 18 years of age in average that included either a healthy
control group or normative data for the cognitive tests used. Twenty-one original
articles were found and reviewed. Children and adolescents with BD show deficits
in a variety of cognitive areas. The most consistent results were found for
impairments in verbal memory. A majority of studies also indicated impairments in
working memory. Similar pattern of neurocognitive impairment was found in
children and adolescents as compared to adults suffering from BD. The
neurocognitive deficits need to be recognized and incorporated into individual
treatment programs.
PMID- 21904808
TI - Exploratory study on the influence of climatological parameters on Mycoplasma
hyopneumoniae infection dynamics.
AB - The objective of the present work was to elucidate the potential relationship
between Mycoplasma hyopneumoniae infection and seroconversion dynamics and
climatological conditions in four groups of pigs from the same farm born in
different seasons of the year. Nasal swabs and blood samples were taken from 184
pigs at 1, 3, 6, 9, 12, 15, 18, 22 and 25 (slaughter age) weeks of age. Outside
climatologic parameters, including temperature ( degrees C), relative humidity
(%), precipitation (l/m(2)) and wind speed (m/s) were recorded weekly from
January 2003 to June 2004. Percentage of nPCR detection of M. hyopneumoniae in
nasal swabs was associated significantly with the weekly precipitation rate [P =
0.0018, OR = 1.31 (IC = 1.11-1.55)]; the higher the precipitation rate, the
higher the probability of being M. hyopneumoniae nPCR-positive. On the other
hand, the percentage of seropositive pigs had a significant association with mean
weekly temperature rate [P = 0.0012, OR = 0.89 [IC = 0.84-0.95]); the lower the
temperature, the higher the probability of being M. hyopneumoniae seropositive.
Animals born in autumn (when higher precipitations rates were recorded), entering
finishing units in winter (when lower temperatures were recorded), and reaching
slaughter in spring, had the highest probability of being infected by M.
hyopneumoniae and the highest probability of being M. hyopneumoniae seropositive.
PMID- 21904809
TI - Bile culture and susceptibility testing of malignant biliary obstruction via
PTBD.
AB - PURPOSE: To assess the information obtained by bile culture and susceptibility
testing for malignant biliary obstruction by a retrospective one-center study.
METHODS: A total of 694 patients with malignant biliary obstruction received
percutaneous transhepatic biliary drainage during the period July 2003 to
September 2010, and subsequently, bile specimens were collected during the
procedure. Among the 694 patients, 485 were men and 209 were women, ranging in
age from 38 to 78 years (mean age 62 years). RESULTS: A total of 42.9% patients
had a positive bile culture (298 of 694). Further, 57 species of microorganisms
and 342 strains were identified; gram-positive bacteria accounted for 50.9% (174
of 342) and gram-negative bacteria accounted for 41.5% (142 of 342) of these
strains. No anaerobes were obtained by culture during this study. The most common
microorganisms were Enterococcus faecalis (41 of 342, 11.9%), Escherichia coli
(34 of 342, 9.9%), Klebsiella pneumoniae (28 of 342, 8.2%), Staphylococcus
epidermidis (19 of 342, 5.5%), Enterococcus (18 of 342, 5.3%), and Enterobacter
cloacae (16 of 342, 4.7%). The percentage of beta-lactamase-producing gram
positive bacteria was 27.6% (48 of 174), and the percentage of gram-negative
bacteria was 19.7% (28 of 142). The percentage of enzyme-producing Escherichia
coli was 61.7% (21 of 34). CONCLUSION: The bile cultures in malignant biliary
obstruction are different from those in the Tokyo Guidelines and other benign
biliary obstruction researches, which indicates that a different antibacterial
therapy should be applied. Thus, knowledge of the antimicrobial susceptibility
data could aid in the better use of antibiotics for the empirical therapy of
biliary infection combined with malignant biliary obstruction.
PMID- 21904810
TI - Endovascular treatment of in-stent occlusion: new technique for recanalization of
long superficial femoral artery occlusion (direct stent puncture technique).
AB - In-stent reocclusion is a frequent complication of endovascular treatment and
stenting, especially in the superficial femoral artery. Neointimal hyperplasia is
the main cause of this problem, but in many cases, it occurs as a result of the
presence of stent strut fractures. The two treatment options are endovascular and
surgical intervention. The effectiveness of endovascular interventions in
patients with critical limb ischemia has been well established, but in some
cases, crossing the occluded stent is difficult. We describe a new technique to
recanalize long in-stent superficial femoral artery occlusions characterized by
direct stent puncture, followed by retrograde-antegrade recanalization after
antegrade failures.
PMID- 21904811
TI - A systematical comparison of DFT methods in reproducing the interaction energies
of halide series with protein moieties.
AB - A systematic theoretical investigation on the interaction energies of halogen
ionic bridges formed between halide ions and the polar H atoms bonded to N of
protein moieties has been carried out by employing a variety of density
functional methods. In this procedure, full geometry optimizations are performed
at the Moller-Plesset second-order perturbation (MP2) level of theory in
conjunction with the Dunning's augmented correlation-consistent basis set, aug-cc
pVDZ. Subsequently, two distinct basis sets, i.e. 6-311++G(df,pd) and aug-cc
pVTZ, are employed in the following single-point calculations so as to check the
stability of the results obtained at the different levels of DFT. The performance
of DFT methods has been evaluated by comparing the results with those obtained
from the rigorous MP2 theory. It is shown that the B98, B97-1, and M05 give the
lowest root-mean-square error (RMSE) for predicting fluoride-binding energies,
M05-2X, MPW1B95, and MPW1PW91 have the best performance in reproducing chloride
binding energies, B97-1, PBEKCIS, and PBE1KCIS present the optimal result for
bromide-binding energies, while B97-1, MPW1PW91, and TPSS perform most well on
iodide-binding energies. The popular B3LYP functional seems to be quite modest
for studying halide-protein moiety interactions. In addition, the PBE1KCIS
functional provide accuracies close to the computationally expensive MP2 method
for the calculation of interaction energies of all halide-binding systems.
PMID- 21904812
TI - Molecular dynamics simulations on the aggregation behavior of indole type organic
dye molecules in dye-sensitized solar cells.
AB - In Ti0(2) nanostructured dye-sensitized solar cells indole based organic dyes
D149, D205 exhibits greater power conversion efficiency. Such organic dye
molecules are easily undergone for aggregation. Aggregation in dye molecules
leads to reduce electron transfer process in dye-sensitized solar cells.
Therefore, anti-aggregating agents such as chenodeoxycholic acid are commonly
added to organic dye solution in DSSCs. Studying aggregation of such dye
molecules in the absence of semiconductors gives a detailed influence of anti
aggregating agents on dye molecules. Atomistic level of molecular dynamics (MD)
simulations were performed on aggregation of indole type dye molecules D149, D205
and D205-F with anti-aggregating agent chenodeoxy cholic acid using AMBER
program. The trajectories of the MD simulations were analyzed with order
parameters such as radial atom pair distribution functions g(r), diffusion
coefficients and root mean square deviations values. MD results suggest that
addition of chenodeoxy cholic acid to dyes significantly reduces structural
arrangement and increases conformational flexibility and mobility of dye
molecules. The influence of semi-perfluorinated alkyl chains in indole dye
molecules was analyzed. The parameters such as open-circuit voltage (V(oc)) and
power conversion efficiency (eta) of dye-sensitized solar cells are corroborated
with flexibility and diffusion values of dye molecules.
PMID- 21904813
TI - A B3LYP and MP2(full) theoretical investigation into explosive sensitivity upon
the formation of the molecule-cation interaction between the nitro group of 3,4
dinitropyrazole and H+, Li+, Na+, Be2+ or Mg2+.
AB - The explosive sensitivity upon the formation of molecule-cation interaction
between the nitro group of 3,4-dinitropyrazole (DNP) and H(+), Li(+), Na(+),
Be(2+) or Mg(2+) has been investigated using the B3LYP and MP2(full) methods with
the 6-311++G** and 6-311++G(2df,2p) basis sets. The bond dissociation energy
(BDE) of the C3-N7 trigger bond has also been discussed for the DNP monomer and
the corresponding complex. The interaction between the oxygen atom of nitro group
and H(+) in DNP...H(+) is partly covalent in nature. The molecule-cation
interaction and bond dissociation energy of the C3-N7 trigger bond follow the
order of DNP...Be(2+) > DNP...Mg(2+) > DNP...Li(+) > DNP...Na(+). Except for
DNP...H(+), the increment of the trigger bond dissociation energy in comparison
with the DNP monomer correlates well with the molecule-cation interaction energy,
natural charge of the nitro group, electron density rho(BCP(C3-N7)),
delocalization energy E(2) and NBO charge transfer. The analyses of atoms in
molecules (AIM), natural bond orbital (NBO) and electron density shifts have
shown that the electron density of the nitro group shifts toward the C3-N7
trigger bond upon the formation of the molecule-cation interaction. Thus, the
trigger bond is strengthened and the sensitivity of DNP is reduced.
PMID- 21904814
TI - Efficacy of leflunomide addition in relation to prognostic factors for patients
with active early rheumatoid arthritis failing to methotrexate in daily practice.
AB - The recommendations of the European League Against Rheumatism (EULAR) for the
management of rheumatoid arthritis (RA) suggest a different therapeutic approach
to methotrexate (MTX) resistance according to the presence or absence of poor
prognostic factors. Retrospectively, in our patients with active early RA
(disease activity score in 28 joints (DAS28) > 3.2) that failed to respond to
initial MTX monotherapy, we investigated whether leflunomide (LEF) addition had a
different efficacy when associated with the presence or absence of poor
prognostic factors. Of the 20 patients who received LEF, 15 (2 males and 13
females) tolerated the combination. Five patients had no poor prognostic factors,
and 4 (80%) of those patients achieved remission or low disease activity (LDA)
according to DAS28 and also a good response with the EULAR criteria. Of the 10
patients with at least one poor prognostic factor, remission or LDA occurred in 4
(40%) of the patients, and a good EULAR response was obtained in 3 (30%) of the
patients. By Fisher's exact test, no significant difference was found between the
two groups of patients in remission or LDA (p = 0.28) according to DAS28 and a
good response (p = 0.12) with the EULAR criteria. In all patients with an
inadequate response to the LEF+MTX combination, the substitution of a TNF
inhibitor for LEF or the addition of a TNF inhibitor to the combination led to
remission or LDA. Large studies are required to investigate the efficacy of LEF
addition in relation to prognostic factors in patients with active early RA that
did not respond to the initial therapy with MTX alone.
PMID- 21904815
TI - Helicobacter pylori seropositivity in fibromyalgia syndrome.
PMID- 21904816
TI - Enhanced production of nukacin D13E in Lactococcus lactis NZ9000 by the
additional expression of immunity genes.
AB - Nukacin D13E (D13E) is a variant of type-A(II) lantibiotic nukacin ISK-1 produced
by Staphylococcus warneri ISK-1. D13E exhibited a twofold higher specific
antimicrobial activity than nukacin ISK-1 against a number of Gram-positive
bacteria. We previously reported the heterologous production of D13E in
Lactococcus lactis NZ9000 under the control of nisin-controlled gene expression
system. In this study, we demonstrated enhanced production of D13E by the
additional expression of immunity genes, nukFEG. The nukacin ISK-1 immunity,
conferred by the ABC transporter complex, NukFEG, and the lantibiotic-binding
protein, NukH, was not overwhelmed by D13E. The additional NukFEG resulted in a
fourfold increase in the immunity level of the strain and a 5.2-fold increase in
D13E production. The additional NukFEGH-expressing strain with the highest D13E
immunity showed reduced level of production. Further improvement in D13E
production was achieved by using pH-controlled batch fermentation.
PMID- 21904818
TI - LOX-1/LOXIN: the yin/yang of atheroscleorosis.
AB - Atherosclerosis is the first cause of death in industrialized countries. Together
with traditional risk factors (male gender, hypercholesterolemia, hypertension,
diabetes, smoking and age), non-traditional risk factors have also been described
as predisposing to this disease. Among these, oxidized low density lipoproteins
(OxLDL) have been described in correlation to many proatherogenic processes. Many
of the effects of OxLDL are mediated by the lectin like oxidized low density
lipoprotein receptor 1 (LOX-1), expressed on endothelial cells, macrophages, SMCs
and platelets. LOX-1 is encoded by the lectin like oxidized low density
lipoprotein receptor 1 (OLR1) gene, located in the p12.3-p13.2 region of human
chromosome 12. Variations on this gene have been studied extensively both at the
functional and epidemiological level. Despite the fact that functional roles for
two variants have been demonstrated, the epidemiological studies have provided
inconsistent and inconclusive results. Of particular interest, it has been
demonstrated that a linkage disequilibirum block of SNPs located in the intronic
sequence of the OLR1 gene modulates the alternative splicing of OLR1 mRNA,
leading to different ratios of LOX-1 full receptor and LOXIN, an isoform lacking
part of the functional domain. As demonstrated, LOXIN acts by blocking the
negative effective of LOX-1 activation. Here we review the state of the art
regarding LOX-1, LOXIN, and the functional effects that are associated with the
interaction of these molecules.
PMID- 21904819
TI - The effects of acute doses of nicotine on video lottery terminal gambling in
daily smokers.
AB - RATIONALE: A growing body of evidence suggests that gambling frequently co-occurs
with smoking, yet little is known about the degree to which nicotine and/or
tobacco use influences gambling behavior. Nonetheless, an increasing number of
studies suggest that acute administration of nicotine may alter other reinforcing
behaviors in both animal and human models, raising the possibility that nicotine
may also influence gambling behavior and craving. OBJECTIVES: The purpose of this
study was to examine the acute effects of nicotine on subjective and behavioral
gambling responses. METHODS: Twenty-eight (15 male) regular gamblers who smoke
daily completed two double-blind laboratory sessions where their subjective and
behavioral responses to video lottery terminal (VLT) gambling were assessed,
following the administration of nicotine inhalers (NI; 4 mg deliverable) or
placebo inhalers. RESULTS: NI significantly decreased tobacco-related cravings (p
< 0.05) but did not affect gambling-related cravings, VLT betting patterns, or
subjective responses (ps > 0.1). CONCLUSIONS: NI were found to acutely suppress
tobacco-related cravings without influencing gambling. These results suggest that
use of nicotine replacement therapies may be a safe option for gamblers who are
attempting to quit smoking.
PMID- 21904817
TI - Exploiting bacterial DNA gyrase as a drug target: current state and perspectives.
AB - DNA gyrase is a type II topoisomerase that can introduce negative supercoils into
DNA at the expense of ATP hydrolysis. It is essential in all bacteria but absent
from higher eukaryotes, making it an attractive target for antibacterials. The
fluoroquinolones are examples of very successful gyrase-targeted drugs, but the
rise in bacterial resistance to these agents means that we not only need to seek
new compounds, but also new modes of inhibition of this enzyme. We review known
gyrase-specific drugs and toxins and assess the prospects for developing new
antibacterials targeted to this enzyme.
PMID- 21904820
TI - Synergistic interaction between baclofen administration into the median raphe
nucleus and inconsequential visual stimuli on investigatory behavior of rats.
AB - RATIONALE: Noncontingent administration of amphetamine into the ventral striatum
or systemic nicotine increases responses rewarded by inconsequential visual
stimuli. When these drugs are contingently administered, rats learn to self
administer them. We recently found that rats self-administer the GABA(B) receptor
agonist baclofen into the median (MR) or dorsal (DR) raphe nuclei. OBJECTIVES: We
examined whether noncontingent administration of baclofen into the MR or DR
increases rats' investigatory behavior rewarded by a flash of light. RESULTS:
Contingent presentations of a flash of light slightly increased lever presses.
Whereas noncontingent administration of baclofen into the MR or DR did not
reliably increase lever presses in the absence of visual stimulus reward, the
same manipulation markedly increased lever presses rewarded by the visual
stimulus. Heightened locomotor activity induced by intraperitoneal injections of
amphetamine (3 mg/kg) failed to concur with increased lever pressing for the
visual stimulus. These results indicate that the observed enhancement of visual
stimulus seeking is distinct from an enhancement of general locomotor activity.
Visual stimulus seeking decreased when baclofen was co-administered with the
GABA(B) receptor antagonist, SCH 50911, confirming the involvement of local
GABA(B) receptors. Seeking for visual stimulus also abated when baclofen
administration was preceded by intraperitoneal injections of the dopamine
antagonist, SCH 23390 (0.025 mg/kg), suggesting enhanced visual stimulus seeking
depends on intact dopamine signals. CONCLUSIONS: Baclofen administration into the
MR or DR increased investigatory behavior induced by visual stimuli. Stimulation
of GABA(B) receptors in the MR and DR appears to disinhibit the motivational
process involving stimulus-approach responses.
PMID- 21904822
TI - Antidromic potential spread modulates the receptor responses in the stretch
receptor neurons of the crayfish.
AB - The effects of antidromic potential spread were investigated in the stretch
receptor neurons of the crayfish. Current and potential responses to conductance
changes were recorded in the dynamic clamp condition and compared to those
obtained by using some conventional clamp methods and a compartmental neuron
model. An analogue circuit was used for dynamic calculation of the injected
receptor current as a function of the membrane potential and the given
conductance change. Alternatively, receptor current responses to a mechanical
stimulus were recorded and compared when the cell was voltage clamped to a
previously recorded impulse wave form and the resting potential, respectively.
Under dynamic clamp, the receptor current had an oscillating waveform which
contrasts with the conventional recordings. Frequency, amplitude and sign of the
oscillations were dependent on the applied conductance level, reversal potential
and electrotonic attenuation. Mean current amplitude and frequency of the evoked
impulse responses were smaller under dynamic clamp, especially for large
conductance increases. However, firing frequency was larger if plotted against
the mean current response. Recorded responses were similar to those calculated in
the model. It was not possible to evoke any adaptation in the slowly adapting
neuron by using the dynamic clamp. Evoked potential change served as a self
limiting response, preventing the depolarization block. However, impulse duration
was significantly shorter in the rapidly adapting neuron when the dynamic clamp
was used. It was concluded that, in the stretch receptor neurons during a
conductance increase, antidromic potential spread modulates the receptor
responses and contributes to adaptation.
PMID- 21904821
TI - Calcium-dependent inhibition of T-type calcium channels by TRPV1 activation in
rat sensory neurons.
AB - We studied the inhibitory effects of transient receptor potential vanilloid-1
(TRPV1) activation by capsaicin on low-voltage-activated (LVA, T-type) Ca(2+)
channel and high-voltage-activated (HVA; L, N, P/Q, R) currents in rat DRG
sensory neurons, as a potential mechanism underlying capsaicin-induced analgesia.
T-type and HVA currents were elicited in whole-cell clamped DRG neurons using
ramp commands applied before and after 30-s exposures to 1 MUM capsaicin. T-type
currents were estimated at the first peak of the I-V characteristics and HVA at
the second peak, occurring at more positive potentials. Small and medium-sized
DRG neurons responded to capsaicin producing transient inward currents of
variable amplitudes, mainly carried by Ca(2+). In those cells responding to
capsaicin with a large Ca(2+) influx (59% of the total), a marked inhibition of
both T-type and HVA Ca(2+) currents was observed. The percentage of T-type and
HVA channel inhibition was prevented by replacing Ca(2+) with Ba(2+) during
capsaicin application or applying high doses of intracellular BAPTA (20 mM),
suggesting that TRPV1-mediated inhibition of T-type and HVA channels is Ca(2+)
dependent and likely confined to membrane nano-microdomains. Our data are
consistent with the idea that TRPV1-induced analgesia may derive from indirect
inhibition of both T-type and HVA channels which, in turn, would reduce the
threshold of nociceptive signals generation (T-type channel inhibition) and
nociceptive synaptic transmission (HVA-channels inhibition).
PMID- 21904823
TI - Protein:carbohydrate ratios explain life span patterns found in Queensland fruit
fly on diets varying in yeast:sugar ratios.
AB - Dietary restriction extends life span across a vast diversity of taxa, but
significant challenges remain in elucidating the underlying mechanisms.
Distinguishing between caloric and nutrient effects is an essential step. Recent
studies with Drosophila and tephritid fruit flies have reported increased life
span as dietary yeast-to-sugar ratios decreased and these effects have been
attributed to changes in protein-to-carbohydrate (P:C) ratios of the diets rather
than calories. However, yeast is a complex mix of macronutrients and
micronutrients, and hence changes in yeast content of the diet necessarily alters
other nutrients in lockstep. To explicitly test whether studies using yeast are
justified in attributing results to diet protein content rather than correlated
nutrients, we developed a chemically defined diet allowing manipulation of just
the ratio of protein (free amino acids) to carbohydrate (sucrose) levels of diets
while holding other nutrients constant. Mated, female Queensland fruit flies (Q
flies) were fed 1 of 18 diets varying in P:C ratios and diet concentration. Diet
consumption, egg production, and life span were recorded for each fly. In close
concordance with recent studies using yeast diets, flies had increased life span
as P:C ratios decreased, and caloric restriction did not extend life span.
Similarly, egg production was maximized on high P:C ratios, but lifetime egg
production was maximized on intermediate P:C ratios, indicating a life history
trade-off between life span and egg production rate. Finally, Q-flies adjusted
their diet intake in response to P:C ratios and diet concentration. Our results
substantiate recent claims that P:C ratios significantly modulate life span in
flies.
PMID- 21904824
TI - Survival features of EBV-stabilized cells from centenarians: morpho-functional
and transcriptomic analyses.
AB - In the present work, we analyzed the survival features of six different Epstein
Barr virus (EBV)-stabilized lymphoid cell lines obtained from adult subjects and
from subjects of more than 95 years. For the first, we found that lymphoid B
cells from centenarians were more resistant to apoptosis induction and displayed
a more developed lysosomal compartment, the most critical component of phagic
machinery, in comparison with lymphoid B cells from adult subjects. In addition,
cells from centenarians were capable of engulfing and digesting other cells,
i.e., their siblings (even entire cells), whereas lymphoid cells from "control
samples", i.e., from adults, did not. This behavior was improved by nutrient
deprivation but, strikingly, it was unaffected by the autophagy-modulating drug,
rapamycin, an autophagy inducer, and 3-methyladenine, an autophagy inhibitor.
Transcriptomic analyses indicated that: (1) aspartyl proteases, (2) cell surface
molecules such as integrins and cadherins, and (3) some components of
cytoskeletal network could contribute to establish this survival phenotype. Also,
Kyoto Encyclopedia of Genes and Genomes pathways such as Wnt signaling pathway,
an essential contributor to cell migration and actin cytoskeleton remodeling,
appeared as prominent. Although we cannot rule out the possibility that EBV
immortalization could play a role, since we observed this phagic behavior in
cells from centenarians but not in those from adults, we hypothesize that it may
represent an important survival determinant in cells from centenarians.
PMID- 21904825
TI - Bone development in the fetus and neonate: role of the calciotropic hormones.
AB - During embryonic and fetal development much of the skeleton initiates as a
cartilaginous scaffold, which is progressively resorbed and replaced by bone.
Endochondral bone formation continues until the growth plates fuse during
puberty. At all life stages adequate delivery of mineral is required for the
skeleton to achieve and maintain appropriate mineral content and strength. During
fetal development the placenta actively transports calcium, phosphorus, and
magnesium. Postnatally passive and then active absorption from the intestines
becomes the main supply of minerals to the skeleton. Animal and human data
indicate that fetal bone development requires parathyroid hormone (PTH) and PTH
related protein but not vitamin D/calcitriol, calcitonin, or (possibly) sex
steroids. During the postnatal period, when intestinal calcium absorption becomes
an active process, skeletal development begins to depend upon vitamin
D/calcitriol but this requirement can be bypassed by increasing the calcium
content of the diet or by administering intermittent calcium infusions.
PMID- 21904826
TI - Periodic expression of Sm proteins parallels formation of nuclear Cajal bodies
and cytoplasmic snRNP-rich bodies.
AB - Small nuclear ribonucleoproteins (snRNPs) play a fundamental role in pre-mRNA
processing in the nucleus. The biogenesis of snRNPs involves a sequence of events
that occurs in both the nucleus and cytoplasm. Despite the wealth of biochemical
information about the cytoplasmic assembly of snRNPs, little is known about the
spatial organization of snRNPs in the cytoplasm. In the cytoplasm of larch
microsporocytes, a cyclic appearance of bodies containing small nuclear RNA
(snRNA) and Sm proteins was observed during anther meiosis. We observed a
correlation between the occurrence of cytoplasmic snRNP bodies, the levels of Sm
proteins, and the dynamic formation of Cajal bodies. Larch microsporocytes were
used for these studies. This model is characterized by natural fluctuations in
the level of RNA metabolism, in which periods of high transcriptional activity
are separated from periods of low transcriptional activity. In designing
experiments, the authors considered the differences between the nuclear and
cytoplasmic phases of snRNP maturation and generated a hypothesis about the
direct participation of Sm proteins in a molecular switch triggering the
formation of Cajal bodies.
PMID- 21904828
TI - Childhood conduct problems are associated with increased partnership and
parenting difficulties in adulthood.
AB - This paper uses data from a sample of 337 parents studied at age 30 to examine
the linkages between childhood conduct problems assessed at ages 7-9 and later
partnership and parenting outcomes. The key findings of this study were: 1)
increasing levels of childhood conduct problems were associated with increased
risk of partnership difficulties, including relationship ambiguity, inter-partner
conflict/violence and lower levels of relationship satisfaction; 2) increasing
levels of childhood conduct problems were associated with increased risk of
parenting difficulties, including over-reactivity, lax and inconsistent
discipline, child physical punishment and lower levels of parental warmth and
sensitivity. These findings were consistent across both parent reports and
interviewer ratings, and in nearly all cases remained after extensive adjustment
for confounding and selection bias. Study findings add to the growing body of
evidence documenting the adverse consequences of early conduct problems for later
adult interpersonal relationships and parenting behaviors.
PMID- 21904829
TI - Relationship between clinical sinusitis symptoms and sinus CT severity in
pediatric post bone marrow transplant and immunocompetent patients.
AB - Since typical inflammatory responses may be diminished in children following bone
marrow transplant (BMT), computed tomography (CT) imaging of the sinuses has been
increasingly ordered to diagnose sinusitis in this group. The objective of this
study was to determine the association between clinical sinusitis symptoms and
sinus opacification on CT scans in post BMT versus immunocompetent children. Our
sample was comprised of 64 post BMT and 86 immunocompetent children with sinus CT
scans. CT sinus opacification was scored using the modified Lund-Mackay staging
system. The relationship between clinical sinusitis symptoms (rhinorrhea, nasal
congestion, cough, headache, and facial pain) and opacification was compared for
the two groups. The severity of sinus opacification in the BMT group was
significantly higher compared to the immunocompetent group. In combined patient
groups the odds ratio (OR) for moderate/severe sinusitis was significantly
elevated for rhinorrhea (OR = 3.00; 95% confidence interval [CI], 1.27-7.12),
cough (OR = 2.80; 95% CI, 1.22-6.42), and having either rhinorrhea, nasal
congestion, or cough (OR = 4.76; 95% CI, 1.71-13.24). While the immunocompetent
group had a greater number of sinusitis symptoms compared to the post BMT group,
both groups had a significant increase in the severity on CT with increasing
number of symptoms. CONCLUSION: In post BMT patients, our data demonstrated
higher odds of moderate/severe sinusitis on CT scans associated with rhinorrhea,
cough or nasal congestion. These finding suggest that in post BMT children,
detailed sinus history may still play a vital role in the diagnosis of sinusitis.
PMID- 21904831
TI - Novel targets for cancer and connective tissues diseases: A meeting sponsored by
the International CCN Society : Coast Coal Harbour Hotel, Vancouver, BC, Canada
(September 24-27, 2011).
PMID- 21904832
TI - The clot burden score, the Boston Acute Stroke Imaging Scale, the cerebral blood
volume ASPECTS, and two novel imaging parameters in the prediction of clinical
outcome of ischemic stroke patients receiving intravenous thrombolytic therapy.
AB - INTRODUCTION: Recently two classification methods based on the location and the
extent of thrombosis detected with CT angiography have been introduced: the
Boston Acute Stroke Imaging Scale (BASIS) and the clot burden score (CBS). We
studied the performance of BASIS and CBS in predicting good clinical outcome (mRS
<= 2 at 90 days) in an acute (< 3 h) stroke cohort treated with intravenous
thrombolytic therapy. METHODS: Eighty-three consecutive patients who underwent
multimodal CT were analyzed. Binary logistic regression model was used to assess
how BASIS, CBS, and cerebral blood volume (CBV) ASPECTS predict favorable
clinical outcome. Diagnostic sensitivities and specificities were calculated and
compared. RESULTS: Patients with low CBS and CBV ASPECTS scores and major strokes
according to BASIS had significantly higher admission NIHSS scores, larger
perfusion defects, and more often poor clinical outcome. In logistic regression
analysis, CBV ASPECTS, CBS and BASIS were significantly associated with the
clinical outcome. The performance of BASIS improved when patients with thrombosis
of the M2 segment of the middle cerebral artery were classified as having minor
stroke (M1-BASIS). In the anterior circulation, the sum of CBS and CBV ASPECTS
(CBSV) proved to be the most robust predictor of favorable outcome. CBV ASPECTS
and CBS had high sensitivity but moderate to poor specificity while BASIS was
only moderately sensitive and specific. CONCLUSION: CBS, BASIS, and CBV ASPECTS
are statistically robust and sensitive but unspecific predictors of good clinical
outcome. Two new derived imaging parameters, CBSV and M1-BASIS, share these
properties and may have increased prognostic value.
PMID- 21904830
TI - Ultrasound and colour Doppler in infantile subglottic haemangioma.
AB - BACKGROUND: Subglottic haemangioma causes progressive and life-threatening
stridor, typically manifesting at age 2-3 months. Standard diagnosis is by
laryngoscopy. Larynx sonography is rarely used but allows assessment of the
presence and extension of a mass that impinges on the subglottic airway. The
additional use of colour Doppler enables demonstration of the vascular nature of
such masses. OBJECTIVE: To compare US and endoscopic findings in infants with
subglottic haemangioma and to evaluate accuracy of US and colour Doppler imaging
in this diagnosis. MATERIALS AND METHODS: We report eight infants with subglottic
haemangioma seen in our institution over the last decade. They presented with
laryngeal stridor and were all investigated with both US and endoscopy. Six
infants underwent colour Doppler sonography. RESULTS: US and endoscopic findings
showed excellent anatomical correlation in lateral subglottic haemangioma. Colour
Doppler imaging was deemed helpful in four infants. CONCLUSION: Larynx sonography
with complementary colour Doppler imaging was non-invasive and helpful in the
diagnosis of subglottic haemangioma.
PMID- 21904833
TI - Trimodal endoscopic imaging for the detection and differentiation of colorectal
adenomas: a prospective single-centre clinical evaluation.
AB - PURPOSE: The purpose of this study is to evaluate an endoscopic trimodal imaging
(ETMI) system (high resolution, autofluorescence, and NBI) in the detection and
differentiation of colorectal adenomas. METHODS: A prospective randomised trial
of tandem colonoscopies was carried out using the Olympus XCF-FH260AZI system.
Each colonic segment was examined twice for lesions, once with HRE and once with
AFI, in random order per patient. All detected lesions were assessed with NBI for
pit pattern and with AFI for colour. All lesions were removed and sent for
histology. Any lesion identified on the second examination was considered as
missed by the first examination. Outcome measures are adenoma miss rates of AFI
and HRE, and diagnostic accuracy of NBI and AFI for differentiating neoplastic
from non-neoplastic lesions. RESULTS: Ninety-four patients underwent colonoscopy
with ETMI (47 in each group). Among 47 patients examined with AFI first, 31
adenomas in 15 patients were detected initially [detection rate 0.66 (0.52
0.75)]. Subsequent HRE inspection identified six additional adenomas. Among 47
patients examined with HRE first, 29 adenomas in 14 patients were detected
initially [detection rate 0.62 (0.53-0.79)]. Successive AFI yielded seven
additional adenomas. Adenoma miss rates of AFI and HRE were 14% and 16.2%,
respectively (p = 0.29). Accuracy of AFI alone for differentiation was lower than
NBI (63% vs. 80%, p < 0.001). Combined use of AFI and NBI achieved improved
accuracy for differentiation (84%), showing a trend for superiority compared with
NBI alone (p = 0.064). CONCLUSIONS: AFI did not significantly reduce the adenoma
miss rate compared with HRE. AFI alone had a disappointing accuracy for adenoma
differentiation, which could be improved by combination of AFI and NBI.
PMID- 21904835
TI - [Congenital and endogenous endocrine myopathy].
AB - Disorders in endocrinological pathways rarely lead to manifest acquired or
endogenous myopathy so that an interdisciplinary evaluation between neurology and
endocrinology is essential for these disorders. Asymptomatic or forme fruste
variants may be more common and even underdiagnosed in these circumstances.
Dysbalance disorders of protein synthesis, electrolytes and carbohydrates can
lead to several rare forms of myopathy due to the dependence on hormonal
metabolism. In general, the main neuromuscular symptom is proximal weakness,
sometimes in addition to myalgia and muscle atrophy. Endocrine myopathies are
usually reversible by treatment of the underlying disease. The severity of the
endocrinopathy is of fundamental importance for the long-term clinical outcome.
PMID- 21904834
TI - Malignant tumor-like gastric lesion due to Candida albicans in a diabetic patient
treated with cyclosporin: a case report and review of the literature.
AB - The gastrointestinal tract of healthy individuals is colonized by hundreds of
saprophytes and mycetes, especially the Candida species, are habitual ones. Under
certain conditions, the fungal flora may overgrow, resulting in lesions of the
digestive mucosa which, rarely, can have a local diffusion and/or spread to the
lympho-hematogenous system. Mycotic infections of the stomach can sometimes look
like benign gastric ulcers. Here, we present the case report of a woman, aged 64,
who presented with type II diabetes mellitus and psoriasis, on chronic treatment
with cyclosporin A and with endoscopic evidence of an ulcerated, vegetating
gastric lesion secondary to Candida albicans infection. Although strongly
suggestive of malignancy, it completely healed after cyclosporin withdrawal and
the administration of oral antifungal drugs.
PMID- 21904836
TI - Genetic variants of MnSOD and GPX1 and susceptibility to bladder cancer in a
Turkish population.
AB - This study was conducted to investigate the association of genetic polymorphisms
in the MnSOD and GPX1 genes with the risk and invasiveness of bladder cancer in a
Turkish population. This prospectively designed study enrolled 157 patients with
bladder cancer (mean age 63.2 +/- 10.86 years) and 224 healthy controls (mean age
61.7 +/- 8.39 years). Genotyping of the MnSOD Ala-9Val and GPX1 Pro198Leu
polymorphisms was carried out by PCR-RFLP. No significant difference was found in
MnSOD genotype distributions between the controls and the bladder cancer
patients. However, the Leu/Leu genotype of GPX1 was associated with a
significantly higher risk of bladder cancer than the Pro/Pro genotype. When
stratified according to tumor stage, the Leu/Leu genotype of GPX1 was more
frequently observed in bladder cancer patients with high-stage tumors than those
with low-stage tumors. Additionally, patients carrying both Ala/Ala of MnSOD and
Leu/Leu of GPX1 had the highest risk of developing bladder cancer. In conclusion,
the present study indicates that the GPX1 Pro198Leu polymorphism may be
associated with the risk and development of invasive bladder cancer. In addition,
the combination of the MnSOD Ala/Ala and GPX1 Leu/Leu genotypes may have a
synergistic effect on disease risk.
PMID- 21904837
TI - [Stereometric parameters of the optic disc. Comparison between a simultaneous non
mydriatic stereoscopic fundus camera (KOWA WX 3D) and the Heidelberg scanning
laser ophthalmoscope (HRT IIII)].
AB - BACKGROUND: The Heidelberg retina tomograph (HRTIII, Heidelberg Engineering,
Germany) in conjunction with the Moorfields regression analysis (MRA) allows
monitoring for the progression of early damage to the optic nerve suspicious of
early stage glaucoma. The confocal scanning laser ophthalmoscope provides
clinicians with an objective and reproducible analysis of morphological
parameters of the optic disc. Margins of the optic disc are approximated with a
contour line to calculate the stereometric parameters leading to interobserver
and intraobserver variability of the MRA. New devices enabling 3D fundus
photography might be an alternative to the established HRT. It was the goal of
this study to compare the methods by assessing the differences in the topographic
parameters obtained by the HRT and the Kowa nonmyd WX 3D (2D/3D non-mydriatic
retinal camera, Kowa, Japan) in a representative sample. METHODS: This
retrospective study included 45 eyes of normal patients, 40 eyes of patients with
macropapillae and 45 eyes of glaucoma patients. Each patient underwent an HRT
examination and fundus photography with the Kowa nonmyd WX 3D on the same day.
Excluded from the study were eyes with hazy media (cornea, lens, vitreous) or
refractive anomalies higher than >4 dpt or astigmatisms >2 dpt. Eyes with
previous refractive surgery history or other retinal diseases affecting the optic
nerve were also excluded from the study. Bland-Altman plots were used for
statistical evaluation. Distribution of parameters was described by 95%
confidence intervals (CI). RESULTS: In normal eyes (n=45) a mean difference in
the disc area of 0.33 mm(2) was found (95 % confidence interval CI: 0.22-0.43),
in the cup-disc ratio (CDR) of 0.02 (95% CI: -0.06-0.14), in the cup volume of
0.03 mm(3) (95% CI: -0.04-0.01), in the rim volume of 0.04 mm(3) (95%-CI: -0.04
0.13) and in the maximum cup depth of 0.28 mm (95 %-CI: 0.34-0.23). All
differences, except for the rim volume, were statistically significant (p<0.05).
Patients exhibiting a macropapilla (n=40) displayed a mean difference of 0.03
mm(2) (95 % CI: -0.18-0.11) for the disc area, a difference in CDR of 0.09 (95%
CI: -0.05-0.13), a difference in maximum cup depth of 0.28 mm (95% CI: 0.23-0.34)
and a cup volume of 0.14 mm(3) (95%-CI: 0.10-0.18). In addition, there were no
significant differences in rim volume (difference: -0.02 mm(3), 95% CI: -0.07
0.12) or in disc area. In glaucomatous eyes (n=45), the mean difference for cup
area was 0.33 mm(2) (95% CI: 0.22-0.43), an area of 0.09 mm(2) (95% CI: 0.06
0.13) for the CDR, -0.03 mm(3) (95 % CI: -0.09-0.02) for the cup volume and 0.08
mm(3) (95% CI: 0.03-0.13) for the rim volume. Mean maximum cup depth difference
was 0.25 mm (95% CI: 0.20-0.31). Mean differences in CDR, maximum cup depth and
cup area were all statistically significant. The mean differences did not exceed
the interobserver and intraobserver variability found in HRT measurements of
other studies. CONCLUSIONS: To the best of our knowledge this study is the first
comparing optic disc parameters of HRT and 3D photography. Mean differences in
stereometric parameters did not exceed the known interobserver and intraobserver
variability. The combination of non-mydriatic fundus photography and optic disc
analysis is a very attractive and time-saving method. However, before progression
of early glaucoma can be monitored or suspected glaucoma can be appraised over
longer time periods, further studies are needed to clarify test and retest
variability.
PMID- 21904838
TI - [Blind spot enlargement syndrome in acute zonal occult outer retinopathy with
detection of autoantibodies against the retinal antigens CRALBP and S-Ag].
AB - Acute zonal occult outer retinopathy (AZOOR) is a rare disease and is part of the
white dot syndrome occurring bilaterally and often asymmetrically in young
healthy myopic women. Characteristic findings are distinct focal lesions of the
outer segments (OS) of the photoreceptor (PR) layer and abnormalities in fundus
autofluorescence (FAF) within the lesions. Currently there is a lack of defined
disease criteria, such as specific laboratory findings. Also no effective therapy
is known which makes it difficult to diagnose, differentiate and treat AZOOR.
Supplementation of antioxidants may become part of therapeutic options in AZOOR.
A 19-year-old myopic woman presented with unilaterally reduced visual acuity. Due
to the clinical features and with the help of FAF, spectral domain optical
coherence tomography (SD-OCT) and perimetry the diagnosis of blind spot
enlargement syndrome in AZOOR was made. Identification of autoantibodies specific
for two retinal antigens (CRALBP and S-Ag) supports the concept of an
autoimmunological origin of the disease. Systemic steroids were given but stopped
almost 6 weeks later as no improvement was seen. In follow-up controls over 12
months the clinical picture remained unchanged without any further therapy.
PMID- 21904839
TI - Improvement of bowel dysfunction with sacral neuromodulation for refractory urge
urinary incontinence.
AB - INTRODUCTION AND HYPOTHESIS: Sacral nerve stimulation (SNS) is approved for
urologic indications in the USA and, recently, fecal incontinence. This study
described concomitant bowel dysfunction and improvements in bowel and urinary
symptoms and quality of life (QOL) in women with refractory urge urinary
incontinence (UUI) receiving SNS. METHODS: Women (N = 36) with refractory UUI
receiving SNS were prospectively enrolled. Surveys and exams were completed at
baseline and follow-up, with symptom and QOL scores measured using validated
scales (0-100, none-worst). RESULTS: A total 24 women were followed up at a
median of 4.0 months post-implantation. Of these, 20 (83%) had bowel dysfunction,
13 (54%) used bowel medications at baseline, and 11 (45%) continued them after
SNS. The mean/median urinary (54.8 to 32.6) and bowel (23.4 to 14.1) symptom
scores improved significantly, as did urinary (64.2 to 14.3) but not bowel (2.4
to 0.0) QOL scores. CONCLUSIONS: Bowel dysfunction is common in women with
refractory UUI. SNS improves urinary symptoms and QOL, but improvement in bowel
symptoms does not translate into significant QOL changes.
PMID- 21904841
TI - Presence of endothelial colony-forming cells is associated with reduced
microvascular obstruction limiting infarct size and left ventricular remodelling
in patients with acute myocardial infarction.
AB - Endothelial colony-forming cells (ECFCs) are known to increase after acute
myocardial infarction (AMI). We examined whether the presence of ECFCs is
associated with preserved microvascular integrity in the myocardium at risk by
reducing microvascular obstruction (MVO). We enrolled 88 patients with a first ST
elevation AMI. ECFC colonies and circulating progenitor cells were characterized
at admission. MVO was evaluated at 5 days and infarct size at 5 days and at 6
month follow-up by magnetic resonance imaging. ECFC colonies were detected in 40
patients (ECFC(pos) patients). At 5 days, MVO was of greater magnitude in
ECFC(neg) versus ECFC(pos) patients (7.7 +/- 5.3 vs. 3.2 +/- 5%, p = 0.0002). At
6 months, in ECFC(pos) patients, there was a greater reduction in infarct size (
32.4 +/- 33 vs. -12.8 +/- 24%; p = 0.003) and a significant improvement in left
ventricular (LV) volumes and ejection fraction. Level of circulating CD34+/VEGF
R2+ cells was correlated with the number of ECFC colonies (r = 0.54, p < 0.001)
and relative change in infarct size (r = 0.71, p < 0.0001). The results showed
that the presence of ECFC colonies is associated with reduced MVO after AMI,
leading to reduced infarct size and less LV remodelling and can be considered a
marker of preserved microvascular integrity in AMI patients.
PMID- 21904840
TI - Impact of intravesical hyaluronic acid and chondroitin sulfate on bladder pain
syndrome/interstitial cystitis.
AB - INTRODUCTION AND HYPOTHESIS: Intravesical instillations of hyaluronic acid (HA)
and chondroitin sulfate (CS) may lead to regeneration of the damaged
glycosaminoglycan layer in interstitial cystitis/bladder pain syndrome (IC/BPS).
METHODS: Twenty-two patients with IC/BPS received intravesical instillations (40
ml) of sodium HA 1.6% and CS 2.0% in 0.9% saline solution (IALURIL, IBSA) once
weekly for 8 weeks, then once every 2 weeks for the next 6 months. RESULTS: The
score for urgency was reduced from 6.5 to 3.6 (p = 0.0001), with a reduction in
pain scores from an average of 5.6 to 3.2 (p = 0.0001). The average urine volume
increased from 129.7 to 162 ml (p < 0.0001), with a reduction in the number of
voids in 24 h, from 14 to 11.6 (p < 0.0001). The IC Symptom and Problem Index
decreased from 25.7 to 20.3 (p < 0.0001), and the Pain Urgency Frequency score,
from 18.7 to 12.8 (p < 0.0001). CONCLUSION: The treatment appeared to be
effective and well tolerated in IC/BPS in this initial experience.
PMID- 21904842
TI - Thrombotic complications in multiple myeloma: a report of three cases and review
of the literature.
AB - The risk of venous thromboembolism (VTE) increases in the presence of plasma cell
dyscrasias. Monoclonal gammopathy of undetermined significance (MGUS) and
multiple myeloma (MM) share an intrinsic increased risk of VTE. Treatment with
thalidomide and lenalidomide further increases the incidence of VTE in certain MM
patient subsets. The pathogenesis remains unclear, but probably involves several
factors such as activation of procoagulant factors, acquired activated protein C
resistance, and inflammation. In addition to general risk factors for VTE, such
as older age, immobility, surgery, and inherited thrombophilia, there are some MM
specific and treatment-related factors that contribute to the increased risk. The
risk for VTE is high under treatment with thalidomide or lenalidomide in
combination with dexamethasone or multi-agent chemotherapy. We report 3 cases of
MM with VTE with review of the literature. This review highlights the risk
factors for VTE in MM and general, disease-specific and treatment-related
mechanisms for thrombosis.
PMID- 21904843
TI - Acute kidney injury as the first sign of spontaneous renal vein thrombosis:
report of 2 cases.
AB - Spontaneous renal vein thrombosis (RVT) is very rare in the absence of nephrotic
syndrome. It is more common in newborns and infants. RVT should always be
included in the differential diagnosis of flank pain and hematuria, and because
RVT can induce acute renal injury. A 19-year-old man was admitted to our hospital
because he complained of right flank pain and oliguria for 3 days. Another
patient, a 24-year-old man, complained of a severe and sudden onset of bilateral
flank pain and anuria for a day. They were both healthy before they developed the
described symptoms and had different levels of decrease in renal function when
they visited the hospital. Color Doppler ultrasonography revealed RVT in both the
patients. The patients received therapy, including anticoagulation and
thrombolysis, following their diagnoses, and they recovered in a few days.
PMID- 21904844
TI - The reciprocal ledge closing wedge osteotomy for post traumatic coxa vara.
AB - To report a proximal femoral osteotomy with retention of bone ledges in a
reciprocal position to increase bone contact and stability. The method was
applied to 5 patients over a 3-year period. All patients had coxa vara. The
average length gained was 1.5 cm, and the average neck shaft angle improvement
was 30 degrees . The Harris hip score improved from an average of 63 to 82. The
reciprocal ledge osteotomy is technically less demanding and also allows
conversion of normal shear forces around the upper femur to stabilizing forces.
This method allows easier use of the DHS implant as potential rotation about the
axis of the screw is negated by the ledges and the dynamic forces.
PMID- 21904845
TI - Mining and validation of pyrosequenced simple sequence repeats (SSRs) from
American cranberry (Vaccinium macrocarpon Ait.).
AB - The American cranberry (Vaccinium macrocarpon Ait.) is a major commercial fruit
crop in North America, but limited genetic resources have been developed for the
species. Furthermore, the paucity of codominant DNA markers has hampered the
advance of genetic research in cranberry and the Ericaceae family in general.
Therefore, we used Roche 454 sequencing technology to perform low-coverage whole
genome shotgun sequencing of the cranberry cultivar 'HyRed'. After de novo
assembly, the obtained sequence covered 266.3 Mb of the estimated 540-590 Mb in
cranberry genome. A total of 107,244 SSR loci were detected with an overall
density across the genome of 403 SSR/Mb. The AG repeat was the most frequent
motif in cranberry accounting for 35% of all SSRs and together with AAG and AAAT
accounted for 46% of all loci discovered. To validate the SSR loci, we designed
96 primer-pairs using contig sequence data containing perfect SSR repeats, and
studied the genetic diversity of 25 cranberry genotypes. We identified 48
polymorphic SSR loci with 2-15 alleles per locus for a total of 323 alleles in
the 25 cranberry genotypes. Genetic clustering by principal coordinates and
genetic structure analyzes confirmed the heterogeneous nature of cranberries. The
parentage composition of several hybrid cultivars was evident from the structure
analyzes. Whole genome shotgun 454 sequencing was a cost-effective and efficient
way to identify numerous SSR repeats in the cranberry sequence for marker
development.
PMID- 21904846
TI - Development of marker sets useful in the early selection of Ren4 powdery mildew
resistance and seedlessness for table and raisin grape breeding.
AB - The single, dominant powdery mildew resistance locus Ren4 from Vitis romanetii
prevents hyphal growth by Erysiphe necator. Previously, we showed that when
introgressed into V. vinifera in the modified BC(2) population 03-3004, Ren4 was
linked with the simple sequence repeat marker VMC7f2 on chromosome 18-a marker
that is associated with multiple disease resistance and seedlessness. However, in
the current study, this marker was monomorphic in related breeding populations 05
3010 and 07-3553. To enhance marker-assisted selection at this locus, we
developed multiplexed SNP markers using three approaches: conversion of bulked
segregant analysis AFLP markers, sequencing of candidate genes and regions
flanking known V. vinifera SNPs, and hybridization to the Vitis9KSNP genotyping
array. The Vitis9KSNP array was more cost-efficient than all other approaches
tested for marker discovery and genotyping, enabling the genotyping of 1317
informative SNPs within the span of 1 week and at a cost of 11 cents per SNP.
From a total of 1,446 high quality, informative markers segregating in 03-3004,
we developed a haplotype signature of 15 multiplexed SNP markers linked with Ren4
in 03-3004, 5 of which were linked in 05-3010, and 6 of which were linked in 07
3553. Two of these populations segregated for seedlessness, which was tightly
linked with Ren4 in 03-3004 (2 cM) but not in 05-3010 (22 cM). Chromosomal
rearrangements were detected among these three populations and the reference
genome PN40024. Since this is the first application of the Vitis9KSNP array in a
breeding program, some suggestions are provided for application of genotyping
arrays. Our results provide novel markers for tracking and pyramiding this unique
resistance gene and for further functional characterization of this region on
chromosome 18 encoding multiple disease resistance and seedlessness.
PMID- 21904847
TI - Treatment of atrial fibrillation in the elderly: time for a change?
PMID- 21904848
TI - Invasive coronary imaging in animal models of atherosclerosis.
PMID- 21904849
TI - Characterisation of a highly pathogenic H5N1 clade 2.3.2 influenza virus isolated
from swans in Shanghai, China.
AB - In spring 2009, one strain of H5N1 clade 2.3.2 virus was isolated from wild swans
in Shanghai, indicating the importance of the wild swan in the ecology of this
highly pathogenic avian influenza virus (HPAIV) in Eastern China. Pathogenicity
experiments conducted in this study indicated that the virus was highly
pathogenic for chickens but lowly pathogenic for mammalian hosts, as evidenced by
reduced infection of mice. The analysis of complete genome sequences and genetic
evolution showed that A/Swan/Shanghai/10/09 (SW/SH/09) may be derived from the
strain A/silky chicken/Shantou/475/2004 (CK/ST/04), which is homologous to the
influenza viruses isolated from chicken, duck, pika, little egret, swan, mandarin
duck and bar-headed goose in China Hunan, China Qinghai, Mongolia, Russia, Japan,
Korea, Laos and Hong Kong during 2007-2011, indicating that the virus has retro
infected diverse wild birds from chicken, and significant spread of the virus is
still ongoing through overlapping migratory flyways. On the basis of the
molecular analysis, we also found that there was a deletion of the glycosylation
site (NSS) in amino acid 156 of the hemagglutinin (HA) protein when compared with
that of the other Clade 2.3.2 viruses isolated between 2007 and 2011. More
importantly, the sequence analysis of SW/SH/09 virus displayed the drug-resistant
mutations on the matrix protein (M2) and neuraminidase (NA) genes.
PMID- 21904853
TI - TET2, ASXL1, IDH1, IDH2, and c-CBL genes in JAK2- and MPL-negative
myeloproliferative neoplasms.
AB - Mutations in the TET2 and ASXL1 genes have been described in approximately 14%
and 8% of patients, respectively, with classic myeloproliferative neoplasms
(MPN), but their role as possible new diagnostic molecular markers is still
inconclusive. In addition, other genes such as IDH1, IDH2, and c-CBL have also
been reported in several myeloid neoplasms. We have studied the mutational status
of TET2 (complete coding region), ASXL1 (exon12), IDH1 (R132), IDH2 (R140 and
R172), and c-CBL (exons 8 and 9) in 62 MPN patients (52 essential thrombocythemia
(ET), five polycythemia vera (PV), and five primary myelofibrosis (PMF)) negative
for both JAK2 (V617F and exon 12) and MPL (exon 10) mutations. Pathogenic
alterations in the TET2 gene were detected in three out 52 ET cases (4.8%). ASXL1
gene pathogenic mutations were also detected in three cases (two ET and one PMF).
One ET patient harbored, simultaneously, one TET2 and one ASXL1 mutations.
Mutations in the TET2 and ASXL1 genes showed no association with the JAK2 46/1
haplotype. Analysis of a JAK2V617F-positive cohort of 50 ET patients showed no
mutations in either the TET2 or ASXL1 genes. Regarding IDH1, IDH2, and c-CBL
genes, no mutations were found in any patient. In conclusion, TET2 and ASXL1
pathogenic mutations are found in 8% of MPN lacking JAK2 and MPL mutations,
whereas IDH1, IDH2, and c-CBL mutations are not detected in this subset of
patients.
PMID- 21904850
TI - Serum cadmium levels are independently associated with endothelial function in
hemodialysis patients.
AB - OBJECTIVE: Hemodialysis (HD) patients are at risk of deficiency of essential
trace elements and excess of toxic trace elements. The aim of the study was to
evaluate the relation between the serum levels of some trace elements and heavy
metals (iron, zinc, manganese, copper, magnesium, cobalt, cadmium, and lead) and
endothelial function in HD patients. METHODS: Forty-eight chronic HD patients
without known atherosclerotic disease and 42 age- and sex-matched healthy
individuals were included in the study. The serum levels of trace elements (iron,
zinc, manganese, copper, and magnesium) and heavy metals (cobalt, cadmium, and
lead) were measured by Atomic Adsorption Spectrophotometer (UNICAM-929). RESULTS:
The serum levels of iron, zinc, and manganese were lower, and levels of copper,
magnesium, cobalt, cadmium, and lead were higher in HD patients compared to
controls. Flow-mediated dilatation (FMD %) in HD patients was lower than that in
the control group (7.27 +/- 0.76 vs. 11.29 +/- 0.82, P < 0.001). There was a
significant negative correlation between FMD % and serum levels of cobalt (r =
0.313, P = 0.03) and cadmium (r = -0.524, P < 0.01). A linear regression analysis
showed that serum cadmium levels were still significantly and negatively
correlated with FMD % (regression coefficient = -0.526, P < 0.001). CONCLUSION:
We first demonstrated that serum cadmium levels independently predict endothelial
function in HD patients without known atherosclerotic disease.
PMID- 21904855
TI - Submental lymph node metastasis from invasive ductal breast carcinoma.
AB - This case report presents a 52-year-old woman with a submental swelling which
arose 3 years after wide local excision and axillary lymphadenectomy for breast
carcinoma. Histopathological examination after excision biopsy of this lesion
confirmed the presence of invasive breast carcinoma in a submental lymph node.
Computed tomography of the head, neck, thorax, abdomen and pelvis demonstrated
multiple brain and pulmonary metastases. Further management was palliative and
the patient died 5 months later. This is the first description of a breast
carcinoma metastasising to the submental region. Metastatic breast carcinoma
should be considered in the differential diagnosis of head and neck
lymphadenopathy in patients with previously diagnosed breast carcinoma.
PMID- 21904854
TI - Uterine arteriovenous malformations induced after diagnostic curettage: a
systematic review.
AB - PURPOSE: To perform an extensive systematic review to examine all the available
literature reporting iatrogenic acquired arteriovenous malformation (AVM) induced
after diagnostic curettage and to describe a further case of a 34-year-old woman
presenting with acute vaginal bleeding due to AVM induced after uterine curettage
for termination of pregnancy. METHODS: We searched the electronic databases:
MEDLINE (1950-2011), Embase (1980-2011), Cochrane Library (2004-2011), Cinahl
(1981-2011), Popline (2004-2011). RESULTS: Initial search extracted 333 relevant
articles. Final assessment resulted to the inclusion of 91 studies, 85 case
reports and 6 observational studies. Studies are dated between 1954 and 2011. A
metanalysis of the 85 case reports reporting 100 patients was performed. The mean
age of the women diagnosed with AVM was 30 +/- 9.1 years, range (16-72) years, 96
women were premenopausal (96%) and 4 were postmenopausal (4%). Ultrasound imaging
was applied in 86 patients (86%), and ultrasound combined with angiography was
performed in 51 patients (51%). Uterine artery embolization (UAE) was the most
common treatment option performed in 59 patients (59%). Total abdominal
hysterectomy was performed in 29 patients (29%). Spontaneous resolution of AVM
occurred in six patients (6%). In 17 patients (17%), recurrence occurred after
treatment with UAE. Twenty-four articles reported pregnancies in 27 patients
(27%). CONCLUSION: Ultrasound imaging with appropriate knowledge of color Doppler
features minimizes the use of inappropriate interventional procedures such as
diagnostic curettage. UAE is effective in treatment, and rarely leads to
complications.
PMID- 21904856
TI - Biomarker responses in fish exposed to sediments from northern Taihu Lake.
AB - Our study investigated multiple biomarker responses of goldfish exposed to
sediments collected from northern Taihu Lake. The activities of
acetylcholinesterase, 7-ethoxyresorufin-O-deethylase, glutathione-S-transferase
and superoxide dismutase did not differ significantly from controls following
exposure to sediment from the center of the lake. However, sediment collected
from the northern bays did significantly alter enzymatic activities. An
integrated biomarker response (IBR) was calculated and used to evaluate the
impact of pollutants from different stations. The results indicated that Mashan
in Meiliang Bay and Xiaogongshan in Gong Bay were the most stressful places for
fish. Sediment polychlorinated biphenyl and polybrominated diphenyl ether
concentrations were associated with IBR variation.
PMID- 21904857
TI - The effect of a whole-system approach in an antimicrobial stewardship programme
at the Singapore General Hospital.
AB - Inappropriate antibiotic use contributes to antimicrobial resistance. Multi
faceted antimicrobial stewardship programmes (ASPs) are recommended for
sustainable changes in prescribing practices. A multi-disciplinary ASP was
established in October 2008 and piloted in the Departments of General Surgery,
Renal Medicine and Endocrinology sequentially. To improve the quality of patient
care via optimising the (1) choice, (2) dose, (3) route and (4) duration of
antibiotics, a "whole-system" approach incorporating prospective review with
immediate concurrent feedback (ICF), prescriber education (public or
individualised), de-escalation of therapy, dose optimisation and parenteral-to
oral conversion, while recognising the autonomy of primary prescribers, was
adopted. The audited department received a quarterly outcomes report and any
common unaccepted practices would be addressed. Outcomes were analysed for 12
months post-ASP implementation. A total of 1,535 antibiotic prescriptions were
reviewed. Antimicrobial use in 376 (24.5%) prescriptions was inappropriate. Of
596 interventions made, 70.2% were accepted. A reduction in audited antibiotics
consumption resulted in acquisition cost savings of S$198,575 for the hospital.
Patients' cost-savings attributable to ASP-initiated interventions were $91,194.
The overall all-cause mortality rate and median monthly inpatient-days pre- and
post-intervention remained stable. A "whole-system" ASP was effective in
optimising antibiotic use in our hospital, without compromising clinical
outcomes.
PMID- 21904858
TI - Utility of the Etest GRD for detecting Staphylococcus aureus with reduced
susceptibility to glycopeptides in cystic fibrosis patients.
AB - Glycopeptide-intermediate S. aureus (GISA), particularly heterogeneous GISA
(hGISA), remain difficult to detect in the routine practice of medical
microbiology. Novel tools have been evaluated comparatively to the population
analysis profile-area under the curve (PAP-AUC) reference method for detecting
GISA/hGISA. Among them, the Etest GRD showed relatively high specificity (85.8
97%) and negative predictive value (97%) but lower sensibility (57-95%) and
positive predictive value (30.8%). We investigated the utility of the Etest GRD
for detecting GISA/hGISA among 180 strains isolated from 106 cystic fibrosis (CF)
patients. Etest GRD was performed on all isolates, and those exhibiting a
GISA/hGISA phenotype were further tested by PAP-AUC and other agar routine assays
for GISA/hGISA detection. The Etest GRD allowed the detection of 15 GISA/hGISA
strains, of which eight were confirmed by the reference method. Despite the 3.9%
level of false positive results, the Etest GRD constitutes a useful routine tool
for detecting GISA/hGISA overlooked by other routine assays, two strains being
detected by the Etest GRD only. GISA/hGISA represented 7.7% of MRSA and 2.1% of
MSSA, and were found in 4.7% of CF patients colonized/infected by S. aureus,
which is the highest rate reported to date in this population.
PMID- 21904859
TI - Comparison of sterilization of reusable endoscopic biopsy forceps by autoclaving
and ethylene oxide gas.
AB - BACKGROUND AND AIMS: Every country has standardized reprocessing guidelines for
reducing the risk of microorganism transmission via reusable biopsy forceps.
Sterilization is performed either by autoclaving or with the use of ethylene
oxide (EO) gas. However, there are no clear standard global recommendations. The
aim of this study was to determine whether EO gas or autoclaving is a safer and
more effective method for the sterilization of reusable forceps. METHODS: This
was a prospective study conducted at multiple tertiary referral centers. Seventy
reusable biopsy forceps that had been reused at least 20 times each were
collected from six endoscopy centers. In all, 61 forceps from five centers were
sterilized using EO gas, and the nine forceps from the remaining center were
placed in an autoclave. We performed real-time polymerase chain reaction (RT-PCR)
for Mycobacterium tuberculosis and hepatitis B virus and performed bacterial
cultures on the reusable forceps, which were cut into 2- to 3-cm sections. The
forceps were also scanned with an electron microscope (EM) to detect surface
damage and contamination. RESULTS: Escherichia coli bacteria were cultured from 2
of the 61 (3.3%) reusable biopsy forceps sterilized with EO gas. On EM scanning,
abundant debris and tissue materials remained on the cup surfaces of the reused
biopsy forceps and on their inner wires. No microorganisms were found on the
autoclaved forceps. CONCLUSIONS: Sterilization with EO gas may be inadequate
because the complicated structure of the forceps may interfere with
sterilization. Therefore, for optimum safety, reusable biopsy forceps should be
sterilized by autoclaving.
PMID- 21904860
TI - Preventing young children's injuries: analysis of data from a population-based
surveillance.
AB - The objective of this study is to determine prevention strategies for potentially
serious injury events among children younger than 3 years of age based upon
circumstances surrounding injury events. Surveillance was conducted on all
injuries to District of Columbia (DC) residents less than 3 years old that
resulted in an Emergency Department (ED) visit, hospitalization, or death for 1
year. Data were collected through abstraction of medical records and interviews
with a subset of parents of injured children. Investigators coded injury-related
events for the potential for death or disability. Potential prevention strategies
were then determined for all injury events that had at least a moderate potential
for death or disability and sufficient detail for coding (n = 425). Injury
related events included 10 deaths, 163 hospitalizations, and 2,868 ED visits
(3,041 events in total). Of the hospitalizations, 88% were coded as moderate or
high potential for disability or death, versus only 21% of the coded ED visits.
For potentially serious events, environmental change strategies were identified
for 47%, behavior change strategies for 77%, and policy change strategies for
24%. For 46% of the events more than one type of prevention strategy was
identified. Only 8% had no identifiable prevention strategy. Prevention
strategies varied by specific cause of injury. Potential prevention strategies
were identifiable for nearly all potentially serious injury events, with multiple
potential prevention strategies identified for a large fraction of the events.
These findings support developing multifaceted prevention approaches informed by
community-based injury surveillance.
PMID- 21904861
TI - Epidemiology and cost of ventral hernia repair: making the case for hernia
research.
AB - PURPOSE: Ventral hernia repair (VHR) lacks standardization of care and exhibits
variation in delivery. Complications of VHR, notably recurrence and infection,
increase costs. Efforts at obtaining federal funding for VHR research are
frequently unsuccessful, in part due to misperceptions that VHR is not a clinical
challenge and has minimal impact on healthcare resources. We analyzed national
trends for VHR performance and associated costs to demonstrate potential savings
resulting from an improvement in outcomes. METHODS: Inpatient non-federal
discharges for VHR were identified from the 2001-2006 Healthcare Cost and
Utilization Project, supplemented by the Center for Disease Control 2006 National
Survey of Ambulatory Surgery for outpatient estimates. The total number of VHRs
performed in the US was estimated along with associated costs. Costs were
standardized to 2010 US dollars using the Consumer Price Index and reported as
mean with 95% confidence intervals (95% CI). RESULTS: The number of inpatient
VHRs increased from 126,548 in 2001 to 154,278 in 2006. Including 193,543
outpatient operations, an estimated 348,000 VHRs were performed for 2006.
Inpatient costs consistently rose with 2006 costs estimated at US $15,899 (95% CI
$15,394-$16,404) per operation. Estimated cost for outpatient VHR was US $3,873
(95% CI $2,788-$4,958). The total cost of VHR for 2006 was US $3.2 billion.
CONCLUSIONS: VHRs continue to rise in incidence and cost. By reducing recurrence
rate alone, a cost saving of US $32 million dollars for each 1% reduction in
operations would result. Further research is necessary for improved understanding
of ventral hernia etiology and treatment and is critical to cost effective
healthcare.
PMID- 21904862
TI - Unreported location and presentation for a parasitic ovarian dermoid cyst in an
indirect inguinal hernia.
AB - Extragonadal mature cystic teratomas (dermoid cysts) have been reported
occasionally, with the most common site being the omentum. We report a rare case
of a parasitic dermoid cyst that was incidentally found in an indirect inguinal
hernia sac in a 66-year-old woman. The right ovary was absent from its proper
anatomical location. Histopathologic study revealed a mature cystic teratoma with
viable ovarian tissue. These findings suggested auto-amputation of the ovary
either by inflammation or torsion.
PMID- 21904863
TI - Organochlorine compounds in red deer (Cervus elaphus L.) and fallow deer (Dama
dama L.) from inland and coastal Croatia.
AB - Polychlorinated biphenyl (PCB) and organochlorine pesticide (OCP) levels in fat
tissue of red and fallow deer (Cervus elaphus L. and Dama dama L.) from two
inland and an Adriatic area were established. Of 17 analysed PCBs, PCB-28, PCB
138, PCB-153, PCB-180 and PCB-118 were found in all samples, whilst PCB-101 and
PCB-170 were found in more than 50% of samples. They ranged between 0.03 and 5.98
ng g(-1) fat weight. Of seven analysed OCPs, HCB, gamma-HCH and 1,1-dichloro-2,2
di(4-chlorophenyl)ethylene (DDE) were found in all samples, whilst beta-HCH was
found in more than 50% of samples. They ranged between 0.17 and 22.14 ng g(-1)
fat weight. The dominating compounds were DDE, PCB-138, PCB153, PCB-118 and PCB
180. According to the Duncan multistage test, the levels of PCB-138, PCB-153, PCB
170 and PCB-180 were significantly higher in perirenal fat samples of specimens
taken from the Adriatic area. DDE was significantly higher in the inland deer
samples. Some species differences were determined and were mostly related to
higher PCB and beta-HCH levels in fallow deer samples and higher DDE levels in
red deer samples. No sex difference was established. As for age, significantly
higher levels of PCB-118 and PCB-52 were found in fawns.
PMID- 21904864
TI - Spontaneous intracranial extradural haematoma associated with frontal sinusitis
and orbital involvement.
AB - Intracranial extradural hematoma is usually traumatic. Rarely, it can occur
spontaneously associated with coagulative disorders (spontaneous or iatrogenic),
dural vascular malformation, cranio-facial tumors and infections. In these cases,
spontaneous extradural hematoma (SEH) is a serious event that needs to be
recognized and managed in time to avoid fatal outcome. The authors report a case
of a 12-year-old young girl with a 3-year history of right frontal sinusitis
treated urgently for a right frontal extradural hematoma involving the orbit.
Diagnosis and management of this case is discussed reviewing the pertinent
literature.
PMID- 21904865
TI - Cough headache secondary to spontaneous intracranial hypotension complicated by
cerebral venous thrombosis.
AB - Cough headache may be the clinical manifestation, sometimes isolated, of an
intracranial disease. There are several possible causes of secondary cough
headache. The hypothesis that cough headache may be the expression of spontaneous
intracranial hypotension has been advanced only recently. In fact, this would
represent an exception to the rule that cough headache is generally secondary to
conditions leading to an increase in intracranial pressure and/or volume. We
report and discuss a case of cough headache secondary to spontaneous intracranial
hypotension in an otherwise healthy 59-year-old man. The condition was
complicated by cerebral venous thrombosis.
PMID- 21904866
TI - Comparative fibril formation of analogs corresponding to the (12-24) segment of
the beta-amyloid peptide.
AB - The (1-42) beta-amyloid peptide is a main component of the plaques found in the
brain of patients suffering from the Alzheimer's disease. As the single
substitution of Glu for Gln at position 22 of this peptide seems to be
responsible for the manifestation of the more severe amyloidosis (Dutch-type), we
decided to evaluate the aggregation characteristics of peptide analogs
interchanging Glu and Gln residues at positions 22 and also 15 in the minor (12
24) (VHHQ(15)KLVFFAE(22)DV) fragment. The Q15Q22, E15E22, E15Q22 and the native
Q15E22 were compared to the (1-42) beta-amyloid peptide in terms of fibril or
structured aggregates formation propensity. In contrast to a rather similar
solubility data measured of all analogs, fluorescence and light scattering
methods indicated that only Q15E22 and Q15Q22 displayed relevant fibril formation
capacity. Conversely, E15E22 and E15Q22 were not capable of the formation of this
type of structure thus suggesting a key role for the Q(15) residue in the unique
aggregation characteristic of the beta-amyloid peptide.
PMID- 21904867
TI - The effects of aging and Alzheimer's disease on associative recognition memory.
AB - We investigated the effects of aging and Alzheimer's disease (AD) on item and
associative recognition memory. Three groups of participants (younger adults,
elderly adults, and AD patients) studied photographs of common objects that were
located on either the left or the right side of a black computer screen inside
either a red or a blue square. In a subsequent old/new recognition memory test,
the participants were presented with four kinds of stimuli: "intact" stimuli,
which were presented as they were during the study phase; "location-altered"
stimuli, which were presented in a different location; "color-altered" stimuli,
which were presented with a different surrounding color; and "new" stimuli, which
consisted of photographs that had not been presented during the study phase.
Compared with younger adults, the older adults showed equivalent performance in
simple item recognition but worse performance in discriminating location-altered
and color-altered stimuli. Compared with older adults, the AD patients showed
equivalent performance in discriminating color-altered stimuli but worse
performance in simple item recognition and the discrimination of location-altered
stimuli. We speculate that distinct structural and functional changes in specific
brain regions that are caused by aging and AD are responsible for the different
patterns of memory impairment.
PMID- 21904868
TI - Selection and constraint on regulatory elements in Drosophila simulans.
AB - We utilized available Drosophila simulans molecular population genomic data to
characterize sequence polymorphism in noncoding regulatory regions and their
corresponding transcribed target genes or interacting transcription factors. We
highlight two properties of regulatory evolution. First, we find that sequence
divergence between D. melanogaster and D. simulans is greater at regulatory sites
than expected from levels of intraspecific polymorphism, suggestive of positive
selection. Second, we compared variation in cis-regulatory regions to that of the
transcription factors and transcribed target genes with which they are
associated. We find a correlation in polymorphism between cis-regulatory regions
and the transcription factors which they bind, but not between cis-regulatory
regions and the physically neighboring target genes which they directly regulate.
This result indicates that the evolution of cis-regulatory elements is
constrained by the interactions among transcription factors and regulatory
regions. More broadly, these findings support the general importance of noncoding
regulatory DNA in evolution.
PMID- 21904869
TI - The demographic, system, and psychosocial origins of mammographic screening
disparities: prediction of initiation versus maintenance screening among
immigrant and non-immigrant women.
AB - Disparities in breast screening are well documented. Less clear are differences
within groups of immigrant and non-immigrant minority women or differences in
adherence to mammography guidelines over time. A sample of 1,364 immigrant and
non-immigrant women (African American, English Caribbean, Haitian, Dominican,
Eastern European, and European American) were recruited using a stratified
cluster-sampling plan. In addition to measuring established predictors of
screening, women reported mammography frequency in the last 10 years and were
(per ACS guidelines at the time) categorized as never, sub-optimal (<1
screen/year), or adherent (1+ screens/year) screeners. Multinomial logistic
regression showed that while ethnicity infrequently predicted the never versus
sub-optimal comparison, English Caribbean, Haitian, and Eastern European women
were less likely to screen systematically over time. Demographics did not predict
the never versus sub-optimal distinction; only regular physician, annual exam,
physician recommendation, and cancer worry showed effects. However, the adherent
categorization was predicted by demographics, was less likely among women without
insurance, a regular physician, or an annual exam, and more likely among women
reporting certain patterns of emotion (low embarrassment and greater worry).
Because regular screening is crucial to breast health, there is a clear need to
consider patterns of screening among immigrant and non-immigrant women as well as
whether the variables predicting the initiation of screening are distinct from
those predicting systematic screening over time.
PMID- 21904870
TI - Solution NMR structure of Dsy0195 homodimer from Desulfitobacterium hafniense:
first structure representative of the YabP domain family of proteins involved in
spore coat assembly.
AB - Protein domain family YabP (PF07873) is a family of small protein domains that
are conserved in a wide range of bacteria and involved in spore coat assembly
during the process of sporulation. The 62-residue fragment of Dsy0195 from
Desulfitobacterium hafniense, which belongs to the YabP family, exists as a
homodimer in solution under the conditions used for structure determination using
NMR spectroscopy. The structure of the Dsy0195 homodimer contains two identical
62-residue monomeric subunits, each consisting of five anti-parallel beta strands
(beta1, 23-29; beta2, 31-38; beta3, 41-46; beta4, 49-59; beta5, 69-80). The
tertiary structure of the Dsy0195 monomer adopts a cylindrical fold composed of
two beta sheets. The two monomer subunits fold into a homodimer about a single C2
symmetry axis, with the interface composed of two anti-parallel beta strands,
beta1-beta1' and beta5b-beta5b', where beta5b refers to the C-terminal half of
the bent beta5 strand, without any domain swapping. Potential functional regions
of the Dsy0195 structure were predicted based on conserved sequence analysis. The
Dsy0195 structure reported here is the first representative structure from the
YabP family.
PMID- 21904871
TI - Important photosynthetic contribution from the non-foliar green organs in cotton
at the late growth stage.
AB - Non-foliar green organs are recognized as important carbon sources after leaves.
However, the contribution of each organ to total yield has not been
comprehensively studied in relation to the time-course of changes in surface area
and photosynthetic activity of different organs at different growth stages. We
studied the contribution of leaves, main stem, bracts and capsule wall in cotton
by measuring their time-course of surface area development, O(2) evolution
capacity and photosynthetic enzyme activity. Because of the early senescence of
leaves, non-foliar organs increased their surface area up to 38.2% of total at
late growth stage. Bracts and capsule wall showed less ontogenetic decrease in
O(2) evolution capacity per area and photosynthetic enzyme activity than leaves
at the late growth stage. The total capacity for O(2) evolution of stalks and
bolls (bracts plus capsule wall) was 12.7 and 23.7% (total ca. 36.4%),
respectively, as estimated by multiplying their surface area by their O(2)
evolution capacity per area. We also kept the bolls (from 15 days after anthesis)
or main stem (at the early full bolling stage) in darkness for comparison with
non-darkened controls. Darkening the bolls and main stem reduced the boll weight
by 24.1 and 9%, respectively, and the seed weight by 35.9 and 16.3%,
respectively. We conclude that non-foliar organs significantly contribute to the
yield at the late growth stage.
PMID- 21904872
TI - AtPTR4 and AtPTR6 are differentially expressed, tonoplast-localized members of
the peptide transporter/nitrate transporter 1 (PTR/NRT1) family.
AB - Members of the peptide transporter/nitrate transporter 1 (PTR/NRT1) family in
plants transport a variety of substrates like nitrate, di- and tripepetides,
auxin and carboxylates. We isolated two members of this family from Arabidopsis,
AtPTR4 and AtPTR6, which are highly homologous to the characterized di- and
tripeptide transporters AtPTR1, AtPTR2 and AtPTR5. All known substrates of
members of the PTR/NRT1 family were tested using heterologous expression in
Saccharomyces cerevisiae mutants and oocytes of Xenopus laevis, but none could be
identified as substrate of AtPTR4 or AtPTR6. AtPTR4 and AtPTR6 show distinct
expression patterns, while AtPTR4 is expressed in the vasculature of the plants,
AtPTR6 is highly expressed in pollen and during senescence. Phylogenetic analyses
revealed that AtPTR2, 4 and 6 belong to one clade of subgoup II, whereas AtPTR1
and 5 are found in a second clade. Like AtPTR2, AtPTR4-GFP and AtPTR6-GFP fusion
proteins are localized at the tonoplast. Vacuolar localization was corroborated
by co-localization of AtPTR2-YFP with the tonoplast marker protein GFP-AtTIP2;1
and AtTIP1;1-GFP. This indicates that the two clades reflect different
intracellular localization at the tonoplast (AtPTR2, 4, 6) and plasma membrane
(AtPTR1, 5), respectively.
PMID- 21904874
TI - Comparative development of heavily asymmetric-cordate gametophytes of Anemia
phyllitidis (Anemiaceae) focusing on meristem behavior.
AB - Development of heavily asymmetric cordate gametophytes of Anemia phyllitidis
(Anemiaceae), one of the schizaeoid ferns, was examined using a sequential
observation technique; epi-illuminated light micrographs of the same growing
gametophytes were taken approximately every 24 h. The apical cell-like wedge
shaped cell was produced once from the terminal cell of a germ filament, but it
stopped dividing soon after production of one or two derivative cells. Without a
functional apical cell, the gametophyte developed by intercalary growth until the
early stage of wing formation, and then the multicellular (pluricellular)
meristem arose from the lower lateral side of the gametophyte. This was in sharp
contrast to the observation that the multicellular meristem forms in place of the
apical cell in typical cordate gametophytes. Loss of the functional apical cell
probably caused a site-shift in the multicellular meristem of the Anemia
phyllitidis gametophyte during evolution from apical to lateral. The results
suggest that apical cell-based and multicellular meristems are primarily
independent of each other. The multicellular meristem produced cells equally in
the distal and proximal directions to form wings in both directions but
proximally produced cells divided much less frequently. As a result, a heavily
asymmetric gametophyte was formed.
PMID- 21904873
TI - Association of tumor necrosis factor-alpha (TNF-alpha) promoter polymorphisms
with overweight/obesity in a Korean population.
AB - OBJECTIVE: Obesity is characterized by the activation of an inflammatory process
leading to an increase in proinflammatory cytokines and adipokines. This study
was designed to investigate the genetic association between tumor necrosis factor
alpha (TNF-alpha) polymorphisms and the risk of obesity in the Korean population.
METHODS: Three single nucleotide polymorphisms [G-238A (rs361525), C-857T
(rs1799724), and C-863A (rs1800630)] in the promoter region of TNF-alpha gene
were analyzed in 123 control [body mass index (BMI) between 18 and 23] and 208
overweight/obese (BMI >= 23) subjects. RESULTS: The mean values of BMI in the
control and overweight/obese groups were 21.1 +/- 1.4 and 25.4 +/- 1.8,
respectively. Of the three SNPs, G-238A presented a significant association with
overweight/obesity in the codominant model; the frequency of the G/G genotype in
the overweight/obese group was 9.3% higher than that in the control group (P =
0.0046). When control and overweight/obesity subjects were combined together and
analyzed, the level of high-density lipoprotein (HDL) was significantly higher in
the C-857T C/C type SNP (P < 0.05). CONCLUSIONS: The results of this study
suggest that the G allele of G-238A in TNF-alpha gene may be a risk factor for
overweight/obesity in the Korean population and that the C allele of C-857T may
be an protective factor in relation to the HDL level in the general Korean
population.
PMID- 21904875
TI - Comparison of wood-inhabiting myxomycetes in subalpine and montane coniferous
forests in the Yatsugatake Mountains of Central Japan.
AB - To demonstrate altitudinal gradients (and resulting temperatures) that affect
myxomycete biodiversity and species composition, we statistically compared
myxomycete assemblages between a subalpine coniferous forest and a montane pine
forest within the region of the Yatsugatake Mountains, Nagano Prefecture, Central
Japan. In summer and autumn field surveys during 2003-2010, 53 myxomycete taxa
(with varieties treated as species) were observed from 639 records of fruiting
bodies in the subalpine forest and 32 taxa were detected from 613 records in the
montane forest. There were 20 species in common between the assemblages and the
percentage similarity index was 0.400. Myxomycete biodiversity was higher in the
subalpine than in the montane forest. Nine myxomycete species were statistically
frequent occurrences in the subalpine forest and appeared in autumn: Lamproderma
columbinum, Cribraria macrocarpa, Trichia botrytis, Physarum newtonii, Diderma
ochraceum, Enteridium splendens, Elaeomyxa cerifera, Trichia verrucosa, and
Colloderma oculatum. Five species were restricted to appear in the subalpine
forest: Cribraria purpurea, Cribraria rufa, Cribraria ferruginea, Cribraria
piriformis, and Lepidoderma tigrinum. Dead wood in the subalpine forest provided
a breeding habitat for specific myxomycetes that inhabit cold areas; that is
those areas having geographical features of decreasing temperature and increasing
elevation, such as the temperate area of Central Japan.
PMID- 21904876
TI - Floral structure of Cardiopteris (Cardiopteridaceae) with special emphasis on the
gynoecium: systematic and evolutionary implications.
AB - Cardiopteris, a small herbaceous genus, had long been placed in its own family,
Cardiopteridaceae. However, the family was recently broadly circumscribed to
include more genera in Aquifoliales. To better understand the morphological
relationships of the genus and the family, I studied the floral anatomy and
development of Cardiopteris using C. quinqueloba. As has been previously
described, flowers are 5-merous with a unilocular gynoecium. I confirmed that the
gynoecium is bicarpellate, possessing two dissimilar styles. An analysis of the
development, structure, and vasculature of the gynoecium showed that it is
pseudomonomerous, consisting of one fertile adaxial carpel and one solid sterile
abaxial carpel. The adaxial carpel forms a thin style with a capitate stigma,
whereas the abaxial carpel has a thick style, which develops into a freshy fruit
appendage. Comparisons with flowers of other genera (Citronella, Gonocaryum, and
Leptaulus) of Cardiopteridaceae as well as the other families (Aquifoliaceae,
Helwingiaceae, Phyllonomaceae, and Stemonuraceae) of Aquifoliales showed that the
pseudomonomerous gynoecium is very likely a synapomorphy to support the sister
group relationship between Cardiopteridaceae and Stemonuraceae. Moreover,
contrary to all previous descriptions, Cardiopteris flowers were found to have an
annular nectariferous disk at the base of the gynoecium.
PMID- 21904878
TI - Insulin contributes to fine-tuning of the pancreatic beta-cell response to
glucagon-like peptide-1.
AB - Glucagon-like peptide-1 (GLP-1) stimulates insulin secretion from pancreatic beta
cells in a glucose-dependent manner. However, factors other than glucose that
regulate the beta-cell response to GLP-1 remain poorly understood. In this study,
we examined the possible involvement of insulin and receptor tyrosine kinase
signaling in regulation of the GLP-1 responsiveness of beta-cells. Pretreatment
of beta-cells with HNMPA, an insulin receptor inhibitor, and AG1478, an epidermal
growth factor receptor inhibitor, further increased the cAMP level and Erk
phosphorylation in the presence of exendin-4 (exe-4), a GLP-1 agonist. When beta
cells were exposed to a high concentration of glucose (25 mM), which stimulates
insulin secretion, exe-4-induced cAMP formation declined gradually as exposure
time was increased. This decreased cAMP formation was not observed in the
presence of HNMPA. HNMPA was able to further increase the exe-4-induced insulin
secretion when beta-cells were exposed to high glucose for 18 h. Treatment of
beta-cells with insulin significantly decreased exe-4-induced cAMP formation in a
dose-dependent manner. Lowering the phospho-Akt level by HNMPA or LY294002, a
PI3K inhibitor, further augmented exe-4-induced cAMP formation and Erk
phosphorylation. These results suggest that insulin contributes to fine-tuning of
the beta-cell response to GLP-1.
PMID- 21904880
TI - Peptidoglycan from Staphylococcus aureus increases MUC5AC gene expression via
RSK1-CREB pathway in human airway epithelial cells.
AB - Respiratory tract exposure to viruses, air pollutants, or bacterial pathogens can
lead to pulmonary diseases. The molecular mechanism of mucous overproduction
increased by these pathogens provides the knowledge for developing new
therapeutic strategies. There is established in vitro data demonstrating that the
overexpression of MUC5AC is induced by peptidoglycan (PGN) derived from
Staphylococcus aureus. However, the mechanisms by which PGN activates MUC5AC gene
expression in the airway remain unclear. The aim of this study was to identify
the mechanism of PGN-induced MUC5AC gene expression. We found that PGN could
induce MUC5AC gene expressions in a time- and dose-dependent manner. Moreover,
activations of ERK1/2 and JNK increased after treatment of cells with PGN,
whereas phosporylation of p38 was undetected. Of these MAPKs, pharmacologic
inhibition of ERK1/2 decreased PGN-induced MUC5AC gene expression. In addition,
we checked the activation of p90 ribosomal S6 kinase 1 (RSK1) as a downstream
signaling target of ERK1/2 in PGN signaling. The activation of RSK1 was prevented
by pretreatment with PD98059. We also found that RSK1 mediated the PGN-induced
phosphorylation of cAMP response element-binding protein (CREB) and the
transcription of MUC5AC. Furthermore, the cAMP-response element (CRE) in the
MUC5AC promoter appears to be important for PGN-induced MUC5AC gene expression in
NCI-H292 cells.
PMID- 21904879
TI - Tcf3 function is required for the inhibition of oligodendroglial fate
specification in the spinal cord of zebrafish embryos.
AB - The generation of various subtypes of neurons and glial cells at the right time
and place is crucial for the proper development of the vertebrate CNS. Although
the mechanisms and factors for the regulation of neuronal diversity in the CNS
have been well studied, the mechanisms regulating the sequential production of
neuronal and glial cells from neural precursors remain poorly understood. This
study shows that Tcf3, a member of the Lef/Tcf family of proteins, is required to
inhibit the premature oligodendroglial fate specification of spinal cord
precursors using the transgenic zebrafish, which expresses a dominant repressor
form of Tcf3 under the control of a heat-shock inducible promoter. In addition,
the data revealed that Tcf3 function in oligodendroglial fate specification is
mediated independently of canonical Wnt signaling. Altogether, these results show
a novel function for Tcf3 in regulating the timing of oligodendroglial fate
specification in the spinal cord.
PMID- 21904881
TI - Negative regulation of pathogenesis in Pseudomonas syringae pv. tabaci 11528 by
ATP-dependent Lon protease.
AB - Pseudomonas syringae pv. tabaci causes wildfire disease in tobacco plants. The
hrp pathogenicity island (hrp PAI) of P. syringae pv. tabaci encodes a type III
secretion system (TTSS) and its regulatory system, which are required for
pathogenesis in plants. Three important regulatory proteins-HrpR, HrpS, and HrpL
have been identified to activate hrp PAI gene expression. The bacterial Lon
protease regulates the expression of various genes. To investigate the regulatory
mechanism of the Lon protease in P. syringae pv. tabaci 11528, we cloned the lon
gene, and then a Deltalon mutant was generated by allelic exchange. lon mutants
showed increased UV sensitivity, which is a typical feature of such mutants. The
Deltalon mutant produced higher levels of tabtoxin than the wild-type. The lacZ
gene was fused with hrpA promoter and activity of beta-galactosidase was measured
in hrp-repressing and hrp-inducing media. The Lon protease functioned as a
negative regulator of hrp PAI under hrp-repressing conditions. We found that
strains with lon disruption elicited the host defense system more rapidly and
strongly than the wild-type strain, suggesting that the Lon protease is essential
for systemic pathogenesis.
PMID- 21904882
TI - Milk yield estimation of Ogaden cattle breed based on methods of weigh-suckle
weigh and calves' growth.
AB - Daily milk yield of Ogaden cattle was estimated from milk suckled by 269 calves
born and maintained between 1994 and 2004 at Haramaya University Beef Farm,
Ethiopia. The weigh-suckle-weigh method and the growth of calves were used to
estimate the yield. Mean predicted daily milk yield of the breed based on the
weigh-suckle-weigh method was 4.39 +/- 0.03 kg day(-1). Daily milk yield
estimated from the growth of calves up to 3 months of age was 4.59 +/- 1.43 kg
day(-1). The milk yield was significantly affected by seasons of calving (p <
0.001), parities of the dams (p < 0.05), weeks of lactation (p < 0.001) and birth
weights of calves (p < 0.05). A relatively higher milk yield was observed from
cows calved during the long rain season. A higher milk yield was produced by cows
in fifth parity. Heavier calves at birth suckled more milk than lighter calves.
Daily milk yield was significantly higher in 3-6 weeks of lactation. The result
of this study indicated that the milk yield produced by Ogaden breed was
relatively higher than the yield of some Zebu breed measured by partial suckling
system in Ethiopia and was comparable to the yield from Zebu cattle in the
tropics estimated by the same method.
PMID- 21904883
TI - A prospective study of aromatase inhibitor therapy, vitamin D, C-reactive protein
and musculoskeletal symptoms.
AB - This study compared type, severity and location of musculoskeletal symptoms and
associations with 25-hydroxyvitamin D (25(OH)D) and C-reactive protein (CRP)
concentrations between women initiating aromatase inhibitor (AI) therapy and an
unexposed comparison group. A 6-month prospective cohort study was conducted,
enrolling 100 breast cancer patients prior to initiating AI treatment and an
unexposed comparison group of 200 postmenopausal women. Multivariate associations
were assessed with generalized linear models. At baseline, 55% of breast cancer
patients and 63% of the comparison group reported any musculoskeletal symptoms.
Among the unexposed group, prevalence and severity of symptoms remained constant
with no statistically significant change over 6 months. Among breast cancer
patients, but not unexposed women, the pain severity score significantly
increased over the 6 month period for joint (P (trend) < 0.001), muscle (P
(trend) = 0.004), and bone pain (P (trend) = 0.01). Women treated with AIs were
more likely to report pain in wrists/palms (63% at 6 months) compared to
unexposed women (31% at 6 months) (P < 0.001). 25(OH)D concentrations increased
over the study period among breast cancer patients (P (trend) = 0.004). An
increase in pain severity and prevalence was observed among breast cancer
patients despite an increase in 25 (OH)D concentration. CRP concentrations were
not associated with symptoms. Musculoskeletal symptoms are common among
postmenopausal women. Breast cancer patients initiating AI treatment were at
increased risk for developing new onset and more severe joint, muscle and bone
pain compared to unexposed women, with a distinct distribution. AI-associated
symptoms were not associated with 25(OH)D or CRP concentrations.
PMID- 21904884
TI - Interventions for sexual problems following treatment for breast cancer: a
systematic review.
AB - Sexual functioning is an important element of quality of life. Many women
experience sexual problems as a result of a breast cancer diagnosis and its
treatment. Little is known about the availability and the effectiveness of
interventions for sexual problems in this patient population. Six electronic
databases were searched using Medical Subject Headings and keywords. Additional
hand searching of the references of relevant papers was also conducted. The
searches were conducted between October 2010 and January 2011. Papers were
included if they evaluated interventions for sexual problems caused as a result
of breast cancer or its treatment. Studies were only included if sexual
functioning was reported using a patient-reported outcome questionnaire. Studies
were excluded if sexual functioning was measured but improving sexual problems
was not one of the main aims of the intervention. 3514 papers were identified in
the initial search. 21 papers were selected for inclusion. Studies were of mixed
methodological quality; 15 randomised trials were identified, many included small
sample sizes and the use of non-validated questionnaires. Three main types of
interventions were identified: Exercise (2), medical (2) and psycho-educational
(17). The psycho-educational interventions included skills-based training such as
problem-solving and communication skills, counselling, hypnosis, education and
specific sex-therapies. Interventions were delivered to individual patients,
patients and their partners (couple-based) and groups of patients. The widespread
methodological variability hinders the development of a coherent picture about
which interventions work for whom. Tentative findings suggest the most effective
interventions are couple-based psycho-educational interventions that include an
element of sexual therapy. More methodologically strong research is needed before
any intervention can be recommended for clinical practice. Improved screening and
classification of sexual problems will ensure interventions can be more
effectively targeted to suit individual patient needs.
PMID- 21904886
TI - Preventing surgical-site infections after colorectal surgery.
AB - Surgical-site infection (SSI) is a major contributor to patient mortality rates
and health care costs. Due to the high risk of bacterial contamination,
colorectal surgery is associated with a particularly high risk of postoperative
infection. The surveillance reported here was conducted at Aichi Medical
University Hospital on 304 patients who underwent elective colorectal resection-
total or partial--from June 2006 to May 2009. To determine risk factors for SSI,
multivariate analysis was used. Forty-six (15.1%) patients were diagnosed with
SSI. Patients who received cefotiam for prophylaxis showed the highest incidence
of SSI (26.6%), and patients who were administered flomoxef showed the lowest
incidence (8.1%). Patients who developed SSI were more likely to intraoperative
blood loss (308.1 +/- 29.8 vs. 153.9 +/- 12.2; p < 0.05), longer postoperative
antimicrobial administration (5.3 +/- 2.2 vs. 4.5 +/- 1.5; p < 0.05), and longer
operative time (3.3 +/- 1.6 vs. 2.7 +/- 1.2; p < 0.05). Intraoperative bleeding,
antimicrobial choices to cover both anaerobic and aerobic bacteria, and length of
antimicrobial administration were independently predictive of SSI development
according to multivariate logistic regression analysis. These results suggest
that the degree of operative invasion and anaerobic bacteria contribute to SSI
following colorectal surgery.
PMID- 21904885
TI - Vasculitides throughout history and their clinical treatment today.
AB - Therapeutic management of the vasculitides is closely linked to modern
rheumatologic advances, particularly as it relates to the discovery and first
clinical use of glucocorticoids. These compounds were introduced in the late
1940s for the treatment of rheumatoid arthritis, but soon after, clinicians in
Europe and the United States realized that they could have a significant positive
impact in systemic vasculitides. However, once it was realized that
glucocorticoid use was associated with a high degree of morbidity, the search for
better immunosuppressive agents with similar efficacy but improved safety
profiles was on. During the past several years, several agents have been utilized
for the therapeutic management of systemic vasculitides, and the list keeps
growing with the development of newer compounds that have retained efficacy but
with a better safety profile.
PMID- 21904887
TI - Prediction of the therapeutic effects of anticoagulation for recent portal vein
thrombosis: a novel approach with contrast-enhanced ultrasound.
AB - OBJECTIVE: To examine whether intra-thrombus enhancement on contrast-enhanced
sonograms can predict the recanalization by anticoagulation for recent portal
thrombosis. METHODS: This prospective study included 10 patients with a recent
portal thrombosis and 20 controls (10 cirrhosis patients and 10 healthy subjects,
all without thrombosis). The diagnosis of thrombosis was based on clinical and
ultrasound findings. Pre-anticoagulation intra-thrombus enhancement on the
contrast-enhanced sonogram was examined with respect to the post-anticoagulation
results or portal enhancement in controls. RESULTS: Complete recanalization was
obtained in 4 patients with positive intra-thrombus enhancement. However, in 4
other patients who had a thrombosis showing positive enhancement concurrent with
one showing negative enhancement, anticoagulation recanalized the former and
failed to recanalize the latter. Mean onset time of contrast enhancement measured
from the beginning of hepatic arterial enhancement was significantly longer in
the thrombus (6.6 +/- 4.3 s, 3-16 s) than in the portal vein of controls
(cirrhosis, 4.3 +/- 1.4 s, 2-8 s, P = 0.0035; healthy subjects, 2.4 +/- 0.6 s, 1
3 s, P < 0.0001). Anticoagulation failed to achieve recanalization in 2 patients
with negative intra-thrombus enhancement. Sensitivity and specificity of contrast
enhancement for the prediction of post-treatment recanalization was 100%.
CONCLUSIONS: Intra-thrombus positive enhancement demonstrated on contrast
enhanced sonograms has promise as a successful predictor of recanalization for
the recent portal thrombosis.
PMID- 21904888
TI - Comparison of SSRs and SNPs in assessment of genetic relatedness in maize.
AB - Advances in high-throughput SNP genotyping and genome sequencing technologies
have enabled genome-wide association mapping in dissecting the genetic basis of
complex quantitative traits. In this study, 82 SSRs and 884 SNPs with minor
allele frequencies (MAF) over 0.20 were used to compare their ability to assess
population structure, principal component analysis (PCA) and relative kinship in
a maize association panel consisting of 154 inbred lines. Compared to SNPs, SSRs
provided more information on genetic diversity. The expected heterozygosity (He)
of SSRs and SNPs averaged 0.65 and 0.44, and the polymorphic information content
of these two markers was 0.61 and 0.34 in this panel, respectively. Additionally,
SSRs performed better at clustering all lines into groups using STRUCTURE and PCA
approaches, and estimating relative kinship. For both marker systems, the same
clusters were observed based on PCA and the first two eigenvectors accounted for
similar percentage of genetic variations in this panel. The correlation
coefficients of each eigenvector from SSRs and SNPs decreased sharply when the
eigenvector varied from 1 to 3, but kept around 0 when the eigenvector were over
3. The kinship estimates based on SSRs and SNPs were moderately correlated (r (2)
= 0.69). All these results suggest that SSR markers with moderate density are
more informative than SNPs for assessing genetic relatedness in maize association
mapping panels.
PMID- 21904889
TI - n-Butyl isocyanide oxidation at the [NiFe4S4OH(x)] cluster of CO dehydrogenase.
AB - Carbon monoxide dehydrogenases (CODHs) catalyze the reversible oxidation of
carbon monoxide by reaction with water to yield carbon dioxide, two protons, and
two electrons. Two principal types of CODHs can be distinguished. Ni,Fe
containing CODHs contain a [NiFe(4)S(4)OH(x)] cluster within their active site,
to which the direct binding of the substrates water and carbon dioxide has been
revealed by protein X-ray crystallography. n-Butyl isocyanide is a slow-turnover
substrate of CODHs, whose oxidation at the active site shows several parallels to
the oxidation of carbon monoxide. Here, we report the crystal structure of CODH
II from Carboxydothermus hydrogenoformans resulting from the enzymatic oxidation
of n-butyl isocyanide to n-butyl isocyanate at its active site cluster. The high
resolution of the structure (d(min) = 1.28 A) revealed n-butyl isocyanate bound
to the active site cluster and identified a novel type of Ni-C bond in CODHs. The
structure suggests the occurrence of tetrahedral in addition to square-planar
nickel complexes in product-bound states of this enzyme. Furthermore, we
discovered a molecule of n-butyl isocyanide in a hydrophobic channel leading to
the active site, revealing a unique architecture for the substrate channel of
CODH-II compared with the bifunctional CODHs.
PMID- 21904890
TI - Metallothioneins: chemical and biological challenges.
PMID- 21904891
TI - The effect of poly sterilization on wear, osteolysis and survivorship of a press
fit cup at 10-year followup.
AB - BACKGROUND: During the mid-1990s when our institution was using a press-fit
porous-coated cup without supplemental initial fixation for primary THA, the
manufacturer transitioned from gamma irradiation to gas plasma for the terminal
sterilization of their polyethylene liners. QUESTIONS/PURPOSES: At minimum 10
year followup, we asked whether the fixation achieved by solely relying on a
press-fit would be durable and how different liner sterilization methods affected
radiographic wear, osteolysis, and survivorship. PATIENTS AND METHODS: We
retrospectively reviewed 373 patients who underwent 398 primary THAs with a press
fit porous-coated cup between March 1995 and December 1996. Mean age at time of
surgery was 61.5 +/- 13.3 years and mean followup was 10.4 +/- 3.7 years. We
determined reasons for revision, survivorship, femoral head penetration,
osteolysis, and wear-related complications. RESULTS: Among 20 revisions involving
any component, seven were associated with wear and osteolysis. Kaplan-Meier
survivorship, using component revision for any reason as an end point, was 95.7%
(95% confidence interval, 93.6%-97.9%) at 10 years. Noncrosslinked liners
sterilized with gas plasma demonstrated a mean head penetration rate of 0.20 +/-
0.09 mm/year compared with 0.13 +/- 0.07 mm/year for liners sterilized with gamma
irradiation in air and 0.09 +/- 0.04 mm/year for liners sterilized with gamma
irradiation with barrier packaging without oxygen. THAs with increased volumetric
wear tended to demonstrate larger osteolytic lesions (r = 0.40) and there tended
to be less osteolysis among the liners sterilized with gamma-irradiation with
barrier packaging without oxygen. However, there was no difference in
survivorship among the sterilization groups and there has been no cup or stem
loosening associated with osteolysis. CONCLUSIONS: Durable biologic fixation
through 10-year followup can be achieved by solely relying on an initial press
fit. Noncrosslinking gas plasma for terminal sterilization of the polyethylene
liners was associated with greater head penetration rate than gamma irradiation.
LEVEL OF EVIDENCE: Level IV, therapeutic study. See Guidelines for Authors for a
complete description of levels of evidence.
PMID- 21904892
TI - Surgical technique: when to arthroscopically repair the torn posterior cruciate
ligament.
AB - BACKGROUND: Posterior cruciate ligament injuries can occur as isolated ligament
ruptures or in association with the multiligament-injured knee. Delayed
reconstruction, at 2-3 weeks post-injury, is predominantly recommended for
posterior cruciate ligament tears in the multiligament-injured knee. While acute
bone and soft tissue avulsion patterns of injury can be amenable to repair, the
described techniques have been associated with some difficulties attaching the
avulsed ligament. DESCRIPTION OF TECHNIQUE: As part of a reconstruction/repair of
a multiligament-injured knee, we performed arthroscopic primary repair of the
posterior cruciate ligament by passing Bunnell-type stitches into the substance
of the ligament using a reloadable suture passer. We then passed the sutures
through drill holes into the femoral footprint of the ligament and tied them over
a bony bridge. PATIENTS AND METHODS: We retrospectively reviewed three patients
with posterior cruciate ligament tears associated with a multiligament-injured
knee. All patients had posterior cruciate ligament soft tissue avulsions or "peel
off" injuries diagnosed by MRI. The described repair technique was used to repair
the posterior cruciate ligament avulsion. Minimum followup was 64 months (mean,
68 months; range, 64-75 months). ROM, stability testing, and functional outcome
scores (Lysholm and modified Cincinnati) were recorded. RESULTS: Mean ROM was 0
degrees to 127 degrees . Posterior drawer testing was negative in all three
patients. The mean Lysholm score was 92 and the mean modified Cincinnati score
was 94. Followup MRI confirmed ligament healing in all patients. CONCLUSIONS: We
believe arthroscopic posterior cruciate ligament repair for soft tissue peel off
injuries is a technique that, when applied to carefully selected patients, may be
helpful to the surgeon treating patients with a multiligament-injured knee. LEVEL
OF EVIDENCE: Level IV, therapeutic study. See Guidelines for Authors for a
complete description of levels of evidence.
PMID- 21904893
TI - [Genetics of contact allergy].
AB - The genetics of contact allergy (CA) is still only partly understood, despite
decades of research. This might be due to inadequately defined phenotypes used in
the past. Therefore we suggested studying an extreme phenotype, namely,
polysensitization (sensitization to 3 or more unrelated allergens). Another
approach to unravel the genetics of CA has been the study of candidate genes. In
this review, we summarize studies on the associations between genetic variation
(e.g. SNPs) in certain candidate genes and CA. The following polymorphisms and
mutations were studied: (1) filaggrin, (2) N-acetyltransferase (NAT1 and 2), (3)
glutathione-S-transferase (GST M and T), (4) manganese superoxide dismutase, (5)
angiotensin-converting enzyme (ACE), (6) tumor necrosis factor (TNF), and (7)
interleukin-16 (IL16). The polymorphisms of NAT1/2, GST M/T, ACE, TNF, and IL16
were shown to be associated with an increased risk of CA. In one of our studies,
the increased risk conferred by the TNF and IL16 polymorphisms was confined to
polysensitized individuals. Other relevant candidate genes may be identified by
studying diseases related to CA in terms of clinical symptoms, a more general
pathology (inflammation) and possibly an overlapping genetic background, such as
irritant contact dermatitis.
PMID- 21904894
TI - Microarray expression profiling in 6-hydroxydopamine-induced dopaminergic
neuronal cell death.
AB - Parkinson's disease (PD) is the second most common neurodegenerative disorder and
is characterized by a loss of dopaminergic neurons in the substantia nigra pars
compacta. To discover potential key molecules in this process, we utilized cDNA
microarray technology to obtain an expression profile of transcripts in MN9D
dopaminergic neuronal cells treated with 6-hydroxydopamine. Using a self
organizing map algorithm, data mining and clustering were combined to identify
distinct functional subgroups of genes. We identified alterations in the
expression of 81 genes in eight clusters. Among these genes, we verified protein
expression patterns of MAP kinase phosphatase 1 and sequestosome 1 using both
cell culture and rat brain models of PD. Immunological analyses revealed
increased expression levels as well as aggregated distribution patterns of these
gene products in 6-hydroxydopamine-treated dopaminergic neurons. In addition to
the identification of other proteins that are known to be associated with protein
aggregation, our results raise the possibility that a more widespread set of
proteins may be associated with the generation of protein aggregates in dying
neurons. Further research to determine the functional roles of other altered gene
products within the same cluster as well as the seven remaining clusters may
provide new insights into the neurodegeneration that underlies PD pathogenesis.
PMID- 21904895
TI - Subchronic elevation of brain kynurenic acid augments amphetamine-induced
locomotor response in mice.
AB - The neuromodulating tryptophan metabolite kynurenic acid (KYNA) is increased in
the brain of patients with schizophrenia. In the present study we investigate the
spontaneous locomotor activity as well as the locomotor response to d-amphetamine
[5 mg/kg, administered intraperitoneal (i.p.)] after increasing endogenous levels
of brain KYNA in mice by acute (10 mg/kg, i.p., 60 min) or subchronic (100 mg/kg
i.p., twice daily for 6 days) pretreatment with the blood-brain crossing
precursor, L: -kynurenine. We found that an acute increase in the brain KYNA
levels caused increased corner time and percent peripheral activity but did not
change the d-amphetamine-induced locomotor response. In contrast, subchronic
elevation of KYNA did not change the spontaneous locomotor activity but produced
an exaggerated d-amphetamine-induced hyperlocomotion. These results cohere with
clinical studies of patients with schizophrenia, where a potentiated DA release
associated with exacerbation of positive symptoms has been observed following d
amphetamine administration. Present results further underscore KYNA as a possible
mediator of the aberrant dopaminergic neurotransmission seen in schizophrenia.
PMID- 21904896
TI - Effects of unilateral pedunculopontine stimulation on electromyographic
activation patterns during gait in individual patients with Parkinson's disease.
AB - In Parkinson's disease (PD), the effects of deep brain stimulation of the
pedunculopontine nucleus (PPTg-DBS) on gait has been object of international
debate. Some evidence demonstrated that, in the late swing-early stance phase of
gait cycle, a reduced surface electromyographic activation (sEMG) of tibialis
anterior (TA) is linked to the striatal dopamine deficiency in PD patients. In
the present study we report preliminary results on the effect of PPTg-DBS on
electromyographic patterns during gait in individual PD patients. To evaluate the
sEMG amplitude of TA, the root mean square (RMS) of the TA burst in late swing
early stance phase (RMS-A) was normalized as a percent of the RMS of the TA burst
in late stance-early swing (RMS-B). We studied three male patients in the
following conditions: on PPTg-DBS/on L: -dopa, on PPTg-DBS/off L: -dopa, off PPTg
DBS/on L: -dopa, off PPTg-DBS/off L: -dopa. For each assessment the UPDRS III was
filled in. We observed no difference between on PPTg-DBS/off L: -dopa and off
PPTg-DBS/off L: -dopa in UPDRS III scores. In off PPTg-DBS/off L: -dopa, patient
A (right implant) showed absence of the right and left RMSA, respectively, in 80%
and 83% of gait cycles. Patient B (right implant) showed absence of the right RMS
A in 86% of cycles. RMS-A of the patient C (left implant) was bilaterally normal.
In on PPTg- DBS/off L: -dopa, no patient showed reduced RMS-A. Although the very
low number of subjects we evaluated, our observations suggest that PPTg plays a
role in modulating TA activation pattern during the steady state of gait.
PMID- 21904897
TI - Classification of schizophrenia using feature-based morphometry.
AB - The objective of this study was to use a combined local descriptor, namely scale
invariance feature transform (SIFT), and a non linear support vector machine
(SVM) technique to automatically classify patients with schizophrenia. The
dorsolateral prefrontal cortex (DLPFC), considered a reliable neuroanatomical
marker of the disease, was chosen as region of interest (ROI). Fifty-four
schizophrenia patients and 54 age- and gender-matched normal controls were
studied with a 1.5T MRI (slice thickness 1.25 mm). Three steps were conducted:
(1) landmark detection and description of the DLPFC, (2) feature vocabulary
construction and Bag-of-Words (BoW) computation for brain representation, (3) SVM
classification which adopted the local kernel to implicitly implement the feature
matching. Moreover, a new weighting approach was proposed to take into account
the discriminant relevance of the detected groups of features. Substantial
results were obtained for the classification of the whole dataset (left side 75%,
right side 66.38%). The performances were higher when females (left side 84.09%,
right side 77.27%) and seniors (left side 81.25%, right side 70.83%) were
considered separately. In general, the supervised weighed functions increased the
efficacy in all the analyses. No effects of age, gender, antipsychotic treatment
and chronicity were shown on DLPFC volumes. This integrated innovative ROI-SVM
approach allows to reliably detect subjects with schizophrenia, based on a
structural brain marker for the disease such as the DLPFC. Such classification
should be performed in first-episode patients in future studies, by considering
males and females separately.
PMID- 21904898
TI - [Acute aortic syndrome: a severe malignant disease pattern which requires
systematic steps in diagnosis and therapy].
PMID- 21904899
TI - [Hybrid room technology as a prerequisite for the modern therapy of aortic
dissection].
AB - With the establishment of a hybrid room 7 years ago, it was possible for the
first time to unite a full range of diagnostics and surgical therapy under the
sterile conditions of an operating theatre in life-threatening aortic dissection.
Thus, the early phase associated with high mortality rates (3%-5% per hour) could
be significantly reduced from 8 h to 4 h. Multidisciplinary teams consisting of a
cardiac surgeon, a cardiologist and an anaesthetist enable competent and rapid
life-saving measures. In the case of acute and persistent visceral and/or
peripheral malperfusion over many hours, primary endovascular reconstitution of
perfusion precedes delayed surgical replacement of the ascending aorta with or
without the aortic arch. Additional strategic and technical surgical developments
have helped reduce overall hospital mortality from 15%-20% to 10%-15%. Though
expensive to build, a high-technology hybrid room enables interdisciplinary
specialization and concentration, as demonstrated by the exponential growth in
the development of transcatheter aortic valve implants or the endovascular
treatment of aortic disease.
PMID- 21904900
TI - [Modern aortic surgery in Marfan syndrome--2011].
AB - Marfan syndrome is a hereditary disease with a prevalence of 2-3 in 10,000
births, leading to a fibrillin connective tissue disorder with manifestations in
the skeleton, eye, skin, dura mater and in particular the cardiovascular system.
Since other syndromes demonstrate similar vascular manifestations, but therapy
may differ significantly, diagnosis should be established using the revised Ghent
nosology in combination with genotypic analysis in specialized Marfan centres.
The formation of aortic root aneurysms with the subsequent risk of acute aortic
dissection type A (AADA) or aortic rupture limits life expectancy in patients
with Marfan syndrome. Therefore, prophylactic replacement of the aortic root
needs to be performed before the catastrophic event of AADA can occur. The goal
of surgery is the complete resection of pathological aortic tissue. This can be
achieved with excellent results by using a (mechanically) valved conduit that
replaces both the aortic valve and the aortic root (Bentall operation). However,
the need for lifelong anticoagulation with Coumadin can be avoided using the
aortic valve sparing reimplantation technique according to David. The long-term
durability of the reconstructed valve is favourable, and further technical
improvements may improve longevity. Although results of prospective randomised
long-term studies comparing surgical techniques are lacking, the David operation
has become the surgical method of choice for aortic root aneurysms, not only at
the Heidelberg Marfan Centre. Replacement of the aneurysmal dilated aortic arch
is performed under moderate hypothermic circulatory arrest combined with
antegrade cerebral perfusion using a heart-lung machine, which we also use in
thoracic or thoracoabdominal aneurysms. Close post-operative follow-up in a
Marfan centre is pivotal for the early detection of pathological changes on the
diseased aorta.
PMID- 21904901
TI - Longitudinal changes in magnetisation transfer ratio in secondary progressive
multiple sclerosis: data from a randomised placebo controlled trial of
lamotrigine.
AB - Sodium blockade with lamotrigine is neuroprotective in animal models of central
nervous system demyelination. This study evaluated the effect of lamotrigine on
magnetisation transfer ratio (MTR), a putative magnetic resonance imaging measure
of intact brain tissue, in a group of subjects with secondary progressive
multiple sclerosis (MS). In addition, the utility of MTR measures for detecting
change in clinically relevant pathology was evaluated. One hundred seventeen
people attending the National Hospital for Neurology and Neurosurgery or the
Royal Free Hospital, London, UK, were recruited into a double-blind, parallel
group trial. Subjects were randomly assigned by minimisation to receive
lamotrigine (target dose 400 mg/day) or placebo for 2 years. Treating and
assessing physicians and patients were masked to treatment allocation. Results of
the primary endpoint, central cerebral volume, have been published elsewhere.
Significant differences between the verum and placebo arms were seen in only two
measures [normal appearing grey matter (NAGM) p = 0.036 and lesion peak height
(PH) p = 0.004], and in both cases there was a greater reduction in MTR in the
verum arm. Significant correlations were found of change in MS functional
composite with all MTR measures except lesion and normal appearing white matter
(NAWM) PH. However, the change in MTR measures over 2 years were small, with only
NAGM mean (p = 0.001), lesion peak location (p = 0.11) and mean (p < 0.0001)
changing significantly from baseline. These data did not show that lamotrigine
was neuroprotective. The clinical correlation of MTR measures was consistent, but
the responsiveness to change was limited.
PMID- 21904903
TI - PI3K/Akt and MAPK/ERK1/2 signaling pathways are involved in IGF-1-induced VEGF-C
upregulation in breast cancer.
AB - OBJECTIVE: To investigate the signaling pathways involved in insulin-like growth
factor-1 (IGF-1)-induced vascular endothelial growth factor C (VEGF-C) up
regulation and lymphatic metastasis in MDA-MB-231 breast cancer cells. METHODS:
MDA-MB-231 breast cancer cells were exposed to IGF-1 with various concentrations.
The expression level of VEGF-C was assessed by real-time PCR and Western blot.
Akt and ERK1/2 phosphorylation was detected by Western blot. Signaling
transduction inhibitors, LY294002 and PD98059, were used to block PI3K/Akt and
MAPK/ERK1/2 signaling pathways, respectively. RESULTS: IGF-1 increased the level
of VEGF-C expression in a dose-dependent manner in MDA-MB-231 breast cancer
cells. In addition, phosphorylation of Akt and ERK1/2 was enhanced by IGF-1.
Remarkably, inhibition of Akt phosphorylation by LY294002 completely blocked the
effects on IGF-1-induced VEGF-C up-regulation. Inhibition of ERK1/2
phosphorylation by PD98059 reduced IGF-1-induced VEGF-C expression. CONCLUSION:
This study identified that PI3K/Akt and MAPK/ERK1/2 signaling pathways were
involved in IGF-1-induced VEGF-C up-regulation and suggested their important
roles in lymphatic metastasis in breast cancer.
PMID- 21904904
TI - Etoposide-mediated glioblastoma cell death: dependent or independent on the
expression of its target, topoisomerase II alpha?
AB - BACKGROUND: Treatments which significantly improve progression-free and overall
survival for patients with relapsed glioblastoma (GBM) after the standard therapy
are lacking. The Topoisomerase II (TopoII) enzyme is a key target of anticancer
agents because of the important role it plays in transcription regulation and
chromatin remodeling. A drug with strong topoisomerase-mediated anticancer
activity is etoposide that is used in combination with carboplatin in patients
with relapsed GBM. We hypothesized that tumors harboring high expression of
TopoII alpha (TopoIIa) would be more sensitive to etoposide treatment. METHODS:
The relative expression levels of TopoIIa protein were measured in a panel of GBM
cell lines using Western blot analysis and in a cohort of GBM using
immunohistochemistry. Expression levels of TopoIIa in the cell lines were
correlated with relative sensitivity to treatment with etoposide. To ascertain
the role TopoIIa plays in mediating response to etoposide, expression was reduced
with a siRNA targeted to TopoIIa. RESULTS: Protein expression of TopoIIa,
although high in the cell lines, was very low in patient specimens. Correlations
between TopoIIa protein expression and sensitivity to etoposide were evident. The
IC(50) for the low-TopoIIa-expressing cell line, T98G, was almost 50 times higher
than M059K (high TopoIIa). Inhibition of TopoIIa in MO59K cells with siRNA
significantly altered the IC(50), increasing the resistance to etoposide.
Interestingly, the expression of TopoIIa was not decreased after treatment with
etoposide, indicating other mechanisms underplay treatment response. CONCLUSIONS:
In vitro, the levels of TopoIIa protein expression correlate with response to
etoposide but also multiple molecular events namely DNA-PK and MDR also play a
role in cell sensitivity to etoposide. That we did not find a high expression of
TopoIIa in clinical specimens further suggests the mechanisms underlying
treatment response are complex.
PMID- 21904905
TI - OLFM4 is associated with lymph node metastasis and poor prognosis in patients
with gastric cancer.
AB - PURPOSE: The present study investigated the clinical significance of the
relationship between olfactomedin 4 (OLFM4) expression and the
clinicopathological features of patients with gastric cancer. METHODS: Tumor
tissue and adjacent normal tissue, lymph nodes, and peritoneal metastases were
analyzed by the Affymetrix GeneChip((r)) HG-U133A2.0 array. The expression of
OLFM4 was detected by real-time quantitative RT-PCR in gastric tumor tissue and
adjacent normal tissue. OLFM4 expression was analyzed by immunohistochemistry in
436 clinicopathologically characterized gastric cancer cases and in corresponding
distant metastases from 61 patients. RESULTS: A total of 434 genes and 169
expressed sequence tags were upregulated, including OLFM4. The expression of
OLFM4 mRNA or protein differed significantly among gastric tumor tissue, matched
normal gastric mucosa, and lymph node metastases. Further multivariate analysis
suggested that lymph node metastases and distant metastases, TNM stage, and
expression of OLFM4 were independent prognostic indicators for gastric cancer.
CONCLUSION: Gene expression profiles were useful for simultaneously analyzing the
expression levels of thousands of genes. Reduced expression of OLFM4 in gastric
cancer is associated significantly with lymph node and distant metastases and
with poor prognosis. OLFM4 may prove to be an important molecular marker for
predicting the carcinogenesis, development, progression, and metastasis of
gastric cancer.
PMID- 21904906
TI - Urate nephropathy associated with impaired kinetic properties of hypoxanthine
phosphoribosyl transferase in a 45-day-old infant.
AB - We report a 45-day-old male infant who presented with anuric renal failure and
fluid overload due to urate nephropathy consequent upon hyperuricemia with
hyperuricosuria. His maternal uncle had undergone renal transplantation for
chronic renal failure secondary to uric acid nephrolithiasis. The levels of
hypoxanthine phosphoribosyl transferase (HPRT) and adenine phosphoribosyl
transferase activity in the baby were found to be quantitatively normal. However,
when the HPRT activity was measured at low substrate concentrations
[phosphoribosyl pyrophosphate (PRPP) and hypoxanthine] and compared with usual
assay conditions, the HPRT activity at lower PRPP was less in the propositus,
suggesting altered enzyme kinetics. Apparent K (m(PRPP)) and V (max), but not K
(m(hypoxanthine)), were then found to be higher in the propositus than the
control range. This is the first case of urate nephropathy secondary to altered
enzyme kinetics presenting as early as 45 days. Uric acid nephropathy should be
considered in the differential diagnosis of unexplained acute kidney injury in
infants. In such cases, quantitative tests for HPRT enzyme activity may not be
sufficient and altered enzyme kinetics should also be investigated.
PMID- 21904902
TI - HIV-related research in correctional populations: now is the time.
AB - The incarcerated population has increased to unprecedented levels following the
1970 US declaration of war on illicit drug use. A substantial proportion of
people with or at risk for HIV infection, including those with substance use and
mental health disorders, have become incarcerated. The overlapping epidemics of
incarceration and HIV present a need for academic medical centers to collaborate
with the criminal justice system to improve the health of incarcerated
populations. With coordinated collaboration and new programmatic initiatives it
is possible to reduce HIV-associated risk behaviors and the likelihood of
acquisition and transmission of HIV. Centers for AIDS Research (CFAR), funded by
the National Institutes of Health, have proactively responded to this need
through Collaboration on HIV in Corrections (CHIC) to improve the diagnosis,
treatment, linkage to care, and prevention of HIV. This collaboration serves as a
model for aligning academic expertise with criminal justice to confront this
challenge to individual and public health. This is especially relevant given
recent evidence of the effectiveness of antiretroviral therapy in reducing HIV
transmission.
PMID- 21904907
TI - Validation of the equations for estimating daily sodium excretion from spot urine
in patients with chronic kidney disease.
AB - BACKGROUND: Measuring sodium excretion in a 24-h urine collection is the most
reliable method of estimating salt intake, but it is not applicable to all
patients. As an alternative, equations for estimating Na excretion from Japanese
by a spot urine sample were created, but they have not been validated in patients
with chronic kidney disease (CKD), which are frequently associated with nocturia
and medication. METHODS: We enrolled 136 patients with CKD and collected both 24
h urine and the first morning urine. Na excretion was estimated from the first
morning urine by Kawasaki's equation, which was originally used for the second
morning urine, and Tanaka's equation, which is applied for spot urine samples
taken at any time from 9 am to 7 pm. We evaluated the two equations for bias,
RMSE and accuracy within 30 and 50% of the measured Na excretion. RESULTS: Bias,
RMSE and accuracy within 30% of the estimated Na excretion were 48 +/- 69 and 2
+/- 69 mmol/day, 84 and 69 mmol/day, and 35 and 49% using Kawasaki's equation and
Tanaka's equation, respectively. Na excretion in the first morning urine was
accurately estimated by Tanaka's equation, but it was overestimated by Kawasaki's
equation. Nocturia and medication such as diuretics and ACE inhibitor or
angiotensin receptor blocker did not affect the accuracy with which Na excretion
was estimated by Tanaka's equation substantially. CONCLUSION: Tanaka's equation
for estimating Na excretion from the first morning urine in patients with CKD is
accurate enough for use in clinical practice.
PMID- 21904908
TI - Efficient molecular mechanics simulations of the folding, orientation, and
assembly of peptides in lipid bilayers using an implicit atomic solvation model.
AB - Membrane proteins comprise a significant fraction of the proteomes of sequenced
organisms and are the targets of approximately half of marketed drugs. However,
in spite of their prevalence and biomedical importance, relatively few
experimental structures are available due to technical challenges. Computational
simulations can potentially address this deficit by providing structural models
of membrane proteins. Solvation within the spatially heterogeneous
membrane/solvent environment provides a major component of the energetics driving
protein folding and association within the membrane. We have developed an
implicit solvation model for membranes that is both computationally efficient and
accurate enough to enable molecular mechanics predictions for the folding and
association of peptides within the membrane. We derived the new atomic solvation
model parameters using an unbiased fitting procedure to experimental data and
have applied it to diverse problems in order to test its accuracy and to gain
insight into membrane protein folding. First, we predicted the positions and
orientations of peptides and complexes within the lipid bilayer and compared the
simulation results with solid-state NMR structures. Additionally, we performed
folding simulations for a series of host-guest peptides with varying propensities
to form alpha helices in a hydrophobic environment and compared the structures
with experimental measurements. We were also able to successfully predict the
structures of amphipathic peptides as well as the structures for dimeric
complexes of short hexapeptides that have experimentally characterized
propensities to form beta sheets within the membrane. Finally, we compared
calculated relative transfer energies with data from experiments measuring the
effects of mutations on the free energies of translocon-mediated insertion of
proteins into lipid bilayers and of combined folding and membrane insertion of a
beta barrel protein.
PMID- 21904909
TI - Distinct functional and conformational states of the human lymphoid tyrosine
phosphatase catalytic domain can be targeted by choice of the inhibitor
chemotype.
AB - The lymphoid tyrosine phosphatase (LYP), encoded by the PTPN22 gene, has recently
been identified as a promising drug target for human autoimmunity diseases. Like
the majority of protein-tyrosine phosphatases LYP can adopt two functionally
distinct forms determined by the conformation of the WPD-loop. The WPD-loop plays
an important role in the catalytic dephosphorylation by protein-tyrosine
phosphatases. Here we investigate the binding modes of two chemotypes of small
molecule LYP inhibitors with respect to both protein conformations using
computational modeling. To evaluate binding in the active form, we built a LYP
protein structure model of high quality. Our results suggest that the two
different compound classes investigated, bind to different conformations of the
LYP phosphatase domain. Binding to the closed form is facilitated by an
interaction with Asp195 in the WPD-loop, presumably stabilizing the active
conformation. The analysis presented here is relevant for the design of
inhibitors that specifically target either the closed or the open conformation of
LYP in order to achieve better selectivity over phosphatases with similar binding
sites.
PMID- 21904911
TI - A novel canine model of portal vein stenosis plus thioacetamide administration
induced cirrhotic portal hypertension with hypersplenism.
AB - Current large animal models that could closely resemble the typical features of
cirrhotic portal hypertension in human have not been well established. Thus, we
aimed to develop and describe a reliable and reproducible canine cirrhosis model
of portal hypertension. A total of 30 mongrel dogs were randomly divided into
four groups: 1 (control; n = 5), 2 (portal vein stenosis [PVS]; n = 5], 3
(thioacetamide [TAA]; n = 5), and 4 (PVS plus TAA; n = 15). After 4-months
modeling period, liver and spleen CT perfusion, abdominal CT scans, portal
hemodynamics, gastroscopy, hepatic function, blood routine, the bone marrow,
liver, and spleen histology were studied. The animals in group 2 (PVS) developed
extrahepatic portosystemic collateral circulation, particularly esophageal
varices, without hepatic cirrhosis and portal hypertension. Animals from group 3
(TAA) presented mild cirrhosis and portal hypertension without significant
symptoms of esophageal varices and hypersplenism. In contrast, animals from group
4 (PVS + TAA) showed well-developed micronodular and macronodular cirrhosis,
associated with significant portal hypertension and hypersplenism. The
combination of PVS and TAA represents a novel, reliable, and reproducible canine
cirrhosis model of portal hypertension, which is associated with the typical
characteristics of portal hypertension, including hypersplenism.
PMID- 21904910
TI - Sperm DNA integrity assays: diagnostic and prognostic challenges and implications
in management of infertility.
AB - Sperm is not a simple carrier of paternal genetic information but its role
extends clearly beyond fertilization. Integrity of sperm genome is an essential
pre-requisite for birth of healthy offspring and evaluation of sperm should
entail DNA integrity analysis. DNA integrity analysis is a better diagnostic and
prognostic marker of sperm reproductive potential. Conventional semen analysis
emphasizes on sperm concentration, viability, motility and morphology and has
been proven to be a poor indicator of reproductive potential and pregnancy
outcome. To overcome the drawbacks associated with conventional semen analysis
more useful fertility tests and molecular biomarkers have been explored. Among
the different tests which have evolved for assessing the sperm reproductive
potential, tests for sperm DNA quality are most promising. Sperm DNA damage has
been closely associated with numerous indicators of reproductive health including
fertilization, embryo quality, implantation, spontaneous abortion, congenital
malformations and childhood diseases. It therefore has great potential as a
prognostic test for both in vitro and in vivo conception. This review presents an
updated account of tests that have better diagnostic and prognostic implications
in the evaluation of sperm DNA damage. The basic principles, outline of
methodology, advantage, disadvantage, clinical significance of each technique and
implications of these tests have been discussed. The logistics of each test with
respect to available resources and equipment in an andrology laboratory, the
feasibility of performing these tests in routine diagnostic workup of infertile
men and the opportunities and challenges provided by DNA testing in male
fertility determination are also presented.
PMID- 21904912
TI - The use of an early postoperative CRH test to assess adrenal function after
transsphenoidal surgery for pituitary adenomas.
AB - Transsphenoidal surgery (TS) is the treatment of choice for many pituitary
tumors. Because TS may cause pituitary insufficiency in some of these patients,
early postoperative assessment of pituitary function is essential for appropriate
endocrine management. The aim of our study was to evaluate the clinical relevance
of the CRH-stimulation test in assessing postoperative pituitary-adrenal
function. We performed a retrospective analysis of 144 patients treated by TS
between January 1990 and November 2009, in whom a CRH-test and a second
stimulation test was performed to assess adrenal function during follow-up.
Patients with Cushing's disease were excluded. Hydrocortisone substitution was
started if peak cortisol levels were <550 nmol/L. The cortisol response was
insufficient in 42(29%) and sufficient in 102 patients at the postoperative CRH
test. Thirteen of 42(30%) demonstrated a normal cortisol response during a second
cortisol stimulation test. In 75 of the 102 patients with a sufficient response
to CRH repeat testing revealed an insufficient cortisol response in 14 patients
(14%). All but one had concomitant pituitary hormone deficits. There were no
cases of adrenal crises during follow-up. Additional pituitary insufficiency was
significantly more present (P < 0.001) in the group of patients with an abnormal
response to CRH directly after surgery. In this study a substitution strategy of
hydrocortisone guided by the postoperative cortisol response to CRH appeared safe
and did not result in any case of adrenal crises. However, the early
postoperative CRH-test does not reliably predict adrenal function after TS for
pituitary adenomas in all patients and retesting is mandatory.
PMID- 21904913
TI - In planta expression of a mature Der p 1 allergen isolated from an Italian strain
of Dermatophagoides pteronyssinus.
AB - European (Dermatophagoides pteronyssinus) and American (Dermatophagoides farinae)
house dust mite species are considered the most common causes of asthma and
allergic symptoms worldwide. Der p 1 protein, one of the main allergens of D.
pteronyssinus, is found in high concentration in mites faecal pellets, which can
became easily airborne and, when inhaled, can cause perennial rhinitis and
bronchial asthma. Here we report the isolation of the Der p 1 gene from an
Italian strain of D. pteronyssinus and the PVX-mediated expression of its mature
form (I-rDer p 1) in Nicotiana benthamiana plants. Human sera from characterized
allergic patients were used for IgE binding inhibition assays to test the
immunological reactivity of I-rDer p 1 produced in N. benthamiana plants. The
binding properties of in planta produced I-rDer p 1 versus the IgE of patients
sera were comparable to those obtained on Der p 1 preparation immobilized on a
microarray. In this paper we provide a proof of concept for the production of an
immunologically active form of Der p 1 using a plant viral vector. These results
pave the way for the development of diagnostic allergy tests based on in planta
produced allergens.
PMID- 21904914
TI - Natural killer cell receptor-expressing innate lymphocytes: more than just NK
cells.
AB - Recently, additional subsets that extend the family of innate lymphocytes have
been discovered. Among these newly identified innate lymphoid cells is a subset
sharing phenotypic characteristics of natural killer cells and lymphoid tissue
inducer cells. These cells co-express the transcription factor RORgammat and
activating NK cell receptors (NKR), but their lineage and functional qualities
remain poorly defined. Here, we discuss recent proposals to place these
NKR(+)RORgammat(+) innate lymphocytes on hematopoietic lineage maps. An overview
of the transcriptional circuitry determining fate decisions of innate lymphocytes
and a summary of current concepts concerning plasticity and stability of innate
lymphocyte effector fates are provided. We will conclude by discussing the
function of RORgammat-expressing innate lymphocytes during inflammatory bowel
diseases and in the immune response to tumors.
PMID- 21904915
TI - Behavioural plasticity and sex differences in host finding of a specialized bee
species.
AB - Many animals feed on flowers, and visual as well as olfactory cues are considered
as most important mediators in animal-plant interactions. However, the relative
importance of these cues is not well understood. Bees are the most important
animal pollinators worldwide and here, we determined the importance of decoupled
and combined visual and olfactory cues of Lysimachia punctata (Primulaceae) for
host plant location in both sexes of the specialized, solitary bee, Macropis
fulvipes (Melittidae). Lysimachia-inexperienced female bees preferred olfactory
over visual cues though visual cues increased the attractiveness of olfactory
ones. In experienced females, the importance of visual cues was increased. Both
Lysimachia-naive and -experienced males relied more on visual cues as compared to
females. This study demonstrates that the relative weighting of cues used for
host plant finding depends on the sex and experience of M. fulvipes. The latter
finding reveals the presence of learning-induced behavioural plasticity in host
plant finding for a bee species. It may allow the bee to forage highly efficient.
Visually guided female detection on flowers by males is a likely functional
explanation for the differences in the weighting of visual and olfactory cues
between the sexes.
PMID- 21904916
TI - Effects of lysophospholipids on tumor microenvironment.
AB - The effects of lysophospholipids (LPLs) on cancer microenvironment is a vast and
growing field. These lipids are secreted physiologically by various cell types.
They play highly important roles in the development, activation and regulation of
the immune system. They are also secreted by cancerous cells and there is a
strong association between LPLs and cancer. It is clear that these lipids and in
particular sphingosine 1-phosphate (S1P) and lysophosphatidic acid (LPA) play
major roles in regulating the growth of tumor cells, and in manipulating the
immune system. These activities can be divided into two parts; the first involves
the ability of S1P and LPA to either directly or through some of the enzymes that
generate them such as sphingosine kinases or phospholipases, induce the motility
and invasiveness of tumor cells. The second mechanism involves the recently
discovered effects of these lipids on the anti-tumor effector natural killer (NK)
cells. Whereas S1P and LPA induce the recruitment of these effector cells, they
also inhibit their cytolysis of tumor cells. This may support the environment of
cancer and the ability of cancer cells to grow, spread and metastasize.
Consequently, LPLs or their receptors may be attractive targets for developing
drugs in the treatment of cancer where LPLs or their receptors are up-regulated.
PMID- 21904917
TI - Evaluation of saliva flow rates, Candida colonization and susceptibility of
Candida strains after head and neck radiation.
AB - Hyposalivation is a long-term effect in patients receiving head and neck
radiation. Radiotherapy can predispose oral colonization by Candida species of
the mucosa. This study aims to evaluate the correlation between hyposalivation,
measured by unstimulated saliva flow rates (SFR) and fungal colonization of the
oral cavity, and also the resistance of isolated Candida strains to antimicrobial
therapy. Fifty-three consecutive patients with radiotherapy were examined for
late radiation damage on dental hard tissue and the salivary glands (SFR over a
period of 5 min). The SFR were divided into three different values of
hyposalivation: grade I (SFR 0.1-0.25 ml/min), grade II (SFR <=0.1 ml/min), and
grade III (SFR = 0.0 ml/min). Candidal colonization was defined using Sabouraud
agar and identified using API 20C AUX (biomerieux) in the patients' rinsing
water. Susceptibility was tested with Etest (amphotericin B, ketoconacole,
voriconacole, and fluconacole). Hyposalivation grade I was detected in 23% (9.1 *
10(1) colony forming units (cfu); range, 200-5,900 cfu), hyposalivation grade II
in 26% (4.3 * 10(1) cfu; range, 110-3,300 cfu), and hyposalivation grade III in
51% (2.0 * 10(3) cfu; range, 300-19,475 cfu) of patients. A significant
correlation between the SFR and candidal colonization and clinical presentation
(European Organization for Research and Treatment of Cancer (EORTC) score) was
detected (Mann-Whitney test, p = 0.031). Twenty Candida albicans and 27 non
albicans species were identified. The resistance of C. albicans was higher than
that of non-albicans strains against antimicrobial agents. By comparison,
amphotericin B showed the greatest and fluconazole the least effect. A higher
value of hyposalivation correlates with a higher risk of candidal colonization in
patients who have received radiotherapy and also with a higher EORTC score. The
spectrum of Candida is wide and susceptibility against antifungal therapy
differs. In long-term examinations of patients with xerostomia after
radiotherapy, the EORTC score can be used to measure hyposalivation. Reduced
susceptibility of C. albicans might introduce complications to therapy. Findings
of more non-albicans strains show a change in colonization which should be
examined in further studies.
PMID- 21904918
TI - Neurologic manifestations of Chagas disease.
AB - Chagas disease is endemic in Latin America and has become an emerging problem in
developed countries because of international migrations. The protozoan
Trypanosoma cruzi is the etiologic agent and the disease is divided into two
phases. The acute phase is mostly asymptomatic or presents with unspecific
symptoms. Rarely, a severe and often fatal form occurs in immunosuppressed
patients or infants, characterized by meningoencephalitis (sometimes including
brain tumor-like lesions) and myocarditis. The chronic phase consists of an
indeterminate, asymptomatic form followed by digestive, cardiac, or neurologic
symptoms in about 30% of infected patients. Autonomous nervous system dysfunction
is prominent in chagasic patients and participates in the affliction of the
target organs. Stroke, mainly cardioembolic, may occur in the chronic phase, but
other stroke etiologies are reported. Embolic sources and inflammation are
thought to play a role in stroke mechanisms. Specific treatment for Chagas
disease is scarce and preventive measures are needed.
PMID- 21904919
TI - Borderzone strokes and transcortical aphasia.
AB - Borderzone infarcts (BZIs) are anatomically defined as ischemic lesions occurring
at the junction between two arterial territories, accounting for 2% to 10% of
strokes. Three types of hemispheric BZIs are described according to topography
(ie, superficial anterior, posterior, and deep). Although published series on
related aphasia are rare in the setting of BZI, aphasia is of transcortical (TCA)
type, characterized by the preservation of repetition. TCA can be of motor,
sensory, or mixed type depending on whether expression, understanding, or both
are impaired. Recent studies have reported specific aphasic patterns. BZI
patients initially presented with mixed TCA. Aphasia specifically evolved
according to the stroke location, toward motor or sensory TCA in patients with
respectively anterior or posterior BZI. TCA was associated with good long-term
prognosis. This specific aphasic pattern is interesting in clinical practice
because it prompts the suspicion of a BZI before the MRI is done, and it helps in
the planning of rehabilitation and in providing adapted information to the
patient and family concerning the likelihood of language recovery.
PMID- 21904920
TI - Does partial nephrectomy at an academic institution result in better outcomes?
AB - PURPOSE: Partial nephrectomy (PN) outcomes may be better at academic institutions
than at non-academic centers. Peer-review, sub-specialized practice profile,
higher individual surgeon and institutional caseload may explain this
observation. To the best of our knowledge, the role of institutional academic
affiliation has not been examined with regard to PN postoperative outcomes.
METHODS: Within the Health Care Utilization Project Nationwide Inpatient Sample
(NIS), we focused on PNs performed within the 10 most contemporary years (1998
2007). We explored the effect of academic status on three short-term PN outcomes
(intraoperative and postoperative complications, as well as in-hospital
mortality). Multivariable logistic regression analyses further adjusted for age,
race, gender, Charlson Comorbidity Index (CCI), surgical approach, hospital
region, annual hospital caseload and insurance status. RESULTS: Overall, 8,513
PNs were identified. Of those, 5,906 (69.4%) were recorded at academic
institutions. Academic institution patients had lower CCI, were less frequently
Caucasian and more frequently had private insurance (all P < 0.001). Academic
institution PNs were associated with fewer postoperative complications (14.6% vs.
16.6%, P = 0.018). In multivariable analyses, institutional academic status did
not affect the three short-term PN outcomes. CONCLUSIONS: Patient selection
explains better PN postoperative outcomes at academic institutions. Control for
these biases removes the outcome differences, at least when the three short-term
PN outcomes are considered. However, the interpretation of these findings needs
to take into account the lack of adjustment for case complexity.
PMID- 21904921
TI - Single-port transvesical enucleation of the prostate for benign prostatic
hyperplasia with severe intravesical prostatic protrusion.
AB - OBJECTIVES: To introduce the surgical techniques of a single-port transvesical
enucleation of the prostate (STEP) for enlarged prostates with severe
intravesical prostatic protrusion (IPP) presenting with lower urinary tract
symptoms (LUTS). PATIENTS AND METHODS: Our study included 7 patients with
prostates larger than 80 mL and with severe IPP who underwent STEP. All the
procedures were performed using a home-made single-port device through a 3-cm
midline incision at the level three fingerbreadths above the symphysis pubis.
Rigid laparoscopic instruments and Harmonic Scalpels((r)) were used to enucleate
the large adenoma of the prostate. RESULTS: All the procedures were completed
satisfactorily, with no intra and early postoperative complications. The mean
resected prostatic weight was 54.14 +/- 8.38 g, and the mean operative time was
191.86 +/- 40.88 min. The mean time for catheterization was 5.29 +/- 1.80 days.
The postoperative 3-month international prostate symptoms score (IPSS) and
maximal flow rate (Qmax) were improved following STEP (IPSS; 23.57 +/- 2.15 vs.
11.43 +/- 2.44, Qmax; 17.14 +/- 3.44 mL/s vs. 6.71 +/- 2.29 mL/s). CONCLUSIONS:
Laparoendoscopic single-site surgery (LESS) and enucleation of the prostate with
rigid laparoscopic instruments might be difficult but is feasible. STEP may be a
new treatment option for use in open prostatectomies for enlarged prostates with
severe IPP in carefully selected patients.
PMID- 21904922
TI - Effects of antiglaucoma drugs on the ocular surface in rabbits: a fixed
combination drug versus two concomitant drugs.
AB - PURPOSE: We investigated the effects of a fixed-combination antiglaucoma drug and
compared it with two concomitant antiglaucoma drugs on the ocular surface.
METHODS: Twenty-four rabbits were randomized into four groups. Group 1 was
administered timolol, group 2 travoprost, group 3 a travoprost/timolol fixed
combination solution, and group 4 timolol and travoprost. Conjunctival impression
cytology specimens were collected at baseline and weeks 1, 3, and 6, and
conjunctival biopsy specimens at week 6. RESULTS: The impression cytology study
results were as follows: No statistically significant differences among group 1-3
at any time (p > 0.05); a statistically significant difference between groups 3
and 4 at week 6 (p = 0.003); a statistically significant difference between
baseline and group 4 at week 6 (p = 0.008). Conjunctival biopsy specimens of
group 1-3 showed no distortion of the conjunctival epithelial structures, but
group 4 showed decreased layers of epithelial cells with fewer periodic acid
Schiff (PAS) (+) goblet cells. CONCLUSIONS: A fixed-combination antiglaucoma drug
is beneficial in reducing adverse ocular surface changes in long-term use. This
is believed to be due to the smaller concentration of preservatives contained in
the fixed-combination drug.
PMID- 21904923
TI - Tolerogenic dendritic cells and rheumatoid arthritis: current status and
perspectives.
AB - Rheumatoid arthritis (RA) is a chronic autoimmune disease characterized by the
influxation of synovia and synovial compartments with immune cells including
dendritic cells (DCs). DCs that induce autoimmune tolerance are called
tolerogenic DCs (tolDCs). As a promising immunotherapeutic strategy for RA,
tolDCs have received increasing attention. In this review, we first introduce the
significant role of tolDCs in autoimmune regulation and then describe the
manipulation strategies to generate tolDCs; next, we summarize recent progress in
the experimental application of tolDCs for RA therapy, and finally we discuss the
perspectives of tolerogenic vaccination for the treatment for RA in clinic.
PMID- 21904925
TI - Management of psoriasis and psoriatic arthritis in a combined dermatology and
rheumatology clinic.
AB - Psoriasis and psoriatic arthritis (PsA) are chronic systemic inflammatory
disorders with wide spectrums of cutaneous and musculoskeletal presentations.
Management of joint disease in this population can be challenging and often
requires the expertise of rheumatology in conjunction with dermatology. A
multidisciplinary clinic setting may benefit these patients, and in this study we
sought to evaluate the experience of such a model. We performed a retrospective
chart review of patients evaluated between October 2003 and October 2009 in the
Center for Skin and Related Musculoskeletal Diseases (SARM) at Brigham and
Women's Hospital, Boston, MA, USA, where patients are seen by both an attending
rheumatologist and dermatologist. Main outcomes included the presence of
comorbidities, accuracy of the initial diagnosis, and escalation of treatment
modalities. Over the 6-year period, 510 patients were evaluated. Two hundred
sixty-eight patients had psoriasis and/or PsA. The prevalence of comorbidities
was high (45% hypertension, 46% hyperlipidemia, 19% diabetes, and 36% history of
the past or current smoking). Visit in SARM resulted in a revised diagnosis that
differed from the previous diagnosis at outside clinics in 46% of cases. Patients
were more likely to receive a systemic medication after the evaluation in SARM as
compared to before, 25 versus 15%, respectively, with an odds ratio of 5.1.
Patients were also more likely to be treated with a biologic agent after the
evaluation in SARM as compared to before, 37 versus 16%, respectively.
Multidisciplinary care may facilitate the diagnosis of joint disease and offers a
more comprehensive treatment approach for patients with both psoriasis and PsA.
Our data can be used to support the efforts to provide integrated rheumatologic
and dermatologic care for this population.
PMID- 21904924
TI - Confirmation of C4 gene copy number variation and the association with systemic
lupus erythematosus in Chinese Han population.
AB - The distribution of complement component 4 (C4) gene copy number (GCN) has been
validated in European populations. Meanwhile, C4 gene has been identified as a
susceptibility gene for systemic lupus erythematosus (SLE). However, the
association and the possible phenotype significance remain to be determined
intensely in the Chinese population. This study was designed to validate the
distribution of C4 GCNs in Chinese Han and the correlation between C4 GCNs and
SLE using quantitative real-time polymerase chain reaction in 924 SLE patients
and 1,007 controls. The results presented distribution of C4 GCNs in healthy
populations and also showed that lower C4 GCN was a risk factor for SLE and
higher C4 GCN was a protective factor against the disease susceptibility, which
was similar to the report in the Caucasian population. Furthermore, we found the
association between C4A GCN and disease subphenotypes of arthritis with SLE. We
conclude that the association of C4 GCN with SLE was replicated in Chinese Han
population, which highlighted the importance of C4 in SLE pathogenesis of diverse
populations.
PMID- 21904926
TI - Significance of anatomic resection for early and advanced hepatocellular
carcinoma.
AB - PURPOSE: Although it remains controversial whether local ablation or surgery is
better for early-stage hepatocellular carcinoma (HCC), surgical resection is the
first choice for advanced HCC. Anatomic hepatic resection is the preferred
procedure to improve prognosis, but to date, its superiority has been
demonstrated only for early-stage HCC. This study aimed to evaluate the effect of
anatomic resection in advanced HCC in which surgical resection is the first
choice. METHODS: The prognosis of 210 patients who underwent curative resection
for primary HCC was analyzed. Sixty-three patients with no more than three
tumors, none of which were larger than 3 cm in diameter, and with no macroscopic
vascular invasion were classified as early HCC (group E); the other 147 patients
were classified as advanced HCC (group A). RESULTS: The 5-year survival rate was
better in group E (73% vs. 55%, P < 0.01), but the 5-year recurrence-free
survival rate was equivalent between the two groups (E vs. A; 30% vs. 32%, P =
0.19). Multivariate analysis showed that independent predictors of good survival
in group E were indocyanine green retention rate at 15 min <=20% [hazard ratio
(HR) = 0.30; 95% confidential interval (CI), 0.10-0.88) and tumor
differentiation grade of well or moderate or complete necrosis (HR = 0.14; 95%
CI, 0.03-0.95), while predictors in group A were anatomic resection (HR = 0.48;
95% CI, 02.27-0.85) and no macroscopic vascular invasion (HR = 0.35; 95% CI,
0.17-0.72). CONCLUSION: For advanced HCC, anatomic resection should be performed
to improve patient prognosis.
PMID- 21904927
TI - Successful treatment of nummular headache with Neurotropin(r).
PMID- 21904928
TI - On-line effects of quadripulse transcranial magnetic stimulation (QPS) on the
contralateral hemisphere studied with somatosensory evoked potentials and near
infrared spectroscopy.
AB - To evaluate on-line effects of quadripulse stimulation (QPS) over the primary
motor cortex (M1) on cortical areas in the contralateral hemisphere. QPS
consisted of 24 bursts of transcranial magnetic stimulation (TMS) pulses with an
inter-burst interval of 5 s for 2 min (for on-line effect study) or 360 bursts
for 30 min (for after-effect study). Each burst consisted of four TMS pulses
(i.e. QPS) separated by an interstimulus interval of 5 or 50 ms (QPS-5 or QPS
50). QPSs were delivered over the left M1. Experiment 1 [on-line effect on
somatosensory evoked potential (SEP)]: Left median nerve SEPs were recorded
before, during and after QPS. Experiment 2 (after effect on SEP): After-effects
of QPS were evaluated by following up SEPs after the QPS sessions. Experiment 3
(on-line effect on NIRS): Near infrared spectroscopy (NIRS) was also recorded at
the right hemisphere during all QPS paradigms. Both QPS-5 and QPS-50 enlarged a
cortical component of the contralateral SEP during stimulation. On the other
hand, concerning the after effects, QPS-5 over M1 potentiated the contralateral
SEP and QPS-50 tended to depress it. In NIRS study, both QPS-5 and QPS-50 induced
a significant oxy-Hb decrease (deactivation pattern) at the right hemisphere
during stimulation whereas sham stimulations unaffected them. We have shown the
unidirectional on-line effects evoked by QPS-5 and QPS-50 on both SEP and NIRS,
and bidirectional after effects on SEP at the contralateral hemisphere. The
discrepancy between on-line effect and after effect may be explained by the
differences in the underlying mechanisms between them. The former may be mainly
explained by pure electrophysiological property changes in the membrane or
synapses. The latter may be explained by synaptic efficacy changes which need
some protein syntheses at least partly. Another discrepancy shown here is the
direction of on-line effects. Electrophysiological (SEP) function was potentiated
by both QPSs whereas hemodynamic (NIRS) function was depressed. This may be
explained by which sensory areas contribute to NIRS or SEP generation.
PMID- 21904929
TI - Mechanism of functional recovery after repetitive transcranial magnetic
stimulation (rTMS) in the subacute cerebral ischemic rat model: neural plasticity
or anti-apoptosis?
AB - Repetitive transcranial magnetic stimulation (rTMS) has been studied increasingly
in recent years to determine whether it has a therapeutic benefit on recovery
after stroke. However, the underlying mechanisms of rTMS in stroke recovery
remain unclear. Here, we evaluated the effect of rTMS on functional recovery and
its underlying mechanism by assessing proteins associated with neural plasticity
and anti-apoptosis in the peri-lesional area using a subacute cerebral ischemic
rat model. Twenty cerebral ischemic rats were randomly assigned to the rTMS or
the sham group at post-op day 4. A total of 3,500 impulses with 10 Hz frequency
were applied to ipsilesional cortex over a 2-week period. Functional outcome was
measured before (post-op day 4) and after rTMS (post-op day 18). The rTMS group
showed more functional improvement on the beam balance test and had stronger Bcl
2 and weaker Bax expression on immunohistochemistry compared with the sham group.
The expression of NMDA and MAP-2 showed no significant difference between the two
groups. These results suggest that rTMS in subacute cerebral ischemia has a
therapeutic effect on functional recovery and is associated with an anti
apoptotic mechanism in the peri-ischemic area rather than with neural plasticity.
PMID- 21904930
TI - Imitation of hand and tool actions is effector-independent.
AB - Following the theoretical notion that tools often extend one's body, in the
present study, we investigated whether imitation of hand or tool actions is
modulated by effector-specific information. Subjects performed grasping actions
toward an object with either a handheld tool or their right hand. Actions were
initiated in response to pictures representing a grip at an object that could be
congruent or incongruent with the required action (grip-type congruency).
Importantly, actions could be cued by means of a tool cue, a hand cue, and a
symbolic cue (effector-type congruency). For both hand and tool actions, an
action congruency effect was observed, reflected in faster reaction times if the
observed grip type was congruent with the required movement. However, neither
hand actions nor tool actions were differentially affected by the effector
represented in the picture (i.e., when performing a tool action, the action
congruency effect was similar for tool cues and hand cues). This finding suggests
that imitation of hand and tool actions is effector-independent and thereby
supports generalist rather than specialist theories of imitation.
PMID- 21904931
TI - The impacts of modern warfare on freshwater ecosystems.
AB - There is increasing recognition and concern regarding the impacts of modern
industrial warfare on the environment. Freshwater ecosystems are perhaps the most
vulnerable to warfare-related impacts, which is of concern given that they
provide so many essential environmental resources and services to society.
Despite this, there has been little work to establish and quantify the types of
impacts (both negative and positive) that warfare may have on such systems. This
paper firstly highlights why rivers and lakes may be susceptible to warfare
related impacts, before synthesizing the available literature to explore the
following main themes: intensification of wartime resource acquisition, use of
water as an offensive or defensive weapon, direct and indirect effects of
explosive ordnance, increased pollution, introduction of invasive alien species,
and positive ecological impacts. This is then followed by a discussion of the
implications of such impacts in relation to future warfare, including a
consideration of the efficacy of existing legal instruments to protect the
environment during conflict, and the trend for war to become more localized and
'informal', and therefore less regulated. Finally, the paper identifies key
research foci for understanding and mitigating the effects of warfare on
freshwater ecosystems.
PMID- 21904932
TI - Enhanced wound healing associated with Sharpey's fiber-like tissue formation
around FGF-2-apatite composite layers on percutaneous titanium screws in rabbits.
AB - BACKGROUND: Pin-tract infections are the most common complications of external
fixation. To solve the problem, we developed a fibroblast growth factor-2 (FGF-2)
apatite composite layer for coating titanium screws. The purpose of this study
was to elucidate the mechanism of the improvement in infection resistance
associated with FGF-2-apatite composite layers. METHOD: We analyzed FGF-2 release
from the FGF-2-apatite composite layer and the mitogenic activity of the FGF-2
apatite composite layer. We evaluated time-dependent development of macroscopic
pin-tract infection around uncoated titanium control screws (n = 10). Screws
coated with the apatite layer (n = 16) and FGF-2-apatite composite layer (n = 16)
were percutaneously implanted for 4 weeks in the medial proximal tibia in
rabbits. RESULTS: A FGF-2-apatite composite layer coated on the screws led to the
retention of the mitogenic activity of FGF-2. FGF-2 was released from the FGF-2
apatite composite layer in vitro for at least 4 days, which corresponds to a
period when 30% of pin-tract infections develop macroscopically in the
percutaneous implantation of uncoated titanium control screws. The macroscopic
infection rate increased with time, reaching a plateau of 80-90% within 12 days.
This value remained unchanged until 4 weeks after implantation. The screws coated
with an FGF-2-apatite composite layer showed a significantly higher wound healing
rate than those coated with an apatite layer (31.25 vs. 6.25%, p < 0.05). The
interfacial soft tissue that bonded to the FGF-2-apatite composite layer is a
Sharpey's fiber-like tissue, where collagen fibers are inclined at angles from 30
to 40 degrees to the screw surface. The Sharpey's Wber-like tissue is rich in
blood vessels and directly bonds to the FGF-2-apatite composite layer via a thin
cell monolayer (0.8-1.7 MUm thick). CONCLUSION: It is suggested that the enhanced
wound healing associated with the formation of Sharpey's fiber-like tissue
triggered by FGF-2 released from the FGF-2-apatite composite layer leads to the
reduction in the pin-tract inflammation rate.
PMID- 21904933
TI - High altitude adaptation in Daghestani populations from the Caucasus.
AB - We have surveyed 15 high-altitude adaptation candidate genes for signals of
positive selection in North Caucasian highlanders using targeted re-sequencing. A
total of 49 unrelated Daghestani from three ethnic groups (Avars, Kubachians, and
Laks) living in ancient villages located at around 2,000 m above sea level were
chosen as the study population. Caucasian (Adygei living at sea level, N = 20)
and CEU (CEPH Utah residents with ancestry from northern and western Europe; N =
20) were used as controls. Candidate genes were compared with 20 putatively
neutral control regions resequenced in the same individuals. The regions of
interest were amplified by long-PCR, pooled according to individual, indexed by
adding an eight-nucleotide tag, and sequenced using the Illumina GAII platform.
1,066 SNPs were called using false discovery and false negative thresholds of
~6%. The neutral regions provided an empirical null distribution to compare with
the candidate genes for signals of selection. Two genes stood out. In Laks, a non
synonymous variant within HIF1A already known to be associated with improvement
in oxygen metabolism was rediscovered, and in Kubachians a cluster of 13 SNPs
located in a conserved intronic region within EGLN1 showing high population
differentiation was found. These variants illustrate both the common pathways of
adaptation to high altitude in different populations and features specific to the
Daghestani populations, showing how even a mildly hypoxic environment can lead to
genetic adaptation.
PMID- 21904934
TI - Low-molecular-weight methylcellulose-based thermo-reversible gel/pluronic micelle
combination system for local and sustained docetaxel delivery.
AB - PURPOSE: To develop low-molecular-weight methylcellulose (LMw MC)-based
gel/Pluronic F127 micelle combination system for local and sustained delivery of
docetaxel (DTX). METHODS: LMw MC and Pluronic F127 were used to formulate an
injectable thermo-reversible gel/micelle combination system containing DTX. The
DTX-loaded combination system was characterized and its therapeutic efficacy
evaluated in a subcutaneous tumor model. RESULTS: Mixtures of LMw MC, AS, and
Pluronic F127 formed gel at ~15-40 degrees C depending on AS concentration. The
combination system released DTX for >30 days with a biphasic and sustained
release pattern, and DTX stability was maintained during release. The combination
system significantly enhanced anti-cancer effects of DTX and prolonged survival
of the model mouse in comparison with free DTX. CONCLUSIONS: The LMw MC
gel/Pluronic F127 micelle combination system constitutes a promising tool for
reducing tumor size and eradicating remaining tumor cells before and after
surgery.
PMID- 21904935
TI - Influence of fed-fasted state on intestinal PEPT1 expression and in vivo
pharmacokinetics of glycylsarcosine in wild-type and Pept1 knockout mice.
AB - PURPOSE: To determine if fasting would affect the intestinal expression and in
vivo functional activity of PEPT1 as determined after oral dosing of the
dipeptide glycylsarcosine (GlySar). METHODS: Systemic exposure and tissue
distribution studies were performed in wild-type and Pept1 knockout mice, under
fed and fasted conditions, following both intravenous and oral doses of
[(14)C]GlySar at 5 nmol/g body weight. Intestinal PEPT1 expression was evaluated
by real-time PCR and immunoblot analyses. RESULTS: We found that expression of
PEPT1 protein in the small intestine was increased ~2-fold in wild-type mice
during fasted as compared to fed conditions. In agreement, systemic exposure and
peak plasma concentrations of orally administered GlySar were 40 and 65% greater,
respectively, in wild-type mice during fasted vs. fed state. No significant
differences were observed between fed and fasted animals during PEPT1 ablation.
Tissue distribution of GlySar was unchanged after oral dosing for all four
treatment groups. CONCLUSIONS: As little as 16 h of fasting can cause significant
upregulation of PEPT1 protein expression in the small intestine, which then
translates into a significant increase in in vivo oral absorption of GlySar.
PMID- 21904936
TI - A habituation account of change detection in same/different judgments.
AB - We investigated the basis of change detection in a short-term priming task. In
two experiments, participants were asked to indicate whether or not a target word
was the same as a previously presented cue. Data from an experiment measuring
magnetoencephalography failed to find different patterns for "same" and
"different" responses, consistent with the claim that both arise from a common
neural source, with response magnitude defining the difference between immediate
novelty versus familiarity. In a behavioral experiment, we tested and confirmed
the predictions of a habituation account of these judgments by comparing
conditions in which the target, the cue, or neither was primed by its
presentation in the previous trial. As predicted, cue-primed trials had faster
response times, and target-primed trials had slower response times relative to
the neither-primed baseline. These results were obtained irrespective of response
repetition and stimulus-response contingencies. The behavioral and brain activity
data support the view that detection of change drives performance in these tasks
and that the underlying mechanism is neuronal habituation.
PMID- 21904937
TI - Snow-shoveling and the risk of acute coronary syndromes.
AB - BACKGROUND: Snow-shoveling is a necessary activity for those living in temperate
climates, but there are no large studies identifying a connection between this
activity and the development of acute coronary syndromes (ACS). OBJECTIVES: The
aim of this study was to identify potential factors that place individuals at
higher risk for developing a snow-shoveling-related ACS. METHODS: We performed a
chart review over two consecutive winter seasons to identify a sample of ACS
events associated with shoveling snow. Demographics, cardiovascular risk factors
and medication use of the shoveling-related and non-shoveling-related event
groups were compared, and multivariate regression was used to identify a subset
of relevant factors. RESULTS: Our study population included 500 patients with
ACS, mean age of 65.7 +/- 13.4 years (range 31-94) and 66.7% of the events
occurred in males. A total of 35 (7%) events were documented to have occurred
following snow-shoveling. Between patients with snow-shoveling-related and non
related events there were no significant differences in the prevalence of
diabetes, hypertension, hypercholesterolemia or sleep apnea. Logistic regression
did not show any significant group differences in age and known coronary artery
disease; however, those suffering a snow-shoveling-related event were 3.6 times
more likely to have a family history of premature cardiovascular disease (p =
0.001) and were 4.8 times more likely to be male (p = 0.01). CONCLUSION: A family
history of premature cardiovascular disease and male gender were found to have
strong, independent associations with having a snow-shoveling-related ACS. A
history of chronic stable angina trended toward an association.
PMID- 21904938
TI - Phaseoloidin, a homogentisic acid glucoside from Nicotiana attenuata trichomes,
contributes to the plant's resistance against lepidopteran herbivores.
AB - Plant trichomes are known for their capability to produce and store secondary
metabolites that protect plants from biotic and abiotic stresses. (1)H NMR
studies on intact individual trichomes located on the leaf surface of Nicotiana
attenuata revealed the presence of two major secondary metabolites: nicotine, the
signature metabolite of the genus, and phaseoloidin, a homogentisic acid
glucoside. This glucoside was reported originally from the seeds of Entada
phaseoloides, and this is the first report of its occurrence in a Solanaceous
plant. Artificial diet feeding bioassays with Manduca sexta and Spodoptera
littoralis larvae, two important herbivores of N. attenuata, revealed that the
ingestion of phaseoloidin negatively influenced caterpillar performance. This
effect was more pronounced for the generalist, S. littoralis, than for the
specialists, M. sexta.
PMID- 21904939
TI - Susceptibility-weighted imaging: a new tool for detection of intratumoral
bleeding and subarachnoid hemorrhage--report of two cases.
PMID- 21904940
TI - Isometric non-machine-based prevention training program: effects on the cross
sectional area of the paravertebral muscles on magnetic resonance imaging.
AB - PURPOSE: The purpose of this study was to determine potential effects of
isometric non-machine-based training on the cross-sectional area (CSA) of the
paravertebral muscles in volunteers who were participants in a prevention
program. An increase in the CSA of back muscles after various machine-based
exercises have been reported but non-machine-based training programs have not
been adressed before. MATERIALS AND METHODS: In the study 14 volunteers, who were
participants of a company internal prevention program, underwent a magnetic
resonance (MR) examination before and after a 3 months training program to
improve back muscle strength. The MRI protocol consisted of T1-weighted and T2
weighted images aligned to the intervertebral disc spaces. The CSAs of the
erector spinae and quadratus lumborum muscles were assessed twice by 2 operators
at the levels L3/4, L4/5 and L5/S1. RESULTS: Out of 14 subjects 11 completed the
training. The recorded CSA values exhibited an intrarater and intrarater
correlation coefficient ranging from 0.949 to 0.989. There was an increase in CSA
in all subjects after the training period (mean increase 8%). CONCLUSIONS: A 3
month isometric training program is sufficient to effect measurable increases in
back muscles volume. The study demonstrated the usefulness of MRI to quantify
such changes as a measure of training efficacy and compliance into the training
program. These results represent a rationale for further studies to determine the
effect of different training methods on the CSA of back muscles and to correlate
structural changes with clinical symptoms in chronic low back pain syndrome.
PMID- 21904941
TI - Calcified intracranial masses: an unexpected diagnosis in western radiology.
PMID- 21904942
TI - Defining cancer survivorship: a more transparent approach is needed.
AB - INTRODUCTION: There is a lack of a consistent, operational definition of what it
means to be a cancer survivor despite widespread use of the term. The term
carries positive connotations of 'beating' cancer, but some people living past
cancer do not identify with this portrayal. METHODS: The term 'cancer survivor'
was first developed and used in the USA for advocacy reasons and to promote
research and care of this growing population. Some organizations define a cancer
survivor from the time of cancer diagnosis. Researcher and policy makers may use
different definitions based on their research or funding priorities. RESULTS: The
use of the term 'cancer survivor', its acceptability and its interpretation
amongst people living past a cancer diagnosis and primary treatment is relatively
understudied. There may be numerous interpretations of cancer survivorship
amongst people living past cancer, and some individuals may not relate to the
term. DISCUSSION AND IMPLICATIONS FOR CANCER SURVIVORS: Instead of working
towards a universal definition of cancer survivorship, we suggest that
researchers and policy makers use operational descriptions when discussing the
diverse population of people living past a cancer diagnosis.
PMID- 21904943
TI - Coronal fractures of the medial femoral condyle: a series of 6 cases and review
of literature.
AB - Isolated coronal fracture of medial femoral condyle with intact lateral femoral
condyle is extremely rare. We present our experience with such 6 cases of coronal
fractures of medial femoral condyle. We reviewed all case records of cases of
coronal fractures of femoral condyle which presented to our centre from Jan 2000
to Jun 2009. Of 72 such cases, 56 were of lateral condyle, 9 were bicondylar, and
7 were only medial femoral condyle fractures. However, one of the 7 cases was a
skeletally immature child with a physeal injury and hence excluded. All the 6
patients with medial femoral condyle fractures were retrospectively evaluated
both clinically and radiologically. Of the 6 patients with medial condyle
fractures, three patients had an isolated medial femoral condyle fracture, while
three of them had associated fractures. Four of these patients were identified at
the initial presentation. However, the fracture was missed during initial
evaluation in one of the patients, while another patient presented with neglected
medial Hoffa fracture after 6 months of injury. Mechanism of injury was direct
impact to the medial side of knee in flexion in 4 out of 6 cases. All cases were
operated through medial or antero-medial approach, and fixation was achieved in
all with antero-posterior screws. All cases united at a mean period of 4.6
months. Coronal fractures of the medial femoral condyle are very rare, and there
is a highly likelihood of these fractures being missed by an average orthopaedic
surgeon. A high index of suspicion is necessary for early diagnosis especially in
cases of undisplaced fractures. Being intra-articular, the ideal management
includes open reduction and internal fixation. Medial or antero-medial approach
with antero-posterior screws is the preferred method for fixation.
PMID- 21904944
TI - Family environment and premarital intercourse in Bandjoun (West Cameroon).
AB - Family environment is one of the most influential factors on youth sexual
behavior but has received little investigation in sub-Saharan Africa. Yet, a
comprehensive understanding of family influences could improve the efficiency of
reproductive health (RH) interventions. Using retrospective data from a
population-based survey, life-table analysis was utilized to compute the median
age at premarital intercourse among 1,182 youth aged 12-24 years. Discrete-time
hazard models were used in multivariate analysis to estimate the effects of
family structures and parent-child interactions on premarital intercourse.
Overall, 42% of participants had a premarital intercourse, and the median age at
first sex was 16.9 years. Participants in nuclear two-parent families had the
highest median (17.7) compared with those in nuclear one-(16.7), extended one
(16.5), extended two-parent families (16.9) or other relatives (16.8). Youth from
monogamous families had the highest median (16.9) compared to those from
polygamous (16.3) and other families (16.0). Orphans and youth reporting family
transitions were more likely to initiate first sex at an earlier age compared
with non-orphans and non-movers, with a median of 16.1 and 16.9 years,
respectively. Multivariate results showed that living in extended families, being
orphaned, and family transitions significantly increased the risk of premarital
intercourse. Polygamy showed marginal effects. Stronger parent-child
relationships and higher levels of parental control decreased the risk of
premarital intercourse. Unexpectedly, parent-child communication was
significantly associated with a higher risk of sexual debut. Programmatically,
family environment is an important resource that needs to be promoted when
designing RH interventions in sub-Saharan Africa.
PMID- 21904945
TI - Randomized controlled trial of health maintenance reminders provided directly to
patients through an electronic PHR.
AB - BACKGROUND: Provider and patient reminders can be effective in increasing rates
of preventive screenings and vaccinations. However, the effect of patient
directed electronic reminders is understudied. OBJECTIVE: To determine whether
providing reminders directly to patients via an electronic Personal Health Record
(PHR) improved adherence to care recommendations. DESIGN: We conducted a cluster
randomized trial without blinding from 2005 to 2007 at 11 primary care practices
in the Partners HealthCare system. PARTICIPANTS: A total of 21,533 patients with
access to a PHR were invited to the study, and 3,979 (18.5%) consented to enroll.
INTERVENTIONS: Patients in the intervention arm received health maintenance (HM)
reminders via a secure PHR "eJournal," which allowed them to review and update HM
and family history information. Patients in the active control arm received
access to an eJournal that allowed them to input and review information related
to medications, allergies and diabetes management. MAIN MEASURES: The primary
outcome measure was adherence to guideline-based care recommendations. KEY
RESULTS: Intention-to-treat analysis showed that patients in the intervention arm
were significantly more likely to receive mammography (48.6% vs 29.5%, p = 0.006)
and influenza vaccinations (22.0% vs 14.0%, p = 0.018). No significant
improvement was observed in rates of other screenings. Although Pap smear
completion rates were higher in the intervention arm (41.0% vs 10.4%, p < 0.001),
this finding was no longer significant after excluding women's health clinics.
Additional on-treatment analysis showed significant increases in mammography (p =
0.019) and influenza vaccination (p = 0.015) for intervention arm patients who
opened an eJournal compared to control arm patients, but no differences for any
measure among patients who did not open an eJournal. CONCLUSIONS: Providing
patients with HM reminders via a PHR may be effective in improving some elements
of preventive care.
PMID- 21904946
TI - Redox state-dependent aggregation of mitochondria induced by cytochrome c.
AB - Cytochrome c is known to play central role in apoptosis. Here, it is shown that
ferricytochrome c, but not ferrocytochrome c is able to directly induce the
aggregation of rat liver mitochondria, similar to the effect caused by magnesium
ions at high concentrations. The aggregation was revealed by a decrease in light
dispersion of mitochondrial suspension and it was confirmed by the optical
microscopy. In the medium containing NADH and cytochrome c, mitochondrial
aggregation was initiated only after exhaustion of NADH leading to oxidation of
cytochrome c. The aggregation induced by 30 MUM ferricytochrome c, but not by 5
mM MgCl(2), was completely inhibited by 30-100 MUM ferricyanide, thus indicating
that ferricyanide-cytochrome c specific interaction prevents mitochondrial
aggregation. After completion of the aggregation caused by ferricytochrome c,
this effect cannot be readily reversed by subsequent reduction of cytochrome c.
The aggregation induced by ferricytochrome c and/or magnesium ions explains
masking of the external NADH-oxidase activity of mitochondria in vitro reported
in the literature. This new cytochrome c redox state-dependent phenomenon might
also be involved in more complex mechanisms controlling aggregation (clustering)
of mitochondria in vivo under the influence of pro-apoptotic factors and requires
further study.
PMID- 21904947
TI - Simvastatin protects osteoblast against H2O2-induced oxidative damage via
inhibiting the upregulation of Nox4.
AB - Statins, 3-hydroxy-3-methylglutaryl coenzyme A reductase inhibitors, have been
used clinically as a cholesterol-lowering drug to treat hyperlipidemia. In recent
years, accumulating evidence indicates the possible beneficial effect of statins
on osteoporosis. However, the underlying molecular mechanism remains to be
elucidated. In the present study, we investigated the therapeutic effects of
simvastatin on cell viability, apoptosis, and alkaline phosphatase activity in
murine osteoblastic MC3T3-E1 cells treated by hydrogen peroxide (H(2)O(2), 100
MUM). It was shown that simvastatin suppressed H(2)O(2)-induced oxidative stress
and attenuated H(2)O(2)-induced cell injury including increasing osteoblastic
viability, inhibiting apoptosis, and promoting differentiation. Then, we examined
the effects of simvastatin (10(-7) M) on Nox1, Nox2, and Nox4 expressions in
osteoblastic cells treated by H(2)O(2) (100 MUM). We found that in MC3T3-E1
cells, H(2)O(2)-induced upregulation of Nox4 expression was inhibited by
simvastatin, which was restored by farnesyl pyrophosphate (5 MUM) as well as
geranylgeranyl pyrophosphate (5 MUM). RNAi approach was used to reduce Nox4
protein levels in osteoblastic cells to explore its biological effects against
H(2)O(2)-induced oxidative damage. When Nox4 expression was reduced in
osteoblastic cells, H(2)O(2)-induced cell injury was attenuated markedly. We
concluded that simvastatin protected osteoblast against H(2)O(2)-induced
oxidative damage, at least in part, via inhibiting the upregulation of Nox4.
PMID- 21904948
TI - [Disorders of sexual development and identity in childhood and adolescence.
Expert meeting in Krefeld, 12 February 2011].
PMID- 21904949
TI - A high-throughput solid phase screening method for identification of
lignocellulose-degrading bacteria from environmental isolates.
AB - The development of cost-effective biofuels will require improvements in the
efficiency of biomass deconstruction, a process typically carried out by
lignocellulose-degrading enzymes. Environmental microbes represent an abundant
and diverse source of lignocelluloses-degrading enzymes for use in biotechnology.
However, identification of microorganisms that possess these enzymes has been
slowed by a lack of rapid screening methodologies, particularly those that
utilize native lignocellulosic substrates. In this report, we describe a new,
solid-phase screening system for the identification of microbes capable of
lignocellulose degradation. The critical component of this screening system is
the use of acrylamide, instead of agar, as the solidifying agent. Our results
show that this screening method allows for the identification of Gram-positive
and Gram-negative bacteria that possess cellulose and hemicellulose degrading
activities from environmental isolates.
PMID- 21904950
TI - Selective serotonin reuptake inhibitor treatment and risk of fractures: a meta
analysis of cohort and case-control studies.
AB - Studies on use of selective serotonin reuptake inhibitors (SSRIs) and risk of
fracture have yielded inconsistent results. This meta-analysis, which pooled
results from 13 qualifying cohort and case-control studies, found that SSRIs were
associated with a significantly increased risk of fractures. INTRODUCTION: This
study was conducted to assess whether people who take SSRIs are at an increased
risk of fracture. METHODS: We conducted a meta-analysis of observational studies.
Relevant studies published by February 2010 were identified through literature
searches using MEDLINE (from 1966), EMBASE (from 1988), PsycINFO (from 1806), and
manual searching of reference lists. Only cohort or case-control studies that
examined the association of SSRIs and risk of fracture and bone loss were
included. Data were abstracted independently by two investigators using a
standardized protocol; disagreements were resolved by consensus. Random effects
models were used for pooled analysis due to heterogeneity in the studies.
RESULTS: Thirteen studies met inclusion criteria. Overall, SSRI use was
associated with a significantly increased risk of fracture (relative risk, RR,
1.72; 95% CI [1.51, 1.95]; P < 0.001). An increased fracture risk associated with
SSRIs also was observed in the three studies that adjusted for bone mineral
density (RR, 1.70; 95% CI [1.28, 2.25]; P < 0.001) and in the four studies that
adjusted for depression (RR 1.74; 95% CI [1.28, 2.36]; P < 0.001). SSRI use was
not associated with bone loss in the two cohort studies of women (P = 0.29). The
overall association between SSRI use and fracture risk was weaker (RR, 1.40; 95%
CI [1.22, 1.61]), though still significant (P < 0.001) in analyses that accounted
for apparent publication bias. CONCLUSIONS: Use of SSRIs is associated with
increased risk of fracture. The SSRIs may exert an increased risk of fracture
independent of depression and bone mineral density.
PMID- 21904951
TI - Rib fracture as a predictor of future fractures in young and older postmenopausal
women: National Osteoporosis Risk Assessment (NORA).
AB - A rib fracture history after age 45 was associated with a 5.4-fold increase in
new rib fracture risk and a 2.4-fold increase in risk of any new clinical
fracture in 155,031 postmenopausal women. A rib fracture history suggests
osteoporosis and should be considered when evaluating patients for interventions
to prevent fractures. INTRODUCTION: Until recently, little attention was paid to
rib fracture as an osteoporosis marker. Emerging evidence suggests rib fracture
may be an osteoporotic fracture in men and women. We report the 5-year
independent association between baseline rib fracture histories and self-reported
future fractures by age (decade) in the NORA cohort (155,031 postmenopausal
women, 50-99 years). METHODS: Participants reported fracture history and
responded to follow-up surveys at years 1, 3, or 6. Women with a baseline rib
fracture history without other fractures were compared with women with no
fracture. RESULTS: At baseline, 4,758 (3.07%) women reported a rib fracture
history without other fractures; 6,300 women reported 6,830 new clinical
fractures, including wrist (2,271), rib (1,891), spine (1,136), hip (941), and
forearm (591). Adjusted relative risk (ARR) values (95% confidence interval [CI])
for future fractures in women with rib fracture history versus women with no
fracture history were 5.4 (4.8-6.1) at the rib, 2.1 (1.7-2.6) at the spine, and
1.4 (1.1-1.7) at the wrist, and not significant for forearm or hip fractures.
Future fracture risk was at least doubled in women with a rib fracture history in
all ages: ARR (95% CI) 3.4 (2.8-4.0) for ages 50-59, 2.5 (2.1-3.0) for ages 60
69, 2.0 (1.7-2.3) for ages 70-79, and 2.0 (1.6-2.6) for ages >80. CONCLUSIONS:
Rib fracture, the second most common clinical fracture in women (after wrist
fracture), predicted future fractures of the rib, wrist, and spine at all ages.
Women presenting with rib fractures should be evaluated for appropriate
management to prevent future fractures.
PMID- 21904952
TI - An evaluation of peripherally inserted central venous catheters for children with
cancer requiring long-term venous access.
AB - Long-term venous access is essential when treating malignant diseases. We
reviewed our experience with peripherally inserted central venous catheters
(PICC) in children suffering from various malignancies with regard to catheter
life, reasons for removal, and complications. Ninety-three PICCs were inserted in
78 children. Median catheter life was 162 days (range 6-575 days) with a total of
16,266 catheter days. Seventy-five PICCs (80.6%) had been placed until the
elective removal or patients' death, whereas 18 PICCs (19.4%) were removed due to
PICC-related complications; a rate of 1.11 per 1,000 catheter days. Complications
requiring removal of PICCs included infection (n = 12), occlusion (n = 3),
dislodgement (n = 2), and phlebitis (n = 1) with rates of 0.74, 0.18, 0.12 and
0.06 per 1,000 catheter days, respectively. We conclude that PICC provides
reliable long-term intravenous access in children suffering from malignancies.
PMID- 21904953
TI - Evaluation of subsidence, chondrocyte survival and graft incorporation following
autologous osteochondral transplantation.
AB - PURPOSE: The aim of this study was to evaluate subsidence tendency, surface
congruency, chondrocyte survival and plug incorporation after osteochondral
transplantation in an animal model. The potential benefit of precise seating of
the transplanted osteochondral plug on the recipient subchondral host bone
('bottoming') on these parameters was assessed in particular. METHODS: In 18
goats, two osteochondral autografts were harvested from the trochlea of the
ipsilateral knee joint and inserted press-fit in a standardized articular
cartilage defect in the medial femoral condyle. In half of the goats, the
transplanted plugs were matched exactly to the depth of the recipient hole
(bottomed plugs; n = 9), whereas in the other half of the goats, a gap of 2 mm
was left between the plugs and the recipient bottom (unbottomed plugs; n = 9).
After 6 weeks, all transplants were evaluated on gross morphology, subsidence,
histology, and chondrocyte vitality. RESULTS: The macroscopic morphology scored
significantly higher for surface congruency in bottomed plugs as compared to
unbottomed reconstructions (P = 0.04). However, no differences in histological
subsidence scoring between bottomed and unbottomed plugs were found. The
transplanted articular cartilage of both bottomed and unbottomed plugs was vital.
Only at the edges some matrix destaining, chondrocyte death and cluster formation
was observed. At the subchondral bone level, active remodeling occurred, whereas
integration at the cartilaginous surface of the osteochondral plugs failed to
occur. Subchondral cysts were found in both groups. CONCLUSIONS: In this animal
model, subsidence tendency was significantly lower after 'bottomed' versus
'unbottomed' osteochondral transplants on gross appearance, whereas for
histological scoring no significant differences were encountered. Since the
clinical outcome may be negatively influenced by subsidence, the use of
'bottomed' grafts is recommended for osteochondral transplantation in patients.
PMID- 21904954
TI - Divergences in the response to ultraviolet radiation between polar and non-polar
ciliated protozoa: UV radiation effects in Euplotes.
AB - Ultraviolet (UV) radiation has detrimental effects on marine ecosystems, in
particular in the polar regions where stratospheric ozone reduction causes higher
levels of solar radiation. We analyzed two polar species of Euplotes, Euplotes
focardii and Euplotes nobilii, for the sensitivity to UV radiation in comparison
with two akin species from mid-latitude and tropical waters. Results showed that
they face UV radiation much more efficiently than the non-polar species by
adopting alternative strategies that most likely reflect different times of
colonization of the polar waters. While E. focardii, which is endemic to the
Antarctic, survives for longer exposed to UV radiation, E. nobilii, which
inhabits both the Antarctic and Arctic, recovers faster from UV-induced damage.
PMID- 21904955
TI - Aesthetic concepts, perceptual learning, and linguistic enculturation:
considerations from Wittgenstein, language, and music.
AB - Aesthetic non-cognitivists deny that aesthetic statements express genuinely
aesthetic beliefs and instead hold that they work primarily to express something
non-cognitive, such as attitudes of approval or disapproval, or desire. Non
cognitivists deny that aesthetic statements express aesthetic beliefs because
they deny that there are aesthetic features in the world for aesthetic beliefs to
represent. Their assumption, shared by scientists and theorists of mind alike,
was that language-users possess cognitive mechanisms with which to objectively
grasp abstract rules fixed independently of human responses, and that cognizers
are thereby capable of grasping rules for the correct application of aesthetic
concepts without relying on evaluation or enculturation. However, in this article
I use Wittgenstein's rule-following considerations to argue that psychological
theories grounded upon this so-called objective model of rule-following fail to
adequately account for concept acquisition and mastery. I argue that this is
because linguistic enculturation, and the perceptual learning that's often
involved, influences and enables the mastery of aesthetic concepts. I argue that
part of what's involved in speaking aesthetically is to belong to a cultural
practice of making sense of things aesthetically, and that it's within a socio
linguistic community, and that community's practices, that such aesthetic sense
can be made intelligible.
PMID- 21904956
TI - Time to audit.
AB - INTRODUCTION: Public and political pressures are increasing on doctors and in
particular surgeons to demonstrate competence assurance. While surgical audit is
an integral part of surgical practice, its implementation and delivery at a
national level in Ireland is poorly developed. Limits to successful audit systems
relate to lack of funding and administrative support. In Wexford General
Hospital, we have a comprehensive audit system which is based on the Lothian
Surgical Audit system. MATERIALS AND METHODS: We wished to analyse the amount of
time required by the Consultant, NCHDs and clerical staff on one surgical team to
run a successful audit system. Data were collected over a calendar month. This
included time spent coding and typing endoscopy procedures, coding and typing
operative procedures, and typing and signing discharge letters. RESULTS: The
total amount of time spent to run the audit system for one Consultant surgeon for
one calendar month was 5,168 min or 86.1 h. Greater than 50% of this time related
to work performed by administrative staff. Only the intern and administrative
staff spent more than 5% of their working week attending to work related to the
audit. CONCLUSIONS: An integrated comprehensive audit system requires a very
little time input by Consultant surgeons. Greater than 90% of the workload in
running the audit was performed by the junior house doctors and administrative
staff. The main financial implications for national audit implementation would
relate to software and administrative staff recruitment. Implementation of the
European Working Time Directive in Ireland may limit the time available for
NCHD's to participate in clinical audit.
PMID- 21904957
TI - Downregulation of KIF23 suppresses glioma proliferation.
AB - To identify therapeutic molecular targets for glioma, we performed modified
serological identification of antigens by recombinant complementary DNA (cDNA)
expression cloning using sera from a mouse glioma model. Two clones, kinesin
family member 23 (Kif23) and structural maintenance of chromosomes 4 (Smc4), were
identified as antigens through immunological reaction with sera from mice
harboring synergic GL261 mouse glioma and intratumoral inoculation with a mutant
herpes simplex virus. The human Kif23 homolog KIF23 is a nuclear protein that
localizes to the interzone of mitotic spindles, acting as a plus-end-directed
motor enzyme that moves antiparallel microtubules in vitro. Expression analysis
revealed a higher level of KIF23 expression in glioma tissues than in normal
brain tissue. The introduction of small interfering RNA (siRNA) targeting KIF23
into two different glioma cell lines, U87MG and SF126, downregulated KIF23
expression, which significantly suppressed glioma cell proliferation in vitro.
KIF23 siRNA-treated glioma cells exhibited larger cell bodies with two or more
nuclei compared with control cells. In vivo analysis using mouse xenograft showed
that KIF23 siRNA/DNA chimera-treated tumors were significantly smaller than
tumors treated with control siRNA/DNA chimera. Taken together, our results
indicate that downregulation of KIF23 decreases proliferation of glioma cells and
that KIF23 may be a novel therapeutic target in malignant glioma.
PMID- 21904958
TI - Inducible expression of neurotrophic factors by mesenchymal progenitor cells
derived from traumatically injured human muscle.
AB - Peripheral nerve damage frequently accompanies musculoskeletal trauma and repair
of these nerves could be enhanced by the targeted application of neurotrophic
factors (NTFs), which are typically expressed by endogenous cells that support
nerve regeneration. Injured muscle tissues express NTFs to promote reinnervation
as the tissue regenerates, but the source of these factors from within the
muscles is not fully understood. We have previously identified a population of
mesenchymal progenitor cells (MPCs) in traumatized muscle tissue with properties
that support tissue regeneration, and our hypothesis was that MPCs also secrete
the NTFs that are associated with muscle tissue reinnervation. We determined that
MPCs express genes associated with neurogenic function and measured the protein
level expression of specific NTFs with known functions to support nerve
regeneration. We also demonstrated the effectiveness of a neurotrophic induction
protocol to enhance the expression of the NTFs, which suggests that the
expression of these factors may be modulated by the cellular environment.
Finally, neurotrophic induction affected the expression of cell surface markers
and proliferation rate of the MPCs. Our findings indicate that traumatized muscle
derived MPCs may be useful as a therapeutic cell type to enhance peripheral nerve
regeneration following musculoskeletal injury.
PMID- 21904960
TI - American Society of Breast Surgeons Presidential address: three simple ideas.
PMID- 21904959
TI - Feasibility of percutaneous excision followed by ablation for local control in
breast cancer.
AB - PURPOSE: Percutaneous ablation of breast cancer has shown promise as a treatment
alternative to open lumpectomy. We hypothesized that percutaneous removal of
breast cancer followed by percutaneous ablation to sterilize and widen the
margins would not only provide fresh naive tissue for tumor marker and research
investigation, but also better achieve negative margins after ablation. METHODS:
Patients diagnosed by percutaneous biopsy (ultrasound or stereotactic-guided)
with breast cancer <=1.5 cm, >1 cm from the skin, and <=1 cm residual disease and
no multicentric disease by magnetic resonance imaging were accrued to this
institutional review board-approved study. Patients were randomized to laser
versus radiofrequency ablation. The ultrasound-guided ablation was performed in
the operating room and followed by immediate excision, whole-mount pathology with
proliferating cell nuclear antigen staining, and reconstruction. RESULTS: Twenty
one patients were enrolled onto the study. Fifteen patients received
radiofrequency ablation, and all showed 100% ablation and negative margins.
Magnetic resonance imaging was helpful in excluding multicentric disease but less
so in predicting presence or absence of residual disease. Seven of these patients
showed no residual tumor and eight showed residual dead tumor (0.5 +/- 0.7 cm,
range 0.1-2.5 cm) at the biopsy site with clear margins. The laser arm (3
patients) pathology demonstrated unpredictability of the ablation zone and
residual live tumor. CONCLUSIONS: This pilot study demonstrates the feasibility
of a novel approach to minimally invasive therapy: percutaneous excision and
effective cytoreduction, followed by radiofrequency ablation of margins for the
treatment of breast cancer. Laser treatment requires further improvement.
PMID- 21904961
TI - Intact Percutaneous Excision (IPEX) for Definitive Diagnosis of High-Risk Breast
Lesions.
AB - BACKGROUND: Open surgical excision (OSE) is generally recommended when image
guided core needle breast biopsy demonstrates a high-risk lesion (HRL). We
evaluated intact percutaneous excision (IPEX) with standard radiologic and
histologic criteria for definitive diagnosis of HRL, particularly atypical ductal
hyperplasia (ADH).The primary goal was to confirm criteria associated with <2%
risk for upgrade to carcinoma, equivalent to risk associated with BI-RADS 3
lesions, for which imaging surveillance is considered sufficient. METHODS: In an
institutional review board-approved prospective trial, 1,170 patients recommended
for breast biopsy at 25 institutions received IPEX with a vacuum- and
radiofrequency-assisted device. ADH patients in whom the imaged lesion had been
removed and the lesion adequately centered for definitive characterization were
designated as the potential surgical avoidance population (PSAP) before OSE.
Subsequent OSE specimen pathology was compared with IPEX findings. RESULTS: In
1,170 patients, 191 carcinomas and 83 (7%) HRL, including 32 ADH (3%), were
diagnosed via IPEX. None of the 51 non-ADH HRL were upgraded to carcinoma on OSE
(n = 24) or, if OSE was declined, on radiologic follow-up (n = 27). No ADH
lesions meeting PSAP criteria (n = 10) were upgraded to carcinoma on OSE; 3 (14%)
of 22 non-PSAP ADH lesions were upgraded to carcinoma on OSE. In summary, no
upgrades to carcinoma were made in patients with non-ADH lesions who underwent
IPEX or in ADH patients who had IPEX, met histologic and radiologic criteria, and
underwent OSE. CONCLUSIONS: IPEX combined with straightforward histologic and
radiologic criteria and imaging surveillance constitutes acceptable management of
image-detected HRL, including ADH.
PMID- 21904962
TI - The role of preoperative [18F]fluorodeoxyglucose positron emission tomography in
predicting early recurrence after curative resection of hepatocellular
carcinomas.
AB - PURPOSE: (18)F-fluorodeoxyglucose (FDG) uptake on positron emission tomography
(PET) scan reflects tumor differentiation and predicts clinical outcome in
patients with hepatocellular carcinoma (HCC). We investigated the correlation of
PET scans with tumor differentiation and early tumor recurrence (time-to
recurrence <1 year). METHODS: We reviewed the medical records of 93 patients with
HCC who underwent curative resection at our hospital from August 2004 through
December 2008. PET scans were performed preoperatively, and the maximum
standardized uptake value of the tumor (SUV(tumor)) and the tumor-to-non-tumor
SUV ratio (TNR) were calculated from FDG uptake. RESULTS: Twenty-six (27.9%) had
recurrences and 12 of them (46.2%) had early recurrences. SUV(tumor) and TNR
correlated strongly with tumor differentiation (p < 0.001). Early recurrence-free
and the overall survival rates in the low TNR group (TNR <2.0) were higher than
in the high TNR group (TNR >=2.0) (p = 0.015, p = 0.013). According to univariate
analysis, predictors of early tumor recurrence were large tumor size (>=5 cm),
high TNR (>=2), high SUV(tumor) (>=4), and high Edmoson-Steiner grade. However,
on multivariate analysis, none of the examined factors were statistically
significant independent predictor. CONCLUSION: PET scans reflect tumor
differentiation in HCCs. Because high TNR (TNR >=2) and SUV(tumor) (SUV >=4) were
these cutoff point significant predictors in univariate analysis, future studies
with more statistical power are needed to assess the significance.
PMID- 21904963
TI - Management of gastrointestinal leaks after minimally invasive esophagectomy:
conventional treatments vs. endoscopic stenting.
AB - INTRODUCTION: Gastrointestinal leak is a dreaded complication after
esophagectomy. Conventional treatments for leak include conservative therapy,
surgical reoperation, and even complete gastrointestinal (GI) diversion. The aim
of this study was to evaluate the impact of endoluminal stenting in the
management of esophagogastric leak after esophagectomy. METHODS: Data on 18
(11.3%) of 160 patients who developed postoperative leaks after minimally
invasive esophagectomy were reviewed. Indications for esophagectomy included
carcinoma (n = 14), Barrett's with high-grade dysplasia (n = 3), and benign
stricture (n = 1). Neoadjuvant therapy was used in 57.1% of patients with
carcinoma. The first nine patients underwent conventional treatments for leak
whereas the latter nine patients underwent endoscopic esophageal covered stenting
as primary therapy. There were 5 cervical and 13 intrathoracic anastomotic leaks.
Main outcome measures included patient characteristics, types of treatment,
length of hospital stay, morbidity, and mortality. RESULTS: Subjects were 16
males and 2 females with a mean age of 66 years. In the conventional treatment
group, leaks were treated with neck drainage (n = 4), GI diversion (n = 2), and
thoracoscopic drainage with or without repair or T-tube placement (n = 3). In the
endoscopy group, all leaks were treated with endoscopic covered stenting with or
without percutaneous drainage (n = 9). Control of leaks occurred in 89% of
patients in the conventional treatment group vs. 100% of patients in the
endoscopic stenting group. Three patients in the conventional treatment group
(33%) required esophageal diversion compared to none of the patients in the
endoscopy group. The 60-day or in-hospital mortality was 0% for both groups.
CONCLUSION: In our clinical practice, there has been a shift in the management of
esophagogastric anastomotic leaks to nonsurgical therapy using endoscopic
esophageal covered stenting. Endoluminal stenting is a safe and effective
alternative in the management of GI leaks.
PMID- 21904964
TI - Intensive versus conventional insulin therapy in nondiabetic patients receiving
parenteral nutrition after D2 gastrectomy for gastric cancer: a randomized
controlled trial.
AB - BACKGROUND: This study was used to compare the effects of intensive insulin
therapy with conventional insulin therapy on postoperative outcomes among
nondiabetic patients receiving parenteral nutrition following D2 gastrectomy for
gastric cancer. METHOD: A total of 248 eligible patients were randomly assigned
to receive intensive insulin therapy targeting a blood glucose level between 4.4
and 6.1 mmol/l [intensive group (n = 125)] or conventional insulin therapy
targeting a blood glucose level less than 11.0 mmol/l [conventional group (n =
123)] during the postoperative period. RESULTS: Mean blood glucose concentrations
were lower in the intensive group than in the conventional group. Severe
hypoglycemia defined as blood glucose <=2.2 mmol/l occurred in eight (6.4%)
patients in the intensive group vs one (0.8%) patient in the conventional group
(P = 0.036). One (0.8%) patient died in the intensive group vs two (1.6%)
patients in the conventional group (P = 0.620). However, intensive insulin
therapy significantly reduced overall postoperative complications rate (from
25.2% to 13.6%, P = 0.024). Moreover, both insulin resistance indicated as HOMA
IR and HLA-DR expression on monocytes were improved in the intensive group.
CONCLUSIONS: Intensive insulin therapy significantly reduced the postoperative
short-term morbidity but not mortality among nondiabetic patients receiving
parenteral nutrition after D2 gastrectomy. The benefits may be due to the
suppression of insulin resistance and improvement of HLA-DR expression on
monocytes.
PMID- 21904966
TI - Relationships between liposome properties, cell membrane binding, intracellular
processing, and intracellular bioavailability.
AB - Positive surface charge enhances liposome uptake into cells. Pegylation, used to
confer stealth properties to enable in vivo applications of cationic liposomes,
compromises internalization. The goal of this study was to determine the
quantitative relationships between these two liposome properties (separately and
jointly), liposomes binding to cell membrane, and the subsequent internalization
and residence in intracellular space (referred to as intracellular
bioavailability). The results, obtained in pancreatic Hs-766T cancer cells,
revealed nonlinear and inter-dependent relationships, as well as substantial
qualitative and quantitative differences. The proportionality constant K of
intracellular and membrane-bound liposomes at equilibrium (i.e., I(eq) and B(eq))
showed a positive triphasic relationship with surface charge and a negative
biphasic relationship with pegylation. Near-neutral liposomes showed little
internalization of the membrane-bound moiety, increasing to a constant K value
for medium charge liposomes (+15 to +35 mV zeta potential), followed by a further
increase for highly charged liposomes (greater than or equal to +46 mV). The
decline of pegylation with K value showed a breakpoint at 2%. The negative
consequences of pegylation (%PEG) were partially offset by increasing charge
(ZP). The best-fitting regression equations are: B(eq) = -1.36 * %PEG + 0.33 *
ZP; I(eq) = -1.52 * %PEG + 0.34 * ZP. It suggested that 1% pegylation increase
can be offset with 4 mV ZP. The differences are such that it may be possible to
balance these parameters to simultaneously maximize the stealth property and
intracellular bioavailability of cationic liposomes.
PMID- 21904967
TI - [Valvular heart disease: anesthesia in non-cardiac surgery].
AB - The probability of treating patients with valvular heart disease during non
cardiac surgery increases with the age of the patient. The prevalence of valvular
heart disease is approximately 2.5% and increases further in the patient group
aged over 75 years old. Patients with valvular heart disease undergoing non
cardiac surgery have an increased perioperative cardiovascular risk depending on
the severity of the disease. Knowledge of the hemodynamic alterations and
compensation mechanisms which accompany diseases of the valve apparatus is
essential for a suitable treatment of patients with such pre-existing diseases.
The most common valvular heart diseases lead to volume (mitral valve
insufficiency) or pressure load (aortic stenosis) of the left ventricle and in
the case of mitral stenosis to a pressure load on the left atrium. Depending on
the underlying disease and the type of surgery planned a corresponding choice of
anesthesia procedure and medication must be made. In the present review article
the pathophysiology of the relevant valvular heart diseases and the implications
for perioperative anesthesia management will be presented. An individually
tailored extended perioperative monitoring allows hemodynamic alterations to be
rapidly recognized and adequately treated.
PMID- 21904968
TI - Clinical features and treatment outcomes of isolated secondary central nervous
system lymphomas in Miyazaki Prefecture.
AB - BACKGROUND: Secondary central nervous system lymphoma (SCNSL) without extra
central nervous system (CNS) involvement is characterized by isolated secondary
CNS relapse in malignant lymphoma patients. SCNSL is a rare disease, and no
standard treatment has yet been established. PATIENTS AND METHODS: To elucidate
the clinical characteristics and outcomes of SCNSL, we retrospectively analyzed
12 patients (median age 67 years) in Miyazaki prefecture for the last 5 years.
RESULTS: The initial histological diagnoses of the patients were diffuse large B
cell lymphoma (DLBCL), mantle-cell lymphoma, and adult T-cell lymphoma in 9, 2,
and 1 patient, respectively. We focused on analysis of the 9 SCNSL cases
originating from DLBCL. The locations of CNS relapse were the cerebral
hemisphere, basal ganglia, and cerebellum in 7, 1, and 1 patient, respectively.
Three patients were treated with high-dose methotrexate (HD-MTX) therapy; 4 with
whole-brain radiation therapy (WBRTX); and 1 with both HD-MTX and WBRTX. The
remaining patients were treated with rituximab. Partial remission was achieved in
6 out of 9 patients (67%); the other 3 patients (33%) did not respond to therapy.
Median survival of the 9 patients with CNS relapse was 253 days; 6 of the 9
patients survived for more than 6 months. As of March 2011, 2 HD-MTX group
patients but none of the WBRTX group patients were alive. CONCLUSIONS: In this
retrospective study, 6 of 9 patients with SCNSL originating from DLBCL survived
for more than 6 months. Both HD-MTX and WBRTX had clinical benefits in the
treatment of SCNSL.
PMID- 21904969
TI - Insights into the pH up-shift responsive mechanism of Acidithiobacillus
ferrooxidans by microarray transcriptome profiling.
AB - To define the molecular response of Acidithiobacillus ferrooxidans under pH up
shift, temporal gene expression profiles were examined by using whole-genome DNA
microarrays for A. ferrooxidans. Approximately 30% of the 3,132 genes represented
on the microarray were significantly upregulated over a 160-min period, while
about 14% were significantly downregulated. Our results revealed that A.
ferrooxidans showed potential self-protection and self-regulation performance in
response to pH up-shift stress. Many genes involved in regulation of membrane
components were differentially expressed under the pH up-shift stress. Likewise,
most of genes involved in phosphate metabolism, sulfur assimilation, and CO(2)
fixation were obviously induced. Conversely, the transcription of a polyphosphate
kinase gene (AFE1210) associated with phosphate storage was significantly
repressed, which probably stemmed from the depletion of polyphosphate. Besides,
most of the genes involved in hydrogen uptake were significantly induced, whereas
many genes involved in nitrogen fixation were obviously repressed, which
suggested that hydrogen uptake and nitrogen fixation could contribute to
cytoplasmic pH homeostasis.
PMID- 21904970
TI - Deep brain stimulation of the subthalamic nucleus versus the zona incerta in the
treatment of essential tremor.
AB - BACKGROUND: Deep brain stimulation (DBS) is an effective treatment for essential
tremor (ET). Currently the ventrolateral thalamus is the target of choice, but
the posterior subthalamic area (PSA), including the caudal zona incerta (cZi),
has demonstrated promising results, and the subthalamic nucleus (STN) has been
suggested as a third alternative. The objective of the current study was to
evaluate the effect of STN DBS in ET and to compare this to cZi DBS. METHODS:
Four patients with ET were implanted with two ipsilateral electrodes, one in the
STN and one in the cZi. All contacts were evaluated concerning the acute effect
on tremor, and the effect of chronic DBS in either target was analyzed. RESULTS:
STN and cZi both proved to be potent targets for DBS in ET. DBS in the cZi was
more efficient, since the same degree of tremor reduction could here be achieved
at lower energy consumption. Three patients became tremor-free in the treated
hand with either STN or cZi DBS, while the fourth had a minor residual tremor
after stimulation in either target. CONCLUSION: In this limited material, STN DBS
was demonstrated to be an efficient treatment for ET, even though cZi DBS was
more efficient. The STN may be an alternative target in the treatment of ET,
pending further investigations to decide on the relative merits of the different
targets.
PMID- 21904971
TI - [Modern face lift surgery].
AB - Face lift surgery is generally considered the classical surgical procedure of
plastic surgery. This is an extensive operation which has undergone a huge
development since its first implementation more than 100 years ago. What began as
a simple skin tightening procedure is today a sophisticated and complex technique
which ideally combines different treatment methods planned with surgical
precision. This article provides an overview of the history of the procedure to
the present state of the art concept of pairing biplanar and bivectorial face
neck lifts with autologous fat transfer and dermabrasion.
PMID- 21904972
TI - [Upper and lower eyelid blepharoplasty : development of aesthetic periocular
plastic surgery].
AB - The article describes the indications and techniques for blepharoplasty of the
upper and lower eyelids as well as combinations with other eyelid correction
techniques. Upper eyelid blepharoplasty may include skin excision with orbital
fat modulation as well as ptosis correction and definition of the superior sulcus
palpebralis. The main goal of lower lid blepharoplasty is modulation of
intraseptal fat and also often the treatment of a lower lid laxity by canthopexy.
It is recommended to avoid excessive skin resection in the lower lid. Furthermore
techniques to address an ectropium are reviewed and possible complications of
blepharoplasty are presented.
PMID- 21904973
TI - [Surgical therapy of gynecomastia].
AB - Nowadays surgical intervention is an essential part of the treatment of
idiopathic gynecomastia. Choosing the right method is crucial and is based on the
current status in the clinical and histological evaluation. Before finalizing the
process of choosing a specific method a prior interdisciplinary evaluation of the
patient is necessary to ascertain clear indications for a surgical intervention.
Liposuction is one of the methods which have become popular in recent years. The
advantages are the possible combination with traditional techniques, such as
subcutaneous mastectomy or periareolar mastopexy. The main indication is for
gynecomastia stage IIa/b and is justifiable due to the reduction in surgical
complications and scarring. Furthermore this technique provides an excellent
aesthetical outcome for the patient. A total of 162 patients suffering from
gynecomastia stages I-III (according to Simon) were surgically treated between
2000 and 2010 and these cases were retrospectively evaluated. The results showed
a decline in the use of a T-shaped incision in combination with subcutaneous
mastectomy with periareolar tightening compared to an increase in the use of
subcutaneous mastectomy in combination with liposuction. The excised tissue
should always be sent for histological examination to make sure no malignant
cells were present.
PMID- 21904974
TI - [Lower body contouring procedures].
AB - Indications for most forms of abdominoplasty are slight weight fluctuations or
pregnancy. The steadily increasing number of patients with greater weight loss as
well as the growing number of bariatric operations subsequently leads to a
significant increase in body contouring procedures and places new challenges on
plastic surgeons. After major weight loss patients present with extremely
variable deformities in the lower and upper trunk as well as the extremities,
which have to be treated individually with an appropriate procedure. The
restoration of the lower trunk presents the first stage of the entire
reconstruction process. The various modifications of abdominoplasty procedures
with their various incision patterns and scar courses and the circumferential
lower trunk dermatolipectomy represent advanced operations for every individual
case. Plastic surgeons should be fully aware of differences and indications of
every available procedure in the area of the lower trunk and should have the
ability to offer the entire repertory for each individual deformity. A high
postoperative patient satisfaction results from a customized procedure selection,
the optimal implementation with a correspondingly low rate of complications and
above-average patient care.
PMID- 21904975
TI - Adventures and lessons of an American biochemist in China.
PMID- 21904976
TI - Current understanding of Th2 cell differentiation and function.
AB - Helper T cell (Th) has been identified as a critical immune cell for regulating
immune response since 1980s. The type 2 helper Tcell (Th2), characterized by the
production of interleukin-4 (IL-4), IL-5 and IL-13, plays a critical role in
immune response against helminths invading cutaneous or mucosal sites. It also
has a functional role in the pathophysiology of allergic diseases such as asthma
and allergic diarrhea. Currently, most studies have shed light on Th2 cell
function and behavior in specific diseases, such as asthma and helminthes
inflammation, but not on Th2 cell itself and its differentiation. Based on
different cytokines and specific behavior in recent research, Th2 cell is also
regarded as new subtypes of T cell, such as IL-9 secreting T cell (Th9) and
CXCR5(+) T follicular helper cells. Here, we will discuss the latest view of Th2
cell towards their function and the involvement of Th2 cell in diseases.
PMID- 21904977
TI - The dual role of ubiquitin-like protein Urm1 as a protein modifier and sulfur
carrier.
AB - The ubiquitin-related modifier Urm1 can be covalently conjugated to lysine
residues of other proteins, such as yeast Ahp1 and human MOCS3, through a
mechanism involving the E1-like protein Uba4 (MOCS3 in humans). Similar to
ubiquitination, urmylation requires a thioester intermediate and forms isopeptide
bonds between Urm1 and its substrates. In addition, the urmylation process can be
significantly enhanced by oxidative stress. Recent findings have demonstrated
that Urm1 also acts as a sulfur carrier in the thiolation of eukaryotic tRNA via
a mechanism that requires the formation of a thiocarboxylated Urm1. This role is
very similar to that of prokaryotic sulfur carriers such as MoaD and ThiS.
Evidence strongly supports the hypothesis that Urm1 is the molecular fossil in
the evolutionary link between prokaryotic sulfur carriers and eukaryotic
ubiquitin-like proteins. In the present review, we discuss the dual role of Urm1
in protein and tRNA modification.
PMID- 21904979
TI - Quantitative proteomics analysis of parthenogenetically induced pluripotent stem
cells.
AB - Parthenogenetic embryonic stem (pES) cells isolated from parthenogenetic
activation of oocytes and embryos, also called parthenogenetically induced
pluripotent stem cells, exhibit pluripotency evidenced by both in vitro and in
vivo differentiation potential. Differential proteomic analysis was performed
using differential in-gel electrophoresis and isotope-coded affinity tag-based
quantitative proteomics to investigate the molecular mechanisms underlying the
developmental pluripotency of pES cells and to compare the protein expression of
pES cells generated from either the in vivo-matured ovulated (IVO) oocytes or
from the in vitro-matured (IVM) oocytes with that of fertilized embryonic stem
(fES) cells derived from fertilized embryos. A total of 76 proteins were
upregulated and 16 proteins were downregulated in the IVM pES cells, whereas 91
proteins were upregulated and 9 were downregulated in the IVO pES cells based on
a minimal 1.5-fold change as the cutoff value. No distinct pathways were found in
the differentially expressed proteins except for those involved in metabolism and
physiological processes. Notably, no differences were found in the protein
expression of imprinted genes between the pES and fES cells, suggesting that
genomic imprinting can be corrected in the pES cells at least at the early
passages. The germline competent IVM pES cells may be applicable for germ cell
renewal in aging ovaries if oocytes are retrieved at a younger age.
PMID- 21904980
TI - Dscam mutation leads to hydrocephalus and decreased motor function.
AB - The nervous system is one of the most complicated organ systems in invertebrates
and vertebrates. Down syndrome cell adhesion molecule (DSCAM) of the
immunoglobulin (Ig) superfamily is expressed widely in the nervous system during
embryonic development. Previous studies in Drosophila suggest that Dscam plays
important roles in neural development including axon branching, dendritic tiling
and cell spacing. However, the function of the mammalian DSCAM gene in the
formation of the nervous system remains unclear. Here, we show that Dscam ( del17
) mutant mice exhibit severe hydrocephalus, decreased motor function and impaired
motor learning ability. Our data indicate that the mammalian DSCAM gene is
critical for the formation of the central nervous system.
PMID- 21904978
TI - The network of cytokines, receptors and transcription factors governing the
development of dendritic cell subsets.
AB - The pathways leading to the development of different dendritic cell (DC) subsets
have long been unclear. In recent years, a number of precursors on the route to
DC development, both under steady state and inflammatory conditions, have been
described, and the nature of these pathways is becoming clearer. In addition, the
development of various knockout mouse models and an in vitro system modelling DC
development have revealed the role of numerous cytokines and transcription
factors that influence DC development. Here, we review recent findings on the
factors important in DC development in the context of the developmental pathways
that have been described.
PMID- 21904981
TI - Chain length-dependent cooperativity in fatty acid binding and oxidation by
cytochrome P450BM3 (CYP102A1).
AB - Fatty acid binding and oxidation kinetics for wild type P450(BM3) (CYP102A1) from
Bacillus megaterium have been found to display chain length-dependent homotropic
behavior. Laurate and 13-methyl-myristate display Michaelis-Menten behavior while
there are slight deviations with myristate at low ionic strengths. Palmitate
shows Michaelis-Menten kinetics and hyperbolic binding behavior in 100 mmol/L
phosphate, pH 7.4, but sigmoidal kinetics (with an apparent intercept) in low
ionic strength buffers and at physiological phosphate concentrations. In low
ionic strength buffers both the heme domain and the full-length enzyme show
complex palmitate binding behavior that indicates a minimum of four fatty acid
binding sites, with high cooperativity for the binding of the fourth palmitate
molecule, and the full-length enzyme showing tighter palmitate binding than the
heme domain. The first flavin-to-heme electron transfer is faster for laurate,
myristate and palmitate in 100 mmol/L phosphate than in 50 mmol/L Tris (pH 7.4),
yet each substrate induces similar high-spin heme content. For palmitate in low
phosphate buffer concentrations, the rate constant of the first electron transfer
is much larger than k (cat). The results suggest that phosphate has a specific
effect in promoting the first electron transfer step, and that P450(BM3) could
modulate Bacillus membrane morphology and fluidity via palmitate oxidation in
response to the external phosphate concentration.
PMID- 21904982
TI - C-reactive protein functions as a negative regulator of macrophage activation
induced by apoptotic DNA.
AB - C-reactive protein (CRP), an acute-phase protein with an ability to bind to
nuclear antigen, has been reported to regulate cytokine secretion and modulate
immune responses. We previously reported that activated syngeneic lymphocyte
derived apoptotic DNA (apopDNA) could induce macrophage activation and contribute
to the initiation and progression of lupus nephritis. It is reasonable to
hypothesize that CRP might regulate apopDNA-induced macrophage activation.
Herein, CRP was shown to promote macrophage-mediated apopDNA uptake by binding to
apopDNA (CRP/apopDNA complex). Notably, CRP/apopDNA treatment inhibited the
production of inflammatory cytokines and chemokines by macrophages which could be
induced by apopDNA alone. Further coculture and transwell studies revealed that
CRP/apopDNA-induced macrophages prohibited apopDNA-induced macrophage activation
in an IL-10 dependent manner. These results provide insight into the potential
mechanism of CRP regulatory activity in macrophage activation induced by apopDNA
in the context of lupus nephritis and other autoimmune diseases.
PMID- 21904984
TI - Recent advances in microparticle and nanoparticle delivery vehicles for mucosal
vaccination.
AB - The great potential of mucosal vaccination is widely accepted but progress in the
clinical development of subunit mucosal vaccines has been disappointing. Of the
available approaches, the use of polymer-based microparticles is attractive
because these delivery vehicles can be specifically tailored for vaccines and
they offer the potential for integration of adjuvant. Here we address recent
developments in the use of particulates as mucosal vaccines and the potential of
novel targeting strategies, formulation approaches and adjuvant combinations to
enhance the efficacy of particle-based mucosal vaccines. This review discusses
the current status of mucosal vaccines based on particles and highlights several
of the strategies that are currently under investigation for improving their
immunogenicity. These include enhancing the stability of formulations in the
luminal environment, increasing uptake by specifically targeting particles to
mucosal inductive sites, and augmenting immunogenicity through co-formulation
with immunostimulatory agents.
PMID- 21904983
TI - Driving efficiency in a high-throughput metabolic stability assay through a
generic high-resolution accurate mass method and automated data mining.
AB - Improving analytical throughput is the focus of many quantitative workflows being
developed for early drug discovery. For drug candidate screening, it is common
practice to use ultra-high performance liquid chromatography (U-HPLC) coupled
with triple quadrupole mass spectrometry. This approach certainly results in
short analytical run time; however, in assessing the true throughput, all aspects
of the workflow needs to be considered, including instrument optimization and the
necessity to re-run samples when information is missed. Here we describe a high
throughput metabolic stability assay with a simplified instrument set-up which
significantly improves the overall assay efficiency. In addition, as the data is
acquired in a non-biased manner, high information content of both the parent
compound and metabolites is gathered at the same time to facilitate the decision
of which compounds to proceed through the drug discovery pipeline.
PMID- 21904985
TI - Blood pressure targets for patients with diabetes or kidney disease.
AB - The most recent scientific guideline statements from foundations and societies
dealing with diabetes and kidney disease argue for blood pressure (BP) goals
lower than 130/80 mm Hg, but whether the evidence from properly done clinical
trials supports this BP level remains questionable. A review of all the evidence
suggests that almost all of the data come from retrospective data analyses of
randomized cardiovascular and chronic kidney disease (CKD) trials. Meta-analyses
of all clinical trials to date demonstrate that reducing BP reduces risk for
stroke and coronary heart disease, but none have achieved a mean BP goal of less
than 130/80 mm Hg. In fact, only two prospective trials achieved a BP lower than
130/80 mm Hg in people with type 2 diabetes, as did three trials in advanced
proteinuric CKD. Of these, one of the two diabetes trials showed a benefit for
overall cardiovascular risk reduction, and two of the three kidney disease trials
showed a benefit on slowing of advanced CKD. Of note, however, these two trials
in CKD had baseline average proteinuria rates of more than 500 mg/day. No benefit
of a lower BP was seen in microalbuminuric CKD. Therefore, the totality of the
prospective randomized trial evidence indicates that a BP less than 130/80 mm Hg
is not defensible to slow nephropathy progression unless proteinuria levels are
at least 500 mg/day, and it does not reduce overall cardiovascular events in
diabetes. Stroke benefit was uniformly seen at BP levels less than 130/80 mm Hg,
however. Therefore, newer guidelines are emerging that state that the BP goal for
most people is lower than 140/90 mm Hg with level IA or IB evidence, and that
levels lower than 130/80 mm Hg are defensible only if advanced proteinuric CKD is
present or stroke risk is very high (i.e., history of prior stroke or several
risk factors for stroke, including hypertension, smoking, diabetes mellitus,
dyslipidemia).
PMID- 21904986
TI - Backbone resonances assignment of 19 kDa CD1 domain of human mitotic checkpoint
serine/threonine-protein kinase, Bub1.
AB - Bub1 is an evolutionarily conserved mitotic checkpoint control protein that is
present in diverse organisms including yeast and humans. Bub1 is a
serine/threonine protein kinase and is required for recruitment of Mad1, Mad2,
Bub3, and CENP-E to kinetochores (Sharp-Baker and Chen in J Cell Biol 153:1239
1250, 2001). The evolutionarily conserved amino acid region in the N-terminus has
been called as the CD1 domain. To clarify the action mechanism of Bub1 in
controlling check point signals, we initiated an NMR structure determination of
the Bub1 CD1 domain. Here, we report the sequence-specific backbone resonance
assignments of CD1 domain of human Bub1 (hBub1CD1).
PMID- 21904987
TI - Abstracts of the 40th Annual Meeting of the European Histamine Research Society.
May 11-14, 2011. Sochi, Russia.
PMID- 21904988
TI - Re: Value of diagnostic and therapeutic laparoscopy for abdominal stab wounds.
PMID- 21904989
TI - Comparison between laparoscopy and noninvasive tests for the diagnosis of
tuberculous peritonitis.
PMID- 21904990
TI - Slit versus non-slit mesh placement in total extraperitoneal inguinal hernia
repair.
PMID- 21904991
TI - Signal-inducing bone cements for MRI-guided spinal cementoplasty: evaluation of
contrast-agent-based polymethylmethacrylate cements.
AB - OBJECTIVE: The purpose of this work is to evaluate two signal-inducing bone
cements for MRI-guided spinal cementoplasty. MATERIALS AND METHODS: The bone
cements were made of polymethylmethacrylate (PMMA, 5 ml monomeric, 12 g
polymeric) and gadoterate meglumine as a contrast agent (CA, 0-40 MUl) with
either saline solution (NaCl, 2-4 ml) or hydroxyapatite bone substitute (HA, 2-4
ml). The cement's signal was assessed in an open 1-Tesla MR scanner, with T1W TSE
and fast interventional T1W TSE pulse sequences, and the ideal amount of each
component was determined. The compressive and bending strength for different
amounts of NaCl and HA were evaluated. RESULTS: The cement's MRI signal depended
on the concentration of CA, the amount of NaCl or HA, and the pulse sequence. The
signal peaks were recorded between 1 and 10 MUl CA per ml NaCl or HA, and were
higher in fast T1W TSE than in T1W TSE images. The NaCl-PMMA-CA cements had a
greater MRI signal intensity and compressive strength; the HA-PMMA-CA cements had
a superior bending strength. CONCLUSIONS: Concerning the MR signal and
biomechanical properties, these cements would permit MRI-guided cementoplasty.
Due to its higher signal and greater compressive strength, the NaCl-PMMA-CA
compound appears to be superior to the HA-PMMA-CA compound.
PMID- 21904992
TI - Diet and cancer.
AB - Large claims have been made for the effectiveness of particular diets in
preventing cancer or inhibiting its progression. However, more recent clinical
studies have not confirmed this. Instead it seems that rather than specific
dietary constituents, total calories influence cancer incidence and progression.
In this review article, we summarise and interpret the available evidence for
links between diet and cancer.
PMID- 21904993
TI - The role of fabricated chimeric free flaps in reconstruction of devastating hand
and forearm injuries.
AB - Devastating hand and forearm injuries almost exclusively need free flap transfer
if reconstruction is attempted. Early active and passive motion is only possible
with aggressive, early, and comprehensive reconstruction. Despite recent advances
in compound flaps, in selected cases it might be wise to harvest several smaller
flaps and microsurgically combine them to one "chain-linked" flap "system." Four
microsurgically fabricated chimeric free flaps were used in four patients for
complex hand and forearm injuries. The combinations were sensate anterolateral
thigh (ALT) flap plus sensate extended lateral arm flap (2x), ALT plus free
fibula, and ALT plus functional musculocutaneous gracilis muscle. All flaps
survived completely. Functional rehabilitation was possible immediately after
flap transfer. There were no donor-site complications except two widened scars.
The microsurgical fabrication of chimeric free flaps, as well established in head
and neck reconstruction, can be successfully adapted to massive hand injuries as
well. Individual placement of selected tissue components, early comprehensive
reconstruction, and reduction of the number of operations are beneficial in cases
that need more than one free flap.
PMID- 21904994
TI - Inadvertent injury of critical perforator vessels during perforator flap surgery.
AB - Despite the widespread use of perforator flaps, little has been reported about
the inadvertent injury of perforator vessels. We report a retrospective study of
the inadvertent injury of perforator vessels. From 1992 through 2010, we
transferred 467 free perforator flaps (314 anterolateral thigh [ALT] flaps, 99
fibula osteocutaneous flaps, 46 deep inferior epigastric perforator [DIEP] flaps,
and 8 other flaps). Inadvertent injury of perforator vessels occurred in seven
patients. The overall incidence was 1.5%. The rate of the injury was 0.95% with
ALT flaps, 2.0% with fibula osteocutaneous flaps, and 4.3% with DIEP flaps. Of
seven, six flaps were salvaged through anastomosis of the injured perforator
vessels. Perforator injuries resulted more often from mishandling of perforator
vessels than from dissection technique. Anastomosis of injured perforators is a
practical salvage procedure that requires high microsurgical skill.
PMID- 21904995
TI - [Joint replacement: how to lower re-surgery rates].
PMID- 21904996
TI - Association between cam-type deformities and magnetic resonance imaging-detected
structural hip damage: a cross-sectional study in young men.
AB - OBJECTIVE: Femoroacetabular impingement may be a risk factor for hip
osteoarthritis in men. An underlying hip deformity of the cam type is common in
asymptomatic men with nondysplastic hips. This study was undertaken to examine
whether hip deformities of the cam type are associated with signs of hip
abnormality, including labral lesions and articular cartilage damage, detectable
on magnetic resonance imaging (MRI). METHODS: In this cross-sectional, population
based study in asymptomatic young men, 1,080 subjects underwent clinical
examination and completed a self-report questionnaire. Of these subjects, 244
asymptomatic men with a mean age of 19.9 years underwent MRI. All MRIs were read
for cam-type deformities, labral lesions, cartilage thickness, and impingement
pits. The relationship between cam-type deformities and signs of joint damage
were examined using logistic regression models adjusted for age and body mass
index. Odds ratios (ORs) and 95% confidence intervals (95% CIs) were determined.
RESULTS: Sixty-seven definite cam-type deformities were detected. These
deformities were associated with labral lesions (adjusted OR 2.77 [95% CI 1.31,
5.87]), impingement pits (adjusted OR 2.9 [95% CI 1.43, 5.93]), and labral
deformities (adjusted OR 2.45 [95% CI 1.06, 5.66]). The adjusted mean difference
in combined anterosuperior femoral and acetabular cartilage thickness was -0.19
mm (95% CI -0.41, 0.02) lower in those with cam-type deformities compared to
those without. CONCLUSION: Our findings indicate that the presence of a cam-type
deformity is associated with MRI-detected hip damage in asymptomatic young men.
PMID- 21904997
TI - Reversal of serologic, immunologic, and histologic dysfunction in mice with
systemic lupus erythematosus by long-term serial adipose tissue-derived
mesenchymal stem cell transplantation.
AB - OBJECTIVE: To investigate the efficacy of human adipose tissue-derived
mesenchymal stem cell (AD-MSC) transplantation in systemic lupus erythematosus
(SLE) and to determine the optimal transplantation window for stem cells either
before or after disease onset. METHODS: (NZB*NZW)F1 mice with SLE were
administered human AD-MSCs (5*10(5)) intravenously every 2 weeks from age 6 weeks
until age 60 weeks, while the control group received saline vehicle on the same
schedule. Another experiment was carried out with a different initiation time
point for serial transplantation (age 6 weeks or age 32 weeks). RESULTS: Long
term serial administration (total of 28 times) of human AD-MSCs ameliorated SLE
without any adverse effects. Compared with the control group, the human AD-MSC
treated group had a significantly higher survival rate with improvement of
histologic and serologic abnormalities and immunologic function, and also had a
decreased incidence of proteinuria. Anti-double-stranded DNA antibodies and blood
urea nitrogen levels decreased significantly with transplantation of human AD
MSCs, and serum levels of granulocyte-macrophage colony-stimulating factor,
interleukin-4 (IL-4), and IL-10 increased significantly. A significant increase
in the proportion of CD4+FoxP3+ cells and a marked restoration of capacity for
cytokine production were observed in spleens from the human AD-MSC-treated group.
In the second experiment, an early stage treatment group showed better results
(higher survival rates and lower incidence of proteinuria) than an advanced stage
treatment group. CONCLUSION: Serial human AD-MSC transplantation had beneficial
effects in the treatment of SLE, without adverse effects. Transplantation of
human AD-MSCs before disease onset was preferable for amelioration of SLE and
restoration of immune homeostasis.
PMID- 21904998
TI - Power Doppler ultrasound, but not low-field magnetic resonance imaging, predicts
relapse and radiographic disease progression in rheumatoid arthritis patients
with low levels of disease activity.
AB - OBJECTIVE: Subclinical inflammation and radiographic progression have been
described in rheumatoid arthritis (RA) patients whose disease is in remission or
is showing a low level of activity. The aim of this study was to compare the
ability of ultrasonography and magnetic resonance imaging (MRI) to predict
relapse and radiographic progression in these patients. METHODS: Patients with RA
of short or intermediate duration that was either in remission or exhibiting low
levels of activity according to the Disease Activity Score (DAS) were included in
the study. Over a period of 1 year, patients underwent clinical and biologic
assessments every 3 months and radiographic assessments at baseline and 12
months. Radiographs were graded according to the modified Sharp/van der Heijde
score (SHS). At baseline, patients underwent ultrasonography and MRI, which were
graded using binary and semiquantitative scoring systems. Relapse was defined as
a DAS of >=2.4, and radiographic progression was defined as an increase in the
SHS of >=1. We tested the association of values by multivariate logistic
regression. RESULTS: A total of 85 RA patients with a mean disease duration of
35.3 months were studied. RA was in remission in 47 of these patients, and 38 had
low levels of disease activity. At 1 year, 26 of the 85 patients (30.6%) showed
disease relapse, and 9 of the 85 patients (10.6%) showed radiographic
progression. The baseline PD synovitis count (i.e., the number of joints at
baseline for which the power Doppler [PD] signal indicated synovitis) predicted
relapse (adjusted odds ratio [OR] 6.3; 95% confidence interval [95% CI] 2.0
20.3), and the baseline PD synovitis grade predicted disease progression
(adjusted OR 1.4 [95% CI 1.1-1.9]). MRI was not predictive of outcomes.
CONCLUSION: For RA patients whose disease is in remission or who have low levels
of disease activity, PD signals on ultrasonography could predict relapse or
radiographic progression and identify those whose disease is adequately
controlled, which is especially helpful when considering treatment tapering or
interruption.
PMID- 21904999
TI - Mucosal-associated invariant T cells promote inflammation and exacerbate disease
in murine models of arthritis.
AB - OBJECTIVE: The function of mucosal-associated invariant T (MAIT) cells remains
largely unknown. We previously reported an immunoregulatory role of MAIT cells in
an animal model of multiple sclerosis. The aim of this study was to use animal
models to determine whether MAIT cells are involved in the pathogenesis of
arthritis. METHODS: MR1-/- and MR1+/+ DBA/1J mice were immunized with bovine type
II collagen (CII) in complete Freund's adjuvant to trigger collagen-induced
arthritis (CIA). To assess CII-specific T cell recall responses, lymph node cells
from mice with CIA were challenged with CII ex vivo, and cytokine production and
proliferation were evaluated. Serum levels of CII-specific antibodies were
measured by enzyme-linked immunosorbent assay. Collagen antibody-induced
arthritis (CAIA) was induced in MR1-/- and MR1+/+ C57BL/6 mice by injection of
anti-CII antibodies followed by injection of lipopolysaccharide. To demonstrate
the involvement of MAIT cells in arthritis, we induced CAIA in MR1-/- C57BL/6
mice that had been reconstituted with adoptively transferred MAIT cells. MAIT
cell activation in response to cytokine stimulation was investigated. RESULTS:
The severity of CIA was reduced in MR1-/- DBA/1J mice. However, T and B cell
responses to CII were comparable in MR1-/- and MR1+/+ DBA/1J mice. MR1-/- C57BL/6
mice were less susceptible to CAIA, and reconstitution with MAIT cells induced
severe arthritis in MR1-/- C57BL/6 mice, demonstrating an effector role of MAIT
cells in arthritis. MAIT cells became activated upon stimulation with interleukin
23 (IL-23) or IL-1beta in the absence of T cell receptor stimuli. CONCLUSION:
These results indicate that MAIT cells exacerbate arthritis by enhancing the
inflammation.
PMID- 21905001
TI - Safety and efficacy of ocrelizumab in patients with rheumatoid arthritis and an
inadequate response to methotrexate: results of a forty-eight-week randomized,
double-blind, placebo-controlled, parallel-group phase III trial.
AB - OBJECTIVE: To evaluate the efficacy and safety of treatment with ocrelizumab plus
methotrexate (MTX) in patients with active rheumatoid arthritis (RA) and an
inadequate response to MTX. METHODS: STAGE was a phase III randomized, double
blind, parallel-group international study to evaluate the safety and efficacy of
ocrelizumab compared with placebo in patients with active RA continuing MTX
treatment. Patients receiving stable doses of MTX were randomized to receive 2
infusions of placebo (n = 320), ocrelizumab 200 mg (n = 343), or ocrelizumab 500
mg (n = 343) on days 1 and 15 as well as weeks 24 and 26. Coprimary end points
were the proportion of patients with an American College of Rheumatology 20%
improvement criteria (ACR20) response at weeks 24 and 48. Secondary end points
included the change from baseline in the modified Sharp/van der Heijde score
(SHS) and the ACR50/70 responses. RESULTS: The ACR20 response rates were 35.7% in
the placebo group, 56.9% in the ocrelizumab 200 mg group, and 54.5% in the
ocrelizumab 500 mg group at 24 weeks, and 27.6%, 58.3%, and 62.1%, respectively,
at 48 weeks (P < 0.0001 versus placebo for each dose at both time points). At
week 48, both of the ocrelizumab doses improved the ACR50 and ACR70 response
rates 3-fold as compared with placebo and showed a statistically significant (P <
0.0001) reduction in joint damage progression relative to placebo (mean change in
SHS reduced by 85% and 100% for the 200-mg and 500-mg doses, respectively). Rates
of serious infection were comparable in the placebo (3.48 per 100 patient-years)
and ocrelizumab 200 mg (3.54 per 100 patient-years) groups but were elevated in
the ocrelizumab 500 mg group (8.66 per 100 patient-years). CONCLUSION: With both
ocrelizumab doses, the primary end point was met, and the signs and symptoms of
RA were significantly improved at weeks 24 and 48. Ocrelizumab also significantly
inhibited the progression of joint damage. A higher rate of serious infections
was observed with 500 mg of ocrelizumab as compared with ocrelizumab 200 mg or
placebo.
PMID- 21905000
TI - Disruption of vascular homeostasis in patients with Kawasaki disease: involvement
of vascular endothelial growth factor and angiopoietins.
AB - OBJECTIVE: In Kawasaki disease (KD), a pediatric vasculitis of medium-sized
arteries, the coronary arteries are most commonly affected. Angiopoietins and
vascular endothelial growth factor (VEGF) play an important role in maintaining
vascular homeostasis. Recently, we identified ANGPT1 and VEGFA as susceptibility
loci for KD. This study was undertaken to fine-map these associations and to gain
further insight into their role in this vasculitis of unknown etiology to further
the search for improved diagnostic and therapeutic options. METHODS: A total of
292 single-nucleotide polymorphisms (SNPs) located in VEGF and ANGPT and their
receptors were genotyped in 574 families, including 462 trios. For replication,
123 cases and 171 controls were genotyped. RESULTS: A significant association
with KD susceptibility was observed with 5 SNPs in the ANGPT1 gene (most
significantly associated SNP +265037 C>T; Pcombined=2.3*10(-7) ) and 2 SNPs in
VEGFA (most significantly associated SNP rs3025039; Pcombined=2.5*10(-4) ). Both
ANGPT1 +265037 C>T and VEGFA rs3025039 are located in 3' regulatory regions at
putative transcription factor binding sites. We observed significantly down
regulated transcript levels of angiopoietin 1 (Ang-1) in patients with acute KD
compared to patients with convalescent KD. In patients with acute KD, high serum
protein levels of VEGF and Ang-2 were observed compared to patients with
convalescent KD and to both controls with and controls without fever.
Immunohistochemistry demonstrated VEGF and angiopoietin expression in the
coronary artery wall in autopsy tissue. CONCLUSION: Our data support the
hypothesis that dysregulation of VEGF and angiopoietins contributes to the
disruption of vascular homeostasis in KD.
PMID- 21905003
TI - Ultrasonographic evaluation of medial radial displacement of the medial meniscus
in knee osteoarthritis.
AB - OBJECTIVE: To evaluate medial radial displacement (MRD) of the medial meniscus in
osteoarthritic (OA) and normal knees, with and without weight bearing, using
ultrasonography (US), and to prospectively evaluate the time course of changes in
MRD in OA knees. METHODS: The study subjects were 78 patients with OA of the knee
(69% female; mean age 66.4 years) and 20 healthy, asymptomatic subjects (70%
female; mean age 64.5 years) who served as a control group. The OA stage was
determined according to the Kellgren/Lawrence (K/L) radiographic grading system.
US measurement of MRD was performed with subjects in the supine and standing
positions. With the exception of subjects who dropped out, 58 OA knees (followup
rate 74%) were evaluated at baseline and ~1 year later. RESULTS: The medial
meniscus was significantly displaced radially by weight bearing in control knees
(P<0.001) and in knees with K/L grades 1-3 OA (P<0.01 for each comparison). MRD
in either the supine or the standing position was not significantly different
between the control knees and the K/L grade 1 knees, but significant differences
were noted between the control knees and K/L grade 2 or more severe OA knees
(P<0.01 for each comparison). MRD of the medial meniscus had increased
significantly on followup in all knees (P<0.05 for each comparison) excluding K/L
grade 4 knees in the standing position. CONCLUSION: MRD of the medial meniscus
increased with weight bearing and during followup. These findings suggest a close
association between extraarticular displacement of the medial meniscus and
progression of OA.
PMID- 21905002
TI - Gene-gene interaction of BLK, TNFSF4, TRAF1, TNFAIP3, and REL in systemic lupus
erythematosus.
AB - OBJECTIVE: Although the number of convincingly established genetic associations
with systemic lupus erythematosus (SLE) has increased sharply over the last few
years, refinement of these associations is required, and their potential roles in
gene-gene interactions need to be further investigated. Recent genome-wide
association studies (GWAS) in SLE have produced renewed interest in B cell/T cell
responses and the NF-kappaB signaling pathway. The aim of this study was to
search for possible gene-gene interactions based on identified single-nucleotide
polymorphisms (SNPs), in using an approach based on the role of signaling
pathways. METHODS: The SNPs in BLK, TNFSF4, TRAF1, TNFAIP3, and REL were
replicated in order to evaluate genetic associations with SLE. TaqMan genotyping
was conducted in 804 Chinese patients with SLE and 722 matched control subjects.
A multiple logistic regression model was used to estimate the multiplicative
interaction effect of the SNPs, and additive interactions were analyzed by 2*2
factorial designs. Data from a previously published GWAS conducted by the
International Consortium on the Genetics of Systemic Lupus Erythematosus were
derived for comparison and validation. RESULTS: Single-marker analysis validated
the association of BLK rs2736340 (P=4.25*10(-6)) as well as TNFSF4 rs2205960
(P=2.82*10(-5)) and TNFAIP3 rs5029939 (P=1.92*10(-3)) with SLE susceptibility in
Chinese. Multiplicative interaction analysis indicated that BLK had an
interactive effect with TNFSF4 in Chinese patients with SLE (P=6.57*10(-4)).
Additive interaction analysis revealed interactions between TRAF1 and TNFAIP3 in
both Chinese (P=2.18*10(-3)) and Caucasians (P=2.86*10(-4)). In addition,
multiple tendencies toward interactions were observed, and an additive effect was
observed as the number of risk genotypes increased. CONCLUSION: The results of
this study provide evidence of the possible gene-gene interactions of BLK,
TNFSF4, TRAF1, TNFAIP3, and REL in SLE, which may represent a synergic effect of
T cells and B cells through the NF-kappaB pathway in determining immunologic
aberration.
PMID- 21905004
TI - Proinflammatory Th17 cells are expanded and induced by dendritic cells in
spondylarthritis-prone HLA-B27-transgenic rats.
AB - OBJECTIVE: HLA-B27/human beta2-microglobulin-transgenic (B27-transgenic) rats, a
model of spondylarthritis (SpA), develop spontaneous colitis and arthritis under
conventional conditions. CD4+ T cells are pivotal in the development of
inflammation in B27-transgenic rats. This study was undertaken to characterize
the phenotype of CD4+ T cells in this model and to determine whether dendritic
cells (DCs) induce proinflammatory T cells. METHODS: The phenotype of CD4+ T
cells from rat lymph nodes (LNs) draining the sites of inflammation was analyzed
by flow cytometry. Immunostaining was used to detect interleukin-17 (IL-17)
producing cells in the rat joints. DCs from B27-transgenic or control rats
(transgenic for HLA-B7 or nontransgenic) were cocultured with control CD4+ T
cells and stimulated with anti-T cell receptor alpha/beta. RESULTS: IL-17A- and
tumor necrosis factor alpha (TNFalpha)-producing CD4+ T cells were expanded in
mesenteric and popliteal LNs from B27-transgenic rats. The accumulation of Th17
cells correlated with disease development, in contrast to Th1 or Treg cells. IL
17-positive mononuclear cells were detected in the arthritic joints of B27
transgenic rats but not in the joints of control rats. Finally, in vitro
cocultures demonstrated that Th17 cells were preferentially induced and expanded
by DCs from B27-transgenic rats, by a process that may involve defective
engagement of costimulatory molecules. CONCLUSION: Our findings indicate that
expanded CD4+ T cells in B27-transgenic rats exhibit a proinflammatory Th17
phenotype characterized by IL-17A and TNFalpha production. Furthermore, this
population is preferentially induced by DCs from B27-transgenic rats. These data
point toward an induction of Th17 cells as a possible pathogenic mechanism in
this model of SpA. However, their pathogenic role still needs to be shown.
PMID- 21905005
TI - Noncardiac vascular disease in rheumatoid arthritis: increase in venous
thromboembolic events?
AB - OBJECTIVE: To investigate the incidence of noncardiac vascular disease in a
community-based incidence cohort of patients with rheumatoid arthritis (RA) and
compare it to that in the general population and to investigate trends in the
incidence of noncardiac vascular disease in patients with RA. METHODS: A
population-based inception cohort of patients with incident RA between January 1,
1980 and December 31, 2007 in Olmsted County, Minnesota and a cohort of non-RA
subjects from the same population base was assembled and followed up until
December 31, 2008. Venous thromboembolic, cerebrovascular, and peripheral
arterial events were ascertained by medical record review. RESULTS: The study
population included 813 patients with RA with a mean+/-SD age of 55.9+/-15.7
years (68% women) and an average length of followup of 9.6+/-6.9 years. Compared
to non-RA subjects of similar age and sex, patients diagnosed as having RA
between 1995 and 2007 had a higher incidence (%) of venous thromboembolism
(cumulative incidence+/-SE 6.7+/-1.7 versus 2.8+/-1.1, respectively; P=0.005) but
similar rates of cerebrovascular and peripheral arterial events. Among patients
with RA, the incidence of venous thromboembolic, cerebrovascular, and peripheral
arterial events was similar in the 1995-2007 time period compared to the 1980
1994 time period. CONCLUSION: Our findings indicate that the incidence of venous
thromboembolism is increased in patients with RA compared to non-RA subjects. The
incidence of cerebrovascular events and peripheral vascular disease events is
similar in patients with RA compared to non-RA subjects. Among patients with RA,
the incidence of noncardiac vascular disease has remained stable in recent
decades.
PMID- 21905006
TI - Protease-activated receptor 2, rather than protease-activated receptor 1,
contributes to the aggressive properties of synovial fibroblasts in rheumatoid
arthritis.
AB - OBJECTIVE: To investigate whether protease-activated receptor 1 (PAR-1) and/or
PAR-2 promotes the invasiveness/proliferation of synovial fibroblasts (SFs) and
to determine the signaling mechanisms of these pathways. METHODS: SFs were
isolated from the synovial tissue of patients with rheumatoid arthritis (RA),
patients with osteoarthritis (OA), and PAR-1- or PAR-2-knockout (KO) mice.
Expression of PAR-1 and PAR-2 was detected by immunofluorescence and Western
blotting. The invasion and proliferation of SFs were measured by invasion assay
and MTT assay, respectively. Matrix metalloproteinase 2 (MMP-2) and MMP-9 were
detected by zymography, and cytokines were measured by enzyme-linked
immunosorbent assay. RESULTS: PAR-1 and PAR-2 were colocalized with SFs in RA and
OA synovium and, to a considerably lesser extent, in normal synovium. Inhibition
of PAR-2 by small interfering RNA (siRNA) inhibited RASF invasion and
proliferation, whereas blocking of PAR-1 by siRNA had the reverse effects. SFs
from PAR-2-KO mice exhibited slower rates of proliferation and invasion. SFs from
PAR-1-KO mice produced less MMP-2 and, in response to tumor necrosis factor alpha
(TNFalpha) stimulation, had increased MMP-9 secretion when compared to SFs from
wild-type and PAR-2-KO mice. Inhibition of PAR-1, but not PAR-2, stimulated the
secretion of interleukin-17 (IL-17) and TNFalpha by RASFs. Furthermore, PAR-1 and
PAR-2 had opposing effects on the activation of ERK, p38, and NF-kappaB.
CONCLUSION: Activation of PAR-1 stimulates MMP-2 secretion, inhibits RASF growth
and invasion, and decreases production of IL-17 and TNFalpha by RASFs, whereas
activation of PAR-2 stimulates RASF growth and invasion and increases production
of TNFalpha. Thus, although PAR-1 and PAR-2 are coexpressed by RASFs, PAR-2 alone
appears to be responsible for the aggressive properties of RASFs and is likely to
contribute to the pathologic progression of RA.
PMID- 21905007
TI - Lack of a chondroprotective effect of cyclooxygenase 2 inhibition in a surgically
induced model of osteoarthritis in mice.
AB - OBJECTIVE: To investigate the chondroprotective effect of cyclooxygenase 2 (COX
2) inhibition in experimental osteoarthritis (OA). METHODS: The expression of
prostaglandin E2 synthetic enzymes was examined by immunostaining of tibial
cartilage from mice with surgically induced knee joint instability and from OA
patients undergoing total knee arthroplasty. The effect of orally administered
celecoxib (10 mg/kg/day and 30 mg/kg/day) or vehicle alone in mice was examined
12 weeks after the induction of OA. To investigate the involvement of COX-1 and
COX-2 in OA development, we also created the model in COX-1-homozygous-knockout
(Ptgs1-/-) mice and COX-2-homozygous-knockout (Ptgs2-/-) mice. OA severity was
assessed using a grading system developed by our group and by the Osteoarthritis
Research Society International scoring system. RESULTS: In mouse and human OA
cartilage, the expression of the inducible enzymes COX-2 and microsomal
prostaglandin E synthase 1 (mPGES-1) was enhanced, while that of the constitutive
enzymes COX-1, cytosolic PGES, and mPGES-2 was suppressed. Daily celecoxib
treatment did not prevent cartilage degradation or osteophyte formation during OA
development in the mouse model. Furthermore, neither Ptgs1-/- mice nor Ptgs2-/-
mice exhibited any significant difference in OA development as compared to wild
type littermates. CONCLUSION: The two COX enzymes differ in terms of regulation
of their expression during OA development. Nevertheless, experiments using
inhibitor and genetic deficiency demonstrated a lack of chondroprotective effect
of COX-2 inhibition in the mouse surgical OA model.
PMID- 21905008
TI - A rare polymorphism in the gene for Toll-like receptor 2 is associated with
systemic sclerosis phenotype and increases the production of inflammatory
mediators.
AB - OBJECTIVE: To investigate whether polymorphisms in Toll-like receptor (TLR)
genes, previously reported to be associated with immune-mediated diseases, are
involved in systemic sclerosis (SSc). METHODS: We genotyped 14 polymorphisms in
the genes for TLRs 2, 4, 7, 8, and 9 in a discovery cohort comprising 452 SSc
patients and 537 controls and a replication cohort consisting of 1,170 SSc
patients and 925 controls. In addition, we analyzed 15-year followup data on 964
patients to assess the potential association of TLR variants with the development
of disease complications. We analyzed the functional impact of the associated
polymorphism on monocyte-derived dendritic cells. RESULTS: In the discovery
cohort, we observed that a rare functional polymorphism in TLR2 (Pro631His) was
associated with antitopoisomerase (antitopo) positivity (odds ratio 2.24 [95%
confidence interval 1.24-4.04], P=0.003). This observation was validated in the
replication cohort (odds ratio 2.73 [95% confidence interval 1.85-4.04],
P=0.0001). In addition, in the replication cohort the TLR2 variant was associated
with the diffuse subtype of the disease (P=0.02) and with the development of
pulmonary arterial hypertension (PAH) (Cox proportional hazards ratio 5.61 [95%
confidence interval 1.53-20.58], P=0.003 by log rank test). Functional analysis
revealed that monocyte-derived dendritic cells carrying the Pro63His variant
produced increased levels of inflammatory mediators (tumor necrosis factor alpha
and interleukin-6) upon TLR-2-mediated stimulation (both P<0.0001). CONCLUSION:
Among patients with SSc, the rare TLR2 Pro631His variant is robustly associated
with antitopoisomerase positivity, the diffuse form of the disease, and the
development of PAH. In addition, this variant influences TLR-2-mediated cell
responses. Further research is needed to elucidate the precise role of TLR-2 in
the pathogenesis of SSc.
PMID- 21905009
TI - Structural changes of the brain in rheumatoid arthritis.
AB - OBJECTIVE: To investigate whether structural changes are present in the cortical
and subcortical gray matter of the brains of patients with rheumatoid arthritis
(RA). METHODS: We used two surface-based style morphometry analysis programs and
a voxel-based style analysis program to compare high-resolution structural
magnetic resonance imaging data obtained for 31 RA patients and 25 age- and sex
matched healthy control subjects. RESULTS: We observed an increase in gray matter
content in the basal ganglia of RA patients, mainly in the nucleus accumbens and
caudate nucleus. There were no differences in the cortical gray matter. Moreover,
patients had a smaller intracranial volume. CONCLUSION: Our results suggest that
RA is associated with changes in the subcortical gray matter rather than with
cortical gray matter atrophy. Since the basal ganglia play an important role in
motor control as well as in pain processing and in modulating behavior in
response to aversive stimuli, we suggest that these changes may result from
altered motor control or prolonged pain processing. The differences in brain
volume may reflect either generalized atrophy or differences in brain
development.
PMID- 21905010
TI - Heterogeneous nuclear RNPs as targets of autoantibodies in systemic rheumatic
diseases.
AB - OBJECTIVE: To investigate the abundance of autoantibodies to heterogeneous
nuclear RNPs (hnRNPs) in systemic rheumatic diseases. METHODS: Recombinant human
hnRNPs A1, B1, C1, E1, F, Gi, H1, I, K, and P2 were prepared. Antibodies to these
antigens were determined by Western blotting and by enzyme-linked immunosorbent
assay (ELISA) (for hnRNPs B1, E1, F, and H1) in serum samples obtained from
patients with chronic fatigue syndrome (control subjects) and from patients with
various connective tissue diseases. RESULTS: Western blotting analysis in 106
control subjects and 298 patients with a connective tissue disease revealed that
antibodies to all tested hnRNP antigens, except hnRNP Gi, were significantly more
prevalent in patients with Sjogren's syndrome (SS) than in control subjects. The
highest reactivity was observed for hnRNPs B1, E1, F, and H1 (reactivity in >45%
of patients with SS and in 2.8% of control subjects). Reactivity with hnRNPs B1,
E1, F, and H1 was also evaluated by ELISA in 89 control subjects and 228 patients
with a connective tissue disease. Reactivity with at least 2 of the 4 tested
antigens was observed in 1.1% of control subjects, 16% of patients with systemic
lupus erythematosus (SLE), and 18% of patients with SS. Reactivity with at least
3 of the 4 antigens was observed in 0% of the control subjects, 3.2% of patients
with SLE, and 15% of patients with SS. CONCLUSION: Several hnRNPs are target
antigens in SS. The combined presence of antibodies to several hnRNPs was
strongly associated with connective tissue disease in general and with SS in
particular.
PMID- 21905011
TI - Antroquinonol differentially modulates T cell activity and reduces interleukin-18
production, but enhances Nrf2 activation, in murine accelerated severe lupus
nephritis.
AB - OBJECTIVE: Accelerated severe lupus nephritis (ASLN), with an acute onset of
severe clinical manifestations and histopathologic renal lesions, may represent
transformation of mild LN to a severe form of glomerulonephritis. Abnormal
activation of T and B cells and/or oxidative stress may play a major role in the
pathogenesis of ASLN. This study tested the hypothesis that antroquinonol, a
purified compound and major effective component of Antrodia camphorata with
antiinflammatory and antioxidant activities, might prevent the transformation of
mild LN into higher-grade (severe) nephritis in a murine lupus model. METHODS:
Experimental ASLN was induced in (NZB*NZW)F1 mice by twice weekly intraperitoneal
injections of Salmonella-type lipopolysaccharide (LPS). Starting 2 days after the
first dose of LPS, mice were treated daily with antroquinonol, administered by
gavage, for different durations up to 5 weeks. RESULTS: Antroquinonol
administration significantly ameliorated the proteinuria, hematuria, impairment
of renal function, and development of severe renal lesions, especially cellular
crescent formation, neutrophil infiltration, fibrinoid necrosis, and T cell
proliferation in the glomerulus, as well as periglomerular interstitial
inflammation. Mechanistic analyses revealed that antroquinonol 1) inhibited T
cell activation/proliferation, but enhanced Treg cell suppression and reduced
renal production of interleukin-18 (IL-18); 2) inhibited production of reactive
oxygen species and nitric oxide, but increased activation of Nrf2 in the kidney;
and 3) suppressed renal inflammation via blocking of NF-kappaB activation.
CONCLUSION: Antroquinonol may have therapeutic potential for the early treatment
of ASLN via its differential regulation of T cell function and lowering of IL-18
production, but also via the promotion of Nrf2 activation.
PMID- 21905013
TI - Changing the outcome of osteoarthritis: still a challenge for cyclooxygenase 2
inhibitors.
PMID- 21905012
TI - Mechanisms involved in enhancement of the expression and function of aggrecanases
by hyaluronan oligosaccharides.
AB - OBJECTIVE: Small hyaluronan (HA) oligosaccharides serve as competitive receptor
antagonists to displace HA from the cell surface and induce cell signaling
events. In articular chondrocytes, this cell signaling is mediated by the HA
receptor CD44 and induces stimulation of genes involved in matrix degradation,
such as matrix metalloproteinases (MMPs) as well as matrix repair genes including
type II collagen, aggrecan, and HA synthase 2. The objective of this study was to
determine changes in the expression and function of aggrecanases after disruption
of chondrocyte CD44-HA interactions. METHODS: Bovine articular chondrocytes or
bovine cartilage tissue was pretreated with a variety of inhibitors of major
signaling pathways prior to the addition of HA oligosaccharides. Changes in
aggrecanase were monitored by real-time reverse transcription-polymerase chain
reaction and Western blot analyses of ADAMTS-4, ADAMTS-5, and aggrecan
proteolytic fragments. To test the interactions between ADAMTS-4 and membrane
type 4 MMP (MT4-MMP), protein lysates purified from stimulated chondrocytes were
subjected to coimmunoprecipitation. RESULTS: Disruption of chondrocyte CD44-HA
interactions with HA oligosaccharides induced the transcription of ADAMTS-4 and
ADAMTS-5 in a time- and dose-dependent manner. The association of glycosyl
phosphatidylinositol-anchored MT4-MMP with ADAMTS-4 was also induced in articular
chondrocytes by HA oligosaccharides. Inhibition of the NF-kappaB pathway blocked
HA oligosaccharide-mediated stimulation of aggrecanases. CONCLUSION: Disruptive
changes in chondrocyte-matrix interactions by HA oligosaccharides induce matrix
degradation and elevate aggrecanases via the activation of the NF-kappaB
signaling pathway.
PMID- 21905014
TI - Interferon-gamma-independent suppression of Th17 cell differentiation by T-bet
expression in mice with autoimmune arthritis.
PMID- 21905016
TI - Defective DNA double-strand break repair in pediatric systemic lupus
erythematosus.
AB - OBJECTIVE: Previous reports of cells from patients with systemic lupus
erythematosus (SLE) note that repair of single-strand breaks is delayed, and
these lesions may be converted to double-strand breaks (DSBs) at DNA replication
forks. We undertook this study to assess the integrity of DSB recognition,
signaling, and repair mechanisms in B lymphoblastoid cell lines derived from
patients with pediatric SLE. METHODS: Nine assays were used to interrogate DSB
repair and recognition in lymphoblastoid cell lines from patients with pediatric
SLE, including the neutral comet assay (NCA), colony survival assay (CSA),
irradiation-induced foci formation for gamma-H2AX and 53BP1 proteins, kinetics of
phosphorylation of structural maintenance of chromosomes protein 1 (SMC1),
postirradiation bromodeoxyuridine incorporation to evaluate S phase checkpoint
integrity, monoubiquitination of Fanconi protein D2, ATM protein expression, and
non-homologous DNA end joining protein expression and function. RESULTS: Three of
the 9 assays revealed abnormal patterns of response to irradiation-induced DNA
damage. The NCA and CSA yielded aberrant results in the majority of SLE
lymphoblastoid cell lines. Abnormal prolongation of SMC1 phosphorylation was also
noted in 2 of 16 SLE lymphoblastoid cell lines. CONCLUSION: Our data suggest that
DSB repair is defective in some lymphoblastoid cell lines from pediatric patients
with SLE, especially when assessed by both NCA and CSA. Since these studies are
nonspecific, further studies of DNA repair and kinetics are indicated to further
delineate the underlying pathogenesis of SLE and possibly identify therapeutic
targets.
PMID- 21905015
TI - Beneficial effect of novel proteasome inhibitors in murine lupus via dual
inhibition of type I interferon and autoantibody-secreting cells.
AB - OBJECTIVE: To investigate the hypothesis that proteasome inhibition may have
potential in the treatment of SLE, by targeting plasmacytoid dendritic cells
(PDCs) and plasma cells, both of which are critical in disease pathogenesis.
METHODS: Lupus-prone mice were treated with the nonselective proteasome
inhibitors carfilzomib and bortezomib, the immunoproteasome inhibitor ONX 0914,
or vehicle control. Tissue was harvested and analyzed by flow cytometry using
standard markers. Nephritis was monitored by evaluation for proteinuria and by
histologic analysis of kidneys. Serum anti-double-stranded DNA (anti-dsDNA)
levels were measured by enzyme-linked immunosorbent assay (ELISA), and total IgG
and dsDNA antibody-secreting cells (ASCs) by enzyme-linked immunospot assay.
Human peripheral blood mononuclear cells or mouse bone marrow cells were
incubated with Toll-like receptor (TLR) agonists and proteasome inhibitors, and
interferon-alpha (IFNalpha) levels were measured by ELISA and flow cytometry.
RESULTS: Early treatment of lupus-prone mice with the dual-targeting proteasome
inhibitors carfilzomib or bortezomib or the immunoproteasome-specific inhibitor
ONX 0914 prevented disease progression, and treatment of mice with established
disease dramatically abrogated nephritis. Treatment had profound effects on
plasma cells, with greater reductions in autoreactive than in total IgG ASCs, an
effect that became more pronounced with prolonged treatment and was reflected in
decreasing serum autoantibody levels. Notably, proteasome inhibition efficiently
suppressed production of IFNalpha by TLR-activated PDCs in vitro and in vivo, an
effect mediated by inhibition of both PDC survival and PDC function. CONCLUSION:
Inhibition of the immunoproteasome is equally efficacious as dual targeting
agents in preventing lupus disease progression by targeting 2 critical pathways
in disease pathogenesis, type I IFN activation and autoantibody production by
plasma cells.
PMID- 21905017
TI - Overexpression of T-bet gene regulates murine autoimmune arthritis.
AB - OBJECTIVE: To clarify the role of T-bet in the pathogenesis of collagen-induced
arthritis (CIA). METHODS: T-bet-transgenic (Tg) mice under the control of the CD2
promoter were generated. CIA was induced in T-bet-Tg mice and wild-type C57BL/6
(B6) mice. Levels of type II collagen (CII)-reactive T-bet and retinoic acid
receptor-related orphan nuclear receptor gammat (RORgammat) messenger RNA
expression were analyzed by real-time polymerase chain reaction. Criss-cross
experiments using CD4+ T cells from B6 and T-bet-Tg mice, as well as CD11c+
splenic dendritic cells (DCs) from B6 and T-bet-Tg mice with CII were performed,
and interleukin-17 (IL-17) and interferon-gamma (IFNgamma) in the supernatants
were measured by enzyme-linked immunosorbent assay. CD4+ T cells from B6, T-bet
Tg, or T-bet-Tg/IFNgamma-/- mice were cultured for Th17 cell differentiation,
then the proportions of cells producing IFNgamma and IL-17 were analyzed by
fluorescence-activated cell sorting. RESULTS: Unlike the B6 mice, the T-bet-Tg
mice did not develop CIA. T-bet-Tg mice showed overexpression of Tbx21 and down
regulation of Rorc in CII-reactive T cells. Criss-cross experiments with CD4+ T
cells and splenic DCs showed a significant reduction in IL-17 production by CII
reactive CD4+ T cells in T-bet-Tg mice, even upon coculture with DCs from B6
mice, indicating dysfunction of IL-17-producing CD4+ T cells. Inhibition of Th17
cell differentiation under an in vitro condition favoring Th17 cell
differentiation was observed in both T-bet-Tg mice and T-bet-Tg/IFNgamma-/- mice.
CONCLUSION: Overexpression of T-bet in T cells suppressed the development of
autoimmune arthritis. The regulatory mechanism of arthritis might involve
dysfunction of CII-reactive Th17 cell differentiation by overexpression of T-bet
via IFNgamma-independent pathways.
PMID- 21905018
TI - Soluble VE-cadherin in rheumatoid arthritis patients correlates with disease
activity: evidence for tumor necrosis factor alpha-induced VE-cadherin cleavage.
AB - OBJECTIVE: Rheumatoid arthritis (RA) is a chronic, systemic inflammatory disorder
that principally attacks synovial joints. However, accelerated atherosclerosis
and increased cardiovascular morbidity and mortality are major clinical
consequences of endothelial dysfunction in RA patients. Tumor necrosis factor
alpha (TNFalpha) is the major mediator of inflammation in RA, related to vascular
injury by targeting VE-cadherin, an endothelium-specific adhesion molecule of
vital importance for endothelium integrity and angiogenesis. We undertook this
study to examine the mechanisms regulating VE-cadherin processing by TNFalpha and
their occurrence in RA. METHODS: Human umbilical vein endothelial cells were used
in primary culture and treated with recombinant TNFalpha to study VE-cadherin
cleavage. Cell lysates and conditioned media were analyzed by Western blotting
for VE-cadherin cytoplasmic domain and extracellular domain (VE-90) generation,
respectively. VE-90 was analyzed at baseline and at the 1-year followup in sera
from 63 RA patients (from the Very Early Rheumatoid Arthritis cohort) with
disease duration of <6 months. RESULTS: TNFalpha induced a time-dependent
shedding of VE-90 in cell media. This effect was prevented by tyrosine kinase
inhibitors (genistein and PP2) or by knocking down Src kinase. In contrast,
tyrosine phosphatase blockade enhanced VE-cadherin cleavage, confirming the
requirement of tyrosine phosphorylation processes. In addition, using the matrix
metalloproteinase (MMP) activator APMA and the MMP inhibitor GM6001, we
demonstrated that MMPs are involved in TNFalpha-induced VE-cadherin cleavage. Of
major importance, VE-90 was detected in sera from the 63 RA patients and was
positively correlated with the Disease Activity Score at baseline and after 1
year followup. CONCLUSION: These findings provide the first evidence of VE
cadherin proteolysis upon TNFalpha stimulation and suggest potential clinical
relevance of soluble VE-cadherin in management of RA.
PMID- 21905019
TI - Large candidate gene association study reveals genetic risk factors and
therapeutic targets for fibromyalgia.
AB - OBJECTIVE: Fibromyalgia (FM) represents a complex disorder that is characterized
by widespread pain and tenderness and is frequently accompanied by additional
somatic and cognitive/affective symptoms. Genetic risk factors are known to
contribute to the etiology of the syndrome. The aim of this study was to examine
>350 genes for association with FM, using a large-scale candidate gene approach.
METHODS: The study group comprised 496 patients with FM (cases) and 348
individuals with no chronic pain (controls). Genotyping was performed using a
dedicated gene array chip, the Pain Research Panel, which assays variants
characterizing >350 genes known to be involved in the biologic pathways relevant
to nociception, inflammation, and mood. Association testing was performed using
logistic regression. RESULTS: Significant differences in allele frequencies
between cases and controls were observed for 3 genes: GABRB3 (rs4906902; P = 3.65
* 10(-6)), TAAR1 (rs8192619; P = 1.11 * 10(-5)), and GBP1 (rs7911; P = 1.06 * 10(
4)). These 3 genes and 7 other genes with suggestive evidence for association
were examined in a second, independent cohort of patients with FM and control
subjects who were genotyped using the Perlegen 600K platform. Evidence of
association in the replication cohort was observed for TAAR1, RGS4, CNR1, and
GRIA4. CONCLUSION: Variation in these 4 replicated genes may serve as a basis for
development of new diagnostic approaches, and the products of these genes may
contribute to the pathophysiology of FM and represent potential targets for
therapeutic action.
PMID- 21905020
TI - Cyclic loading increases friction and changes cartilage surface integrity in
lubricin-mutant mouse knees.
AB - OBJECTIVE: To investigate the effects of lubricin gene dosage and cyclic loading
on whole joint coefficient of friction and articular cartilage surface integrity
in mouse knee joints. METHODS: Joints from mice with 2 (Prg4(+/+)), 1 (Prg4(+/
)), or no (Prg4(-/-)) functioning lubricin alleles were subjected to 26 hours of
cyclic loading using a custom-built pendulum. Coefficient of friction values were
measured at multiple time points. Contralateral control joints were left
unloaded. Following testing, joints were examined for histologic evidence of
damage and cell viability. RESULTS: At baseline, the coefficient of friction
values in Prg4(-/-) mice were significantly higher than those in Prg4(+/+) and
Prg4(+/-) mice (P < 0.001). Cyclic loading continuously increased the coefficient
of friction in Prg4(-/-) mouse joints. In contrast, Prg4(+/-) and Prg4(+/+) mouse
joints had no coefficient of friction increases during the first 4 hours of
loading. After 26 hours of loading, joints from all genotypes had increased
coefficient of friction values compared to baseline and unloaded controls.
Significantly greater increases occurred in Prg4(-/-) and Prg4(+/-) mouse joints
compared to Prg4(+/+) mouse joints. The coefficient of friction values were not
significantly associated with histologic evidence of damage or loss of cell
viability. CONCLUSION: Our findings indicate that mice lacking lubricin have
increased baseline coefficient of friction values and are not protected against
further increases caused by loading. Prg4(+/-) mice are indistinguishable from
Prg4(+/+) mice at baseline, but have significantly greater coefficient of
friction values following 26 hours of loading. Lubricin dosage affects joint
properties during loading, and may have clinical implications in patients for
whom injury or illness alters lubricin abundance.
PMID- 21905022
TI - CD4+ Foxp3+ regulatory T cells mediate Toxoplasma gondii-induced T-cell
suppression through an IL-2-related mechanism but independently of IL-10.
AB - Acute Toxoplasma gondii infection comprises an immunosuppression stage,
characterized by a reduction in T-cell proliferation in vitro. Treg cells
maintain the homeostasis of the immune system, but their role in T. gondii
induced suppression has not been addressed. We show herein that
immunosuppression, affecting both CD4(+) and CD8(+) T-cell proliferation,
concurs with a reduction in Treg-cell number. The residual Treg cells, however,
are activated and display an increased suppressive capacity. We show that
selective elimination of Treg cells using Foxp3(EGFP) mice leads to a full
recovery of CD4(+) and CD8(+) T-cell proliferation. After Treg-cell removal, a
reduced production of IL-10 was observed, but IL-2 levels were unchanged. The
numbers of IL-10-producing Treg cells also increased during infection, although
the in vitro neutralization of this cytokine did not modify T-cell proliferation,
suggesting that IL-10 does not mediate the Treg-mediated suppression. However,
addition of rIL-2 in vitro fully restored T-cell proliferation from infected
animals. Thus, we show that Treg cells mediate the T-cell suppression observed
during acute T. gondii infection through an IL-2-dependent mechanism. Our results
provide novel insights into the regulation of the immune response against T.
gondii.
PMID- 21905023
TI - Human tumor-induced and naturally occurring Treg cells differentially affect NK
cells activated by either IL-2 or target cells.
AB - NK cells play a crucial role in the eradication of tumor cells. Naturally
occurring (n) Treg cells and induced (i) Treg cells are two distinct Treg
subsets. While the interaction of nTreg cells with NK cells has been investigated
in the past, the role of tumor iTreg cells in the modulation of NK-cell function
remains unclear. Tumor iTreg cells were generated from CD4(+) CD25(-) T cells in
the presence of autologous immature DCs, head and neck cancer cells and IL-2, IL
10, and IL-15. The effect of iTreg cells and nTreg cells on the expression of
NKG2D, NKp44, CD107a, and IFN-gamma by NK cells, as well as NK tumor-cytolytic
activity, were investigated. iTreg cells - similar to recombinant TGF-beta and
nTreg cells - inhibited IL-2-induced activation of NK cells in the absence of
target cell contact. Surprisingly, and in contrast to nTreg cells, iTreg cells
enhanced NK-cell activity elicited by target cell contact. The cytolytic activity
of NK cells activated by iTreg cells was mediated via perforin and FasL. We
conclude that tumor iTreg cells inhibited IL-2-mediated NK-cell activity in the
absence of target cells, whereas the tumoricidal activity of NK cells was
enhanced by iTreg cells. Our data suggest a complex, previously not recognized,
differential regulation of human NK activity by iTreg cells in the tumor
microenvironment.
PMID- 21905024
TI - Persistence of IL-2 expressing Th17 cells in healthy humans and experimental
autoimmune uveitis.
AB - Compared with other T-helper subsets, Th17 cell numbers are very low in human
blood but become elevated in chronic inflammatory diseases. In this study, we
investigated mechanisms that may explain the frequent involvement of Th17 cells
in autoimmune diseases such as uveitis. We compared Th17 and Th1 subsets and
found that Th17 cells expressed lower IL-2 levels during Ag-priming and this
correlated with their decreased susceptibility to activation-induced cell death
(AICD). However, complete depletion of IL-2 with IL-2 neutralizing antibodies
rendered Th17 cells as susceptible to apoptosis as Th1 cells, suggesting that the
low levels of IL-2 produced by Th17 cells conferred survival advantages to this
subset. We describe here a Th17 subtype that constitutively produces very low
levels of IL-2 (Th17-DP). The Th17-DP population increased dramatically in the
blood and retina of mice during experimental autoimmune uveitis, indicating their
potential involvement in the etiology of uveitis. We further show that the
majority of the memory Th17 cells in human blood are Th17-DP and are targets of
daclizumab, an IL-2R antibody used in treating recalcitrant uveitis. Thus, Th17
cells may persist in tissues and contribute to chronic inflammation by limiting
IL-2 production to levels that cannot provoke IL-2-induced AICD yet are
sufficient to promote Th17 homeostatic expansion.
PMID- 21905025
TI - The effects of different methods of emotional disclosure: differentiating post
traumatic growth from stress symptoms.
AB - Research on emotional disclosure should test the effects of different disclosure
methods and whether symptoms are affected differently than post-traumatic growth.
We randomized 214 participants with unresolved stressful experiences to four
disclosure conditions (written, private spoken, talking to a passive listener,
talking to an active facilitator) or two control conditions. All groups had one
30-minute session. After 6 weeks, disclosure groups reported more post-traumatic
growth than controls, and disclosure conditions were similar in this effect. All
groups decreased in stress symptoms (intrusions, avoidance, psychological and
physical symptoms), but disclosure did not differ from control. We conclude that
30 minutes of disclosure leads to post-traumatic growth but not necessarily
symptom reduction, and various disclosure methods have similar effects. Research
on the effects of disclosure should focus on the benefits of growth as well as
symptom reduction.
PMID- 21905026
TI - Effects of stress, depression, and their interaction on heart rate, skin
conductance, finger temperature, and respiratory rate: sympathetic
parasympathetic hypothesis of stress and depression.
AB - We examined effects of stress, depression, and their interaction on sympathetic
parasympathetic responses, including percentage heart rate (PHR), percentage skin
conductance (PSC), percentage finger temperature (PTEMP), and percentage
respiratory rate (PRESPR). Participants were categorized into normal, low-risk,
and high-risk depression groups under stress or no-stress by measuring
psychophysiological responses. Stress increased PHR and PSC and decreased PTEMP.
Depression negatively correlated with PHR and PTEMP. PSC and PTEMP were
significantly dependent on and positively correlated with depression. PTEMP was
significantly affected by the stress and depression interaction. Stress affects
sympathetic, rather than parasympathetic, activity. Depression and the
interaction between stress and depression initially associated with the
sympathetic division and are then correlated with parasympathetic activity. A
sympathetic-parasympathetic hypothesis and its clinical implications are
discussed.
PMID- 21905027
TI - Physicochemical stability of solid dispersions of enantiomeric or racemic
ibuprofen in stearic acid.
AB - The aim of this study was to investigate the solid dispersion phase behavior of s
or rs-ibuprofen in stearic acid. By means of thermal analysis, we have
demonstrated the total immiscibility, in solid state, of the corresponding binary
mixtures. This indicates that no specific interactions exist between the chosen
excipient and active pharmaceutical ingredient (API) that lead to eutectic
systems. Furthermore, based on calorimetric and X-ray diffraction experiments, we
have showed that upon cooling of the molten state, only stearic acid
recrystallizes in the presence of s-ibuprofen, whereas a quaternary phase mixture
is obtained for the racemic ibuprofen/stearic acid preparation. The solubility of
stearic acid in s-ibuprofen liquid in all proportions was also determined.
Overall, the results presented here offer an approach for the study of
API/excipient interactions.
PMID- 21905028
TI - Transport of the synthetic opioid peptide DADLE ([D-Ala2,D-Leu5]-enkephalin) in
neuronal cells.
AB - The sodium-coupled oligopeptide transporters 1 and 2 (SOPT1 and SOPT2) transport
peptides consisting of at least five amino acids and show potential for the
delivery of therapeutically relevant peptides/peptidomimetics. Here, we examined
the expression of these two transporters in the retinal neuronal cell line RGC-5.
These cells showed robust uptake activity for the synthetic pentapeptide DADLE
([D-Ala(2),D-Leu(5)]-Enkephalin). The uptake was Na(+) dependent and saturable
(K(t), 6.2 +/- 0.6 MUM). A variety of oligopeptides inhibited DADLE uptake. The
uptake of the competing oligopeptides was directly demonstrated with fluorescein
isothiocyanate-labeled Tyr-Gly-Gly-Phe-Leu-Arg-Arg-Ile-Arg-Pro-Lys-Leu-Lys in RGC
5 cells and primary mouse retinal ganglion cells. The characteristics of DADLE
uptake matched those of SOPT2. We then examined the expression of SOPT1 in these
cells with deltorphin II (Tyr-D-Ala-Phe-Glu-Val-Val-Gly-NH(2)) as the substrate
and found that RGC-5 cells also expressed SOPT1. As it is already known that
SOPT1 is expressed in the neuronal cell line SK-N-SH, we investigated SOPT2
expression in these cells to determine whether the presence of both oligopeptide
transporters is a common feature of neuronal cells. These studies showed that SK
N-SH cells also expressed SOPT2. This constitutes the first report on the
functional characterization of SOPT1 and SOPT2 in retinal neuronal cells and on
the expression of SOPT2 in nonretinal neuronal cells.
PMID- 21905029
TI - A survey of top 200 drugs--inconsistent practice of drug strength expression for
drugs containing salt forms.
AB - Many ionizable drugs are developed and marketed as salt forms. However, there are
no clear US regulatory guidelines on drug strength labeling for salts. The
strengths of some drugs are expressed as salts and some as free acids/bases. This
study surveyed the top 200 US drugs to assess the common practice in industry.
The top 200 drugs prescribed in the United States were included in this survey.
The drugs containing active pharmaceutical ingredient (API) salts were selected
for analysis. Generic or combination products with redundant API salts were
excluded. The package insert of each selected drug was reviewed, and the
information on drug strength expression was extracted and categorized. Out of the
top 200 drugs, 59 unique API salts were identified. The drug strengths were
expressed as salts for 32 drugs (54%) and as free acids/bases for 27 drugs (46%).
The survey results revealed the inconsistent practice among the industries
regarding the drug strength expression for salts. Non-harmonized labeling
practice can lead to confusions, potential calculation/dosing errors, and
complications in labeling new products. The authors recommend the US Food and
Drug Administration to standardize the labeling format for salts and preferably
express the drug strengths based on the free acid/base forms.
PMID- 21905030
TI - An adsorption chromatography assay to probe bulk particle transport through
hydrogels.
AB - Biopolymer-based hydrogels such as mucus and the basal lamina play a key role in
biology, where they control the exchange of material between different
compartments. They also pose a barrier that needs to be overcome for successful
drug delivery. Characterizing the permeability properties of such hydrogels is
mandatory for the development of suitable drug delivery vectors and
pharmaceutics. Here, we present an experimental method to measure bulk particle
transport through hydrogels. We validate our assay by applying it to mucin
hydrogels and show that the permeability properties of these mucin hydrogels can
be modulated by polymer density and pH, in agreement with previous results
obtained from single particle tracking. The method we present here is easy to
handle, inexpensive, and high-throughput compatible. It is also a suitable
platform for the design and screening of drugs that aim at modifying the barrier
properties of hydrogels. This system can also aid in the characterization and
development of synthetic gels for a range of biomedical applications.
PMID- 21905031
TI - Pharmacokinetic interplay of phase II metabolism and transport: a theoretical
study.
AB - Understanding of the interdependence of cytochrome P450 enzymes and P
glycoprotein in disposition of drugs (also termed "transport-metabolism
interplay") has been significantly advanced in recent years. However, whether
such "interplay" exists between phase II metabolic enzymes and efflux
transporters remains largely unknown. The objective of this article is to explore
the role of efflux transporters (acting on the phase II metabolites) in
disposition of the parent drug in Caco-2 cells, liver, and intestine via
simulations utilizing a catenary model (for Caco-2 system) and physiologically
based pharmacokinetic (PBPK) models (for the liver and intestine). In all three
models, "transport-metabolism interplay" (i.e., inhibition of metabolite efflux
decreases the metabolism) can be observed only when futile recycling (or
deconjugation) occurred. Futile recycling appeared to bridge the two processes
(i.e., metabolite formation and excretion) and enable the interplay thereof.
Without futile recycling, metabolite formation was independent on its downstream
process excretion, thus impact of metabolite excretion on its formation was
impossible. Moreover, in liver PBPK model with futile recycling, impact of
biliary metabolite excretion on the exposure of parent drug [(systemic
(reservoir) area under the concentration-time curve (AUC(R1))] was limited; a
complete inhibition of efflux resulted in AUC(R1) increases of less than 1-fold
only. In intestine PBPK model with futile recycling, even though a complete
inhibition of efflux could result in large elevations (e.g., 3.5-6.0-fold) in
AUC(R1), an incomplete inhibition of efflux (e.g., with a residual activity of >=
20% metabolic clearance) saw negligible increases (<0.9-fold) in AUC(R1). In
conclusion, this study presented mechanistic observations of pharmacokinetic
interplay between phase II enzymes and efflux transporters. Those studying such
"interplay" are encouraged to adequately consider potential consequences of
inhibition of efflux transporters in humans.
PMID- 21905032
TI - Stability of IgG1 monoclonal antibodies in intravenous infusion bags under
clinical in-use conditions.
AB - Compounding pharmacists are expected to prepare safe and efficacious doses of
medication under time and economical constraints while protecting pharmacy staff
and caregivers from inadvertent exposure to the drug. The pharmacist has the
additional responsibility to ensure that the product is stable in the final
administrated form as the time between drug preparation and administration is
considerable. Pharmacists are responsible for setting a "beyond-use" date based
on United States Pharmacopeia 797, wherein the beyond-use date for the compounded
sterile preparation (CSP) is defined as the time by which the compounded
preparation must be used to avoid risks for product degradation, contamination,
and so on. Physical and chemical stability of the CSP can be difficult to
maintain over extended storage, especially since the formulation components are
diluted within the intravenous (i.v.) bag contents. Recent published reports have
suggested the use of extended time, beyond that recommended by the manufacturer,
for the storage and administration of CSP. These recommendations were based on
inadequate analytical testing of the CSP. Herein, we demonstrate that setting of
the beyond-use date should be carefully assessed using the appropriate analytical
methods and testing. Results from our studies clearly indicate that many of the
tested IgG(1) monoclonal antibodies should not be diluted and stored in i.v. bags
over extended period of time, and particularly should not be transported after
dilution in the infusion bags without consulting the manufacturer. Results from
this study also indicate that i.v. bag agitation studies should be performed
during pharmaceutical development of protein therapeutics under clinical in-use
conditions, especially when storage and transportation of i.v. bags are possible
in global clinical trials and post-licensure usage.
PMID- 21905033
TI - Lipid nanoparticles as carrier for octyl-methoxycinnamate: in vitro percutaneous
absorption and photostability studies.
AB - The aim of the present study was the evaluation of lipid nanoparticles (solid
lipid nanoparticles, SLN, and nanostructured lipid carriers, NLC) as potential
carriers for octyl-methoxycinnamate (OMC). The release pattern of OMC from SLN
and NLC was evaluated in vitro, determining its percutaneous absorption through
excised human skin. Additional in vitro studies were performed in order to
evaluate, after UVA radiation treatment, the spectral stability of OMC-loaded
lipid nanoparticles. From the obtained results, ultrasonication method yielded
both SLN and NLC in the nanometer range with a high active loading and a particle
shape close to spherical. Differential scanning calorimetry data pointed out the
key role of the inner oil phase of NLC in stabilizing the particle architecture
and in increasing the solubility of OMC as compared with SLN. In vitro results
showed that OMC, when incorporated in viscosized NLC dispersions (OMC-NLC),
exhibited a lower flux with respect to viscosized SLN dispersions (OMC-SLN) and
two reference formulations: a microemulsion (OMC-ME) and a hydroalcoholic gel
(OMC-GEL). Photostability studies revealed that viscosized NLC dispersions were
the most efficient at preserving OMC from ultraviolet-mediated photodegradation.
PMID- 21905035
TI - Freeze-drying of nanosuspensions, part 3: investigation of factors compromising
storage stability of highly concentrated drug nanosuspensions.
AB - On the basis of a previously developed formulation and process guideline for
lyophilized, highly concentrated drug nanosuspensions for parenteral use, it was
the purpose of this study to demonstrate that the original nanoparticle size
distribution can be preserved over a minimum period of 3 months, even if
aggressive primary drying conditions are used. Critical factors were evaluated
that were originally believed to affect storage stability of freeze-dried drug
nanoparticles. It was found that the nature and concentration of the steric
stabilizer, such as Poloxamer 338 and Cremophor EL, are the most important
factors for long-term stability of such formulations, independent of the used
drug compound. The rational choice of an adequate steric stabilizer, namely
Poloxamer 338, in combination with various lyoprotectants seems crucial to
prevent physical instabilities of the lyophilized drug nanoparticles during short
term stability experiments at ambient and accelerated conditions. A 200 mg/mL
concentration of nanoparticles could successfully be stabilized over the
investigated time interval. In the course of the present experiments,
polyvinylpyrrolidone, type K15 was found superior to trehalose or sucrose in
preserving the original particle size distribution, presumably based on its
surface-active properties. Lastly, it was demonstrated that lower water contents
are generally beneficial to stabilize such systems.
PMID- 21905036
TI - Stability of human growth hormone in supercritical carbon dioxide.
AB - The instability of human growth hormone (hGH) to temperature and interfaces makes
its formulation into injectable, sustained-release drug delivery systems
challenging. A novel method of encapsulating hGH in polymeric microparticles has
been developed using supercritical CO(2) (scCO(2)) technology, but there is
limited understanding of the stability of hGH within this system. The aim of this
study was to evaluate the stability of hGH in scCO(2) processing. The integrity
of the protein was assessed following exposure to scCO(2) using a range of
different analytical techniques. Mass spectrometry showed that no peptide
cleavage occurred as a result of processing or exposure to scCO(2). Size
exclusion chromatography detected formation of aggregates at high temperatures,
but not as a result of the encapsulation process. Reverse-phase chromatography
demonstrated that the production of deamidation products occurred as a function
of temperature, but only at temperatures higher than those used for the
encapsulation process. Circular dichroism and infrared spectroscopy demonstrated
that the use of scCO(2) was not detrimental to the secondary molecular structure
of hGH. Together, these results show that the structural integrity of hGH is
unaffected by scCO(2) processing and that hGH can be successfully encapsulated in
polymer microparticles using this technique.
PMID- 21905034
TI - Stable dry powder formulation for nasal delivery of anthrax vaccine.
AB - There is a current biodefense interest in protection against anthrax. Here, we
developed a new generation of stable and effective anthrax vaccine. We studied
the immune response elicited by recombinant protective antigen (rPA) delivered
intranasally with a novel mucosal adjuvant, a mast cell activator compound 48/80
(C48/80). The vaccine formulation was prepared in a powder form by spray-freeze
drying (SFD) under optimized conditions to produce particles with a target size
of D(50) = 25 MUm, suitable for delivery to the rabbit nasal cavity.
Physicochemical properties of the powder vaccines were characterized to assess
their delivery and storage potential. Structural stability of rPA was confirmed
by circular dichroism and attenuated total reflectance-Fourier transform infrared
spectroscopy, whereas functional stability of rPA and C48/80 was monitored by
cell-based assays. Animal study was performed using a unit-dose powder device for
direct nasal application. Results showed that C48/80 provided effective mucosal
adjuvant activity in rabbits. Freshly prepared SFD powder vaccine formulations or
powders stored for over 2 years at room temperature elicited significantly
elevated serum PA-specific and lethal toxin neutralization antibody titers that
were comparable to that induced by intramuscular immunization with rPA. Nasal
delivery of this vaccine formulation may be a viable alternative to the currently
licensed vaccine or an attractive vaccine platform for other mucosally
transmitted diseases.
PMID- 21905037
TI - Understanding the performance of melt-extruded poly(ethylene oxide)-bicalutamide
solid dispersions: characterisation of microstructural properties using thermal,
spectroscopic and drug release methods.
AB - In this article, we have prepared hot-melt-extruded solid dispersions of
bicalutamide (BL) using poly(ethylene oxide) (PEO) as a matrix platform. Prior to
preparation, miscibility of PEO and BL was assessed using differential scanning
calorimetry (DSC). The onset of BL melting was significantly depressed in the
presence of PEO, and using Flory-Huggins (FH) theory, we identified a negative
value of -3.4, confirming miscibility. Additionally, using FH lattice theory, we
estimated the Gibbs free energy of mixing which was shown to be negative, passing
through a minimum at a polymer fraction of 0.55. Using these data, solid
dispersions at drug-to-polymer ratios of 1:10, 2:10 and 3:10 were prepared via
hot-melt extrusion. Using a combination of DSC, powder X-ray diffractometry and
scanning electron microscopy, amorphous dispersions of BL were confirmed at the
lower two drug loadings. At the 3:10 BL to PEO ratio, crystalline BL was
detected. The percent crystallinity of PEO was reduced by approximately 10% in
all formulations following extrusion. The increased amorphous content within PEO
following extrusion accommodated amorphous BL at drug to polymer loadings up to
2:10; however, the increased amorphous domains with PEO following extrusion were
not sufficient to fully accommodate BL at drug-to-polymer ratios of 3:10.
PMID- 21905038
TI - Contribution of organic cation transporter 3 to cisplatin cytotoxicity in human
cervical cancer cells.
AB - This study was conducted to investigate whether drug transporters play a role in
determination of cisplatin resistance in cervical cancer cells. The transcript
levels of the transporter genes previously associated with cisplatin transport
and/or resistance were compared between the cisplatin-sensitive cervical
adenocarcinoma KB-3-1 and its derivative cisplatin-resistant KB-CP20 cells. The
expression of the efflux transporter gene multidrug resistance-associated protein
2 (MRP2) was significantly reduced in KB-CP20 cells, in support of previous
studies indicating that MRP2 is unlikely responsible for cisplatin resistance in
these cells. We observed that the expression of the uptake transporter organic
cation transporter 3 (OCT3) was extremely downregulated in KB-CP20 compared with
KB-3-1 cells. Consistently, the transport function for organic cations in the
former was considerably low. OCT3 overexpression significantly increased
cisplatin cellular accumulation and cytotoxicity in KB-3-1 cells, while its
downregulation by short hairpin RNA or chemical inhibition increased the
resistance. Interestingly, there was no effect of OCT3 overexpression on
cisplatin accumulation and cytotoxicity in human embryonic kidney 293 cells. The
present study indicates that OCT3 partially contributes to the sensitivity of
cervical adenocarcinoma cells to cisplatin cytotoxicity. Further studies are
required to determine OCT3 activity in cervical cancer tissues of different
cisplatin chemoresponses and to elucidate the underlying mechanisms of different
OCT3 function in different cell types.
PMID- 21905039
TI - Violent and nonviolent video games differentially affect physical aggression for
individuals high vs. low in dispositional anger.
AB - Although numerous experiments have shown that exposure to violent video games
(VVG) causes increases in aggression, relatively few studies have investigated
the extent to which this effect differs as a function of theoretically relevant
individual difference factors. This study investigated whether video game content
differentially influences aggression as a function of individual differences in
trait anger. Participants were randomly assigned to play a violent or nonviolent
video game before completing a task in which they could behave aggressively.
Results showed that participants high in trait anger were the most aggressive,
but only if they first played a VVG. This relationship held while statistically
controlling for dimensions other than violent content on which game conditions
differed (e.g. frustration, arousal). Implications of these findings for models
explaining the effects of video games on behavior are discussed.
PMID- 21905040
TI - What do the 2011 American Heart Association guidelines tell us about prevention
of cardiovascular disease in women?
AB - The 2011 Update of the American Heart Association's Cardiovascular Disease
Prevention Guideline for Women is designed to help women and their physicians
understand cardiovascular Disease (CVD) risks and undertake practical steps that
are most effective in preventing heart disease and stroke. Defining a woman's
risk status and improving her adherence to preventive lifestyle behaviors and
medications is the best strategy to lower the burden of CVD in women.
PMID- 21905041
TI - Correlation between heart rate control during exercise and exercise capacity in
patients with chronic atrial fibrillation.
AB - BACKGROUND: Rate control is an acceptable alternative to rhythm control in
patients with chronic atrial fibrillation (AF). HYPOTHESIS: The aim of this study
of AF patients was to understand the correlation between their exercise capacity
and both heart rate (HR) and HR variation index during exercise. METHODS: The
exercise capacity of 85 male patients with chronic AF was measured using a
cardiopulmonary exercise test (CPX). Within this population, we compared the
exercise tolerance of patients with a normal chronotropic response (maximal HR
85%-115% that of the maximal age-predicted HR during CPX) to those whose HR
response exceeded this range. Two similar comparisons were made by dividing the
subject population according to (1) whether or not their HR variation index
(HRVI) during CPX exceeded 10 bpm/min, and (2) whether their HR during the 6
minute walk test exceeded 110 bpm. RESULTS: Patients with an HRVI not over 10
bpm/min showed higher maximal oxygen uptake compared to patients with a higher
HRVI (26.7 +/- 6.1 vs 22.8 +/- 4.8 mL O(2) /kg/min, P = 0.002) and a longer
distance walked during CPX (705.6 +/- 200.3 vs 520.9 +/- 155.5 m, P<0.001). No
other significant influence on exercise capacity was seen. Multivariate
regression analysis revealed that both the body mass index and the HRVI during
CPX were independent predictors of the maximal oxygen uptake. CONCLUSIONS: Better
HRVI control on CPX was correlated with better exercise capacity in patients with
chronic AF.
PMID- 21905042
TI - Comparison of electrocardiographic findings between the midventricular ballooning
form and apical ballooning form of takotsubo cardiomyopathy.
AB - BACKGROUND: Several reports have recently described the variant form of takotsubo
cardiomyopathy exhibiting midventricular ballooning. The purpose of this study
was to assess electrocardiographic (ECG) findings on admission in patients with
midventricular ballooning. HYPOTHESIS: ECG findings are different between the
midventricular ballooning form and apical ballooning form of takotsubo
cardiomyopathy. METHODS: We reviewed ECGs on admission in 6 patients with
midventricular ballooning and 20 patients with apical ballooning. The sum of ST
segment elevation in leads V1 to V3 or in leads V4 to V6 was obtained. The number
of leads showing ST segment elevation and/or T wave inversion was also obtained.
These ECG findings were compared between the 2 groups. RESULTS: In midventricular
ballooning, ECG changes including ST segment elevation and/or T wave inversion
were observed frequently in leads V2 and V3, and were not observed in leads II,
III, -aVR, aVF, V5, and V6. On the other hand, in apical ballooning, they were
found in all leads. They were most common in leads V4 and V5. The sum of ST
segment elevation in leads V1 to V3 was similar (2.6 +/- 2.0 mm vs 2.7 +/- 2.0
mm, P = not significant), and the sum of ST segment elevation in leads V4 to V6
was significantly lower in midventricular ballooning than apical ballooning (0.4
+/- 0.8 mm vs 3.5 +/- 3.0 mm, P<0.05). The number of leads showing ST segment
elevation and/or T wave inversion was significantly lower in midventricular
ballooning than apical ballooning (3.2 +/- 1.0 leads vs 6.3 +/- 2.2 leads,
P<0.01). CONCLUSIONS: Our data suggested that midventricular ballooning may show
limited ECG changes despite broad wall motion abnormality.
PMID- 21905044
TI - Development and validation of a capillary electrophoresis assay for the
determination of the stereoisomeric purity of chloroquine enantiomers.
AB - A stereoselective CE assay for the determination of the enantiomeric purity of
(R)-(-)-chloroquine and (S)-(+)-chloroquine was developed and validated. The
separations were performed in a 50.2/40 cm uncoated fused silica capillary at 20
degrees C using a 100 mM sodium phosphate buffer, pH 2.5, containing 30 mg/mL
sulfobutylether(VII)-beta-cyclodextrin as background electrolyte operated at an
applied voltage of -25 kV and 20 degrees C. The detection wavelength was 225 nm.
Carbamazepine was used as internal standard. The assay was validated in the range
of 0.05-1.0% for the respective minor chloroquine enantiomer based on a
concentration of 3 mg/mL of the major enantiomer, either (R)-(-)-chloroquine or
(S)-(+)-chloroquine. The method was applied to analyze the stereoisomeric purity
of synthetic samples of the chloroquine enantiomers.
PMID- 21905043
TI - Impact of diabetes mellitus on the clinical management of global cardiovascular
risk: analysis of the results of the Evaluation of Final Feasible Effect of
Control Training and Ultra Sensitization (EFFECTUS) educational program.
AB - BACKGROUND: The Evaluation of Final Feasible Effect of Ultra Control Training and
Sensitization (EFFECTUS) study is aimed at implementing global cardiovascular
(CV) risk management in Italy. HYPOTHESIS: To evaluate the impact of diabetes
mellitus (DM) on attitudes and preferences for clinical management of global CV
risk among physicians treating diabetic or nondiabetic patients. METHODS:
Involved physicians were asked to submit data into a study-designed case-report
form, covering the first 10 adult outpatients consecutively seen in May 2006. All
available clinical data were centrally analyzed for global CV risk assessment and
CV risk profile characterization. Patients were stratified according to the
presence or absence of DM. RESULTS: Overall, 1078 physicians (27% female, ages 50
+/- 7 y) collected data of 9904 outpatients (46.5% female, ages 67 +/- 9 y),
among whom 3681 (37%) had a diagnosis of DM at baseline. Diabetic patients were
older and had higher prevalence of obesity, hypertension, dyslipidemia, and
associated CV diseases than nondiabetic individuals (P<0.001). They had higher
systolic blood pressure, total cholesterol, triglycerides, and creatinine levels,
but lower high-density lipoprotein cholesterol levels than nondiabetic patients
(P<0.001). Higher numbers of blood pressure and lipid-lowering drugs and
antiplatelet agents were used in diabetic than in nondiabetic patients (P<0.001).
CONCLUSIONS: The EFFECTUS study confirmed higher CV risk and more CV drug
prescriptions in diabetic than in nondiabetic patients. Presence of DM at
baseline significantly improved clinical data collection. Such an approach,
however, was not paralleled by a better control of global CV risk profile, which
was significantly worse in the former than in the latter group.
PMID- 21905045
TI - Enantioseparation of organic acids of pharmaceutical interest using eremomycin as
a chiral selector.
AB - Strong adsorption of eremomycin on the fused-silica capillary wall was used for
separation of enantiomers by CE. The capillary with adsorbed chiral selector was
shown to be easily prepared and has reproducible properties. The effect of the
chiral selector concentration, pH and composition of the BGE, and applied voltage
on enantioseparation of acidic compounds, such as profens and aromatic carboxylic
acids, was investigated. Two native alpha-amino acids, aspartic acid and glutamic
acid, were enantioseparated. Fourteen tested compounds (including amino acids)
were baseline resolved. Good selectivity of separation (alpha>1.09) was achieved.
The migration order of ibuprofen and ketoprofen enantiomers was determined. The
procedures were proposed for the analysis of flurbiprofen and warfarin in
pharmaceuticals. Linearity was achieved in the concentration range of 4.0*10(-5)
2.0*10(-3) M for flurbiprofen and 3.2*10(-6)-4.9*10(-6) M for warfarin. The
detection limits were found to be about 1*10(-5) M for flurbiprofen and 1*10(-6)
M for warfarin.
PMID- 21905046
TI - Capillary electrophoresis and hollow fiber liquid-phase microextraction for the
enantioselective determination of albendazole sulfoxide after biotransformation
of albendazole by an endophytic fungus.
AB - Hollow fiber liquid-phase microextraction and CE were applied for the
determination of albendazole sulfoxide (ASOX) enantiomers in liquid culture
medium after a fungal biotransformation study. The analytes were extracted from 1
mL of liquid culture medium spiked with the internal standard (rac
hydroxychloroquine) and buffered with 0.50 mol/L phosphate buffer, pH 10. The
analytes were extracted into 1-octanol impregnated in the pores of the hollow
fiber, and into an acid acceptor solution inside the polypropylene hollow fiber.
The electrophoretic separations were carried out in 0.05 mol/L
tris(hydroxymethyl)aminomethane buffer, pH 9.3, containing 3.0% w/v sulfated-beta
CD (S-beta-CD) with a constant voltage of +15 kV and detection at 220 nm. The
method was linear over the concentration range of 250-5000 ng/mL for each ASOX
enantiomer. Within-day and between-day assay precision and accuracy for the
analytes were studied at three concentration levels and the values of RSD% and
relative error % were lower than 15%. The developed method was applied for the
determination of ASOX after a biotransformation study employing the endophytic
fungus Penicillium crustosum (VR4). This study showed that the endophytic fungus
was able to metabolize the albendazole to ASOX enantioselectively. In addition,
it was demonstrated that hollow fiber liquid-phase microextraction coupled to CE
can be an excellent and environmentally friendly technique for the analysis of
samples obtained in biotransformation studies.
PMID- 21905047
TI - Separation of enantiomers of ephedrine by capillary electrophoresis using
cyclodextrins as chiral selectors: comparative CE, NMR and high resolution MS
studies.
AB - The enantiomer migration order (EMO) of ephedrine was investigated in the
presence of various CDs in CE. The molecular mechanisms of chiral recognition
were followed for the ephedrine complexes with native alpha- and beta-CD and
heptakis(2,3-di-O-acetyl-6-O-sulfo)-beta-CD (HDAS-beta-CD) by CE, NMR
spectroscopy and high-resolution MS. Minor structural differences were observed
between the complexes of ephedrine with alpha- and beta-CD although the migration
order of enantiomers was opposite when these two CDs were applied as chiral
selectors in CE. The EMO was also opposite between beta-CD and HDAS-beta-CD.
Significant structural differences were observed between ephedrine complexes with
the native CDs and HDAS-beta-CD. The latter CD was advantageous as chiral CE
selector not only due to its opposite electrophoretic mobility compared with that
of the cationic chiral analyte, but also primarily due to its enhanced chiral
recognition ability towards the enantiomers of ephedrine.
PMID- 21905048
TI - Chemical modification of proteins to improve the accuracy of their relative
molecular mass determination by electrophoresis.
AB - We studied the electrophoretic behavior of basic proteins (cytochrome c and
histone III) and developed a carbamylation method that normalizes their
electrophoretic size separation and improves the accuracy of their relative
molecular mass determined electrophoretically. In capillary zone electrophoresis
with cationic hitchhiking, native cytochrome c does not sufficiently bind
cationic surfactants due to electrostatic repulsion between the basic protein and
cationic surfactant. Carbamylation suppresses the strong positive charge of the
basic proteins and results in more accurate relative molecular masses.
PMID- 21905049
TI - Advances in the enantioseparation of beta-blocker drugs by capillary
electromigration techniques.
AB - beta-Blocker drugs or beta-adrenergic blocking agents are an important class of
drugs, prescribed with great frequency. They are used for various diseases,
particularly for the treatment of cardiac arrhythmias, cardioprotection after
myocardial infarction (heart attack), and hypertension. Almost all beta-blocker
drugs possess one or more stereogenic centers; however; only some of them are
administered as single enantiomers. Since both enantiomers can differ in their
pharmacological and toxicological properties, enantioselective analytical methods
are required not only for pharmacodynamic and pharmacokinetic studies but also
for quality control of pharmaceutical preparations with the determination of
enantiomeric purity. In addition to the chromatographic tools, in recent years,
capillary electromigration techniques (CE, CEC, and MEKC) have been widely used
for enantioselective purposes employing a variety of chiral selectors, e.g. CDs,
polysaccharides, macrocyclic antibiotics, proteins, chiral ion-paring agents,
etc. The high separation efficiency, rapid analysi,s and low consumption of
reagents of electromigration methods make them a very attractive alternative to
the conventional chromatographic methods. In this review, the development and
applications of electrodriven methods for the enantioseparation of beta-blocker
drugs are reported. The papers concerning this topic, published from January 2000
until December 2010, are summarised here. Particular attention is given to the
coupling of chiral CE and CEC methods to MS, as this detector provides high
sensitivity and selectivity.
PMID- 21905050
TI - Local protein backbone folds determined by calculated NMR chemical shifts.
AB - NMR chemical shifts (CSs: deltaN(NH), deltaC(alpha), deltaC(beta), deltaC',
deltaH(NH), and deltaH(alpha)) were computed for the amino acid backbone
conformers (alpha(L), beta(L), gamma(L), delta(L), epsilon(L), alpha(D),
gamma(D), delta(D), and epsilon(D) [Perczel et al., J Am Chem Soc 1991, 113,
6256]) modeled by oligoalanine structures. Topological differences of the
extended fold were investigated on single beta-strands, hairpins with type I and
II beta-turns, as well as double- and triple-stranded beta-sheet models. The so
called "capping effect" was analyzed: residues at the termini of a homoconformer
sequence unit usually have different CSs than the central residues of an
adequately long homoconformer model. In heteroconformer sequences capping effect
ruins the direct applicability of several chemical shift types (deltaH(NH),
deltaC', and deltaN(NH)) for backbone structure determination of the parent
residue. Experimental deltaH(alpha), deltaC(alpha), and deltaC(beta) values
retrieved from protein database are in good agreement with the relevant computed
data in the case of the common backbone conformers (alpha(L), beta(L), gamma(L),
and epsilon(L)), even though neighboring residue effects were not accounted for.
Experimental and computed DeltadeltaH(alpha)-DeltadeltaC(alpha),
DeltadeltaH(alpha)-DeltadeltaC(beta), and DeltadeltaC(alpha)-DeltadeltaC(beta)
maps give qualitatively the same picture, that is, the positions of the backbone
conformers relative to each other are very similar. This indicates that the
H(alpha), C(alpha), and C(beta) chemical shifts of alanine depend considerably on
the backbone fold of the parent residue also in proteins. We provide tabulated
CSs of the chiral amino acids that may predict the various structures of the
residues.
PMID- 21905051
TI - Mosaicism in clinical practice exemplified by prenatal diagnosis in
retinoblastoma.
PMID- 21905052
TI - Corpus callosum growth in normal and growth-restricted fetuses.
AB - OBJECTIVE: The aim of this study was to characterize the normal ultrasonographic
growth of the corpus callosum (CC) in normal and in growth-restricted fetuses
throughout gestation. METHODS: This was a prospective cross-sectional study.
Consecutive routine biometric measurements and fetal organ scans were obtained in
patients undergoing elective fetal anatomical surveys or evaluation of the fetal
growth between 16 to 33 weeks. Special attention was given to the CC of the fetal
brain. In addition, we evaluated the growth of the CC in growth-restricted
fetuses throughout pregnancy. RESULTS: Two hundred and fifty two normal fetuses
were scanned between 16 and 36 weeks of gestation. A regression line of the CC
was established through gestation and a second-degree correlation was found
between gestational age and CC outer margin. Twenty four growth-restricted
fetuses were also evaluated in which the growth of the CC was significantly below
both the 25(th) and 50(th) percentiles in 77.3% and 95.5%, respectively, for the
same gestational age. CONCLUSIONS: We provide nomograms for the ultrasonographic
dimensions of the fetal CC that allows for prenatal diagnosis of abnormal
dimensions of CC. The significance of abnormal CC growth in growth-restricted
fetuses should be further evaluated.
PMID- 21905053
TI - Conjoined twins pregnancies: experience with 36 cases from a single center.
AB - OBJECTIVE: To review a single center's experience in the management of twin
pregnancies with conjoined fetuses. METHODS: Retrospective study describing
prenatal findings, delivery details, surgical treatment and perinatal outcome.
RESULTS: The study included 36 twin pregnancies with conjoined twins seen over a
period of 12 years in a single tertiary hospital: 69.4% were thoracopagus, 13.9%
parapagus, 8.3% omphaloischiopagus 5.6% omphalopagus and 2.8% cephalopagus.
Cardiac defects were present in 91.6% of twin pairs and associated malformations
were present in 61.8% of the cases: limb abnormalities in 36.1%, abdominal wall
defects in 25.0%, cleft lip and/or palate in 13.9% and congenital diaphragmatic
hernia in 5.5%. Surgical separation was considered not feasible and prognosis
lethal in 30 (83.3%) cases. Termination of pregnancy was performed in 12
pregnancies of poor prognosis. Cesarean section was performed in all remaining
cases. Five sets of twins underwent surgical separation and six children
survived. Overall survival in our series was 8.3% and, among the livebirths,
13.6%. CONCLUSION: Conjoined twin pregnancies should be referred to tertiary
centers for detailed fetal anomaly and echocardiographic assessment to evaluate
prognosis and determine the possibility of postnatal surgical separation.
PMID- 21905054
TI - Ultrasound prognostic factors after laser surgery for twin-twin transfusion
syndrome to predict survival at 6 months.
AB - OBJECTIVE: To evaluate the significance of ultrasound findings, detected one or
two weeks after laser surgery for twin-twin transfusion syndrome, in predicting
the mortality at 6 months of age. METHODS: Ultrasound evaluation including fetal
biometry, amniotic fluid volume estimation and Doppler examination was performed
between 7 and 14 days after surgery for 181 cases. The presence of one or more
effusions and single fetal death were also determined. Associations between
ultrasound findings and mortality at 6 months of age were evaluated using
multiple logistic regression analysis. RESULTS: Of the total 181 pairs, 145
(80.1%) donor and 160 (88.1%) recipient twins survived in utero for more than 7
days after surgery, and hence were included in the analysis. The survival rate at
6 months was 66.9% for the donor and 80.7% for the recipient twins. Risk factors
for death in the donor were the presence of severe intrauterine growth
restriction and effusions. In recipients, elevation in the middle cerebral artery
peak systolic velocity coincided with fetal death, but this occurred in only
three cases. CONCLUSION: Ultrasound risk factors one week after surgery included
severe intrauterine growth restrictions and effusions in the donor twins.
PMID- 21905057
TI - Rapid and sensitive LC-MS/MS method for quantification of lamotrigine in human
plasma: application to a human pharmacokinetic study.
AB - A highly sensitive, specific and fully validated LC-MS/MS method as per general
practices of industry has been developed for estimation of lamotrigine (LAM) with
100 MUL of human plasma using flucanozole as an internal standard (IS). The API
4000 LC-MS/MS was operated under the multiple reaction-monitoring mode using
electrospray ionization. A simple liquid-liquid extraction process was used to
extract LAM and IS from human plasma. The total run time was 2.0 min and the
elution of LAM and IS occurred at 1.25 and 1.45 min; this was achieved with a
mobile phase consisting of 0.1% formic acid-methanol (20:40:40, v/v) at a flow
rate of 0.50 mL/min on a Discovery CN (50 * 4.6 mm, 5 um) column. The developed
method was validated in human plasma with a lower limit of quantitation of 0.1
ng/mL for LAM. A linear response function was established for the range of
concentrations 0.1-1500 ng/mL (r > 0.998) for LAM. The intra- and inter-day
precision values for LAM met the acceptance as per Food and Drug Administration
guidelines. LAM was stable in the set of stability studies, viz. bench-top,
autosampler and freeze-thaw cycles. The developed assay method was applied to an
oral bioequivalence study in humans.
PMID- 21905055
TI - Nephrotoxicity of hexachloro-1:3-butadiene in the male Hanover Wistar rat;
correlation of minimal histopathological changes with biomarkers of renal injury.
AB - Hexachloro-1:3-butadiene (HCBD) causes damage specifically to the renal proximal
tubule in rats. In the present study, injury to the nephron of male Hanover
Wistar rats was characterized at 24 h following dosing with HCBD in the range 5
90 mg kg-1 to determine the most sensitive biomarkers of damage, that is, the
biomarkers demonstrating significant changes at the lowest dose of HCBD, using a
range of measurements in serum and urine, renal histopathology, and renal and
hepatic gene expression. Histologically, kidney degeneration was noted at doses
as low as 10 mg kg-1 HCBD. Significant changes in the hepatic and renal gene
expression categories of xenobiotic metabolism and oxidative stress were observed
at 5 mg kg-1 HCBD, and in the kidney alone, evidence of inflammation at 90 mg kg
1 HCBD. Increases in the urinary excretion of alpha-glutathione S-transferase
(alpha-GST) and kidney injury molecule-1 (KIM-1) were seen at 10 mg kg-1 HCBD,
and increases in urinary excretion of albumin and total protein were evident at
15 mg kg-1 HCBD. The most sensitive, noninvasive biomarkers of HCBD-induced renal
toxicity in Hanover Wistar rats were urinary alpha-GST and KIM-1. Urinary albumin
measurement is also recommended as, although it is not the most sensitive
biomarker, together with alpha-GST, albumin showed the largest relative increase
of all the biomarkers investigated, and the protein is easily measured.
PMID- 21905056
TI - A clinical assay for the measurement of milrinone in plasma by HPLC mass
spectrometry.
AB - Milrinone is a bipyridine phosphodiesterase inhibitor with positive inotropic and
vasodilatory effects. As interest in longer term use of intravenous therapy
increases, it becomes essential to monitor its plasma concentration owing to a
narrow therapeutic range, an increased half-life in renal failure and toxicity
associated with high levels. A high-performance liquid chromatography (HPLC)
method with mass (MS) detection using a triple quadrupole mass spectrometer is
presented. The method was compared with the UV/HPLC method and validated
according to current international guidelines. Coefficients of variation of less
than 7.5% were obtained across the therapeutic range and 18.3% at 2.4 ng/mL, the
lower limit of quantitation. Plasma from 13 cardiac surgery patients receiving
standard intravenous doses of milrinone were measured. Eight patients achieved
therapeutic milrinone levels within 3-4 h post start of infusion, one was
borderline sub-therapeutic and four patients achieved levels that were above the
upper limit of the therapeutic range and potentially toxic. This method offers
high sensitivity, is rapid, easy to use and requires minimal amount of sample. We
believe this method could become the reference procedure for clinical monitoring
of milrinone and help to improve the safety of the use of this drug in patients
with cardiac failure.
PMID- 21905058
TI - HPLC-ICP-MS method development to monitor arsenic speciation changes by human gut
microbiota.
AB - Inorganic arsenic (iAs) has been classified as a type 1 carcinogen and has also
been linked to several noncancerous health effects. Prior to 1995, the As(V)
methylation pathway was generally considered to be a detoxification pathway, but
cellular and animal studies involving MMA(III) (mono metyl arsonous acid) and
DMA(III) (dimethyl arsinous acid) have indicated that their toxicities meet or
exceed that of iAs, suggesting an activation process. In addition, thiolated
arsenic metabolites were observed in urine after oral exposure of inorganic
arsenic in some studies, for which the toxicological profile was not yet fully
characterized in human cells. Studies have revealed that microorganisms from the
gut environment are important contributors to arsenic speciation changes. This
presystemic metabolism necessitates the development of protocols that enable the
detection of not only inorganic arsenic species, but also pentavalent and
trivalent methylated, thiolated arsenicals in a gastrointestinal environment. We
aim to study the biotransformation of arsenic (As) using a Simulator of the Human
Intestinal Microbial Ecosystem (SHIME). To be able to analyze the arsenicals
resulting from biotransformation reactions occurring in this system, a method
using liquid chromatography hyphenated to an inductively coupled plasma mass
spectrometer (HPLC-ICP-MS) was developed. A Hamilton PRP-X100 anion exchange
column was used. The method allowed separation, identification and quantification
of As(III) (arsenite), As(V) (arsenate), DMA(V) (dimethylarsinicacid), MMA(V)
(monomethylarsonicacid) and MMMTA (monomethylmonothioarsenate). Attempts to
optimize the same method for also separating MMA(III) and DMA(III) did not
succeed. These compounds could be successfully separated using a method based on
the use of a Zorbax C18 column. The properties of the column, buffer strength, pH
and polar nature of mobile phase were monitored and changed to optimize the
developed methods. Linearity, sensitivity, precision, accuracy and resolution of
both methods were checked. The combination of the two methods allowed successful
quantification of arsenic species in suspensions sampled in vitro from the SHIME
reactor or in vivo from the human colon and feces.
PMID- 21905059
TI - Affiliative relationships and reciprocity among adult male bonnet macaques
(Macaca radiata) at Arunachala Hill, India.
AB - In captivity, male bonnet macaques (Macaca radiata) frequently express
"friendship" toward one another, including affiliative behavior such as huddling,
grooming, coalitionary support, and sitting in close proximity. The purpose of
this study was to determine whether wild adult male bonnet macaques also express
"friendship" by investigating whether or not (1) adult male bonnet macaques have
affiliative social relationships with other males, (2) the strength of social
relationships varies among dyads, (3) there is time-matched reciprocity in
allogrooming among dyads, and if so, whether the level of reciprocity occurs
within a bout of grooming, a day, or over 2 months (the limit of this study), and
(4) a correlation exists between the strength of social relationships and
dominance ranks among adult males. Focal samples totaling 150 hr on all seven
adult males in one study group were conducted to record both agonistic and
affiliative interactions. Agonistic interactions were used to construct a
dominance hierarchy, whereas affiliative interactions (sitting in proximity to
within 1 m with and without grooming) were used to quantify the existence and
strength of social bonds within dyads. Results show that adult male bonnet
macaques had differentiated affiliative relationships with other males in their
group. There was little reciprocity of grooming within a bout of grooming or
within a day, but greater reciprocity over the study period of 2 months. There
was no correlation between dominance ranking distance and the strength of
affiliative relationship within dyads; however, within dyads lower-ranking males
groomed higher-ranking males more than vice versa. This study suggests that
friendships in male bonnet macaques are characterized not by immediate tit-for
tat reciprocal altruism, but by reciprocity over a longer time span, and that
affiliative social relationships may be less constrained by agonistic
relationships than is the case in more despotic species of macaques.
PMID- 21905060
TI - Assessing the effects of cognitive experiments on the welfare of captive
chimpanzees (Pan troglodytes) by direct comparison of activity budget between
wild and captive chimpanzees.
AB - We investigated the effects of cognitive experiments by direct comparison of
activity budgets between wild and captive chimpanzees. One goal of captive
management is to ensure that the activity budgets of captive animals are as
similar as possible to those of their wild counterparts. However, such similarity
has rarely been achieved. We compared the activity budget among three groups of
chimpanzees: wild chimpanzees in Bossou (Guinea, n = 10), and captive chimpanzees
who participated in cognitive experiments (experimental chimpanzees, n = 6) or
did not participate in the experiments (nonexperimental chimpanzees, n = 6) at
the Primate Research Institute (Japan). The experimental chimpanzees voluntarily
participated in computer-controlled cognitive tasks and small pieces of fruits
were provided as rewards. The data from captivity were obtained on the
experimental days (weekdays) and nonexperimental days (weekends). In both study
sites, we followed each chimpanzee from about 7 a.m. until the time when
chimpanzees started to rest in the evening. The behaviors were recorded every 1
min. The results showed that on weekdays, feeding time and resting time of the
experimental chimpanzees were almost the same as those of wild chimpanzees.
However, for the nonexperimental chimpanzees, feeding time was significantly
shorter and resting time was longer than those of the wild chimpanzees. In
contrast, no difference was found in feeding time or resting time of the two
groups of captive chimpanzees on weekends. The results suggested that the
cognitive experiments worked as an efficient method for food-based enrichment.
PMID- 21905061
TI - Sex differences in the stone tool-use behavior of a wild population of burmese
long-tailed macaques (Macaca fascicularis aurea).
AB - We investigated sex differences in how Burmese long-tailed macaques (Macaca
fascicularis aurea) used stone tools to open shelled food items along the shores
of two islands in Laemson National Park, Thailand. Over a 2-week period in
December 2009, we collected scan and focal samples on macaques when they were
visible along the shores and mangroves. We found females used stones more often
while feeding and used smaller tools than males. Females also processed sessile
oysters more than males, whereas males processed unattached foods more than
females. It was unclear which sex was overall more proficient at stone tool use,
but males did perform significantly better at opening unattached food items with
large pounding stones. Females also struck food items more times during tool-use
bouts and at a faster rate, but no significant difference was found in average
tool-use bout duration. Males processed foods slightly faster within a tool-use
bout, but we were unable to detect a significant difference in the rate of food
processing while foraging with tools. In summary females chipped open sessile
oysters with an axing technique more than males, while males used larger stones
to pound open unattached shelled food more often than females. Despite using
pounding more than females, males also regularly utilized the axing technique on
sessile oysters. Our results are the first assessment of sex differences in
macaque stone tool use, providing a basis for comparison with tool use in other
primates, and to nonfunctional forms of stone use in other macaques.
PMID- 21905062
TI - Peaceful primates: affiliation, aggression, and the question of female dominance
in a nocturnal pair-living lemur (Avahi occidentalis).
AB - Affiliation/agonism and social dominance are central factors determining social
organization in primates. The aim of our study is to investigate and describe,
for the first time, the intersexual relations in a nocturnal and cohesive pair
living prosimian primate, the western woolly lemur (Avahi occidentalis), and to
determine to what extent phylogeny, activity mode, or the cohesiveness of pair
partners shape the quality of social interactions. Six pairs of western woolly
lemurs were radio-collared in the dry deciduous forest of northwestern
Madagascar. More than 874 hr of focal animal sampling were conducted. All
occurrences of social interactions involving a focal animal were recorded. The
rate of affiliation between pair partners was significantly higher than the rate
of agonism. Western woolly lemur pairs' interactions were extremely peaceful. All
decided agonistic conflicts (N = 15) were exclusively initiated and won by the
female. No female showed spontaneous submission toward her male partner. These
results are in line with those of diurnal cohesive pair-living anthropoid
primates. Findings support the hypothesis that social relations in pair-living
primates are linked to the cohesiveness of pair partners in time and space
irrespective of phylogeny and activity mode.
PMID- 21905063
TI - Socialization strategies and disease transmission in captive colonies of nonhuman
primates.
AB - In captive research environments for nonhuman primates (NHP), social housing
strategies are often in conflict with protocols designed to minimize disease
transmission. This is particularly true in breeding colonies, and is especially
relevant when attempting to eliminate specific pathogens from a population of
primates. Numerous strategies have been used to establish such specific pathogen
free (SPF) breeding colonies (primarily of macaques), ranging from nursery
rearing of neonates to single housing of socially reared yearlings to the rearing
of infants in large social groups. All these strategies attempt to balance the
effects of the chosen socialization strategy on parameters related to disease
transmission, including the ultimate elimination of the target pathogens. Such
strategies may affect the overall disease states of NHP breeding colonies through
selective breeding processes. This can occur either by creating subpopulations of
animals that do not have target diseases (SPF colonies), but may have other
issues; or by creating situations in which the "best" animals are sold and the
breeding colony is stocked with animals that may be more disease susceptible than
those that were sold. The disease states of NHP research colonies also may be
affected by selective utilization programs, in which animals removed from the
breeding colony for health/behavior reasons, are preferentially chosen for use in
scientific investigations. Such utilization criteria raise the question of
whether ideal subjects are being chosen for use in research. Finally, captive
primate colonies, where both socialization and disease states are intensely
managed, may provide opportunities for those testing predictions from models of
the interactions of socialization and disease transmission in the evolution of
wild populations of NHP. This would be especially true for some extreme
conditions of these disease ecology models, given the exceedingly high social
densities and levels of pathogen control that exist in many captive nonhuman
primate colonies.
PMID- 21905064
TI - The benefit of stratification in clinical trials revisited.
AB - Stratification is common in clinical trials because it can reduce the variance of
the estimated treatment effect. The traditional demonstration of variance
reduction relies on the assumption of stratum sizes being fixed quantities.
However, in practice, to speed up enrollment, and to obtain a study population
with a similar distribution as the overall population, the stratum sizes are
allowed to vary. Under the condition that the total sample size is fixed and that
the stratum sizes have a multinomial distribution, the criterion changes for
achieving a reduction in variance. The relationship between the stratified and
unstratified variances is established and shown to be approximately the same for
prestratified and post-stratified trials. It is demonstrated why stratification
may actually increase the variance compared with no stratification even when the
mean square error is reduced on account of stratification. Data from a real
clinical trial will be used for illustration. The benefit attributed to
stratification needs to be re-examined in light of the findings presented,
particularly given its widespread use.
PMID- 21905065
TI - Identifying influential observations in Bayesian models by using Markov chain
Monte Carlo.
AB - In statistical modelling, it is often important to know how much parameter
estimates are influenced by particular observations. An attractive approach is to
re-estimate the parameters with each observation deleted in turn, but this is
computationally demanding when fitting models by using Markov chain Monte Carlo
(MCMC), as obtaining complete sample estimates is often in itself a very time
consuming task. Here we propose two efficient ways to approximate the case
deleted estimates by using output from MCMC estimation. Our first proposal, which
directly approximates the usual influence statistics in maximum likelihood
analyses of generalised linear models (GLMs), is easy to implement and avoids any
further evaluation of the likelihood. Hence, unlike the existing alternatives, it
does not become more computationally intensive as the model complexity increases.
Our second proposal, which utilises model perturbations, also has this advantage
and does not require the form of the GLM to be specified. We show how our two
proposed methods are related and evaluate them against the existing method of
importance sampling and case deletion in a logistic regression analysis with
missing covariates. We also provide practical advice for those implementing our
procedures, so that they may be used in many situations where MCMC is used to fit
statistical models.
PMID- 21905067
TI - Bayesian adaptive clinical trials: a dream for statisticians only?
AB - Adaptive or 'flexible' designs have emerged, mostly within frequentist
frameworks, as an effective way to speed up the therapeutic evaluation process.
Because of their flexibility, Bayesian methods have also been proposed for Phase
I through Phase III adaptive trials; however, it has been reported that they are
poorly used in practice. We aim to describe the international scientific
production of Bayesian clinical trials by investigating the actual development
and use of Bayesian 'adaptive' methods in the setting of clinical trials. A
bibliometric study was conducted using the PubMed and Science Citation Index
Expanded databases. Most of the references found were biostatistical papers from
various teams around the world. Most of the authors were from the US, and a large
proportion was from the MD Anderson Cancer Center (University of Texas, Houston,
TX). The spread and use of these articles depended heavily on their topic, with
3.1% of the biostatistical articles accumulating at least 25 citations within 5
years of their publication compared with 15% of the reviews and 32% of the
clinical articles. We also examined the reasons for the limited use of Bayesian
adaptive design methods in clinical trials and the areas of current and future
research to address these challenges. Efforts to promote Bayesian approaches
among statisticians and clinicians appear necessary.
PMID- 21905068
TI - A mixture model with random-effects components for classifying sibling pairs.
AB - In healthy aging research, typically multiple health outcomes are measured,
representing health status. The aim of this paper was to develop a model-based
clustering approach to identify homogeneous sibling pairs according to their
health status. Model-based clustering approaches will be considered on the basis
of linear mixed effect model for the mixture components. Class memberships of
siblings within pairs are allowed to be correlated, and within a class the
correlation between siblings is modeled using random sibling pair effects. We
propose an expectation-maximization algorithm for maximum likelihood estimation.
Model performance is evaluated via simulations in terms of estimating the correct
parameters, degree of agreement, and the ability to detect the correct number of
clusters. The performance of our model is compared with the performance of
standard model-based clustering approaches. The methods are used to classify
sibling pairs from the Leiden Longevity Study according to their health status.
Our results suggest that homogeneous healthy sibling pairs are associated with a
longer life span. Software is available for fitting the new models.
PMID- 21905069
TI - Bone marrow trephine biopsy findings in acute promyelocytic leukemia.
PMID- 21905071
TI - Paper linking XMRV to chronic fatigue syndrome stirs controversy.
PMID- 21905072
TI - Richard Olney's perspective as physician-scientist and patient.
PMID- 21905074
TI - On human disease and animal models.
PMID- 21905066
TI - A framework for quantifying net benefits of alternative prognostic models.
AB - New prognostic models are traditionally evaluated using measures of
discrimination and risk reclassification, but these do not take full account of
the clinical and health economic context. We propose a framework for comparing
prognostic models by quantifying the public health impact (net benefit) of the
treatment decisions they support, assuming a set of predetermined clinical
treatment guidelines. The change in net benefit is more clinically interpretable
than changes in traditional measures and can be used in full health economic
evaluations of prognostic models used for screening and allocating risk reduction
interventions. We extend previous work in this area by quantifying net benefits
in life years, thus linking prognostic performance to health economic measures;
by taking full account of the occurrence of events over time; and by considering
estimation and cross-validation in a multiple-study setting. The method is
illustrated in the context of cardiovascular disease risk prediction using an
individual participant data meta-analysis. We estimate the number of
cardiovascular-disease-free life years gained when statin treatment is allocated
based on a risk prediction model with five established risk factors instead of a
model with just age, gender and region. We explore methodological issues
associated with the multistudy design and show that cost-effectiveness
comparisons based on the proposed methodology are robust against a range of
modelling assumptions, including adjusting for competing risks.
PMID- 21905075
TI - Microglial activation and traumatic brain injury.
PMID- 21905076
TI - Improving the accuracy of perfusion imaging in acute ischemic stroke.
PMID- 21905077
TI - Turning skin into brain: using patient-derived cells to model X-linked
adrenoleukodystrophy.
PMID- 21905078
TI - Stem cell technology for neurodegenerative diseases.
AB - Over the past 20 years, stem cell technologies have become an increasingly
attractive option to investigate and treat neurodegenerative diseases. In the
current review, we discuss the process of extending basic stem cell research into
translational therapies for patients suffering from neurodegenerative diseases.
We begin with a discussion of the burden of these diseases on society,
emphasizing the need for increased attention toward advancing stem cell
therapies. We then explain the various types of stem cells utilized in
neurodegenerative disease research, and outline important issues to consider in
the transition of stem cell therapy from bench to bedside. Finally, we detail the
current progress regarding the applications of stem cell therapies to specific
neurodegenerative diseases, focusing on Parkinson disease, Huntington disease,
Alzheimer disease, amyotrophic lateral sclerosis, and spinal muscular atrophy.
With a greater understanding of the capacity of stem cell technologies, there is
growing public hope that stem cell therapies will continue to progress into
realistic and efficacious treatments for neurodegenerative diseases.
PMID- 21905079
TI - Neuron-restrictive silencer factor-mediated hyperpolarization-activated cyclic
nucleotide gated channelopathy in experimental temporal lobe epilepsy.
AB - OBJECTIVE: Enduring, abnormal expression and function of the ion channel
hyperpolarization-activated cyclic adenosine monophosphate gated channel type 1
(HCN1) occurs in temporal lobe epilepsy (TLE). We examined the underlying
mechanisms, and investigated whether interfering with these mechanisms could
modify disease course. METHODS: Experimental TLE was provoked by kainic acid
induced status epilepticus (SE). HCN1 channel repression was examined at mRNA,
protein, and functional levels. Chromatin immunoprecipitation was employed to
identify the transcriptional mechanism of repressed HCN1 expression, and the
basis for their endurance. Physical interaction of the repressor, NRSF, was
abolished using decoy oligodeoxynucleotides (ODNs). Video/electroencephalographic
recordings were performed to assess the onset and initial pattern of spontaneous
seizures. RESULTS: Levels of NRSF and its physical binding to the Hcn1 gene were
augmented after SE, resulting in repression of HCN1 expression and HCN1-mediated
currents (I(h) ), and reduced I(h) -dependent resonance in hippocampal CA1
pyramidal cell dendrites. Chromatin changes typical of enduring, epigenetic gene
repression were apparent at the Hcn1 gene within a week after SE. Administration
of decoy ODNs comprising the NRSF DNA-binding sequence (neuron restrictive
silencer element [NRSE]), in vitro and in vivo, reduced NRSF binding to Hcn1,
prevented its repression, and restored I(h) function. In vivo, decoy NRSE ODN
treatment restored theta rhythm and altered the initial pattern of spontaneous
seizures. INTERPRETATION: Acquired HCN1 channelopathy derives from NRSF-mediated
transcriptional repression that endures via chromatin modification and may
provide insight into the mechanisms of a number of channelopathies that coexist
with, and may contribute to, the conversion of a normal brain into an epileptic
one.
PMID- 21905081
TI - A new mitochondria-related disease showing myopathy with episodic hyper-creatine
kinase-emia.
AB - OBJECTIVE: To elucidate the relationship between mitochondrial DNA (mtDNA)
alterations and a mitochondrial disease with a distinct combination of
characteristic symptoms, namely episodic hyper-creatine kinase (CK)-emia and mild
myopathy. METHODS: We selected 9 patients with mtDNA np8291 alteration from 586
patients suspected to have a mitochondrial disease, and assessed them clinically,
pathologically, and genetically. These 9 patients had undiagnosed mitochondrial
myopathy with episodic hyper-CK-emia, all showing similar symptoms and
progression. RESULTS: Patients had mild muscle weakness and episodic hyper-CK
emia triggered by infections or drugs. Five of 9 patients were initially
diagnosed with other conditions, such as myasthenia gravis, polymyositis, viral
myositis, and drug-induced myopathy, because these conditions were acute or
subacute, and 9 patients showed the same 16 mtDNA alterations, which have been
reported to be nonpathological polymorphisms. Muscle biopsy revealed ragged-red
fibers, highly expressed succinate dehydrogenase staining fibers, and cytochrome
c oxidase-deficient fibers. Because their mitochondrial sequence data was almost
the same, and 9 patients live in widely separated cities in Japan, the
alterations may have arisen from a single source. INTERPRETATION: These findings
suggest that mild myopathy with episodic hyper-CK-emia associated with some of
the 16 mtDNA alterations or at least with their mitochondria, could be a novel
mitochondrial disease. Therefore, we propose that this disease be named as
"mitochondrial myopathy with episodic hyper-CK-emia (MIMECK)." These alterations
could work concomitantly and probably modify the impact of medications or other
environmental factors. We believe these findings provide an insight into a novel
aspect of mitochondrial disease pathogenesis.
PMID- 21905080
TI - White matter lesions defined by diffusion tensor imaging in older adults.
AB - OBJECTIVE: The cellular and molecular mechanisms underlying magnetic resonance
imaging-defined white matter (WM) changes associated with age-related cognitive
decline remain poorly defined. We tested the hypothesis that WM lesions in older
adults, defined by diffusion tensor imaging (DTI), arise in the setting of
vascular brain injury (VBI) and are characterized by increased free radical
injury and aberrant oligodendrocyte lineage (OL) cell response to injury.
METHODS: We undertook a multimodal analysis of prefrontal cortex (PFC) WM from 25
autopsies derived from a population-based cohort where VBI and Alzheimer disease
(AD) frequently coincide. Ex vivo high field strength DTI measurements of
fractional anisotropy (FA), apparent diffusion coefficient, and axial and radial
(D(?) ) diffusivity were measured at high magnetic field strength (11.7T) and
analyzed relative to quantitative in vivo biomarkers of free radical injury, an
OL-specific marker Olig2, and histologic evaluation of hyaluronan (HA), an
inhibitor of OL maturation. RESULTS: Coincident AD and VBI showed significant
association with lower FA and a robust relationship between decreasing FA and
increasing D(?) . Free radical injury to docosahexaenoate and adrenate in PFC WM
was significantly elevated in cases with VBI independent of AD, and was inversely
correlated with FA. Similarly, increased density of Olig2-immunoreactive cells in
PFC WM was significantly associated with VBI independent of AD and colocalized
with regions enriched in HA. INTERPRETATION: DTI-defined PFC WM lesions in older
individuals are characterized by free radical injury to myelin and neuroaxonal
elements that coincides with pronounced expansion of the pool of OL cells in HA
rich regions.
PMID- 21905082
TI - Intrathymic Epstein-Barr virus infection is not a prominent feature of myasthenia
gravis.
AB - Lymph node-type T- and B-cell infiltrates with germinal centers are
characteristic features of the hyperplastic thymus in early onset myasthenia
gravis (EOMG).Epstein-Barr virus (EBV) infection confers survival advantages on B
cells, and has recently been implicated in tertiary lymphoid tissue formation in
EOMG. We evaluated the frequency of intrathymic EBV-infected B-lineage cells and
antiviral immune responses in treatment-naive patients with EOMG. Real-time
polymerase chain reaction was performed to quantify the content of genomic EBV
DNA (BamHI-W repeat region) in thymic cell suspensions. Serial paraffin sections
of EOMG thymi were analyzed for the presence of EBV-encoded RNA by in situ
hybridization and for viral gene expression by immunohistochemistry. Humoral and
cellular immune responses to viral antigens were quantified by enzyme-linked
immunosorbent assay and flow cytometry-based intracellular cytokine staining. We
detected minimal levels of viral DNA-corresponding to single viral genomes-in
only 6 of 16 hyperplastic EOMG thymi, indicating extreme rarity of viral copy
numbers in the investigated thymic samples. That was confirmed by similar rarity
of EBV-encoded RNA and viral proteins identified in thymic sections. Furthermore,
EBV-specific T- and B-cell responses were unchanged in patients with EOMG. These
findings do not support an etiologic role for EBV in the initiation of EOMG.
PMID- 21905083
TI - Lack of evidence for Epstein-Barr virus infection in myasthenia gravis thymus.
AB - A role for Epstein-Barr virus (EBV) in myasthenia gravis pathogenesis has been
suggested recently. Using in situ hybridization for the detection of the EBV
encoded RNAs and EBNA1-specific immunohistochemistry, we found no latently
infected cells in a series of thymus specimens from patients with myasthenia
gravis showing lymphofollicular thymitis. In addition, using immunohistochemistry
and an antibody specific for the viral immediate early protein BZLF1, no evidence
of lytic EBV infection was seen in these cases. Our results therefore do not
support a direct role of thymic EBV infection in the pathogenesis of myasthenia
gravis.
PMID- 21905084
TI - Epstein-Barr virus in myasthenia gravis thymus: a matter of debate.
PMID- 21905085
TI - The effect of atherosclerosis-induced chronic bladder ischemia on bladder
function in the rat.
AB - AIMS: To develop a rat model of atherosclerosis-induced chronic bladder ischemia
and investigate the effect of chronic bladder ischemia on voiding behavior and
bladder function. METHODS: Adult male rats were divided into three groups. The
arterial injury (AI) group underwent endothelial injury of the iliac arteries and
received a 2% cholesterol diet. The sham group underwent sham operation and
received a 2% cholesterol diet. The control group received a regular diet. After
8 weeks, a metabolic cage study and cystometry were performed without anesthesia.
Bladder blood flow was measured using a laser Doppler blood flowmeter.
Histological examination of the iliac arteries and the bladder was performed. The
bladder was also processed for immunohistochemical staining of oxidative stress
markers. RESULTS: The metabolic cage study showed that in the AI group, voiding
frequency significantly increased while voided volume significantly decreased.
Cystometry showed that the frequency of reflex bladder contractions was
significantly higher in the AI group. Filling-induced decrease in bladder blood
flow was the greatest in the AI group. Histological study showed that in the AI
group alone, atherosclerotic occlusion occurred in the iliac arteries as well as
in the downstream bladder microvessels. Oxidative stress marker positive cells
were more prevalent in the AI bladder than in the other bladders. CONCLUSIONS:
Combined with a high-cholesterol diet, endothelial injury of iliac arteries
induced arterial occlusive disease in the downstream vessels and consequent
bladder ischemia in rats. This model of chronic bladder ischemia showed detrusor
overactivity manifested as an increase in voiding frequency.
PMID- 21905086
TI - Evaluation of an experimental urodynamic platform to identify treatment effects:
a randomized, placebo-controlled, crossover study in patients with overactive
bladder.
AB - AIMS: To evaluate a urodynamic platform designed to identify treatment effects in
small numbers of patients after a short duration of treatment using a medication
with known efficacy in overactive bladder (OAB). METHODS: Twenty women with OAB
were randomized in a crossover study with 7-day treatment periods with either
tolterodine 4 mg long-acting (LA) or placebo and 7-day washout. Patients
underwent urodynamic study (UDS) at baseline, 4-hr post-dose on Day 1 (PD1) and 4
hr post-dose on Day 7 (PD7) in each treatment period. The primary endpoint was
the change from baseline in volume at maximum cystometric capacity (MCC) at PD7.
As a result of dosing errors, some patients allocated to tolterodine in Period 1
mistakenly received placebo on Day 7. The data from the time points at which
patients were dosed incorrectly were excluded from the per protocol (PP)
analysis. RESULTS: The PP and intent to treat (ITT) mean increase in volume at
MCC on PD7 for tolterodine compared with placebo was 28.9% (P = 0.038, one-sided)
and 23.2% (P = 0.008, one-sided), respectively. The PD7 mean increase in volume
at first desire to void was 36.5% (P = 0.054, PP) and 40.3% (P = 0.008, ITT). No
volume endpoint at PD1 was statistically significant. Of all the endpoints, MCC
was the least variable. CONCLUSIONS: This crossover design was able to detect a
clinically meaningful and statistically significant treatment effect consistent
with the previous reports of tolterodine. Despite multiple urodynamics per
patient, the study was able to recruit quickly. This model is valuable for
evaluating therapeutic effects for existing and novel treatments for OAB.
PMID- 21905087
TI - Developing a new treatment device: how to get an idea to the marketplace.
AB - A good idea does not inevitably lead to successful innovation; it needs
additional "drivers" and coherent activity of a specialized team. The initial
idea needs proof-of-concept and prototype testing. Alongside, market review must
anticipate future need and competitors, and ensure that no current patents are
infringed. The likelihood that reimbursement will be secured and that health
systems will "adopt" the device has to be considered. Intellectual property (IP)
protection is needed to maintain sole rights to exploit the core concept. Non
disclosure agreements (NDA) should be put in place, and commercial considerations
should be remembered before any disclosure in the public domain, including
publications. Prospective business partners will review the concept from many
perspectives, including stage of device development, effective IP protection, any
clinical trial evidence, and whether the device aligns with their business
strategy. Royalties arising from sales of a marketed device are distributed to
all parties contributing to its development; the party bearing the greater
financial burden of developing the final product will gain the greater share of
royalties. The innovator's employer will have a call on proceeds if the idea
arose in the course of employment. All stages of development require fastidious
documentation to meet requirements of the regulatory authorities responsible for
permitting use in patients. Specific regulatory requirements depend on which
region(s) of the world the device will be marketed in. This review explains all
stages of the innovation pathway from concept to adoption, giving practical
advice and signposting expertise relevant to each stage.
PMID- 21905088
TI - The use of botulinum toxin in benign prostatic hyperplasia.
AB - The injection of Botulinum toxin type A (BoNT/A) into the prostate is a minimally
invasive alternative treatment for lower urinary tract symptoms. To summarize the
action mechanisms of BoNT/A on experimental animals and to analyze its
effectiveness according to published clinical studies, we located 24 papers on
the treatment of HBP with BoNT/A. The doses applied ranged from 100 (OnabotA) to
600 U (OnabotA and AbobotA). The IPSS score presented a mean post-treatment
reduction, for all series, of 10.8 + 2.66 points. Other significant results
included the overall mean reduction in QoL score of 2.1 +/- 0.62 points, and the
pre and post-treatment differences in prostate volume (22.43 +/- 20.2 cm(3)),
post-voiding residue (76.77 + 51.72 cm(3)) and PSA (1.15 + 0.93 ng/ml). However,
only two clinical trials were on sufficient quality to be selected for meta
analysis, and it was observed that the difference of the means, pre- and post
treatment of maximum flow, prostate volume, IPSS and PSA were not statistically
significant (P = 0.18). Neither was there any statistically significant
difference between pre- and post-treatment post-voiding residue (P = 0.65). In
conclusion, BoNT/A alleviates lower urinary tract symptoms due to HBP, but
different studies present considerable variations regarding the dose
administered, inclusion criteria and follow-up time, as well as poorly defined
retreatment, losses to follow up and, above all, a high degree of variability in
the communication of results (with large standard deviations). In consequence,
further clinical trials are needed.
PMID- 21905089
TI - Construction and test of an artificial uterus for ex situ development of shark
embryos.
AB - An artificial uterus (AU) was constructed from clear and opaque acrylic and life
support and monitoring systems were attached. The dwarf ornate wobbegong shark
(Orectolobus ornatus) was used to test the AU because recent research has shown
that during pregnancy the uterine fluid composition changes with mid- to late
term embryos immersed in seawater. An artificial uterine fluid comprising
filtered, autoclaved seawater was placed in the AU. Eight, sexually mature female
O. ornatus were captured from the wild and held in captivity. Subsequent
ultrasound examinations confirmed pregnancy in three of these females. Six late
term embryos (three males and three females) were removed surgically from one
euthanized female and placed in the AU. Their condition was monitored for 18 days
before "birth" on September 26, 2008. The subsequent survival and growth of the
AU pups was compared with naturally born wobbegong pups in captivity over a 140
day monitoring period. The development in the AU did not have detrimental effects
as there was no postpartum mortality and there were marked increases in total
length and weight that did not differ significantly between the two groups.
PMID- 21905090
TI - Construction of diploid zygotes by interallelic complementation of ade6 in
Schizosaccharomyces japonicus.
AB - The construction of diploid cells eases genetic analysis in haploid genetic
systems because diploid cells allow for the characterization of essential genes.
Here, we report the construction of diploid cells using ade6 point mutants that
suppress each other via interallelic complementation in the fission yeast
Schizosaccharomyces japonicus var japonicus (Sz. japonicus). We constructed an
ade6-domK mutant in addition to the previously described ade6-domE. Phenotypes of
both mutants exhibited adenine auxotrophy and red colonies. The mutations
complemented the phenotypes in a mutually dependent manner. Diploid zygotes, in
which the two mutations were introduced simultaneously into the same cells, were
isolated by selecting for adenine independence. Such diploid cells are apparently
larger in size than haploid cells, yet have a similar nuclear/cytoplasmic ratio,
and thus the nuclear size control that has been reported in Sz. pombe is also
present in Sz. japonicus.
PMID- 21905092
TI - Growth of a tropical marine yeast Yarrowia lipolytica NCIM 3589 on bromoalkanes:
relevance of cell size and cell surface properties.
AB - Yarrowia lipolytica 3589, a tropical marine yeast, grew aerobically on a broad
range of bromoalkanes varying in carbon chain length and differing in degree and
position of bromide group. Amongst the bromoalkanes studied, viz. 2-bromopropane
(2-BP), 1-bromobutane (1-BB), 1,5-dibromopentane (1,5-DBP) and 1-bromodecane (1
BD), the best utilized was 1-BD, with a maximal growth rate (MU(max) ) of 0.055 h
1 and an affinity ratio (MU(max) /K(s) ) of 0.022. Utilization of these
bromoalkanes as growth substrates was associated with a concomitant release of
bromide (8202.9 um) and cell mass (36 * 109 cells/ml), occurring maximally on 1
BD. Adherence of yeast cells to these hydrophobic bromoalkanes was observed
microscopically, with an increase in cell size and surface hydrophobicity. The
maximal cell diameter was for 1-BD (4.66 um), resulting in an increase in the
calculated cell surface area (68.19 um2) and sedimentation velocity (1.31 um/s).
Cell surface hydrophobicity values by microbial adhesion to solvents (MATS)
analysis for yeasts grown on bromoalkanes and glucose were significantly high,
i.e. >80%. Similarly, water contact angles also indicate that the cell surface of
yeast cells grown in glucose possess a relatively more hydrophilic cell surface
(theta = 49.1 degrees ), whereas cells grown in 1-BD possess a more hydrophobic
cell surface (theta = 90.7 degrees ). No significant change in emulsification
activity or surface tension was detected in the cell-free supernatant. Thus
adherence to the bromoalkane droplets by an increase in cell size and surface
hydrophobicity leading to debromination of the substrate might be the strategy
employed in bromoalkane utilization and growth by Y. lipolytica 3589.
PMID- 21905093
TI - DhARO4 induction and tyrosine nitration in response to reactive radicals
generated by salt stress in Debaryomyces hansenii.
AB - It has been previously reported that growth of Debaryomyces hansenii in 2 M NaCl
induced the expression of ARO4. This gene codifies for DhAro4p, involved in the
synthesis of the amino acid tyrosine. In this work we studied the activity of
DhAro4p upon salt stress; a higher activity was observed in cells grown with 2 M
NaCl, but tyrosine levels were not increased. On the other hand, the addition of
tyrosine to the saline medium significantly enhanced the growth of D. hansenii.
It was found that the oxidized form of tyrosine, 3-nitrotyrosine, increased in
the presence of salt. Since NaCl protects against oxidative stress in D. hansenii
(Navarrete et al., 2009), we propose that a protective pathway is the de novo
synthesis of tyrosine and its immediate oxidation to 3-nitrotyrosine to
counteract oxidative stress generated by salt stress, so we measured the
production of reactive oxygen species (ROS) and nitric oxide (NO-) in D. hansenii
after growing in 2 M NaCl. Results showed the presence of NO- and the increased
production of ROS; this is probably due to an increased respiratory activity in
the cells grown in the presence of salt. Our results demonstrate that upon salt
stress D hansenii responds to oxidative stress via the transcriptional activation
of specific genes such as DhARO4.
PMID- 21905091
TI - Substrate analysis of the Pneumocystis carinii protein kinases PcCbk1 and PcSte20
using yeast proteome microarrays provides a novel method for Pneumocystis
signalling biology.
AB - Pneumocystis carinii (Pc) undergoes morphological transitions between cysts and
trophic forms. We have previously described two Pc serine/threonine kinases,
termed PcCbk1 and PcSte20, with PcSte20 belonging to a family of kinases involved
in yeast mating, while PcCbk1 is a member of a group of protein kinases involved
in regulation of cell cycle, shape, and proliferation. As Pc remains genetically
intractable, knowledge on specific substrates phosphorylated by these kinases
remains limited. Utilizing the phylogenetic relatedness of Pc to Saccharomyces
cerevisiae, we interrogated a yeast proteome microarray containing >4000 purified
protein based peptides, leading to the identification of 18 potential PcCbk1 and
15 PcSte20 substrates (Z-score > 3.0). A number of these potential protein
substrates are involved in bud site selection, polarized growth, and response to
mating alpha factor and pseudohyphal and invasive growth. Full-length open
reading frames suggested by the PcCbk1 and PcSte20 protoarrays were amplified and
expressed. These five proteins were used as substrates for PcCbk1 or PcSte20,
with each being highly phosphorylated by the respective kinase. Finally, to
demonstrate the utility of this method to identify novel PcCbk1 and PcSte20
substrates, we analysed DNA sequence data from the partially complete Pc genome
database and detected partial sequence information of potential PcCbk1 kinase
substrates PcPxl1 and PcInt1. We additionally identified the potential PcSte20
kinase substrate PcBdf2. Full-length Pc substrates were cloned and expressed in
yeast, and shown to be phosphorylated by the respective Pc kinases. In
conclusion, the yeast protein microarray represents a novel crossover technique
for identifying unique potential Pc kinase substrates.
PMID- 21905094
TI - The effect of 90 day administration of a high dose vitamin B-complex on work
stress.
AB - OBJECTIVE: Occupational stress is increasing in Western societies and the impact
is significant at a personal, organisational and community level. The present
study examined for the first time the efficacy of 3 months administration of two
forms of high dose vitamin B complex on mood and psychological strain associated
with chronic work stress. METHOD: Sixty participants completed the 3-month,
double-blind, randomised, placebo-controlled trial in which personality, work
demands, mood, anxiety and strain were assessed. RESULTS: After individual
differences in personality and work demands were statistically controlled, the
vitamin B complex treatment groups reported significantly lower personal strain
and a reduction in confusion and depressed/dejected mood after 12 weeks. There
were no treatment-related changes in other measures of mood and anxiety.
DISCUSSION: The results of the study are consistent with two previous studies
examining multivitamin supplementation and personal (non-work) feelings of strain
and suggestive of significant decreases in the experience of workplace stress
after 90 day supplementation of a B multivitamin. CONCLUSION: Given the direct
and indirect costs of workplace stress, these findings point to the utility of a
cost-effective treatment for the mood and psychological strain effects of
occupational stress. These findings may have important personal health,
organisational and societal outcomes given the rising cost and incidence of
workplace stress.
PMID- 21905095
TI - PET imaging of brain amyloid in dementia: a review.
AB - OBJECTIVE: To review the rapidly expanding literature of amyloid PET imaging with
particular attention to Pittsburgh compound-B (PIB) in Alzheimer's disease (AD),
dementia with Lewy bodies (DLB), fronto-temporal dementia (FTD), mild cognitive
impairment (MCI) and cognitively normal volunteers. DESIGN: Literature searches
were performed using Medline up to February 2010. Individual articles were then
examined for additional references not revealed by automated searches. This
yielded 79 articles whose abstracts were read by the authors to select key
papers. RESULTS: Amyloid deposition assessed using PIB-PET is significantly
elevated in AD and DLB compared to controls and those with FTD. In MCI, uptake is
often intermediate between AD and normal ageing, and excessive amyloid burden in
non-demented individuals with MCI are likely to represent high-risk cases.
Amyloid deposition appears to be an early event, and as dementia progresses
clinical decline seems to be more associated with neurodegeneration than amyloid
burden. CONCLUSIONS: PIB-PET imaging is a sensitive and specific marker for
underlying Abeta amyloid deposition and represents an important investigative
tool for examining the relationship between amyloid burden, clinical symptoms and
structural and functional changes in dementia. Amyloid imaging may also be useful
for selecting patients for anti-amyloid therapies. However, studies have
identified PIB-positive cases in otherwise healthy older individuals (10-30%),
limiting diagnostic specificity. Development of biomarkers for investigating
other aspects of dementia pathology, i.e. soluble Abeta, tau, synuclein and brain
inflammation would further inform our understanding and assist in studying
disease-modifying and preventive treatments in dementia.
PMID- 21905096
TI - A systematic review of the effects of physical activity on physical functioning,
quality of life and depression in older people with dementia.
AB - BACKGROUND: Depression is common in older people with dementia. Physical activity
is effective in reducing depression in adults but there is limited evidence about
its effectiveness in people with dementia. DESIGN AND METHODS: A systematic
review and partial meta-analysis of physical activity interventions in people
with dementia is reported. We searched eight databases for English language
papers and reference lists of relevant papers. Included studies reported a
physical activity intervention lasting at least 12 weeks in which participants
were older and had a diagnosis of dementia. Studies compared the intervention
with a non-active or a no-intervention control and reported at least one outcome
related to physical function, quality of life or depression. At least two authors
independently assessed each paper for inclusion and for study quality and
extracted data. RESULTS: We included 13 randomised controlled trials with 896
participants. Three of six trials that reported walking as an outcome found an
improvement, as did four of the five trials reporting timed get up and go tests.
Only one of the four trials that reported depression as an outcome found a
positive effect. Both trials that reported quality of life found an improvement.
CONCLUSIONS: There is some evidence that physical activity interventions improve
physical function in older people with dementia. Evidence for an effect on
depression and quality of life is limited.
PMID- 21905097
TI - Personality changes in Alzheimer's disease: a systematic review.
AB - OBJECTIVE: People with Alzheimer's disease (AD) commonly exhibit changes in
personality that sometimes precede the other early clinical manifestations of the
condition, such as cognitive impairment and mood changes. Although these
personality changes reflect the impact of progressive brain damage, there are
several possible patterns of personality change with dementia. Early
identification of personality change might assist with the timely diagnosis of
AD. The objective of this study was to review studies of personality change in
AD. METHODS: Systematic searches of the PubMed, Ovid Medline, EBSCOhost,
PsychINFO and CINAHL databases were undertaken from inception to November 2009.
Published studies of informant-rated personality traits in AD patients were
identified. Studies that mapped changes in traits from the five-factor model of
personality which includes factors for Neuroticism, Extraversion, Openness,
Agreeableness and Conscientiousness, were selected for analysis. The change in
each of these five traits was calculated as the mean difference in score before
and after the diagnosis of AD. RESULTS: There was a mean increase in Neuroticism
of 10-20 T scores (equivalent to 1-2 SD), a decrease of the same magnitude in
Extraversion, consistently reduced Openness and Agreeableness, and a marked
decrease in Conscientiousness of about 20-30 T scores (equivalent to 2-3 SD).
These changes were systematic and consistent. Particularly striking was the
similarity of both the magnitude and direction of change in all studies reviewed.
CONCLUSIONS: Conscientiousness and Neuroticism are the personality traits that
exhibit the most change in dementia. These traits might be useful early markers
of dementia.
PMID- 21905098
TI - Demonstration of safety in Alzheimer's patients for intervention with an anti
hypertensive drug Nilvadipine: results from a 6-week open label study.
AB - BACKGROUND: Nilvadipine may lower rates of conversion from mild-cognitive
impairment to Alzheimer's disease (AD), in hypertensive patients. However, it
remains to be determined whether treatment with nilvadipine is safe in AD
patients, given the higher incidence of orthostatic hypotension (OH) in this
population, who may be more likely to suffer from symptoms associated with the
further exaggeration of a drop in BP. OBJECTIVE: The aim of this study was to
investigate the safety and tolerability of nilvadipine in AD patients. METHODS:
AD patients in the intervention group (n = 56) received nilvadipine 8 mg daily
over 6-weeks, compared to the control group (n = 30) who received no
intervention. Differences in systolic (SBP) and diastolic (DBP) blood pressure,
before and after intervention, was assessed using automated sphygmomanometer
readings and ambulatory BP monitors (ABP), and change in OH using a finometer.
Reporting of adverse events was monitored throughout the study. RESULTS: There
was a significant reduction in the SBP of treated patients compared to non
treated patients but no significant change in DBP. Individuals with higher
initial blood pressure (BP) had greater reduction in BP but individuals with
normal BP did not experience much change in their BP. While OH was present in 84%
of the patients, there was no further drop in BP recorded on active stand
studies. There were no significant differences in adverse event reporting between
groups. CONCLUSION: Nilvadipine was well tolerated by patients with AD. This
study supports further investigation of its efficacy as a potential treatment for
AD.
PMID- 21905099
TI - Depression in mild dementia: associations with diagnosis, APOE genotype and
clinical features.
AB - BACKGROUND: Depression is common in dementia, with important clinical
implications. Few studies of depression in dementia with Lewy bodies are
available, and the results are inconsistent. OBJECTIVE: To examine the frequency
of depression and its characteristics and correlates, in people with mild
dementia. METHODS: All referrals for patients with a first time diagnosis of
dementia to geriatric and older psychiatry outpatient clinics in the counties of
Rogaland and Hordaland in Western Norway from March 2005 to March 2007 were
screened for the study. Participants and their caregivers underwent a
comprehensive and standardised diagnostic and assessment procedure. The
depression subitem of the neuropsychiatric inventory (NPId) and Montgomery and
Asberg depression rating scale (MADRS) were used to estimate depression. Cut-off
scores for any depression were 0/1 (NPId) and 6/7 (MADRS), and for clinically
significant depression 3/4 and 14/15, respectively. RESULTS: Two hundered and
twenty-three subjects with dementia participated, of whom 59 and 50% showed
symptoms of depression assessed by NPI or MADRS, respectively, and 25 and 16% had
clinically significant depression as measured by NPI and MADRS, respectively.
Depression was more frequent in dementia with Lewy bodies (DLB) than in
Alzheimer's disease (AD; p < 0.05). APOE genotype was available in 153 patients,
and in AD, but not in DLB, a general linear model showed that the presence of
APOEepsilon4 allele was significantly associated with depression (F = 4.14; p =
0.045). CONCLUSION: Depression is common even in mild dementia, and more common
and severe in DLB compared to AD. Future studies should explore the longitudinal
course of depression in DLB, and the neural underpinnings of depression in DLB.
PMID- 21905100
TI - The APOE polymorphism in Alzheimer's disease patients with neuropsychiatric
symptoms and syndromes.
AB - BACKGROUND: Neuropsychiatric symptoms (NPS) are a common feature of Alzheimer's
disease (AD), resulting in particular AD endophenotypes. The common AD genetic
risk factor apolipoprotein E (APOE) has been suggested underlying these AD
endophenotypes. METHODS: APOE genotyping, a comprehensive geriatric assessment
(CGA), and Neuropsychiatric Inventory were performed on 322 consecutive older
patients. Patients were divided into three groups: AD with NPS (N = 93), AD
without NPS (N = 108), and, as a control group, patients with no cognitive
impairment (NoCI: N = 121). Patients with NPS were further sub-divided in four
groups according to the European Alzheimer's Disease Consortium (EADC)
classification of neuropsychiatric syndromes in AD: hyperactive, psychotic,
affective, and apathetic. RESULTS: AD patients with NPS showed a significantly
higher grade of cognitive impairment, more severity stage of dementia, more
disability in the activities of daily living (ADL), and the instrumental ADL than
AD patients without NPS. As expected, an higher frequency of APOE
epsilon3/epsilon4 genotype was observed in patients with AD, both with and
without NPS, than patients with NoCI. No difference in the distribution of APOE
genotypes was found between AD patients with vs. without NPS. However, in AD
patients APOE epsilon4-carriers, there was an increased risk of affective [odds
ratio (OR): 2.34, 95% confidence interval (CI): 1.19-4.58) and apathetic (OR:
2.24,95%CI: 1.19-4.22) syndromes. CONCLUSIONS: These findings did not suggest a
significant association between APOE polymorphism and presence of NPS in AD
patients. In AD patients with NPS, however, APOE epsilon4-carrier status was
associated with an increased risk of affective and apathetic syndromes.
PMID- 21905101
TI - Mental and psychological conditions, medical comorbidity and functional
limitation: differential associations in older adults with cognitive impairment,
depressive symptoms and co-existence of both.
AB - OBJECTIVE: Cognitive impairment and depressive symptoms are common among the
geriatric population but the co-occurrence of both is rarely studied. The purpose
of this study was to identify and compare the factors associated with three
groups of elderly people: those assessed with cognitive impairment alone (COG),
depressive symptoms alone (DEP) or co-existence of both (COG-DEP). METHODS: The
cross-sectional study included 600 community-dwellers ages 65 and older. All
participants underwent a comprehensive evaluation. Global cognition was measured
by the Mini-Mental State Examination (MMSE) and depressive symptoms were defined
by the Geriatric Depression Scale (GDS). Specific chronic illnesses relevant to
the Charlson comorbidity index (CCI) were self-reported. Functional status was
evaluated by the Katz' basic (ADL) and Lawton's instrumental (IADL) activities of
daily living scales. RESULTS: COG-DEP was explained by IADL dependence (OR: 11.9,
95% CI: 4.59-30.78), ADL dependence (OR: 11.5, 95% CI: 5.59-23.69),
cerebrovascular disease (OR: 3.6, 95% CI: 1.48-8.68), congestive heart failure
(OR: 3.4, 95% CI: 1.77-6.59) and diabetes (OR: 2.6, 95% CI: 1.30-5.18), but it
was best predicted by functional limitations in the adjusted model. Being
functionally dependent and medically ill with shorter life expectancy was shown
to significantly increase the odds of being DEP. Functional limitation in IADL
was without distinction associated to COG, DEP and COG-DEP. CONCLUSION: The
present results on COG, DEP and COG-DEP show the particular relevance of certain
medical comorbidities and functional limitations to those three distinct groups
of elderly people.
PMID- 21905102
TI - Anxiety disorders, depressive episodes and cognitive impairment no dementia in
community-dwelling older men and women.
AB - BACKGROUND: Anxiety symptoms are highly prevalent in elders with mild cognitive
disorders, but little is known about the associations of specific anxiety
disorders to mild cognitive disorders. OBJECTIVE: To identify the clinical and
subclinical anxiety disorders associated with cognitive impairment no dementia
(CIND) and to determine whether these associations differ depending on sex and
concomitant depressive episodes. METHOD: Participants constituted a random sample
(n = 2414) of community-dwelling adults aged 65-96 years. The following clinical
and subclinical DSM-IV anxiety disorders were identified with a semi-structured
interview: specific phobia, social phobia, agoraphobia, panic disorder, obsessive
compulsive, and generalized anxiety disorder (GAD). Major depressive episodes or
minor depression (MDE/MD) were also determined based on the DSM-IV criteria. CIND
cases were defined based on Mini-Mental State Examination (MMSE) cut-offs (15th
percentile) stratified for age, education, and sex. Potentially confounding
variables (age, education, MDE/MD, chronic diseases, and psychotropic drug use)
were statistically controlled. RESULTS: In men, after adjusting for confounding
variables, CIND was associated with subclinical GAD (odds ratio (OR): 4.93, 95%
confidence interval: 1.84-13.23). Further analyses showed that in men, CIND was
related to clinical/subclinical GAD whether MDE/MD was present (7.05, 1.88-26.43)
or absent (9.33, 3.24-26.83). In women, CIND was not linked to any clinical or
subclinical anxiety disorder. CONCLUSIONS: These results suggest that in
community-dwelling elders, GAD is the main anxiety disorder associated with poor
global cognitive functioning. Moreover, this association is modified by sex, but
not by the presence of depressive episodes.
PMID- 21905103
TI - Fibrinogen and cognitive function in very old non-demented men.
PMID- 21905104
TI - Survival of patients with incident dementia who had a pre-existing psychiatric
disorder: a population-based 7-year follow-up study.
AB - OBJECTIVES: Although it is widely accepted that psychiatric disorders and
dementia coexist and survival data for dementia patients have been published,
there is a paucity of information regarding the survival of patients with a
psychiatric disorder who develop dementia. This study fills this information gap
providing survival data on patients with such comorbidity and identifies
mortality risk factors. METHODS: All residents of Saskatchewan, a Canadian
province, diagnosed with psychiatric problems and/or dispensed a psychiatric drug
in 2000 and without dementia were followed through to 31 December 2006; the
development of incident dementia was noted. Median survival time (in months) and
selected predictors of mortality were measured. Analyses used Cox's proportional
hazard model. Incidence density of dementia for the year 2000 was also computed.
RESULTS: By December 2006, 5,583 subjects with psychiatric disorders in 2000 had
been diagnosed with incident dementia, and 60.65% of them died. Dementia
incidence density in this population for 2000 was 0.01 per 1000 person years at
risk among those aged 18-64 years and rapidly increased to 3.13 per 1000 person
years at risk among those aged 75 to 84 years. The median survival time from
dementia onset to death was 32.66 months (interquartile range 31.21-34.14). Being
male, later age of onset of dementia, having a lower income, and a high chronic
disease score predicted shorter survival. CONCLUSIONS: The comorbidity of
psychiatric disorders and dementia resulted in shorter survival compared with
that reported for patients with dementia only. These findings can be used for
prognosis for patients, caregivers, and service providers.
PMID- 21905105
TI - Structural analysis of CPF_2247, a novel alpha-amylase from Clostridium
perfringens.
AB - CPF_2247 from Clostridium perfringens ATCC 13124 was identified as a putative
carbohydrate-active enzyme by its low sequence identity to endo-beta-1,4
glucanases belonging to family 8 of the glycoside hydrolase classification. The X
ray crystal structure of CPF_2247 determined to 2.0 A resolution by single
wavelength anomalous dispersion using seleno-methionine-substituted protein
revealed an (alpha/alpha)(6) barrel fold. A large cleft on the surface of the
protein contains residues that are structurally conserved with key elements of
the catalytic machinery in clan GH-M glycoside hydrolases. Assessment of CPF_2247
as a carbohydrate-active enzyme disclosed alpha-glucanase activity on amylose,
glycogen, and malto-oligosaccharides.
PMID- 21905106
TI - Predicting large-scale conformational changes in proteins using energy-weighted
normal modes.
AB - We report the development of a method to improve the sampling of protein
conformational space in molecular simulations. It is shown that a principal
component analysis of energy-weighted normal modes in Cartesian coordinates can
be used to extract vectors suitable for describing the dynamics of protein
substructures. The method can operate with either atomistic or user-defined
coarse-grained models of protein structure. An implicit reverse coarse-graining
allows the dynamics of all-atoms to be recovered when a coarse-grained model is
used. For an external test set of four proteins, it is shown that the new method
is more successful than normal mode analysis in describing the large-scale
conformational changes observed on ligand binding. The method has potential
applications in protein-ligand and protein-protein docking and in biasing
molecular dynamics simulations.
PMID- 21905107
TI - The VSGB 2.0 model: a next generation energy model for high resolution protein
structure modeling.
AB - A novel energy model (VSGB 2.0) for high resolution protein structure modeling is
described, which features an optimized implicit solvent model as well as physics
based corrections for hydrogen bonding, pi-pi interactions, self-contact
interactions, and hydrophobic interactions. Parameters of the VSGB 2.0 model were
fit to a crystallographic database of 2239 single side chain and 100 11-13
residue loop predictions. Combined with an advanced method of sampling and a
robust algorithm for protonation state assignment, the VSGB 2.0 model was
validated by predicting 115 super long loops up to 20 residues. Despite the
dramatically increasing difficulty in reconstructing longer loops, a high
accuracy was achieved: all of the lowest energy conformations have global
backbone RMSDs better than 2.0 A from the native conformations. Average global
backbone RMSDs of the predictions are 0.51, 0.63, 0.70, 0.62, 0.80, 1.41, and
1.59 A for 14, 15, 16, 17, 18, 19, and 20 residue loop predictions, respectively.
When these results are corrected for possible statistical bias as explained in
the text, the average global backbone RMSDs are 0.61, 0.71, 0.86, 0.62, 1.06,
1.67, and 1.59 A. Given the precision and robustness of the calculations, we
believe that the VSGB 2.0 model is suitable to tackle "real" problems, such as
biological function modeling and structure-based drug discovery.
PMID- 21905108
TI - Ionic strength dependence of F-actin and glycolytic enzyme associations: a
Brownian dynamics simulations approach.
AB - The association of glycolytic enzymes with F-actin is proposed to be one
mechanism by which these enzymes are compartmentalized, and, as a result, may
possibly play important roles for: regulation of the glycolytic pathway,
potential substrate channeling, and increasing glycolytic flux. Historically, in
vitro experiments have shown that many enzyme/actin interactions are dependent on
ionic strength. Herein, Brownian dynamics (BD) examines how ionic strength
impacts the energetics of the association of F-actin with the glycolytic enzymes:
lactate dehydrogenase (LDH), glyceraldehyde-3-phosphate dehydrogenase (GAPDH),
fructose-1,6-bisphosphate aldolase (aldolase), and triose phosphate isomerase
(TPI). The BD simulations are steered by electrostatics calculated by Poisson
Boltzmann theory. The BD results confirm experimental observations that the
degree of association diminishes as ionic strength increases but also suggest
that these interactions are significant, at physiological ionic strengths.
Furthermore, BD agrees with experiments that muscle LDH, aldolase, and GAPDH
interact significantly with F-actin whereas TPI does not. BD indicates
similarities in binding regions for aldolase and LDH among the different species
investigated. Furthermore, the residues responsible for salt bridge formation in
stable complexes persist as ionic strength increases. This suggests the
importance of the residues determined for these binary complexes and specificity
of the interactions. That these interactions are conserved across species, and
there appears to be a general trend among the enzymes, support the importance of
these enzyme-F-actin interactions in creating initial complexes critical for
compartmentation.
PMID- 21905109
TI - PackHelix: a tool for helix-sheet packing during protein structure prediction.
AB - The three-dimensional structure of a protein is organized around the packing of
its secondary structure elements. Predicting the topology and constructing the
geometry of structural motifs involving alpha-helices and/or beta-strands are
therefore key steps for accurate prediction of protein structure. While many
efforts have focused on how to pack helices and on how to sample exhaustively the
topologies and geometries of multiple strands forming a beta-sheet in a protein,
there has been little progress on generating native-like packings of helices on
sheets. We describe a method that can generate the packing of multiple helices on
a given beta-sheet for alphabetaalpha sandwich type protein folds. This method
mines the results of a statistical analysis of the conformations of alphabeta(2)
motifs in protein structures to provide input values for the geometric attributes
of the packing of a helix on a sheet. It then proceeds with a geometric builder
that generates multiple arrangements of the helices on the sheet of interest by
sampling through these values and performing consistency checks that guarantee
proper loop geometry between the helices and the strands, minimal number of
collisions between the helices, and proper formation of a hydrophobic core. The
method is implemented as a module of ProteinShop. Our results show that it
produces structures that are within 4-6 A RMSD of the native one, regardless of
the number of helices that need to be packed, though this number may increase if
the protein has several helices between two consecutive strands in the sequence
that pack on the sheet formed by these two strands.
PMID- 21905110
TI - Computed structures of point deletion mutants and their enzymatic activities.
AB - Point deletions in enzymes can vary in effect from negligible to complete loss of
activity; however, these effects are not generally predictable. Deletions are
widely observed in nature and often result in diseases such as cancer, cystic
fibrosis, or osteogenesis imperfecta. Here, we have developed an algorithm to
model the perturbed structures of deletion mutants with the ultimate goal of
predicting their activities. The algorithm works by deleting the specified
residue from the wild-type structure, creating a gap that is closed using a
combination of local and global moves that change the backbone torsion angles of
the protein structure. On a set of five proteins for which both wild-type and
deletion mutant x-ray crystal structures are available, the algorithm produces
deep, narrow energy funnels within 1.5 A of the crystal structure for the
deletion mutants. To assess the ability of our algorithm to predict activity from
the predicted structures, we tested the correlation of experimental activity with
several measures of the predicted structure ensemble using a set of 45 point
deletions from ricin. Estimates incorporating likely prevalence of active and
inactive deletion sites suggest that activity can be predicted correctly over 60%
of the time from the active site root-mean squared deviation of the lowest energy
predicted structures. The predictions are stronger than simple sequence
organization measures, but more fundamental work is required in structure
prediction and enzyme activity determination to allow consistent prediction of
activity.
PMID- 21905111
TI - A survey of hemoglobin quaternary structures.
AB - We perform an analysis of the quaternary structure and dimer/dimer interface in
the crystal structures of 165 human hemoglobin tetramers; 112 are in the T, 17
the R, 14 the Y (or R2) state; 11 are high-affinity T state mutants, and 11 may
either be intermediates between the states, or off the allosteric transition
pathway. The tertiary structure is fixed within each state, in spite of the
different ligands, mutations, and chemical modifications present in individual
entries. The geometry of the tetramer assembly is essentially the same in all the
R or the Y state entries; it is slightly different in high salt and low salt
crystals of T state hemoglobins. The dimer/dimer interface differs in terms of
size, chemical composition and polar interactions, between the states. It is
loosely packed, like crystal packing contacts or the subunit interface of weakly
associated homodimers, and unlike most oligomeric proteins, which have close
packed interfaces. The loose packing is most obvious in the liganded forms, where
the tetramer is known to dissociate at low concentration. We identify cavities
that contribute to the loose packing of the alpha1beta2 and alpha2beta1 contacts.
Two pairs of cavities occur recurrently in both the T and the R state tetramers.
They may contribute to the allosteric mechanism by facilitating the subunit
movements and the tertiary structure changes that accompany the transition from T
to R to Y.
PMID- 21905112
TI - Three ways in, one way out: water dynamics in the trans-membrane domains of the
inner membrane translocase AcrB.
AB - Powered by proton-motive force, the inner membrane translocase AcrB is the engine
of the AcrAB-TolC efflux pump in Escherichia coli. As proton conduction in
proteins occurs along hydrogen-bonded networks of polar residues and water
molecules, knowledge of the protein-internal water distribution and water
interacting residues allows drawing conclusions to possible pathways of proton
conduction. Here, we report a series of 6* 50 ns independent molecular dynamics
simulations of asymmetric AcrB embedded in a phospholipid/water environment.
Simulating each monomer in its proposed protonation state, we calculated for each
trans-membrane domain the average water distribution, identified residues
interacting with these waters and quantified each residue's frequency of water
hydrogen bond contact. Combining this information we find three possible routes
of proton transfer connecting a continuously hydrated region of known key
residues in the TMD interior to bulk water by one cytoplasmic and up to three
periplasm water channels in monomer B and A. We find that water access of the
trans-membrane domains is regulated by four groups of residues in a combination
of side chain re-orientations and shifts of trans-membrane helices. Our findings
support a proton release event via Arg971 during the C intermediate or in the
transition to A, and proton uptake occurring in the A or B state or during a so
far unknown intermediate in between B and C where cytoplasmic water access is
still possible. Our simulations suggest experimentally testable hypotheses, which
have not been investigated so far.
PMID- 21905113
TI - The active-inactive transition of human thymidylate synthase: targeted molecular
dynamics simulations.
AB - Human thymidylate synthase (hTS) is an established anticancer target. It
catalyses the production of 2'-deoxythymidine-5'-monophosphate, an essential
building block for DNA synthesis. Because of the development of cellular drug
resistance against current hTS inhibitors, alternative inhibition strategies are
needed. hTS exists in two forms, active and inactive, defined by the conformation
of the active-site (AS) loop, which carries the catalytic cysteine, C195. To
investigate the mechanism of activation and inactivation, targeted molecular
dynamics (TMD) simulations of the transitions between active and inactive states
of hTS were performed. Analysis of changes in the dihedral angles in the AS loop
during different TMD simulations revealed complex conformational transitions.
Despite hTS being a homodimeric enzyme and the conformational transition
significantly involving the dimer interface, the transition occurs in an
asymmetric, sequential manner via an ensemble of pathways. In addition to C195,
which reoriented during the simulations, other key residues in the rotation of
the AS loop included W182 and R185. The interactions of the cognate bulky W182
residues at the dimer interface hindered the simultaneous twist of the AS loops
in the hTS dimer. Interactions of R185, which is unique for hTS, with ligands at
different allosteric sites affected the activation transition. In addition to
providing insights into the activation/inactivation mechanism of hTS and how
conformational transitions can occur in homodimeric proteins, our observations
suggest that blocking of AS loop rotation by ligands binding in the large cavity
between the loops could be one way to stabilize inactive hTS and inhibit the
enzyme.
PMID- 21905115
TI - Progress in super long loop prediction.
AB - Sampling errors are very common in super long loop (referring here to loops that
have more than thirteen residues) prediction, simply because the sampling space
is vast. We have developed a dipeptide segment sampling algorithm to solve this
problem. As a first step in evaluating the performance of this algorithm, it was
applied to the problem of reconstructing loops in native protein structures. With
a newly constructed test set of 89 loops ranging from 14 to 17 residues, this
method obtains average/median global backbone root-mean-square deviations (RMSDs)
to the native structure (superimposing the body of the protein, not the loop
itself) of 1.46/0.68 A. Specifically, results for loops of various lengths are
1.19/0.67 A for 36 fourteen-residue loops, 1.55/0.75 A for 30 fifteen-residue
loops, 1.43/0.80 A for 14 sixteen-residue loops, and 2.30/1.92 A for nine
seventeen-residue loops. In the vast majority of cases, the method locates energy
minima that are lower than or equal to that of the minimized native loop, thus
indicating that the new sampling method is successful and rarely limits
prediction accuracy. Median RMSDs are substantially lower than the averages
because of a small number of outliers. The causes of these failures are examined
in some detail, and some can be attributed to flaws in the energy function, such
as pi-pi interactions are not accurately accounted for by the OPLS-AA force field
we employed in this study. By introducing a new energy model which has a superior
description of pi-pi interactions, significantly better results were achieved for
quite a few former outliers. Crystal packing is explicitly included in order to
provide a fair comparison with crystal structures.
PMID- 21905116
TI - Elastic network model-based normal mode analysis reveals the conformational
couplings in the tripartite AcrAB-TolC multidrug efflux complex.
AB - The AcrAB-TolC drug efflux system, energized by proton movement down the
transmembrane electrochemical gradient, is responsible for the resistance of the
organism to a wide range of drugs. Experimental data suggest functional roles of
each part of the assembly, but the detailed working mechanism of this machinery
remains elusive. We used elastic network-based normal mode analysis (NMA) to
explore the conformational dynamics of the AcrAB-TolC complex. The intrinsic
flexibilities of the pore domain in AcrB monomer conform to the previously
proposed three-step functionally rotating mechanism for asymmetric AcrB trimer.
Conformational couplings across monomers in the AcrB trimer were observed, and
the coupling between the transmembrane domain and the other parts of AcrB are
strengthened through trimeric assembly. In the tripartite AcrAB-TolC assembly
obtained through molecular docking, concerted motions were observed not only at
the direct contact interfaces between various components but also between distant
parts of the whole complex. The presence of AcrA was shown to significantly
strengthen the motional couplings between AcrB and TolC. Overall, NMA revealed an
allosteric network in the AcAB-TolC efflux system, which provides hints to our
understanding of its detailed working mechanism.
PMID- 21905114
TI - Prechemistry versus preorganization in DNA replication fidelity.
AB - The molecular origin of nucleotide insertion catalysis and fidelity of DNA
polymerases is explored by means of computational simulations. Special attention
is paid to the examination of the validity of proposals that invoke prechemistry
effects, checkpoints concepts, and dynamical effects. The simulations reproduce
the observed fidelity in Pol beta, starting with the relevant observed X-ray
structures of the complex with the right (R) and wrong (W) nucleotides. The
generation of free energy surfaces for the R and W systems also allowed us to
analyze different proposals about the origin of the fidelity and to reach several
important conclusions. It is found that the potential of mean force (PMF)
obtained by proper sampling does not support QM/MM-based proposals of a large
barrier before the prechemistry state. Furthermore, examination of dynamical
proposals by the renormalization approach indicates that the motions from open to
close configurations do not contribute to catalysis or fidelity. Finally we
discuss and analyze the induced fit concept and show that, despite its
importance, it does not explain fidelity. That is, the fidelity is apparently due
to the change in the preorganization of the chemical site, as a result of the
relaxation of the binding site upon binding of the incorrect nucleotide. Finally
and importantly, since the issue is the barrier associated with the enzyme
substrate (ES)/DNA complex at the chemical transition state and not the path to
this complex formation (unless this path involves rate determining steps), it is
also not useful to invoke checkpoints while discussing fidelity.
PMID- 21905118
TI - Inhibition of alpha-synuclein aggregation by small heat shock proteins.
AB - The fibrillization of alpha-synuclein (alpha-syn) is a key event in the
pathogenesis of alpha-synucleinopathies. Mutant alpha-syn (A53T, A30P, or E46K),
each linked to familial Parkinson's disease, has altered aggregation properties,
fibril morphologies, and fibrillization kinetics. Besides alpha-syn, Lewy bodies
also contain several associated proteins including small heat shock proteins
(sHsps). Since alpha-syn accumulates intracellularly, molecular chaperones like
sHsps may regulate alpha-syn folding and aggregation. Therefore, we investigated
if the sHsps alphaB-crystallin, Hsp27, Hsp20, HspB8, and HspB2B3 bind to alpha
syn and affect alpha-syn aggregation. We demonstrate that all sHsps bind to the
various alpha-syns, although the binding kinetics suggests a weak and transient
interaction only. Despite this transient interaction, the various sHsps inhibited
mature alpha-syn fibril formation as shown by a Thioflavin T assay and atomic
force microscopy. Interestingly, HspB8 was the most potent sHsp in inhibiting
mature fibril formation of both wild-type and mutant alpha-syn. In conclusion,
sHsps may regulate alpha-syn aggregation and, therefore, optimization of the
interaction between sHsps and alpha-syn may be an interesting target for
therapeutic intervention in the pathogenesis of alpha-synucleinopathies.
PMID- 21905117
TI - Mycobacterium tuberculosis Rv0899 defines a family of membrane proteins
widespread in nitrogen-fixing bacteria.
AB - The Mycobacterium tuberculosis membrane protein Rv0899 confers adaptation of the
bacterium to acidic environments. Due to strong sequence homology of its C
terminus to bacterial OmpA-like domains, Rv0899 has been proposed to constitute
an outer membrane porin of M. tuberculosis. However, OmpA-like domains are
widespread in a wide variety of bacterial proteins with different functions.
Furthermore, the three-dimensional structure of Rv0899 does not contain a
transmembrane beta-barrel, and recent evidence demonstrates that it does not have
porin activity. Instead, the rv0899 gene is part of an operon (rv0899-rv0901)
that is required for fast ammonia secretion, pH neutralization, and growth of M.
tuberculosis in acidic environments. The mechanism whereby these functions are
accomplished is not known. To gain further functional insights, a targeted search
of the genomic databases was performed for proteins with sequence similarity
beyond the OmpA-like C-terminus. The results presented here, show that Rv0899
like proteins are widespread in bacteria with functions in nitrogen metabolism,
adaptation to nutrient poor environments, and/or establishing symbiosis with the
host organism, and appear to form a protein family. These findings suggest that
M. tuberculosis Rv0899 may also assist similar processes and lend further support
to its role in ammonia secretion and M. tuberculosis adaptation to the host
environment.
PMID- 21905119
TI - Molecular dynamics modeling of tubulin C-terminal tail interactions with the
microtubule surface.
AB - Tubulin, an alpha/beta heterodimer, has had most of its 3D structure analyzed;
however, the carboxy (C)-termini remain elusive. Importantly, the C-termini play
critical roles in regulating microtubule structure and function. They are sites
of most of the post-translational modifications of tubulin and interaction sites
with molecular motors and microtubule-associated proteins. Simulated annealing
was used in our molecular dynamics modeling to predict the interactions of the C
terminal tails with the tubulin dimer. We examined differences in their
flexibility, interactions with the body of tubulin, and the existence of
structural motifs. We found that the alpha-tubulin tail interacts with the H11
helix of beta-tubulin, and the beta-tubulin tail interacts with the H11 helix of
alpha-tubulin. Tail domains and H10/B9 loops interact with each other and compete
for interactions with positively-charged residues of the H11 helix on the
neighboring monomer. In a simulation in which alpha-tubulin's H10/B9 loop
switches on sub-nanosecond intervals between interactions with the C-terminal
tail of alpha-tubulin and the H11 helix of beta-tubulin, the intermediate domain
of alpha-tubulin showed more fluctuations compared to those in the other
simulations, indicating that tail domains may cause shifts in the position of
this domain. This suggests that C-termini may affect the conformation of the
tubulin dimer which may explain their essential function in microtubule formation
and effects on ligand binding to microtubules. Our modeling also provides
evidence for a disordered-helical/helical double-state system of the T3/H3 region
of the microtubule, which could be linked to depolymerization following GTP
hydrolysis.
PMID- 21905120
TI - Crystal structure of Mycobacterium tuberculosis Rv3168: a putative aminoglycoside
antibiotics resistance enzyme.
PMID- 21905121
TI - Solution NMR structure of VF0530 from Vibrio fischeri reveals a nucleic acid
binding function.
AB - Protein domain family PF09905 (DUF2132) is a family of small domains of unknown
function that are conserved in a wide range of bacteria. Here we describe the
solution NMR structure of the 80-residue VF0530 protein from Vibrio fischeri, the
first structural representative from this protein domain family. We demonstrate
that the structure of VF0530 adopts a unique four-helix motif that shows some
similarity to the C-terminal double-stranded DNA (dsDNA) binding domain of RecA,
as well as other nucleic acid binding domains. Moreover, gel shift binding data
indicate a potential dsDNA binding role for VF0530.
PMID- 21905122
TI - Ab initio phasing of a nucleoside hydrolase-related hypothetical protein from
Saccharophagus degradans that is associated with carbohydrate metabolism.
PMID- 21905123
TI - Structural evidence that puromycin hydrolase is a new type of aminopeptidase with
a prolyl oligopeptidase family fold.
PMID- 21905125
TI - Cortical spreading depression in alpha-synuclein knockout mice.
PMID- 21905124
TI - Pre- and postsynaptic effects of norepinephrine on gamma-aminobutyric acid
mediated synaptic transmission in layer 2/3 of the rat auditory cortex.
AB - Noradrenergic terminals from the locus coeruleus release norepinephrine (NE)
throughout most brain areas, including the auditory cortex, where they affect
neural processing by modulating numerous cellular properties including the
inhibitory gamma-aminobutyric acid (GABA)ergic transmission. We recently
demonstrated that NE affects GABAergic signaling onto cortical pyramidal cells in
a complex manner. In this study, we used a combination of patch-clamp recording
and immunohistochemical techniques to identify the synaptic site and the location
of the adrenergic receptors involved in the modulation of GABAergic signaling in
cortical layer 2/3 of the rat. Our results showed that NE increases the frequency
of spike-independent miniature inhibitory postsynaptic currents (mIPSCs), as well
as the probability of release of unitary inhibitory postsynaptic currents (IPSCs)
obtained with patch-clamp pair-recordings. The pharmacology of mIPSCs and the
identification of adrenergic receptors in neurons containing the GABAergic marker
parvalbumin (PV) suggest that NE increases the presynaptic probability of GABA
release by activating alpha(2) - and beta-receptors on PV-positive neurons. On
the contrary, bath-applied NE or phenylephrine, decreased the current mediated by
pressure application of the GABA(A) -receptor agonist muscimol, as well as the
amplitude-but not the frequency-of mIPSCs, indicating that activation of
postsynaptic alpha(1) adrenoceptors reversibly depressed GABAergic currents. We
speculate that while a generalized postsynaptic decrease of GABAergic inhibition
might decrease the synaptic activation threshold for pyramidal neurons
corresponding to an alert state, NE might promote perception and sensory binding
by facilitating lateral inhibition as well as the production of gamma
oscillations by a selective enhancement of perisomatic inhibition.
PMID- 21905126
TI - Striatal mitochondria in subjects with chronic undifferentiated vs. chronic
paranoid schizophrenia.
AB - Schizophrenia (SZ) is a heterogeneous disease with a spectrum of symptoms, risk
factors, and etiology. Abnormalities in mitochondria, the energy-producing
organelles of the cell, have been observed in mixed cohorts of subjects with SZ.
The purpose of the present study was to determine if striatal mitochondria were
differentially affected in two different DSM-IV subgroups of SZ. Postmortem
striatal tissue was examined from normal controls (NC), chronic paranoid SZs
(SZP), and chronic undifferentiated SZs (SZU). Tissue was processed for calbindin
immunohistochemistry to identify striosomal compartments, prepared for electron
microscopy and analyzed using stereological methods. In both caudate and putamen,
the density of mitochondria in the neuropil was decreased in SZP compared to both
NCs and SZU. In the putamen, both the SZP and the SZU subgroups had fewer
mitochondria per synapse than did NCs. When examining patch matrix compartments,
striatal compartments associated with different circuitry and function, only the
matrix exhibited changes. In the caudate matrix, the SZP subgroup had fewer
mitochondria in the neuropil than did the SZU and NCs. In the putamen matrix, the
SZP had fewer mitochondria in the neuropil as compared to NCs, but not the SZU.
The numbers of mitochondria per synapse in both the SZP and the SZU groups were
similar to each other and fewer than that of NCs. A decrease in mitochondrial
density in the neuropil distinguishes the SZP from the SZU subgroup, which could
be associated with the symptoms of paranoia and/or could represent a protective
mechanism against some of the symptoms that are less pronounced in this subtype
than in the SZU subgroup such as cognitive and emotional deficits.
PMID- 21905127
TI - Subdiaphragmatic vagotomy increases the sensitivity of lumbar Adelta primary
afferent neurons along with voltage-dependent potassium channels in rats.
AB - Subdiaphragmatic vagal dysfunction causes chronic pain. To verify whether this
chronic pain is accompanied by enhanced peripheral nociceptive sensitivity, we
evaluated primary afferent neuronal excitability in subdiaphragmatic vagotomized
(SDV) rats. SDV rats showed a decrease in the electrical stimuli-induced hind
limb-flexion threshold at 250 Hz, but showed no similar effect at 5 or 2000 Hz,
which indicated that lumbar primary afferent Adelta sensitivity was enhanced in
SDV rats. The whole-cell patch-clamp technique also revealed the hyper
excitability of acutely dissociated medium-sized lumbar dorsal root ganglion
(DRG) neurons isolated from SDV rats. The contribution of changes in voltage
dependent potassium (Kv) channels was assessed, and transient A-type K(+) (I(A) )
current density was apparently decreased. Moreover, Kv4.3 immunoreactivity in
medium-sized DRG neurons was significantly reduced in SDV rats compared to sham.
These results indicate that SDV causes hyper-excitability of lumbar primary
Adelta afferent neurons, which may be induced along with suppressing I(A)
currents via the decreased expression of Kv4.3. Thus, peripheral Adelta
neuroplasticity may contribute to the chronic lower limb pain caused by SDV.
PMID- 21905128
TI - The acute administration of the selective dopamine D(3) receptor antagonist SB
277011A reverses conditioned place aversion produced by naloxone precipitated
withdrawal from acute morphine administration in rats.
AB - We examined the effect of SB-277011A, a selective D(3) receptor antagonist, on
the conditioned place aversion (CPA) response associated with naloxone-induced
withdrawal from acute morphine administration in male Sprague-Dawley rats.
Morphine (5.6 mg/kg i.p.) was given, followed 4 hrs later by naloxone (0.3 mg/kg
i.p.) and prior to placing the animals in one specific chamber of the test
apparatus. All animals were subjected to 2 of these trials. A significant CPA
occurred in animals that received an i.p. injection of vehicle 30 minutes prior
to the measurement of chamber preference. The pretreatment of animals (30 minutes
prior to testing) with 3 mg/kg i.p. of SB-277011A did not significantly alter the
CPA compared to animals treated with vehicle (1 ml/kg i.p. of deionized distilled
water). In contrast, the acute pretreatment of animals with 6, 12 or 24 mg/kg
i.p. of SB-277011A significantly decreased the CPA compared to vehicle-treated
animals. In fact, the 12 and 24 mg/kg doses of SB-277011A significantly increased
the time spent in the chamber where animals were paired with morphine and
naloxone. These results suggest that the selective antagonism of D(3) receptors
attenuates the CPA produced by a model of naloxone-induced withdrawal from acute
morphine dependence.
PMID- 21905129
TI - Insights into the Sigma-1 receptor chaperone's cellular functions: a microarray
report.
AB - We previously demonstrated that Sig-1Rs are critical regulators in neuronal
morphogenesis and development via the regulation of oxidative stress and
mitochondrial functions. In the present study, we sought to identify pathways and
genes that are affected by Sig-1R. Gene expression profiles were examined in rat
hippocampal neurons that had been cultured for 18 days in vitro (DIV). The cells
were transduced with AAV siRNA targeting Sig-1R on DIV 10 for 7 days, followed by
gene expression analysis using a rat genome cDNA array. The gene array results
indicated that Sig-1R knockdown hampered cellular functions including steroid
biogenesis, protein ubiquitination, actin cytoskeleton network, and Nrf-2
mediated oxidative stress. Many of the cellular components important for actin
polymerization and synapse plasticity, including F-actin capping protein and
neurofilaments, were significantly changed in AAV-siSig-1R neurons. Further,
cytochrome c was reduced in AAV-Sig-1R neurons whereas free-radical generating
enzymes including cytochrome p450 and cytochrome b-245 were increased. The
microarray results also suggest that Sig-1Rs may regulate genes that are involved
in the pathogenesis of many CNS diseases including Alzheimer's disease and
Parkinson's disease. These data further confirmed that Sig-1Rs play critical
roles in the CNS and thus these findings may aid in future development of
therapeutic treatments targeting neurodegenerative disorders.
PMID- 21905130
TI - A new and general fabrication of an aligned carbon nanotube/polymer film for
electrode applications.
PMID- 21905131
TI - Simultaneous enhancement of open-circuit voltage, short-circuit current density,
and fill factor in polymer solar cells.
PMID- 21905132
TI - From 2D to 3D: a single-crystal-to-single-crystal photochemical framework
transformation and phenylmethanol oxidation catalytic activity.
PMID- 21905133
TI - Left or right? The direction of compression-generated vortex-like flow selects
the macroscopic chirality of interfacial molecular assemblies.
AB - A new method is described through which the macroscopic chirality of interfacial
molecular assemblies of an achiral porphyrin can be mechanically controlled using
an original yet efficient Langmuir-Blodgett (LB) technique. By using the
unilateral compression geometry, we find that the assemblies deposited from the
mirror regions of the LB trough display mirror macroscopic chirality. It is
indicated that vortex-like flows could be generated during compression, and that
it is the direction of this compression-generated vortex-like flow that determine
the macroscopic chirality of the formed assemblies. Moreover, the standard sample
fabrication method with bilateral compression geometry is reformed, and we find
that the samples formulated around the left-hand- and right-hand-side Langmuir
barriers display opposite macroscopic chiralities. The results suggest that
mechanically controlled supramolecular chirogenesis could be efficiently realized
through such an LB technique. The investigation establishes a new forum for
further investigation of the mechanically induced preferred supramolecular
chirality in terms of interfacial organization, and provides the old LB technique
with new opportunities for controlling the macroscopic chirality of a
supramolecular system that is wholly composed of achiral units.
PMID- 21905134
TI - A trinuclear copper(II) cryptate and its MU3-CO3 cascade complex: thermodynamics,
structural and magnetic properties.
AB - The 2,4,6-triethylbenzene-capped hexaamine macrobicycle with pyridyl spacers
(pyr) was able to coordinate three copper(II) ions within its cavity.
Potentiometric studies performed at 298.2 K in MeOH/H(2)O (50:50 v/v) and at
ionic strength 0.10 mol dm(-3) in KNO(3) revealed that trinuclear species
predominate in solution from pH 5.0, the hydroxo complexes being the main
species, which start forming at unusual very low pH values. The single-crystal X
ray determination of the trinuclear complex showed that the three copper centres
have square-planar geometry, arranged in an almost equilateral triangle, and have
carbonate bridging the three metal centres. The presence of carbonate resulted
from fixation of atmospheric CO(2). The present study represents the first MU(3)
CO(3)-bridged trinuclear copper(II) complex located in the interior of a
macrobicyclic cavity. The magnetic data of [Cu(3)(pyr)(MU(3)-CO(3))].(ClO(4))(4)
showed ferromagnetic intramolecular interactions [J=3.80 cm(-1), based on the
Hamiltonian H=-J(S(1)S(2)+S(2)S(3)+S(1)S(3))] yielding a spin quartet, S=3/2,
ground state. Density functional calculations on the experimental geometry of the
trinuclear complex showed that the ferromagnetic nature of the magnetic coupling
can be attributed to the syn-anti conformation of the carbonato bridge, and a
magneto-structural correlation, based on the different conformations (syn-anti,
syn-syn and anti-anti), is presented. The interesting properties observed, namely
the lowering of the pK(a) of coordinated water molecules to unusual values and
the good fit of the carbonate anion between the copper centres, derive from the
special architecture of pyr.
PMID- 21905135
TI - Biomimetic oxidation reactions of a naked manganese(V)-oxo porphyrin complex.
AB - The intrinsic reactivity of a manganese(V)-oxo porphyrin complex, a typically
fleeting intermediate in catalytic oxidation reactions in solution, has been
elucidated in a study focused on its gas-phase ion-chemistry. The naked high
valent Mn(V)-oxo porphyrin intermediate 1 ([(tpfpp)Mn(V)O](+); tpfpp=meso
tetrakis(pentafluorophenyl)porphinato dianion), has been obtained by controlled
treatment of [(tpfpp)Mn(III)]Cl (2-Cl) with iodosylbenzene in methanol, delivered
in the gas phase by electrospray ionization and assayed by FT-ICR mass
spectrometry. A direct kinetic study of the reaction with selected substrates,
each containing a heteroatom X (X=S, N, P) including amines, sulfides, and
phosphites, was thus performed. Ionic products arising from electron transfer
(ET), hydride transfer (HT), oxygen-atom transfer (OAT), and formal addition
(Add) may be observed, with a predominance of two-electron processes, whereas the
product of hydrogen-atom transfer (HAT), [(tpfpp)Mn(IV)OH](+), is never detected.
A thermochemical threshold for the formation of the product radical cation allows
an evaluation of the electron-transfer ability of a Mn(V)-oxo complex, yielding a
lower limit of 7.85 eV for the ionization energy of gaseous [(tpfpp)Mn(IV)O].
Linear free-energy analyses of the reactions of para-substituted N,N
dimethylanilines and thioanisoles indicate that a considerable amount of positive
charge is developed on the heteroatom in the oxidation transition state.
Substrates endowed with different heteroatoms, but similar ionization energy
display a comparable reaction efficiency, consistent with a mechanism initiated
by ET. For the first time, the kinetic acidity of putative hydroxo intermediates
playing a role in catalytic oxidations, [(tpfpp)Fe(IV)OH](+) and
[(tpfpp)Mn(IV)OH](+), has been investigated with selected reference bases,
revealing a comparatively higher basicity for the ferryl, [(tpfpp)Fe(IV)O], with
respect to the manganyl, [(tpfpp)Mn(IV)O], unit. Finally, the neat association
reaction of 2 has been studied with various ligands showing that harder ligands
are more strongly bound.
PMID- 21905136
TI - Calcium-mediated dearomatization, C-H bond activation, and allylation of
alkylated and benzannulated pyridine derivatives.
AB - A facile and general synthetic pathway for the production of dearomatized,
allylated, and C-H bond activated pyridine derivatives is presented. Reaction of
the corresponding derivative with the previously reported reagent
bis(allyl)calcium, [Ca(C(3)H(5))(2)] (1), cleanly affords the product in high
yield. The range of N-heterocyclic compounds studied comprised 2-picoline (2), 4
picoline (3), 2,6-lutidine (4), 4-tert-butylpyridine (5), 2,2'-bipyridine (6),
acridine (7), quinoline (8), and isoquinoline (9). Depending on the substitution
pattern of the pyridine derivative, either carbometalation or C-H bond activation
products are obtained. In the absence of methyl groups ortho or para to the
nitrogen atom, carbometalation leads to dearomatized products. C(sp(3))-H bond
activation occurs at ortho and para situated methyl groups. Steric shielding of
the 4-position in pyridine yields the ring-metalated product through C(sp(2))-H
bond activation instead. The isolated compounds [Ca(2-CH(2)-C(5)H(4)N)(2)(THF)]
(2b?(THF)), [Ca(4-CH(2)-C(5)H(4)N)(2)(THF)(2)] (3b?(THF)(2)), [Ca(2-CH(2)
C(5)H(3)N-6-CH(3))(2)(THF)(n)] (4b?(THF)(n); n=0, 0.75), [Ca{2-C(5)H(3)N-4
C(CH(3))(3)}(2)(THF)(2)] (5c?(THF)(2)), [Ca{4,4'-(C(3)H(5))(2)
(C(10)H(8)N(2))}(THF)] (6a?(THF)), [Ca(NC(13) H(9)-9-C(3)H(5))(2)(THF)]
(7a?(THF)), [Ca(4-C(3) H(5)-C(9) H(7)N)(2)(THF)] (8b?(THF)), and [Ca(1-C(3)H(5)
C(9)H(7) N)(2)(THF)(3)] (9a?(THF)(3)) have been characterized by NMR spectroscopy
and metal analysis. 9a?(THF)(4) and 4b?(THF)(3) were additionally characterized
in the solid state by X-ray diffraction experiments. 4b?(THF)(3) shows an aza
allyl coordination mode in the solid state. Based on the results, mechanistic
aspects are discussed in the context of previous findings.
PMID- 21905138
TI - Sterically demanding hetero-substituted [2]borametallocenophanes of group IV
metals: synthesis, structure and reactivity.
AB - We report the synthesis and characterisation of unprecedented unstrained
[2]diborametallocenophanes of zirconium and hafnium that bear the bulky
octamethylfluorenyl (eta(5)-C(29)H(36)) system, the proligands of which were pre
constructed by a two-step synthesis. The compounds were fully characterised by
NMR spectroscopy, MALDI-TOF mass spectrometry and X-ray diffraction analysis.
Typical reactivities relevant to olefin polymerisation such as methylation and
chloride abstraction were also investigated. Finally, a sterically demanding
bis(octamethylfluorenyl) metallocene was prepared.
PMID- 21905137
TI - Spiropyran-amidine: a molecular canary for visual detection of carbon dioxide
gas.
PMID- 21905139
TI - Autoinductive exponential signal amplification: a diagnostic probe for direct
detection of fluoride.
AB - A new example of an exponential signal amplification strategy for the direct
detection of fluoride is demonstrated. The amplification occurred through
reaction of fluoride with a responsive chromogenic probe. The probe activity is
based on a unique dendritic chain reaction that generates a fluoride anion, which
is the analyte of interest, during the disassembly pathway of the dendritic
probe. This autoinductive amplification mechanism may be applied for detection of
other analytes by coupling activity of a modified probe with that of the fluoride
amplifier.
PMID- 21905140
TI - Oxidation state changes and electron flow in enzymatic catalysis and
electrocatalysis through Wannier-function analysis.
AB - In catalysis by metalloenzymes and in electrocatalysis by clusters related in
structure and composition to the active components of such enzymes transition
metal atoms can play a central role in the catalyzed redox reactions. Changes to
their oxidation states (OSs) are critical for understanding the reactions. The OS
is a local property and we introduce a new, generally useful local method for
determining OSs, their changes, and the associated bonding changes and electron
flow. The method is based on computing optimally localized orbitals (OLOs). With
this method, we analyze two cases, superoxide reductase (SOR) and a proposed
hydrogen-producing model electrocatalyst [FeS(2)]/[FeFe](P), a modification of
the active site of the diiron hydrogenase enzymes. Both utilize an under
coordinated Fe site where a one-electron reduction (for SOR) or a two-electron
reduction (for [FeFe](P)) of the substrate occurs. We obtain the oxidation states
of the Fe atoms and of their critical ligands, the changes of the bonds to those
ligands, and the electron flow during the catalytic cycle, thereby demonstrating
that OLOs constitute a powerful interpretive tool for unraveling reaction
mechanisms by first-principles computations.
PMID- 21905141
TI - Highly luminescent octanuclear Au(I)-Cu(I) clusters adopting two structural
motifs: the effect of aliphatic alkynyl ligands.
AB - Reactions of the homoleptic (AuC(2)R)(n) precursors with stoichiometric amount of
diphosphine ligand PPh(2)C(6)H(4)PPh(2) (P^P) and Cu(+) ions lead to an assembly
of a new family of bimetallic clusters [Au(6)Cu(2)(C(2)R)(6)(P^P)(2)](2+) (type
I; R=9-fluorenolyl (1), diphenylmethanolyl (2), 2,6-dimethyl-4-heptanolyl (3), 1
cyclohexanolyl (4), Cy (5), tBu (6)). In the case of R=1-cyclohexanolyl, a
structurally different complex [Au(6)Cu(2)(C(2)C(6)H(11)O)(6)(P^P)(3)](2+) (7,
type II) could be obtained by treatment of 4 with one equivalent of the
diphosphine, while for R=isopropanolyl only the latter type of cluster
[Au(6)Cu(2)(C(2)C(3)H(7)O)(6)(P^P)(3)](2+) (8) was detected. Steric bulkiness of
the alkynyl ligands and O...H-O hydrogen bonding are suggested to play an
important role in stabilizing the type I and type II cluster structural motif,
respectively. All the complexes exhibit intense photoluminescence in solution
with emission parameters that depending on the geometrical arrangement of the
octanuclear metal core. The clusters 1-4 and 6 show single emission band in a
blue region (469-488 nm) with maximum quantum yield of 94% (4), while
structurally different 7 and 8 emit yellow-orange (590 nm) with unity quantum
efficiency. The theoretical DFT calculations of the electronic structures have
been carried out to demonstrate that the metal-centered triplet emission within
the heterometallic core plays a key role for the observed phosphorescence.
PMID- 21905142
TI - Proton-coupled electron transfer originating from excited states of luminescent
transition-metal complexes.
AB - Proton-coupled electron transfer (PCET) is of fundamental importance for small
molecule activation processes, such as water splitting, CO(2)-reduction, or
nitrogen fixation. Ideally, energy-rich molecules such as H(2), CH(3)OH, or NH(3)
could be generated artificially using (solar) light as an energy input. In this
context, PCETs originating directly from electronically excited states play a
crucial role. A variety of transition-metal complexes have been used recently for
fundamental investigations of this important class of reactions, and the key
findings of these studies are reviewed in this article. The present minireview
differs from other reviews on the subject of PCET in that it focuses specifically
on reactions occurring directly from electronically excited states.
PMID- 21905143
TI - Controlling thermally induced electron transfer in cyano-bridged molecular
squares: from solid state to solution.
PMID- 21905144
TI - Methane activation by yttrium-doped vanadium oxide cluster cations: local charge
effects.
PMID- 21905145
TI - Free radical reactivity of mono- and dichlorosilylene with muonium.
PMID- 21905148
TI - A novel polymeric chemosensor: dual colorimetric detection of metal ions through
click synthesis.
AB - A highly colored polystyrene derivative bearing side chain chromophores composed
of dialkylanilino donor and cyano-based acceptor groups, prepared by atom
economic click postfunctionalization, displays the dual colorimetric detection
behavior of several metal ions based on the specific interactions with different
nitrogen atoms. Hard to borderline metal ions, such as Fe(3+) , Fe(2+) , and
Sn(2+) , are always recognized by the dialkylanilino nitrogen atom, resulting in
a decrease in the charge-transfer (CT) band intensity of the donor-acceptor
chromophores. On the other hand, the recognition site of a soft metal ion of
Ag(+) is the cyano nitrogen atom due to the readily formed multivalent
coordination, which produces a bathochromic shift of the CT band.
PMID- 21905150
TI - The effects of insurance mandates on choices and outcomes in infertility
treatment markets.
AB - For the 10% to 15% of American married couples who experience reproductive
problems, in vitro fertilization (IVF) is the leading technologically advanced
treatment procedure. However, IVF's expense may prevent many couples from
receiving treatment, and those who are treated may take an overly aggressive
approach to reduce the probability of failure. Aggressive treatment, which occurs
through an increase in the number of embryos transferred during IVF, can lead to
medically dangerous multiple births. We evaluated the principle policy proposal
insurance mandates-for improving IVF access and outcomes. We used data from US
markets during 1995-2003 to show that broad insurance mandates for IVF result in
not only large increases in treatment access but also significantly less
aggressive treatment. More limited insurance mandates, which may apply to a
subset of insurers or provide weaker guidelines for insurer behavior, generally
have little effect on IVF markets.
PMID- 21905149
TI - Neutralizing human monoclonal antibodies to severe acute respiratory syndrome
coronavirus: target, mechanism of action, and therapeutic potential.
AB - The emergence of Severe Acute Respiratory Syndrome Coronavirus (SARS-CoV) led to
a rapid response not only to contain the outbreak but also to identify possible
therapeutic interventions, including the generation of human monoclonal
antibodies (hmAbs). hmAbs may be used therapeutically without the drawbacks of
chimeric or animal Abs. Several different methods have been used to generate SARS
CoV specific neutralizing hmAbs including the immunization of transgenic mice,
cloning of small chain variable regions from naive and convalescent patients, and
the immortalization of convalescent B cells. Irrespective of the techniques used,
the majority of hmAbs specifically reacted with the receptor binding domain (RBD)
of the spike (S) protein and likely prevented receptor binding. However, several
hmAbs that can bind to epitopes either within the RBD, located N terminal of the
RBD or in the S2 domain, and neutralize the virus with or without inhibiting
receptor binding have been identified. Therapeutic utility of hmAbs has been
further elucidated through the identification of potential combinations of hmAbs
that could neutralize viral variants including escape mutants selected using
hmAbs. These results suggest that a cocktail of hmAbs that can bind to unique
epitopes and have different mechanisms of action might be of clinical utility
against SARS-CoV infection, and indicate that a similar approach may be applied
to treat other viral infections.
PMID- 21905151
TI - The role of private medical insurance in socio-economic inequalities in cancer
screening uptake in Ireland.
AB - Screening is seen by many as a key element in cancer control strategies.
Differences in uptake of screening related to socio-economic status exist and may
contribute to differences in morbidity and mortality across socio-economic
groups. Although a number of factors are likely to underlie differential uptake,
differential access to subsequent diagnostic tests and/or treatment may have a
pivotal role. This study examines differences in the uptake of cancer screening
in Ireland related to socio-economic status. Data were extracted from SLAN 2007
concerning uptake of breast, cervical, colorectal and prostate cancer screening
in the preceding 12 months. Concentration indices were calculated and decomposed.
Particular emphasis was placed in the decomposition upon the impact of private
health insurance, evidenced in other work to impact on access to care within the
mixed public-private Irish health system. This study found that significant
differences related to socio-economic status exist with respect to uptake of
cancer screening and that the main determinant of difference for breast,
colorectal and prostate cancer screening was possession of private insurance.
This may have profound implications for the design of cancer control strategies
in countries where private insurance has a significant role, even where screening
services are publicly funded and population based.
PMID- 21905152
TI - Spoilt for choice: implications of using alternative methods of costing hospital
episode statistics.
AB - In the absence of a 'gold standard' to estimate the economic burden of disease, a
decision about the most appropriate costing method is required. Researchers have
employed various methods to cost hospital stays, including per diem or diagnosis
related group (DRG)-based costs. Alternative methods differ in data collection
and costing methodology. Using data from Scotland as an illustrative example,
costing methods are compared, highlighting the wider implications for other
countries with a publicly financed healthcare system. Five methods are compared
using longitudinal data including baseline survey data (Midspan) linked to acute
hospital admissions. Cost variables are derived using two forms of DRG-type
costs, costs per diem, costs per episode-using a novel approach that
distinguishes between variable and fixed costs and incorporates individual length
of stay (LOS), and costs per episode using national average LOS. Cost estimates
are generated using generalised linear model regression. Descriptive analysis
shows substantial variation between costing methods. Differences found in
regression analyses highlight the magnitude of variation in cost estimates for
subgroups of the sample population. This paper emphasises that any inference made
from econometric modelling of costs, where the marginal effect of explanatory
variables is assessed, is substantially influenced by the costing method.
PMID- 21905153
TI - Quality of life, treatments, and patients' willingness to pay for a complete
remission of cervical cancer in Taiwan.
AB - Cervical cancer is one of the leading causes of cancer deaths in Taiwan. To
investigate the disease cost and then raise awareness of the importance of
screening for cervical cancer and promote early detection, this paper employs
contingent valuation and willingness to pay (WTP) method to study how health
related quality of life, disease severity, and after-treatment disease status
affect patients' WTP for a complete remission of the disease. The inclusive
criteria for the study were primary case outpatients at least 3 months after they
had received therapy at the time of our study period. Face-to-face interviews
were conducted for the retrospective format of the survey. The result of the
study indicates a lifetime WTP of $US21 221.96 for Taiwanese cervical cancer
patients, which is significantly higher than the cost of screening for early
detection. Disease stages do not show a consistent pattern in influencing WTP,
but patients with surgery are willing to pay a significantly higher amount for a
complete remission from the disease than patients without. In addition, mental
health, positive attitudes toward life, and quality of life also are key factors
that influence WTP.
PMID- 21905154
TI - The effect of Taiwan's national health insurance on mortality of the elderly:
revisited.
AB - A recent paper estimates the effects of Taiwan's National Health Insurance (NHI)
on the elderly and concludes that NHI greatly increased the medical care
utilization of the elderly but did not reduce their mortality. Using more recent
and more accurate mortality data of the same group of elderly, this note re
estimates the NHI effect on mortality and finds that the mortality hazard of the
previously uninsured elderly in the post-NHI period was on average 24% lower than
it would have been in the absence of NHI. However, the NHI effect on the
mortality hazard is only evident in the first 6 years following the enactment of
NHI, suggesting that it may be difficult to undo the damage caused by the lack of
insurance in early life.
PMID- 21905155
TI - Use of psychotropic medications by US cancer survivors.
AB - OBJECTIVES: This study aimed to describe national utilization of psychotropic
medications by adult cancer survivors in the USA and to estimate the extra use of
psychotropic medications that is attributable to cancer survivorship. METHODS:
Prescription data for 2001-2006 from the Medical Expenditure Panel Survey (MEPS)
were linked to the data identifying cancer survivors from the National Health
Interview Survey, the MEPS sampling frame. The sample was limited to adults 25
years of age and older. Propensity score matching was used to estimate the
effects of cancer survivorship on utilization of psychotropic medications by
comparing cancer survivors and other adults in MEPS. Utilization was measured as
any use during a calendar year and the number of prescriptions purchased
(including refills). Analyses were stratified by gender and age, distinguishing
adults younger than 65 years from those 65 years and older. RESULTS: Nineteen
percent of cancer survivors under age 65 years and 16% of survivors age 65 years
and older used psychotropic medications. Sixteen percent of younger survivors
used antidepressants, 7% used antianxiety medications. For older survivors,
utilization rates for these two drug types were 11% and 7%, respectively. The
increase in any use attributable to cancer amounted to 4-5 percentage points for
younger survivors (p < 0.05) and 2-3 percentage points for older survivors (p <
0.05), depending on gender. CONCLUSION: Increased use of psychotropic medications
by cancer survivors, compared with other adults, suggests that survivorship
presents ongoing psychological challenges.
PMID- 21905156
TI - Perceptions of prostate cancer in Black African and Black Caribbean men: a
systematic review of the literature.
AB - BACKGROUND: Prostate cancer (PC) is common and affects Black African and
Caribbean men disproportionately more than White men. It is known that PC
awareness is low in these groups, but knowledge is lacking about other factors
that may deter Black men from seeking information about, or getting tested for,
PC. The aim of this review was to appraise research on knowledge and perceptions
of PC among Black men. METHODS: Four medical and social science databases were
systematically searched, and reference lists of relevant papers were hand
searched. Non-English publications were excluded. Qualitative findings were
synthesised using comparative thematic analysis to which quantitative findings
were integrated. RESULTS: Thirteen qualitative studies and 20 cross-sectional
surveys were included. All except two were conducted in the USA. The analysis
identified individual, cultural and social factors likely to impact on Black
men's awareness of, and willingness to be tested for, PC. Black men's awareness
of personal risk of PC varied greatly between studies. Misunderstandings
regarding methods of diagnosis and treatment were widespread. PC testing and
treatment were perceived as a threat to men's sense of masculinity. Mistrust of
the health-care system, limited access to health care and lack of trusting
relationships with health professionals were also prominent. CONCLUSION: The
factors impacting on Black men's awareness of PC may contribute to late PC
diagnosis and should be taken into account when communicating with Black men
seeking prostate care. Further, the review demonstrated a need for high-quality
studies in countries other than the USA to determine the relevance of the review
findings for Black men in other nations and continents.
PMID- 21905157
TI - Refinement of the distress management problem list as the basis for a holistic
therapeutic conversation among UK patients with cancer.
AB - OBJECTIVE: Originally devised in the USA, the Distress Thermometer is being
deployed in many cancer settings in the UK. It is commonly used with a Problem
List (PL), which has never been validated with a UK population. This study aimed
to refine the PL items based upon the concerns of a sample of UK patients
attending a regional cancer centre. METHODS: Existing versions of the PL were
scrutinised by a focus group comprising five ex-patients, six health care staff
and two academics. This group considered the intelligibility, ambiguity and
redundancy of items, sometimes making alternative suggestions or pooling items.
The resulting 46 candidate items were sent to 735 patients with mixed cancer,
asking them to endorse items that had been 'a source of concern or distress'
during their recently finished treatment. We used multivariate logistic
regression to evaluate the association between the prevalence of problems and
patient characteristics. RESULTS: In this study, 395 (53%) people responded.
'Fatigue, exhaustion or extreme tiredness' (70%), 'worry, fear or anxiety' (45%)
and 'sleep problems' (38%) were the most frequently endorsed items. Items not
appearing on the original PL were commonly endorsed such as 'memory or
concentration' (30%) and 'loneliness or isolation' (15%), suggesting that they
should be routinely included in the Distress Thermometer Problem List.
CONCLUSIONS: The current study offers a more comprehensive PL, on the basis of
actual patients' concerns, using words that are understood by UK patients. The
reluctance of some patients to volunteer their concerns suggests that screening
for distress should be undertaken within the context of a structured
conversation.
PMID- 21905158
TI - Home-based physical activity intervention for colorectal cancer survivors.
AB - BACKGROUND: The efficacy of a home-based physical activity (PA) intervention for
colorectal cancer patients versus contact control was evaluated in a randomized
controlled trial. METHODS: Forty-six patients (mean age = 57.3 years [SD = 9.7],
57% female, mean = 2.99 years post-diagnosis [SD = 1.64]) who had completed
treatment for stages 1-3 colorectal cancer were randomized to telephone
counseling to support PA (PA group, n = 20) or contact control (control group, n
= 26). PA group participants received 3 months of PA counseling (based on the
transtheoretical model and the social cognitive theory) delivered via telephone,
as well as weekly PA tip sheets. Assessments of PA (Seven-day Physical Activity
Recall [7-day PAR] and Community Healthy Activities Model Program for Seniors
[CHAMPS]), submaximal aerobic fitness (Treadwalk test), motivational readiness
for PA, and psychosocial outcomes were conducted at baseline, 3, 6, and 12 months
post-baseline. Objective accelerometer data were collected at the same time
points. RESULTS: The PA group reported significant increases in minutes of PA at
3 months (7-day PAR) and caloric expenditure (CHAMPS) compared with the control
group, but the group differences were attenuated over time. The PA group showed
significant improvements in fitness at 3, 6, and 12 months versus the control
group. Improvements in motivational readiness for PA were reported in the PA
group only at 3 months. No significant group differences were found for fatigue,
self-reported physical functioning, and quality of life at 3, 6, and 12 months.
CONCLUSION: A home-based intervention improved survivors' PA and motivational
readiness at 3 months and increased submaximal aerobic fitness at 3, 6, and 12
months.
PMID- 21905159
TI - Oral contraceptive use in women at increased risk of breast/ovarian cancer:
knowledge and attitudes.
AB - BACKGROUND: Several of the health benefits and risks associated with the combined
oral contraceptive pill (COCP) are particularly relevant to women at risk of
hereditary breast and/or ovarian cancer. METHODS: Eighty-three past female
patients of an Australian hereditary cancer clinic aged 18-50 years completed a
self-report questionnaire to assess their contraceptive practices, knowledge and
information needs (44% response rate). RESULTS: Ninety-two percent of
participants had previously used the COCP, with a mean knowledge score of 3.63
out of 8. Nearly 40% reported that their family history of cancer was one reason
they discontinued/avoided using the COCP. Women reported receiving insufficient
COCP information and preferred a targeted information leaflet to answer their
questions. CONCLUSIONS: Although recall bias may have affected some women, there
is a clear need to improve the consistency of information delivered to women at
risk of hereditary breast and/or ovarian cancer, to ensure informed contraceptive
choices are made.
PMID- 21905160
TI - Partners and close family members of long-term cancer survivors: health status,
psychosocial well-being and unmet supportive care needs.
AB - BACKGROUND: A cancer diagnosis can have a profound impact on partners and close
family members of patients. Little is currently known about the long-term impact.
OBJECTIVES: The objective of this study is to describe health status, levels of
anxiety and depression, unmet supportive care needs and positive outcomes in the
partners/family members of breast, prostate and colorectal cancer survivors 5-16
years post-diagnosis. METHODS: Patients in a linked study were asked to invite a
partner or other close family member to complete a self-administered postal
questionnaire. Data were analysed by cancer site and time since diagnosis.
Matched comparisons were made between cancer patients in the linked study and
their partners. RESULTS: An expression of interest was received from 330
partners/family members, and 257 questionnaires (77.9%) were returned. Health
status and levels of anxiety and depression were comparable with population
norms. Respondents reported an average of 2.7 unmet needs from 34 possible
options. Hospital parking, information about familial risk, help managing fear of
recurrence and coordination of care were the most cited unmet needs. There was
little variation in health status, psychological morbidity and unmet needs by
cancer site or time since diagnosis. Concordance between patients and partners
was low for anxiety but higher with respect to positive outcomes and some unmet
needs. CONCLUSIONS: Most partners/family members of long-term cancer survivors
report few ongoing issues. However, a small proportion (<10%) have high levels of
anxiety and/or moderate or strong unmet needs. Strategies for identifying this
group and addressing their needs are required, while allowing the majority to
resume normal life.
PMID- 21905161
TI - Have a little faith: measuring the impact of illness on positive and negative
aspects of faith.
AB - BACKGROUND: The importance of faith and its associations with health are well
documented. As part of the Patient Reported Outcomes Measurement Information
System, items tapping positive and negative impact of illness (PII and NII) were
developed across four content domains: Coping/Stress Response, Self-Concept,
Social Connection/Isolation, and Meaning and Spirituality. Faith items were
included within the concept of meaning and spirituality. METHODS: This
measurement model was tested on a heterogeneous group of 509 cancer survivors. To
evaluate dimensionality, we applied two bi-factor models, specifying a general
factor (PII or NII) and four local factors: Coping/Stress Response, Self-Concept,
Social Connection/Isolation, and Meaning and Spirituality. RESULTS: Bi-factor
analysis supported sufficient unidimensionality within PII and NII item sets. The
unidimensionality of both PII and NII item sets was enhanced by extraction of the
faith items from the rest of the questions. Of the 10 faith items, nine
demonstrated higher local than general factor loadings (range for local factor
loadings = 0.402 to 0.876), suggesting utility as a separate but related 'faith'
factor. The same was true for only two of the remaining 63 items across the PII
and NII item sets. CONCLUSIONS: Although conceptually and to a degree empirically
related to Meaning and Spirituality, Faith appears to be a distinct subdomain of
PII and NII, better handled by distinct assessment. A 10-item measure of the
impact of illness upon faith (II-Faith) was therefore assembled.
PMID- 21905162
TI - Getting back on track: evaluation of a brief group psychoeducation intervention
for women completing primary treatment for breast cancer.
AB - OBJECTIVE: Patients with breast cancer experience unmet informational and
psychosocial needs at the end of treatment. A brief psychoeducational
intervention delivered at this transition may help to address some of the
challenges these women face. The purpose of this study was to test the
effectiveness of a single-session group psychoeducational intervention (GBOT
group) compared with standard print material (usual care). METHODS: In this
randomized controlled trial, 442 patients with breast cancer who were completing
their adjuvant radiotherapy were recruited and randomized to receive either usual
care, which includes standard print material (CRL group n = 226) or usual care
and the GBOT group intervention (INT group n = 216). Participants completed
measures at baseline and again at 3 and 6 months post-intervention. RESULTS: The
INT group showed significant improvement in their knowledge regarding the re
entry transition period (d = 0.31) and in their feelings of preparedness for re
entry (d = 0.37). There were no differences between the groups over time on
health-related distress or mood. CONCLUSIONS: Results support the effectiveness
of providing a single-session group psychoeducational intervention as a first
step approach to supportive care for women at the end of breast cancer treatment.
PMID- 21905163
TI - A high-resolution molecular atlas of the fetal mouse lower urogenital tract.
AB - Epithelial-stromal interactions in the lower urogenital tract (LUT) are integral
to prostatic and seminal vesicle development in males, vaginal and uterine
development in females, and urethral development in both sexes. Gene expression
profiling of isolated LUT stroma and epithelium has unraveled mechanisms of LUT
development, but such studies are confounded by heterogeneous and ill-defined
cell sub-populations contained within each tissue compartment. We used in situ
hybridization to synthesize a high-resolution molecular atlas of 17-day post
coitus fetal mouse LUT. We identified mRNAs that mark selective cell populations
of the seminal vesicle, ejaculatory duct, prostate, urethra, and vagina,
subdividing these tissues into 16 stromal and 8 epithelial sub-compartments.
These results provide a powerful tool for mapping LUT gene expression patterns
and also reveal previously uncharacterized sub-compartments that may play
mechanistic roles in LUT development of which we were previously unaware.
PMID- 21905164
TI - Gal80 intersectional regulation of cell-type specific expression in vertebrates.
AB - Characterization and functional manipulation of specific groups of neurons in the
vertebrate central nervous system (CNS) remains a major hurdle for understanding
complex circuitry and functions. In zebrafish, the Gal4/UAS system has permitted
expression of transgenes and enhancer trap screens, but is often limited by broad
expression domains. We have developed a method for cell-type specific expression
using Gal80 inhibition of Gal4-dependent expression. We show that native Gal4 is
able to drive strong expression, that Gal80 can inhibit this expression, and that
overlapping Gal4 and Gal80 expression can achieve "intersectional" expression in
spatially and genetically defined subsets of neurons. We also optimize Gal80 for
expression in vertebrates, track Gal80 expression with a co-expressed fluorescent
marker, and use a temperature-sensitive allele of Gal80 to temporally regulate
its function. These data demonstrate that Gal80 is a powerful addition to the
genetic techniques available to map and manipulate neural circuits in zebrafish.
PMID- 21905166
TI - Comprehensive mutation analysis (20 families) of the choroideremia gene reveals a
missense variant that prevents the binding of REP1 with Rab geranylgeranyl
transferase.
AB - Choroideremia (CHM), an X-linked degeneration of the retinal pigmented epithelium
(RPE), photoreceptors, and choroid, ultimately leads to blindness. It is caused
by loss-of-function of the CHM gene product, the Rab escort protein 1 (REP1) that
is involved, together with its homologue REP2, in prenylation of Rab GTPases, key
regulators of intracellular vesicular traffic. Here, we report the molecular
characterization of 20 unrelated Italian families affected by CHM. We identified
19 different mutations, nine of which are new. In most cases, we analyzed the
effect of the mutations at the mRNA level. Furthermore, we demonstrated, by in
vitro trancription/translation assays, that the mutated mRNAs produced truncated
proteins in all cases but one. In fact, we also identified a novel REP1 missense
variant (c.1520A>G; p.H507R) associated to CHM. Thus far, only two other CHM
associated missense mutations have been identified, one of which was a splicing
alteration. We investigated the impact of the p.H507R amino acid change on REP1
structure and function, thus providing the first experimental demonstration that
correlates a missense mutation in CHM with a functional impairment of REP1.
Overall, our results indicate that the REP1-Rab geranyl-geranyl transferase
interaction and consequently REP1-mediated Rab prenylation is essential for RPE
and photoreceptor function.
PMID- 21905165
TI - The tight junction scaffolding protein cingulin regulates neural crest cell
migration.
AB - Neural crest cells give rise to a diverse range of structures during vertebrate
development. These cells initially exist in the dorsal neuroepithelium and
subsequently acquire the capacity to migrate. Although studies have documented
the importance of adherens junctions in regulating neural crest cell migration,
little attention has been paid to tight junctions during this process. We now
identify the tight junction protein cingulin as a key regulator of neural crest
migration. Cingulin knock-down increases the migratory neural crest cell domain,
which is correlated with a disruption of the neural tube basal lamina.
Overexpression of cingulin also augments neural crest cell migration and is
associated with similar basal lamina changes and an expansion of the premigratory
neural crest population. Cingulin overexpression causes aberrant ventrolateral
neuroepithelial cell delamination, which is linked to laminin loss and a decrease
in RhoA. Together, our results highlight a novel function for cingulin in the
neural crest.
PMID- 21905167
TI - DominantMapper: rule-based analysis of SNP data for rapid mapping of dominant
diseases in related nuclear families.
AB - With the advent of cheap rapid methods for whole-genome SNP genotyping and the
completion of the Human Genome Project, mapping disease loci has become primarily
a bioinformatic rather than a laboratory problem. Here, we describe
DominantMapper, a computer program that implements a rule-based analysis
algorithm for the detection of dominant disease loci in either a small number of
nuclear families or a single large nuclear family. To demonstrate its utility, we
present the successful analysis of two pedigrees in which the affected
individuals carry either APC or TSPAN12 mutations.
PMID- 21905168
TI - Molecular cloaking of H2A.Z on mortal DNA chromosomes during nonrandom
segregation.
AB - Although nonrandom sister chromatid segregation is a singular property of
distributed stem cells (DSCs) that are responsible for renewing and repairing
mature vertebrate tissues, both its cellular function and its molecular mechanism
remain unknown. This situation persists in part because of the lack of facile
methods for detecting and quantifying nonrandom segregating cells and for
identifying chromosomes with immortal DNA strands, the cellular molecules that
signify nonrandom segregation. During nonrandom segregation, at each mitosis,
asymmetrically self-renewing DSCs continuously cosegregate to themselves the set
of chromosomes that contain immortal DNA strands, which are the oldest DNA
strands. Here, we report the discovery of a molecular asymmetry between
segregating sets of immortal chromosomes and opposed mortal chromosomes (i.e.,
containing the younger set of DNA template strands) that constitutes a new
convenient biomarker for detection of cells undergoing nonrandom segregation and
direct delineation of chromosomes that bear immortal DNA strands. In both cells
engineered with DSC-specific properties and ex vivo-expanded mouse hair follicle
stem cells, the histone H2A variant H2A.Z shows specific immunodetection on
immortal DNA chromosomes. Cell fixation analyses indicate that H2A.Z is present
on mortal chromosomes as well but is cloaked from immunodetection, and the
cloaking entity is acid labile. The H2A.Z chromosomal asymmetry produced by
molecular cloaking provides a first direct assay for nonrandom segregation and
for chromosomes with immortal DNA strands. It also seems likely to manifest an
important aspect of the underlying mechanism(s) responsible for nonrandom sister
chromatid segregation in DSCs.
PMID- 21905169
TI - Vascular endothelial growth factor stimulates endothelial colony forming cells
proliferation and tubulogenesis by inducing oscillations in intracellular Ca2+
concentration.
AB - Endothelial progenitor cells (EPCs) home from the bone marrow to the site of
tissue regeneration and sustain neovascularization after acute vascular injury
and upon the angiogenic switch in solid tumors. Therefore, they represent a
suitable tool for cell-based therapy (CBT) in regenerative medicine and provide a
novel promising target in the fight against cancer. Intracellular Ca(2+) signals
regulate numerous endothelial functions, such as proliferation and tubulogenesis.
The growth of endothelial colony forming cells (ECFCs), which are EPCs capable of
acquiring a mature endothelial phenotype, is governed by store-dependent Ca(2+)
entry (SOCE). This study aimed at investigating the nature and the role of VEGF
elicited Ca(2+) signals in ECFCs. VEGF induced asynchronous Ca(2+) oscillations,
whose latency, amplitude, and frequency were correlated to the growth factor
dose. Removal of external Ca(2+) (0Ca(2+)) and SOCE inhibition with N-(4-[3,5
bis(trifluoromethyl)-1H-pyrazol-1-yl]phenyl)-4-methyl-1,2,3-thiadiazole-5
carboxamide (BTP-2) reduced the duration of the oscillatory signal. Blockade of
phospholipase C-gamma with U73122, emptying the inositol-1,4,5-trisphosphate
(InsP(3))-sensitive Ca(2+) pools with cyclopiazonic acid (CPA), and inhibition of
InsP(3) receptors with 2-APB prevented the Ca(2+) response to VEGF. VEGF-induced
ECFC proliferation and tubulogenesis were inhibited by the Ca(2+)-chelant, BAPTA,
and BTP-2. NF-kappaB activation by VEGF was impaired by BAPTA, BTP-2, and its
selective blocker, thymoquinone. Thymoquinone, in turn, suppressed VEGF-dependent
ECFC proliferation and tubulogenesis. These data indicate that VEGF-induced
Ca(2+) oscillations require the interplay between InsP(3)-dependent Ca(2+)
release and SOCE, and promote ECFC growth and tubulogenesis by engaging NF
kappaB. This novel signaling pathway might be exploited to enhance the outcome of
CBT and chemotherapy.
PMID- 21905171
TI - Response to Clarke K, Regueiro M. Stopping immunomodulators and biologics in
inflammatory bowel disease patients in remission. Inflamm Bowel Dis 2011 [Epub
ahead of print].
PMID- 21905170
TI - Concise review: Human cell engineering: cellular reprogramming and genome
editing.
AB - Cell engineering is defined here as the collective ability to both reset and edit
the genome of a mammalian cell. Until recently, this had been extremely
challenging to achieve as nontransformed human cells are significantly refractory
to both these processes. The recent success in reprogramming somatic cells into
induced pluripotent stem cells that are self-renewable in culture, coupled with
our increasing ability to effect precise and predesigned genomic editing, now
readily permits cellular changes at both the genetic and epigenetic levels. These
dual capabilities also make possible the generation of genetically matched,
disease-free stem cells from patients for regenerative medicine. The objective of
this review is to summarize the key enabling developments on these two rapidly
evolving research fronts in human cell engineering, highlight unresolved issues,
and outline potential future research directions.
PMID- 21905176
TI - Reliable and efficient procedures for the conjugation of biomolecules through
Huisgen azide-alkyne cycloadditions.
AB - The Cu(I)-catalyzed azide-alkyne cycloaddition (CuAAC) has been established as a
powerful coupling technology for the conjugation of proteins, nucleic acids, and
polysaccharides. Nevertheless, several shortcomings related to the presence of
Cu, mainly oxidative degradation by reactive oxygen species and sample
contamination by Cu, have been pointed out. This Minireview discusses key aspects
found in the development of the efficient and benign functionalization of
biomacromolecules through CuAAC, as well as the Cu-free strain-promoted azide
alkyne cycloaddition (SPAAC).
PMID- 21905177
TI - Developing synthetic approaches with non-innocent metalloligands: easy access to
Ir(I)/Pd(0) and Ir(I)/Pd(0)/Ir(I) cores.
PMID- 21905173
TI - Comparative cost-effectiveness of strategies to prevent postoperative clinical
recurrence of Crohn's disease.
AB - BACKGROUND: A number of treatments have been shown to reduce the risk of
postoperative recurrence of Crohn's disease (CD). The optimal strategy is
unknown. The aim was to evaluate the comparative cost-effectiveness of
postoperative strategies to prevent clinical recurrence of CD. METHODS: Three
prophylactic strategies were compared to "no prophylaxis"; mesalamine,
azathioprine (AZA) / 6-mercaptopurine (6-MP), and infliximab. The probability of
clinical recurrence, endoscopic recurrence, and therapy discontinuation due to
adverse drug reactions (ADRs) were extracted from randomized controlled trials
(RCTs). Quality-of-life scores and treatment costs were derived from published
data. The primary model evaluated quality-adjusted life years (QALYs) and cost
effectiveness at 1 year after surgery. Sensitivity analysis assessed the impact
of a range of recurrence rates on cost-effectiveness. An exploratory analysis
evaluated cost-effectiveness outcomes 5 years after surgery. RESULTS: A strategy
of "no prophylaxis" was the least expensive one at 1 and 5 years after surgery.
Compared to this approach, AZA/6-MP had the most favorable incremental cost
effectiveness ratio (ICER) ($299,188/QALY gained), and yielded the highest net
health benefits of the medication strategies at 1 year. Sensitivity analysis
determined that the ICER of AZA/6-MP was preferable to mesalamine up to a
recurrence rate of 52%, but mesalamine dominated at higher rates. In the 5-year
exploratory analysis, mesalamine had the most favorable ICER over 5 years
($244,177/QALY gained). CONCLUSIONS: Compared to no prophylactic treatment, AZA/6
MP has the most favorable ICER in the prevention of clinical recurrence of
postoperative CD up to 1 year. At 5 years, mesalamine had the most favorable ICER
in this model.
PMID- 21905178
TI - Porous indium-organic frameworks and systematization of structural building
blocks.
PMID- 21905179
TI - Observing the hierarchical self-assembly and architectural bistability of hybrid
molecular metal oxides using ion-mobility mass spectrometry.
PMID- 21905181
TI - Highly efficient amide synthesis from alcohols and amines by virtue of a water
soluble gold/DNA catalyst.
PMID- 21905180
TI - Combinatorial catalysis employing a visible enzymatic beacon in real time:
synthetically versatile (pseudo)halometalation/carbocyclizations.
PMID- 21905182
TI - Tuning DNA stability to achieve turnover in template for an enzymatic ligation
reaction.
PMID- 21905183
TI - Palladium-catalyzed aryl iodide carbonylation as a route to imidazoline
synthesis: design of a five-component coupling reaction.
PMID- 21905184
TI - Porous and dense magnesium borohydride frameworks: synthesis, stability, and
reversible absorption of guest species.
PMID- 21905185
TI - Development of highly potent inhibitors of the Ras-targeting human acyl protein
thioesterases based on substrate similarity design.
AB - A matter of common sense: a common recognition motif consisting of a negatively
charged group five to six bonds away (red) from the (thio)ester functionality
(green) and a positively charged tail group ten to twelve bonds away (blue) was
identified in two native acyl protein thioesterase 1 (APT1) substrates. This
similarity led to the design of potent inhibitors of the Ras-depalmitoylating
enzyme APT1.
PMID- 21905186
TI - Identification of acyl protein thioesterases 1 and 2 as the cellular targets of
the Ras-signaling modulators palmostatin B and M.
AB - Finding the target: activity-based proteomic profiling probes based on the
depalmitoylation inhibitors palmostatin B and M have been synthesized and were
found to target acyl protein thioesterase 1 (APT1) and 2 (APT2) in cells.
PMID- 21905187
TI - Control of circadian phase by an artificial zinc finger transcription regulator.
PMID- 21905189
TI - High-performance silicon nanowire array photoelectrochemical solar cells through
surface passivation and modification.
AB - Nanowire solar cells: Pt nanoparticle (PtNP) decorated C/Si core/shell nanowire
photoelectrochemical solar cells show high conversion efficiency of 10.86 % and
excellent stability in aggressive electrolytes under 1-sun AM 1.5 G illumination.
Superior device performance is achieved by improved surface passivation of the
nanowires by carbon coating and enhanced interfacial charge transfer by PtNPs.
PMID- 21905190
TI - Low-pressure hydrogenation of carbon dioxide catalyzed by an iron pincer complex
exhibiting noble metal activity.
AB - A highly active iron catalyst for the hydrogenation of carbon dioxide and
bicarbonates works under remarkably low pressures and achieves activities similar
to some of the best noble metal catalysts. A mechanism is proposed involving the
direct attack of an iron trans-dihydride on carbon dioxide, followed by ligand
exchange and dihydrogen coordination.
PMID- 21905191
TI - A sphere-in-sphere complex by orthogonal self-assembly.
PMID- 21905192
TI - Switchable catalysis with a light-responsive cavitand.
PMID- 21905193
TI - Delineation of the core aggregation sequences of TDP-43 C-terminal fragment.
AB - Ubiquitinated cytoplasmic inclusions of TDP-43 and its C-terminal cleavage
products are the pathological hallmarks of amyotrophic lateral sclerosis and
frontotemporal lobar degeneration with ubiquitinated inclusions. The C-terminal
fragments (CTFs) of TDP-43 are increasingly considered to play an important role
in its aggregation and in disease. Here, we employed a set of synthetic peptides
spanning the length of the TDP-43 CTF (220-414) in order to find out its core
aggregation domains. Two regions, one in the RRM-2 domain (246-255) and the other
in the C-terminal domain (311-320) of TDP-43, stand out as highly aggregation
prone. Studies done on recombinant purified TDP-43 CTF and its three mutants, in
which these sequences were deleted individually and together, suggested that the
311-320 region has a more crucial role to play than the 246-255 in its
aggregation. The study helps in defining specific peptide sequences that might
form the core of TDP-43 aggregation. Identification of these sequences could help
in designing peptide based inhibitors of TDP-43 aggregation.
PMID- 21905194
TI - Identification of anchor points for chemical modification of a small cysteine
rich protein by using a cysteine scan.
AB - Chemical modifications of proteins are increasingly important in the development
of protein drugs with fine-tuned properties. Regioselective modification, such as
the chemoselective alkylation of an unpaired cysteine residue, is a prerequisite
for obtaining homogenous protein products. The introduction of an unpaired Cys
into the Cys-rich protein, insulin, was investigated by using a Cys scan. This
was challenging as the introduced Cys could interfere with insulin's three
existing disulfide bonds. However, eight insulin precursors were expressed in
Saccharomyces cerevisiae with good yields. Although extensive post-translational
modifications of the unpaired Cys were observed, the majority could be removed by
selective reduction. An example Cys(7) insulin analogue was modified with a
PEGylated maleimide moiety. The new variant was active in in vitro and in vivo
models. Our results show that even small Cys-rich proteins can be expressed with
additional unpaired Cys in meaningful yields and further chemically modified,
while maintaining their biological activity.
PMID- 21905195
TI - Biophysical investigations of GBV-C E1 peptides as potential inhibitors of HIV-1
fusion peptide.
AB - Five peptide sequences corresponding to the E1 protein of GBV-C
[NCCAPEDIGFCLEGGCLV (P7), APEDIGFCLEGGCLVALG (P8), FCLEGGCLVALGCTICTD (P10),
QAGLAVRPGKSAAQLVGE (P18), and AQLVGELGSLYGPLSVSA (P22)] were synthesized because
they were capable of interfering with the HIV-1 fusion peptide (HIV-1 FP)-vesicle
interaction. In this work the interaction of these peptides with the HIV-1 FP, as
well as with membrane models, was analyzed to corroborate their inhibition
ability and to understand if the interaction with the fusion peptide takes place
in solution or at the membrane level. Several studies were carried out on
aggregation and membrane fusion, surface Plasmon resonance, and conformational
analysis by circular dichroism. Moreover, in vitro toxicity assays, including
cytotoxicity studies in 3T3 fibroblasts and hemolysis assays in human red blood
cells, were performed to evaluate if these peptides could be potentially used in
anti-HIV-1 therapy. Results show that P10 is not capable of inhibiting membrane
fusion caused by HIV-1 and it aggregates liposomes and fuses membranes, thus we
decided to discard it for futures studies. P18 and P22 do not inhibit membrane
fusion, but they inhibit the ability of HIV-1 FP to form pores in bilayers, thus
we have not discarded them yet. P7 and P8 were selected as the best candidates
for future studies because they are capable of inhibiting membrane fusion and the
interaction of HIV-1 FP with bilayers. Therefore, these peptides could be
potentially used in future anti-HIV-1 research.
PMID- 21905196
TI - Ultrafast dynamics of UV-excited imidazole.
AB - The ultrafast dynamics of UV-excited imidazole in the gas phase is investigated
by theoretical nonadiabatic dynamics simulations and experimental time-resolved
photoelectron spectroscopy. The results show that different electronic excited
state relaxation mechanisms occur, depending on the pump wavelength. When
imidazole is excited at 239.6 nm, deactivation through the NH-dissociation
conical intersection is observed on the sub-50 fs timescale. After 200.8 nm
excitation, competition between NH-dissociation and NH-puckering conical
intersections is observed. The NH-dissociation to NH-puckering branching ratio is
predicted to be 21:4, and the total relaxation time is elongated by a factor of
eight. A procedure for simulation of photoelectron spectra based on dynamics
results is developed and employed to assign different features in the
experimental spectra.
PMID- 21905197
TI - Memoranda: History of the teaching of biochemistry in Mexico.
PMID- 21905198
TI - Early endocrine and molecular changes in metabolic syndrome models.
AB - The twenty-first century arrived in the middle of a global epidemic of metabolic
syndrome (MS) and type 2 diabetes mellitus (DM2). It is generally accepted that
an excess of nutrients linked to a low physical activity triggers the problem.
However, the molecular features that interact to develop the MS are not clear. In
an effort to understand and control them, they have been extensively studied, but
this goal has not been achieved yet. Nonhuman animal models have been used to
explore diet and genetic factors in which experimental conditions are controlled.
For example, only one factor in the diet, such as fats or carbohydrates can be
modified to better understand a single change that would be impossible in humans.
Most of the studies have been done in rodents. However, it is difficult to
directly compare them, because experiments are different in more than one
variable; genetic strains, amount, and the type of fat used in the diet and sex.
Thus, the only possible criteria of comparison are the relevance of the observed
changes. We review different animal models and add some original observations on
short-term changes in metabolism and beta cells in our own model of adult Wistar
rats that are not especially prone to get fat or develop DM2, treated with 20%
sucrose in drinking water. One early change observed in pancreatic beta cells is
the increase in GLUT2 expression that is located to the membrane of the cells.
This change could partially explain the presence of insulin hypersecretion and
hyperinsulinemia in these rats. Understanding early changes that lead to MS and
in time to pancreatic islet exhaustion is an important biomedical problem that
may contribute to learn how to prevent or even reverse MS, before developing DM2.
PMID- 21905199
TI - Aluminum stress and its role in the phospholipid signaling pathway in plants and
possible biotechnological applications.
AB - An early response of plants to environmental signals or abiotic stress suggests
that the phospholipid signaling pathway plays a pivotal role in these mechanisms.
The phospholipid signaling cascade is one of the main systems of cellular
transduction and is related to other signal transduction mechanisms. These other
mechanisms include the generation of second messengers and their interactions
with various proteins, such as ion channels. This phospholipid signaling cascade
is activated by changes in the environment, such as phosphate starvation, water,
metals, saline stres, and plant-pathogen interactions. One important factor that
impacts agricultural crops is metal-induced stress. Because aluminum has been
considered to be a major toxic factor for agriculture conducted in acidic soils,
many researchers have focused on understanding the mechanisms of aluminum
toxicity in plants. We have contributed the last fifteen years in this field by
studying the effects of aluminum on phospholipid signaling in coffee, one of the
Mexico's primary crops. We have focused our research on aluminum toxicity
mechanisms in Coffea arabica suspension cells as a model for developing future
contributions to the biotechnological transformation of coffee crops such that
they can be made resistant to aluminum toxicity. We conclude that aluminum is
able to not only generate a signal cascade in plants but also modulate other
signal cascades generated by other types of stress in plants. The aim of this
review is to discuss possible involvement of the phospholipid signaling pathway
in the aluminum toxicity response of plant cells.
PMID- 21905200
TI - CD43 regulates the threshold for T cell activation by targeting Cbl functions.
AB - T cell (TC) activation requires the coordinated signaling of the T cell receptor
(TCR) and coreceptor molecules, allowing TCs to respond to lower degrees of TCR
occupancy. Coreceptor molecules set the threshold for TC activation by
controlling different regulatory signaling loops. The Cbl family members prevent
undesired activation of T cells by regulating TCR signals. In this report, we
show that TC prestimulation by the CD43 coreceptor molecule before TCR engagement
inhibits TCR-dependent c-Cbl tyrosine phosphorylation, c-Cbl interaction with the
adapter molecule Crk-L and promotes Cbl-b degradation in a PKCtheta-dependent
manner. Consequently, the prolonged tyrosine phosphorylation and delayed
degradation of ZAP-70 and of the zeta chain lead to enhanced mitogen-activated
protein kinase activation and robust TC response. These data indicates that CD43
mediated signals lower the threshold for TC activation by restricting the c-Cbl
and Cbl-b inhibitory effects on TCR signaling. In addition to the strength and
duration of intracellular signals, our data underscore temporality with which
certain molecules are engaged as yet another mechanism to fine tune TC signal
quality, and ultimately immune function.
PMID- 21905201
TI - Signaling through Toll-like receptor 4 and mast cell-dependent innate immunity
responses.
AB - Signal transduction through Toll-like receptors (TLRs) has been one of the main
topics in immunology research in recent years. Because of their signaling
particularities based on the homotypic recognition of protein domains in multiple
adaptors and selective activation of protein kinases, TLRs have become a paradigm
to study ligand recognition coupled to dynamic and highly specific
transcriptional and secretory responses in immune cells. Particularly,
deleterious effects of Gram-negative bacteria-associated immune reactions has
promoted intense research in the field, leading to the description of a number of
canonical molecules connecting lipopolysaccharide-induced TLR4 activation with
NFkappaB-dependent transcription. However, the diversity of immune cell
phenotypes and the activity of distinct immune receptors in the same cell,
strongly suggest that a number of elements in TLR4 signaling cascade, such as
novel coreceptors, tyrosine kinases, and molecules regulating the secretion of
preformed mediators remain to be described. Recent investigations have placed the
mast cells, widely known by their role on allergic responses, as important
effectors of innate immunity reactions against Gram-negative bacteria. Their
remarkable capacity of cytokine storage, synthesis and release, and the large
number of inflammatory reactions controlled by their activation, suggest the
existence of new modulators of TLR4 signaling in this particular cell type.
PMID- 21905202
TI - mTORC1- and mTORC2-interacting proteins keep their multifunctional partners
focused.
AB - The mammalian target of rapamycin, best known as mTOR, is a phylogenetically
conserved serine/threonine kinase that controls life-defining cellular processes
such as growth, metabolism, survival, and migration under the influence of
multiple interacting proteins. Historically, the cellular activities blocked by
rapamycin in mammalian cells were considered the only events controlled by mTOR.
However, this paradigm changed with the discovery of two signaling complexes
differentially sensitive to rapamycin, whose catalytic component is mTOR. The one
sensitive to rapamycin, known as mTORC1, promotes protein synthesis in response
to growth factors and nutrients via the phosphorylation of p70S6K and 4EBP1;
while the other, known as mTORC2, promotes cell migration and survival via the
activation of Rho GTPases and the phosphorylation of AKT, respectively. Although
mTORC2 kinase activity is not inhibited by rapamycin, hours of incubation with
this antibiotic can impede the assembly of this signaling complex. The direct
mechanism by which mTORC2 leads to cell migration depends on its interaction with
P-Rex1, a Rac-specific guanine nucleotide exchange factor, while additional
indirect pathways involve the intervention of PKC or AKT, multifunctional
ubiquitous serine/threonine kinases that activate effectors of cell migration
upon being phosphorylated by mTORC2 in response to chemotactic signals. These
mTORC2 effectors are altered in metastatic cancer. Numerous clinical trials are
testing mTOR inhibitors as potential antineoplasic drugs. Here, we briefly review
the actions of mTOR with emphasis on the controlling role of mTORC1 and mTORC2
interacting proteins and highlight the mechanisms linked to cell migration.
PMID- 21905203
TI - Protein kinase C in Wnt signaling: implications in cancer initiation and
progression.
AB - Although it is well known that Wnt and protein kinase C (PKC) signaling pathways
are both involved in carcinogenesis and tumor progression, their synergistic
contribution to these processes or the crosstalk between them has just recently
been approached. The Wnt and PKC signaling are involved in many cellular
functions including proliferation, differentiation, survival, apoptosis,
cytoskeletal remodeling, and cell motility. Canonical Wnt signaling has been well
characterized as one of the most important contributors to tumorigenesis, and it
has been implicated in many types of solid tumors. PKC is one of the key targets
of noncanonical Wnt signaling, particularly in the Wnt/Ca(2+) pathway. Recently,
data have implicated components of noncanonical Wnt/Ca(2+) and Wnt/planar cell
polarity signaling in directly promoting the invasiveness and malignant
progression of diverse forms of human cancer. But, unlike the canonical pathway,
defining the roles of noncanonical Wnt signaling in human cancer is in its
infancy. In this review, we provide a concise description of the current
knowledge of the interaction between PKC and Wnt pathways and discuss the role of
this crosstalk in cancer initiation and progression.
PMID- 21905204
TI - Detection of hydrogen sulphide using cataluminescence sensors based on alkaline
earth metal salts.
AB - Detection of hydrogen sulphide (H(2)S) was conducted based on cataluminescence
(CTL) sensors, using alkaline-earth metal carbonates as catalysts. Optimal
working conditions, analytical characteristics and the response properties of the
sensor were investigated. CTL intensity examination showed that sensors
fabricated with CaCO(3), SrCO(3) or BaCO(3) could be used to detect H(2)S gas
sensitively. The optimal sensing temperature was about 320 degrees C. Under the
sensing conditions with temperature at ca. 320 degrees C and gas flow rate in
the range 180-200 mL/min, the linear range of CTL intensity vs H(2)S
concentration was 25-500 ppm, with a detection limit of 2 ppm. The response and
recovery times of the sensor were within 5 and 25 min, respectively. Also, the
sensor had the property of high selectivity to H(2)S with very weak or no obvious
response to 14 other gases, such as NO(2), NH(3), hydrocarbons and alcohol.
PMID- 21905205
TI - Total synthesis and functional analysis of non-ribosomal peptides.
AB - Antillatoxin and polytheonamide B are cytotoxic non-ribosomal peptides, both
isolated from marine sources. These molecules possess unique biological
activities that relate to ion channel proteins. Antillatoxin binds and activates
voltage-gated sodium channels, while polytheonamide mimics functions of an ion
channel protein. The goal of this research program is to control the function and
behavior of ion channels in a desired fashion by exploiting structural motifs of
these natural products. In the opening phase of this program, we first developed
general and efficient synthetic routes to antillatoxin and polytheonamide B. The
strategies for the total syntheses were then applied to the preparation of
structurally varied derivatives for studies of structure-function relationships,
which resulted in deciphering important structural elements for the potent
biological activities of these natural products.
PMID- 21905206
TI - Cell labeling approaches for fluorescence-based in vivo flow cytometry.
AB - We provide an overview of the methods used to label circulating cells for
fluorescence detection by in vivo flow cytometry. These methods are useful for
cell tracking in small animals without the need to draw blood samples and are
particularly useful for the detection of circulating cancer cells and
quantification of circulating immune cells.
PMID- 21905208
TI - In vivo plant flow cytometry: a first proof-of-concept.
AB - In vivo flow cytometry has facilitated advances in the ultrasensitive detection
of tumor cells, bacteria, nanoparticles, dyes, and other normal and abnormal
objects directly in blood and lymph circulatory systems. Here, we propose in vivo
plant flow cytometry for the real-time noninvasive study of nanomaterial
transport in xylem and phloem plant vascular systems. As a proof of this concept,
we demonstrate in vivo real-time photoacoustic monitoring of quantum dot-carbon
nanotube conjugates uptake by roots and spreading through stem to leaves in a
tomato plant. In addition, in vivo scanning cytometry using multimodal
photoacoustic, photothermal, and fluorescent detection schematics provided
multiplex detection and identification of nanoparticles accumulated in plant
leaves in the presence of intensive absorption, scattering, and autofluorescent
backgrounds. The use of a portable fiber-based photoacoustic flow cytometer for
studies of plant vasculature was demonstrated. These integrated cytometry
modalities using both endogenous and exogenous contrast agents have a potential
to open new avenues of in vivo study of the nutrients, products of photosynthesis
and metabolism, nanoparticles, infectious agents, and other objects transported
through plant vasculature.
PMID- 21905209
TI - P-glycoprotein activity in human Caucasian male lymphocytes does not follow its
increased expression during aging.
AB - P-glycoprotein (P-gp) is a transmembrane protein that mediates the efflux of
innumerous structurally unrelated compounds. It was initially found over
expressed in tumor cells, associated to a multidrug resistance phenotype (MDR).
Then, P-gp was found constitutively expressed in excretory cells/tissues and in
circulating cells, such as lymphocytes. Considering the importance of this
transporter in the establishment of therapeutic protocols and the existence of
contradictory results, this study aimed at evaluating the influence of aging in
the expression and function of P-gp in human lymphocytes, comparing two different
methodologies to assess both parameters. P-gp activity and expression were
evaluated in lymphocytes isolated from whole blood samples of 65 healthy
caucasian male donors, divided into two groups according to age (group 1: under
30-years old; group 2: above 60-years old). P-gp expression was assessed using
the anti-P-gp monoclonal antibody, UIC2, in the presence and in absence of
vinblastine (Vbl). P-gp activity was evaluated measuring the efflux rate of the
fluorescent P-gp substrate rhodamine 123 (Rho 123) and also using UIC2 shift
assay. Flow cytometric analysis was performed to assess all the proceedings.
Furthermore, P-gp expression and each of the P-gp activity determination methods
were compared, through correlation analysis and linear regression models. We
observed a significant age-dependent increase in mean P-gp expression (p =
0.029), which was not reflected in the transporter's activity (p > 0.050).
Statistical analysis allowed selection of UIC2 shift assay over Rho 123 efflux
assay as a more selective method to assess P-gp activity. Despite the significant
correlation between P-gp expression and P-gp activity found in lymphocytes
(Gp1(group 1)-r = 0.609, p < 0.001; Gp2-r = 0.461, p = 0.012), using UIC2 shift
assay, these data reinforce the need for P-gp activity assessment, rather than P
gp expression determination alone, when starting new therapeutic regimens with P
gp substrates, especially in men older than 60 years of age.
PMID- 21905207
TI - In vivo multispectral photoacoustic and photothermal flow cytometry with
multicolor dyes: a potential for real-time assessment of circulation, dye-cell
interaction, and blood volume.
AB - Recently, photoacoustic (PA) flow cytometry (PAFC) has been developed for in vivo
detection of circulating tumor cells and bacteria targeted by nanoparticles.
Here, we propose multispectral PAFC with multiple dyes having distinctive
absorption spectra as multicolor PA contrast agents. As a first step of our proof
of-concept, we characterized high-speed PAFC capability to monitor the clearance
of three dyes (Indocyanine Green [ICG], Methylene Blue [MB], and Trypan Blue
[TB]) in an animal model in vivo and in real time. We observed strong dynamic PA
signal fluctuations, which can be associated with interactions of dyes with
circulating blood cells and plasma proteins. PAFC demonstrated enumeration of
circulating red and white blood cells labeled with ICG and MB, respectively, and
detection of rare dead cells uptaking TB directly in bloodstream. The possibility
for accurate measurements of various dye concentrations including Crystal Violet
and Brilliant Green were verified in vitro using complementary to PAFC
photothermal (PT) technique and spectrophotometry under batch and flow
conditions. We further analyze the potential of integrated PAFC/PT spectroscopy
with multiple dyes for rapid and accurate measurements of circulating blood
volume without a priori information on hemoglobin content, which is impossible
with existing optical techniques. This is important in many medical conditions
including surgery and trauma with extensive blood loss, rapid fluid
administration, and transfusion of red blood cells. The potential for developing
a robust clinical PAFC prototype that is safe for human, and its applications for
studying the liver function are further highlighted.
PMID- 21905212
TI - Recent advances in dynamic intravital multi-photon microscopy.
AB - Standard multiphoton laser scanning microscopy (MPLSM) has revolutionized our
view of physiologic and pathologic processes in living organisms by enlightening
different aspects of cellular choreography in immune responses, that is, cellular
motility and co-localization. To understand cellular communication on a molecular
level, novel transgenic reporter mice have been generated. In parallel, MPLSM
systems have been developed, which make it possible for this technique to be more
widely used to address crucial immunological questions. Here, we review the
latest progress concerning transgenic mouse technology and multiphoton imaging
capacities and discuss further developments which will enable us to visualize all
around monitoring and quantification of cellular function at a molecular level
directly in vivo.
PMID- 21905211
TI - Development of high-throughput methods to quantify cysts of Toxoplasma gondii.
AB - Toxplasma is a protozoan parasite, which forms persistent cysts in tissues of
chronically infected animals and humans. Cysts can reactivate leading to severe
pathologies. They also contribute to the transmission of Toxoplasma infection in
humans by ingestion of undercooked meat. Classically, the quantification of cyst
burden in tissues uses microscopy methods, which are laborious and time
consuming. Here, we have developed automated protocols to quantify cysts, based
on flow cytometry or high-throughput microscopy. Brains of rodents infected with
cysts of Prugniaud strain were incubated with the FITC-Dolichos biflorus lectin
and analyzed by flow cytometry and high-throughput epifluorescence microscopy.
The comparison of cyst counts by manual epifluorescence microscopy to flow
cytometry or to high-throughput epifluorescence microscopy revealed a good
correlation (r = 0.934, r = 0.993, P < 0.001 respectively). High-throughput
epifluorescence microscopy was found to be more specific and sensitive than flow
cytometry and easier to use for large series of samples. This reliable and easy
protocol allow the specific detection of Toxoplasma cysts in brain, even at low
concentrations; it could be a new way to detect them in water and in contaminate
food.
PMID- 21905210
TI - Induction of DNA damage signaling by oxidative stress in relation to DNA
replication as detected using "click chemistry".
AB - Induction of DNA damage by oxidants such as H(2) O(2) activates the complex
network of DNA damage response (DDR) pathways present in cells to initiate DNA
repair, halt cell cycle progression, and prepare an apoptotic reaction. We have
previously reported that activation of Ataxia Telangiectasia Mutated protein
kinase (ATM) and induction of gammaH2AX are among the early events of the DDR
induced by exposure of cells to H(2) O(2) , and in human pulmonary carcinoma A549
cells, both events were expressed predominantly during S-phase. This study was
designed to further explore a correlation between these events and DNA
replication. Toward this end, we utilized 5-ethynyl-2'deoxyuridine (EdU) and the
"click chemistry" approach to label DNA during replication, followed by exposure
of A549 cells to H(2) O(2) . Multiparameter laser scanning cytometric analysis of
these cells made it possible to identify DNA replicating cells and directly
correlate H(2) O(2) -induced ATM activation and induction of gammaH2AX with DNA
replication on a cell by cell basis. After pulse-labeling with EdU and exposure
to H(2) O(2) , confocal microscopy was also used to examine the localization of
DNA replication sites ("replication factories") versus the H2AX phosphorylation
sites (gammaH2AX foci) in nuclear chromatin in an attempt to observe the absence
or presence of colocalization. The data indicate a close association between DNA
replication and H2AX phosphorylation in A549 cells, suggesting that these DNA
damage response events may be triggered by stalled replication forks and perhaps
also by induction of DNA double-strand breaks at the primary DNA lesions induced
by H(2) O(2) .
PMID- 21905213
TI - Contamination of the cell sorter fluidics system with the water-borne bacterium
Burkholderia cepacia.
PMID- 21905214
TI - In vitro evaluation of an injectable chitosan gel for sustained local delivery of
BMP-2 for osteoblastic differentiation.
AB - We investigated the effect of sustained release of bone morphogenetic protein-2
(BMP-2) from an injectable chitosan gel on osteoblastic differentiation in vitro.
We first characterized the release profile of BMP-2 from the gels, and then
examined the cellular responses of preosteoblast mouse stromal cells (W-20-17)
and human embryonic palatal mesenchymal (HEPM) cells to BMP-2. The release
profiles of different concentrations of BMP-2 exhibited sustained releases (41%
for 2 ng/mL and 48% for 20 ng/mL, respectively) from the chitosan gels over a
three-week period. Both cell types cultured in the chitosan gels were viable and
significantly proliferated for 3 days (p < 0.05). Chitosan gels loaded with BMP-2
enhanced ALP activity of W-20-17 by 3.6-fold, and increased calcium mineral
deposition of HEPM by 2.8-fold at 14 days of incubation, compared to control
groups initially containing the same amount of BMP-2. In addition, schitosan gels
loaded with BMP-2 exhibited significantly greater osteocalcin synthesis of W-20
17 at seven days, and of HEPM at both 7 and 14 days compared with the control
groups (p<0.05). This study suggests that the enhanced effects of BMP-2 released
from chitosan gels on cell differentiation and mineralization are species and
cell type dependent.
PMID- 21905215
TI - A simplified in vivo approach for evaluating the bioabsorbable behavior of
candidate stent materials.
AB - Metal stents are commonly used to revascularize occluded arteries. A
bioabsorbable metal stent that harmlessly erodes away over time may minimize the
normal chronic risks associated with permanent implants. However, there is no
simple, low-cost method of introducing candidate materials into the arterial
environment. Here, we developed a novel experimental model where a biomaterial
wire is implanted into a rat artery lumen (simulating bioabsorbable stent blood
contact) or artery wall (simulating bioabsorbable stent matrix contact). We use
this model to clarify the corrosion mechanism of iron (>=99.5 wt %), which is a
candidate bioabsorbable stent material due to its biocompatibility and mechanical
strength. We found that iron wire encapsulation within the arterial wall
extracellular matrix resulted in substantial biocorrosion by 22 days, with a
voluminous corrosion product retained within the vessel wall at 9 months. In
contrast, the blood-contacting luminal implant experienced minimal biocorrosion
at 9 months. The importance of arterial blood versus arterial wall contact for
regulating biocorrosion was confirmed with magnesium wires. We found that
magnesium was highly corroded when placed in the arterial wall but was not
corroded when exposed to blood in the arterial lumen for 3 weeks. The results
demonstrate the capability of the vascular implantation model to conduct rapid in
vivo assessments of vascular biomaterial corrosion behavior and to predict long
term biocorrosion behavior from material analyses. The results also highlight the
critical role of the arterial environment (blood vs. matrix contact) in directing
the corrosion behavior of biodegradable metals.
PMID- 21905216
TI - Optimizing conditions for the extraction of catechins from green tea using hot
water.
AB - Six different factors involved in the extraction of catechins from green tea
using water were examined for their impact on the yield of catechins and on the
efficiency of water use. The best temperature and time combination for catechin
extraction was at 80 degrees C for 30 min. The yield of catechins was also
optimal with a tea particle size of 1 mm, a brewing solution pH <6 and a tea-to
water ratio at 50:1 (mL/g). In terms of efficient use of water in a single
extraction, a water-to-tea ratio of 20:1 (mL/g) gave the best results; 2.5 times
less water was used per gram of green tea. At the water-to-tea ratio of 20:1
mL/g, the highest yield of catechins per gram of green tea was achieved by
extracting the same sample of green tea twice. However, for the most efficient
use of water, the best extraction was found to be once at a water-to-tea ratio of
12:1 (mL/g) and once at a water-to-tea ratio of 8:1 (mL/g). Therefore, all six of
the factors investigated had an impact on the yield of catechins extracted from
green tea using water and two had an impact on the efficiency of water use.
PMID- 21905218
TI - Development and validation of a chiral capillary electrophoresis method for assay
and enantiomeric purity control of pramipexole.
AB - A rapid method for the enantioseparation of pramipexole and its R-enantiomer has
been developed by capillary electrophoresis. The influence of chemical and
instrumental parameters was investigated including the type and concentration of
chiral selectors, buffer composition and pH, co-ions, applied voltage, capillary
length and temperature. Optimal separation conditions were obtained using a 50 mM
phosphate buffer (pH 2.8) containing 25 mM carboxymethyl-beta-cyclodextrin on a
fused-silica capillary. Online UV detection was performed at 262 nm. A voltage of
25 kV was applied, and the capillary temperature was kept at 25 degrees C.
Hydrodynamic injection was performed at 3.45 kPa for 5.0 s. The separation of
enantiomers was achieved in <6.5 min. The method was further validated in terms
of stability of solutions, selectivity, linearity (both pramipexole and R
enantiomer, R(2) >0.995), LOD and LOQ (0.91 and 2.94 MUg/mL, respectively),
repeatability (RSD<1.5%) and accuracy (pramipexole, 100.4%; R-enantiomer,
100.5%). The proposed method was then applied to two kinds of pramipexole
dihydrochloride monohydrate commercially available tablets, immediate release
tablets (1.50 and 0.125 mg) and sustained release tablets (0.52 mg), to quantify
the main component in the tablets. The amount of distomer could be quantified in
bulk sample materials.
PMID- 21905217
TI - Quantitative analysis and chromatographic fingerprinting of the semen zizyphi
spinosae by ultra-high-performance liquid chromatography coupled with diode-array
detector.
AB - A simple and sensitive method was developed and validated for fingerprint
analysis of semen zizyphi spinosae (SZS) and simultaneous determination of six
flavonoids in SZS by ultra-high-performance liquid chromatography coupled to
diode-array detector (DAD). The analysis was performed on an Agilent ZORBAX
Eclipse Plus C18 RRHD column. The column was maintained at 40 degrees C and the
eluents were monitored with DAD at 270 nm. A gradient elution of acetonitrile and
water containing 20 mM sodium dihydrogen phosphate was used. The solvent flow
rate was 0.4 mL/min. The method was validated. Standard calibration curves showed
good linear behaviors (r=1.000) in the range of 0.33-201.00 MUg/mL. Acceptable
intra-day precision (RSD<1.9%), inter-day precision (RSD<4.0%), repeatability
(RSD<4.1%) and recovery in the range of 97.4-104% were obtained. The validated
method was successfully applied to obtain the chromatographic fingerprints and
the contents of six flavonoids in 23 samples of SZS. The principal component
analysis (PCA) had been applied for the chromatographic fingerprint analysis and
quantitative analysis of six flavonoids to classify and discriminate the 23
samples of SZS. These results demonstrated that the method was very suitable in
the analysis and quality control of SZS.
PMID- 21905219
TI - Gel-free proteomic identification of the Bacillus subtilis insoluble spore coat
protein fraction.
AB - Species from the genus Bacillus have the ability to form endospores, dormant
cellular forms that are able to survive heat and acid preservation techniques
commonly used in the food industry. Resistance characteristics of spores towards
various environmental stresses are in part attributed to their coat proteins.
Previously, 70 proteins have been assigned to the spore coat of Bacillus subtilis
using SDS-PAGE, 2-DE gel approaches, protein localization studies and genome-wide
transcriptome studies. Here, we present a "gel-free" protocol that is capable of
comprehensive B. subtilis spore coat protein extraction and addresses the
insoluble coat fraction. Using LC-MS/MS we identified 55 proteins from the
insoluble B. subtilis spore coat protein fraction, of which 21 are putative novel
spore coat proteins not assigned to the spore coat until now. Identification of
spore coat proteins from a B. subtilis food-spoilage isolate corroborated a
generic and "applied" use of our protocol. To develop specific and sensitive
spore detection and/or purification systems from food stuff or patient material,
suitable protein targets can be derived from our proteomic approach. Finally, the
protocol can be extended to study cross-linking among the spore coat proteins as
well as for their quantification.
PMID- 21905220
TI - Increased expression of peroxiredoxin 1 and identification of a novel lipid
metabolizing enzyme in the early phase of liver ischemia reperfusion injury.
AB - Warm ischemia reperfusion (IR) injury of the liver is associated with changes in
the expression and/or post-translational modification of numerous proteins. Only
a few of these have been identified. We used 2-D DIGE to identify cytosolic
proteins altered in the early stage of IR in an established rat model of
segmental hepatic ischemia. Proteins in 18 abundant spots altered by IR were
identified by LC-MS/MS and Western blot. Many identified proteins were enzymes
involved in glucose and lipid metabolism. Isoamyl acetate-hydrolysing esterase 1
homolog, not previously characterized in liver, was also identified. A threefold
increase in peroxiredoxin 1 (Prx1) and its oxidized forms was observed as was an
increase in Prx1 mRNA. Peroxiredoxins and their overoxidation have previously
been associated with IR. In contrast to other studies, we did not detect typical
overoxidation of Prx1 on the peroxidatic cysteine (Cys(52)). Instead, we
identified novel overoxidation of the resolving cysteine (Cys(173)) residue by LC
MS/MS. Our results show that a rapid increase in Prx1 expression is associated
with the early phase of IR of the liver, likely contributing to mechanisms that
protect the liver against IR damage. Additionally, we have revealed a potential
role in liver for a novel lipid-metabolizing enzyme.
PMID- 21905221
TI - Coordination of carbon fixation and nitrogen metabolism in Salicornia europaea
under salinity: Comparative proteomic analysis on chloroplast proteins.
AB - Halophyte, like Salicornia europaea, could make full use of marginal saline land
for carbon fixation. How the photosynthesis of S. europaea is regulated under
high salinity implicates a significant aspect to exploit this pioneer plant in
future. Measurement of photosynthesis parameters demonstrated the reduction of
photosynthesis for the 0 and 800 mM NaCl treated plants are more likely due to
non-stomatal limitation, which might be caused by changes in the enzymes
associated with photosynthesis. Different salinity induced ultrastructure changes
other than photosynthetic apparatus damage, suggesting the photosynthesis of S.
europaea might be affected via biochemical regulation. Comparative proteomics
analysis of chloroplast proteins by 2-D gel electrophoresis reproducibly detected
90 differentially expressed proteins, among which 66 proteins were identified by
nanoLC MS/MS. Further study of thylakoid membrane proteins by Blue-Native PAGE
proved the increase in abundance of light reaction proteins under salinity.
Analysis of gene expression patterns of 12 selected proteins provides evidence
for the correlations between transcription and proteomics data. Based on our
results, a putative model of photosynthesis regulatory network figured out proper
coordination of carbon fixation and nitrogen metabolism in chloroplast of S.
europaea under salinity, which provided subcellular level insight into salt
tolerance mechanism in S. europaea.
PMID- 21905222
TI - Preparation of magnetic core-mesoporous shell microspheres with C8-modified
interior pore-walls and their application in selective enrichment and analysis of
mouse brain peptidome.
AB - In this paper, magnetic mesoporous silica microspheres with C8-modified interior
pore-walls were prepared through a facile one-pot sol-gel coating strategy, and
were successfully applied for selective enrichment of endogenous peptides in
mouse brain for peptidome analysis. Through the one-pot sol-gel approach with
surfactant (CTAB) as a template, tetraethyl orthosilicate (TEOS) and n
ctyltriethoxysilane (C8TEOS) as the precursors, C8-modified magnetic mesoporous
microspheres (C8-Fe(3)O(4)@mSiO(2)) consisting magnetic core and mesoporous
silica shell with C8-groups exposed in the mesopore channels were synthesized.
The obtained microspheres possess highly open mesopores of 3.4 nm, high surface
area (162.5 m(2)/g), large pore volume (0.17 cm(3)/g), excellent magnetic
responsivity (56.3 emu/g) and good dispersibility in aqueous solution. Based on
the abundant surface silanol groups, functional C8 groups and the strong magnetic
responsivity of the core-shell C8-Fe(3) O(4) @mSiO(2) microspheres, efficient and
fast enrichment of peptides was achieved. Additionally, the C8-Fe(3)O(4)@mSiO(2)
microspheres exhibit excellent performance in selective enrichment of endogenous
peptides from complex samples that are consist of peptides, large proteins and
other compounds, including human serum and mouse brain followed by automated nano
LC-ESI-MS/MS analysis. These results indicate C8-Fe(3)O(4)@mSiO(2) microspheres
would be a potential candidate for endogenous peptides enrichment and biomarkers
discovery in peptidome analysis.
PMID- 21905223
TI - Pulmonary pressure reduction attenuates expression of proteins identified by lung
proteomic profiling in pulmonary hypertensive rats.
AB - The present study was designed to analyze protein expression in lungs from
pulmonary hypertensive rats in order to identify novel signaling pathways. This
was achieved by proteomic studies in which proteins from lung homogenates from
hypoxic were compared to normoxic rats. The expression of these proteins was then
investigated in lungs from hypoxic rats treated with either an activator of
soluble guanylyl cyclase, BAY 412272, or an inhibitor of phosphodiesterase type
5, sildenafil. The proteomic study revealed an up-regulation of guanine
nucleotide-binding protein beta, GST-omega-1, cathepsin D, chloride intracellular
channel subunit 5, annexin A4, F-actin capping protein CapZ (CapZalpha), and the
translation factor elongation factor 1 delta in lungs from chronic hypoxic rats
with pulmonary hypertension. Immunohistochemistry revealed that CapZalpha,
cathepsin D, and annexin A4 were expressed in the pulmonary vascular wall and
immunoblotting showed these proteins correlated to alterations in
muscularization. Both drugs inhibited hypoxia-induced increase in right
ventricular systolic pressure and pulmonary arterial muscularization, and
prevented most of the protein regulations observed after hypoxia. These findings
suggest that pulmonary pressure is an important factor for initiating signaling
pathways leading to protein expression and muscularization in the pulmonary
vasculature.
PMID- 21905224
TI - Emulsion electrospinning of a collagen-like protein/PLGA fibrous scaffold:
empirical modeling and preliminary release assessment of encapsulated protein.
AB - The effectiveness of a multifunctional scaffold produced by the electrospinning
of emulsions composed of organic PLGA and aqueous collagen-like protein (denoted
as Fol-8Col) solutions is demonstrated. The resultant Fol-8Col/PLGA fibrous
scaffolds with homogeneous morphology have mean fiber diameters from 600 to 2,000
nm. A uniform distribution of encapsulated Fol-8Col in the fibers is observed by
fluorescence microscopy. TEM is used to clarify the representative core/sheath
structure of emulsion electrospun Fol-8Col/PLGA fibers. Preliminary release
assessment of encapsulated Fol-8Col shows results of sustained release for more
than one month from the Fol-8Col/PLGA fibrous mats. The cytocompatibility of
fibroblast cell line L929 with the fibrous composite seems promosing.
PMID- 21905225
TI - TRAPping the cellular mechanisms of lupus.
PMID- 21905226
TI - Enhancing the 3-hydroxyvalerate component in bioplastic PHBV production by
Cupriavidus necator.
AB - In the current context of global warming, the substitution of conventional
plastics with bioplastics is a challenge. To take up this challenge, we must meet
different technical and economic constraints. In the case of poly(3
hydroxybutyrate-co-3-hydroxyvalerate) (PHBV), the technical properties can be
modulated by varying the 3-hydroxyvalerate content. 3-Hydroxyvalerate (3-HV)
enhancement is an issue; therefore, simultaneous evaluation of several 3
hydroxyvalerate-enhancing substrates through fractional factorial design of
experiments is described. Eight substrates citric, valeric, propionic, and
levulinic acids; propanol; pentanol; and sodium propionate were studied for 3-HV
enhancement, and sodium glutamate was studied for biomass and
polyhydroxyalkanoate (PHA) enhancement. The most efficient 3-hydroxyvalerate
enhancing factors were levulinic acid, sodium propionate, and pentanol; however,
pentanol, at a concentration of 1 g/L, had an extremely negative influence on
biomass production and the PHA content of cells. The effect of the inoculum
nutrient composition on the final 3-HVcontent was also evaluated. These results
showed that the most efficient combination for the production of high 3-HVcontent
in PHBV was primary inoculum growth on mineral medium followed by fermentation
for 48 h with levulinic acid and sodium propionate (at 1 g/L) as the only carbon
sources. This allowed us to produce PHBV with a 3-HVcontent of 80 mol % and
overall volumetric and specific productivities of 2 mg/L/h and 3.9 mg/g(CDW) /h,
respectively, with the addition of only 2 g/L of inducing substances.
PMID- 21905227
TI - Simultaneous use of urea and potassium nitrate for Arthrospira (Spirulina)
platensis cultivation.
AB - Urea has been considered as a promising alternative nitrogen source for the
cultivation of Arthrospira platensis if it is possible to avoid ammonia toxicity;
however, this procedure can lead to periods of nitrogen shortage. This study
shows that the addition of potassium nitrate, which acts as a nitrogen reservoir,
to cultivations carried out with urea in a fed-batch process can increase the
maximum cell concentration (X(m) ) and also cell productivity (P(X) ). Using
response surface methodology, the model indicates that the estimated optimum X(m)
can be achieved with 17.3 mM potassium nitrate and 8.9 mM urea. Under this
condition an X(m) of 6077 +/- 199 mg/L and a P(X) of 341.5 +/- 19.1 mg L(-1)
day(-1) were obtained.
PMID- 21905228
TI - Synthesis and antimalarial activities of a diverse set of triazole-containing
furamidine analogues.
AB - Four different series of triazole diamidines have been prepared by the Pinner
method from the corresponding triazole dinitriles. Copper-catalyzed "click
chemistry" was used for the synthesis of 1,4- and 4,5-substituted triazoles, aryl
magnesium acetylide reagents for the 1,5-substituted triazoles, with a thermal
dipolar addition reaction employed for the 2,4-substituted triazoles. In vitro
antimalarial activity against two different PfCRT-modified parasite lines
(Science 2002, 298, 210-213) of Plasmodium falciparum and inhibition of hemozoin
formation were determined for each compound. Several diamidines with potent
nanomolar antimalarial activities were identified, and selected molecules were
resynthesized as their diamidoxime triazole prodrugs. One of these prodrugs,
OB216, proved to be highly potent in vivo with an ED50 value of 5 mg kg(-1) (po)
and an observed 100 % cure rate (CD100) of just 10 mg kg(-1) by oral (po)
administration in mice infected with P. vinckei.
PMID- 21905229
TI - Inhibition of aminoglycoside-deactivating enzymes APH(3')-IIIa and AAC(6')-Ii by
amphiphilic paromomycin O2''-ether analogues.
PMID- 21905230
TI - Preparation and function of poly(acrylic acid)s modified by supramolecular
complex composed of porphinatoiron and a cyclodextrin dimer that bind diatomic
molecules (O2 and CO) in aqueous solution.
AB - Poly(acrylic acid) (PAA) is modified by 5-(4-beta-alanylaminophenyl)-10,15,20
tris(4-sulfonatophenyl) porphinatoiron(III) to yield iron porphyrin-bearing PAAs
(FeP(n)s) through a condensation reaction. FeP(n)s were further functionalized by
Py3CD, which is a per-O-methylated beta-cyclodextrin (CD) dimer with a pyridine
linker and includes the porphyrin pendants to form ferric hemoCD-P(n)s. Ferrous
hemoCD-P(3), having three porphyrin chromophores in a polymer chain, is shown to
bind molecular oxygen (P(1/2)=7.9+/-1.4 Torr) in aqueous solution at pH 7.0 and
25 degrees C, affording oxy-hemoCD-P(3). Oxy-hemoCD-P(3) is biphasically
autoxidized to ferric hemoCD-P(3), with 27% of the dioxygen adducts being rapidly
oxidized. The rate of autoxidation of oxy-hemoCD-P(15), having 15 porphyrin
chromophores in a polymer chain, was much faster than that of oxy-hemoCD-P(3),
thus suggesting self-catalyzed autoxidation of oxy-hemoCD-P(n)s. Oxy-hemoCD-P(n)s
are markedly stabilized by catalase, thereby indicating that hydrogen peroxide
generated from oxy-hemoCD-P(n) accelerates the autoxidation. Most of the hemoCD
P(3) molecules injected into the femoral vein of a rat remained in the body,
though about 16% of the hemoCD-P(3) molecules were excreted in the urine as a
carbon monoxide adduct.
PMID- 21905232
TI - Bis(5,7-dimethyl-8-hydroxyquinolinato)platinum(II) complex for efficient organic
heterojunction solar cells.
AB - Organic photovoltaic (OPV) cells using metal(II) (Pt, Pd, Cu, and Ni) chelates of
8-hydroxyquinoline (Hq) or 5,7-dimethyl-8-hydroxy-quinoline (HMe(2)q) as an
electron donor were fabricated by vacuum deposition. The bis(5,7-dimethyl-8
hydroxyquinolinato)platinum(II) [Pt(Me(2) q)(2)]-based OPVs showed the best
performance with an open voltage (V(OC)) of 0.42 V, a short circuit current
density (J(SC) ) of 14.8 mA cm(-2) , and a maximum power conversion efficiency
(eta(P) ) of 2.4%. The X-ray single-crystal structures together with the grazing
incidence X-ray diffraction (GIXRD) data of thin film samples reveal that the
peripheral methyl substituent(s) and platinum(II) ion are essential for the high
degree of film crystallinity resulting in improved performance of the as
fabricated field-effect transistors (FETs) and OPV cells.
PMID- 21905231
TI - Sulfated ligands for the copper(I)-catalyzed azide-alkyne cycloaddition.
AB - The copper(I)-catalyzed azide-alkyne cycloaddition (CuAAC), the prototypical
reaction of click chemistry, is accelerated by tris(triazolylmethyl)amine-based
ligands. Herein, we compare two new ligands in this family--3-[4-({bis[(1-tert
butyl-1H-1,2,3-triazol-4-yl)methyl]amino}methyl)-1H-1,2,3-triazol-1-yl]propanol
(BTTP) and the corresponding sulfated ligand 3-[4-({bis[(1-tert-butyl-1H-1,2,3
triazol-4-yl)methyl]amino}methyl)-1H-1,2,3-triazol-1-yl]propyl hydrogen sulfate
(BTTPS)--for three bioconjugation applications: 1) labeling of alkyne-tagged
glycoproteins in crude cell lysates, 2) labeling of alkyne- or azide-tagged
glycoproteins on the surface of live mammalian cells, and 3) labeling of azides
in surface proteins of live Escherichia coli. Although BTTPS exhibits faster
kinetics than BTTP in accelerating the CuAAC reaction in in vitro kinetic
measurements, its labeling efficiency is slightly lower than BTTP in modifying
biomolecules with a significant amount of negative charges due to electrostatic
repulsion. Nevertheless, the negative charge conferred by the sulfate at
physiological conditions significantly reduced the cellular internalization of
the coordinated copper(I), thus making BTTPS-Cu(I) a better choice for live-cell
labeling.
PMID- 21905234
TI - Chemical Society of Vietnam.
PMID- 21905233
TI - Thermoresponsive supramolecular dendronized polymers.
AB - Combining the concepts of supramolecular polymers and dendronized polymers
provides the opportunity to create bulky polymers with easy structural
modification and tunable properties. In the present work, a novel class of side
chain supramolecular dendronized polymethacrylates is prepared through the host
guest interaction. The host is a linear polymethacrylate (as the backbone)
attached in each repeat unit with a beta-cyclodextrin (beta-CD) moiety, and the
guest is constituted with three-fold branched oligoethylene glycol (OEG)-based
first-(G1) and second-generation (G2) dendrons with an adamantyl group core. The
host and guest interaction in aqueous solution leads to the formation of the
supramolecular polymers, which is supported with (1)H NMR spectroscopy and
dynamic light scattering measurements. The supramolecular formation was also
examined at different host/guest ratios. The water solubility of hosts and guests
increases upon supramolecular formation. The supramolecular polymers show good
solubility in water at room temperature, but exhibit thermoresponsive behavior at
elevated temperatures. Their thermoresponsiveness is thus investigated with
UV/Vis and (1)H NMR spectroscopy, and compared with their counterparts formed
from individual beta-CD and the OEG dendritic guest. The effect of polymer
concentration and molar ratio of host/guest was examined. It is found that the
polar interior of the supramolecules contribute significantly to the thermally
induced phase transitions for the G1 polymer, but this effect is negligible for
the G2 polymer. Based on the temperature-varied proton NMR spectra, it is found
that the host-guest complex starts to decompose during the aggregation process
upon heating to its dehydration temperature, and this decomposition is enhanced
with an increase of solution temperature.
PMID- 21905236
TI - Comparative evaluation of the diagnostic performance of autofluorescence and
diffuse reflectance in oral cancer detection: a clinical study.
AB - Autofluorescence (AF) and diffuse reflectance (DR) spectroscopic techniques have
shown good diagnostic accuracies for noninvasive detection of oral cavity cancer.
In the present study, AF and DR spectra recorded in vivo from the same set of
sites in 65 patients were analyzed using Principal component analysis (PCA) and
linear discriminant analysis (LDA). The effectiveness of these two techniques was
assessed by comparison with gold standard and their discrimination efficiency was
determined from the area under the receiver operator characteristic (AUC-ROC)
curve. Analysis using a DR technique shows a higher AUC-ROC of 0.991 as against
0.987 for AF spectral data.
PMID- 21905237
TI - Biotemplated materials for sustainable energy and environment: current status and
challenges.
AB - Materials science will play a key role in the further development of emerging
solutions for the increasing problems of energy and environment. Materials found
in nature have many inspiring structures, such as hierarchical organizations,
periodic architectures, or nanostructures, that endow them with amazing
functions, such as energy harvesting and conversion, antireflection, structural
coloration, superhydrophobicity, and biological self-assembly. Biotemplating is
an effective strategy to obtain morphology-controllable materials with structural
specificity, complexity, and related unique functions. Herein, we highlight the
synthesis and application of biotemplated materials for six key areas of energy
and environment technologies, namely, photocatalytic hydrogen evolution, CO(2)
reduction, solar cells, lithium-ion batteries, photocatalytic degradation, and
gas/vapor sensing. Although the applications differ from each other, a common
fundamental challenge is to realize optimum structures for improved performances.
We highlight the role of four typical structures derived from biological systems
exploited to optimize properties: hierarchical (porous) structures, periodic
(porous) structures, hollow structures, and nanostructures. We also provide
examples of using biogenic elements (e.g., C, Si, N, I, P, S) for the creation of
active materials. Finally, we disscuss the challenges of achieving the desired
performance for large-scale commercial applications and provide some useful
prototypes from nature for the biomimetic design of new materials or systems. The
emphasis is mainly focused on the structural effects and compositional
utilization of biotemplated materials.
PMID- 21905238
TI - Carbon nanotube-based metal-ion catchers as supramolecular depolluting materials.
AB - Herein, we report the first example of supramolecular carbon nanotube (CNT)-based
ion catchers as simple and effective tools for removing divalent metal ions from
organic solvents. In particular, covalently functionalized multi-walled carbon
nanotubes (MWCNTs) appended with pyridyl groups self-aggregate in solution into
bundles in the presence of divalent metal ions (e.g., Cd2+, Cu2+, Ni2+, Pb2+,
Zn2+). Such self-aggregation behavior leads to insoluble materials that, upon
treatment with weak acids, can be regenerated and reused for further
complexation. All materials and complexation/decomplexation steps were thoroughly
characterized by using X-ray photoelectron spectroscopy (XPS), thermogravimetric
analysis (TGA), and different microscopy-based techniques, namely, transmission
electron, scanning electron, and atomic force microscopy (TEM, SEM, and AFM). The
supramolecular system engineered in this work is the first example of an easy and
fully sustainable material with great potential applications for depolluting
liquid waste from metal contamination.
PMID- 21905240
TI - Prawn lipocalin: characterization of a color shift induced by gene knockdown and
ligand binding assay.
AB - The lipocalin family of proteins functions in the transport of steroids,
carotenoids, retinoids, and other small hydrophobic molecules. Recently, a
lipocalin (MrLC) was isolated from the prawn Macrobrachium rosenbergii and its
expression varied with the molting cycle. In this study, knockdown of the MrLC
gene by RNA interference (RNAi) was performed and resulted in a shift in body
color from blue to orangish red over the entire carapace. By immune-gold electron
microscopy, MrLC was found to co-localize with the lipid droplets in subepidermal
adiose tissue that were found to be decreased dramatically in MrLC knockdown
prawns, in which a reduction in relative fat content was also quantified.
Furthermore, MrLC was found to specifically bind astaxanthin and molt hormone (20
hydroxyecdysone) in both in vitro ligand binding assay and in vivo native ligand
detection. These results suggested that MrLC plays roles in the regulation of
coloration through its association with astaxanthin and may also be involved in
the regulation of molting in crustacean.
PMID- 21905241
TI - Deconstructing sociability, an autism-relevant phenotype, in mouse models.
AB - Reduced sociability is a core feature of autism spectrum disorders (ASD) and is
highly disabling, poorly understood, and treatment refractory. To elucidate the
biological basis of reduced sociability, multiple laboratories are developing ASD
relevant mouse models in which sociability is commonly assessed using the Social
Choice Test. However, various measurements included in that test sometimes
support different conclusions. Specifically, measurements of time the "test"
mouse spends near a confined "stimulus" mouse (chamber scores) sometimes support
different conclusions from measurements of time the test mouse sniffs the
cylinder containing the stimulus mouse (cylinder scores). This raises the
question of which type of measurements are best for assessing sociability. We
assessed the test-retest reliability and ecological validity of chamber and
cylinder scores. Compared with chamber scores, cylinder scores showed higher
correlations between test and retest measurements, and cylinder scores showed
higher correlations with time spent in social interaction in a more naturalistic
phase of the test. This suggests that cylinder scores are more reliable and valid
measures of sociability in mouse models. Cylinder scores are reported less
commonly than chamber scores, perhaps because little work has been done to
establish automated software systems for measuring the former. In this study, we
found that a particular automated software system performed at least as well as
human raters at measuring cylinder scores. Our data indicate that cylinder scores
are more reliable and valid than chamber scores, and that the former can be
measured very accurately using an automated video analysis system in ASD-relevant
models.
PMID- 21905242
TI - Neonatal exposure of rats to antidepressants affects behavioral reactions to
novelty and social interactions in a manner analogous to autistic spectrum
disorders.
AB - We have demonstrated that neonatal exposure to selective serotonin reuptake
inhibitors has lasting effects on behavior and serotonergic neurons in Long Evans
rats. Hyperserotoninemia and altered sensory processing are reported in autistic
spectrum disorders (ASD). We hypothesized that early life exposure to SSRIs
alters sensory processing, disrupts responses to novelty, and impairs social
interactions in a manner similar to that observed in ASD. Male and female Long
Evans rat pups were administered citalopram, buproprion, fluoxetine, or saline
from postnatal day (P) 8-21. Rats were tested for response to a novel tone before
weaning (P25). Later, rats were tested 2* for response to a novel object (P39),
and to a novel conspecific (P78, P101). In addition, rats were assessed for
juvenile play behaviors (P32-P34) and later, we assessed sexual response to an
estrus female in male rats (P153-184). Antidepressant exposure increased freezing
after tone, diminished novel object exploration, and reduced conspecific
interaction up to 3* compared to saline exposed rats. Juvenile play was
profoundly reduced in antidepressant-exposed males when compared to saline
exposed groups. Exposure to the SSRIs, but not bupropion disrupted male sexual
behaviors. Moreover, specific male responses to female proceptive behaviors were
disrupted in SSRI, but not bupropion exposed rats. We conclude that neonatal
exposure to antidepressants in rats results in sensory and social abnormalities
that parallel many of those reported in ASD.
PMID- 21905243
TI - Better fear conditioning is associated with reduced symptom severity in autism
spectrum disorders.
AB - Evidence from behavioral and neuroimaging studies suggest that atypical amygdala
function plays a critical role in the development of autism spectrum disorders
(ASD). The handful of psychophysiological studies examining amygdala function in
ASD using classical fear conditioning paradigms have yielded discordant results.
We recorded skin conductance response (SCR) during a simple discrimination
conditioning task in 30 children and adolescents (ages 8-18) diagnosed with high
functioning ASD and 30 age- and IQ-matched, typically developing controls. SCR
response in the ASD group was uniquely and positively associated with social
anxiety; and negatively correlated with autism symptom severity, in particular
with social functioning. Fear conditioning studies have tremendous potential to
aid understanding regarding the amygdale's role in the varied symptom profile of
ASD. Our data demonstrate that such studies require careful attention to task
specific factors, including task complexity; and also to contributions of
dimensional, within-group factors that contribute to ASD heterogeneity.
PMID- 21905244
TI - Verbal problem-solving in autism spectrum disorders: a problem of plan
construction?
AB - Children with autism spectrum disorders (ASD) adopt less efficient strategies
than typically developing controls (TD) on verbal problem-solving tests such as
the Twenty Questions Task. This study examined the hypotheses that this can be
explained by differences in (i) planning processes or (ii) selective attention.
Twenty-two children with ASD and 21 TD controls matched for age (M(age) = 13:7)
and cognitive ability (M(FSIQ) = 96.42) were tested on an adapted version of
Twenty Questions and two planning tasks. ASD participants could recognize
effective questions as well as TD participants on a forced-choice question
discrimination task, but were observed to construct plans that were significantly
less efficient. ASD performance was also specifically reduced when items could
not be physically removed from the testing array, although this effect could be
ameliorated by keeping a written record of participant questions during search.
These findings indicate that ASD participants are sensitive to the within-task
executive demands of Twenty Questions, but that their inefficiency in strategy
relates to planning processes and question selection pretask. The implications
for understanding ASD problem-solving skills and their impact on everyday
functioning are discussed.
PMID- 21905245
TI - Sociodemographic risk factors associated with autism spectrum disorders and
intellectual disability.
AB - This study examined the hypotheses that (1) sociodemographic risk factors in
young children with autism spectrum disorders (ASD) and/or intellectual
disability (ID) significantly vary by disability type, and (2) measures of income
(mean adjusted gross income, mean federal taxes paid, and mean tax exemptions)
significantly increase between 1994 and 2002, and are lower in families with a
child with ASD and/or ID compared with the general population. A multiple source
surveillance system utilizing a retrospective record review was used to identify
ASD and ID cases from a population of 26,108 eight-year-old children born in 1994
and living in Utah in 2002. ASD without ID (ASD-only, n = 99) cases were
significantly more likely to be male (P<0.01) and have mothers of White non
Hispanic ethnicity (P = 0.02). ASD with ID (ASD/ID, n = 33) cases were
significantly more likely to be male (P<0.01) and have mothers older than 34
years (P = 0.03). ID without ASD (ID-only, n = 113) cases were significantly more
likely to have fathers older than 34 years (P<0.01) and were significantly less
likely to have mothers with >13 years education (P<0.01). Measures of income for
cases at birth and at 8 years of age were not significantly lower than the
general population and mean adjusted income of cases significantly increased from
birth to 8 years of age. Investigations focused on defining early
sociodemographic risk factors by different endophenotypes of ASD may assist in
identifying risk factors for this complex group of neurodevelopmental disorders.
Aggregate tax information may be a unique resource to utilize for population
based analysis.
PMID- 21905246
TI - Evidence for broader autism phenotype characteristics in parents from multiple
incidence autism families.
AB - The broader autism phenotype (BAP) was assessed in parents who have two or more
children with autism spectrum disorder (ASD) (multiplex (MPX) autism), parents
who have no more than one child with ASD (simplex autism), parents who have a
child with developmental delay without ASD, and parents who have typically
developing children. Clinicians, naive to parent group membership status, rated
BAP characteristics from videotaped administration of the Broader Autism
Phenotype Symptom Scale (BPASS). Differences among groups in BPASS scores in the
four assessed domains (social motivation, conversational skills, expressiveness,
and restricted interests) were examined using multivariate ANOVA and post hoc
comparisons. Further, ratings of videotapes by observers naive to family status
were compared with live, non-naive ratings by observers who were aware of family
status (non-naive). Findings demonstrate that the BPASS is an instrument
resistant to rater bias. Parents from MPX autism families showed significantly
more autism phenotype characteristics than the parents in the other groups.
Moreover, the parents from simplex autism families did not differ from the
parents of children with developmental delay or typical development. Finally, no
differences between live, non-naive ratings and videotaped, naive ratings were
observed. These findings suggest that characteristics of the BAP, specifically in
the social and communication domains, are present in MPX autism parents to a
greater degree than simplex autism and control parents. Further, the results
provide support for the notion that genetic transmission mechanisms may differ
between families with more than one child with autism and families with only one
child with autism.
PMID- 21905247
TI - QSRR using evolved artificial neural network for 52 common pharmaceuticals and
drugs of abuse in hair from UPLC-TOF-MS.
AB - A quantitative structure-retention relationship (QSRR) study based on an
artificial neural network (ANN) was carried out for the prediction of the ultra
performance liquid chromatography-Time-of-Flight mass spectrometry (UPLC-TOF-MS)
retention time (RT) of a set of 52 pharmaceuticals and drugs of abuse in hair.
The genetic algorithm was used as a variable selection tool. A partial least
squares (PLS) method was used to select the best descriptors which were used as
input neurons in neural network model. For choosing the best predictive model
from among comparable models, square correlation coefficient R(2) for the whole
set calculated based on leave-group-out predicted values of the training set and
model-derived predicted values for the test set compounds is suggested to be a
good criterion. Finally, to improve the results, structure-retention
relationships were followed by a non-linear approach using artificial neural
networks and consequently better results were obtained. This also demonstrates
the advantages of ANN.
PMID- 21905248
TI - Changes in hand bone mineral density and the association with the level of
disease activity in patients with rheumatoid arthritis: bone mineral density
measurements in a multicenter randomized clinical trial.
AB - OBJECTIVE: To determine if metacarpal bone mineral density (mBMD) gain occurs in
patients with rheumatoid arthritis (RA). If mBMD loss is driven by inflammation,
we expect to find mBMD gain in patients who are in remission. METHODS: mBMD was
measured by digital x-ray radiogrammetry in consecutive radiographs of 145
patients with RA with either continuous high disease activity (HDA; Disease
Activity Score [DAS] >2.4), low disease activity (LDA; 1.6 >= DAS <= 2.4), or
continuous clinical remission (CR; DAS <1.6) during a 1-year observation period.
The association of mBMD changes with disease activity was investigated with
multinomial regression analysis. Next, clinical variables associated with mBMD
gain were identified. RESULTS: Mean change in mBMD in CR patients was -0.03%,
compared to -3.13% and -2.03% in HDA and LDA patients, respectively (overall, P <
0.001). Of the patients in CR, 32% had mBMD loss (less than or equal to -4.6
mg/cm2/year), compared to 62% and 66% of the patients with HDA or LDA,
respectively, whereas 26% of the patients in CR had mBMD gain (>=4.6
mg/cm2/year), compared to 2% of the patients with HDA and 5% of the patients with
LDA. Patients in CR had a higher chance of having mBMD gain, compared with LDA
and HDA (relative risk [RR] 14.9, 95% confidence interval [95% CI] 3.0-18.7 and
RR 4.7, 95% CI 1.2-6.3, respectively). CR, hormone replacement therapy, and lower
age were significant independent predictors of mBMD gain. CONCLUSION: In RA, mBMD
gain occurs primarily in patients in continuous (>=1 year) CR and rarely in
patients with continuous HDA or LDA. This suggests that mBMD loss is driven by
inflammation.
PMID- 21905249
TI - Changes in vascular function and structure in juvenile idiopathic arthritis.
AB - OBJECTIVE: Chronic inflammatory diseases in adults have been associated with
increased cardiovascular risk and impaired vascular function. We aimed to assess
the presence of early vascular dysfunction in patients with juvenile idiopathic
arthritis (JIA) and investigate the role of inherent inflammatory process of JIA
in vascular health. METHODS: Thirty patients with JIA (age range 7-18 years) were
compared to 33 age- and sex-matched controls. Endothelial function (brachial
artery flow-mediated dilation [FMD]), carotid intima-media thickness (IMT), and
arterial stiffness were examined. Endothelial inflammation was assessed by
intercellular adhesion molecule 1 (ICAM-1) and P-selectin measurements. RESULTS:
Patients with JIA showed decreased FMD compared to controls (P = 0.001),
independent of age (P = 0.9 among age subgroups). Baseline differences in
erythrocyte sedimentation rate, ICAM-1, and glucose between the 2 groups
accounted for the difference in FMD. The presence of systemic JIA was associated
with greater IMT compared to patients with oligoarticular disease, polyarticular
disease, or controls (P = 0.014, P = 0.069, and P = 0.046, respectively). The
difference in IMT between systemic versus oligoarticular/polyarticular JIA was
attributed to the following risk factors: age, body mass index, blood pressure,
disease activity, and corticosteroids use. There were no differences in arterial
stiffness indices between JIA patients and controls or between patients with
systemic versus nonsystemic disease. CONCLUSION: Endothelial function is impaired
in patients with JIA at a very young age, while IMT is increased only in the
presence of systemic JIA. Vascular dysfunction may be partly attributed to the
effects of disease-related characteristics (inflammation, disease activity, and
medications).
PMID- 21905250
TI - Identifying common trajectories of joint space narrowing over two years in knee
osteoarthritis.
AB - OBJECTIVE: Little is known about the natural history of knee osteoarthritis (OA).
We sought to identify common patterns of joint space narrowing (JSN) in well
characterized knee OA patients in the placebo arm of a 2-year international
study. METHODS: We performed secondary data analyses of 622 adults ages 39-80
years in North America (n = 310) and Europe (n = 312) with symptomatic knee OA.
Fluoroscopically positioned semiflexed anteroposterior radiographs were obtained
at 0, 12, and 24 months. Group-based trajectory modeling was used to identify
distinctive groups of individuals with similar trajectories of JSN, taking into
account sex, age, and body mass index. RESULTS: Seven groups were identified.
Four exhibited joint space width (JSW) stability over 2 years representing the
most common trajectory (71%), which was unrelated to initial JSW. Atypical
courses included slow, rapid, and moderate progressors; most had significant JSN
at study entry. Slow progressors (20%) had a mean JSN of 0.2 mm over 2 years.
Only 2% of the sample demonstrated rapid JSN (2.1 mm), while 7% had JSN of 0.7
mm. Rapid progressors tended to be men, while slow and moderate progressors were
older and heavier. CONCLUSION: Most (70%) people with OA demonstrated no
significant JSN over 2 years; 20% showed slow progression, 7% had moderate, and
2% had rapid JSN. Progressors tended to have less JSW at study entry and were
older and heavier; rapid progressors were more likely to be men. Understanding
common patterns of the course of knee OA may offer new opportunities to target
those at greatest risk of disability.
PMID- 21905251
TI - Associations of knee extensor strength and standing balance with physical
function in knee osteoarthritis.
AB - OBJECTIVE: Knee extensor strength is an important correlate of physical function
in patients with knee osteoarthritis; however, it remains unclear whether
standing balance is also a correlate. The purpose of this study was to evaluate
the cross-sectional associations of knee extensor strength, standing balance, and
their interaction with physical function. METHODS: One hundred four older adults
with end-stage knee osteoarthritis awaiting a total knee replacement (mean +/- SD
age 67 +/- 8 years) participated. Isometric knee extensor strength was measured
using an isokinetic dynamometer. Standing balance performance was measured by the
center of pressure displacement during quiet standing on a balance board.
Physical function was measured by the self-report Short Form 36 (SF-36)
questionnaire and by the 10-meter fast-pace gait speed test. RESULTS: After
adjustment for demographic and knee pain variables, we detected significant knee
strength by standing balance interaction terms for both SF-36 physical function
and fast-pace gait speed. Interrogation of the interaction revealed that standing
balance in the anteroposterior plane was positively related to physical function
among patients with lower knee extensor strength. Conversely, among patients with
higher knee extensor strength, the standing balance-physical function
associations were, or tended to be, negative. CONCLUSION: These findings suggest
that although standing balance was related to physical function in patients with
knee osteoarthritis, this relationship was complex and dependent on knee extensor
strength level. These results are of importance in developing intervention
strategies and refining theoretical models, but they call for further study.
PMID- 21905252
TI - Relationship between beliefs, motivation, and worries about physical activity and
physical activity participation in persons with rheumatoid arthritis.
AB - OBJECTIVE: To determine the relationship between beliefs, motivation, and worries
about physical activity and physical activity participation in persons with
rheumatoid arthritis (RA). METHODS: A cross-sectional study used baseline data
from 185 adults with RA enrolled in a randomized clinical trial assessing the
effectiveness of an intervention to promote physical activity. Data included
patients' self-reported beliefs that physical activity can be beneficial for
their disease, motivation for physical activity participation, worries about
physical activity participation, and average daily accelerometer counts of
activity over a week's time. Body mass index (BMI), sex, age, race, and disease
activity were measured as potential statistical moderators of physical activity.
RESULTS: Physical activity participation was greater for those with higher scores
on scales measuring beliefs that physical activity is beneficial for their
disease (P for trend = 0.032) and motivation for physical activity participation
(P for trend = 0.007) when adjusted for age, sex, BMI, race, and disease
activity. There was a positive but nonsignificant trend in physical activity
participation in relation to worries. CONCLUSION: Stronger beliefs that physical
activity can be helpful for managing disease and increased motivation to engage
in physical activity are related to higher levels of physical activity
participation. These data provide a preliminary empirical rationale for why
interventions targeting these concepts should lead to improved physical activity
participation in adults with RA.
PMID- 21905253
TI - Development and validation of a short form of the valued life activities
disability questionnaire for rheumatoid arthritis.
AB - OBJECTIVE: To develop and validate a shortened version of the Valued Life
Activities disability and accommodations scale (VLA) for individuals with
rheumatoid arthritis (RA). METHODS: To shorten the existing VLA measure, item
response theory analyses were conducted using data from 449 patients with RA.
Next, the resulting 14-item shortened version of the VLA scale (S-VLA) was
evaluated by structured interviews among 20 RA patients. Lastly, the S-VLA was
administered to 150 RA patients along with other measures, including the Health
Assessment Questionnaire (HAQ) and Short Form 36 (SF-36). A random sample of 50
patients completed the S-VLA 2 weeks later to assess reliability. Item statistics
were calculated to evaluate correlations between individual items and the S-VLA
total score. Correlations between the S-VLA and other measures were used to
evaluate validity. RESULTS: Test-retest reliability was 0.91, while Cronbach's
alpha for the S-VLA was 0.95. None of the 14 items was associated with improved
alpha coefficients when omitted. All of the items were strongly correlated with
the S-VLA total score. S-VLA scores were highly positively correlated with the
HAQ (r = 0.81, P <= 0.001), patient-reported disease activity (r = 0.71, P <=
0.001), satisfaction with abilities (r = 0.82, P <= 0.001), and number of days
with activity limitations (r = 0.65, P <= 0.001). In addition, as hypothesized,
the S-VLA was inversely correlated with the SF-36 physical component summary
score (r = -0.78, P <= 0.001) and the physical functioning (r = -0.80, P <=
0.001), role physical (r = -0.67, P <= 0.001), and social functioning (r = -0.72,
P <= 0.001) subscales. CONCLUSION: The S-VLA is a short, valid, and reliable
instrument that may prove useful for monitoring disability among individuals with
RA.
PMID- 21905254
TI - Experimental knee joint pain during strength training and muscle strength gain in
healthy subjects: a randomized controlled trial.
AB - OBJECTIVE: Knee joint pain and reduced quadriceps strength are cardinal symptoms
in many knee pathologies. In people with painful knee pathologies, quadriceps
exercise reduces pain, improves physical function, and increases muscle strength.
A general assumption is that pain compromises muscle function and thus may
prevent effective rehabilitation. This study evaluated the effects of
experimental knee joint pain during quadriceps strength training on muscle
strength gain in healthy individuals. METHODS: Twenty-seven healthy untrained
volunteers participated in a randomized controlled trial of quadriceps
strengthening (3 times per week for 8 weeks). Participants were randomized to
perform resistance training either during pain induced by injections of painful
hypertonic saline (pain group, n = 13) or during a nonpainful control condition
with injection of isotonic saline (control group, n = 14) into the infrapatellar
fat pad. The primary outcome measure was change in maximal isokinetic muscle
strength in knee extension/flexion (60, 120, and 180 degrees/second). RESULTS:
The group who exercised with pain had a significantly larger improvement in
isokinetic muscle strength at all angular velocities of knee extension compared
to the control group. In knee flexion there were improvements in isokinetic
muscle strength in both groups with no between-group differences. CONCLUSION:
Experimental knee joint pain improved the training-induced gain in muscle
strength following 8 weeks of quadriceps training. It remains to be studied
whether knee joint pain has a positive effect on strength gain in patients with
knee pathology.
PMID- 21905255
TI - Vibratory perception threshold in young and middle-aged patients at high risk of
knee osteoarthritis compared to controls.
AB - OBJECTIVE: Vibratory perception threshold (VPT) is impaired in patients with knee
osteoarthritis (OA). It is, however, not known if sensory deficits precede or
follow as a consequence of OA. The aim of this study was to investigate VPT in 2
independent groups of patients with high risk of future OA (young anterior
cruciate ligament [ACL]-injured patients and middle-aged meniscectomized
patients) and compare them to age-matched controls. METHODS: VPT was assessed at
the medial malleolus (MM) and medial femoral condyle (MFC) in 2 independent
groups of patients and matched controls: ACL-injured patients (n = 39, mean +/-
SD age 24.0 +/- 5.2 years, mean +/- SD BMI 24.0 +/- 2.9 kg/m(2) , mean +/- SD
time since injury 21.9 +/- 21.6 months) and controls (n = 28, mean +/- SD age
25.6 +/- 4.4 years, mean +/- SD BMI 23.6 +/- 2.2 kg/m(2) ), and meniscectomized
patients (n = 22, mean +/- SD age 49.6 +/- 4.8 years, mean +/- SD BMI 24.7 +/-
2.7 kg/m(2) , mean +/- SD time since surgery 49.6 +/- 5.0 months) and controls (n
= 25, mean +/- SD age 49.4 +/- 5.2 years, mean +/- SD BMI 25.2 +/- 4.9 kg/m(2) ).
RESULTS: ACL-injured patients had a better VPT than controls at the MM (P =
0.030), which persisted after adjusting for age and sex (P = 0.034). At the MFC,
there was a similar trend in favor of ACL injured patients (unadjusted P = 0.093,
adjusted P = 0.122). No differences were seen in VPT at the MM between
meniscectomized patients and controls, whereas there was a tendency for better
VPT in meniscectomized patients at the MFC (unadjusted P = 0.085, adjusted P =
0.092). CONCLUSION: Impaired vibratory sensation could not be confirmed in 2
independent groups of patients compared to age-matched controls, suggesting that
impaired vibratory sense is not present in knee-injured patients at high risk or
in the very early phase of knee OA.
PMID- 21905256
TI - Association between skeletal muscle inflammatory markers and walking pattern in
people with knee osteoarthritis.
AB - OBJECTIVE: Patients with knee osteoarthritis (OA) are characterized by increased
muscle inflammation and altered gait. We investigated the association between
proinflammatory mediators in the vastus lateralis and physical function and gait
in patients with knee OA. METHODS: Nineteen patients with knee OA underwent gait
analysis, assessment of self-reported pain and physical function (Western Ontario
and McMaster Universities Osteoarthritis Index [WOMAC]), and a muscle biopsy that
was taken during their knee replacement surgery. Muscle was analyzed for cellular
protein inflammatory mediators, interleukin-6, monocyte chemotactic protein 1
(MCP-1), p65 NF-kappaB, signal transducer and activator of transcription 3 (STAT
3), and JNK-1. Sagittal plane knee function, including early stance knee range of
motion (ROM) and knee sagittal plane impulse, was measured using a motion
analysis system. Pearson's correlation was used to assess relationships between
selected variables. RESULTS: Significant positive correlations were found between
MCP-1 and self-perceived stiffness, physical function, and the total WOMAC score
(P < 0.05). MCP-1 was also negatively correlated with early stance knee ROM (r =
0.52, P = 0.023). Reduced velocity was associated with elevated levels of p65 NF
kappaB and STAT-3 (P < 0.05). Knee sagittal plane impulse was negatively
correlated with JNK-1 (P = 0.02), indicating reduction in knee impulse with an
increased level of JNK-1. CONCLUSION: Increased levels of several proinflammatory
mediators were correlated with altered knee function during walking as well as
greater physical disability and slower gait velocity. Identification of the
cellular and molecular mechanisms associated with muscle inflammation is
important to better understand the underlying mechanism responsible for altered
gait and function in patients with knee OA.
PMID- 21905257
TI - Recovery expectations of hip resurfacing compared to total hip arthroplasty: a
matched pairs study.
AB - OBJECTIVE: Expectations of higher activity levels associated with hip resurfacing
arthroplasty (HRA) may be driving better outcomes in this group compared to total
hip arthroplasty (THA). Previous studies evaluated patient expectations before
consulting with the surgeon, although these expectations were likely unrealistic
and would change after the consultation. We compared HRA and THA patient
expectations after consultation with the surgeon. METHODS: In a prospective
registry setting, patients awaiting HRA were matched to THA patients by age, sex,
and a preoperative Lower Extremity Activity Scale score (range 1-18, with 18
indicating levels of highest activity). Patients completed preoperatively a
validated 18-item expectations survey. Mean overall expectation scores were first
compared. Exploratory factor analysis (EFA) was then performed to determine if
the grouping of individual expectations items represented meaningfully different
underlying factors in the 2 groups. RESULTS: We matched 123 pairs. The mean +/-
SD expectation scores were similar (85.2 +/- 15.5 for HRA and 87.3 +/- 13.9 for
THA; P = 0.249). The EFA showed that HRA and THA patients shared the common
expectations of pain relief and improvement in daily activities (9 items) and
eliminating pain medications, the need for a cane, and improving sexual activity
(3 items). THA patients perceived the remaining 6 items as an overall third
expectation of participation in higher-level activities. However, HRA patients
perceived a fourth expectation of normal range of motion (2 items) independent of
the other higher-level activities (4 items). CONCLUSION: Even after consulting
with a surgeon, patients' expectations differed between HRA and THA patients
regarding higher-level activities. More counseling for patients seeking hip
arthroplasty is therefore needed.
PMID- 21905258
TI - Cardiovascular and other comorbidities in patients with psoriatic arthritis: a
comparison with patients with psoriasis.
AB - OBJECTIVE: To determine whether the presence of psoriatic arthritis (PsA) is
associated with greater comorbidity, in particular cardiovascular morbidity,
compared to psoriasis without arthritis. METHODS: Six hundred eleven patients
with PsA were recruited from the University of Toronto Psoriatic Arthritis Clinic
and 449 psoriasis without arthritis patients were recruited from the University
of Toronto Psoriasis Cohort. The clinical database was used to identify the
prevalence of cardiovascular and other comorbidities in both PsA and psoriasis
without arthritis patients. Univariate and multivariate logistic regression
analyses were conducted to estimate odds ratios (ORs), comparing the odds of ever
having a given comorbid disease in PsA patients with those in psoriasis without
arthritis patients. Covariates included age, sex, education, smoking status,
severity and duration of psoriasis, medication status, and other comorbidities.
RESULTS: The prevalence of hypertension, obesity, hyperlipidemia, type 2 diabetes
mellitus, and at least 1 cardiovascular event in PsA patients was 37.1%, 30.0%,
20.7%, 12.0%, and 8.2%, respectively. This was significantly higher than in
psoriasis without arthritis patients, with unadjusted ORs ranging from 1.54 to
2.59. In the multivariate analyses, hypertension remained significantly elevated
(adjusted OR 2.17). PsA was also significantly associated with infections not
treated with antibiotics (presumably viral), neurologic conditions,
gastrointestinal disorders, and liver disease (adjusted ORs 2.83, 4.76, 21.53,
and 7.74, respectively). Infections treated with antibiotics and
depression/anxiety were relatively common in PsA, with a prevalence of 30.5% and
20.7%, respectively. However, this was not significantly different from psoriasis
without arthritis after multivariate adjustments. CONCLUSION: The results suggest
that inflammatory joint disease may play a role in both cardiovascular and
noncardiovascular morbidity in PsA.
PMID- 21905259
TI - Longitudinal changes in intermuscular fat volume and quadriceps muscle volume in
the thighs of women with knee osteoarthritis.
AB - OBJECTIVE: To quantify rates of change in quadriceps muscle (QM) and
intermuscular fat (IMF) volumes over 2 years in women in the Osteoarthritis
Initiative (OAI) study and examine group differences between those with
radiographic osteoarthritis (ROA) and those without ROA. METHODS: The OAI
database was queried for women >=50 years of age in the incident and progression
cohorts with and without ROA at baseline. Midthigh magnetic resonance imaging
scans (15 contiguous slices, 5 mm slice thickness) of eligible women were
randomly selected and anonymized. Image pairs were registered. QM and IMF were
segmented in the 12 most proximal matching slices with the segmenter blinded to
image time point. Age-adjusted differences in QM and IMF volume changes between
groups were tested using analysis of covariance. RESULTS: Forty-one women without
ROA (mean +/- SD age 60.7 +/- 7.6 years) and 45 women with ROA (mean +/- SD age
64.5 +/- 6.7 years) were included. Mean +/- SD QM and IMF volume changes in the
non-ROA group were -4.1 +/- 11.1 cm(3) and 3.4 +/- 7.1 cm(3), respectively, and
5.4 +/- 13.5 cm(3) and 3.1 +/- 7.4 cm(3) in the ROA group, respectively. Age
adjusted between-group differences in QM and IMF changes were not significant (P
> 0.05). CONCLUSION: Two-year changes in QM and IMF volumes appear consistent
with aging and do not seem to be related to OA status. Direct comparison with a
control cohort without OA risk factors could confirm this. Since group assignment
was based on baseline data, there may have been women in the non-ROA group who
developed ROA over followup, resulting in some overlap between groups.
PMID- 21905261
TI - Somatoform respiratory disorders in children and adolescents-proposals for a
practical approach to definition and classification.
AB - Somatoform respiratory disorders represent conditions with dysfunctional
breathing unexplained by structural abnormalities. This heterogeneous group
includes disorders with neural dysregulation of respiration (vocal cord
dysfunction) or with dysregulation of the respiratory pattern (hyperventilation,
sighing dyspnea), psychogenic disorders such as unjustified anxiety of
suffocation, and stereotype conditions such as throat clearing or habit cough.
Many symptoms are nonspecific and largely overlap with respiratory disease
symptoms of somatic etiology. Most patients will present in a nonspecialized
clinical setting. This article provides symptom-based criteria for the definition
of somatoform respiratory disorders and their differentiation from somatic
disease. Emphasis is put on clinical criteria which can be easily integrated in a
routine setting. Owing to the multifaceted etiology of somatoform respiratory
disorders therapeutic approaches integrating somatic medicine, respiratory
therapy and psychology are crucial. The introduction of defined clinical criteria
may facilitate the discrimination of somatoform respiratory disorders from
somatic disorders in routine patient encounters and avoid therapeutic detours.
PMID- 21905260
TI - Merging Veterans Affairs rheumatoid arthritis registry and pharmacy data to
assess methotrexate adherence and disease activity in clinical practice.
AB - OBJECTIVE: The Veterans Affairs (VA) Rheumatoid Arthritis (VARA) registry and the
VA Pharmacy Benefits Management database were linked to determine the association
of methotrexate (MTX) adherence with rheumatoid arthritis (RA) disease activity.
METHODS: For each patient, the medication possession ratio (MPR) was calculated
for the first episode of MTX exposure of a duration of >=12 weeks for both new
and established MTX users. High MTX adherence was defined as an MPR >=0.80 and
low MTX adherence was defined as an MPR <0.80. For each patient, the mean Disease
Activity Score with 28 joints (DAS28) score, erythrocyte sedimentation rate
(ESR), and C-reaction protein (CRP) level observed during registry followup were
compared in high- versus low-adherence groups. RESULTS: In 455 RA patients, the
prescribed doses of MTX (mean +/- SD 16 +/- 4 mg versus 16 +/- 4 mg; P = 0.6)
were similar in high-adherence patients (n = 370) in comparison to low-adherence
patients (n = 85). However, the actual observed MTX doses taken by patients were
significantly higher in the high-adherence group (mean +/- SD 16 +/- 5 mg versus
11 +/- 3 mg; P < 0.001). DAS28 (mean +/- SD 3.6 +/- 1.2 versus 3.9 +/- 1.5; P <
0.02), ESR (mean +/- SD 24 +/- 18 versus 29 +/- 24 mm/hour; P = 0.05), and CRP
level (mean +/- SD 1.2 +/- 1.3 versus 1.6 +/- 1.5 mg/dl; P < 0.03) were lower in
the high-adherence group compared to those with low MTX adherence. These
variances were not explained by differences in baseline demographic features,
concurrent treatments, or whether MTX was initiated before or after VARA
enrollment. CONCLUSION: High MTX adherence was associated with improved clinical
outcomes in RA patients treated with MTX. Adjustment for potential confounders
did not alter the estimated effect of adherence. These results demonstrate the
advantages of being able to merge clinical observations with pharmacy databases
to evaluate antirheumatic drugs in clinical practice.
PMID- 21905262
TI - Impaired behavioral and neurocognitive function in preschool children with
obstructive sleep apnea.
AB - OBJECTIVE: We aimed to examine the hypothesis that behavioral and neurocognitive
functions of preschool children with Obstructive Sleep Apnea Syndrome (OSAS) are
impaired compared to healthy children, and improve after adenotonsillectomy (TA).
METHODS: A comprehensive assessment battery was used to assess cognitive and
behavioral functions, and quality of life in children with OSAS compared to
matched controls. RESULTS: 45 children (mean age 45.5 +/- 9 months, 73% boys, BMI
15.7 +/- 2) with OSAS were compared to 26 healthy children (mean age 48.6 +/- 8
months, 46% boys, BMI 16.4 +/- 2). Mean AHI in the OSAS group was 13.2 +/- 10.7
(ranging from 1.2 to 57). Significantly impaired planning and fluency (executive
function) were found in children with OSAS, as well as impaired attention and
receptive vocabulary. Parents and teachers described the OSAS group as having
significantly more behavior problems. Quality of life questionnaire in children
with OSAS (mean 2.3, range 0.7-4.3) was significantly worse compared to controls
(mean 0, range: 0-4), P < 0.004. One year following TA, 23 children with OSAS and
18 controls were re-evaluated. Significant improvement was documented in verbal
and motor fluency, sustained attention, and vocabulary. After TA, fewer
behavioral problems were seen. CONCLUSIONS: Preschool children with OSAS present
significantly impaired executive functions, impaired attention and receptive
vocabulary, and more behavior problems. One year after TA, the prominent
improvements were in behavior and quality of life. These findings suggest that
the impact of OSAS on behavioral and cognitive functions begins in early
childhood.
PMID- 21905263
TI - Fifty years of pediatric asthma in developed countries: how reliable are the
basic data sources?
AB - Given the difficulties in diagnosing, or even defining, asthma in children,
claims of a pediatric asthma epidemic in Canada and other developed countries are
accepted with surprisingly little critical examination. We reviewed a broad range
of data sources to understand how the epidemic evolved during the last 50 years
and also to assess the reliability of the conclusions drawn from that data. We
obtained Canadian National and Provincial data from Statistics Canada National
Population Health Survey, and the British Columbia Ministry of Health respiratory
database. International data were obtained by extensive review of pediatric
asthma epidemiological surveys published during the last 50 years. In many
developed countries, there have been three separate epidemics involving different
aspects of pediatric asthma during the last 50 years: a double peaked mortality
epidemic (1960s and 1980s), a hospital admission epidemic (peaked around 1990)
and a steadily growing epidemic of children who report asthmatic symptoms on
questionnaires. Canadian pediatric rates for asthma mortality (1-2/million/year)
and hospital admission (1-2/thousand/year) are low and have fallen for the last
20 years. Rates based on questionnaire studies are high (10-15/hundred) and rose
steadily over the same period. Objective reductions in asthma deaths and hospital
admission likely reflect improved education and treatment programmes. Current
claims of an epidemic based largely on subjective self-reported symptoms require
more careful analysis. The possibility that symptom misperception, disease
fashions, and poor recall, may be part of the explanation for the current high
levels of self-reported symptoms deserves more attention.
PMID- 21905264
TI - Aspergilloma in a patient with an occult congenital pulmonary airway
malformation.
AB - We describe the case of a 14-year-old male who presented with a right upper lobe
aspergilloma forming in a previously occult congenital pulmonary airway
malformation. This is the first case describing an aspergilloma forming within a
CPAM.
PMID- 21905265
TI - Hyperoxia arrests alveolar development through suppression of histone
deacetylases in neonatal rats.
AB - Bronchopulmonary dysplasia (BPD) poses a significant global health problem. It
mainly occurs in preterm infants. It is histopathologically characterized by
fewer and larger alveoli and less secondary septa, suggesting an arrested or
disordered lung development. To date, the mechanisms that lead to the
pathophysiological changes in BPD have still not been totally understood. In
embryonic development, histone deacetylase (HDAC) plays an important role by
regulating gene transcription. Here, we hypothesize that a decreased HDAC
expression and activity, caused by preterm birth or environmental stresses,
contribute to a disorder in alveolar development in BPD. To this end, newborn
Sprague-Dawley rats subjected to hyperoxia (85% O(2) ) were used to investigate
the gene expression and protein activity of HDAC and alveolar development in
lungs. Our results showed that hyperoxia exposure led to a suppression of the
HDAC1/HDAC2 expression and activity, and the overall HDAC activity, as well as
arrest of alveolarization, and an elevated expression of the cytokine-induced
neutrophil chemoattractant-1 (CINC-1) in the lungs of newborn rats. However,
preservation of HDAC activity by theophylline significantly improved alveolar
development and attenuated CINC-1 release, all of which were blocked by a
specific HDAC inhibitor, trichostatin A (TSA). TSA alone can disturb the alveolar
development in neonatal rats. Our findings indicate that a persistent exposure to
hyperoxia leads to a suppressed HDAC activity, which causes disorders in
pulmonary development. This effect may be mediated by CINC-1. Attenuation of CINC
1-mediated inflammation by activating HDAC may have a protective effect in BPD.
PMID- 21905266
TI - Fetal hyperglycemia alters lung structural development in neonatal rat.
AB - Maternal diabetes is associated with increased risk for abnormal fetal
organogenesis, but its effects on the developing lungs are still insufficiently
known. To determine the effect of maternal hyperglycemia on postnatal lung
development, we studied lung structural and cellular changes in newborn rats
exposed to intrauterine hyperglycemia. We induced hyperglycemia in Sprague-Dawley
rats with i.p. streptozotocin before pregnancy and allowed the hyperglycemic and
control dams deliver at term. Lungs were obtained on postnatal day (d) 0, d7, and
d14 and analyzed for lung weight and morphology, as well as cellular apoptosis
(TUNEL staining) and proliferation (PCNA staining). Quantitative micro-CT
analysis of the lung vasculature was additionally performed at d14. At birth,
maternal hyperglycemia resulted in decreased relative lung weight, thinner
alveolar septa and increased cellular apoptosis and proliferation, when compared
to controls. At 1 and 2 weeks of age pulmonary cell apoptosis and alveolar chord
length remained unchanged, but cell proliferation and number of secondary crests
were increased in the hyperglycemia-exposed neonatal lungs in comparison with the
controls. Density of small arterioles on histological examination and the
structure of pulmonary arterial vasculature in micro-CT analysis of the neonatal
lungs were not influenced by maternal hyperglycemia. Our results suggest, that
maternal hyperglycemia is related to developmental structural alterations in
postnatal rat lungs. These early changes may reflect aberrant maturational
adaptation in response to the hyperglycemic fetal environment.
PMID- 21905267
TI - Obstructive sleep apnea in poorly controlled asthmatic children: effect of
adenotonsillectomy.
PMID- 21905268
TI - Beta-adrenergic receptor polymorphisms associated with length of ICU stay in
pediatric status asthmaticus.
AB - BACKGROUND: During severe exacerbations, asthmatic children vary significantly in
their response to high-dose continuous beta(2) -adrenergic receptor (ADRbeta(2) )
agonist therapy. Genetic polymorphisms have been identified within the ADRbeta(2)
that may be functionally relevant, but few studies have been performed in this
population. Our hypothesis was that genotypic differences are associated with
magnitude of response to ADRbeta(2) agonist treatment during severe asthma
exacerbations in children. METHODS: Children aged 2-18 years admitted to the ICU
(intensive care unit) with a severe asthma exacerbation between 2006 and 2008
were eligible. Genotyping of the ADRbeta(2) was performed. RESULTS: Eighty-nine
children consented and were enrolled. Despite similar clinical asthma scores on
admission, children with the Gly(16) Gly genotype at amino acid position 16 had
significantly shorter ICU length of stay (LOS) and hospital LOS, compared to
children with Arg(16) Arg and Arg(16) Gly genotypes. Children with either the
Gln(27) Glu or Glu(27) Glu genotype at amino acid position 27 also had
significantly shorter ICU LOS and hospital LOS compared to children with the
Gln(27) Gln genotype. The Arg(16) Gly-Gln(27) Gln haplotype was associated with
the longest ICU LOS, but this was not statistically different from other
haplotypes. CONCLUSIONS: In this cohort of children with severe asthma
exacerbations, ADRbeta(2) polymorphisms were associated with responses to
therapy. Knowledge of the genetic profile of children with asthma may allow for
targeted therapy during acute exacerbations.
PMID- 21905269
TI - Exhaled breath temperature and exercise-induced bronchoconstriction in asthmatic
children.
AB - It has been hypothesized that exhaled breath temperature (EBT) is related to the
degree of airway inflammation/remodeling in asthma. The purpose of this study was
to evaluate the relationship between the level of airway response to exercise and
EBT in a group of controlled or partly controlled asthmatic children. Fifty
asthmatic children underwent measurements of EBT before and after a standardized
exercise test. EBT was 32.92 +/- 1.13 and 33.35 +/- 0.95 degrees C before and
after exercise, respectively (P < 0.001). The % decrease in FEV(1) was
significantly correlated with the increase in EBT (r = 0.44, P = 0.0013), being r
= 0.49 (P < 0.005) in the children who were not receiving regular inhaled
corticosteroids (ICS) and 0.37 (n.s.) in those who were. This study further
supports the hypothesis that EBT can be considered a potential composite tool for
monitoring asthma.
PMID- 21905270
TI - A multi-center controlled trial of growth hormone treatment in children with
cystic fibrosis.
AB - OBJECTIVES: We evaluated safety and efficacy of recombinant human growth hormone
(rhGH) for improving growth, lean body mass (LBM), pulmonary function, and
exercise tolerance in children with cystic fibrosis (CF) and growth restriction.
STUDY DESIGN: Multicenter, open-label, controlled clinical trial comparing
outcomes in prepubertal children <14 years with CF, randomized in a 1:1 ratio to
receive daily rhGH (Nutropin AQ) or no treatment (control) for 12 months,
followed by a 6-month observation (month 18). Safety was monitored at each visit,
including assessments of glucose tolerance. RESULTS: Sixty-eight subjects were
randomized (control n = 32; rhGH n = 36). Mean height standard deviation score
(SDS) in the rhGH group increased by 0.5 +/- 0.4 at 12 months (mean +/- SD, P <
0.001); the control group height SDS remained unchanged. Weight increased by 3.8
+/- 1.8 versus 2.8 +/- 1.5 kg, (mean +/- SD, P = 0.0356) and LBM increased by 3.8
+/- 1.8 versus 2.1 +/- 1.4 kg (P = 0.0002) in the rhGH group versus controls,
respectively. Forced vital capacity increased by 325 +/- 319 in the rhGH group
compared with 178 +/- 152 ml in controls (mean +/- SD, P = 0.032). Forced
expiratory volume in 1 sec improved in both groups with a significant difference
between groups after adjustment for baseline severity (LS mean +/- SE: rhGH, 224
+/- 37, vs. controls, 108 +/- 40 ml; P = 0.04). There was no difference between
groups in exercise tolerance (6-min walk distance) at 1 year. Changes in glucose
tolerance for the two groups were similar over the 12-month study period, with
three subjects developing IGT and one CFRD in each group. One rhGH-treated
patient developed increased intracranial pressure. CONCLUSIONS: Treatment with
rhGH in prepubertal children with CF was effective in promoting growth, weight,
LBM, lung volume, and lung flows, and had an acceptable safety profile.
PMID- 21905271
TI - Respiratory medication adherence in chronic lung disease of prematurity.
AB - BACKGROUND: Chronic lung disease of prematurity (CLDP) is a frequent complication
of premature birth. Infants and children with CLDP are often prescribed complex
medication regimens, which can be difficult for families to manage. OBJECTIVE: We
sought to determine whether non-adherence was associated with increased CLDP
related morbidities and to identify predictors of adherence. METHODS: Recruited
caregivers of 194 children with CLDP completed questionnaires regarding self
reported adherence, respiratory outcomes, and quality of life (January 2008-June
2010). Adherence data were available for 176 subjects, of whom 143 had self
reported data only, and 33 had prescription claims data, which were used to
calculate a medication possession ratio (MPR). Participants in the Prescription
Claims Sample (n = 33) were more likely to have public insurance (P < 0.001).
RESULTS: Self-reported adherence substantially overestimated medication
possession; the mean MPR was 38.8% (n = 33) and was not associated with self
reported adherence (P = 0.71; n = 26). In a small sample, higher MPR was
associated with decreased odds ratios of visiting the emergency department (ED)
(OR = 0.75 for a 10% increase in MPR [95%CI: 0.58, 0.97]; P = 0.03; n = 74
questionnaires from 28 participants), activity limitations (OR = 0.71 [95%CI:
0.53, 0.95]; P = 0.02; n = 70 questionnaires from 28 participants), and rescue
medication use (OR = 0.84 [95%CI: 0.73-0.98]; P = 0.03; n = 70 questionnaires
from 28 participants). Increasing caregiver worries regarding medication efficacy
and side effects were associated with lower MPR (P = 0.04 and 0.02, respectively;
n = 62 questionnaires from 27 participants). Socio-demographic and clinical risk
factors were not predictors of MPR (n = 33). CONCLUSIONS: We found that non
adherence with respiratory medications was common in premature infants and
children with CLDP. Using multiple timepoints in a small sample, non-adherence
was associated with a higher likelihood of respiratory morbidities. Although self
reported adherence and demographic characteristics did not predict MPR, concerns
about medications did. We suggest that addressing caregiver concerns about
medications may improve adherence and ultimately decrease CLDP-related
morbidities. Larger, prospective studies are needed to confirm these findings and
determine which factors predict non-adherence.
PMID- 21905272
TI - Scaled-up separation of cellobiohydrolase1 from a cellulase mixture by ion
exchange chromatography.
AB - Enzymatic hydrolysis of cellulose often involves cellulases produced by
Trichoderma reesei, of which cellobiohydrolase1 (CBH1) is the most abundant
(about 60% of total cellulases) and plays an important role in the hydrolysis of
crystalline cellulose. A method for separating sufficient quantities from the
bulk cellulase cocktail is highly desirable for many studies, such as those that
aim to characterize binding and hydrolysis kinetics of CBH1. In this work, CBH1
was separated from other Spezyme CP cellulases by ion-exchange chromatography
using an efficient modification of a smaller scale process. The ion-exchange
column was connected to a vacuum manifold system to provide a steady flow through
parallel columns and thus achieve scale-up for enzyme separation. With five 5-mL
columns running in parallel, about 55 mg of CBH1 was separated from 145 mg of
Spezyme CP in a single separation. Step elution was used to replace the
continuous gradient used at smaller scale. The purified CBH1 was collected in the
fraction eluted with a buffer containing 0.33 M salt and showed comparable purity
and activity as the enzyme purified by a fast protein liquid chromatography
system. The stability of separated CBH1 was studied for up to 2 days and good
thermal stability was observed. Separated CBH1 also showed both high adsorption
to bacterial microcrystalline cellulose with ~4 MUmol/g maximum adsorption and a
K(a) of 5.55 +/- 2.34 MUM(-1) , and good hydrolytic activity based on atomic
force microscopy observations that show a reduction in fiber height.
PMID- 21905273
TI - Improved E. coli erythromycin A production through the application of metabolic
and bioprocess engineering.
AB - In this report, small-scale culture and bioreactor experiments were used to
compare and improve the heterologous production of the antibiotic erythromycin A
across a series of engineered prototype Escherichia coli strains. The original
strain, termed BAP1(pBPJW130, pBPJW144, pHZT1, pHZT2, pHZT4, pGro7), was designed
to allow full erythromycin A biosynthesis from the exogenous addition of
propionate. This strain was then compared against two alternatives hypothesized
to increase final product titer. Strain TB3(pBPJW130, pBPJW144, pHZT1, pHZT2,
pHZT4, pGro7) is a derivative of BAP1 designed to increase biosynthetic pathway
carbon flow as a result of a ygfH deletion; whereas, strain TB3(pBPJW130,
pBPJW144, pHZT1, pHZT2, pHZT4-2, pGro7) provided an extra copy of a key
deoxysugar glycosyltransferase gene. Production was compared across the three
strains with TB3(pBPJW130, pBPJW144, pHZT1, pHZT2, pHZT4, pGro7) showing
significant improvement in erythronolide B (EB), 3-mycarosylerythronolide B
(MEB), and erythromycin A titers. This strain was further tested in the context
of batch bioreactor production experiments with time-course titers leveling at 4
mg/L, representing an approximately sevenfold increase in final erythromycin A
titer.
PMID- 21905274
TI - Evaluation of Escherichia coli proteins that burden nonaffinity-based
chromatography as a potential strategy for improved purification performance.
AB - Escherichia coli is a favored host for rapid, scalable expression of recombinant
proteins for academic, commercial, or therapeutic use. To maximize its economic
advantages, however, it must be coupled with robust downstream processes.
Affinity chromatography methods are unrivaled in their selectivity, easily
resolving target proteins from crude lysates, but they come with a significant
cost. Reported in this study are preliminary efforts to integrate downstream
separation with upstream host design by evaluating co-eluting host proteins that
most severely burden two different nonaffinity-based column processes.
Phosphoenolpyruvate carboxykinase and peptidase D were significant contaminants
during serial purification of green fluorescent protein (GFP) by hydrophobic
interaction and anion exchange chromatography. Ribosomal protein L25 dominated
non-target binding of polyarginine-tagged GFP on cation exchange resin.
Implications for genetic knockout or site-directed mutagenesis resulting in
diminished column retention are discussed for these and other identified
contaminants.
PMID- 21905275
TI - Precipitation of filamentous bacteriophages for their selective recovery in
primary purification.
AB - Filamentous bacteriophages and their derivatives are showing great promise as a
whole new class of industrial agents, such as biologically based nano-materials
and viral vectors. This raises challenges for their large-scale manufacture,
principally due to the lack of bioprocessing knowledge. This article addresses
what will be a potentially important option in the primary purification of the
bacteriophages. Polyethylene glycol (PEG)-salt dual precipitants, calcium ions,
spermidine, and isoelectric precipitation were first examined for their potential
suitability for bacteriophage concentration under both pure and broth conditions.
Successful precipitants were further studied on the basis of their selective
purification ability from DNA and protein contaminants in a clarified broth
system. Both PEG-based and isoelectric precipitations resulted in bacteriophage
purity improvements, and PEG-based precipitations offered the highest
selectivities. This work shows that precipitation of bacteriophages can be an
effective primary purification step in a large-scale bioprocess.
PMID- 21905276
TI - Thermal therapy in dialysis patients - a randomized trial.
AB - The aim of this study is to evaluate the effects of far-infrared (FIR) rays on
the meridian in hemodialysis (HD) patients. End-stage renal disease or kidney
failure is the last stage of chronic renal failure, and often implies that the
renal function cannot be restored and HD or kidney transplantation is required as
a life-saving measure. HD patients often feel sick, debilitated, demotivated, and
sad. A quasi-experimental design was conducted using convenience sampling with 61
HD patients from a hemodialysis center. Meridian testing and Brief Fatigue
Inventory-Taiwan Form (BFI-T) were used as screening test for HD. The
experimental group (n = 36) received FIR irradiation on each acupoint for 30 min,
thrice a week, for two months, whereas the control group (n = 25) received no
intervention. The outcome measures included meridian equipment, the level of
hemoglobin (Hb), albumin, blood urea nitrogen (BUN), creatinine (CRE), and BFI-T.
Ryodoraku values reveal a significant increase (p < 0.05) in the left small
intestine meridian, left large intestine meridian, and yin-yang ratio. Overall,
the increase in the meridians of the patients of experimental group is
significantly higher than that of the control group. The results establish that
FIR treatment on Qihai (RN 6), Guanyuan (RN 4), Zhongji (RN 3), and Tianshu (ST
25) is effective. Based on these findings, one can believe that FIR regulates the
Qi of the kidney. The findings of this study would help doctors effectively
dealing with thermal therapy treatment of HD patients.
PMID- 21905277
TI - Inhibition of endoplasm reticulum stress by anisodamine protects against
myocardial injury after cardiac arrest and resuscitation in rats.
AB - Anisodamine is a multi-functional bio-alkaloid with vascular activity. Our
previous studies have revealed that anisodamine protects the heart from
ischemia/reperfusion (I/R) injury induced by cardiac arrest (CA) and
resuscitation. This study aimed to explore whether the protective effect of
anisodamine is mediated by inhibition of the endoplasmic reticulum stress (ERS)
response, which has been demonstrated to implicate in various I/R injuries. After
5 min of CA induced by electric stimulation, Wistar rats were randomly selected
to receive cardiopulmonary resuscitation (CPR, including chest compression and
epinephrine infusion) with or without anisodamine injection (n = 50/group).
Hearts were harvested 24 h after the return of spontaneous circulation (ROSC).
Sham-operated animals served as non-ischemic controls (n = 10). The survival
rate, cardiomyocyte apoptosis, and the protein expression of ERS markers were
detected. Thirty-three of the 50 rats in the Ani + CA/R group were successfully
resuscitated, whereas only 18 of the 50 rats in the CA/R group gained ROSC.
Survival to 24 h was significantly improved in the anisodamine treatment group
(Ani + CA/R, n = 22/50) compared to the group with standard CPR (CA/R, n = 8/50).
Anisodamine markedly decreased the number of apoptotic cardiomyocytes, the
protein expression of GRP78, CHOP, and the active form of Caspase3 compared to
the CA/R group. Our data suggest that anisodamine protects against cellular
damage in rat hearts after CA and resuscitation, at least in part, by inhibiting
myocardial ERS.
PMID- 21905279
TI - Treatment with Astragalus membranaceus produces antioxidative effects and
attenuates intestinal mucosa injury induced by intestinal ischemia-reperfusion in
rats.
AB - Astragalus membranaceus, also known as huang qi, a traditional Chinese medicine,
is often used in formulas for deficiency of vital energy characterized by limb
weakness, pale face, and dizziness. Previous studies have shown that Astragalus
membranaceus could attenuate intestinal ischemia-reperfusion injury induced by
hemorrhagic shock in rats; however, the underlying mechanism still remains
unclear. Using a hemorrhagic shock rat model to examine the effect of Astragalus
membranaceus on intestinal mucosa injury induced by ischemia-reperfusion, we
found that treatment (20 g crude drugs/kg, i.v.) produced antioxidative effects
in the intestinal mucosa of rats after ischemia-reperfusion (p < 0.05). We also
found that Astragalus membranaceus could partly attenuate intestinal mucosa
ischemia-reperfusion injury (chiu's score, apoptosis index p < 0.05). These
results suggest that Astragalus membranaceus reduces intestinal mucosa injury
induced by ischemia-reperfusion in rats, at least in part, through its anti
oxidative effects.
PMID- 21905278
TI - Vasorelaxant effect of Cinnamomi ramulus ethanol extract via rho-kinase signaling
pathway.
AB - The Rho-kinase (ROCK) signaling pathway is substantially involved in vascular
contraction. This study investigated the vasodilatory effects and possible
mechanisms of Cinnamomi ramulus ethanol extract (CRE), with the hypothesis that
the CRE vasodilatory effect involves RhoA and the ROCK signaling pathway in rat
aortic preparations. CRE (0.05-1 mg/ml) dose-dependently relaxed the vascular
contraction induced by phenylephrine and calpeptin in an endothelium-independent
manner. Measurement of the expression levels of ROCK-related signaling molecules
in response to calpeptin revealed that CRE completely inhibited RhoA and ROCK2
protein expressions. Furthermore, CRE dephosphorylated the subsequent downstream
targets myosin phosphatase targeting subunit 1 (MYPT-1), protein kinase C
potentiated phosphatase inhibitor protein-17 kDa (CPI-17) and myosin light chain
20 kDa (MLC20). We conclude that the vasorelaxation effect of CRE occurs via
downregulation of ROCK signal molecules.
PMID- 21905280
TI - Effect of Cuscuta chinensis on renal function in ischemia/reperfusion-induced
acute renal failure rats.
AB - The kidneys play a central role in regulating water, ion composition and
excretion of metabolic waste products in the urine. Cuscuta chinensis has been
known as an important traditional Oriental medicine for the treatment of liver
and kidney disorders. Thus, we studied whether an aqueous extract of Cuscuta
chinensis (ACC) seeds has an effect on renal function parameters in
ischemia/reperfusion-induced acute renal failure (ARF) rats. Administration of
250 mg/kg/day ACC showed that renal functional parameters including urinary
excretion rate, osmolality, Na(+), K(+), Cl(-), creatinine clearance, solute-free
water reabsorption were significantly recovered in ischemia/reperfusion-induced
ARF. Periodic acid Schiff staining showed that administration of ACC improved
tubular damage in ischemia/reperfusion-induced ARF. In immunoblot and
immunohistological examinations, ischemia/reperfusion-induced ARF decreased the
expressions of water channel AQP 2, 3 and sodium potassium pump Na,K-ATPase in
the renal medulla. However, administration of ACC markedly incremented AQP 2, 3
and Na,K-ATPase expressions. Therefore, these data indicate that administration
of ACC ameliorates regulation of the urine concentration and renal functions in
rats with ischemia/reperfusion-induced ARF.
PMID- 21905281
TI - Ameliorative effects of ethanolic leaf extract of Azadirachta indica on renal
histologic alterations in streptozotocin-induced diabetic rats.
AB - We studied the effect of ethanolic leaf extract of Azadirachta indica (AIE) on
the microanatomy of the kidney of streptozotocin-induced diabetic rats. Thirty
male Wistar rats (161-190 g) were randomly assigned to one of five treatment
groups of six animals each: control, diabetic, diabetic + AIE, diabetic +
metformin, AIE only. Diabetes was induced with a single intraperitoneal dose of
streptozotocin (70 mg/kg body weight). AIE and metformin were administered orally
for 50 days (50 d) at 500 mg/kg bw/d and 350 mg/kg bw/d, respectively. Blood
glucose was estimated by glucose oxidase method; plasma urea and creatinine were
assayed; and paraffin sections of the kidney were stained by periodic acid-Schiff
technique. Untreated diabetic rats exhibited marked hyperglycemia. Renal
histopathology of these animals showed features of diabetic nephropathy, with
nodular glomerulosclerosis and vacuolation of proximal tubule cells (Armanni
Ebstein phenomenon). These feature were absent in the diabetic rats treated with
AIE. Besides, plasma urea and creatinine were not significantly different from
the control in this group (p > 0.05), in contrast to the untreated diabetic rats,
where significant increases in these markers (p < 0.05). These findings showed
that the leaf extract of Azadirachta indica ameliorates hyperglycemia and
diabetic nephropathy in rats.
PMID- 21905282
TI - SuHeXiang Wan essential oil alleviates amyloid beta induced memory impairment
through inhibition of tau protein phosphorylation in mice.
AB - SuHeXiang Wan (SHXW), a traditional Chinese medicine, has been used orally for
the treatment of seizures, infantile convulsions and stroke. Previously, we
reported the effects of a modified SHXW essential oil in terms of sedative
effect, anticonvulsant activity and antioxidative activity. The purpose of this
study was to evaluate the potential beneficial effects of SHXW essential oil in
neurodegenerative diseases such as Alzheimer's disease (AD). SHXW essential oil
was extracted from nine herbs. The mouse AD model was induced by a single
injection of amyloid beta protein (Abeta(1-42)) into the hippocampus. The animals
were divided into four groups, the negative control group injected with Abeta(42
1), the Abeta group injected with Abeta(1-42), the SHXW group inhaled SHXW
essential oil and received Abeta(1-42) injection, and the positive control group
administered with docosahexaenoic acid (DHA, 10 mg/kg) and with subsequent
Abeta(1-42) injection. Mice were analyzed by behavioral tests and immunological
examination in the hippocampus. An additional in vitro investigation was
performed to examine whether SHXW essential oil inhibits Abeta(1-42) induced
neurotoxicity in a human neuroblastoma cell line, SH-SY5Y cells. Pre-inhalation
of SHXW essential oil improved the Abeta(1-42) induced memory impairment and
suppressed Abeta(1-42) induced JNK, p38 and Tau phosphorylation in the
hippocampus. SHXW essential oil suppressed Abeta-induced apoptosis and ROS
production via an up-regulation of HO-1 and Nrf2 expression in SH-SY5Y cells. The
present study suggests that SHXW essential oil may have potential as a
therapeutic inhalation drug for the prevention and treatment of AD.
PMID- 21905283
TI - Matrine suppresses production of IL-23/IL-17 and ameliorates experimental
autoimmune encephalomyelitis.
AB - Matrine (MAT), a quinolizidine alkaloid derived from the herb Radix Sophorae
Flave, has been suggested to possess immunomodulatory characteristics; however,
whether it is effective in multiple sclerosis (MS), an autoimmune disease of the
central nervous system (CNS), is not known. Our aim was to bridge this gap by
investigating the possible therapeutic effects of MAT on experimental autoimmune
encephalomyelitis (EAE), an animal model of MS. We have found that, compared to
the untreated controls, MAT-treated rats showed a significant decrease in
clinical scores, in CNS infiltration of inflammatory cells (including CD4(+),
CD8(+) T cells and macrophages) and demyelination. Furthermore, serum levels of
IL-23 and IL-17 showed a marked reduction after MAT treatment, particularly in
rats treated with higher doses of MAT. This study demonstrates that
administration of MAT, as a natural compound, might be a novel therapy for
autoimmune disorders such as MS.
PMID- 21905284
TI - Anti-inflammatory effects of Scoparia dulcis L. and betulinic acid.
AB - The aims of this study intended to investigate the anti-inflammatory activity of
the 70% ethanol extract from Scoparia dulcis (SDE) and betulinic acid on lambda
carrageenan-induced paw edema in mice. The anti-inflammatory mechanism of SDE and
betulinic acid was examined by detecting the levels of cyclooxygenase-2 (COX-2),
nitric oxide (NO), tumor necrosis factor (TNF-alpha), interleukin-1beta (IL
1beta) and malondialdehyde (MDA) in the edema paw tissue and the activities of
superoxide dismutase (SOD), glutathione peroxidase (GPx) and glutathione
reductase (GRd) in the liver. The betulinic acid content in SDE was detected by
high performance liquid chromatography (HPLC). In the anti-inflammatory model,
the results showed that SDE (0.5 and 1.0 g/kg) and betulinic acid (20 and 40
mg/kg) reduced the paw edema at 3, 4 and 5 h after lambda-carrageenan
administration. Moreover, SDE and betulinic acid affected the levels of COX-2,
NO, TNF-alpha and IL1-beta in the lambda-carrageenan-induced edema paws. The
activities of SOD, GPx and GRd in the liver tissue were increased and the MDA
levels in the edema paws were decreased. It is suggested that SDE and betulinic
acid possessed anti-inflammatory activities and the anti-inflammatory mechanisms
appear to be related to the reduction of the levels of COX-2, NO, TNF-alpha and
IL1-beta in inflamed tissues, as well as the inhibition of MDA level via
increasing the activities of SOD, GPx and GRd. The analytical result showed that
the content of betulinic acid in SDE was 6.25 mg/g extract.
PMID- 21905286
TI - Gingko biloba extract (EGb 761) attenuates the focal cerebral ischemic injury
induced decrease in astrocytic phosphoprotein PEA-15 levels.
AB - EGb 761 is an extract of Gingko biloba that is neuroprotective against focal
cerebral ischemic injury. PEA-15 (phosphoprotein enriched in astrocytes 15)
modulates cell proliferation and apoptosis. In this study, we investigated
whether EGb 761 regulates the expression of PEA-15 and two phosphorylated forms
of PEA-15 (Ser 104 and Ser 116) in middle cerebral artery occlusion (MCAO)
induced injury. Adult male rats were treated with vehicle or EGb 761 (100 mg/kg)
prior to MCAO and cerebral cortices were collected 24 h after MCAO. A reduction
in expression of PEA-15 and its phosphorylated forms induced by MCAO injury was
detected using a proteomic approach. EGb 761 pretreatment prevented the ischemic
injury-induced decrease in PEA-15 expression. Western blot analysis demonstrated
that EGb 761 attenuates the injury-induced reduction in PEA-15, phospho-PEA-15
(Ser 104), phospho-PEA-15 (Ser 116). Phosphorylation of PEA-15 influences its
anti-apoptotic function; a decrease in PEA-15 phosphorylation induces apoptotic
cell death. The maintenance of PEA-15 phosphorylation by EGb 761 pretreatment
during cerebral ischemic injury indicates that EGb 761 is a neuroprotective
against cerebral ischemic injury.
PMID- 21905285
TI - Oldenlandia diffusa Ameliorates Dextran Sulphate Sodium-Induced Colitis Through
Inhibition of NF-kappaB Activation.
AB - Ulcerative colitis (UC) is an inflammatory bowel disease, which is a chronic
gastrointestinal disorder. Oldenlandia diffusa (OD) has been used as a
traditional oriental medicine for inflammation. However, the regulatory effect
and molecular mechanism of OD in intestinal inflammation are not yet understood.
This study investigated the protective effect of OD in dextran sulfate sodium
(DSS)-induced colitis. Mice treated with DSS showed remarkable clinical signs,
including weight loss, and reduced colon length. Administration of OD attenuated
these signs and significantly suppressed levels of interleukin (IL)-6, IL-1beta
and expression of cyclooxygenase-2 in DSS-treated colon tissues. OD also reduced
the activation of transcription nuclear factor-kappaB p65 in DSS-treated colon
tissues. Hentriacontane, a constituent of OD, attenuated weight loss, colon
shortening, and levels of IL-6 caused by DSS. Taken together, the results provide
experimental evidence that OD might be a useful therapeutic medicine for patients
with UC.
PMID- 21905287
TI - Evidence for improved neuropharmacological efficacy and decreased neurotoxicity
in mice with traditional processing of Rhizoma Arisaematis.
AB - Rhizoma Arisaematis (RA, the rhizome of Pinellia pedatisecta Schott) is a
traditional Chinese medicine commonly used in the treatment of convulsions,
inflammation, and cancer. Despite the fact that it has been used for more than
2000 years, the pharmacological and toxic effects of traditionally processed
products of RA are still unclear. In this study, we attempted to investigate the
effects exerted by untreated crude RA and different preparations of RA treated
with alumen in combination with ginger juice (Zhinanxing) or bile juice
(Dannanxing) in ICR mice. The results showed that both the Zhinanxing and
Dannanxing water extracts exerted significantly increased sedative effects, as
indicated by the inhibitory effects on ambulatory distances, jumps, vertical
plane entries, and prolonged pentobarbital-induced sleeping time. The extracts
also exerted significantly increased analgesic effects (increase of tail flick
latency in nociceptive testing) in mice than did the unprocessed crude RA after
oral administration for one to three days, and effects persisted 18 days after
the cessation of treatment. By contrast, the toxic effects, such as an increase
in stereotype-1 episodes of locomotor activities and reduction of the retention
time on a rotating rod (motor equilibrium dysfunction), were observed only in
mice treated with the unprocessed crude RA for three consecutive days, and
effects persisted for 18 days after the cessation of treatment. These neurotoxic
effects were accompanied by an increase in plasma lipid peroxidation (LPO),
decrease in whole blood nitric oxide (NO(x)) levels, and inhibition of Na(+)/K(+)
ATPase activities in membrane fractions of erythrocytes and in the cerebral
cortex. In conclusion, these findings provide scientific evidence that the
processed RA indeed possesses not only enhanced neuropharmacological efficacy but
also reduced neurotoxic effects as compared to the unprocessed crude RA. The
signaling of NO(x)/oxidative stress/Na(+)-K(+)- ATPase activities played a role,
at least in part, in the underlying mechanisms of neurotoxic effects induced by
the crude RA.
PMID- 21905288
TI - Effects of Panax notoginseng saponins on proliferation and differentiation of rat
hippocampal neural stem cells.
AB - We aimed to investigate the effects of Panax notoginseng saponins (PNS) on
proliferation, differentiation and self-renewal of rat hippocampal neural stem
cells (NSCs) in vitro. Rat hippocampal NSCs were isolated from post-natal day 1
(P1) rats and cultured in a serum-free medium. The neurospheres were identified
by the expressions of nestin, class III beta-tublin (Tuj-1) and glial fibrillary
acid protein (GFAP). The cells were given PNS and subjected to oxygen glucose
deprivation (OGD) as an in vitro model of brain ischemia reperfusion. The
proliferation of NSCs was determined by MTT colorimetry, nestin/BrdU
immunofluorescent double-labeling and RT-PCR. Differentiation of NSCs was
assessed by immunofluorescent double-labeling of nestin/BrdU, nestin/vimentin,
and nestin/Tuj-1. The primary cells and the first two passages of cells formed
certain amount of neurospheres, the cells derived from a single cell clone also
formed neurospheres. Nestin, BrdU, GFAP and Tuj-1-positive cells appeared in
those neurospheres. Compared to the control group, PNS significantly promoted NSC
proliferation and the expression of nestin/BrdU, and also enhanced Tuj-1,
vimentin, and nestin mRNA expressions in hippocampal NSCs. PNS significantly
increased area density, optical density and numbers of nestin/BrdU,
nestin/vimentin, and nestin/Tuj-1 positive cells following OGD. These results
indicate that PNS can promote proliferation and differentiation of hippocampus
NCSs in vitro after OGD, suggesting its potential benefits on neurogenesis and
neuroregeneration in brain ischemic injury.
PMID- 21905289
TI - Inhibitory effect of pomegranate on intestinal sodium dependent glucose uptake.
AB - Intestinal glucose uptake is mainly performed by its specific transporters, SGLT1
and GLUTs expressed in the intestinal epithelial cells. By using Caco-2 cells and
2-NBDG, we observed that intestinal glucose uptake was markedly inhibited by
pomegranate (Punica granatum L, PG) among 200 screened edible Korean plants. The
effects of the PG extract on Na(+)-dependent glucose uptake were further
evaluated using brush border membrane vesicles (BBMV) obtained from the mouse
small intestine. PG inhibited Na(+)-dependent glucose uptake with the IC(50)
value of 424 MUg/ml. The SGLT1 protein expression was dose dependently down
regulated with PG treatment in Caco-2 cells. We next assessed the
antihyperglycemic effect of PG in streptozotocin (STZ)-induced diabetic mice.
Administration of PG (800 mg/kg) to STZ mice for four weeks improved postprandial
glucose regulation. Furthermore, elevated Na(+)-dependent glucose uptake by BBMV
isolated from STZ mice was normalized by PG treratment. These results suggest
that PG could play a role in controlling the dietary glucose absorption at the
intestinal tract by decreasing SGLT1 expression, and may contribute to blood
glucose homeostasis in the diabetic condition.
PMID- 21905290
TI - Comparative chemical and statistical analysis of cultivated and wild Radix
Scutellariae.
AB - Radix Scutellariae has been widely used to hasten the process of heat clearing
and dampness drying in traditional Chinese medicine. The resource of wild Radix
Scutellariae is scarce; an increasing amount of cultivated Radix Scutellariae has
become available in the market. To determine the clinical effects of Radix
Scutellariae, we conducted a comparative analysis of the chemical compositions of
cultivated and wild Radix Scutellariae. An HPLC fingerprint method was developed
to determine simultaneously the amounts of baicalin, baicalein, and wogonin,
which have been identified as active compounds in Radix Scutellariae. Chinese
pharmacopoeia methodology was also applied to measure the ethanolic extract
content of the wild and cultivated samples. Although the cultivated and wild
Radix Scutellariae have similar concentrations of baicalein and wogonin, the
concentrations of baicalin and ethanolic extracts are higher in the cultivated
samples (i.e., 15.14% +/- 1.11% and 56.90% +/- 2.83%, respectively, compared to
11.17% +/- 1.11%, and 44.16% +/- 2.02%, respectively, in the wild Radix
Scutellariae). Data from fingerprint analysis were statistically analyzed using
the decision tree and hierarchical cluster methods. The study was carried out
with 58 samples. Thus, the current study provides significant guidelines for
distinguishing cultivated and wild Radix Scutellariae.
PMID- 21905291
TI - Simultaneous determination of ten active components in 12 Chinese Piper species
by HPLC.
AB - Piper is a genus that is recently valued for the treatment of central nervous
system diseases. The major constituents, amides and lignans, are responsible for
the antinociceptive and antidepressant activities. This study developed a RP-HPLC
UV method for the simultaneous determination of eight amides and two lignans in
twelve different species of Piper. HPLC separation was accomplished on a C18
analytical column (5 MUm, 250 mm * 4.6 mm, i.d.) with a gradient mobile phase
consisting of acetonitrile and water at a flow rate of 1.0 ml/min. All the
calibration curves showed good linear correlation coefficients (r > 0.9997) over
the test ranges. The relative standard deviation of the current method was less
than 2.90% for intra- and inter-day assays and the average recoveries were
between 98.25% and 103.08%. The HPLC method established is appropriate for
quality control purposes and allows for the differentiation of Piper species.
PMID- 21905292
TI - Causes of abortion in Scottish sheep flocks in 2011.
PMID- 21905293
TI - Proceedings of the 36th Congress of the Societe de Biomecanique. August 31
September 2, 2011. Besancon, France.
PMID- 21905294
TI - Abstracts of EuroSpine 2011. October 19-21, 2011. Milan, Italy.
PMID- 21905295
TI - Abstracts of ESICM LIVES 2011, the 24th Annual Congress of the European Society
of Intensive Care Medicine. October 1-5, 2011. Berlin Germany.
PMID- 21905296
TI - A disarticulating spinal lesion. Bacterial abscess.
PMID- 21905298
TI - Abstracts of the 15th Congress of the European Federation of Neurological
Societies (EFNS). September 11-13, 2011. Budapest, Hungary.
PMID- 21905297
TI - Giant intraventricular mass arising from the septum pellucidum. Cavernoma.
PMID- 21905299
TI - [Abstracts of the 84th Annual Meeting of the Northern German Dermatological
Society. August 26-28, 2011. Magdebury, Germany].
PMID- 21905300
TI - Academia: Small-school science.
PMID- 21905301
TI - Abstracts of the 96th National Congress of the Italian Society of Orthopaedics
and Traumatology. Rimini, Italy. October 1-5, 2011.
PMID- 21905302
TI - Interview. Laurent Keller.
PMID- 21905303
TI - Valuing nature.
PMID- 21905304
TI - Alzheimer's association update July 2011.
PMID- 21905306
TI - Biological safety of nasal thallium-201 administration: a preclinical study for
olfacto-scintigraphy.
PMID- 21905305
TI - Intercellular communication amplifies stressful effects in high-charge, high
energy (HZE) particle-irradiated human cells.
PMID- 21905307
TI - Gene expression associated with DNA-dependent protein kinase activity under
normoxia, hypoxia, and reoxygenation.
PMID- 21905308
TI - Association between skin phototype and radiation dermatitis in patients with
breast cancer treated with breast-conserving therapy: suntan reaction could be a
good predictor for radiation pigmentation.
PMID- 21905309
TI - Effect of dose fractionation on pulmonary complications during total body
irradiation.
PMID- 21905310
TI - Radiation therapy in patients with implanted cardiac pacemakers and implantable
cardioverter defibrillators: a prospective survey in Japan.
PMID- 21905311
TI - Prediction of local failures with a combination of pretreatment tumor volume and
apparent diffusion coefficient in patients treated with definitive radiotherapy
for hypopharyngeal or oropharyngeal squamous cell carcinoma.
PMID- 21905312
TI - SunMed, LLC, bougies.
PMID- 21905313
TI - A call to action.
PMID- 21905314
TI - Acute medicine--an alternative take.
PMID- 21905315
TI - Acute medicine--an alternative take.
PMID- 21905316
TI - A patient with recurrent oedema of the hands and a collapse.
PMID- 21905317
TI - What reductions in dependency cost result from treatment in an inpatient
neurological rehabilitation unit for people with stroke?
PMID- 21905318
TI - The need for dedicated dermatology beds.
PMID- 21905319
TI - Emergency visits after recent percutaneous coronary intervention.
PMID- 21905321
TI - Abstracts of the 15th Congress of the European Society for Organ Transplantation
& 22nd Annual Conference of the British Society for Histocompatibility &
Immunogenetics. September 4-7, 2011. Glasgow, United Kingdom.
PMID- 21905322
TI - "Mr. Burk is most interested in their welfare": J.G. Burk's campaign to help the
Anishinabeg of northwestern Ontario, 1923-53.
AB - Although there is a small but growing body of literature on Euro-Canadians who
acted "with good intentions" towards the First Nations (Haig-Brown and Nock
2006), precious little has been written about those within the ranks of the
Department of Indian Affairs who acted benevolently towards the Aboriginal
peoples. James Gerry Burk, Indian agent for the Anishinabeg of the western Lake
Superior region for three decades (1923-53), was one such individual. He chose to
ignore the department's prevailing racist ideology in favour of nurturing the
incipient desire for industry and enterprise that he saw first-hand among the
Aboriginal constituents of his agency. In the process, he was compelled to
overcome numerous obstacles that Indian Affairs placed in his way. As a result,
Burk's career stands as a glowing testament to the indomitable spirit of one
departmental official's commitment to assisting the Aboriginal peoples.
PMID- 21905320
TI - [Special report on vaccination week: measles, April No. 4/2011 1].
PMID- 21905323
TI - Enduring pictures in our heads: the continuance of authoritarianism and racial
stereotyping.
AB - This study highlights the importance of examining the influence of personality
measures, specifically authoritarianism, on negative racial stereotyping, even in
an era of alleged color blindness. The authors examine the relationship of
various demographic variables and authoritarianism with negative racial
stereotyping in a sample of White urban respondents. Current literature suggests
that age, sex, marital status, religious identification, religious service
attendance, education level, income, political affiliation, level of
authoritarianism, and the demographic composition in an individual's local
population all affect racial stereotyping. The evidence presented, using path
analysis, suggests that some demographic characteristics influence the level of
negative racial stereotyping. While the effects of most included demographic
characteristics were statistically significant, others, which continually
resurface in the literature, remained insignificant (such as the demographic
composition of the respondent's area). The results of this study challenge the
loss of traditional prejudice with color blindness and point to the importance of
authoritarianism as a mediating factor in negative racial stereotyping. The
authors conclude the greatest indicators of negative racial stereotyping included
in this study are authoritarianism, education, and income, while many other
demographics - such as marital status, religious identification and attendance,
and political affiliation - have indirect influences through authoritarianism.
PMID- 21905324
TI - Examining the long-term racial disparities in health and economic conditions
among Hurricane Katrina survivors: policy implications for Gulf Coast recovery.
AB - This study examines disparities in the long-term health, emotional well-being,
and economic consequences of the 2005 Gulf Coast hurricanes. Researchers analyzed
the responses of 216 Black and 508 White Hurricane Katrina survivors who
participated in the ABC News Hurricane Katrina Anniversary Poll in 2006. Self
reported data of the long-term negative impact of the hurricane on personal
health, emotional well-being, and finances were regressed on race, income, and
measures of loss, injury, family mortality, anxiety, and confidence in the
government. Descriptive analyses, stepwise logistic regression, and analyses of
variance revealed that Black hurricane survivors more frequently reported
hurricane-related problems with personal health, emotional well-being, and
finances. In addition, Blacks were more likely than Whites to report the loss of
friends, relatives, and personal property.
PMID- 21905325
TI - South Africans and Mexicans in Florida: intergroup conflict.
AB - Newly arriving immigrants from Southern Africa and Mexicans do not get on well in
the sunbelt state of Florida. A persistent theme emerging from discussions with
South Africans on their relationship with Mexicans is that both sides perceive
the other as culturally ethnocentric. The antagonistic relationship between both
social groups is due to strong ethnic bonds and the clash of cultures.
PMID- 21905326
TI - African American students' reactions to Benjamin Cooke's "Nonverbal Communication
Among Afro-Americans: An Initial Classification".
AB - The nonverbal communication behavior of Black people continues to take new forms
as time progresses. In Kochman's 1972 book, Rappin' and Stylin' Out:
Communication in Urban Black America, Benjamin Cooke introduced an initial
classification and code of nonverbal behaviors among people of African descent.
In this study, students react to Cooke's study conducted in the late 1960s by
commenting on Cooke's initial findings in comparison to nonverbal behaviors
practiced among Black people as of late. Respondents suggest that while
differences and variations exist between the expression of nonverbal behaviors
exhibited by the original group studied and people recently observed, there yet
remains a similarity in the cultural significance and motivation behind the
displays.
PMID- 21905327
TI - Between black and brown: blaxican (black-Mexican) multiracial identity in
California.
AB - This article explores the racial/ethnic identities of multiracial Black-Mexicans
or "Blaxicans." In-depth interviews with 12 Blaxican individuals in California
reveal how they negotiate distinct cultural systems to accomplish multiracial
identities. I argue that choosing, accomplishing, and asserting a Blaxican
identity challenges the dominant monoracial discourse in the United States, in
particular among African American and Chicana/o communities. That is, Blaxican
respondents are held accountable by African Americans and Chicanas/os/Mexicans to
monoracial notions of "authenticity." The process whereby Blaxicans move between
these monoracial spaces to create multiracial identities illustrates crucial
aspects of the social construction of race/ethnicity in the United States and the
influence of social interactions in shaping how Blaxicans develop their
multiracial identities.
PMID- 21905330
TI - A response to Bugeja, Clapperton, Killian, Stephan and Ozanne-Smith.
PMID- 21905331
TI - Geographical information systems: an effective planning and decision-making
platform for community health coalitions in Australia.
AB - The development of locally-based healthcare initiatives, such as community health
coalitions that focus on capacity building programs and multi-faceted responses
to long-term health problems, have become an increasingly important part of the
public health landscape. As a result of their complexity and the level of
investment, it has become necessary to develop innovative ways to help manage
these new healthcare approaches. Geographical Information Systems (GIS) have been
suggested as one of the innovative approaches that will allow community health
coalitions to better manage and plan their activities. The focus of this paper is
to provide a commentary on the use of GIS as a tool for community coalitions and
discuss some of the potential benefits and issues surrounding the development of
these tools.
PMID- 21905332
TI - Per diem payments for the care provided to eligible veterans evacuated from a
state home as a result of an emergency. Final rule.
AB - The Department of Veterans Affairs (VA) amends its regulations concerning per
diem payments to States to permit continuation of such payments in some
situations for veterans who have been evacuated from a State home as a result of
an emergency. Per diem is the daily rate paid by VA to a State for providing a
specified level of care to eligible veterans in a facility that is officially
recognized and certified by VA. This final rule authorizes VA to continue to pay
per diem when veterans for whom VA is paying per diem are evacuated as a result
of an emergency from a State home to a facility that is not recognized by VA as a
State home. The rule requires, in order for per diem payments to continue while
the veteran is relocated due to an emergency, that an appropriate VA official
determine whether an emergency exists and whether the facility to which veterans
may be evacuated (evacuation facility) complies with certain minimum standards.
The rule establishes the minimum standards that facilities to which veterans are
evacuated must meet in order for States to continue receiving per diem for
relocated veterans. These standards also apply to evacuation facilities when
veterans are evacuated from contract nursing homes.
PMID- 21905333
TI - [Treatment of chronic migraine].
PMID- 21905334
TI - [Risk factors, features of clinical course and treatment approaches in aged
patients with cerebral stroke].
PMID- 21905335
TI - [Chronobiology of depression: a role of suprachiasmatic nuclei of the
hypothalamus and clock genes].
PMID- 21905336
TI - [The quantitative characteristics of alcoholism course: the order of disease
trait appearance].
PMID- 21905337
TI - [Prevalence of sleep disorders in citizens of Chuvash Republic (results from
complete interview study)].
PMID- 21905338
TI - [Adaptol in the treatment of chronic cervicogenic headache].
PMID- 21905339
TI - [Pharmacologic neuroprotection of the brain in carotid artery surgeries].
PMID- 21905340
TI - [Cognitive disorders in patients with chronic heart failure].
PMID- 21905341
TI - [Thalamic dementia].
PMID- 21905342
TI - [Psychotic disorders in Parkinson's disease and dementia with Lewy bodies].
PMID- 21905343
TI - [Diagnosis and treatment of multiple sclerosis].
PMID- 21905344
TI - [Clinical characteristics and treatment of depersonalization disorders].
PMID- 21905345
TI - [12th Annual Congress of the Croatian Rheumatologic Society HLA-a. Zadar, 14-17
October 2010].
PMID- 21905346
TI - Summary health statistics for U.S. adults: National Health Interview Survey,
2009.
AB - OBJECTIVES: This report presents health statistics from the 2009 National Health
Interview Survey (NHIS) for the civilian noninstitutionalized adult population,
classified by sex, age, race and ethnicity, education, family income, poverty
status, health insurance coverage, marital status, and place and region of
residence. Estimates are presented for selected chronic conditions and mental
health characteristics, functional limitations, health status, health behaviors,
health care access and utilization, and human immunodeficiency virus testing.
Percentages and percent distributions are presented in both age-adjusted and
unadjusted versions. DATA SOURCE: NHIS is a household, multistage probability
sample survey conducted annually by interviewers of the U.S. Census Bureau for
the Centers for Disease Control and Prevention's National Center for Health
Statistics. In 2009, data were collected on 27,731 adults in the Sample Adult
questionnaire. The conditional response rate was 80.1%, and the final response
rate was 65.4%. The health information for adults in this report was obtained
from one randomly selected adult per family. In very rare instances where the
sample adult was not able to respond for himself or herself, a proxy was used.
HIGHLIGHTS: In 2009, 61% of adults aged 18 years and over reported excellent or
very good health. Fifty-five percent of adults had never participated in any type
of vigorous leisure-time physical activity, and 17% of adults did not have a
usual place of health care. Twelve percent of adults had been told by a doctor or
health professional that they had heart disease, and 24% had been told on two or
more visits that they had hypertension. Twenty-one percent of all adults were
current smokers, and 21% were former smokers. Based on estimates of body mass
index, 35% of adults were overweight, and 27% were obese.
PMID- 21905347
TI - Introducing 'technique tips'.
PMID- 21905348
TI - Technique tips--a simple and aesthetic way to intrude upper incisors.
PMID- 21905349
TI - Recurrent intra-oral herpes simplex 1 infection.
AB - Human herpes simplex 1 virus (HSV-1) is a DNA virus that has the ability to lie
latent and be subsequently re-activated at any point during a patient's life. In
the immunocompetent patient, resolution of clinical signs and symptoms usually
occurs spontaneously after 14 days. In the immunocompromised patient, healing is
often delayed and the effects are much more debilitating. Indications for
therapeutic regimes of systemic antiviral treatment are discussed. CLINICAL
RELEVANCE: Recurrent oral ulceration caused by HSV-1 may be seen by the general
dental practitioner and can cause significant morbidity.
PMID- 21905350
TI - Caries and the older patient.
AB - Ageing of the population, together with prolonged retention of teeth, has brought
new challenges to dentistry. Whereas in the past oral care for the elderly was
restricted to provision of dentures, older patients are now presenting with
dental caries and failed restorations. These problems may have an impact on their
general health and quality of life. Poor oral hygiene, xerostomia and diet are
among the risk factors for caries in older patients and need to be addressed in
order to achieve control of the disease. Carious lesions can be treated
conservatively in many cases or may need surgical management. CLINICAL RELEVANCE:
Caries is an oral health issue among older patients and can result in tooth loss.
Oral health has a great impact on general health and quality of life of elderly
people.
PMID- 21905351
TI - Treatment options for the free end saddle.
AB - Many treatment options are available for the management of the free end saddle.
This paper reviews past and current treatment methods for management of this
situation. CLINICAL RELEVANCE: To understand the problem posed by the free end
saddle and the techniques available to clinicians for its management in general
dental practice.
PMID- 21905352
TI - Lingual orthodontics: an overview.
AB - As adults increasingly seek orthodontic treatment, a growth has been witnessed in
the demand for aesthetic orthodontics, the ultimate of which are appliances
bonded to the lingual surfaces of the teeth. Development has spanned 30 years and
many of the initial challenges faced with this approach have now been overcome.
An overview is provided on the development of lingual appliances from conception
through to the current systems available. Lingual orthodontics is not for every
patient seeking treatment and therefore the indications and contra-indications
are discussed, together with the advantages and disadvantages of this
increasingly popular approach. CLINICAL RELEVANCE: Patients are increasingly
asking dental professional about lingual appliances.
PMID- 21905353
TI - Differential diagnosis for orofacial pain, including sinusitis, TMD, trigeminal
neuralgia.
AB - Correct diagnosis is the key to managing facial pain of non-dental origin. Acute
and chronic facial pain must be differentiated and it is widely accepted that
chronic pain refers to pain of 3 months or greater duration. Differentiating the
many causes of facial pain can be difficult for busy practitioners, but a logical
approach can be beneficial and lead to more rapid diagnoses with effective
management. Confirming a diagnosis involves a process of history-taking, clinical
examination, appropriate investigations and, at times, response to various
therapies. CLINICAL RELEVANCE: Although primary care clinicians would not be
expected to diagnose rare pain conditions, such as trigeminal autonomic
cephalalgias, they should be able to assess the presenting pain complaint to such
an extent that, if required, an appropriate referral to secondary or tertiary
care can be expedited. The underlying causes of pain of non-dental origin can be
complex and management of pain often requires a multidisciplinary approach.
PMID- 21905354
TI - Allergy to local anaesthetic agents used in dentistry--what are the signs,
symptoms, alternative diagnoses and management options?
AB - This paper addresses the signs and symptoms of local anaesthetic
hypersensitivity, differential diagnoses and the management of a patient with
suspected allergy to local anaesthetics. CLINICAL RELEVANCE: While allergy to
anaesthetic is rare, knowledge of other causes of similar symptoms is important.
PMID- 21905355
TI - Fabrication of an auricular prosthesis: a case report.
AB - The fabrication of ear prosthesis is considered by many prosthetists to be one of
the more difficult replacements in maxillofacial reconstruction. The severe
undercuts and pronounced convolutions of the ear's surface present a challenge in
simulating a natural proportioned prosthesis. The mould for the ear is generally
made by creating a three surface die to reproduce the unique configuration
adequately and to allow retrieval of the finished prosthesis without damage. This
article presents an outlined procedure in the basic fabrication of a prosthetic
ear by a conventional technique where the wax pattern is fabricated from the
impression of an individual with a similarly proportioned ear. CLINICAL
RELEVANCE: Fabricating an auricular prosthesis may be part of the work of a
maxillofacial department.
PMID- 21905356
TI - Personal development plans (in primary dental care)--getting started.
AB - This article reviews how to develop a personal development plan, its benefits and
how it may relate to the GDC's proposed revalidation scheme. CLINICAL RELEVANCE:
Revalidation is an essential part of reassuring the public that the profession
delivers care to appropriate standards in safe work environments. A personal
development plan is a key element in improving performance and quality of care.
PMID- 21905357
TI - The use of adhesive materials in gingival aesthetics.
PMID- 21905358
TI - Optimizing impressioning?
PMID- 21905359
TI - Oral cancer: comprehending the condition, causes, controversies, control and
consequences. 8. Communicating about cancer.
PMID- 21905360
TI - Physical signs for the General Dental Practitioner. Case 86. Ascites.
PMID- 21905361
TI - Changes in the field of endodontics.
PMID- 21905362
TI - Endodontic prognosis assessment.
AB - There are several variables which must be considered before initiating endodontic
treatment, including assessing the feasibility of endodontic treatment,
addressing past, present and future periodontal concerns, determining the
restorability of the tooth, and detecting root fractures. If these parameters are
not carefully evaluated, then short- or long-term endodontic success may be
questionable. Endodontic prognosis assessment is often subjective, based on
objective finding, but ultimately determined by the experience of the operator.
Although dentists must rely upon evidence-based research to determine the best
modality of treatment, good clinical judgment and experience may override the
most objective findings. This article discusses the objective and subjective
criteria which must be evaluated for determining the potential prognosis of
endodontic treatment.
PMID- 21905363
TI - Irrigation in endodontic treatment.
AB - The primary endodontic treatment goal is to optimize root canal disinfection and
to prevent reinfection. Successful root canal therapy relies on the combination
of proper instrumentation, irrigation, and obturation of the root canal system.
In this review of the literature, various irrigants and the interactions between
irrigants are discussed and new delivery systems are introduced.
PMID- 21905364
TI - Endoscopy in endodontics.
AB - A successful outcome of endodontic treatment depends to a large extent on
accurate intraoperative findings. Conventionally, micromirrors and microprobes
have been used for this purpose. The dental operating microscope (DOM) has been
implemented to enhance visibility during dental procedures. However, the
microscope, a sizable tool, remains between operating field and the dental
practitioner, making his ability to manipulate more complicated. Also, the
interference of the hands and the handpiece with the visualization of the
surgical field and inaccurate observation of the endodontic instruments during
the procedure. Endoscopy reportedly provides the dentist with excellent vision
and ease of use. It also provides a better intraoperative visualization in
comparison with micromirrors. Further development of endoscopy made it possible
to combine magnification, light, irrigation/suction and surgical microinstruments
in one device. This combination could lead to an advanced root canal treatment
technique.
PMID- 21905365
TI - From files to SAF: 3D endodontic treatment is possible at last.
AB - 3D cleaning, shaping and obturation of root canals has always been the desired
goal of endodontic treatment which in many cases is difficult to attain. The
introduction of NiTi rotary files made a major change in endodontic practice,
making treatment easier, safer and faster. Nevertheless, after 16 years of
intensive development, most of these instruments still share several drawbacks,
the major one being the inability to three-dimensionally clean and shape oval
root canals. The Self-Adjusting File (SAF) System was designed to overcome many
of the current drawbacks of rotary file systems. It is based on a hollow, highly
compressible file that adapts itself three-dimensionally to the shape of a given
root canal, including its cross section. The file is operated with vibratory in
and-out motion, with continuous irrigation delivered by a peristaltic pump
through the hollow file. A uniform layer of dentin is removed from the whole
circumference of the root canal, thus achieving the main goals of root canal
treatment while preserving the remaining root dentin. The 3D scrubbing effect of
the file, combined with the always fresh irrigant, result in unprecedentedly
clean canals which facilitate in turn better obturation. More effective
disinfection of flat-oval root canals is another goal which is simultaneously
attained. The safety of the root-canal treatment is also greatly enhanced by the
high mechanical stability of the SAF and by using a new concept of no-pressure
irrigation. The SAF System gets the operator much closer to the long-desired goal
of 3D root-canal treatment.
PMID- 21905366
TI - The coming era of regenerative endodontics: what an endodontist needs to know.
AB - Recently, two new clinical concepts have emerged for the management of
endodontically compromised immature permanent teeth. One involves a
revitalization approach to achieve tissue generation and regeneration in the root
canal system. In this method, new living tissue is expected to form in the
cleaned canal space allowing continued root development in terms of both length
and thickness. The other is the active pursuit of pulp/dentine regeneration via
tissue engineering technology to implant or re-grow pulps. Although the
technology is still at its infancy, it has potential to benefit immature pulpless
teeth by allowing continued growth and maturation. Evidence has shown that using
dental stem cells, pulp and dentin can be regenerated in the root canal space. It
is foreseeable that a decade or two from now, regenerative endodontics is likely
to be an alternative treatment modality for clinical endodontics. It is therefore
important for us to understand stem cells and tissue regeneration and be prepared
for this clinical practice.
PMID- 21905367
TI - A matter of money.
PMID- 21905368
TI - Chief nursing officer post to be downgraded in DH shake-up.
PMID- 21905369
TI - CHRE calls for 'humane working environment' not HCA regulation.
PMID- 21905370
TI - Soaring applications for degrees as interest in profession peaks.
PMID- 21905371
TI - NHS managers told to tackle sickness rates with 'urgency'.
PMID- 21905372
TI - The case for healthcare assistant regulation becomes overpowering.
PMID- 21905373
TI - Are care complaints and worker satisfaction linked?
PMID- 21905375
TI - Notes from an outsider.
AB - About 1,000 nurses from overseas live in the UK as refugees, but many cannot work
due to an English language test.
PMID- 21905374
TI - Healing the sole.
AB - The benefits of reflexology are explored in the fourth article in our series on
complementary and alternative therapies.
PMID- 21905376
TI - Space-age operations.
AB - Robotic surgery at Kent and Canterbury Hospital has enabled a nurse specialist to
extend her role and assist a surgeon with operations.
PMID- 21905377
TI - Data on tap.
AB - Renal PatientView is an innovative online resource for patients who have kidney
disease. It enables them to access blood test results and information about their
diagnosis and treatment from anywhere in the world.
PMID- 21905378
TI - Supporting the lecturer to deliver high-fidelity simulation.
AB - In response to a shortage of clinical practice placements for pre-registration
nurses and midwives, nursing faculties have been examining alternative ways to
support students to develop their clinical skills, with simulation being one of
the more popular methods. In a nursing context, simulation is often used to
replicate a clinical setting, such as a hospital ward or the patient's home. Some
universities have introduced clinical suites that enable replication of clinical
environments and offer the use of human patient simulators to mimic patient
focused scenarios. This article describes a small informal review that aimed to
identify how lecturers felt about simulation in one faculty using high-fidelity
simulated scenarios to inform the development of a subsequent research study. The
results indicate that although many staff use simulation and believe it is a
beneficial approach to learning, many also lack confidence and do not feel
sufficiently prepared in its use. Most participants felt that the development of
a simulation module for lecturers would increase their confidence.
PMID- 21905379
TI - Caring for a patient newly diagnosed with COPD: a reflective account.
AB - In this article I reflect on my role as a respiratory nurse specialist caring for
a patient newly diagnosed with chronic obstructive pulmonary disease (COPD). The
aim of the article is to demonstrate the importance of specialist and holistic
care for patients with COPD, as well as highlighting the need to carry out a
thorough assessment to ensure that an accurate diagnosis is obtained and an
appropriate plan of care is initiated. A model of reflection is used to promote
self-awareness and provide a framework for reflection.
PMID- 21905380
TI - Care of patients following liver transplantation.
AB - This article provides an overview of the care of patients following liver
transplantation. It focuses on the immediate post-operative care, the role of the
transplant co-ordinator in providing support and education and the long-term
follow up required to promote health and quality of life in this specific patient
group.
PMID- 21905381
TI - Nutrition.
PMID- 21905382
TI - A sense of structure.
PMID- 21905383
TI - Top care down under.
PMID- 21905384
TI - Finding the feel-good factor.
PMID- 21905385
TI - Mesothelioma in an individual following exposure to crocidolite-containing
gaskets as a teenager.
AB - Mesothelioma is considered a signal tumor for asbestos exposure and typically
occurs decades after first exposure to asbestos. Tissue analysis often indicates
past exposure to mixed types of asbestos. This report describes the case of a 58
year-old man who developed mesothelioma after reported exposure to crocidolite
from asbestos-containing gaskets beginning at age 16 during three summers during
high school and for approximately four hours per day during the last semester of
his senior year. He had no further known exposure to asbestos. Analytical
transmission electron microscopy analysis of digested tissue samples revealed
elevated levels of crocidolite asbestos fibers and the presence of crocidolite
cored ferruginous bodies. This case is unique in that it establishes that
relatively short and/or intense exposures to crocidolite asbestos traumatically
released from a previously classified Category 1 nonfriable asbestos-containing
material (NESHAP) was confirmed via tissue burden analysis years following the
historically defined exposures.
PMID- 21905387
TI - Carbon monoxide poisoning in the United Arab Emirates.
AB - Carbon monoxide (CO) poisoning is rare in the Arabian Peninsula and occurs almost
exclusively during the winter months. Knowledge and perception of the hazards of
carbon monoxide is limited. Migrant workers from warm climates appear
particularly at risk. We investigated 46 cases of carbon monoxide poisoning
presenting at emergency departments from 2007-2009 of the two main hospitals in
Al Ain city, United Arab Emirates. Interviews, hospital records, and administered
questionnaires were used to collect the data. Among the 46 cases investigated, 24
(52%) were males. Foreign nationals compromised 80% of the cases and the
incidence was 3.1 cases per 100,000 residents per year. Burning charcoal in
poorly ventilated residences was the predominant source of the carbon monoxide
poisoning. Almost all cases (98%) were admitted during the winter months, most in
the early morning hours. Carboxyhaemoglobin (COHb) was significantly increased in
cases with loss of consciousness and depressed consciousness. There were no
reported fatalities.
PMID- 21905386
TI - Congenital anomalies in the offspring of nurses: association with area of
employment during pregnancy.
AB - The purpose of this study was to determine whether registered nurses in specific
areas of employment during pregnancy had a higher risk for congenital anomalies
in their offspring. An offspring cohort (n = 22,611) was created through linkage
of the British Columbia Vital Statistics Agency live and stillbirth records from
1986 to 2000, to a female cohort database of registered nurses. Of these, 16,005
(70.8%) were registered in a specific area of employment when pregnant. Odds
ratios were calculated using generalized estimating equations (GEE), binary
logistic regression with adjustment for sex, mother's age, and year of birth.
Elevated risks of congenital anomalies were found for the singleton offspring of
nurses employed in the following areas: operating rooms and pediatric nursing
units (heart anomalies); maternal newborn units (integument); emergency room
(respiratory system); and psychiatry (upper alimentary tract). Further research
is needed to determine whether these are chance or consistent findings and
whether exposure patterns might provide biological plausibility.
PMID- 21905388
TI - Acute silicosis in teflon-coated pan manufacturing due to metal sandblasting.
AB - Sandblasting is one of the occupational causes of silicosis. This report details
three cases diagnosed as silicosis caused by sandblasting in Teflon-coated pan
manufacturing: Case 1--A 24-year-old man admitted with dyspnea and cough; Case 2-
An 18-year-old man admitted with shortness of breath and fever; and Case 3--A 25
year-old man admitted with dyspnea and weight loss. Chest examinations of the
first and second cases revealed crackles in both lungs, but the third case was
normal, no crackles. Chest x-rays showed bilateral reticulonodular densities and
hilar enlargement in all cases. They were clinically and radiologically diagnosed
as silicosis due to occupational exposure. All cases had worked in the
sandblasting unit at a Teflon-coated pan manufacturing factory for one to three
years. Silicosis is a preventable occupational lung disease, but no effective
treatment is available for the disease yet. Improving workplace conditions is the
most effective way to prevent silicosis.
PMID- 21905389
TI - Occupational injury in rural Bangladesh: data gathering using household survey.
AB - Occupational injuries are estimated to cause over 300,000 deaths per year
worldwide. Many low- and middle-income countries often lack effective injury
surveillance systems. We attempted to utilize household surveys to collect
occupational injury data to develop more accurate injury incidence data. We
undertook a pilot study of this approach in the rural area of Mirsarai,
Bangladesh. Surveys were administered to 2,017 males and 120 females. Sixty-five
percent were self-employed and over 80% worked in work places with less than six
employees; over 60% worked seven days per week. Just over 50% of subjects
reported at least one injury at work in the prior year. Incidence of lost-time
injuries was 31%. The median number of work days lost was 7. The injury rates
were higher than ILO estimates for Bangladesh, perhaps because of our study's
focus on a rural population. We recommend expanding to larger and a more
representative sample of the Bangladesh working community.
PMID- 21905390
TI - SALTRA: a regional program for workers' health and sustainable development in
Central America.
AB - In 2003, the university-based Program on Work and Health in Central America,
SALTRA, was launched to build national and regional capacities in occupational
safety and health with the goal of preventing and reducing poverty in Central
America. SALTRA has implemented 20 projects including action projects in priority
sectors (e.g., construction, sugarcane, hospitals, migrant coffee workers);
strengthening of surveillance (occupational health profiles, carcinogenic
exposures, fatal injuries and pesticides); a participatory model for training and
risk monitoring by workers; building occupational health capacity for
professionals, employers, and workers, with collaborating networks between the
countries; strengthening of universities in work, environment, and health;
studies of serious occupational and environmental situations; communication
channels; and continued efforts to raise political awareness. SALTRA has placed
issues of workers' health on political, business, and academic agendas throughout
the region and has laid the foundations for achieving substantial future
improvements in health conditions of all workers in the region. External
evaluators envisioned SALTRA as an innovative development model.
PMID- 21905391
TI - Social determinants of workers' health in Central America.
AB - This communication summarizes the available data on work-related determinants of
health in Central America. The Central American working population is young and
moving from agriculture toward industry and services. Ethnicity, gender,
migration, subemployment and precarious work, informality, rural conditions, low
level educational, poverty, ubiquitous worksite health hazards, insufficient
occupational health services, low labor inspection density, and weak unions
define the constellation of social determinants of workers' health in Central
America. Data are, however, scanty both for hazards and work-related illnesses
and injuries. Governments and industries have the responsibility of opening
decent work opportunities, especially for those facing multiple inequalities in
social determinants of health. A first step would be the ratification and
implementation of the ILO Convention (187) on occupational safety and health by
the seven national governments of the region.
PMID- 21905392
TI - Fatal occupational injuries in Nicaragua, 2005.
AB - We attempt to estimate the rate of fatal occupational injuries (FOI) in Nicaragua
for 2005, using 10 incomplete data sources. Based on the 173 identified FOIs, the
crude empirical FOI rate estimate was 8.3 per 100,000 employed (12.3 men; 1.8
women) and highest in the 25-29 age group (15). The overall rate, corrected by
capture-recapture modeling, was 11.6. Manufacturing represented a high rate
(11.7); the formal economy rate (12.3) was higher than the informal economy (6);
mining (110.3) and electricity (76.2) had the highest industry rates; and the
most common agents of FOIs were motor vehicles. With 10 major sources, the extent
of FOIs remains grossly underestimated and biased across worker strata. The FOIs
among informal and agricultural workers tend to remain invisible, as there is no
systematic surveillance by any agency. Changes in legislation and implementation
are necessary to correct the situation.
PMID- 21905393
TI - Registration of fatal occupational injuries in Costa Rica, 2005-2006.
AB - Data on fatal occupational injuries (FOIs) for Latin America are controversial.
Costa Rican national rates are inconsistent with estimates extrapolated from
other countries. We reviewed the files for all possible FOIs in Costa Rica for
2005-2006 at the National Insurance Institute and at the Center of Forensic
Sciences by formality/informality of work, sex, age, economic activity,
occupation, and cause of death. The national mortality rate was estimated at
9.5/100,000 person-years (342 deaths). The informal/formal rate ratio was 1.06.
Men's rates were over 10 times higher than women's and increased with age. The
highest rates were found for transport, storage, and communication (32.1/100,000
person-years), and, by occupation, for messengers and delivery men (91.4).
Leading causes of death were traffic injuries and gunshots. Recalculated rates
are probably underestimates. Data limitations include the absence of systematic
identification and registration among informal sector workers and other groups
such as children and farm workers.
PMID- 21905394
TI - CAREX Nicaragua and Panama: Worker exposures to carcinogenic substances and
pesticides.
AB - This study provides data on numbers of workers exposed at work to selected
carcinogens and pesticides in Nicaragua (35 substances) and Panama (31), based on
a modification of the CAREX data system. Population censuses provided industry-
and sex-specific workforce numbers. The activity- and sex-specific proportions of
exposed workers were estimated by experts from governmental agencies, workers'
organizations, and employers' representatives. Finally, the numbers of those
occupied in each activity/sex category were multiplied by the proportions of
those exposed in the same categories, yielding numbers of those exposed in these
categories for each agent. The study revealed high proportions (> 9%) of
occupationally exposed workers in both countries for solar radiation and diesel
engine emissions; environmental tobacco smoke in Panama; and some pesticides in
Nicaragua. A high proportion of exposed was found for men for lead (12%), silica
dust (10%), and hexavalent chromium (10%) in Panama.
PMID- 21905396
TI - Climate change, workplace heat exposure, and occupational health and productivity
in Central America.
AB - Climate change is increasing heat exposure in places such as Central America, a
tropical region with generally hot/humid conditions. Working people are at
particular risk of heat stress because of the intrabody heat production caused by
physical labor. This article aims to describe the risks of occupational heat
exposure on health and productivity in Central America, and to make tentative
estimates of the impact of ongoing climate change on these risks. A review of
relevant literature and estimation of the heat exposure variable wet bulb globe
temperature (WBGT) in different locations within the region were used to estimate
the effects. We found that heat stress at work is a real threat. Literature from
Central America and heat exposure estimates show that some workers are already at
risk under current conditions. These conditions will likely worsen with climate
change, demonstrating the need to create solutions that will protect worker
health and productivity.
PMID- 21905395
TI - Monitoring pesticide use and associated health hazards in Central America.
AB - We established methods for monitoring pesticide use and associated health hazards
in Central America. With import data from Belize, Costa Rica, El Salvador,
Guatemala, Honduras, Nicaragua, and Panama for 2000-2004, we constructed
quantitative indicators (kg active ingredient) for general pesticide use,
associated health hazards, and compliance with international regulations. Central
America imported 33 million kg active ingredient per year. Imports increased 33%
during 2000-2004. Of 403 pesticides, 13 comprised 77% of the total pesticides
imported. High volumes of hazardous pesticides are used; 22% highly/extremely
acutely toxic, 33% moderately/severely irritant or sensitizing, and 30% had
multiple chronic toxicities. Of the 41 pesticides included in the Stockholm
Convention on Persistent Organic Pollutants (POPs), the Rotterdam Convention on
Prior Informed Consent (PIC), the Montreal Protocol on Substances that Deplete
the Ozone Layer, the Pesticide Action Network (PAN) Dirty Dozen, and the Central
American Dirty Dozen, 16 (17% total volume) were imported, four being among the
13 most imported pesticides. Costa Rica is by far the biggest consumer. Pesticide
import data are good indicators of use trends and an informative source to
monitor hazards and, potentially, the effectiveness of interventions.
PMID- 21905397
TI - Stop Canadian death export of asbestos.
PMID- 21905398
TI - Development of a fluoridated, daily-use toothpaste containing NovaMin technology
for the treatment of dentin hypersensitivity.
PMID- 21905399
TI - Physical and chemical characterization of dentin surface following treatment with
NovaMin technology.
AB - OBJECTIVE: The aim of this study was to characterize, in vitro, the mode of
action of calcium sodium phosphosilicate (NovaMin) in occluding dentin tubules
for the purpose of treating dentin hypersensitivity. METHODS: Calcium sodium
phosphosilicate (CSPS) was combined with artificial saliva on surfaces of
prepared dentin discs. The layer formed was initially examined by a scanning
electron microscope (SEM). Focused ion beam (FIB) milling was used to make bulk
cross-sections and thin film lamellae. Low kV scanning transmission electron
microscopy (STEM), energy dispersive x-ray spectroscopy (EDS), and selected area
electron diffraction were then used to characterize, chemically and structurally,
the layer formed and the material occluding the tubules. Experiments were also
performed to assess the suitability of using an environmental scanning electron
microscope (ESEM) in wet mode to follow the transition from CSPS to
hydroxyapatite. RESULTS: SEM imaging showed that a layer was formed on the
treated dentin samples, and that this layer occluded tubules. Chemical and
structural analysis of this material showed that it was hydroxyapatite-like. The
wet mode ESEM experiments demonstrated that this technique has the potential to
follow the transition from CSPS to the crystalline hydroxyapatite material.
CONCLUSION: The use of modern imaging and analysis techniques has demonstrated,
in vitro, the reaction of CSPS from an amorphous material to a crystalline
hydroxyapatite-like material. These experiments confirmed an occlusion mode of
action for CSPS for the treatment of dentin hypersensitivity.
PMID- 21905400
TI - Physical and chemical characterization of the surface layers formed on dentin
following treatment with a fluoridated toothpaste containing NovaMin.
AB - OBJECTIVE: To characterize in vitro the formation and robustness of a layer
formed on dentin following treatment with a fluoridated toothpaste containing
calcium sodium phosphosilicate (NovaMin) using modem imaging and analysis
techniques. METHODS: Calcium sodium phosphosilicate (CSPS)-containing toothpaste
was brushed on to etched dentin specimens twice daily for up to five days. In
between applications the samples were stored in artificial saliva. Additionally,
certain samples underwent a chemical challenge in the form of a dietary acid,
whereby samples were exposed to a cola or grapefruit juice beverage for five
minutes on day 4 of the five-day study. The ability of the CSPS-containing
formulation to occlude tubules was assessed visually by scanning electron
microscope (SEM) imaging and compared to a water control. In a second experiment,
the mechanical resistance of the layer was assessed using profilometry after
controlled brushing for 200 brush strokes with a wet medium-bristled toothbrush.
To visualize the layer and characterize the tubule occlusion, longitudinal cross
sections were prepared using a focused ion beam scanning electron microscope (FIB
SEM), and analysis performed by energy dispersive x-ray spectroscopy (EDS) and
electron diffraction. Owing to the complexity of the mixed material deposited
after application of the toothpaste, material from inside a dentin tubule was
selectively removed after five days of treatment, and the morphologically
different materials imaged and analyzed by electron diffraction in the
transmission electron microscope (TEM). RESULTS: SEM inspection showed
significant coverage of the dentin samples after application of CSPS toothpaste
for all five days, in contrast to the water control where the majority of tubules
remained open after all five days. Exposure of the NovaMin-treated samples to
common dietary acids did not lead to re-exposure of the tubules. Profilometry
measurements demonstrated an intact layer covering the dentin surface after one
and five days. EDS analysis and electron diffraction indicated the layer and the
material plugging the tubule to be a calcium phosphate material with a
crystallographic structure similar to hydroxyapatite. CONCLUSION: CSPS contained
in toothpaste formulations adhered to exposed dentin surfaces. The layer formed
was resistant to acid and mechanical challenges. Characterization of this layer
indicated it was hydroxyapatite-like in nature.
PMID- 21905401
TI - A comparative in vitro study investigating the occlusion and mineralization
properties of commercial toothpastes in a four-day dentin disc model.
AB - OBJECTIVE: The objective of this study was to evaluate the relative level of
dentin tubule occlusion and dentin mineralization conferred by a 5% w/w calcium
sodium phosphosilicate (45S5)/1450 ppm fluoride toothpaste in comparison to a
range of commercial toothpastes reported to occlude dentin tubules. METHODS: Two
separate experiments were employed to (i) determine the level of dentin tubule
occlusion, and (ii) explore the change in dentin mineralization conferred by a
number of marketed toothpastes and controls, following twice-daily brushing in a
longitudinal, acid challenge-based, dentin disc model. In Study I, 192 bovine
dentin discs, polished and etched in citric acid to provide a smooth dentin
surface with patent tubules, were divided into eight treatment groups and
subjected to brushing with one of seven test toothpastes or deionized water over
four days. Prior to and between treatments, the dentin samples were stored in
saliva. The test products were fluoridated toothpastes containing: calcium sodium
phosphosilicate (45S5); strontium acetate; arginine/calcium carbonate; amine
fluoride; calcium sulphate/diphosphate; stannous fluoride; casein stabilized
amorphous calcium phosphate toothpaste; and a non-occluding negative control,
deionized water. At the end of each treatment day (1 though 4), one group of
samples was removed for scanning electron microscopy (SEM) analysis and graded on
a categorical visual scale to assess the level of dentin tubule occlusion. A
subset of samples from Study I was also cross-sectioned and examined using SEM.
For the exploratory mineralization study (Study II), 120 dentin specimens were
prepared as previously described and divided into four treatment groups
consisting of A, C, F, and a tooth sealant varnish (I), and subjected to the
treatment regimen described in Study I. The dentin samples were assessed for
changes in surface microhardness using an indenter fitted with a Knoop probe and
the level of dentin occlusion. RESULTS: In Study I, the 5% w/w calcium sodium
phosphosilicate/1450 ppm fluoride-containing toothpaste (A), the stannous
fluoride-containing toothpaste (F), and the strontium acetate-containing
toothpaste (B) delivered the highest level of occlusion following four days of
twice-daily brushing and a twice-daily acid challenge on days 3 and 4. Surface
analysis of a subset of Study I samples, following four days of treatment,
indicated that the 5% w/w calcium sodium phosphosilicate/1450 ppm fluoride
containing toothpaste formed a distinct layer at the surface of dentin. For Study
II, surface microhardness analysis revealed that the 5% w/w calcium sodium
phosphosilicate/1450 ppm fluoride-containing toothpaste (A) delivered
significantly more surface hardening then the control or competitor toothpastes
on days 2 and 4. CONCLUSION: Desensitizing toothpastes reported to operate by an
occlusion mechanism have been observed to confer varying degrees of dentin tubule
occlusion and dentin mineralization over four days in an acid challenge-based in
vitro model. A 5% w/w calcium sodium phosphosilicate/1450 ppm fluoride-containing
toothpaste was observed to impart a significant level of dentin tubule occlusion
and surface hardening, and form durable occlusive deposits following four days of
twice-daily brushing in vitro.
PMID- 21905402
TI - Randomized in situ clinical study comparing the ability of two new desensitizing
toothpaste technologies to occlude patent dentin tubules.
AB - OBJECTIVE: To compare the ability of two new desensitizing toothpaste
technologies (one a 5% NovaMin-based toothpaste and the other an 8% arginine
based toothpaste) to occlude patent dentin tubules in a clinical environment
relative to a negative control of water and a control toothpaste after four days
of twice-daily brushing and dietary acidic challenges. METHODS: The study design
was a single-center, single-blind, randomized, split-mouth, four-treatment, two
period, crossover, in situ clinical study. Healthy subjects wore two lower intra
oral appliances, retaining four dentin samples for four treatment days for each
period of the clinical study. Samples were brushed twice daily with a test
product (days 1-4), with an additional acidic challenge introduced on two
selective days. Scanning electron microscopy (SEM) images were taken of the
dentin surface, and dentinal tubule occlusion assessed using a categorical scale.
RESULTS: The results demonstrated that the 5% NovaMin toothpaste was
statistically superior at occluding patent dentin tubules compared to water (p =
0.009) and the control toothpaste (p = 0.02) at day 4. In contrast, the treatment
effect resulting from the 8% arginine toothpaste did not demonstrate the same
degree of occlusive propensity, showing no significant difference to the water
and control toothpaste at the day 4 time point. CONCLUSION: Application of the 5%
NovaMin toothpaste to dentin showed better dentin tubule occlusion and retention
abilities in an oral environment under dietary acid challenge conditions, more so
than the 8% arginine toothpaste technology. Given modern dietary habits and
practices, these results highlight differences in the acid resistance properties
of occlusion technologies, and a potential impact on clinical performance.
PMID- 21905403
TI - Overview of the clinical evidence for the use of NovaMin in providing relief from
the pain of dentin hypersensitivity.
AB - Dentin hypersensitivity is a common condition that affects the adult population
worldwide. NovaMin is technically described as amorphous sodium calcium
phosphosilicate, and has been shown in laboratory studies to rapidly occlude
dentin tubules and form a protective hydroxyapatite-like layer on the dentin
surface. A number of clinical studies investigating the efficacy of NovaMin for
the relief of pain from dentin hypersensitivity have been conducted in the United
States, Ireland, China, and India. This article reviews the available literature
and finds support based on randomized controlled clinical trials for the use of
NovaMin in anhydrous toothpaste formulations in providing relief of pain from
dentin hypersensitivity.
PMID- 21905404
TI - Measurement of organic nitrogen and phosphorus fractions at very low
concentrations in wastewater effluents.
AB - The purpose of this study was to develop simple, accurate, and inexpensive
measurement protocols for dissolved organic nitrogen (DON) and dissolved non
reactive phosphorus (DNRP) at low levels in wastewater effluents. Two protocols
are presented--one to measure DON exclusively, and the other to measure DON and
DNRP simultaneously. Currently, DON and DNRP are calculated indirectly by
subtracting the dissolved inorganic fractions from the total dissolved
concentration, resulting in significant errors. To increase the accuracy of DON
measurements, effluent sample pretreatment using ion exchange to remove nitrate
was applied. Spectrometric methods were selected to measure the inorganic
fractions-the second derivative UV spectroscopy method for nitrate, and the
malachite green method for orthophosphate. These methods, combined with the
optimized persulfate digestion of the samples, can be used to measure total
dissolved nitrogen and phosphorus accurately. The measurement ranges attained
were 0.05 to 3 mg N/L for DON and 0.01 to 0.5 mg P/L for DNRP.
PMID- 21905405
TI - Removal of selected endocrine disrupting chemicals and personal care products in
surface waters and secondary wastewater by ozonation.
AB - This study investigated the removal of parabens, N,N-diethyl-m-toluamide (DEET),
and phthalates by ozonation. The second-order rate constants for the reaction
between selected compounds with ozone at pH 7 were of (2.2 +/-0.2) X 10(6) to
(2.9 +/-0.3) X 10(6) M 1/s for parabens, (2.1+/- 0.3) to (3.9 +/-0.5) M-1/s for
phthalates, and (5.2 +/-0.3) M-1/s for DEET. The rate constants for the reaction
between selected compounds with hydroxyl radical ranged from (2.49 +/-0.06) x
10(9) to (8.5 +/-0.2) x 10(9) M-1/s. Ozonation of selected compounds in secondary
wastewater and surface waters revealed that ozone dose of 1 and 3 mg/L yielded
greater than 99% depletion of parabens and greater than 92% DEET and phthalates,
respectively. In addition, parabens were found to transform almost exclusively
through the reaction with ozone, while DEET and phthalates were transformed
almost entirely by hydroxyl radicals (.OH).
PMID- 21905406
TI - Hydraulic response and nitrogen retention in bioretention mesocosms with
regulated outlets: part I--hydraulic response.
AB - In bioretention systems used for stormwater treatment, runoff interception
improves with increased infiltration rates. However, nitrogen retention improves
with increased retention time or decreasing infiltration rates. These contrasting
responses were analyzed in 240-L experimental mesocosms using a variety of media
treatments. The mesocosms were vegetated, except for one barren control. Dual
stage outlets were installed to extend retention time and equalize hydraulic
responses. One unregulated treatment was free-draining. This part 1 paper
presents the media properties and hydraulic responses. The highly aggregated
media had saturated hydraulic conductivities ranging from 20.7 to 59.6 cm/h in
August 2008 (austral winter), which increased to 42.8 to 110.6 cm/h in March 2009
(austral summer). The outlet regulated mesocosms provided retention over 8 times
longer than the free-draining mesocosms, while still being able to capture large
events. The outlets provide adaptive management for bioretention design to
improve both runoff capture and nitrogen retention.
PMID- 21905407
TI - Hydraulic response and nitrogen retention in bioretention mesocosms with
regulated outlets: part II--nitrogen retention.
AB - We observed dissolved nitrogen retention in vegetated bioretention mesocosms
using different media with varying hydraulic conductivities. Elevated outlets
were installed to regulate hydraulic response, with one treatment left free
draining. The treatments (three replicates each) were loaded weekly with 50 cm of
effluent averaging 2.47 mg/L nitrogen oxides (NOx) and 4.67 mg/L total nitrogen
for 1 year. The NOx and total nitrogen retention by the outlet regulated
treatments was significantly greater than the unregulated treatment. The systems
then were dosed 6 times with 53 cm of synthetic stormwater averaging 0.77 mg/ L
NOx and 1.46 mg/L total nitrogen, applied over 90 minutes. The outlet regulated
treatment retained 68% NOx and 60% total nitrogen, while the corresponding free
draining treatment retained 25% NOx and 27% total nitrogen. Over the following
winter, the outlet regulated treatment retained 50% NOx and 73% total nitrogen,
while the corresponding free draining treatment exported 17% more NOx, while
retaining 50% total nitrogen.
PMID- 21905408
TI - A potential sanitary sewer overflow treatment technology: fixed-media
bioreactors.
AB - Under certain conditions, sanitary sewer overflows (SSOs) containing raw
wastewater may be discharged to public land and can contribute to environmental
and public health issues. Although this problem has attracted the attention of
local, state, and federal government and regulators, relatively little SSO
abatement research has been published. This study used fixed-media bioreactors, a
proven onsite technology in rural areas, to treat wet weather SSO wastewater and
reduce its effects on the receiving water environment. The results of this 32
month laboratory study showed that fixed-media bioreactors, especially sand
bioreactors, efficiently removed organic matter, solids, and nutrients during six
hour simulated SSO peak flows. Five-day biochemical oxygen demand (BODs) of the
simulated SSO varied between 40 and 125 mg/L. The average effluent concentration
of BOD5 was 13 mg/L in sand bioreactors at a hydraulic loading rate of 20.4 cm/h.
In addition to having high hydraulic loadings, SSO events occur infrequently.
This irregularity requires that treatment systems quickly start up and
effectively treat wastewater after a period of no flow. This research found that
an interval up to six months between two SSO peak flows did not affect the
bioreactor performance. Based on this work, fixed-media bioreactors have the
potential to reduce the effects of SSOs on the water environment by following
proper design parameters and operation strategies. The pollution loading of
approximately 18 g BODs/m2 x h is recommended for the efficient performance of
sand bioreactors in the SSO treatment.
PMID- 21905409
TI - Improving nitrogen removal in two modified decentralized wastewater systems.
AB - Efficient nutrient removal in decentralized wastewater treatment systems is a
challenging task. To improve the removal of organic matter and nitrogen from
wastewater, two types of bioreactors using membrane-aerated biofilm reactor
(MABR) and microbial fuel cell (MFC) techniques were evaluated. During more than
250 days of continuous-flow reactor operation, both reactors showed consistently
high chemical oxygen demand removal (>86%). At an influent ammonium-nitrogen
(NH4(+)-N) concentration of 30 mg N/L, the average effluent NH4(+)-N
concentrations were 6.2 and 0.5 mg N/L for the MABR and MFC reactor,
respectively, while the effluent nitrate-nitrogen (NO3(-)-N) concentrations were
5.4 mg/ L in the MABR and 19.2 mg/L in the MFC-based reactor. The overall total
inorganic nitrogen removal efficiencies were 64% and 36% for the MABR and MFC
reactor, respectively. At the measured dissolved oxygen concentrations of 5.2 and
0.23 mg/L in the aerobic/anoxic zone of the MFC and MABR, respectively, a
specific oxygen uptake rate of 0.1 g O2/g VSS-d, resulting from ammonia
oxidation, was detected in the settled sludge of the MFC, while no nitrifying
activity of the sludge from the MABR was detected. Molecular microbial analysis
demonstrated a link between the bacterial community structure and nitrifying
activity. The relatively high abundance of Nitrosomonas europaea was associated
with its detectable nitrification activity in the settled sludge of the MFC. The
results suggest that MABR and MFC techniques have the potential to improve
organic and nitrogen removal in decentralized wastewater systems.
PMID- 21905410
TI - Integrated physicochemical and biological treatment process for fluoride and
phosphorus removal from fertilizer plant wastewater.
AB - The phosphate fertilizer industry produces highly hazardous and acidic
wastewaters. This study was undertaken to develop an integrated approach for the
treatment of wastewaters from the phosphate industry. Effluent samples were
collected from a local phosphate fertilizer producer and were characterized by
their high fluoride and phosphate content. First, the samples were pretreated by
precipitation of phosphate and fluoride ions using hydrated lime. The resulting
low- fluoride and phosphorus effluent was then treated with the enhanced
biological phosphorus removal (EBPR) process to monitor the simultaneous removal
of carbon, nitrogen, and phosphorus. Phosphorus removal included a two-stage
anaerobic/aerobic system operating under continuous flow. Pretreated wastewater
was added to the activated sludge and operated for 160 days in the reactor. The
operating strategy included increasing the organic loading rate (OLR) from 0.3 to
1.2 g chemical oxygen demand (COD)/L.d. The stable and high removal rates of COD,
NH4(+)-N, and PO4(3-)-P were then recorded. The mean concentrations of the
influent were approximately 3600 mg COD/L, 60 mg N/L, and 14 mg P/L, which
corresponded to removal efficiencies of approximately 98%, 86%, and 92%,
respectively.
PMID- 21905411
TI - Efficacy and reliability of upgraded industrial treatment plant at Porto
Marghera, near Venice, Italy, in removing nutrients and dangerous micropollutants
from petrochemical wastewaters.
AB - Chemical and petrochemical wastewaters contain a host of contaminants that
require different treatment strategies. Regulation of macropollutants and
micropollutants in the final discharge from industrial wastewater treatment
plants (WWTPs) have become increasingly stringent in recent decades, requiring
many WWTPs to be upgraded. This article presents an analysis of a WWTP treating
petrochemicals in Porto Marghera, Italy, that recently was upgraded following
legislative changes. Because of strict legal limits for macropollutants and
micropollutants and a lack of space necessary for a full-scale WWTP overhaul, the
existing activated sludge tank was converted into a membrane biological reactor.
The paper presents experimental data collected during a five-month investigation
showing the removal rates achieved by the upgraded plant for macropollutants
(particularly nitrogen compounds) and micropollutants (heavy metals and organic
and inorganic toxic compounds).
PMID- 21905412
TI - A comparative environmental life-cycle analysis for removing phosphorus from
wastewater: biological versus physical/ chemical processes.
AB - Phosphorus can be removed from wastewater biologically, chemically, or through a
combination of the two. In this study, we applied environmental life-cycle
assessment to develop a metric with which decision-makers can compare processes.
Two phosphorus-removal scenarios were contrasted-one based on a desktop-level
design and one based on full-scale operational data. To achieve 0.5 mg/L effluent
phosphorus (desktop design), a biological-only process would incur 5.2% less
effect on global warming potential, as contrasted with a chemical-only process.
At an effluent quality of 0.1 mg/L (full-scale facilities), where a biological
process augmented with chemicals was contrasted with a chemical-only process, the
relative gap increases to 13.2%. As chemical usage increased, the adverse
environmental effect of chemical treatment only increased. The results of this
study suggest that best practices would center phosphorus removal first on the
biological process, with chemical processes added only as necessary.
PMID- 21905413
TI - Effect of influent nitrogen speciation on organic nitrogen occurrence in
activated sludge process effluents.
AB - The effect of influent nitrogen composition on organic nitrogen production in a
sequencing batch reactor (SBR) activated sludge process was investigated. A
laboratory-scale SBR was fed with three different type synthetic wastewaters with
varying nitrogen compositions (phase I = nitriloacetic acid + ammonium [NH4-N],
phase II = NH(4-)N, and phase III = amino acid mixture + NH(4-)N) was operated.
The effluent contained approximately 1 to 2 mg N/L organic nitrogen, even though
there was no organic nitrogen in influent. The effluent organic nitrogen
increased to approximately 4 mg N/L when the influent composition was changed and
then stabilized at <2 mg N/L. The maximum nitrifier growth rate constants
(microN) were calculated as 0.91+/-0.10 to 1.14+/-0.08 day-1, 0.82 +/-0.13 day-1,
and 0.89+/-0.08 day-1 at 20 degrees C for the three different influent
compositions. The effluent colloidal organic nitrogen (CON) was negligible,
suggesting that the effluent CON found in full-scale plants may be the result of
influent-derived suspended matter.
PMID- 21905414
TI - Obesity prevalence and nutritional habits among Indian women: a comparison
between Punjabi women living in India and Punjabi migrants in Vienna, Austria.
AB - The current study aimed to determine the prevalence of obesity and overweight
among Indian women living in Punjab, India and in Vienna, Austria. A series of
115 women ageing between 17 and 80 years (x = 38.7 yrs; +/- 14.5) was enrolled in
the present study. 65 women lived in the district of Jalandhar in Punjab, 50
Punjabi women lived in as migrants in Vienna Austria. Data collection comprised
an anthropometric analysis including stature height, body weight and the body
mass index (BMI). For classification of the weight status the Indian BMI cutoffs
defined by the WHO for Asian Indians were used. Data concerning dietary patterns
and lifestyle parameters were collected by structured interviews using a
standardized questionnaire. Among both subgroups overweight and obesity were
highly prevalent. Underweight (18.5%) was significantly more prevalent in Punjab
than in Vienna (6.0 %), while overweight and obesity were more frequently found
among Punjabi women in Vienna (26.0%; 54.0%) than among Punjabi women in India
(9.2%; 24.6 %). Analysing lifestyle and dietary patterns it turned out that
energy dense meals were preferred and fat and sugar were used frequently among
both subsamples. A statistically significant relationship between dietary habits
and weight status could not be proved.
PMID- 21905415
TI - Prevalence of cardiovascular disease risk factors by habitat: a study on adult
Asian Indians in West Bengal, India.
AB - The present community based cross-sectional study was aimed to investigate
whether or not increasing prevalence of cardiovascular disease (CVD) risk factors
in adult Asian Indian population are associated with increasing urbanization. The
'urban group' was comprised of 224 individuals including 122 males and 102
females being inhabitants of Kolkata (erstwhile Calcutta) under the Kolkata
Metropolitan Development Authority (KMDA) area. The 'rural group' comprised 224
individuals including 135 males and 89 females and was living in a village
council located about 80 kilometers from Kolkata. Therefore, a total of 448 adult
(> or = 30 years) individuals (257 males and 191 females) participated in the
study. Anthropometric measures, lipids profiles, fasting blood glucose and blood
pressure measures were taken from participants. Obesity and body composition
measures were subsequently calculated from the anthropometric measures. Accepted
cut-offs were used to define metabolic syndrome (MS), lipids abnormalities,
increased adiposity and high blood pressure in the study. It was found that 58.7%
participants were engaged in sedentary work which includes 60.7% males and 56%
females. It was further observed that the prevalence of high blood pressure was
as high as 70.6% in urban females compared to 55.1% in rural females. However,
the prevalence of low HDLc was remarkably high in females ofboth rural and urban
areas. The prevalence ofMS was significantly higher in urban females (57.8%) than
in their rural counterparts (34.8%). It seems reasonable to argue that people
with changing lifestyles due to growing urbanization are associated with adverse
CVD risk factors irrespective of their habitat (rural vs. urban). This in turn
warranted a comprehensive risk stratification protocol at the national level for
the effective management of CVD risk factors in this part of the world.
PMID- 21905416
TI - Nutrition and immune system: the size of the thymus as an indicator of the
newborn's nutrition status.
AB - SUMMARY: The thymus is a central lymphatic organ reaching its largest size after
the delivery. Its size is significantly affected by endogenous and exogenous
negative factors. In our research, we investigated the relationship between the
size of the thymus determined by sonography and anthropometric parameters as well
as indexes demonstrating the nutritional status. The examined group consisted of
212 full-term newborns, all of which passed an anthropometric examination during
the first five days after delivery (birth weight and length, mid-arm and head
circumference). The following nutritional status indexes were calculated from
estimated anthropometric dimensions: Quetelet's index, Rohrer's index, birth
weight-to-length ratio and mid-arm-to-head circumference ratio. The size of the
thymus was assessed by sonography and expressed as the multiple of transversal
width of the cranial thymus part and sagittal area of the major thymus lobe (so
called 'Thymic Index'). The Thymic Index showed a positive correlation with the
majority of indicators of the newborn's nutritional status, but all of these
correlations are low (Spearman correlation coefficients 0.228-0.409). The
correlation of the size of thymus with the birth weight or birth weight-to-length
ratio was stronger than the correlation with the Quetelet's index or mid-arm
circumference. The size of the thymus reflects the newborn's nutritional status
assumed by anthropometry and can represent one of the "barometers of nutrition".
Normal nutrition in the prenatal period plays a relatively critical role in the
development of the immune system.
PMID- 21905417
TI - Age diagnosis based on incremental lines in dental cementum: a critical
reflection.
AB - Age estimation based on the counting of incremental lines in dental cementum is a
method frequently used for the estimation of the age at death for humans in
bioarchaeology, and increasingly, forensic anthropology. Assessment of
applicability, precision, and method reproducibility continue to be the focus of
research in this area, and are occasionally accompanied by significant
controversy. Differences in methodological techniques for data collection (e.g.
number of sections, factor of magnification for counting or interpreting
"outliers") are presented. Potential influences on method reliability are
discussed, especially for their applicability in forensic contexts.
PMID- 21905418
TI - Three palmar dermatoglyphic traits and their asymmetry in Bulgarian right-, mixed
and left-handers.
AB - SUMMARY: In a sample, comprising 264 right-, 246 mixed- and 360 left-handers (RH,
MH and LH, correspondingly), the atd-angle, the a-b ridge count and the
hypothenar radial arch were investigated, the asymmetry of both quantitative
traits differentiated into directional (DA) and fluctuating (FA) one. Except for
the FA of the a-b ridge count in females, which decreased significantly from RH
to LH, the trends observed in the relations between the investigated
dermatoglyphic values and handedness were not significant. In both quantitative
traits the most important finding was the categorical left-palm excess over the
right palm, since it was significantly related to sex and handedness, being much
more expressed in females than in males and in the non-right-handers than in the
right-handers. The hypothenar radial arch, along with its considerably higher
frequency in females than in males and on the right palm than on the left, as
well as its rarity combined with a very high symmetry, displayed another
interesting peculiarity. The pattern was 3.9-fold more frequent in the MH and 3.4
fold more frequent in the LH as compared to RH. As witnessed by the odds ratio,
if a given palm belongs to a non-right-hander, the probability that it bears a
hypothenar radial arch is nearly 4-fold higher than if it were a palm of a right
hander. Arguments are adduced that left-handedness, although not a pathological
character is, to say the least, a modified condition and that, similarly, the
hypothenar radial arch is a subnormal dermatoglyphic finding. If such is the
case, their relationship found by the present study is not surprising, although
its causal background still remains unclear.
PMID- 21905419
TI - Age at menarche in urban Argentinian girls: association with biological and
socioeconomic factors.
AB - Age at menarche is regarded as a sensitive indicator of physical, biological, and
psychosocial environment. The aim of this study was to determine the age at
menarche and its association with biological and socioeconomic factors in girls
from Santa Rosa (La Pampa, Argentina). An observational cross-sectional study was
carried out on 1,221 schoolgirls aged 9-15 years. Menarche data were obtained by
the status-quo method. Height, sitting height, weight, arm circumference,
tricipital and subscapular skinfolds were measured. We also calculated body mass
index, measures of body composition and proportions, and fat distribution. To
assess socioeconomic factors, parents completed a self-administered questionnaire
about their occupation and education, family size, household, and other family
characteristics. The median age at menarche - estimated by the logit method--was
12.84 years (95% CI: 12.71, 12.97). Compared with their premenarcheal age peers,
postmenarcheal girls had greater anthropometric dimensions through age 12. After
this age, only height was higher in the latter group. Data were processed by
fitting two logistic regressions, both including age. The first model included
anthropometric variables and birth weight, while the second model included the
socioeconomic variables. The significant variables derived from each model were
incorporated into a new regression: height, sitting height ratio (first model),
and maternal education (second model). These three variables remained
significantly associated with menarche. The results suggest a relationship
between linear growth and menarche and agree with those found in other
populations where the advancement of menarche is associated with improved living
conditions. In relatively uniform urban contexts, maternal education may be a
good proxy for the standard of living.
PMID- 21905420
TI - Changes in selected features of a male face and assessment of their influence on
facial recognition.
AB - The project aimed at finding the answers to the following two research questions:
--To what extent does a change in size, height or width of the selected face
feature influence the assessment of likeness between an original composite
portrait and a modified one? --How does the sex of a person who judges the images
have an impact on the perception of likeness of the face features? The results
indicate that there are significant differences in the assessment of likeness of
the portraits with some features modified to the original ones. The images with
changes in size and height of the nose received the lowest scores on the likeness
scale, which indicates that these changes were perceived by the subjects as the
most important. The photos with changes in height and width of the lips, and
height and width of the eye slit, in turn, received high scores of likeness, in
spite of big changes. This signifies that these modifications were perceived to
be of the least importance (compared to the other features investigated).
PMID- 21905421
TI - Edge effects, not connectivity, determine the incidence and development of a
foliar fungal plant disease.
AB - Using a model plant-pathogen system in a large-scale habitat corridor experiment,
we found that corridors do not facilitate the movement of wind-dispersed plant
pathogens, that connectivity of patches does not enhance levels of foliar fungal
plant disease, and that edge effects are the key drivers of plant disease
dynamics. Increased spread of infectious disease is often cited as a potential
negative effect of habitat corridors used in conservation, but the impacts of
corridors on pathogen movement have never been tested empirically. Using sweet
corn (Zea mays) and southern corn leaf blight (Cochliobolus heterostrophus) as a
model plant-pathogen system, we tested the impacts of connectivity and habitat
fragmentation on pathogen movement and disease development at the Savannah River
Site, South Carolina, USA. Over time, less edgy patches had higher proportions of
diseased plants, and distance of host plants to habitat edges was the greatest
determinant of disease development. Variation in average daytime temperatures
provided a possible mechanism for these disease patterns. Our results show that
worries over the potentially harmful effects of conservation corridors on disease
dynamics are misplaced, and that, in a conservation context, many diseases can be
better managed by mitigating edge effects.
PMID- 21905422
TI - Can dispersal mode predict corridor effects on plant parasites?
AB - Habitat corridors, a common management strategy for increasing connectivity in
fragmented landscapes, have experimentally validated positive influences on
species movement and diversity. However, long-standing concerns that corridors
could negatively impact native species by spreading antagonists, such as disease,
remain largely untested. Using a large-scale, replicated experiment, we evaluated
whether corridors increase the incidence of plant parasites. We found that
corridor impacts varied with parasite dispersal mode. Connectivity provided by
corridors increased incidence of biotically dispersed parasites (galls on
Solidago odora) but not of abiotically dispersed parasites (foliar fungi on S.
odora and three Lespedeza spp.). Both biotically and abiotically dispersed
parasites responded to edge effects, but the direction of responses varied across
species. Although our results require additional tests for generality to other
species and landscapes, they suggest that, when establishing conservation
corridors, managers should focus on mitigating two potential negative effects:
the indirect effects of narrow corridors in creating edges and direct effects of
corridors in enhancing connectivity of biotically dispersed parasites.
PMID- 21905423
TI - Oxygen supply in aquatic ectotherms: partial pressure and solubility together
explain biodiversity and size patterns.
AB - Aquatic ectotherms face the continuous challenge of capturing sufficient oxygen
from their environment as the diffusion rate of oxygen in water is 3 x 10(5)
times lower than in air. Despite the recognized importance of oxygen in shaping
aquatic communities, consensus on what drives environmental oxygen availability
is lacking. Physiologists emphasize oxygen partial pressure, while ecologists
emphasize oxygen solubility, traditionally expressing oxygen in terms of
concentrations. To resolve the question of whether partial pressure or solubility
limits oxygen supply in nature, we return to first principles and derive an index
of oxygen supply from Fick's classic first law of diffusion. This oxygen supply
index (OSI) incorporates both partial pressure and solubility. Our OSI
successfully explains published patterns in body size and species across
environmental clines linked to differences in oxygen partial pressure (altitude,
organic pollution) or oxygen solubility (temperature and salinity). Moreover, the
OSI was more accurately and consistently related to these ecological patterns
than other measures of oxygen (oxygen saturation, dissolved oxygen concentration,
biochemical oxygen demand concentrations) and similarly outperformed temperature
and altitude, which covaried with these environmental clines. Intriguingly, by
incorporating gas diffusion rates, it becomes clear that actually more oxygen is
available to an organism in warmer habitats where lower oxygen concentrations
would suggest the reverse. Under our model, the observed reductions in aerobic
performance in warmer habitats do not arise from lower oxygen concentrations, but
instead through organismal oxygen demand exceeding supply. This reappraisal of
how organismal thermal physiology and oxygen demands together shape aerobic
performance in aquatic ectotherms and the new insight of how these components
change with temperature have broad implications for predicting the responses of
aquatic communities to ongoing global climate shifts.
PMID- 21905424
TI - Functional and phylogenetic diversity as predictors of biodiversity--ecosystem
function relationships.
AB - How closely does variability in ecologically important traits reflect
evolutionary divergence? The use of phylogenetic diversity (PD) to predict
biodiversity effects on ecosystem functioning, and more generally the use of
phylogenetic information in community ecology, depends in part on the answer to
this question. However, comparisons of the predictive power of phylogenetic
diversity and functional diversity (FD) have not been conducted across a range of
experiments. To address how phylogenetic diversity and functional trait variation
control biodiversity effects on biomass production, we summarized the results of
29 grassland plant experiments where both the phylogeny of plant species used in
the experiments is well described and where extensive trait data are available.
Functional trait variation was only partially related to phylogenetic distances
between species, and the resulting FD values therefore correlate only partially
with PD. Despite these differences, FD and PD predicted biodiversity effects
across all experiments with similar strength, including in subsets that excluded
plots with legumes and that focused on fertilization experiments. Two- and three
trait combinations of the five traits used here (leaf nitrogen percentage,
height, specific root length, leaf mass per unit area, and nitrogen fixation)
resulted in the FD values with the greatest predictive power. Both PD and FD can
be valuable predictors of the effect of biodiversity on ecosystem functioning,
which suggests that a focus on both community trait diversity and evolutionary
history can improve understanding of the consequences of biodiversity loss.
PMID- 21905425
TI - The community effects of phenotypic and genetic variation within a predator
population.
AB - Natural populations are heterogeneous mixtures of individuals differing in
physiology, morphology, and behavior. Despite the ubiquity of phenotypic
variation within natural populations, its effects on the dynamics of ecological
communities are not well understood. Here, we use a quantitative genetics
framework to examine how phenotypic variation in a predator affects the outcome
of apparent competition between its two prey species. Classical apparent
competition theory predicts that prey have reciprocally negative effects on each
other. The addition of phenotypic trait variation in predation can marginalize
these negative effects, mediate coexistence, or generate positive indirect
effects between the prey species. Long-term coexistence or facilitation, however,
can be preceded by long transients of extinction risk whenever the heritability
of phenotypic variation is low. Greater heritability can circumvent these
ecological transients but also can generate oscillatory and chaotic dynamics.
These dramatic changes in ecological outcomes, in the sign of indirect effects,
and in stability suggest that studies which ignore intraspecific trait variation
may reach fundamentally incorrect conclusions regarding ecological dynamics.
PMID- 21905426
TI - The relative importance of host-plant genetic diversity in structuring the
associated herbivore community.
AB - Recent studies suggest that intraspecific genetic diversity in one species may
leave a substantial imprint on the surrounding community and ecosystem. Here, we
test the hypothesis that genetic diversity within host-plant patches translates
into consistent and ecologically important changes in the associated herbivore
community. More specifically, we use potted, grafted oak saplings to construct 41
patches of four saplings each, with one, two, or four tree genotypes represented
among the host plants. These patches were divided among two common gardens.
Focusing first at the level of individual trees, we assess how tree-specific
genotypic identity, patch-level genetic diversity, garden-level environmental
variation, and their interactions affect the structure of the herbivore
community. At the level of host-plant patches, we analyze whether the joint
responses of herbivore species to environmental variation and genetic diversity
result in differences in species diversity among tree quartets. Strikingly, both
species-specific abundances and species diversity varied substantially among host
tree genotypes, among common gardens, and among specific locations within
individual gardens. In contrast, the genetic diversity of the patch left a
detectable imprint on local abundances of only two herbivore taxa. In both cases,
the effect of genetic diversity was inconsistent among gardens and among host
plant genotypes. While the insect community differed significantly among
individual host-plant genotypes, there were no interactive effects of the number
of different genotypes within the patch. Overall, additive effects of
intraspecific genetic diversity of the host plant explained a similar or lower
proportion (7-10%) of variation in herbivore species diversity than did variation
among common gardens. Combined with the few previous studies published to date,
our study suggests that the impact of host-plant genetic diversity on the
herbivore community can range from none to nonadditive, is generally low, and
reaches its most pronounced impact at small spatial scales. Overall, our findings
strengthen the emerging view that the impacts of genetic diversity are system,
scale, and context dependent. As the next step in community genetics, we should
then start asking not only whether genetic diversity matters, but under what
circumstances its imprint is accentuated.
PMID- 21905427
TI - Genotypic richness and phenotypic dissimilarity enhance population performance.
AB - Increases in biodiversity can result from an increase in species richness, as
well as from a higher genetic diversity within species. Intraspecific genetic
diversity, measured as the number of genotypes, can enhance plant primary
productivity and have cascading effects at higher trophic levels, such as an
increase in herbivore and predator richness. The positive effects of genotypic
mixtures are not only determined by additive effects, but also by interactions
among genotypes, such as facilitation or inhibition. However, so far there has
been no effort to predict the extent of such effects. In this study, we address
the question of whether the magnitude of the effect of genotype number on
population performance can be explained by the extent of dissimilarity in key
traits among genotypes in a mixture. We examine the relative contribution of
genotype number and phenotypic dissimilarity among genotypes to population
performance of the soil arthropod, Orchesella cincta. Nearly homogeneous
genotypes were created from inbred isofemale lines. Phenotypic dissimilarity
among genotypes was assessed in terms of three life-history traits that are
associated with population growth rate, i.e., egg size, egg development time, and
juvenile growth rate. A microcosm experiment with genotype mixtures consisting of
one, two, four, and eight genotypes, showed that genotypic richness strongly
increased population size and biomass production and was associated with greater
net diversity effects. Most importantly, there was a positive log-linear
relationship between phenotypic dissimilarity in a mixture and the net diversity
effects for juvenile population size and total biomass. In other words, the
degree of phenotypic dissimilarity among genotypes determined the magnitude of
the genotypic richness effect, although this relationship leveled off at higher
values of phenotypic dissimilarity. Although the exact mechanisms responsible for
these effects are currently unknown, similar advantages of trait dissimilarity
have been found among species. Hence, to better understand population
performance, genotype number and phenotypic dissimilarity should be considered
collectively.
PMID- 21905428
TI - Potassium, phosphorus, or nitrogen limit root allocation, tree growth, or litter
production in a lowland tropical forest.
AB - We maintained a factorial nitrogen (N), phosphorus (P), and potassium (K)
addition experiment for 11 years in a humid lowland forest growing on a
relatively fertile soil in Panama to evaluate potential nutrient limitation of
tree growth rates, fine-litter production, and fine-root biomass. We replicated
the eight factorial treatments four times using 32 plots of 40 x 40 m each. The
addition of K was associated with significant decreases in stand-level fine-root
biomass and, in a companion study of seedlings, decreases in allocation to roots
and increases in height growth rates. The addition of K and N together was
associated with significant increases in growth rates of saplings and poles (1-10
cm in diameter at breast height) and a further marginally significant decrease in
stand-level fine-root biomass. The addition of P was associated with a marginally
significant (P = 0.058) increase in fine-litter production that was consistent
across all litter fractions. Our experiment provides evidence that N, P, and K
all limit forest plants growing on a relatively fertile soil in the lowland
tropics, with the strongest evidence for limitation by K among seedlings,
saplings, and poles.
PMID- 21905429
TI - Cryptic herbivores mediate the strength and form of ungulate impacts on a long
lived savanna tree.
AB - Plant populations are regulated by a diverse array of herbivores that impose
demographic filters throughout their life cycle. Few studies, however,
simultaneously quantify the impacts of multiple herbivore guilds on the lifetime
performance or population growth rate of plants. In African savannas, large
ungulates (such as elephants) are widely regarded as important drivers of woody
plant population dynamics, while the potential impacts of smaller, more cryptic
herbivores (such as rodents) have largely been ignored. We combined a large-scale
ungulate exclusion experiment with a five-year manipulation of rodent densities
to quantify the impacts of three herbivore guilds (wild ungulates, domestic
cattle, and rodents) on all life stages of a widespread savanna tree. We utilized
demographic modeling to reveal the overall role of each guild in regulating tree
population dynamics, and to elucidate the importance of different demographic
hurdles in driving population growth under contrasting consumer communities. We
found that wild ungulates dramatically reduced population growth, shifting the
population trajectory from increase to decline, but that the mechanisms driving
these effects were strongly mediated by rodents. The impact of wild ungulates on
population growth was predominantly driven by their negative effect on tree
reproduction when rodents were excluded, and on adult tree survival when rodents
were present. By limiting seedling survival, rodents also reduced population
growth; however, this effect was strongly dampened where wild ungulates were
present. We suggest that these complex interactions between disparate consumer
guilds can have important consequences for the population demography of long
lived species, and that the effects of a single consumer group are often likely
to vary dramatically depending on the larger community in which interactions are
embedded.
PMID- 21905430
TI - Terrestrial vertebrates alter seedling composition and richness but not diversity
in an Australian tropical rain forest.
AB - Although birds and mammals play important roles in several mechanisms
hypothesized to maintain plant diversity in species-rich habitats, there have
been few long-term, community-level tests of their importance. We excluded
terrestrial birds and mammals from fourteen 6 x 7.5 m plots in Australian primary
tropical rain forest and compared recruitment and survival of tree seedlings
annually over the subsequent seven years to that on nearby open plots. We re
censused a subset of the plots after 13 years of vertebrate exclusion to test for
longer-term effects. After two years of exclusion, seedling abundance was
significantly higher (74%) on exclosure plots and remained so at each subsequent
census. Richness was significantly higher on exclosure plots from 1998 to 2003,
but in 2009 richness no longer differed, and rarefied species richness was higher
in the presence of vertebrates. Shannon's diversity and Pielou's evenness did not
differ in any year. Vertebrates marginally increased density-dependent mortality
and recruitment limitation, but neither effect was great enough to increase
richness or diversity on open plots relative to exclosure plots. Terrestrial
vertebrates significantly altered seedling community composition, having
particularly strong impacts on members of the Lauraceae. Overall, our results
highlight that interactions between terrestrial vertebrates and tropical tree
recruitment may not translate into strong community-level effects on diversity,
especially over the short-term, despite significant impacts on individual species
that result in altered species composition.
PMID- 21905431
TI - Inferring ecological and behavioral drivers of African elephant movement using a
linear filtering approach.
AB - Understanding the environmental factors influencing animal movements is
fundamental to theoretical and applied research in the field of movement ecology.
Studies relating fine-scale movement paths to spatiotemporally structured
landscape data, such as vegetation productivity or human activity, are
particularly lacking despite the obvious importance of such information to
understanding drivers of animal movement. In part, this may be because few
approaches provide the sophistication to characterize the complexity of movement
behavior and relate it to diverse, varying environmental stimuli. We overcame
this hurdle by applying, for the first time to an ecological question, a finite
impulse-response signal-filtering approach to identify human and natural
environmental drivers of movements of 13 free-ranging African elephants
(Loxodonta africana) from distinct social groups collected over seven years. A
minimum mean-square error (MMSE) estimation criterion allowed comparison of the
predictive power of landscape and ecological model inputs. We showed that a
filter combining vegetation dynamics, human and physical landscape features, and
previous movement outperformed simpler filter structures, indicating the
importance of both dynamic and static landscape features, as well as habit, on
movement decisions taken by elephants. Elephant responses to vegetation
productivity indices were not uniform in time or space, indicating that elephant
foraging strategies are more complex than simply gravitation toward areas of high
productivity. Predictions were most frequently inaccurate outside protected area
boundaries near human settlements, suggesting that human activity disrupts
typical elephant movement behavior. Successful management strategies at the human
elephant interface, therefore, are likely to be context specific and dynamic.
Signal processing provides a promising approach for elucidating environmental
factors that drive animal movements over large time and spatial scales.
PMID- 21905432
TI - Stochastic population dynamics in populations of western terrestrial garter
snakes with divergent life histories.
AB - Comparative evaluations of population dynamics in species with temporal and
spatial variation in life-history traits are rare because they require long-term
demographic time series from multiple populations. We present such an analysis
using demographic data collected during the interval 1978-1996 for six
populations of western terrestrial garter snakes (Thamnophis elegans) from two
evolutionarily divergent ecotypes. Three replicate populations from a slow-living
ecotype, found in mountain meadows of northeastern California, were characterized
by individuals that develop slowly, mature late, reproduce infrequently with
small reproductive effort, and live longer than individuals of three populations
of a fast-living ecotype found at lakeshore locales. We constructed matrix
population models for each of the populations based on 8-13 years of data per
population and analyzed both deterministic dynamics based on mean annual vital
rates and stochastic dynamics incorporating annual variation in vital rates. (1)
Contributions of highly variable vital rates to fitness (lambda(s)) were buffered
against the negative effects of stochastic variation, and this relationship was
consistent with differences between the meadow (M-slow) and lakeshore (L-fast)
ecotypes. (2) Annual variation in the proportion of gravid females had the
greatest negative effect among all vital rates on lambda(s). The magnitude of
variation in the proportion of gravid females and its effect on lambda(s) was
greater in M-slow than L-fast populations. (3) Variation in the proportion of
gravid females, in turn, depended on annual variation in prey availability, and
its effect on lambda(s) was 4 23 times greater in M-slow than L-fast populations.
In addition to differences in stochastic dynamics between ecotypes, we also found
higher mean mortality rates across all age classes in the L-fast populations. Our
results suggest that both deterministic and stochastic selective forces have
affected the evolution of divergent life-history traits in the two ecotypes,
which, in turn, affect population dynamics. M-slow populations have evolved life
history traits that buffer fitness against direct effects of variation in
reproduction and that spread lifetime reproduction across a greater number of
reproductive bouts. These results highlight the importance of long-term
demographic and environmental monitoring and of incorporating temporal dynamics
into empirical studies of life-history evolution.
PMID- 21905433
TI - Nonparametric spatial regression of survival probability: visualization of
population sinks in Eurasian woodcock.
AB - Both evolutionary ecologists and wildlife managers make inference based on how
fitness and demography vary in space. Spatial variation in survival can be
difficult to assess in the wild because (1) multisite study designs are not well
suited to populations that are continuously distributed across a large area and
(2) available statistical models accounting for detectability less than 1.0 do
not easily cope with geographical coordinates. Here we use penalized splines
within a Bayesian state-space modeling framework to estimate and visualize
survival probability in two dimensions. The approach is flexible in that no
parametric form for the relationship between survival and coordinates need be
specified a priori. To illustrate our method, we study a game species, the
Eurasian Woodcock Scolopax rusticola, based on band recovery data (5000
individuals) collected over a > 50 000-km2 area in west-central France with
contrasted habitats and hunting pressures. We find that spatial variation in
survival probability matches an index of hunting pressure and creates a mosaic of
population sources and sinks. Such analyses could provide guidance concerning the
spatial management of hunting intensity or could be used to identify pathways of
spatial variation in fitness, for example, to study adaptation to changing
landscape and climate.
PMID- 21905434
TI - Synergistic influences of phase, density, and climatic variation on the dynamics
of fluctuating populations.
AB - Although ecologists have long recognized that certain mammalian species exhibit
high-amplitude, often multiannual, fluctuations in abundance, their causes have
remained poorly understood and the subject of intense debate. A key contention
has been the relative role of density-dependent and density-independent processes
in governing population dynamics. We applied capture-mark-recapture analysis to
25 years of monthly trapping data from a fluctuating prairie vole Microtus
ochrogaster population in Illinois, USA, to estimate realized population growth
rates and associated vital rates (survival and recruitment) and modeled them as a
function of vole density and density-independent climatic variation. We also
tested for phase dependence and seasonality in the effects of the above
processes. Variation in the realized population growth rate was best explained by
phase-specific changes in vole density lagged by one month and mean monthly
temperatures with no time lags. The underlying vital rates, survival and
recruitment, were influenced by the additive and interactive effects of phase,
vole density, and mean monthly temperatures. Our results are consistent with the
observation that large-scale population fluctuations are characterized by phase
specific changes in demographic and physiological characteristics. Our findings
also support the growing realization that the interaction between climatic
variables and density-dependent factors may be a widespread phenomenon, and they
suggest that the direction and magnitude of such interactive effects may be phase
specific. We conclude that density-dependent and density-independent climatic
variables work in tandem during each phase of density fluctuations to drive the
dynamics of fluctuating populations.
PMID- 21905435
TI - Chromosomal plasticity: mitigating the impacts of herbivory.
AB - Endoreduplication, the replication of the genome without mitosis, leads to
endopolyploidy, an increase in cellular chromosome number. Although
endoreduplication is widespread among angiosperms and other groups of eukaryotes,
the degree to which this process is plastic under varying environmental
conditions and its potential adaptive significance are not known. Here, using
flow cytometry, we measured plasticity in chromosome number following the removal
of apical dominance (simulating natural herbivory) in two ecotypes of Arabidopsis
thaliana: Columbia and Landsberg erecta. We report that endopolyploidy of clipped
Columbia plants was significantly different than unclipped controls following the
removal of apical dominance and regrowth, and that cellular ploidy is positively
associated with attributes of fitness (biomass, flower, fruit, and seed
production). In contrast, clipped Landsberg erecta showed no significant
differences in endopolyploidy and a decrease in seed production compared to
unclipped controls; representing a significant genotype x environment interaction
between ecotypes. Altering ploidy via endoreduplication adds a previously unknown
way in which plants may be able to cope with environmental stress: enhancing
regrowth rates and fitness following plant damage.
PMID- 21905436
TI - Sampling rate and misidentification of Levy and non-Levy movement paths: comment.
PMID- 21905437
TI - Is it really affordable care?
PMID- 21905438
TI - AMA focuses on graduate education requirements.
AB - One Heart of America Caucus member was appalled when he learned that as an
employed physician his new contract discouraged him from patient advocacy, as
well as advocacy on behalf of physicians and his community.
PMID- 21905439
TI - He who walks into walls.
PMID- 21905440
TI - Saint Louis University Center for Abdominal Transplantation: 'show me' world
class care.
PMID- 21905442
TI - Role of interventional nephrology in dialysis access management.
AB - Interventional nephrology is an area of medicine under the subspecialty of
nephrology that provides improved vascular access care for dialysis patients.
Since vascular access issues are a major cause of hospitalization in dialysis
patients, interventional nephrologists help reduce hospitalization rates and
costs, providing focused and economical medical care. A case has been presented
at the end that highlights some management principles of vascular accesses. We
also present a comprehensive review of recent data regarding trends in dialysis
access management.
PMID- 21905441
TI - New therapies for hepatitis C virus infection.
AB - An estimated 170 million people in the world are infected with hepatitis C virus
(HCV). These individuals are at risk for developing complications like cirrhosis
and/or hepatocellular carcinoma. Occurrence of HCV has been recorded to be high
in certain parts of the world like Africa and Southeast Asia. The prevalence is
considerably lower in the United States, with an estimated number of people with
positive HCV antibodies around 1.8% of the population and an estimated 3.1
million individuals having active HCV infection. Treatment of hepatitis C has
undergone a complete overhaul several times over the past decade and continues to
evolve striving for constant improvement. We now are at the cusp of yet another
such overhaul with the protease inhibitors about to be introduced into the
market.
PMID- 21905443
TI - Management of hepatocellular carcinoma: treatment options and indications for
orthotopic liver transplantation.
AB - Hepatocellular carcinoma (HCC) is the most common tumor worldwide and the leading
cause of death amongst patients with cirrhosis. There are an estimated 500,000 or
more new cases diagnosed each year in the world, with recent data suggesting an
increase in incidence in the United State. Since the majority of HCC occurs in
the setting of cirrhosis, an effective protocol for treatment needs to be in
place addressing both management of underlying cirrhosis and cancer.
PMID- 21905444
TI - Opportunities and challenges of expanded criteria organs in liver and kidney
transplantation as a response to organ shortage.
AB - In 1989, there were 19,000 patients on the UNOS (United Network of Organ Sharing)
wait list for organs compared to 110,000 today. Without an equivalent increase in
donors, the patients awaiting these organs for transplant face increasing
severity of illness and risk of dying without receiving a transplant. This
disparity in supply and demand has led to acceptance of organs with lower than
expected success rates compared to previous standard donors variously defined as
extended criteria donors in order to increase transplantation. The reluctance to
wider use of these types of organs is based on the less than expected transplant
center graft and patient survival results associated with their use, as well as
the increased resources required to care for the patients who receive these
organs. The benefits need to be compared to the survival of not receiving a
transplant and remaining on the waiting list rather than on outcomes of receiving
a standard donor. A lack of a systematic risk outcomes adjustment is one of the
most important factors preventing more extensive utilization as transplant
centers are held to patient and graft survival statistics as a performance
measure by multiple regulatory organizations and insurers. Newer classification
systems of such donors may allow a more systematic approach to analyzing the
specific risks to individualized patients. Due to changes in donor policies
across the country, there has been an increase in Extended Criteria Donors (ECD)
organs procured by organ procurement organizations (OPO) but their uneven
acceptance by the transplant centers has contributed to an increase in discards
and organs not being used. This is one of the reasons that wider sharing of
organs is currently receiving much attention. Transplanting ECD organs presents
unique challenges and innovative approaches to achieve satisfactory results.
Improved logistics and information technology combined strategies for improving
donor quality with may prevent discards while insuring maximal benefit.
Transplant centers, organ procurement organizations, third party payers and
government agencies all must be involved in maximizing the potential for ECD
organs.
PMID- 21905445
TI - The economic impact of addressing the organ shortage with clinically high-risk
allografts.
AB - Expanding gaps between the number of patients awaiting transplantation and the
number who receive organs in the United States has been associated with
heightened disease severity among transplant candidates and more common use of
organs from non-standard donors. We summarize data on the economic consequences
of liver and renal allograft quality in contemporary practice. Policy makers and
providers must work together to ensure that financial disincentives do not lead
to wastage of lifesaving organs.
PMID- 21905446
TI - High prevalence of iatrogenic hyperthyroidism in elderly patients with atrial
fibrillation in an anticoagulation clinic.
AB - In elderly patients with established atrial fibrillation (AF) who are receiving
thyroid replacement, regular testing for thyroid function is often not performed,
placing the patient at risk for iatrogenic hyperthyroidism. Of 215 patients
followed in an anticoagulation clinic, 41 were receiving thyroid replacement and
15 of these were found to have hyperthyroidism. Eight had documented AF
coincident with abnormal thyroid function. In addition, only 22 patients on
thyroid replacement had an annual TSH. In conclusion, iatrogenic hyperthyroidism
may frequently be missed in AF patients because of inadequate monitoring of serum
TSH. Thyroid replacement is common in elderly patients with AF followed in an
anticoagulation clinic. Laboratory evidence of hyperthyroidism occurred in 37%,
usually in patients with higher doses of thyroid replacement, and often
associated with AF. The frequency of iatrogenic hyperthyroidism may be
underestimated in patients with AF since many patients who receive thyroid
replacement therapy are not monitored regularly with serum TSH.
PMID- 21905447
TI - Rehabilitation of stroke: a new horizon.
AB - Strokes are a leading cause of death and disability in the U.S. Comprehensive
rehabilitation is needed to minimize disability. Theories that serve as a basis
for recovery following stroke and therapeutic techniques used to potentiate
recovery are discussed. Neuroplasticity is the potential for change in brain
function. Functional brain images with positron emission tomography (PET),
functional MRI (fMRI) and transcranial magnetic stimulation (TMS) have documented
cortical reorganization in patients with partial or complete recovery following
stroke. Therapeutic techniques with constraint induced movement therapy, body
weight-supported treadmill training, and therapeutic electrical stimulation are
reviewed.
PMID- 21905448
TI - Glioblastoma. Part II: Future directions.
AB - Although uncommon, "brain cancer" is one of the most feared diseases that afflict
human beings. While still regarded as one of the most deadly forms of primary
malignant brain neoplasm, recent advances in the treatment of Glioblastoma
Multiforme (GBM) have offered new hope for patients, families and clinicians. In
the first part of this two-part evidence-based review, we focused on the
multidisciplinary advances that have established the current standard of care
practice in the management of GBM. The second part discusses ongoing research
efforts, both ongoing clinical trial efforts as well as some of the newer
technologies that are forming the promise of the future.
PMID- 21905449
TI - Grinding it out: wavefront spectacle lens in clinical practice.
AB - This is the first literature report of a series of Zeiss iScription process wave
guided spectacles (glasses) compared with conventional non-wave guided glasses.
We designed a prospective pilot study of our initial clinical experience. Fifty
patients with wavefront and fifty non-wavefront glasses were compared for lens
changes made for comfort or visual clarity after initial manufacture and fitting.
Minimum follow up was 90 days. Forty-six (92%) of the wavefront glasses required
no modifications after initial delivery compared to 42 (86%) of non-wavefront
glasses. There was a trend for conventional glasses to be returned more often
than wavefront glasses but the difference was not statistically significant.
PMID- 21905450
TI - PTSD: diagnosis, evolution, and treatment of combat-related
psychological/psychiatric injury.
AB - The long Iraq and Afghanistan conflicts have thrust Combat Related Post-Traumatic
Stress Disorder (CR-PTSD) into the public consciousness and promoted national
dialogue on the incidence and results of war related psychological trauma. In the
first of a two part series we outline our contemporary understanding of CR-PTSD,
the evolution of the diagnosis throughout the history of modern warfare, its
impact on the mind and body, and its treatment. PTSD has become the mental health
issue of our time.
PMID- 21905452
TI - Climate change and infectious diseases in New Zealand: a brief review and
tentative research agenda.
AB - AIMS: To review the literature on infectious diseases and meteorological and
climate change risk factors in the New Zealand context and to describe a
tentative research agenda for future work. METHODS: We performed literature
searches in May 2010 using Medline and Google Scholar. We also searched five
health-related government agencies in New Zealand for documentation on climate
change and health. RESULTS: The effect of climate variability and change on
vector-borne disease has been considered in more detail than any other infectious
disease topic (n=20+ journal articles and reports relating to New Zealand).
Generally, concern has arisen around the risk of new mosquito incursions and
increased risks of dengue and Ross River fevers in the long term. For enteric
diseases, the picture from five New Zealand publications is somewhat mixed,
although the data indicate that salmonellosis notifications increase with higher
monthly temperatures. One interpretation of the New Zealand data is that
communities without reticulated water supplies could be more vulnerable to the
effects of climate change-mediated increases in protozoan diseases. This
information informed a tentative research agenda to address research gaps.
Priorities include the need for further work on a more integrated surveillance
framework, vector-borne diseases, enteric diseases, skin infections, and then
work on topics for which we found no published New Zealand work (such as
influenza and leptospirosis). Finally, we found that health-related government
agencies in New Zealand have relatively little 'climate change and health'
information on their websites. CONCLUSIONS: Although some informative work has
been done to date, much scope remains for additional research and planning to
facilitate prevention, mitigation, and adaptation responses in the New Zealand
setting around climate change and infectious disease risks. The tentative
research agenda produced could benefit from a wider critique, and government
agencies in New Zealand could contribute to informed discussions by better
documenting the current state of knowledge on their websites.
PMID- 21905451
TI - Exploring the molecular mechanisms of nickel-induced genotoxicity and
carcinogenicity: a literature review.
AB - Nickel, a naturally occurring element that exists in various mineral forms, is
mainly found in soil and sediment, and its mobilization is influenced by the
physicochemical properties of the soil. Industrial sources of nickel include
metallurgical processes such as electroplating, alloy production, stainless
steel, and nickel-cadmium batteries. Nickel industries, oil- and coal-burning
power plants, and trash incinerators have been implicated in its release into the
environment. In humans, nickel toxicity is influenced by the route of exposure,
dose, and solubility of the nickel compound. Lung inhalation is the major route
of exposure for nickel-induced toxicity. Nickel can also be ingested or absorbed
through the skin. The primary target organs are the kidneys and lungs. Other
organs such as the liver, spleen, heart, and testes can also be affected to a
lesser extent. Although the most common health effect is an allergic reaction,
research has also demonstrated that nickel is carcinogenic to humans. The focus
of the present review is on recent research concerning the molecular mechanisms
of nickel-induced genotoxicity and carcinogenicity. We first present a background
on the occurrence of nickel in the environment, human exposure, and human health
effects.
PMID- 21905453
TI - Impact of ammunition and military explosives on human health and the environment.
AB - OBJECTIVE: To review the literature concerning the risks associated with the main
xenobiotics contained in military ammunition and explosive residues and damage to
human and environmental health. METHODOLOGY: Using "ammunition", "military",
"environmental", "health", "explosive", "metal", "TNT", "RDX", "pollution", and
"contamination" as search terms, a large database, namely ISI Web of Knowledge
and PubMed, was searched for studies on military ammunition and explosive
residues from 1989 to 2010. Other sources used to conduct the search included the
library of the Toxicology Laboratory of the Center for Workers' Health and Human
Ecology (CESTEH) at the National School of Public Health. RESULTS: In total, 15
different combinations were used with the search words above and 708 papers were
found. Among them, 76 papers concerned this review. More than 12 references of
interest were discovered in the library of the CESTEH. The results were organized
into metals, dinitrotoluene, trinitrotoluene (TNT), and royal demolition
explosive (RDX), showing their main uses, occurrence in the environment, the
current toxic effects to human and environmental health, and remediation
possibilities. CONCLUSION: Because military activities can cause the acute and
chronic exposure of human beings, the public administration must aim politics
towards suitable environmental management.
PMID- 21905454
TI - The value of ecologic studies: mercury concentration in ambient air and the risk
of autism.
AB - Ecologic studies of the spatial relationship between disease and sources of
environmental contamination can help to ascertain the degree of risk to
populations from contamination and to inform legislation to ameliorate the risk.
Population risks associated with persistent low-level mercury exposure have
recently begun to be of concern and current reports implicate environmental
mercury as a potential contributor in the etiology of various developmental and
neurodegenerative diseases including autism and Alzheimer's disease. In this
demonstration of preliminary findings, we demonstrate for Bexar County Texas and
Santa Clara County California, the hypothesis that the spatial structure of the
occurrence of autism has a positive co-variation with the spatial structure of
the distribution of mercury in ambient air. The relative risk of autism is
greater in the geographic areas of higher levels of ambient mercury. We find that
the higher levels of ambient mercury are geographically associated with point
sources of mercury emission, such as coal-fired power plants and cement plants
with coal-fired kilns. Although this does not indicate a cause, these results
should not be dismissed, but rather seen as a preliminary step for generating a
hypothesis for further investigation.
PMID- 21905455
TI - Methodological lessons and pilot data on the effect of proximity of homes and
schools to highways on pediatric asthma and lung function.
AB - PURPOSE: Numerous studies have found that either living or attending school near
highways or exposure to pollutants associated with heavy motor vehicle traffic
are associated with a high prevalence of asthma and reduced lung function. Yet,
few investigations have assessed school and home exposure in the same study.
METHODS: We recruited children aged 5-19 years from a pediatric clinic in an
urban center (Boston Chinatown) for many of whom housing and school were located
immediately adjacent to two major highways. A questionnaire was used to assess
self-report of diagnosis of asthma and the proximity of schools and homes to
highways, as well as basic demographic information. Spirometric lung function
data were obtained and reviewed by a pediatric pulmonologist blinded to survey
responses. During this review, we excluded lung function tests of low quality.
RESULTS: The analyses did not demonstrate any associations or mean differences
between near-highway exposure at school, at home, or both with diagnosed asthma
(p>0.10, n=124). For the lung function data (n=87), neither direct measures
(FEV1, FVC, and FEF(25-75)) nor ratio measures (FEV1/FVC and FEF(25-75)/FVC) had
a significant association with near-highway exposure (p>0.10). Certain
predisposing factors, such as diagnosed allergies and family history of asthma,
were strongly associated with diagnosed asthma (p<0.05 and p=0.001,
respectively), findings we have seen consistently in other work with children
recruited from the same clinic. We also found that exposure to pests was
significantly correlated with a smaller FEF(25-75)/FVC ratio (p=0.02).
CONCLUSIONS: Our findings suggest that either limitations in our study design
restricted our ability to see the associations reported by others or that such
associations do not exist in this population. One possibility is that in this
community, with heavy street traffic and many street canyons, the gradient of
exposure next to the highway is not very well delineated by simple proximity.
PMID- 21905456
TI - Environmental and demographic risk factors associated with the prevalence of
Cryptosporidium infection in the Alice rural settlements of the Eastern Cape
Province of South Africa: a pilot study.
AB - We undertook this study to identify the risk factors and prevalence of
Cryptosporidium spp. in HIV-positive and HIV-negative diarrhea patients in the
Alice rural settlement in the Eastern Cape Province of South Africa. A total of
180 stool specimens (35 HIV-positive diarrhea, 125 HIV-negative diarrhea
patients, and 20 apparently healthy subjects) were screened for cryptosporidiosis
using an ELISA-based approach. Sociodemographic information, water supply, and
animal contact were recorded for diarrhea-positive patients. The data were
analyzed using Pearson's chi2-test and Fisher's exact test. Cryptosporidium
antigen was detected in 122 of 180 specimens (overall prevalence=67.8%). In HIV
positive diarrhea patients, the age groups 31-43 years (mean age 36.5 years) and
70-82 years (mean age 75.8 years) had a higher prevalence (100%) of the antigen
than age groups 18-30 years (mean age 23.2 years) and 83-95 years (mean age 88.8
years) (50.0%). In HIV-negative diarrhea patients, the prevalence was highest
(87.5%) at ages 18-30 years (mean age 23.2 years) and lowest (35.7%) at ages 83
95 years (mean age 88.8 years). Cryptosporidium antigenemia was slightly higher
in females (78.2%, mean age 46.7 years) than in males (71.1%, mean age 42.6
years), but the difference was not significant (p>0.05). No apparently healthy
control subject was infected with Cryptosporidium. HIV-negative patients had a
significantly higher prevalence of antigen than HIV-positive patients, with farm
animals considered a possible risk factor. In HIV-positive diarrhea patients, the
prevalence peak was detected in more low income patients (85.7%) than in high
income patients (32%). The high infection rate of specific groups was associated
with exposure to a contaminated water supply. The results indicate that
Cryptosporidium infection is highly prevalent in adult fecal specimens from the
Nkonkobe Municipality, an indication of active infection that is likely to emerge
as a major human pathogen in this locality owing to socioeconomic changes that
favor transmission.
PMID- 21905457
TI - AAPA's new president: learn more about a man on a mission.
PMID- 21905458
TI - Simvastatin dosing restrictions; monitoring amiodarone therapy.
PMID- 21905459
TI - What caused the rash on this high school athlete?
PMID- 21905460
TI - Childhood obesity: screening and early intervention.
PMID- 21905461
TI - Laceration repair: avoid infection, optimize healing, minimize scarring.
PMID- 21905462
TI - A rare cause of hip pain characterized by an inability to walk or bear weight.
PMID- 21905463
TI - Hidden variables: why patients may misunderstand written screening tools.
PMID- 21905464
TI - Abdominal compartment syndrome: potentially lethal and easy to miss.
PMID- 21905465
TI - Ovarian cancer: breaking the silence.
PMID- 21905466
TI - Can an ACE inhibitor reduce the risk of developing progressive renal disease?
PMID- 21905467
TI - Quick recertification series. Cauda equina syndrome; acute respiratory distress
syndrome.
PMID- 21905468
TI - Can I be screened for ovarian cancer?
PMID- 21905469
TI - Patient information. Can I be screened for ovarian cancer?
PMID- 21905470
TI - A case of confabulation and abnormal eye movements.
PMID- 21905471
TI - Preimplantation genetic diagnosis.
PMID- 21905472
TI - Case of the month. Hereditary hemorrhagic telangiectasia.
PMID- 21905473
TI - Offer a guiding hand.
PMID- 21905474
TI - How far have we come?
PMID- 21905475
TI - The art of self study.
PMID- 21905476
TI - Is it time for hospice?
PMID- 21905477
TI - Giving you what you want.
PMID- 21905478
TI - Governance first, technology second to effective CPOE deployment: rapid
development of order sets provides the foundation for CPOE, but healthcare
organizations first need an effective governance plan built around clinician
workflow.
PMID- 21905479
TI - How to bridge the gulf between the front and back office: the answer may lie in
the cloud.
PMID- 21905480
TI - Enhancing nursing productivity through mobile computing solutions: mobile
computing and medication solutions allow nurses more time at patient bedsides.
PMID- 21905481
TI - Streamlining healthcare with multifunctional devices and advanced workflows:
Healthcare providers generally face some of the most complex workflow hurdles of
any industry.
PMID- 21905482
TI - The elegance of workflow automation: improving workflow leads to a virtuous cycle
of process enhancement.
PMID- 21905483
TI - Preparing for tomorrow's challenges today, with automation: automation technology
gives hospitals the ability to streamline processes, reduce costs, increase
accuracy and ensure the deliverability of data from one system to another.
PMID- 21905484
TI - In pursuit of EMR, Gastroenterology Associates of Cleveland upgrades disaster
recovery (DR) operation: EMR implementation forces look at DR options.
PMID- 21905485
TI - Get help planning a data center: Data center projects require extraordinary
foresight and technical expertise. Get a few steps ahead of the game by following
these recommendations.
PMID- 21905486
TI - It's back to the future for storage of PACS images: the concept of a shared
central repository has been a staple of information technology for decades.
PMID- 21905487
TI - Gulf Coast Health Center serves its community with a new EMR system: GCHC needed
a system with inherent flexibility that would allow for upgrades and expansion of
the system as necessary.
PMID- 21905488
TI - Just what the doctor ordered: giving the best care to your best patients.
Measuring patient perceptions and gauging loyalty are key.
PMID- 21905489
TI - We've got an app for that: using mobile technology to improve care management and
drug adherence for at-risk patients.
PMID- 21905490
TI - A new telecommunications solution enhances productivity and patient care:
outdated system hampered productivity.
PMID- 21905491
TI - Telepsychiatry in the cloud: reaching rural communities in underserved markets.
PMID- 21905492
TI - What ICD-10 means for doctors: the 2013 coding update may lead to revenue loss if
physicians are not prepared.
PMID- 21905493
TI - Lean strategies reduce bottlenecks: achieving clinical automation through the use
of a real-time locating system.
PMID- 21905494
TI - "Our rotten dental care system: if you're poor, just grin and bear it...".
PMID- 21905495
TI - The code. One dentist's thoughts on the importance of understanding the ADA code
and how insurance companies' policies relate to the code.
PMID- 21905496
TI - Consensus statement on the diagnosis, management, and treatment of angioedema
mediated by bradykinin. Part I. Classification, epidemiology, pathophysiology,
genetics, clinical symptoms, and diagnosis.
AB - BACKGROUND: There are no Spanish guidelines or consensus statement on bradykinin
induced angioedema. AIM: To review the pathophysiology, genetics, and clinical
symptoms of the different types of bradykinin-induced angioedema and to draft a
consensus statement in light of currently available scientific evidence and the
experience of experts. This statement will serve as a guideline to health
professionals. METHODS: The consensus was led by the Spanish Study Group on
Bradykinin-Induced Angioedema (SGBA), a working group of the Spanish Society of
Allergology and Clinical Immunology. A review was conducted of scientific papers
on different types of bradykinin-induced angioedema (hereditary and acquired
angioedema due to C1 inhibitor deficiency, hereditary angioedema related to
estrogens, angioedema induced by angiotensin-converting enzyme inhibitors).
Several discussion meetings of the SGBA were held in Madrid to reach the
consensus. RESULTS: The pathophysiology, genetics, and clinical symptoms of the
different types of angioedema are reviewed. Diagnostic approaches are discussed
and the consensus reached is described. CONCLUSIONS: A review of bradykinin
induced angioedema and a consensus on diagnosis are presented.
PMID- 21905497
TI - Evaluation of CARMA1/CARD11 and Bob1 as candidate genes in common variable
immunodeficiency.
AB - BACKGROUND AND OBJECTIVE: The candidate gene approach has led to the detection of
associations between common variable immunodeficiency (CVID) and mutations in the
genes TACI, ICOS, BAFF-R, CD19, CD20, and CD81. Such mutations are present in
less than 15% of cases, highlighting the complexity of the disease. Animal models
for 2 genes involved in B-cell development, namely CARMA1/CARD11 and Bob1,
develop an immunological phenotype similar to that seen in CVID, with low
immunoglobulin serum levels, defective responses to antigen, and defective B-cell
activation. The aim of this study was to evaluate CARMA1/CARD11 and Bob1 as
candidate genes for the pathogenesis of CVID in a cohort of 66 patients with the
disease. PATIENTS AND METHODS: We performed direct gene sequencing of
CARMA1/CARD11 and Bob1 in 66 patients with CVID. RESULTS: Seven already reported
genetic variants and 4 novel ones were found in the CARMA1/CARD11 gene, while 1
already reported variant and 1 novel variant were found in the Bob1 gene.
CONCLUSIONS: Although novel genetic variants were identified in both the
CARMA1/CARD11 and the Bob1 gene, no disease-causing mutations were identified in
our group of patients. However, 4 of the variants in CARMA1 and 1 of those in
Bob1 were associated with the disease. Considering the heterogeneity and
complexity of CVID, further studies are needed to better define the genetic
mechanisms involved in the pathogenesis of the disease.
PMID- 21905498
TI - Percutaneous application of peptidoglycan from Staphylococcus aureus induces
infiltration of CCR4+ cells into mouse skin.
AB - BACKGROUND: The lesional skin of patients with atopic dermatitis has an increased
number of type 2 helper T (TH2) cells in the dermis and is superficially
colonized by Staphylococcus aureus. The purpose of this study was to determine
the effects of peptidoglycan (PEG) from S aureus on TH2 cell induction in murine
skin. METHODS: Mice were sensitized with house dust mite antigen (MA) by topical
application to barrier-disrupted abdominal skin. Seven days after sensitization,
PEG was applied to the barrier-disrupted dorsal skin. After a further 3 days, C-C
chemokine receptor type 4-positive (CCR4+) cells were counted in the PEG-treated
skin.The production of chemokine (C-C) motif ligand 17 (CCL17) (thymus- and
activation-regulated chemokine) and CCL22 (macrophage-derived chemokine) in the
skin was investigated using reverse transcriptase polymerase chain reaction and
immunohistological analysis. RESULTS: Application of PEG to the dorsal skin of MA
sensitized mice led to a significant increase in the number of cells expressing
CCR4 in the dermis. The skin of PEG-treated mice showed an increased level of
CCL17 mRNA expression, which coincided with TH2 cytokine mRNA expression.
Immunohistological analysis demonstrated that levels of CCL17 transcripts
corresponded to those of protein synthesis in the epidermis. CCL17 production was
induced mainly by Langerhans cells stimulated with PEG. Furthermore,
intraperitoneal injection of anti-CCL17 antibody abrogated the induction of CCR4+
cells in the skin. CONCLUSION: These results suggest that PEG may induce TH2
cells in the skin through the production of CCL17 by Langerhans cells and would
explain the role of colonization by S aureus in patients with atopic dermatitis.
PMID- 21905499
TI - Clinical use of oral antihistamines and intranasal corticosteroids in patients
with allergic rhinitis.
AB - BACKGROUND: Second-generation oral antihistamines (AH) and intranasal
corticosteroids (ICS) are the most widely used drugs for allergic rhinitis (AR).
OBJECTIVE: To obtain information on the preferences for and applications of these
drugs under conditions of routine clinical practice. METHODS: We performed a
multicenter multidisciplinary observational study. Participating physicians
completed a questionnaire with information on preferences for and application of
drugs for AR, patient characteristics, and physician/patient satisfaction with
the treatment provided (visual analog scale). RESULTS: A total of 1008 physicians
participated in the study (primary care physicians, 53%; ear, nose, and throat
specialists, 28%; allergologists, 19%). Treatment preferences in AR were AH
combined with ICS (7.68), AH (7.25), and ICS (6.94). AH and ICS were used
continuously by 58% and 71% of patients, respectively. Physicians reported having
a good knowledge of the Allergic Rhinitis and its Impact on Asthma guidelines
(93%), and 90% claimed to follow the guidelines. A total of 4040 patients were
recruited (52% females, mean [SD] age 34 [14] years). The findings for AR were as
follows: mean (SD) duration, 9 (8) years; persistent AR, 52%; mild AR, 72%;
moderate AR, 7%; and severe AR, 1%. Patients considered the disorder to be well
controlled/almost controlled (79%). As for treatment, 77% followed the regimen
recommended by the physician. Oral treatment (41%) and intranasal treatment (22%)
were preferred, while 35% showed no preference for any given administration
route. The treatments prescribed were AH combined with ICS (66%), AH (20%), ICS
(11%), other antihistamines (4%), and other drugs (6%). Combination treatment was
the preferred therapy, regardless of the type of rhinitis. CONCLUSIONS:
Physicians prefer and more often use combination treatment with oral AH and ICS,
regardless of the frequency and intensity of AR.
PMID- 21905500
TI - Polymorphisms in toll-like receptor 4 gene are associated with asthma severity
but not susceptibility in a Chinese Han population.
AB - BACKGROUND AND OBJECTIVES: The toll-like receptor 4 (TLR4) gene links human
innate immunity and adaptive immunity via bacterial endotoxin recognition, and
plays a considerable role in the pathogenesis of asthma. The effects of the
genetic variants of TLR4 on asthma are still largely unknown. This study aimed to
evaluate the effects of TLR4 polymorphisms on asthma risk and asthma-related
phenotypes in a Chinese Han population. METHODS: We consecutively recruited 318
unrelated adult asthmatic patients and 352 healthy volunteers. Four tagging
single nucleotide polymorphisms (SNPs) in the TLR4 gene were detected using
GenomeLab SNPstream or TaqMans Genotyping. We conducted case-control and case
only studies to investigate the association between the selected tagging SNPs in
TLR4 and asthma and asthma-related phenotypes. RESULTS: We found no evidence to
support a significant association between TLR4 SNPs and asthma susceptibility.
However, our results revealed that the TT homozygote of rs1927914 was associated
with lower forced expiratory volume in the first second (percent predicted) in
asthmatic patients. An evidently positive association was found between asthma
severity and both the TT genotype of rs1927914 and the GG genotype of rs10983755
and rs1927907 (P = .024, P = .009, and P = .013, respectively), indicating that
the C allele of rs1927914 and the A allele of rs10983755 and rs1927907 have a
protective effect on asthma severity. CONCLUSION: TLR4 polymorphisms do not
contribute to asthma susceptibility but they may influence the severity of
asthma.
PMID- 21905501
TI - Variations in the STK10 gene and possible associations with aspirin-intolerant
asthma in a Korean population.
AB - BACKGROUND AND OBJECTIVE: Lymphocyte-oriented kinase deficiency encoded by the
serine/threonine kinase 10 (STK10) gene correlates with the intracellular
adhesion molecule 1 (ICAM-1)/lymphocyte function associated antigen 1 (LFA-1)
complex in aspirin hypersensitivity. This study investigated the association
between single nucleotide polymorphisms (SNPs) of STK10 and aspirin-intolerant
asthma (AIA). METHODS: A total of 54 SNPs were genotyped in 163 AIA patients and
429 aspirin-tolerant asthma (ATA) controls. RESULTS: Logistic regression revealed
that a synonymous variant (rs2306961G>A) had the most significant association
with AIA (P = .008 under the codominant model; P = .004 under the dominant
model), suggesting that tissue-specific codon usage between Lys_TTT and Lys_CTT
could play a role in regulating expression of STK10 in airway epithelium.
Haplotype analysis revealed that 4 haplotypes, including STK10_BL4-ht1, which is
unique to rs2306961G>A, were significantly associated with aspirin
hypersensitivity in asthmatics (P < .05). CONCLUSIONS: Although replications in
independent cohorts and further functional evaluations are needed, our
preliminary findings suggest that STK10 polymorphisms might be susceptible
genetic markers of AIA and that gene expression could be mediated by tissue
specific codon usage.
PMID- 21905502
TI - Autoimmunity and hepatitis A vaccine in children.
AB - BACKGROUND: Universal vaccination remains the most effective way of preventing
the spread of many infectious diseases. Although most adverse effects attributed
to vaccines are mild, rare reactions such as autoimmunity do occur. OBJECTIVES:
We aimed to evaluate the possible role played by hepatitis A vaccine (HAV) in
inducing the synthesis of autoantibodies. The study included 40 healthy children
vaccinated with 2 doses of HAV at a 6-month interval. The children were
investigated for autoantibodies including anti-nuclear antibodies (ANAs), anti
smooth muscle antibodies, anti-nDNA, anti-microsomal antibodies, anti-cardiolipin
(aCL) immunoglobulin (Ig) M/IgG, anti-ds DNA, ANA profile, and anti-neutrophil
cytoplasmic antibody profile. RESULTS: One month after the first dose, ANAs at a
titer of 1:100 and aCL IgG at 23.7 IgM phospholipid units were detected in 4
children and 1 child, respectively. Of the ANA-positive children, 1 also had ASMA
positivity, and another had perinuclear and cytoplasmic ANCA positivity. After
the second dose, 3 of the children had aCL IgM. In addition, 2 distinct children
had positive anti-thyroid microsomal antibodies and ANA after the second dose.
The presence of these autoantibodies following vaccination was statistically
significant (P = .002). At month 12 of the study, only 2 children continued to be
ANA-positive at the same titer as after the first vaccine dose. CONCLUSIONS:
Although HAV can induce the production of autoantibodies, none of the children
developed autoimmune disorders. Long-term follow up is necessary to check whether
autoimmune disorders develop in children who still have ANA. Genetic,
immunological, environmental, and hormonal factors are also important in the
development of vaccine-induced autoimmunity.
PMID- 21905503
TI - Promoter polymorphisms of the CD14 gene are associated with atopy in Pakistani
adults.
AB - BACKGROUND: Several studies have shown that promoter polymorphisms of the CD14
gene are associated with atopic asthma. However, the results of association
studies in different populations are conflicting. This study aimed to investigate
the possible association between the CD14 polymorphisms A-1145G and C-159T and
atopic phenotypes in Pakistani cohorts. METHODS: Healthy controls (n = 120) and
atopic patients (n=220) were genotyped for the single-nucleotide polymorphisms C
159T (rs2569190) and A-1145G (rs2569191) using restriction fragment length
polymorphism-polymerase chain reaction. RESULTS: The genotype and allelic
frequencies were in Hardy-Weinberg equilibrium. Overall, strong associations were
observed between both C-159T (P = .02; chi2 = 7.16) and A-1145G (P = .01; chi =
7.88) and atopy. The G allele of A-1145G was significantly associated with atopy
(P < .009; chi2 = 6.72). When the data were stratified, the associations observed
were due to the individual phenotypes: atopic asthma was significantly associated
with A-1145G (P = .02; chi2 = 7.18), whereas the association between C-159T and
atopy was attributed to patients with allergic rhinitis (P = .01; chi2 = 8.13).
CONCLUSION: In Pakistani adults, the A-1145G polymorphism is associated with
atopic asthma, whereas the C-159T polymorphism is significantly associated with
allergic rhinitis.
PMID- 21905504
TI - Phosphorylation reduces the allergenicity of cow casein in children with
selective allergy to goat and sheep milk.
AB - This study aimed to characterize the role of phosphorylation of caseins in
selective allergy to goat milk (GM) and sheep milk (SM) in patients with good
tolerance to cow milk (CM). We performed skin prick tests with milk and caseins
from CM, GM, and SM and immunoblotting and specific immunoglobulin (Ig) E
determinations with milk and casein from cow and GM and SM. Sensitization to milk
and caseins from goat and sheep was demonstrated in all 3 patients by skin tests,
determination of specific IgE, or both. Immunoblotting confirmed that GM/SM
proteins but not CM proteins were involved in the allergic symptoms. IgE reacted
with several protein bands from the caseins and milk extracts of both sheep and
goat. Phosphorylation was involved in the different allergenicity of CM caseins.
We report the implication of phosphorylation in the allergenicity of caseins
involved in selective allergy to GM and SM.
PMID- 21905505
TI - Bacille Calmette-Guerin lymphadenitis and recurrent oral candidiasis in an infant
with a new mutation leading to interleukin-12 receptor beta-1 deficiency.
AB - Mendelian susceptibility to mycobacterial diseases (MSMD) is a rare syndrome
characterized by predisposition to infections caused by weakly virulent
mycobacteria, such as those in bacille Calmette-Guerin (BCG) vaccine and
environmental mycobacteria. Salmonellosis has been reported in almost half of
affected patients. Patients are also vulnerable to Mycobacterium tuberculosis
infection. Several other infectious diseases may occur, albeit rarely.
Mucocutaneous candidiasis is more common. Interleukin-12 receptor beta1 (IL
12Rbeta1) deficiency is the most frequent genetic cause of MSMD. Here, we
describe an infant with a single episode of BCG lymphadenitis who also suffered
from recurrent oral candidiasis. Genetic analysis revealed a new homozygous
mutation (64+1G>T) in the IL12RB1 gene that caused complete IL-12R1beta1
deficiency. IL-12Rbeta1 deficiency should be considered in patients with BCG
infection, even in those who experience a single episode of BCG lymphadenitis or
recurrent mucocutaneous candidiasis. Every attempt should be made to heighten
awareness in countries where BCG vaccination is performed.
PMID- 21905507
TI - Storage mites in flour samples in Wellington, New Zealand.
PMID- 21905508
TI - An immediate hypersensitivity reaction caused by tolperisone hydrochloride.
PMID- 21905506
TI - Membranous glomerulopathy in an adult patient with X-linked agammaglobulinemia
receiving intravenous gammaglobulin.
AB - BACKGROUND: Immune complex deposition in the subepithelial zone of glomerular
capillaries can lead to membranous glomerulopathy. OBJECTIVE: To present the case
of a 23-year-old man with X-linked agammaglobulinemia (XLA) who developed
idiopathic membranous glomerulopathy while receiving intravenous immunoglobulin
(IVIG). METHODS: We performed an immunological workup, genetic testing, and a
renal biopsy. RESULTS: XLA was confirmed with less than 0.02% CD19+ cells in the
blood after sequence analysis revealed a nonfunctional BTK gene. The patient
presented with microhematuria, which persisted for 3 years and spanned treatment
with 5 different preparations of intravenous gammaglobulin. Immunohistochemistry
revealed membranous glomerulopathy. CONCLUSION: Although endogenous serum
immunoglobulin (Ig) production is severely impaired in XLA, rare B lymphocytes
that have managed to mature can produce functional IgG antibodies. The pathogenic
immune complexes could reflect IVIG reacting with polymorphic autoantigens, an
endogenous IgG-producing clone reacting with a common idiotype present in the
IVIG, or both.
PMID- 21905509
TI - Tracheomalacia: uncommon onset in a patient with severe asthma.
PMID- 21905510
TI - A study of the variability of the in vitro component-based microarray ISAC CDR
103 technique.
PMID- 21905511
TI - Selective hypersensitivity with positive immediate skin tests to nimesulide.
PMID- 21905512
TI - Omalizumab (an anti-IgE antibody) in the treatment of severe atopic eczema.
PMID- 21905513
TI - A severe case of lipoatrophy due to human insulin and insulin analogs in a
patient with diabetes: is an immunological mechanism involved?
PMID- 21905514
TI - Fixed drug eruption due to meloxicam.
PMID- 21905515
TI - Drug fever caused by eutectic mixture of local anesthetic cream.
PMID- 21905516
TI - Social media: friend or foe?
PMID- 21905517
TI - Making the most of meaningful use.
PMID- 21905518
TI - Capital punishment.
PMID- 21905519
TI - Starting from scratch.
PMID- 21905520
TI - Hackers, breaches and other threats to electronic records.
PMID- 21905521
TI - Doc connector. Interview by Elizabeth Gardner.
PMID- 21905522
TI - Resting pulse rate reference data for children, adolescents, and adults: United
States, 1999-2008.
AB - OBJECTIVE: This report presents national reference data on resting pulse rate
(RPR), for all ages of the U.S. population, from 1999-2008. METHODS: During 1999
2008, 49,114 persons were examined. From this, a normative sample comprising
35,302 persons was identified as those who did not have a current medical
condition or use a medication that would affect the RPR. RPR was obtained after
the participant had been seated and had rested quietly for approximately 4
minutes. RESULTS: RPR is inversely associated with age. There is a mean RPR of
129 beats per minute (standard error, or SE, 0.9) at less than age 1 year, which
decreases to a mean RPR of 96 beats/min (SE 0.5) by age 5, and further decreases
to 78 beats/min (SE 0.3) in early adolescence. The mean RPR in adulthood plateaus
at 72 beats/min (SE 0.2) (p < 0.05 for trend). In addition, there is a
significant gender difference, with the male pulse rate plateauing in early
adulthood, while the female resting pulse plateaus later when middle-aged. There
are two exceptions, that is, infants under age 1 year and adults aged 80 and
over, when the mean RPR is statistically and significantly higher in females than
in males (females under age 20 have an RPR of 90 beats/min, SE 0.3, and males
under age 20 have an RPR of 86 beats/min, SE 0.3, p <0.05; females aged 20 and
over have an RPR of 74 beats/min, SE 0.2, and males aged 20 and over have an RPR
of 71 beats/min, SE 0.3, p <0.05). After controlling for age effects, non
Hispanic black males have a significantly (p <0.001) lower mean RPR (74
beats/min) than non-Hispanic white males (77 beats/min) and Mexican-American
males (76 beats/min). Among females, non-Hispanic black females (79 beats/min)
and Mexican-American females (79 beats/min) had statistically and significantly
(p < 0.01) lower mean RPRs compared with non-Hispanic white females (80
beats/min). Among males, the prevalence of clinically defined tachycardia
(abnormally fast heart rate, RPR 100 beats/min) is 1.3% (95% CI = 1.1-1.7), and
the prevalence of clinically defined bradycardia (abnormally slow heart rate, RPR
< 60 beats/min) is 15.2% (95% CI = 14.1-16.4). For adult females, these
prevalences are 1.9% (95% CI = 1.6-2.3) for clinical tachycardia and 6.9% (95% CI
= 6.2-7.8) for clinical bradycardia. Controlling for age, males have higher odds
(2.43, 95% CI = 2.09-2.83) of having bradycardia, and notably lower odds (0.71,
95% CI = 0.52-0.97) of having tachycardia than women. CONCLUSIONS: The data
provides current, updated population-based percentiles of RPR, which is one of
the key vital signs routinely measured in clinical practice.
PMID- 21905523
TI - What would Scrib think about our progress in dialysis care?
PMID- 21905524
TI - The role of APS in a nephrology practice.
PMID- 21905525
TI - The ESA saga: a perfect storm of failed expectations and over-regulation.
PMID- 21905526
TI - The possible effects of CMS's 'non-decision' on a national coverage decision for
ESA therapy.
PMID- 21905527
TI - Getting patients and renal staff to embrace 'fistula first/catheter last'.
PMID- 21905528
TI - In-center self-care hemodialysis: an unappreciated modality in renal care.
PMID- 21905530
TI - How to climb Capitol Hill and be an advocate.
PMID- 21905529
TI - Self-care dialysis fits in with new economic challenges for providers.
PMID- 21905531
TI - Heterotopic epithelial inclusions with micrometastatic mammary carcinoma in a
sentinel lymph node.
PMID- 21905532
TI - Intracranial hemorrhage from undetected aneurysmal rupture complicating
transphenoidal pituitary adenoma resection.
AB - We report a case of a 39-year-old man who presented with a nonfunctioning
pituitary macroadenoma which extended into the suprasellar region. He underwent a
transcranial resection of the tumor followed eight months later by
transsphenoidal surgery for the residual tumor. Postoperatively he developed
massive subarachnoid and intraventricular hemorrhage. A cerebral angiogram
revealed a leaking anterior communicating artery aneurysm which was not seen on
the computed tomography angiography and magnetic resonance angiography before the
surgery. Complications of transsphenoidal surgery, particularly vascular
hemorrhagic complications, and risk of rupture of undetected aneurysms are
discussed.
PMID- 21905533
TI - Paratesticular leiomyosarcoma: case report and review.
AB - Paratesticular leiomyosarcoma is a rare entity. Greater than 95% of tumors
arising within the scrotal sac are testicular in origin. Of the paratesticular
tumors, rhabdomyosarcoma accounts for nearly half of malignant masses.
Paratesticular leiomyosarcoma is infrequently encountered, resulting in a
relative paucity of literature regarding this tumor type. Here we present a case
report of a leiomyosarcoma arising from the spermatic cord in a 71-year-old man
and review the literature regarding paratesticular leiomyosarcoma presentation,
diagnosis and treatment.
PMID- 21905534
TI - Refractory DKA as first presentation of acromegaly and a potential role for
continuous venovenous hemofiltration in its successful management.
AB - Diabetic ketoacidosis is rarely encountered in acromegaly. We present a unique
patient with refractory diabetic ketoacidosis (DKA) as a first presentation of
acromegaly. In addition to an insulin drip and intravenous fluids, our patient
was managed with octreotide therapy. As he developed acute renal failure in the
context of renal hypoperfusion, continuous venovenous hemofiltration (CVVH) was
instituted. After only three days of therapy, the growth hormone (GH) level
dropped circa fourfold and insulin growth factor 1 (IGF-1) level dropped
ninefold. We postulate a hypothetical role of CVVH in removal of plasma GH and
IGF-1, similar to the clearance of other medium size molecules such as brain
natriuretic peptide and procalcitonin. If this is confirmed in future studies,
CVVH may have therapeutic implications for the above category of patients.
PMID- 21905536
TI - Emollient empathy.
PMID- 21905535
TI - Farewell to a warrior.
PMID- 21905537
TI - A journey into the past: history of the Connecticut State Medical Journal 1936
1958. 1976.
PMID- 21905538
TI - A half century of Connecticut Medicine. 1986.
PMID- 21905539
TI - Will print journals last much longer?
PMID- 21905540
TI - "Horse and buggy days".
PMID- 21905542
TI - Simple answers.
PMID- 21905541
TI - The data Gestapo.
PMID- 21905543
TI - CDHC, a possible change agent promoting access to care.
AB - Access to oral health care has been a topic of concern among dental and community
health professionals in the United States for some time. The American Dental
Association is piloting a new program aimed at expanding the current dental
health workforce and alleviating some of the problems associated with access to
care. This paper explores the potential benefits of the community dental health
coordinator program while examining some of the lessons learned in its initial
implementation in Oklahoma.
PMID- 21905544
TI - Getting help for children: the need to expand the dental workforce.
AB - Millions of children in America suffer from poor oral health due to lack of
access to dental care. The landmark U.S. Surgeon General's Report in 2000
highlighted significant disparities, yet poor oral health remains an epidemic.
America's system of delivering dental care is poorly equipped to address access
disparities. However, opportunities abound to improve access and expand the
dental workforce. Creative thinking and innovative solutions are needed to expand
care to children in need.
PMID- 21905545
TI - Breaking down barriers to oral health for all Americans: the role of workforce.
AB - This statement from the American Dental Association is the first in a series
offering the ADA's vision of a healthier, more productive nation, enabled by
breaking down the barriers that impede or entirely prevent millions of Americans
from enjoying good oral health. It is included as part of this issue to
articulate the ADA's perspective on the national access debate.
PMID- 21905546
TI - Societal expectations and the profession's responsibility to reform the dental
workforce to ensure access to care for children.
AB - Societal expectations raise the issue of the nature of a profession and a
profession's relationship with society. Influential policy leaders want reform of
the oral health workforce and delivery system in such a manner as to ensure that
improvements are made for accessing care, particularly for vulnerable and
disadvantaged populations, especially children. This essay is based on a
presentation to the House of Delegates of the California Dental Association on
Nov.13, 2009.
PMID- 21905547
TI - A view from both sides.
AB - This letter to the editor is from one of the key figures in caries management by
risk assessment. Dr. Featherstone brings a unique perspective to the access
issue. He grew up in New Zealand and experienced care under the dental therapist
provider model. His letter is included here because it complements the other
articles by providing his views on CAMBRA in the context of addressing barriers
to care.
PMID- 21905548
TI - Can you hear me now?
PMID- 21905549
TI - Defining ourselves as nurses.
PMID- 21905550
TI - The public and self-image of holistic nurses: realizing our potential for
changing our image.
PMID- 21905551
TI - The IOM report: one more time with music?
PMID- 21905552
TI - Nurse Jackie and nurse ethics: How TV and the media influence our public image.
PMID- 21905553
TI - The image of nursing in Botswana.
PMID- 21905554
TI - Members share: images of nursing.
PMID- 21905555
TI - Professional coaching and holistic nursing are complementary.
PMID- 21905556
TI - AHNA as a holistic workplace.
PMID- 21905557
TI - Creating holistic workplaces wherever we go.
PMID- 21905558
TI - Holistic nursing leadership: changing the workplace and the field.
PMID- 21905559
TI - My holistic workplace: alpacas & therapy.
PMID- 21905561
TI - My holistic workplace.
PMID- 21905560
TI - Workplace cures: feng shui approach.
PMID- 21905562
TI - Holistic philosophy changed my work.
PMID- 21905563
TI - Defining the holistic workplace.
PMID- 21905564
TI - Why feminism matters in maternity care.
PMID- 21905565
TI - Expert witnesses: Jones v Kaney and the Law Commission's report.
PMID- 21905566
TI - The baby, the bathwater and the bath itself: a response to Tyrer et al.'s review
of the successes and failures of dangerous and severe personality disorder.
AB - A recent paper by Tyrer et al. in this journal has reviewed the dangerous and
severe personality disorder (DSPD) initiative in the assessment and management of
severe personality disorder associated with high risk. This previous paper
summarized the authors' perceptions of the successes and failures of the DSPD
pilot. In the present paper we identify some inaccuracies in the previous review
and provide a critique of the conclusions reached.
PMID- 21905567
TI - Ethical and legal issues in organ transplantation: Indian scenario.
AB - In 1994, the Government of India enacted the Transplantation of Human Organs Act
(THOA) to prevent commercial dealings in human organs. However, a greater number
of scandals involving medical practitioners and others in the kidney trade has
surfaced periodically in every state in India. The present regulatory system has
failed mainly due to the misuse of Section 9(3) of the THOA, which approves the
consent given by a live unrelated donor for the removal of organs for the reason
of affection or attachment towards the recipient or for any other special reason.
Currently in India, approximately 3500-4000 kidney transplants and 150-200 liver
transplants are performed annually. However, the availability of organs from
brain-dead persons is very low. As a result, live related or unrelated donors
form the main source of organ transplantation. Therefore, physicians and policy
makers should re-examine the value of introducing regulated incentive-based organ
donation to increase the supply of organs for transplantation and to end unlawful
financial transaction.
PMID- 21905568
TI - Psychiatric evidence in criminal courts: the need for better understanding.
AB - The rules of admissibility of expert evidence from mental health professionals
are not clear. The task of a psychiatrist providing expert opinion to criminal
courts is far from clear. Psychiatric experts are trained in a particular set of
ethical and philosophical frameworks. They have expertise in the diagnosis and
management of behaviours arising from mental disorders. The concept of mental
disorder itself is a dimensional one. Such a dimensional view of human behaviour
and mental disorders is hard to fit into the categorical view of human behaviour
that the law follows. The task of the psychiatric expert is to marry these two
philosophically different branches. Such a task would be facilitated by clear
rules of admissibility of expert psychiatric evidence, clear definition of the
roles and limitations of psychiatric evidence in criminal cases, a better
understanding and training of mental health professionals in legal principles and
a better understanding by the legal professionals of the mental health concepts.
This article aims to analyse the legal basis of the admissibility of expert
mental health evidence, the differences in the philosophies of the two
disciplines and the challenges in addressing legal criteria while staying
faithful to the ethos of psychiatry and psychology.
PMID- 21905569
TI - Homicide/suspicious death statistics for cases submitted to the forensic science
laboratory in the Republic of Ireland for 2004-2008.
AB - The purpose of this study was to examine the trends and patterns of homicide in
the Republic of Ireland over a five-year period (2004-2008). In total, 293 cases
are covered in the survey. The majority of the victims were men. The most common
age group of victims was 20-29 years old. The majority of the suspects were also
men. The most common age was also 20-29 years old. Stabbing was the most common
method used to kill and this often featured multiple wounds to the head and body.
Shooting and blunt force traumas were also common. Homicides are more likely to
occur over the weekend period. This study also explored the relationship between
the suspect and the victim, the motive and the location of the fatal assault. In
the majority of cases, the victim and the perpetrator were known to each other.
The site of the fatal assault was most likely to be a residence and the most
frequent motive was an argument/quarrelling. A small number of cases involved
multiple fatalities, often involving relatives. The figures were compared with
other international studies; our findings are broadly in agreement with them.
PMID- 21905570
TI - An RSU by any other name: thoughts on the naming of secure units.
AB - Names are powerful symbols which contribute to internal and external perceptions
of an individual or institution. This paper discusses the names given to Regional
Secure Units established following the Butler Report, and discusses some of the
reasons underlying the choice of name. Understanding the derivation of names can
assist understanding of the development of forensic psychiatry as a separate
specialty and awareness of its history.
PMID- 21905571
TI - Could lactates in vitreous humour be used to estimate the time since death?
AB - Vitreous humour (VH) is a useful medium for postmortem analysis. During the
supravital period, anaerobic glycolysis that occurs results in the increased
lactate concentration (L) in cytosol. We analysed the concentration of lactic
acid in 567 samples of VH from 63 autopsy cases by a repetitive withdrawal of VH
from the same corpse, while the outside temperature remained constant. The
samples were taken from the same eye in intervals of three hours for the period
of 24 hours. The subjects were divided into two groups: group 1 included
individuals who died during winter and were kept for analysis at 40C, while group
2 consisted of those who died during spring and autumn (continental climate) and
were stored at 200C. Only the cases of a sudden traumatic death of previously
healthy individuals were included in the study. Statistically significant
correlation of L against postmortem interval (PMI) was observed in the group 1
experiment (r = 0.675; P < 0.01), but the effect of hypothermia made the
estimation of PMI practically impossible. A much stronger correlation of L
against PMI was observed in group 2 (r = 0.866, P < 0.01); a functional
relationship between PMI and L were demonstrated using the formula PMI = 1.696 x
L - 10.562, which enabled more accurate prediction of the PMI.
PMID- 21905572
TI - Frequent detection of stomach contents in accidental drowning.
AB - We analysed forensic autopsies of 536 consecutive adults to determine the
relationship between the presence of stomach contents and the manner of death.
Stomach contents were identified in 27 (79.4%) of 34 accidental drownings and in
22 (43.1%) of 51 suicidal drownings (P < 0.01). Accidental drowning was the
manner of death most frequently associated with the presence of stomach contents,
and stomach contents were found significantly more often in this type of death
than in suicidal drowning. These findings indicate that food intake is a factor
possibly related to accidental drowning and suggest that fasting may be required
before swimming or taking a bath to prevent accidental drowning.
PMID- 21905573
TI - 'A frightening experience': detainees' and carers' experiences of being detained
under Section 136 of the Mental Health Act.
AB - AIMS: This research reports on the views of detainees and their carers of their
experiences of being detained under Section 136 (S136) of the Mental Health Act
1983. Individual interviews were conducted with 18 detainees and six carers.
METHOD: A semi-structured questionnaire was administered face-to-face to gather
qualitative data, which was analysed using a grounded theory approach. RESULTS:
The results indicated a general dissatisfaction with the quality of care and
treatment from both police and professionals. Though several detainees recognized
the need for police to be involved, most felt they lacked the skills needed to
meet the needs of mentally ill people. Nearly all participants felt that the
police station was an inappropriate setting for further assessment, and found
their experiences in police cells distressing, making them feel like criminals.
CONCLUSIONS: Detainees and carers would like to see the provision of a place of
safety other than emergency departments or police stations, and this study
reinforces the Mental Health Code of Practice 2008 which states that police
stations should only be used on an exceptional basis.
PMID- 21905574
TI - Can we assess the age of bruises? An attempt to develop an objective technique.
AB - AIMS: The aims of this study were (A) to investigate whether the number of years
of forensic experience affected the accuracy with which forensic experts (FEs)
were able to age bruises and (B) to identify the properties and colours of a
bruise that were utilized by FEs in their assessment of bruise age. The study
then investigated the possibility of using a more objective technique. It was
decided to use readily available digital photography and software to objectively
assess changes in bruise colouration and to investigate if this can be used to
age bruises. METHODS: Twenty-three FEs were shown 25 photographs of bruises of
varying but known ages and asked to estimate the ages. In part two of the study,
bruises were inflicted on volunteers using a vacuum pump and photographs taken of
the bruise daily from infliction to resolution. The images were analysed using
Adobe Photoshop. Red, green and blue (RGB) values were recorded for each bruise
and analyses carried out comparing the values over time between subjects and
within subjects. RESULTS: This study both enhanced and supported a previous
conclusion that visual assessment of photographs is an unreliable method for
ageing bruises. Additionally, it found that the degree of forensic experience had
no effect on accuracy. It also identified that colour (particularly yellow, red
and purple) and intensity of colour were the most commonly used properties of a
bruise in the assessment of its age. The RGB method proved to be a reliable
technique with which to measure bruise colour, but its validity in the assessment
of bruise age was poor. CONCLUSIONS: Visual assessment of bruises is unreliable
and the accuracy of ageing was not improved by the degree of forensic experience.
The RGB method gave highly reproducible results, but did not accurately assess
bruise age. However, results within subjects suggested that there may be
individual variation in haemoglobin metabolism.
PMID- 21905575
TI - Effect of seated restraint and body size on lung function.
AB - BACKGROUND: Previous studies of death during law enforcement restraint have
focused on lying restraint positions; this study extends this work to seated
restraint positions. METHODS: Lung function in a standing control position was
compared with lung function in seated positions using 40 volunteers. RESULTS: No
significant reduction in lung function was detected in an upright seated
position. When participants were leant forward while seated, significant
reductions in lung function occurred. The application of restraint holds in the
seated, leant forward position resulted in a non-significant further reduction in
lung function. Reductions in lung function were greater in those participants
with higher body mass index (BMI). CONCLUSIONS: Seated restraint positions with
the person leant forward may increase the risk of harm or death during prolonged
restraint. The risk will be further increased where the person exhibits higher
BMI.
PMID- 21905576
TI - An anomalous origin of left coronary artery and sudden death in a soccer player:
a case report.
AB - Coronary artery anomalies, after hypertrophic cardiomyopathy, are the second most
common cause of exercise-related sudden cardiac deaths. These anomalies have been
associated with myocardial ischaemia, arrhythmia and sudden death during
exercise. Anomalous origin of the left coronary artery from the right sinus of
Valsalva with anterior or posterior courses is not always thought to be a benign
anomaly. A 22-year-old man died suddenly on a football field. At autopsy, there
was an abnormal origin of the left coronary artery from the right sinus of
Valsalva. The abnormal course of the artery between the aorta and pulmonary trunk
had limited victim's functional capacity. The history, cause of death and patho
physiology are discussed, and some preventive strategies suggested.
PMID- 21905577
TI - Career research opportunities for the medical laboratory scientist.
AB - Medical Laboratory Scientists (MLS) typically practice in hospital laboratories;
however there are multiple alternatives in research. This article details the
advantages of working in a variety of research laboratory settings. These include
public institutions, federal laboratory workplaces, private facilities, and
industry settings. A view of the different research laboratory settings such as
public institutions, federal laboratory workplaces, private facilities, and
industry settings will be provided. An assessment on how MLS professionals can
prepare for a career in research is outlined and the report concludes with a
brief summary of the various aspects of the research setting.
PMID- 21905578
TI - Importance of clinical microbiologists for U.S. healthcare infrastructure.
AB - Clinical microbiologists are highly skilled scientists within national hospitals
and reference laboratories who diagnose patients with infections by emerging
pathogens. Most advanced training for clinical microbiologists occurs at
universities, where an individual can receive certification as a "Medical
Laboratory Scientist" (MLS). Unfortunately, many MLS programs have closed in the
United States and this has caused a shortage of clinical microbiologists at U.S.
hospitals and reference laboratories. This paper explores the present crisis in
MLS training and its ramifications for the emergence of antibiotic-resistant
bacteria, the economics of hospitals, and the overall health of the nation, and
provides resolutions for better public health policy with respect to MLS
education.
PMID- 21905579
TI - Atypical cytogenetics in therapy-related myelodysplastic syndrome secondary to
indolent B-cell lymphoma.
AB - A case of therapy-related myelodysplastic syndrome (t-MDS) with unusual
cytogenetics is presented. While therapy related myeloid neoplasms account for 10
20% of all myeloid neoplasms, 90% of therapy related myelodysplastic syndromes
(MDS) present with a del(7q) or a del(5q) and fewer than 1% present with a
del(20q). In this case, the common cytogenetic pattern of either del(7q) or
del(5q) is absent while presenting with an abnormal del(20q). Also demonstrated
is the potently poor prognostic indicator of cytomegalovirus (CMV)
seropositivity, even when seropositivity is matched between donor and recipient
of hematopoietic stem-cell transplant. The patient also continues to demonstrate
the inherent dangers of a stem-cell transplant, presenting with graft-versus-host
disease (GvHD) while being a haploidentical 10 out of 10 HLA match to the
allogeneic stem cell donor.
PMID- 21905580
TI - Shigellosis with resultant septic shock and renal failure.
AB - Septic shock is a rare, potentially life-threatening complication of bacterial
dysentery. The clinical presentation of septic shock includes hypotension,
bleeding, hypoxia, acidosis, and jaundice. Historically gram-negative organisms
were the most frequent cause of nosocomial bloodstream infections. However
isolation of gram-positive organisms has become increasingly frequent with
Staphylococcus species accounting for over one half of all nosocomial bloodstream
pathogens. Bacterial dysentery is an acute diarrheal illness characterized by
abdominal cramping, fever, and the production of mucoid, bloody stools.
Laboratory findings include positive stool culture and increased leukocytes in
direct fecal exam. Chemistry and hematology values may be abnormal. The disease
is usually self-limiting but administration of antibiotics and rehydration
therapy may be warranted in severe cases. This case study describes a 53 year old
male who presented with diarrhea and diabetic acidosis and subsequently developed
respiratory distress and renal failure due to shigellosis. Discussion of disease
pathogenesis and treatment are provided.
PMID- 21905581
TI - Variation in pipetting may lead to the decreased detection of antibodies in
manual gel testing.
AB - BACKGROUND: Variation in pipetting technique can contribute to the failed
detection of weakly reactive antibodies. This study evaluated the impact of
pipetting technique on the sensitivity of antibody detection using the manual gel
test. STUDY DESIGN AND METHODS: A total of 115 plasma antibodies were evaluated
using the manual gel test (Ortho ID-MTS, Raritan, NJ). All antibodies were
diluted to obtain 1+, w+, and undetectable reactions. Testing was performed in
parallel using two pipetting techniques: cells and plasma pipetted into the gel
card to allow an air gap and without an air gap. RESULTS: When cells and plasma
were pipetted into the gel card without air gap, 12.4% of 1+ reactions (p <
0.001) and 81.0% of w+ reactions (p < 0.001) were not detected. Overall, 24.7% of
clinically significant (p < 0.001) and 29.7% of nonspecific antibodies (p < 0.05)
became nonreactive. Antibody screening tests failed to detect 26.0% of passively
acquired anti-D (p < 0.001), 38.0% of anti-E (p < 0.001), 28.0% of anti-Jk(a) (p
< 0.001), 20.0% of anti-K (p < 0.05), and 35.0% of warm auto antibodies (p <
0.05). CONCLUSION: Cells and plasma pipetted manually without leaving an air gap
in the gel card failed to detect clinically significant antibodies. An optimal
pipetting technique is recommended to ensure the detection of weakly reactive
antibodies.
PMID- 21905582
TI - Perceived emotional aptitude of clinical laboratory sciences students compared to
students in other healthcare profession majors.
AB - Emotional aptitude can be defined as the ability to recognize and manage one's
own emotions and interpret the emotions of others. It has been speculated that
Clinical Laboratory Sciences students may lack the emotional skills to most
effectively interact with patients and other healthcare professionals, therefore
a logical hypothesis would be that they would evaluate their own emotional
intelligence lower than students from other healthcare majors. While this has
been a topic of discussion in healthcare, a lack of research has been conducted
to validate this assumption. This study assesses the perceived emotional aptitude
of Clinical Laboratory Sciences students compared to students of other healthcare
majors in the Dumke College of Health Professions at Weber State University. The
perceived emotional aptitude of the healthcare students was determined by
completion of a self-evaluation questionnaire that included questions about one's
emotions, their understanding of others' emotions, and how they manage conflict.
A total of 401 questionnaires were completed, compiled, and analyzed. Although
minor differences were seen in the responses, statistical analysis found these
differences to be insignificant. The perceived emotional aptitude of Clinical
Laboratory Sciences students was insignificantly different than that of students
of other healthcare majors at the Dumke College of Health Professions.
PMID- 21905583
TI - Estimation of serum hyaluronidase activity overcoming the turbidity interference.
AB - The assay of mammalian hyaluronidases (HAases) is important in understanding the
role of the hyaluronan-hyaluronidase (HA-HAase) system in various
pathophysiological processes. Despite several quantitative assay method options,
the Morgan-Elson colorimetric method modified by Reissig et al is considered the
best for determining the activity in clinical samples. However, the sensitivity
of the method was greatly limited by presence of protein above 400 microg due to
turbidity interference that led to chromogen quenching. Therefore, an effort has
been made to reinvestigate the Reissig et al method. In the reinvestigated
method, a standardized optimal 0.32 M potassium tetraborate (PTB) was used
against 0.13 M (native) to overcome the turbidity interference. The estimated
mean OD at 585 nm of serum for native method was 0.043 (95% CI: 0.040 to 0.045),
while that for the re-investigated method was 0.138 (95% CI: 0.133 to 0.143, p <
0.0001). The mean OD at 585 nm of serum of native method was significantly lower
than that of re-investigated method (p < 0.05) at all protein levels. This was
also true for estimated mean OD at 585 nm of plasma. The mean intrasample CVs for
native and re-investigated methods were 0.9% and 0.5%, respectively, for normal
serum. Furthermore, the repeatability coefficient of normal serum for native was
0.003 IU, while re-investigated method experienced that of 0.002 IU.
PMID- 21905584
TI - Myeloproliferative neoplasms: an overview.
PMID- 21905585
TI - Myeloproliferative neoplasms: the role of molecular markers.
PMID- 21905586
TI - Essential thrombocythemia.
PMID- 21905587
TI - [Risk stratification and prediction of operative treatment outcome in
cardiosurgery].
PMID- 21905588
TI - Patency of internal thoracic artery and vein grafts according to revascularized
coronary artery properties.
AB - Long-term results of surgical myocardial revascularization are determined by the
quality of grafts and the progression of atherosclerosis in coronary arteries.
The aim of the study was to evaluate the patency rate of internal thoracic artery
and great saphenous vein grafts in relation to the hemodynamic properties of
revascularized coronary artery. The patency of internal thoracic artery and great
saphenous vein grafts was analyzed in relation to the degree of coronary stenosis
estimated by angiography and the diameter of distal portion of coronary artery
assessed intra-operatively. The long-term patency of great saphenous grafts
depends on the distal coronary artery diameter but not on the degree of coronary
artery stenosis. The patency of internal thoracic artery graft depends on the
degree of coronary artery stenosis but not on the distal coronary artery
diameter. The internal thoracic artery is the superior graft in coronary surgery,
but the low patency rate in case of moderate coronary artery stenosis emphasizes
the importance of selective approach.
PMID- 21905589
TI - [Quantitative analysis of vascular network of oculogyric nerve nuclei].
AB - INTRODUCTION: Nuclei of oculogyric nerves (principal oculomotor nucleus,
trochlear nucleus and abducens nucleus) are densely vascularized brainstem
structures. The aim of this study was to determine quantitative characteristics
of the vascular network of these nuclei. MATERIAL AND METHODS: The study was done
on 30 adult brainstems, both male and female, without diagnosed neurological
disturbances. Three-millimetre-thick stratums were taken in transversal plane and
cut in 0.3 micrometer semi-serial sections stained with Mallory method. The
images of studied nuclei were taken with "Leica" DM 1000 microscope and "Leica"
EC3 digital camera under 400x magnification, and analyzed by ImageJ software with
A 100 grid. The statistical analysis was performed by Statistical Package for the
Social Sciences software with 5% level of significance. RESULTS: A statistically
significant difference was found in the volume and surface density between
principal oculomotor nucleus and trochlear nucleus, and between trochlear nucleus
and abducens nucleus. No difference was found in the length density. DISCUSSION:
The results of this research match the results of studies on characteristics of
vascular network of oculogyric nerve nuclei, while the comparison of vascular
networks of these nuclei, substantia nigra, vestibulocochlear nuclei and
precentral gyrus illustrates differences in quantitative characteristics of blood
vessels in these structures. CONCLUSION: Blood vessels of principal oculomotor
nucleus and abducens nucleus have similar dimensions and approximately the same
arborization pattern, while vessels of trochlear nucleus have significantly
smaller dimensions and density.
PMID- 21905590
TI - [The most frequent injury with mechanical corn picker during harvest--possibility
of prevention].
AB - INTRODUCTION: Inappropriate machine operating, long work hours and decreased
concentration of machine operators create conditions for getting injured A corn
picker is the most often cause of hand injuries among agricultural machineries.
MATERIAL AND METHODS: The study included 43 persons with hand injuries during
corn harvest, treated in the four-year period (2006-2009). The study subjects
were analysed by sex, age, season, type of injury, affected parts of the hands,
length of treatment and data on being trained to work with the corn picker.
RESULTS: In the observed period hand injuries caused by a corn picker occurred
10.75 times a year on average on the territory gravitating to the Clinical Centre
of Vojvodina. The most frequent hand injuries were recorded in male operators,
most of them aged 21-59, and the greatest number of injuries happened in October.
According to the type, crushing of hand was the dominant type of injury. Fingers
of hand were most frequently grabbed The average duration of treatment was 14.37
days, and the majority of patients were treated in hospital up to 14 days.
DISCUSSION AND CONCLUSION: The average occurrence of hand injuries reported in
this paper is significantly lower than it was reported in one study conducted
earlier. The data regarding training to operate the respective machinery indicate
that 93.2% of the injured have not been trained appropriately, and 37.12% did not
have any personal protective devices. Training of farmers to operate agricultural
machinery should be carried out continually in future, as it has been regulated
by the Law on Safety at Work and Health.
PMID- 21905591
TI - Vascular dementia: clinical and neuroradiological correlation.
AB - All stroke patients admitted to Banjaluka Clinical Centre during one year were
evaluated by the standard protocol during the hospitalization and three months
after the stroke. It included clinical, functional and neuropsychological
examination and neuroimaging. Dementia was diagnosed according to the criteria of
National Institute of Neurological Disorders and Stroke-Association
Internationale pour la Recherche et l'Enseignement en Neurosciences. Demographic
and clinical variables were examined. After the exclusion of the patients who
died (n = 139) and those who refused to be interviewed during the 3-month follow
up (n = 52) and those with pre-stroke dementia (n = 22), a total of 273 (58.8%)
patients underwent neuropsychological examination 3 months after the stroke.
Forty-nine (19.52%) of them met the criteria for vascular dementia. The
predictors of vascular dementia were age, atrial fibrillation, cognitive and
functional impairment on admission and functional outcome, subcortical lacunar
infarctions, leukoaraiosis, multiple and bilateral brain lesions. Dementia is
frequent after stroke and it cannot be determined by a single factor. A
combination of several factors increases the critical threshold for cognitive
decline.
PMID- 21905592
TI - [Influence of different types of surgical suture materials on mechanical damage
of oral mucosa].
AB - INTRODUCTION: Throughout history many kinds of different suture materials have
been used for closing and suturing surgical wounds. Medical literature describes
four basic characteristics of suture material: knot safety, tensile strength,
tissue reaction and wound safety. The tissue reaction is reflected in an
inflammatory response, which, though minimal, occurs during first two to seven
days after implanting suture into the tissue. The aim of this research was to
investigate whether different suture materials affect the development of
decubital damage of oral mucosa, which to a great extent can compromise the
process of wound healing. MATERIAL AND METHODS The investigation was designed as
a prospective clinical study including 150 patients of both genders, aged between
25 and 60. The patients were distributed into three groups of 50 persons. The
suture Black Silk was used in the first group, designated as a control group.
Nylon and Vicril were used in the second and third group, i.e. experimental
groups, respectively. Decubital damage of the surrounding soft tissues was the
main parameter for monitoring the effects of selected suture materials on the
oral mucosa. CONCLUSION: The comparison of results obtained for the investigated
suture materials after suturing oral mucosa revealed that certain advantage could
be given to synthetic monofilament suture materials.
PMID- 21905593
TI - [Stress as a risk factor in the development of brain stroke].
AB - INTRODUCTION: In many developing countries and in countries in transition, an
increased incidence of cerebrovascular disease has been observed. The aim of this
study was to determine the influence of acute and chronic stress on the
development of acute brain stroke and its relapse. MATERIAL AND METHODS: The
study included all recorded cases of cerebrovascular disease in the municipality
of Doljevac in the period 2005-2007 (the stroke victims), and 230 healthy
controls. By using the method of interview, the frequency of chronic stress
situations was examined, as well as the occurrence of acute stress situations 24
hours prior to the acute brain stroke and its relapse. RESULTS: In the group
which consisted of stroke victims, a large number of subjects was found to have
had an acute stress 24 hours prior to the onset of the disease, and the type of
stress which proved to be statistically significant was the one which had been
provoked by problems between family members (Chi2 = 4,03: p = 0,04). All of the
chronic stressors were more frequent in the group consisting of stroke victims
than in the control group and among the statistically significant stressors were
stressful situations in the family and at work. In recidivists, a greater
presence of acute and chronic stressors was observed, but the statistical
significance was not proved when this disease occurred again. CONCLUSION: The
presence of acute stressors was found to have been more frequent in the group of
stroke victims 24 hours prior to the onset of the disease. The effect of acute
and chronic stressors upon the brain stroke recidivism has not been statistically
proved yet.
PMID- 21905594
TI - [Predictors of self-rated health of citizens on the territory of endangered
political security].
AB - INTRODUCTION: Self-rated health is generally accepted by researchers as a valid
measure of health status. The aims of the study were to investigate how the adult
inhabitants of northern Kosovska Mitrovica described their health and which
variables were the predictors of self-rated health status among that population.
METHOD: The research was done as a cross-sectional study on the representative
sample of 318 adult inhabitants of northern Kosovska Mitrovica in 2006. The
instrument of research was a questionnaire containing questions about self-rated
health and demographic and socio-economic characteristics, mental health, social
interaction, possibilities of performing everyday activities, health behaviour
and habits, diseases and injuries, utilization of health care service. The
independent variables were defined through the factor analysis taken from these
groups of questions. The multivariate stepwise linear regression was done to
determine the correlation between self-rated health and independent variables.
RESULTS: More than half of the respondents (54.7%) assessed their health as good
or very good. The predictors of self-rated health were gender, mood problems,
myocardial infarction, chronic bronchitis, psychic and neurotic disorders,
rheumatic arthritis, high blood sugar, utilization of private gynaecologist
service and paying for diagnostic service. CONCLUSION: Most of the respondents
from northern Kosovska Mitrovica assessed their own health as good or very good.
Bad and very bad health was significantly associated with females, problems with
mood, myocardial infarction, chronic bronchitis, psychic or neurotic disorders,
rheumatoid arthritis and high blood sugar. Good and very good health was
significantly associated with utilization of private gynaecologist service and
paying for diagnostic service.
PMID- 21905595
TI - Postoperative influence of interferon alpha on patients with renal cell
carcinoma.
AB - The aim of this study was to show whether immunotherapy should be administered in
patients with renal cell carcinoma after radical nephrectomy in N0 and N1 stage
of disease. The research was conducted in 60 patients with renal adenocarcinoma
after radical nephrectomy. The study group included two subgroups of patients:
the treatment group consisted of 30 patients receiving immunotherapy, of whom 15
had N1 disease stage and 15 had N0 disease stage; and the observation group
consisted of 30 patients who did not receive immunotherapy, of whom 15 had N1
disease stage and 15 had N0 disease stage. It was shown that the administration
of immunotherapy in N0 stage neither improved the overall survival nor postponed
the appearance of metastases and that immunotherapy in N1 stage even worsened the
prognosis in overall survival as compared with the observation group. There is no
benefit of administering immunotherapy in patients with N0 and N1 stage of
disease after radical nephrectomy.
PMID- 21905596
TI - [Treatment effects of co-amoxiclav (Amoxiclav 2x) in acute exacerbation of severe
chronic obstructive pulmonary disease: clinical evaluation].
AB - INTRODUCTION: The exacerbation of chronic obstructive pulmonary disease is most
often induced by an infection of bacterial origin in over 50% of the cases (or
mixed bacterial and viral infection). This study was aimed at evaluating clinical
effects of antibiotics co-amoxiclav. Amoxiicillin with clavulanic acid in the
treatment of patients with severe chronic obstructive pulmonary disease
exacerbation. MATERIAL AND METHODS: The investigation included 38 patients with
severe chronic obstructive pulmonary disease exacerbation hospitalized at the
Institute for Pulmonary Diseases of Vojvodina, Sremska Kamenica. The patients
were randomly selected for the antibiotic treatment with Amoxiclav twice a day in
12 hour intervals. The clinical effects of the applied treatment were evaluated
by analyzing certain laboratory findings, microbiological sputum findings and
improvement of subjective symptoms. RESULTS: Of the examined subjects, 65% were
males and 35% were females, their mean age being 66.4 +/- 8.86, and who were
mostly smokers (73%). After the completion Of Applied antibiotic treatment, a
significant reduction and normalization of all inflammation markers were
recorded, as well as a significant improvement of the patients' subjective
symptoms. The positive microbiological sputum findings (Haemophilus influenzae,
Pseudomonas aeruginosa and Streptococcus pneumoniae) were recorded in 13.58% of
the patients. The bacterial agent was eradicated on the third day of the applied
treatment. The mean length of the treatment was 7.07 +/- 0.91 days, with no
undesirable treatment side effects observed CONCLUSION The antibiotic therapy is
justifiable as the initial treatment regimen of severe chronic obstructive
pulmonary disease exacerbation and amoxicillin with clavulanic acid is reported
as the first-line antibiotic drug in most pharmacotherapy guidelines.
PMID- 21905597
TI - [Autoimmune thyroid disease and other non-endocrine autoimmune diseases].
AB - INTRODUCTION: Autoimmune diseases are chronic conditions initiated by the loss of
immunological tolerance to self-antigens. They constitute heterogeneous group of
disorders, in which multiple alterations in the immune system result in a
spectrum of syndromes that either target specific organs or affect the body
systematically. Recent epidemiological studies have shown a possible shift of one
autoimmune disease to another or the fact that more than one autoimmune disease
may coexist in a single patient or in the same family. Numerous autoimmune
diseases have been shown to coexist frequently with thyroid autoimmune diseases.
AUTOIMMNUNE THYROID DISEASE AND OTHER ORGAN SPECIFIC NON-ENDOCRINE AUTOIMMUNE
DISEASES: This part of the study reviews the prevalence of autoimmune thyroid
disease coexisting with: pernicious anaemia, vitiligo, celiac disease, autoimmune
liver disease, miastenia gravis, alopecia areata and sclerosis multiplex, and
several recommendations for screening have been given. AUTOIMMUNE THYROID DISEASE
AND OTHER ORGAN NON-SPECIFIC NON-ENDOCRINE AUTOIMMUNE DISEASES: Special attention
is given to the correlation between autoimmune thyroid disease and rheumatoid
arthritis, systemic lupus erythematosus, syndrome Sjogren, systemic sclerosis and
mixed connective tissue disease. CONCLUSIONS: Screening for autoimmune thyroid
diseases should be recommended in everyday clinical practice, in patients with
primary organ-specific or organ non-specific autoimmune disease. Otherwise, in
patients with primary thyroid autoimmune disease, there is no good reason of
seeking for all other autoimmune diseases, although these patients have a greater
risk of developing other autoimmune disease. Economic aspects of medicine require
further analyzing of these data, from cost/benefit point of view to justified
either mandatory screening or medical practitioner judgment.
PMID- 21905598
TI - [Gestational trophoblastic disease--literature review].
AB - Gestational trophoblastic disease is characterized by abnormal proliferation of
pregnancy-associated trophoblastic tissue with malignant potential. Gestational
trophoblastic disease covers a spectrum of conditions including hydatidiform
mole, invasive mole, choriocarcinoma and placental site trophoblastic tumour. It
is very important to understand the pathophysiology and natural history of the
disease in order to achieve faster recognition and effective treatment. The
presence and course of the disease can be monitored with quantitative levels of
human chorionic gonadotrophin in all cases. Clinical signs and symptoms are
usually insufficient to diagnose and predict the extent of disease. Nowadays,
gestational trophoblastic diseases are the best treated gynaecological malignancy
thanks to modern technology. This review covers various aspects of gestational
trophoblastic disease: its development, epidemiology, aetiology and pathogenesis,
as well as its classification, clinical manifestations and diagnostic methods.
PMID- 21905599
TI - [Pericarditis and cardiac tamponade: urgent condition not only in cardiology].
AB - INTRODUCTION: Pericarditis is a condition with inflammation of the pericardium;
however, most of these conditions are not infective and many of them are not even
inflammatory. Pericarditis by its development can be acute, sub-acute and
chronic, and later, recurring or relapsing. Apart from idiopathic, the causes of
pericarditis are numerous, very often inflammatory, most frequently caused by
viruses, or tumours and neoplasms, diseases of connective tissue, vasculitis,
different allergic reactions, radiation, thyroid gland diseases, uraemia,
dissection of aorta etc. CLINICAL PICTURE: Accumulation of fluid in the
pericardium in the amount sufficient to cause significant obstruction of blood
inflow in chambers can cause cardiac tamponade. If it is not treated immediately,
the outcome may be fatal. The most common causes are tumours, viruses or uraemia.
Cardiac tamponade should be suspected in patients with a decrease in systemic
arterial pressure or presence of hypotension, tachycardia, silent and discreet
heart beats, increase in systemic venous pressure (Beck triad). Electrocardiogram
may result in tachycardia, QRS complex is of smaller voltage, often of reversed
polarity. The amount of effusion is estimated by echocardiography CONCLUSION: The
cause of pericarditis should always be searched for. On the other hand, during
monitoring or treatment of numerous conditions which could be a frequent cause of
pericarditis, the presence of pericardial effusion or cardiac tamponade should be
suspected and detected in due time. A timely intervention--pericardiocentesis,
can prevent the fatal outcome. Besides non-steroid anti-inflammatory medication,
many prospective randomised studies propose colchicine as addition to the
standard therapy. When opting for the proper treatment for pericarditis always
keep in mind the current diagnosis and cause of this condition.
PMID- 21905600
TI - [Factors predisposing to cervical artery dissection].
AB - INTRODUCTION: Cervical artery dissection is a major cause of cerebral ischemia in
young adults and can lead to various clinical symptoms, some of which are benign
(e.g. headache, neck pain, Horner's syndrome, and cranial nerve palsy). However,
most patients have a stroke or transient ischemic attack. EPIDEMIOLOGY: The
incidence of cervical artery dissection is low and is estimated to be around 2.6
per 100,000 inhabitants. Mortality and short-term recurrence rates are low:
however; they may have been underestimated. PATHOPHYSIOLOGY: Although the
pathophysiology is still unclear constitutional and partly genetic susceptibility
of the arterial wall has been proposed as a causal factor; triggered by infection
or minor trauma. PREDISPOSING FACTORS: In addition to the trauma to the neck,
other risk fractors have been suggested, such as infection, migraine,
hyperhomocisteinamia, and the 67TT genotype of the 5,10-methylene
tetrahydrofolate reductase gene although evidence is sparse. GENETIC FACTORS:
Cervical artery dissection is now considered a multifactorial disease caused by
several genetic abnormalities and environmental factors acting synergistically.
CONCLUSION: Research aimed at improving our understanding of the environmental
and genetic factors predisposing to cervical artery dissection and assessment of
long-term outcomes of this disease is needed. Better understanding of the
underlying pathophysiology and the natural history of the disease through large
prospective multicentre cohorts could also be helpful to improve therapeutic and
preventive strategies. Several mutlticentre efforts are already under way to meet
these needs.
PMID- 21905601
TI - [Climate variations--risk factor of commiting suicide].
AB - INTRODUCTION: Recently there have been more and more attempts at getting into
connection the frequency of suicide with climate factors, humidity changes,
atmospheric pressure. A large number of authors agree that suicide has
meteorological character and that weather can be a provoking factor in suicidal
persons. Suicides happen most frequently when the weather is warm, stable, and
sunny; then, when it is rainy, cloudy and with high humidity, and least
frequently when the atmospheric pressure and temperature are decreased
accompanied with wind. CLIMATE VARIATIONS AND SUICIDE: Men who commit suicide
show a significant, positive connection with indicators of temperature and
exposure to sun, and a significant, negative connection with indicators of
humidity and rainfall. Women who commit suicide show a less significant
connection with climate variations--indicators. VIOLENT AND NON-VIOLENT SUICIDE:
Regarding violent and nonviolent suicide, it has been proved that violent suicide
is affected by environmental temperature, sunny intervals, raise in temperature
in the previous few weeks. Higher environmental temperature and increase in air
temperature in the previous few weeks are the most significant climate factors
influencing the violent suicide rate. In addition, each degree exceeding 18
degrees C increases the violent suicide rate by 3.8-5%. CONCLUSION: The result of
many investigations of the influence of climate factors on committing suicide is
that the suicide incidence reaches its peak during early summer. Also, the sun
radiation the day before suicidal event is significantlly connected with the
increased suicidal risk. There is a difference between sexes. If the sun were a
trigger, men would have to be exposed to it for a longer time than women.
PMID- 21905602
TI - [Therapeutic approach to actinomycosis--experience gained at the department of
infectious and tropical diseases].
AB - INTRODUCTION: Actinomycosis is a chronic infectious disease caused by anaerobic,
gram-positive microorganisms from the order of Actinomyce or Propionobacterium.
The disease manifests iself mostly in cervicofacial form and less frequently in
thoracic and abdominal form. The study was aimed at reviewing clinical
manifestations and therapeutic approaches in treatment of patients with
Actinomycosis. MATERIAL AND METHODS: The study sample consisted of four patients
with different clinical manifestations of Actinomycosis, who had been treated at
the Institute for Infectious and Tropical Diseases in Belgrade in 2002, 2003,
2006 and 2008. RESULTS: Four patients with Actinomycosis were treated during the
above mentioned periods of time. One patient had kidney Actinomycosis, which
developed into generalized Actinomycosis. Two patients had the most common
cervical form, while the forth one had abdominal form; all patients received
Penicillin G followed by Ampicillin, during the period of four weeks to up to a
year. CONCLUSION: Actinomycosis is a rare disease, which imposes great diagnostic
dilemmas. The treatment of this disease requires long term use of antibiotics.
PMID- 21905603
TI - Diode laser trabeculoplasty in open angle glaucoma: 50 micron vs. 100 micron spot
size.
AB - The study was aimed at evaluating the efficacy of diode laser trabeculoplsaty in
lowering intraocular pressure in patients with both primary open-angle glaucoma
and exfoliation glaucoma by using different size of laser spot. This six-month,
unmasked, controlled, prospective study included sixty-two patients with the same
number of eyes, who were divided into two groups. Trabeculoplasty was performed
with 50 micron and 100 micron laser spot size in the group I and group II,
respectively. Other laser parameters were the same for both groups: the wave
length of 532 nm, 0.1 second single emission with the power of 600-1200 mW was
applied on the 180 degrees of the trabeculum. The mean intraocular pressure
decrease in the 50 micron group (group 1) on day 7 was 24% from the baseline and
after six-month follow-up period the intraocular pressure decrease was 29.8% (p <
0.001). In the 100 micron group (group II), the mean intraocular pressure
decrease on day 7 was 26.5% and after six months it was 39% (p < 0.001).
PMID- 21905604
TI - An unusual origin of proximal coronary bypass anastomosis in a patient with
porcelain aorta: how we solved the problem.
AB - Severe calcification of the ascending aorta (porcelain aorta) is a very difficult
condition in cardiac surgery because of a high embolization potential during the
process of cannulation, aortic cross-clamping and a particular difficulty to
suture the proximal anastomosis. We described a case of a 68-year-old female
referred to our Institute due to unstable angina. Further diagnostics revealed a
severe high grade, multilevel fibrolipid symptomatic carotid stenosis and ostial
left main coronary artery stenosis and a highly calcified ascending aorta and
aortic arch. We performed simultaneous carotid segment replacement with the
Dacron prosthesis and revascularisation of the left anterior descending coronary
artery. Proximal venous anastomosis was created in the Dacron prosthesis of the
right carotid artery. Perfusion of the patient was achieved via the graft sutured
at the right subclavian artery due to impossibility of direct aortic cannulation.
PMID- 21905605
TI - [Multifocal choroidopathy syndrome--a case report].
AB - INTRODUCTION: Multifocal choroidopathy syndromes are a group of rare disorders,
which involve a primary pathologic process occurring at or near the level of the
retinal pigment epithelium, with or without choriocapillaris involvement. The
aetiology of multifocal choroidopathy syndrome is still unknown. A CASE REPORT:
We present a case of multifocal choroidopathy syndrome. A 54-year-old woman was
referred to our department with blurred vision on both eyes, mild ocular pain,
accompanied by metamorphopsia, floaters, scotomas and photopsia. The anterior
segment examination showed small to medium size keratic precipitates, posterior
synechiae, and iris atrophy. Mild to moderate aqueous inflammation with cells and
flair was present in the anterior chamber. The fundus examination showed multiple
small yellow--white spots, round-shaped changes located at the level of the
retinal pigment epithelium and choriocapillaris. According to the fluorescein
angiography the active lesions exhibited blockage of the early choroidal
fluorescence followed by late staining The old inactive lesions corresponded to
the retinal pigment epithelium windows defects. The laboratory examination showed
high level of blood glucose, C reactive protein, cholesterol HDL, LDL,
triglycerides. According to the immunological examination the C3 component of
complement was lower (0.630), as well as the C4 component of complement (0.158),
and the immunological complex in blood was elevated (171). ELIZA test on viral
infection showed Citomegalo viruses IgG positive, Herpes Simpler Virus lgG
positive, Varichela Zoster Virus lgG positive. The results of human leucocyte
antigen typization were humuan leucocyte antigen A1:A2, human leucocyte antigen
A2:A24(9), human leucocyte antigen B1:B44(12), human leucocyte antigen
B2:B60(40), human leucocte antigen C1:Cw3, human leucocyte antigen C2:Cw5. The
radiological examination of lungs, the Mantoux test, Treponemnal serology,
Toxocara and Toxoplasma serology, magnetic resonance of endocranium and orbit
were also performed. The multiple viral infection, which was detected in our
patient with immunological abnormalities pointed to an underlying autoimmune
mechanisnm, possibly triggered by an infectious agent, a virus.
PMID- 21905606
TI - [Ischaemic stroke in children: diagnostic and therapeutic specificity].
AB - INTRODUCTION: Although more common in older adults, stroke occurs in neonates,
infants and children as well, resulting in significant morbidity and mortality.
EPIDEMIOLOGY: The incidence of childhood ischemic stroke exceeds 3.3 in 100,000
children per year. Stroke is one of the top ten causes of death in children. RISK
FACTORS: Children's strokes are often caused by birth defects, injections (e.g.
meningitis, encephalitis), trauma, and blood disorders. Risk factors for stroke
are recognized in more than 75% of children. The most common cause of stroke in
children is probably congenital or acquired heart disease. CLINICAL PRESENTATION:
Children often experience different symptoms of stroke to adults. These can
include seizures, headache and fever. However, many of" the symptoms of stroke in
children are similar to those experienced by adults (paralysis, speech and visual
impairment). DIAGNOSTIC EVALUATIONS: Urgent neuroimaging is important for
confirming the diagnosis of stroke. It is necessary for clinicians to conduct a
thorough investigation for possible cardiac, vascular, and hematologic risk
factors in all patients. THERAPY: The appropriate choices for in-hospital acute
treatment and secondary preventative strategies, including aspirin and
anticoagulants, are controversial. The recommendations for children are based
mainly upon extrapolation from studies involving adults, and the clinical
experience of experts, as reflected in consensus guidelines. CONCLUSION: Advanced
forms of thrombolytic and neuroprotective agents may become more readily
available to paediatric stroke patients when both the general public and medical
staff become more aware of this disorder.
PMID- 21905607
TI - [Marie Curie, nee Maria Sklodowska (1867-1934)--contribution to the development
of radiology].
AB - Marie Curie, nee Maria Sklodowska, was born on November 7, 1867 in Warsaw
(Poland). She suffered from leukaemia and died on June 4, 1934. She was buried
with full honours at Pantheon. Marie Curie and her husband Pierre Curie
discovered the radioactive elements Polonium (84Po210), Thorium (90Th232) and
Radium (88Ra226). Marie Curie introduced the term radioactivity into science. She
was the first woman who got Ph.D. in France, the first woman professor at
Sorbonne, Paris and Medical Academy. Of all the women who have ever won the Nobel
Prize, Marie Curie was the only who received it twice. During World War I Marie
Curie designed a mobile x-ray room "radiologic car". Marie Curie had an x-ray
machine installed into a car and demonstrated how to use its dynamo for electric
power production necessary for the x-ray machine to work. She had 20 cars with
moving radiological lab made and trained 150 people to work on them. She brought
something radically new into military medicine--mobile x-ray diagnostics. With
the discovery of radioactive elements a new medical branch, radiotherapy, was
developed.
PMID- 21905608
TI - [Cardiopulmonary resuscitation through centuries].
AB - THE ANCIENT TIMES: Many early civilisations left testimonies about ancient times
and resuscitation, as well. Some of them did it successfully and some of them did
it less successfully; however, all of them wished to help a dying person and to
bring him back to life. The first trustworthy note can be found in the Bible--Old
Testament as a very realistic description of resuscitation of a child. THE MIDDLE
AGES: The medieval scientists, Paracelsus and Vesalius, described first
successful resuscitation attempts in the 15th and 16th century. These two men
successfully applied ventilation methods by air inflation with blacksmith
bellows. THE MODERN ERA: The first defibrillation was recorded in the 18th
century in England, which was conducted by one of the volunteer society members.
With the development of mechanics and techniques, the first precursors of modern
respirators were introduced in the 19th century. The age of modern
cardiopulmonary resuscitation began in the middle of 20th century, when Dr Peter
Safar brought in the combination of artificial ventilation and chest compressions
as the standard for implementing resuscitation. Adrenalin and defibrillation were
introduced into the resuscitation techniques by Dr Redding and Dr Kouwenhaven,
respectively; thus beginning the advance life support administration, which has
been applied, with minor changes, until today.
PMID- 21905609
TI - [Prevalence of psychiatric disorders among homeless people in one area of Tokyo].
AB - OBJECTIVES: This study is the first ever field survey in Japan of the prevalence
of psychiatric disorders among homeless people in one area of Tokyo. The main aim
of was to make accurate diagnoses by a psychiatrist to give an accurate picture.
METHODS: The survey period was from December 30, 2008 to January 4, 2009. The
people covered by the survey were people living on the streets within a one
kilometer radius ofJR Ikebukuro Station in this period. The survey area was
selected within Toshima City as a district where it would be possible to roughly
grasp the total number of homeless people. The definition of homeless people in
this study was the same as that which was stipulated in the Ministry of Health,
Labor and Welfare national survey. A total of 115 people living on the streets
received the written request to participate in the survey and 80 agreed to do so,
beiung enrolled as the subjects of this study. Mini International
Neuropsychiatric Interview (MINI) questionnaires and a separately created
questionnaire that asked about the subjects' living circumstances were used in
the interviews and finally, a psychiatrist made diagnoses of psychiatric
disorders according to the Diagnostic and Statistical Manual of Mental Disorders,
Fourth Edition, Text Revision (DSM-IV-TR) diagnosis standards. RESULTS: The
average age of the subjects was 50.5 (standard deviation; 12.3) and there were 75
men (93.8%) and 5 women (6.3%). 50 people (62.5%) were diagnosed with psychiatric
disorders which included 33 people (41.3%) who had depression, 12 (15%) who were
dependent on alcohol and 12 (15%) who had psychotic disorders such as
hallucinations or delusions. Using the degree of risk in the MINI classification,
45 (57.0%) were at risk of committing suicide and 25 people (31.6%) had already
attempted suicide in the past. CONCLUSION: The representativeness of homeless
people in Japan who have psychiatric disorders in this study is limited but that
the finding of 62.5% of homeless people suffering from some symptoms and a high
risk of suicide suggestss that this is an urgent issue for medical support.
PMID- 21905610
TI - [Contribution of socioeconomic status to smoking behavior of parents of 4th grade
elementary school students in Japan].
AB - OBJECTIVES: The present study was performed to elucidate the effects of
individual and social factors on smoking behavior of parents of fourth grade
elementary school students in Japan. METHODS: A self-administered questionnaire
was sent to a total of 4,179 households of fourth grade elementary school
students. A total of 3,522 responses including actual numbers of children,
smoking behavior of parents, and marital status were available for the analysis.
RESULTS: Current smoking rate in mothers was 21.2%. In mothers, "smoking of
spouse" "single mother", "under the age of 34", "not taking child-care leave;",
"mother's parents not alive", "mothers from Chiba", "nursery use", "not use
parenting circles", "unvaccinated measles or inoculation unknown" and "life
dissatisfaction" were statistically associated with smoking behavior. The current
smoking rate in fathers was 51.4%. Four factors of "smoking of spouse", "under
the age of 34", "non-skilled labor, sales work" and "employees of private
companies of less than 1,000 employees" were statistically associated with
smoking behavior. CONCLUSION: The present study demonstrated a close link between
smoking behavior and individual socioeconomic status in Japanese parents.
Especially, smoking of spouse and being a single female parent were important
factors for smoking.
PMID- 21905611
TI - [Re-exploring attitude toward community scale and its relationship to health
status among the general population].
AB - OBJECTIVES: In order for community-based health promotion and prevention
activities to be effective and efficient, it is important to assess the community
consciousness among local residents. The purpose of this study was to review the
reliability and validity of the Attitude toward Community Scale (ACS) and examine
its association with health status among the general population. METHODS: A cross
sectional survey was conducted in February 2009 using a mail-in self-administered
questionnaire. The target population comprised 4,123 randomly-selected local
residents aged 20 years and over living in Kashiwa city, Chiba prefecture, Japan.
The questionnaire encompassed the ACS (the wording of some items was modified),
an also included data to allow assessment of demographics and health status.
RESULTS: Of 4,123 questionnaires, 1,735 could be analyzed (valid response rate:
42.1%). Confirmatory factor analysis supported the two-factor model of the
original scale. The reliability of the scale was verified using the Cronbach's
alpha coefficient. All item-total correlations were moderately or strongly
positive. Logistic regression showed that a better attitude toward the community
was associated with higher self-rated health, less anxiety about the future and
less loneliness. CONCLUSION: Although the original scale was developed in 1978,
the ACS still appears applicable for modern research following some wording
modifications of the items. In future studies, it will be necessary to clearly
establish relationships between health status and community consciousness and to
develop methodology for enhancing the latter.
PMID- 21905612
TI - [Developing Japanese version of the eHealth Literacy Scale (eHEALS)].
AB - OBJECTIVES: With the rapid developing an internet society, ehealth literacy,
defined as the ability to seek, find, understand, and appraise and apply the
knowledge gained to addressing or solving a health problem, becomes important to
promote and aid health care at the individual level. However, the eHealth
Literacy Scale (eHEALS) was only a scale developed to assess the ehealth
literacy. Thus, the present study was conducted to evaluated the validity and
reliability of a Japanese version of the eHEALS (J-eHEALS), and examine the
association of ehealth literacy with demographic attributes and characteristics
on health information searching among Japanese adults. METHODS: Data were
analyzed for 3,000 Japanese adults (males: 50.0%,mean age: 39.6 + 10.9 years) who
responded to an Internet-based cross-sectional survey. The J-eHEALS, 6
demographic attributes, resources for obtaining health information (health
resources), and contents of health information obtained from internet (ehealth
contents) were obtained with a questionnaire. Confirmatory factor analysis and
correlation with the communicative and critical health literacy scale were
utilized to assess construct validity and criterion validity. Cronbach alpha and
correlation coefficients were computed for internal consistency and test-retest
reliability. Also, differences in J-eHEALS scores with each demographic attribute
were examined with ANOVA and the independent t-test. Finally, chi-square tests
were used to determine differences in the proportions of ehealth literacy groups
(high or low) classified with a median split within health resources and ehealth
contents. RESULTS: Principal components analysis produced a single factor
solution and confirmatory factor analysis for the 8-items model demonstrated high
indices (GFI = .988, CFI = .993, RMSEA= .056). A significant positive correlation
was found between the J-eHEALS and communicative and critical health literacy
scores. Cronbach alpha was 0.93 (P < .01), and test-retest reliability was r =
0.63 (P < .01). The J-eHEALS scores were significantly higher in women, the 40
and 50-year age group, those with high income, and individuals with a high
frequency of internet searching. Furthermore, the high ehealth literacy group
used many health resources and obtained a greater variety of ehealth contents as
compared with the low literacy group. The most frequent resource was the internet
in the high group, and television/radio in the low group. However, these results
could be subject to bias because of the non-representative nature of the Internet
population. CONCLUSION: The results indicate the J-eHEALS to be a highly
validated and reliable scale. The present study suggests that enhancement of
ehealth literacy will be important to utilize the increasing amount of health
information on the internet effectively and appropriately.
PMID- 21905613
TI - [Activities and awareness of public health nurses working at local government
facilities and health centers regarding potential nuclear accidents].
AB - OBJECTIVES: The purpose was to study public health service activities developed
during non-emergency periods to respond to potential nuclear accidents and to
contribute to an understanding of public health nurses' awareness of the
possibility of such accidents. METHODS: For the purpose of this study, we chose
prefectural health centers located in a prefecture with a nuclear power plant and
in two adjacent prefectures, along with all local administrative bodies (cities,
towns, and villages) in these prefectures. For each one of 124 entities, we
selected one public health nurse in charge of health crisis management from among
the personnel to be targeted for a questionnaire survey conducted by mail. The
survey period was from October to November 2009, and the questionnaire contained
questions on the following: whether there had been any disasters over the past
ten years; whether the respondent had received training in public health services
regarding nuclear accidents; and public health service activities developed
during non-emergency periods to respond to potential nuclear accidents (and the
amount of work done in this regard). RESULTS: The response rate for our survey
was 71.8%. Of the total of 124 entities chosen, 9 were aware of the possibility
of radiation accidents and 12 had manuals on radiation accidents. Two local
governments and five health centers had participated in accident drills, and at
both of two local governments, public health nurses were expected to act as
guides during resident evacuation in the event of a nuclear accident. Public
health nurses were sent to participate in workshops on radiation at four
facilities located in the prefecture with a nuclear power plant. Our analysis
revealed a lack of knowledge (beta = -0.404, P < 0.01) and concerns over one's
own safety (beta = -0.233, P < 0.01) to have significant effects on the level of
anxiety with regard to performing tasks as public health nurses in the event of
disaster. CONCLUSION: The results of our survey lead us to believe that apart
from creation of manuals, provision of opportunities to gain knowledge of
materials regarding past damage to the health of residents and how such damage
can be coped with is likely to be effective in developing effective measures in
response to disasters.
PMID- 21905614
TI - [Molecular mechanisms of zinc in prostate cancer].
AB - In many developed countries, prostate cancer is the most common male tumour
disease. The high incidence and mortality requires early diagnosis,
differentiation of aggressive, highly malignant forms from clinically silent
forms and understanding of the pathogenesis with its typical metabolic
aberrancies (if any) in order to develop new targeted therapies. Prostate cells
(including prostate cancer cells) are unique in their relation to zinc ions.
Prostate tissue can accumulate these ions in up to tenfold higher concentration
than other body cells. These ions influence many cellular processes incl.
proliferation, differentiation and apoptosis. Prostate cancer cells lack ability
to accumulate zinc. Therefore, zinc ions may be expected to play an important
role in the disease pathogenesis, in its propagation and metastatic potential of
tumour cells. Intracellular zinc levels are regulated by zinc-binding proteins,
especially metallothioneins, and zinc transporters. Zinc level regulation
dysfunction has been identified in prostate cancer cells and may thus play an
important role in the prostate cancer pathogenesis. Moreover, due to its
overproduction by prostate tissue, metallothionein serum levels are elevated and
can be used as an important tumour marker.
PMID- 21905615
TI - [Advances in clinical treatment of malignant melanoma: B-RAF kinase inhibition].
AB - Malignant melanoma is an aggressive cancer of pigment-producing cells, derivates
of the neural crest. Surgical resection is the most effective form of treatment
during initial phases of the disease. Advanced stages are usually treated by
adjuvant immunotherapy (interferon alpha) or dacarbazine + multiferon. Response
and survival rates are extremely poor. The emerging approach of personalized
medicine brings about significant advances in the treatment of melanoma. Apart
from administration of imatinib for a small subgroup of melanomas harbouring KIT
mutations, the most promising approach is the use of B-RAF kinase inhibitors. The
previously tested RAF inhibitors (e.g. sorafenib) did not perform better compared
to conventional chemotherapy or immunotherapy. However, the results are much more
promising with the recently developed inhibitor PLX4032 (Plexxikon; RG7204, Roche
Pharmaceuticals; vemurafenib). This inhibitor targets tumours harbouring B
RAF(V600E) of B-RAF(V600K) activating mutations, which are present in 40-70% of
malignant melanomas. An absence of the above mentioned activating mutations or
parallel presence of activating RAS mutations (e.g. RAS(G12D)) should be used as
contraindications. The use of PLX4032 provides better outcome than any of the
currently used therapies, including partial or complete response recorded in 81%
of patients, and prolonged median survival. Currently, this drug is being tested
in phase II and III trials. The incidence of PLX4032-related adverse effects is
relatively high; acquired resistance repeatedly occurring within several months
of treatment may also represent a significant problem. Combined therapy is
probably needed to further increase the complete response rate and to prolong
survival. This should either include some of the currently used
chemotherapeutics, or alternatively it may employ inhibitors of some of the
kinases capable of stimulating the MEK and ERK kinases independently of B-RAF
(e.g. COT). Nevertheless, even PLX4032 monotherapy should be viewed as a
significant improvement of the current state-of-the-art treatment of malignant
melanoma.
PMID- 21905616
TI - [Palliative cancer care within the Hradec Kralove Region Health Care System: own
experience].
AB - Palliative cancer care is an active treatment and nursing intervention in
patients in whom cancer therapy has been withdrawn due to untreatable progression
of the cancer disease. The main aim of palliative cancer care is to ensure the
best possible quality of life of a cancer patient and the patient's family
members. Palliative cancer care is provided in two basic forms, general and
specialized. In the present paper, the authors discuss palliative cancer care and
its implementation into clinical practice with reference to their own experience
in providing this care.
PMID- 21905617
TI - [Schnitzler syndrome: diagnostics and treatment].
AB - BACKGROUNDS: The most important diagnostic criteria for Schnitzler syndrome
include chronic urticaria, the presence of monoclonal IgM immunoglobulin, marked
inflammation (leukocytosis, elevated CRP and erythrocyte sedimentation rate),
subfebrile temperatures or fevers and bone and joint pains. It is a rare
idiopathic disease that may lead to potentially life-threatening complications
such as development of secondary amyloidosis or transformation into malignant
lymphoproliferation. Schnitzler syndrome should be included in differential
diagnostics of chronic urticaria and fevers of unknown origin. The diagnostic
algorithm is based on clinical presentation and serum and urine electrophoreses
to detect monoclonal components. Blockade of interleukin-1 (IL-1), key cytokine
in the pathogenesis of the disease, dominates current therapeutic protocols.
Anakinra (Kineret), recombinant human IL-1 receptor antagonist, is the most
widely used treatment option. According to literature, disease remission was
obtained in all treated patients. Therefore, anakinra represents a significant
diagnostic possibility to differentiate Schnitzler syndrome from e.g. monoclonal
gammopathy of unknown significance (MGUS) associated with urticaria of different
aetiology. Biological therapy with rilonacept (Arcalyst) and canakinumab (Ilaris)
represents a new treatment alternative for patients, allowing prolonged dosing
intervals of 1 and 8 weeks, respectively (compared to 24 hours with anakinra).
The review article also presents findings of various imaging methods
(conventional radiography, computed tomography, traditional bone scintigraphy)
and photographs of patients with Schnitzler syndrome before and after anakinra
therapy. DESIGN: The aim of the review is to draw attention to the existence of
this rare autoinflammatory and potentially premalignant condition, present a
simple diagnostic algorithm and provide an overview of therapeutic options for
the patients. CONCLUSIONS: Malign potential of Schnitzler syndrome, possible
development into systemic amyloidosis and the fact that patients are frequently
referred to oncology clinics for differential diagnostics of monoclonal
gammopathy, are the main reasons why clinical oncologists should be aware of
Schnitzler syndrome.
PMID- 21905618
TI - [Oropharyngeal mucositis--pain management].
AB - Oropharyngeal mucositis is a clinically significant and painful complication of
an intensive chemotherapy or head and neck radiotherapy. The management of a
patient in risk of this complication must include appropriate and generally
recommended prophylactic measures. An effective and safe treatment must be
offered to patients who have developed oropharyngeal mucositis. The basic care
involves local mouthwashes, sprays or viscous gels with variable effect on pain
reduction. In more serious cases, tramadol and morphine are the drugs of choice;
transdermal fentanyl or buprenorphine can be considered as an alternative. Pain
management must be individualized.
PMID- 21905619
TI - Low molecular weight heparins for thromboprophylaxis during induction
chemotherapy in patients with multiple myeloma.
AB - BACKGROUNDS: Patients with multiple myeloma have a high risk of venous
thromboembolism (VTE), especially during the induction chemotherapy. The aim of
our observational study was to determine the impact of prophylaxis with low
molecular weight heparin (LMWH) on the incidence of thromboembolic complications.
PATIENTS AND METHODS: We analyzed the incidence of thromboembolic events in 258
patients treated with induction chemotherapy containing vincristin, doxorubicin
or idarubicin, and dexamethasone, followed by stimulation chemotherapy with
cyclophosphamide and G-CSF, and high-dose chemotherapy with melphalan. Two groups
of these patients were compared based on the practice of thromboprophylaxis.
Patients in the first group (Control, n = 140) were either not treated or treated
with a short duration of anticoagulation therapy while the patients in the second
group (Prophylactic, n = 118) underwent standard prophylaxis with LMWH throughout
the entire period of induction chemotherapy. A total of 102 patients were
selected for a close monitoring of the prophylactic effect of different LMWH
doses and to be compared to patients without treatment. RESULTS: Standard
prophylaxis with LMWH significantly (p < 0.007) lowered a risk of VTE when
compared to patients without such prophylaxis (3.4% versus 12.9%, respectively).
Furthermore, analysis of the subgroup of 102 patients revealed that higher LMWH
doses (> 70 IU/kg per day) achieved full prophylaxis in 28 patients while lower
doses were less effective leading to DVT in 3 (7.7%) out of 39 patients. In
contrast, VTE was diagnosed in 5 (14.3%) out of 35 patients without any LMWH
prophylaxis. CONCLUSION: Prophylaxis with LMWH leads to a significant reduction
of the risk of thromboembolic complications during the induction chemotherapy in
patients suffering from MM. The prophylactic effect of LMWH is dose-dependent.
PMID- 21905620
TI - [Detection of circulating tumor cells from peripheral blood in patients with
transitional cell carcinoma--pilot study. Comparison with the standard
histopathological staging].
AB - BACKGROUNDS: The aim of this pilot study was to investigate whether UP-II and
EGFR genes expression detection with RT-PCR and the use of immunohistochemistry
methods on patient samples taken before and after surgery could be used as a
cancer marker for detection of circulating tumor cells in peripheral blood of
patients with TCC. Another goal of this study was to identify whether surgery can
influence the amount of circulating tumor cells and to correlate the samples with
standard histopathological staging. MATERIALS AND METHODS: A total of 43 patients
with histologically provenTTC was enrolled in the study. There were 33 men and 10
women in the sample, mean age was 65 +/- 12 years (range 37-85 years). Forty
(93.0%) patients had TCC of the urinary bladder, 2 (4.6%) had TCC of renal pelvis
and 1 (2.3%) had TCC of urinary bladder, urethra, and renal pelvis. A sample of
10 ml of peripheral blood was collected from each patient before and within 1
hour after a surgery. Blood samples were used for immunomagnetic separation of
circulating tumor cells and determination of UP-II and EGFR genes expression.
Subsequently, cancer tissue was processed, endolymphatic, intravascular and
peritoneal invasion determined and CK-7, CK-20, stromelysin, Ki-67 and p53
expression evaluated. Blood samples taken before and after the surgery were also
subjected to immunohistochemical analysis using hematoxylin-eosin (HE) staining
and staining by Papanicolaus (PAP). CK-7 and CK-20 expression was also evaluated.
RESULTS: EGFR and UP-II were expressed in 24 of the 35 (68.6%) and in 19 of the
35 (54.3%) cancer tissues samples, respectively. EGFR was expressed neither in
blood samples nor in immuno-separated cell samples. UP-II was expressed in 1 of
the 19 (5.3%) samples of immuno-separated cells acquired before the surgery and
in no sample of immuno-separated cells obtained after the surgery (P < 0.9999).
Moreover, UP-II was expressed in 2 of the 32 (6.3%) whole blood samples taken
before the surgery and in 3 out of 32 (9.4%) whole blood samples taken within an
hour after the surgery (P < 0.9999). Histopathological examination showed TCC
invasion in 11 of the 43 patients: 1 patient with intravascular, 6 with
endolymphatic, 1 with intravascular and endolymphatic and 3 with intravascular,
endolymphatic and perineural invasion. Immunohistochemical examination of
separated blood before and after the surgery by PAP and HE staining, CK-7 and CK
20 expression were negative in nearly all samples. Immunohistochemical
examination ofTCC tissue showed positive results in 97.7% for CK-7expression,
74.4% for CK-20 and 97.7% for stromelysin. Cytological examination of urine was
positive in 19 (50%) patients and correlated well with higher grade G3 in 20
(46.5%) patients. Ki-67 expression was significantly higher in patients with G3
(31.15%) in comparison to patients with G1 (7.53%) (p < 0.01). There was no
significant association between grade and expression of p53 and stromelysin in
cancer tissue. CONCLUSION: Our preliminary tests did not show any significant
change to EGFR and UP-II expression in peripheral blood and in immuno-separated
cells before and after a surgery. The results for a group of patients with lower
pTNM grade did not confirm the presence of malignant urothelial cells in
peripheral blood.
PMID- 21905621
TI - [Pulmonary metastases of the clear cell (conventional) renal cell carcinoma-
options and results of surgical treatment].
AB - BACKGROUNDS: At the diagnosis, up to one third of patients with clear cell
(conventional) renal cell carcinoma have metastases, and the disease will
progress in a half of patients with localized disease; the lungs are the most
frequently affected organ. Despite clear advances in targeted biological
treatment, radical surgery of organ, mainly pulmonary, metastases is a justified
treatment approach with good results. AIM: A nine-year retrospective analysis of
patients with clear cell renal cell carcinoma undergoing surgical treatment of
pulmonary metastases. MATERIALS AND METHODS: At our centre, 13 patients with the
mean age of 65 years, 9 of which were men, underwent surgical treatment between
2001 and 2009. Surgery was only indicated in patients after renal tumour
resection without extrapulmonary metastases in whom presurgical assessment
suggested that the pulmonary metastases were resectable (with respect to their
number and location) and in whom the benefits of performing the surgery
outweighed any potential risks. Metastases were solitary in 9 patients and
multiple in the rest, 2 patients had bilateral involvement. Median disease-free
interval following nephrectomy was 28 months. RESULTS: A total of 11 unilateral
and 2 bilateral resections were performed during one or two surgical operations.
Most frequently, wedge resection was performed (7 patients). A total of 23
metastases were resected. Perisurgical morbidity was 15.4%, zero mortality. Of
those undergoing metastasectomy, 53.8% are still surviving with a median survival
of 24.3 months. Three-year survival in the sample was 66%, 5-year survival was
53%. Five patients (38.5%) have had no disease progression for a median of 8.8
months. CONCLUSION: Our results confirm the positive role of metastasectomy in
the treatment of pulmonary metastases of clear cell (conventional) renal cell
carcinoma. Long-term survival after pulmonary metastasectomy might be expected in
patients with solitary metachrone small metastases with DFI after nephrectomy > 1
year, without tumour involvement of the relevant lymph nodes and R0 resection.
PMID- 21905622
TI - [The role of procalcitonin in the differential diagnosis of fever in patiens with
multiple myeloma].
AB - Fever and elevated C-reactive protein are frequently found in hematooncological
patients. It is sometimes difficult to distinguish between infectious fever and
drug-related or tumour-associated fever. Tumour-related fever is not very common
in multiple myeloma (unlike malignant lymphomas). C-reactive protein (CRP) is
usually elevated simultaneously with fever and so it cannot be used in
differential diagnosis of febrile states. There is another marker that provides
information about the origins of the fever--rocalcitonin. We present cases of
three patients that illustrate the significance of procalcitonin in patients with
multiple myeloma.
PMID- 21905623
TI - [Psychological support for cancer care professionals: contemporary theory and
practice within the Czech Healthcare System].
AB - Health care professionals, especially those working in cancer care, represent a
subgroup of helping professions that requires special psychological care. Recent
findings clearly show that a lack of regular psychological care for oncologists
and oncology nurses leads to higher rate of psychiatric and physical illness,
poorer quality of life, higher employee fluctuation rates and lower quality of
provided medical care. In spite of this, the special psychological care for
cancer care professionals is still lacking and theoretical and practical level of
their undergraduate and postgraduate education in psychology does not satisfy the
demands of clinical practice. Regular group meetings seem to be an effective way
of psychological care. They provide an opportunity for the participants to view
own problems from a distance and to seek new options. It allows them to gain new
insights from the discussed situations and to get support or feedback from
colleagues. Regular group meetings also represent a key component of self-care
and it is an important preventive factor of exhaustion that has been shown to
cause medical or personal misconducts. In this context, the aim of the present
paper is to describe the basic theoretical background for regular group meetings
of oncologists and oncology nurses and to refer about the current practice within
the Czech health care system.
PMID- 21905624
TI - [The year 2011 is the year of melanoma: Melanoma Forum, Frankfurt, 19 May 2011].
PMID- 21905625
TI - Staphylococcal cassette chromosome mec (Sccmec) classification and typing
methods: an overview.
AB - Meticillin-resistant Staphylococcus aureus (MRSA) is one of the main causes of
hospital-acquired infections, but since late 1990s also the community-acquired.
For better understanding of the S.aureus epidemiology there is an urgent need for
creation of new typing method for SCCmec element. The molecular typing of MRSA
for epidemiological purposes is investigated by pulsed-field gel electrophoresis
(PFGE), multilocus sequence typing (MLST), spa typing and the SCCmec type
assignment. In last few years not only new type of SCCmec (VI to XI) have been
identified, but also additional subtypes (i.e. IVg-j) and different variants of
already existed one (i.e. 5C2&5 and 2B2&5) were discovered. The aim of this
review is to briefly summarize current knowledge about SCCmec classification and
to discuss advantages and disadvantages of selected SCCmec typing methods.
PMID- 21905626
TI - Immobilized cells of recombinant Escherichia coli strain for continuous
production of L-aspartic acid.
AB - For L-aspartic acid biosynthesis, high production cells of Escherichia coli
mutant B-715 and P1 were immobilized in chitosan gel using a technique developed
in our laboratory. The immobilization process reduced initial activity of the
intact cells, however, the biocatalyst produced was very stabile for long-term
use in multi-repeated batch or continuous processes. Temperature influence on the
conversion of ammonium fumarate to L-aspartic acid was investigated. In long-term
experiments, over 603 hours, the temperature 40 degrees C was found to be the
best for both biocatalyst stability and high conversion rate. The optimum
substrate concentration was 1.0 M. Continuous production of L-aspartic acid was
investigated in three types of column bioreactors characterized by different
volumes as well as different high to biocatalyst bed volume rations (Hz/Vz). The
highest conversion rate, 99.8%, and the productivity 6 g/g/h (mass of L-aspartic
acid per dry mass of cells in biocatalyst per time unit) was achieved in the
bioreactor with the highest value Hz/Vz = 3.1, and liquid hour space velocity
value of 5.2, defined as the volume of feeding substrate passed per volume of
catalyst in bioreactor per one hour.
PMID- 21905627
TI - Reaction conditions for maximal cyclodextrin production by cyclodextrin
glucanotransferase from Bacillus megaterium.
AB - The effect of the reaction conditions (substrate concentration, enzyme dosage,
and pH) on cyclodextrin production by cyclodextrin glucanotransferase from
Bacillus megaterium was investigated by applying mathematical modeling methods.
Adequate models were developed and they were used for determination of the
optimal conditions for maximal formation of beta-cyclodextrins at minimal
concentrations of a- and gamma-cydclodextrins. The main factor affecting the
ratio of the products was pH of the reaction mixture. At pH 9 the enzyme formed
mainly beta- and y-cyclodextrins and the ratio a:beta:gamma was 2.6:83.5:13.9; at
pH 5 the ratio changed to 8.6:84.6:6.8. Mathematical models were used for
determination of the conditions for maximal conversion of the substrate into
cyclodextrins. 45.88% conversion of starch was achieved at 5% substrate
concentration, 3.5 U/g enzyme dosage, and pH 7.4.
PMID- 21905628
TI - Efficacy of UV treatment in the management of bacterial adhesion on hard
surfaces.
AB - The efficacy of UV treatment to control bacterial adhesion onto hard surfaces was
investigated in laboratory conditions. The major characteristics necessary for
biofilm formation like extracellular polymeric substance (EPS) production,
carbohydrate and protein concentration in EPS, and adhesion ability onto hard
surface were studied using two bacterial strains isolated from marine biofilms.
The results showed that there was a considerable difference between the control
and UV treated bacterial cultures in their viability, production of EPS, and
adhesion ability. The protein and carbohydrate concentration of the EPS and the
adhesion of bacterial cells to surface were also considerably reduced due to UV
treatment. This study indicates that treatment of water with UV light may be used
to control biofilm development on hard surfaces.
PMID- 21905629
TI - Comparison of the nucleotide sequences of wheat dwarf virus (WDV) isolates from
Hungary and Ukraine.
AB - Wheat dwarf virus (WDV) is the most ubiquitous virus in cereals causing huge
losses in both Hungary and Ukraine. The presence of barley-and wheat-adapted
strains has been confirmed, suggesting that the barley strain is restricted to
barley, while the wheat strain is present in both wheat and barley plants. Five
WDV isolates from wheat plants sampled in Hungary and Ukraine were sequenced and
compared with known WDV isolates from GenBank. Four WDV isolates belonged to the
wheat strain. Our results indicate that WDV-Odessa is an isolate of special
interest since it has originated from wheat, but belongs to the barley-adapted
strain, providing novel data on WDV biology and raising issues of pathogen
epidemiology.
PMID- 21905631
TI - Utilization of UF-permeate for production of beta-galactosidase by lactic acid
bacteria.
AB - Four lactobacilli strains (Lactobacillus bulgaricus, Lactobacillus acidophilus,
Lactobacilus casei and Lactobacillus reuteri) were grown in MRS broth and three
lactococci strains (Streptococcus thermophilus, Lactococcus lactis subsp. Lactis
and Lactococcus lactis subsp. lactis biovar. diacetilactis) were grown in M17
broth. L. reuteri and S. thermophilus were chosen on the basis of the best mean
beta-galactosidase activity of 10.44 and 10.01 U/ml respectively, for further
studies on permeate-based medium. The maximum production of beta-galactosidase by
L. reuteri was achieved at lactose concentration of 6%, initial pH 5.0-7.5,
ammonium phosphate as nitrogen source at a concentration of 0.66 g N/L and
incubation temperature at 30 degrees C/24 hrs to give 6.31 U/ml. While in case of
S. thermophilus, maximum beta-galactosidase production was achieved at 10%
lactose concentration of permeate medium, supplemented with phosphate buffer
ratio of 0.5:0.5 (KH2PO4:K2HPO4, g/L), at initial pH 6.0-6.5, ammonium phosphate
(0.66g N/L) as nitrogen source and incubation temperature 35 degrees C for 24 hrs
to give 7.85 U/ml.
PMID- 21905630
TI - Beta-glucanase productivity improvement via cell immobilization of recombinant
Escherichia coli cells in different matrices.
AB - The studies have been performed to analyze the production of beta-glucanase by a
recombinant strain of Escherichia coli immobilized in different matrices. Porous
sintered glass SIRAN, Ceramic supporting matrices and Broken Pumice stone as well
as SIRAN Raschig-rings were examined for the immobilization of whole bacterial
cells. The beta-glucanase activity of bacteria immobilized in CeramTec PST 5 (4-5
mm) was very low. CeramTec PST 5 (1.5-2.5 mm) was found to be the best carrier
compared to all other matrices regarding glucanase production (630 U/ml) and
compared to enzyme activity produced by free cells (500 U/ml). Different doses of
matrices were applied (2, 5, 7, 10 g/lask) in the form of "matrix weight". Using
2 g/flask of CeramTec PST 5 (1.5-2.5 mm) yielded enzyme activity of 630 U/ml).
CeramTec gives highest operational stability of beta-glucanase by repeated batch
fermentation to 5 cycles, and activity reached 660 U/ml. Scanning electron
microscopy observations showed a high number of vegetative cells that continued
growth inside the matrices, indicating that beta-glucanase activity improvement
was due to the immobilization of the cells.
PMID- 21905632
TI - Strains differentiation of Microsporum canis by RAPD analysis using (GACA)4 and
(ACA)5 primers.
AB - Molecular analysis of dermatophytes (based on PCR fingerprinting) revealed high
clonal differentiation between the genus and species. Microsporum canis
(zoophilic dermatophyte, belonging to genus Microsporum), responsible for most
cases of tinea capitis in children, tinea corporis in adults and dermatophytoses
in cats, is very unique in comparison with other dermatophytes. Results of most
molecular studies show that there is no clonal differentiation within M. canis as
distinct from other species. The aim of this study was application of (GACA)4
repetitive primer and (ACA)5 primer for typing of M. canis strains isolated from
human and animals in Central Poland. Fungal strains: 32 clinical isolates of M.
canis, originated from patients from Central Poland; 11 strains isolated from
infected cats (6) and dogs (7), reference strains of M. canis (CBS 113480), T
rubrum (CBS 120358), T mentagrophytes (CBS 120357) and E. floccosum (CBS 970.95).
The genomic DNAs of the strains were used as a template in RAPD reaction. No
differentiation was observed for the analyzed M. canis strains using (GACA)4 and
(ACA)5 typing.
PMID- 21905633
TI - SDS-PAGE heat-shock protein profiles of environmental Aeromonas strains.
AB - Aeromonas microorganisms normally grow at temperatures between 5 degrees C and 45
degrees C and therefore should have high thermotolerance. Thus it was of interest
to find out whether A. hydrophila, A. caviae and A. veronii biovar sobria
serovars respond to abrupt temperature changes with a heat shock-like response.
To this end the present study was undertaken to determine whether Aeromonas
species exhibits a heat shock response to different temperatures and time
factors. The response of Aeromonas serovars to 24 h and 48 h of thermal stress at
25 degrees C, 42 degrees C and 50 degrees C involved the synthesis of 12-18 heat
shock proteins (HSPs) bands with molecular weights ranging between 83.5-103.9 kDa
in the high HSP molecular mass and 14.5-12.0 as low molecular mass HSP.
Electrophoretic analysis of the HSPs showed that the serovars do not cluster very
tightly and also that they are distinct from each other.
PMID- 21905634
TI - Species-specific sensitivity of coagulase-negative Staphylococci to single
antibiotics and their combinations.
AB - The activity of beta-lactam antibiotics (oxacillin, cloxacillin, cephalotin),
vancomycin, gentamicin and rifampicin applied in vitro individually and in
combination against 37 nosocomial methicillin-resistant strains of coagulase
negative staphylococci (CNS) was assessed to demonstrate the heterogeneity of
this group of bacteria and estimate the chance of the efficacy of such therapy.
The strains belonged to four species: Staphylococcus epidermidis, Staphylococcus
haemolyticus, Staphylococcus cohnii, Staphylococcus hominis. They originated from
a hospital environment and from the skin of medical staff of the intensive care
unit of a paediatric ward at a university hospital. All strains were methicillin
resistant, according to CLSI standards, but individual strains differed in
MIC(ox) values. Susceptibility to other tested antibiotics was also
characteristic for the species. The increased susceptibility to antibiotics in
combinations, tested by calculating the fractional inhibitory concentration (FIC)
index, concerned 26 out of 37 investigated strains and it was a feature of a
particular species. Combinations of vancomycin and cephalotin against S.
epidermidis and oxacillin with vancomycin were significant, as well as cephalotin
and rifampicin in growth inhibition of multiresistant S. haemolyticus strains.
PMID- 21905635
TI - Association between existence of integrons and multi-drug resistance in
Acinetobacter isolated from patients in southern Iran.
AB - Nosocomial infections caused by multi-drug resistant Acinetobacter pose a serious
problem in many countries. This study aimed at determining the antibiotic
susceptibility patterns and prevalence of different classes of integrons in
isolated Acinetobacter. In addition, the association between production of
specific bands in PCR assay and magnitude of multi-drug resistance was
investigated. In total, 88 Acinetobacter strains were isolated from patients from
October 2008 through September 2009. The Minimal inhibitory concentration (MIC)
of 12 antibiotics conventionally used in clinics against the isolates, was
determined by E-test method. The existence of integron classes was investigated
by PCR assay through the amplification of integrase genes. The most effective
antibiotic against Acinetobacter was colistin with 97.7% activity, followed by
imipenem (77.3%) and meropenem (72.7%). The presence ofintegron classes 1 and 2
in 47 (53.4%) isolates was confirme, However, no class 3 was detected. The
proportion of class 1, compared with class 2, was high (47.7% vs. 3.4%). The
association between multi-drug resistance to norfloxacin, ceftazidime,
gentamicin, ciprofloxacin, cefepime and amikacin and the presence of integrons
was statistically significant. However, the association was not remarkable in
many of the isolates which exhibited resistance to the rest of antibiotics. This
may imply that in addition to integrons, other resistance determinants such as
transposon and plasmid may also contribute to resistance. To reduce the pressure
on sensitive isolates, comprehensive control measures should be implemented.
Furthermore, wise application of effective antibiotics could help alleviate the
situation. Colistin is the most effective antibiotic in vitro against
Acinetobacter.
PMID- 21905636
TI - Dissemination of class 1, 2 and 3 integrons among different multidrug resistant
isolates of Acinetobacter baumannii in Tehran hospitals, Iran.
AB - A total of 100 non-duplicate Acinetobacter baumannii isolates were collected from
different hospitals in Tehran and were confirmed as A. baumannii by conventional
biochemical and API testing. Antimicrobial susceptibility of these isolates was
checked by a disk diffusion method in accordance with CLSI guidelines. The
isolates were then detected as carrying class 1 and 2 integron gene cassettes by
PCR evaluation and then genotyped by REP-PCR. More than 50% (n = 50) of the
isolates were multidrug resistant. The results showed that more than 80% of all
multidrug resistant A. baumannii strains carry a class 1 integron. Distribution
of IntI 1 and IntI2 among A. baumannii isolates was 58% and 14%, respectively.
Analysis of a conserved segment of class 1 integron showed a range from 100 bp to
2.5 kb. REP-PCR fingerprinting showed more than 20 genotypes among A. baumannii
strains. TIhere was no relationship between REP genotypes and the distribution of
different classes of integrons. This is a comprehensive study on the distribution
of different classes of integrons among A. baumannii in Iran. Considering the
exact role of integrons in coding drug resistance in bacteria, the findings of
this study could help us find antimicrobial resistant mechanisms among A.
baumannii isolates in Iran.
PMID- 21905637
TI - Host response to the presence of Helicobacter spp. DNA in the liver of patients
with chronic liver diseases.
AB - Literature data indicate an association between the presence of Helicobacter spp.
in the liver and the development of hepatocellular carcinoma (HCC). However, the
role of H. pylori infections in chronic liver diseases (CLD) remains
controversial. The aim of this study was to detect Helicobacter spp. DNA in
patients with CLD, and to investigate the host response to the presence of the
bacterium in the liver. Helicobacter spp. DNA was detected in 59% samples.
H.pylori was the most prevalent species (94%). We estimated the expression level
of IL-1 and IL-8 genes. The presence of Helicobacter spp. did not have a
significant effect on the gene expression of IL-8 and IL-1.
PMID- 21905638
TI - Dehydrogenative Diels-Alder reaction.
AB - The dehydrogenative cycloaddition of dieneynes, which possess a diene in the form
of a styrene moiety and a dienophile in the form of an alkyne moiety, produces
naphthalene derivatives when heated. It was found that a key requirement of this
process is the presence of a silyl group attached to the alkyne moiety, which
forces a dehydrogenation reaction to occur.
PMID- 21905639
TI - Efficient, single-step access to imidazo[1,5-a]pyridine n-heterocyclic carbene
precursors.
AB - The three-component coupling reaction of substituted picolinaldehydes, amines,
and formaldehyde to produce imidazo[1,5-a]pyridinium ions is reported, providing
an efficient method for the preparation of N-heterocyclic carbenes (NHCs).
Reactions proceed in high yields under mild conditions, allowing the
incorporation of diverse functionality and chiral substituents. Higher order
condensations are also described that provide access to multidentate NHC ligands
useful for a variety of applications.
PMID- 21905641
TI - Simultaneous determination of phenolic compounds and saponins in quinoa
(Chenopodium quinoa Willd) by a liquid chromatography-diode array detection
electrospray ionization-time-of-flight mass spectrometry methodology.
AB - A new liquid chromatography methodology coupled to a diode array detector and a
time-of-flight mass spectrometer has been developed for the simultaneous
determination of phenolic compounds and saponins in quinoa (Chenopodium quinoa
Willd). This method has allowed the simultaneous determination of these two
families of compounds with the same analytical method for the first time. A fused
core column C18 has been used, and the analysis has been performed in less than
27 min. Both chromatographic and electrospray ionization time-of-flight mass
spectrometry parameters have been optimized to improve the sensitivity and to
maximize the number of compounds detected. A validation of the method has also
been carried out, and free and bound polar fractions of quinoa have been studied.
Twenty-five compounds have been tentatively identified and quantified in the free
polar fraction, while five compounds have been tentatively identified and
quantified in the bound polar fraction. It is important to highlight that 1-O
galloyl-beta-D-glucoside, acacetin, protocatechuic acid 4-O-glucoside,
penstebioside, ethyl-m-digallate, (epi)-gallocatechin, and canthoside have been
tentatively identified for the first time in quinoa. Free phenolic compounds have
been found to be in the range of 2.746-3.803 g/kg of quinoa, while bound phenolic
compounds were present in a concentration that varies from 0.139 and 0.164 g/kg.
Indeed, saponins have been found to be in a concentration that ranged from 5.6 to
7.5% of the total composition of whole quinoa flour.
PMID- 21905640
TI - Preparation of nucleosides derived from 2-nitroimidazole and D-arabinose, D
ribose, and D-galactose by the Vorbruggen method and their conversion to
potential precursors for tracers to image hypoxia.
AB - 2-Nitroimidazole was silylated using hexaethyldisilazane and then reacted with 1
O-acetyl derivatives of D-arabinose, D-ribose, and D-galactose in acetonitrile at
mild temperatures (-20 degrees C to rt), catalyzed by triethylsilyl triflate
(Vorbruggen conditions). The alpha-anomer was formed in the former case and the
beta-anomers in the latter two cases (highly) selectively. When D-arabinose and D
ribose were silylated with tert-butyldiphenylsilyl chloride in pyridine at the
hydroxyl groups at C-5 and acetylated at the other ones in a one-pot reaction,
mixtures of anomeric 1-O-acetyl derivatives were obtained. These were coupled by
the Vorbruggen method and then deblocked at C-5 and tosylated to give precursors
for tracers to image hypoxia in four steps without using Hg(CN)(2) necessary for
other methods. The Vorbruggen conditions enable a shorter route to azomycin
nucleoside analogues than the previous coupling procedures.
PMID- 21905642
TI - Fourier transform near-infrared spectroscopy application for sea salt quality
evaluation.
AB - Near-infrared (NIR) spectroscopy in diffuse reflectance mode was explored with
the objective of discriminating sea salts according to their quality type
(traditional salt vs "flower of salt") and geographical origin (Atlantic vs
Mediterranean). Sea salts were also analyzed in terms of Ca(2+), Mg(2+), K(+),
alkalinity, and sulfate concentrations to support spectroscopic results. High
concentrations of Mg(2+) and K(+) characterized Atlantic samples, while a high
Ca(2+) content was observed in traditional sea salts. A partial least-squares
discriminant analysis model considering the 8500-7500 cm(-1) region permitted the
discrimination of salts by quality types. The regions 4650-4350 and 5900-5500 cm(
1) allowed salts classification according to their geographical origin. It was
possible to classify correctly 85.3 and 94.8% of the analyzed samples according
to the salt type and to the geographical origin, respectively. These results
demonstrated that NIR spectroscopy is a suitable and very efficient tool for sea
salt quality evaluation.
PMID- 21905643
TI - Leader peptide-directed processing of labyrinthopeptin A2 precursor peptide by
the modifying enzyme LabKC.
AB - Lantibiotics are peptide antibiotics, realizing their unique secondary structure
by posttranslational modifications, the most important one being the formation of
the characteristic amino acid lanthionine. Like other ribosomal peptide
antibiotics, they are synthesized with an N-terminal leader peptide important for
posttranslational processing by modifying enzymes; after peptide maturation, the
leader peptide is proteolytically cleaved off. Numerous studies of the leader
peptides of class I and II lantibiotics already showed their crucial role in
recognition, self-immunity, and extracellular transport. The recently described
labyrinthopeptins, members of the family of class III lantibiotics, exhibit the
characteristic novel amino acid labionin, which was revealed by elucidation of
the structure of labyrinthopeptin A2. The assembly of the labionin motif in the
linear peptide chain is mediated by the lyase-kinase-cyclase-type enzyme LabKC
through a serine side chain phosphorylation with GTP, elimination of the
phosphate group, and a subsequent 2-fold Michael-type addition cyclization. In
this work, we systematically investigated for the first time the importance of
the leader peptide in the processing of class III lantibiotics using the example
of the labyrinthopeptin A2 precursor peptide. In vitro studies with synthetic
leader peptide analogues revealed that a conserved N-terminal hydrophobic patch
on a putative helical structure is required for the proper peptide processing by
the modifying enzyme LabKC. On the other hand, studies showed that the C-terminal
part of the leader peptide serves as a spacer between the binding site and active
sites for phosphorylation and elimination, thus restricting the number of hydroxy
amino acid side chains that could undergo dehydration. Finally, a model for the
peptide recognition and processing by the LabKC has been postulated.
PMID- 21905644
TI - Selective enzymatic degradation of self-assembled particles from amphiphilic
block copolymers obtained by the combination of N-carboxyanhydride and nitroxide
mediated polymerization.
AB - Combining controlled radical polymerizations and a controlled polypeptide
synthetic technique, such as N-carboxyanhydride (NCA) ring-opening
polymerization, enables the generation of well-defined block copolymers to be
easily accessible. Here we combine NCA polymerization with the nitroxide-mediated
radical polymerization of poly(n-butyl acrylate) (PBA) and polystyrene (PS),
using a TIPNO and SG1-based bifunctional initiator to create a hybrid block
copolymer. The polypeptide block consists of (block) copolymers of poly(L
glutamic acid) embedded with various quantities of L-alanine. The formed
superstructures (vesicles and micelles) of the block copolymers possessed varying
degrees of enzyme responsiveness when exposed to elastase and thermolysin,
resulting in controlled enzymatic degradation dictated by the polypeptide
composition. The PBA containing block copolymers possessing 50% L-alanine in the
polypeptide block showed a high degradation response compared to polymers
containing lower L-alanine quantities. The particles stabilized by copolypeptides
with L-alanine near the hydrophobic block showed full degradation within 4 days.
Particles containing polystyrene blocks revealed no appreciable degradation under
the same conditions, highlighting the specificity of the system and the
importance of synthetic polymer selection. However, when the degradation
temperature was increased to 70 degrees C, degradation could be achieved due to
the higher block copolymer exchange between the particle and the solution. A
number of novel biohybrid structures are disclosed that show promise as enzyme
responsive materials with potential use as payload release vehicles, following
their controlled degradation by specific, target, enzymes.
PMID- 21905646
TI - Fast carbon dioxide fixation by 2,6-pyridinedicarboxamidato-nickel(II)-hydroxide
complexes: influence of changes in reactive site environment on reaction rates.
AB - The planar complexes [Ni(II)(pyN(2)(R2))(OH)](-), containing a terminal hydroxo
group, are readily prepared from N,N'-(2,6-C(6)H(3)R(2))-2,6
pyridinedicarboxamidate(2-) tridentate pincer ligands (R(4)N)(OH), and
Ni(OTf)(2). These complexes react cleanly and completely with carbon dioxide in
DMF solution in a process of CO(2) fixation with formation of the bicarbonate
product complexes [Ni(II)(pyN(2)(R2))(HCO(3))](-) having eta(1)-OCO(2)H ligation.
Fixation reactions follow second-order kinetics (rate = k(2)'[Ni(II)-OH][CO(2)])
with negative activation entropies (-17 to -28 eu). Reactions were monitored by
growth and decay of metal-to-ligand charge-transfer (MLCT) bands at 350-450 nm.
The rate order R = Me > macro > Et > Pr(i) > Bu(i) > Ph at 298 K (macro =
macrocylic pincer ligand) reflects increasing steric hindrance at the reactive
site. The inherent highly reactive nature of these complexes follows from k(2)' ~
10(6) M(-1) s(-1) for the R = Me system that is attenuated by only 100-fold in
the R = Ph complex. A reaction mechanism is proposed based on computation of the
enthalpic reaction profile for the R = Pr(i) system by DFT methods. The R = Et,
Pr(i), and Bu(i) systems display biphasic kinetics in which the initial fast
process is followed by a slower first order process currently of uncertain
origin.
PMID- 21905647
TI - Observation of inductive effects that cause a change in the rate-determining step
for the conversion of rhenium azides to imido complexes.
AB - The cationic oxorhenium(V) complex [Re(O)(hoz)(2)(CH(3)CN)][B(C(6)F(5))(4)] [1;
Hhoz = 2-(2'-hydroxyphenyl)-2-oxazoline] reacts with aryl azides (N(3)Ar) to give
cationic cis-rhenium(VII) oxoimido complexes of the general formula
[Re(O)(NAr)(hoz)(2)][B(C(6)F(5))(4)] [2a-2f; Ar = 4-methoxyphenyl, 4
methylphenyl, phenyl, 3-methoxyphenyl, 4-chlorophenyl, and 4
(trifluoromethyl)phenyl]. The kinetics of formation of 2 in CH(3)CN are first
order in both azide (N(3)Ar) and oxorhenium(V) complex 1, with second-order rate
constants ranging from 3.5 * 10(-2) to 1.7 * 10(-1) M(-1) s(-1). A strong
inductive effect is observed for electron-withdrawing substituents, leading to a
negative Hammett reaction constant rho = -1.3. However, electron-donating
substituents on phenyl azide deviate significantly from this trend. Enthalpic
barriers (DeltaH(?)) determined by the Eyring-Polanyi equation are in the range
14-19 kcal mol(-1) for all aryl azides studied. However, electron-donating 4
methoxyphenyl azide exhibits a large negative entropy of activation, DeltaS(?) =
21 cal mol(-1) K(-1), which is in sharp contrast to the near zero DeltaS(?)
observed for phenyl azide and 4-(trifluoromethyl)phenyl azide. The Hammett linear
free-energy relationship and the activation parameters support a change in the
mechanism between electron-withdrawing and electron-donating aryl azides. Density
functional theory predicts that the aryl azides coordinate via N(alpha) and
extrude N(2) directly. For the electron-withdrawing substituents, N(2) extrusion
is rate-determining, while for the electron-donating substituents, the rate
determining step becomes the initial attack of the azide. The barriers for these
two steps are inverted in their order with respect to the Hammett sigma values;
thus, the Hammett plot appears with a break in its slope.
PMID- 21905645
TI - Reactions of the fluorescent sensor, Zinquin, with the zinc-proteome: adduct
formation and ligand substitution.
AB - Zinquin (ZQ) is a commonly used sensor for cellular Zn(2+) status. It has been
assumed that it measures accessible Zn(2+) concentrations in the nanomolar range.
Instead, this report shows a consistent pattern across seven mammalian cell and
tissue types that ZQ reacts with micromolar concentrations of Zn(2+) bound as Zn
proteins. The predominant class of products were ZQ-Zn-protein adducts that were
characterized in vivo and in vitro by a fluorescence emission spectrum centered
at about 470 nm, by their migration over Sephadex G-75 as protein not low
molecular weight species, by the exclusion of reaction with lipid vesicles, and
by their large aggregate concentration. In addition, variable, minor formation of
Zn(ZQ)(2) with a fluorescence band at about 490 nm was observed in vivo in each
case. Because incubation of isolated Zn-proteome with ZQ also generated similar
amounts of Zn(ZQ)(2), it was concluded that this species had formed through
direct ligand substitution in which ZQ had successfully competed for protein
bound Zn(2+). Parallel studies with the model Zn-proteins, alcohol dehydrogenase
(ADH), and alkaline phosphatase (AP) revealed a similar picture of reactivity:
ZQ(ACID) (Zinquin acid, (2-methyl-8-p-toluenesulfonamido-6-quinolyloxy)acetate))
able to bind to one Zn(2+) and extract the other in Zn(2)-ADH, whereas it removed
one Zn(2+) from Zn(2)-AP and did not bind to the other. Zinquin ethyl ester
(ethyl(2-methyl-8-p-toluenesulfonamido-6-quinolyloxy)acetate); ZQ(EE)) bound to
both proteins without sequestering Zn(2+) from either one. In contrast to a
closely related sensor, 6-methoxy-8-p-toluenesulfonamido-quinoline (TSQ), neither
ZQ(ACID) nor ZQ(EE) associated with Zn-carbonic anhydrase. A survey of reactivity
of these sensors with partially fractionated Zn-proteome confirmed that ZQ and
TSQ bind to distinct, overlapping subsets of the Zn-proteome.
PMID- 21905648
TI - A low-energy-gap organic dye for high-performance small-molecule organic solar
cells.
AB - A novel donor-acceptor-acceptor (D-A-A) donor molecule, DTDCTB, in which an
electron-donating ditolylaminothienyl moiety and an electron-withdrawing
dicyanovinylene moiety are bridged by another electron-accepting 2,1,3
benzothiadiazole block, has been synthesized and characterized. A vacuum
deposited organic solar cell employing DTDCTB combined with the electron acceptor
C(70) achieved a record-high power conversion efficiency (PCE) of 5.81%. The
respectable PCE is attributed to the solar spectral response extending to the
near-IR region and the ultracompact absorption dipole stacking of the DTDCTB thin
film.
PMID- 21905649
TI - On the reversibility of environmental contamination with persistent organic
pollutants.
AB - An understanding of the factors that control the time trends of persistent
organic pollutants (POPs) in the environment is required to evaluate the
effectiveness of emission reductions and to predict future exposure. Using a
regional contaminant fate model, CoZMo-POP 2, and a generic bell-shaped emission
profile, we simulated time trends of hypothetical chemicals with a range of POP
like partitioning and degradation properties in different compartments of a
generic warm temperate environment, with the objective of identifying the
processes that may prevent the reversibility of environmental contamination with
POPs after the end of primary emissions. Evaporation from soil and water can
prevent complete reversibility of POP contamination of the atmosphere after the
end of emissions. However, under the selected conditions, only for organic
chemicals within a narrow range of volatility, that is, a logarithm of the
octanol air equilibrium partition coefficient between 7 and 8, and with
atmospheric degradation half-lives in excess of a few month can evaporation from
environmental reservoirs sustain atmospheric levels that are within an order of
magnitude of those resulting from primary emissions. HCB and alpha-HCH fulfill
these criteria, which may explain, why their atmospheric concentrations have
remained relatively high decades after their main primary emissions have been
largely eliminated. Soil-to-water transfer is found responsible for the lack of
reversibility of POP contamination of the aqueous environment after the end of
emissions, whereas reversal of water-sediment exchange, although possible, is
unlikely to contribute significantly. Differences in the reversibility of
contamination in air and water suggests the possibility of changes in the
relative importance of various exposure pathways after the end of primary
emissions, namely an increase in the importance of the aquatic food chain
relative to the agricultural one, especially if the former has a benthic
component. Since simulated time trends were strongly dependent on degradation
half-lives, partitioning properties and selected environmental input parameters,
it should not be surprising, that different field studies often generate highly
divergent time trends.
PMID- 21905650
TI - Synthesis and antifungal activity of natural product-based 6-alkyl-2,3,4,5
tetrahydropyridines.
AB - Seven 6-alkyl-2,3,4,5-tetrahydropyridines (5a-5g) that mimic the natural
piperideines that were recently identified in fire ant venom have been
synthesized. Compounds 5c-5g with C-6 alkyl chain lengths from C14 to C18 showed
varying degrees of antifungal activities, with 5e (6-hexadecyl-2,3,4,5
tetrahydropyridine) and 5f (6-heptadecyl-2,3,4,5-tetrahydropyridine) being the
most active. Compound 5e exhibited minimum fungicidal concentrations of 3.8,
15.0, 7.5, and 7.5 MUg/mL against Cryptococcus neoformans, Candida albicans,
Candida glabrata, and Candida krusei, respectively. The antifungal activities of
these compounds appear to be associated with the C-6 side chain length. This
study represents the first effort to evaluate antifungal activities of synthetic
analogues of the newly identified fire ant venom alkaloids.
PMID- 21905651
TI - Unusual catalytic effect of the two-dimensional molecular space with regular
triphenylphosphine groups.
AB - A novel organic-inorganic hybrid 2D molecular space with regular
triphenylphosphine groups (triphenylphosphineamidephenylsilica, PPh(3)APhS) was
successfully synthesized through grafting triphenylphosphine groups in the 2D
structure of layered aminophenylsilica dodecyl sulfate (APhTMS-DS), which was
developed in our previous research, with regular ammonium groups. The 2D
structures were kept after the grafting reaction of triphenylphosphine groups in
PPh(3)APhS. The catalytic potentials of 2D molecular space with regular
triphenylphosphine groups were investigated. An unusual catalytic effect was
found in a carbon-phosphorus ylide reaction. The PPh(3)-catalyzed reaction of
modified allylic compounds, including bromides and chlorides with tropone yielded
a [3 + 6] annulation product. However, an unusual [8 + 3] cycloadduct was
obtained in the reaction of modified allylic compounds, including bromides and
chlorides with tropone catalyzed by PPh(3)APhS. Otherwise, the stable catalytic
intermediate was successfully separated, and the reaction activity of the
catalytic intermediate was confirmed in the reaction of modified allylic
compounds with tropone catalyzed by PPh(3)APhS. This research is the first
successful example of directly influencing catalytic reaction processes and
product structures by utilizing the chemical and geometrical limits of 2D
molecular spaces with regular catalyst molecules and affords a novel method for
controlling catalytic reaction processes and catalyst design.
PMID- 21905652
TI - Development of active polyvinyl alcohol/beta-cyclodextrin composites to scavenge
undesirable food components.
AB - Active food packaging systems based on the incorporation of agents into polymeric
package walls are being designed to purposely release or retain compounds to
maintain or even increase food quality. The objective of this work was to develop
polyvinyl alcohol (PVOH)/beta-cyclodextrin (betaCD) composite films that can be
applied to reduce undesirable component content such as cholesterol in foods
through active retention of the compounds in the package walls during storage.
Cyclodextrins were added to PVOH in a proportion of 1:1 and cross-linked with
glyoxal under acidic media to reduce its water-soluble character. Three different
cross-linking procedures were used: cross-linking of the polymer/polysaccharide
mixture in solution and film casting, PVOH. betaCD*; cross-linking of the
polymer, addition of betaCD, and casting of the mixture, PVOH*.CD; and casting of
a PVOH film, addition of a betaCD/glyoxal solution onto the film, and cross
linking during drying, PVOH.CD*. Characterization studies showed that the
PVOH*.CD and PVOH.CD* films provided the best physical characteristics with the
lowest release values and the highest barrier properties. As a potential
application, materials were tested as potential cholesterol-scavenging films.
There was a significant reduction in the cholesterol concentration in milk
samples when they were exposed to the materials developed.
PMID- 21905654
TI - Phenolic compounds in hawthorn (Crataegus grayana) fruits and leaves and changes
during fruit ripening.
AB - Phenolics in the fruits and leaves of Crataegus grayana were identified by HPLC
UV-ESI-MS. The contents of these compounds and their changes during autumn were
also analyzed. Epicatechin [1-7 mg/g dry mass (DM) in fruits and 1-10 mg/g DM in
leaves), procyanidins B2 (2-4 and 1-8 mg/g DM) and C1 (2-4 and 1-8 mg/g DM),
hyperoside (0.5-1 and 2-11 mg/g DM), and a quercetin-pentoside (0.3-0.5 and 2-6
mg/g DM) were the major phenolics in both fruits and leaves. C-Glycosyl flavones
were present in leaves (2-5 mg/g DM), whereas only trace levels were found in
fruits. Ideain and 5-O-caffeoylquinic acid were found only in fruits. An
additional 11 phenolics were identified/tentatively identified. Total phenolic
contents reached highest levels by the end of August in fruits and by the end of
September in leaves. The compositional profiles of phenolics in fruits and leaves
of C. grayana were different from those of other Crataegus species.
PMID- 21905653
TI - Natural tubule clay template synthesis of silver nanorods for antibacterial
composite coating.
AB - Halloysite is naturally available clay mineral with hollow cylindrical geometry
and it is available in thousands of tons. Silver nanorods were synthesized inside
the lumen of the halloysite by thermal decomposition of the silver acetate, which
was loaded into halloysite from an aqueous solution by vacuum cycling. Images of
individual ca. 15 nm diameter silver nanorods and nanoparticles were observed
with TEM. The presence of silver inside the tubes was also verified with STEM-EDX
elemental mapping. Nanorods had crystalline nature with [111] axis oriented ~68
degrees from the halloysite tubule main axis. The composite of silver nanorods
encased in clay tubes with the polymer paint was prepared, and the coating
antimicrobial activity combined with tensile strength increase was demonstrated.
Coating containing up 5% silver loaded halloysite did not change color after
light exposure contrary to the sample prepared with loading with unshelled silver
nanoparticles. Halloysite tube templates have a potential for scalable
manufacturing of ceramic encapsulated metal nanorods for composite materials.
PMID- 21905655
TI - Capillary electrophoresis of free fatty acids by indirect ultraviolet detection:
application to the classification of vegetable oils according to their botanical
origin.
AB - A method for the determination of fatty acids in vegetable oils by capillary
electrophoresis with indirect UV-vis detection has been developed. The separation
of fatty acids was optimized in terms of Brij surfactant nature and concentration
and organic modifier (2-propanol) percentage. The optimal background electrolyte
consisted of 10 mM p-hydroxybenzoate, 5 mM Tris at pH 8.8, 80 mM Brij 98, 40%
acetonitrile, and 10% 2-propanol. Under these conditions, vegetable oils from
five botanical origins (avocado, corn, extra virgin olive, hazelnut, and soybean)
were analyzed and the fatty acid contents established. Linear discriminant
analysis (LDA) models were constructed using fatty acid peak areas as predictors.
An excellent resolution among all category pairs was obtained, and all samples
were correctly classified with assignment probabilities of >95%.
PMID- 21905656
TI - Dynamic multicrop model to characterize impacts of pesticides in food.
AB - A new dynamic plant uptake model is presented to characterize health impacts of
pesticides applied to food crops, based on a flexible set of interconnected
compartments. We assess six crops covering a large fraction of the worldwide
consumption. Model estimates correspond well with observed pesticide residues for
12 substance-crop combinations, showing residual errors between a factor 1.5 and
19. Human intake fractions, effect and characterization factors are provided for
use in life cycle impact assessment for 726 substance-crop combinations and
different application times. Intake fractions typically range from 10-2 to 10-8
kg(intake) kg(applied)-1. Human health impacts vary up to 9 orders of magnitude
between crops and 10 orders of magnitude between pesticides, stressing the
importance of considering interactions between specific crop-environments and
pesticides. Time between application and harvest, degradation half-life in plants
and residence time in soil are driving the evolution of pesticide masses.We
demonstrate that toxicity potentials can be reduced up to 99% by defining
adequate pesticide substitutions. Overall, leafy vegetables only contribute to 2%
of the vegetal consumption, but due to later application times and higher intake
fractions may nevertheless lead to impacts comparable or even higher than via the
larger amount of ingested cereals.
PMID- 21905657
TI - Structural characterization of guaiacyl-rich lignins in flax (Linum
usitatissimum) fibers and shives.
AB - The structural characteristics of the lignins from flax (Linum usitatissimum)
fibers and shives were studied. Significant differences in the content and
composition of the lignin from both parts were observed. The lignin contents were
3.8% in the fibers and 29.0% in the shives. Analysis by Py-GC/MS indicated a
H:G:S molar ratio of 13:72:15 in the milled wood lignin (MWL) isolated from flax
fibers and a molar ratio of 5:87:8 in the MWL isolated from flax shives. In
addition, 2D-NMR showed a predominance of beta-O-4' aryl ether linkages, followed
by beta-5' phenylcoumaran and beta-beta' resinol-type linkages in both MWLs, with
a higher content of condensed linkages in flax shives. Thioacidolysis (followed
by Raney nickel desulfurization) gave further information on the lignin units
involved in the different linkages and confirmed the enrichment of G units. The
thioacidolysis dimers released were similar from both lignins, with a
predominance of the beta-5' followed by beta-1' and 5-5' structures.
PMID- 21905658
TI - Hydrothermal etching assisted crystallization: a facile route to functional yolk
shell titanate microspheres with ultrathin nanosheets-assembled double shells.
AB - We report a facile "hydrothermal etching assisted crystallization" route to
synthesize Fe(3)O(4)@titanate yolk-shell microspheres with ultrathin nanosheets
assembled double-shell structure. The as-prepared microspheres possess a uniform
size, tailored shell structure, good structural stability, versatile ion-exchange
capability, high surface area, large magnetization, and exhibit a remarkable
catalytic performance.
PMID- 21905659
TI - Novel heterotetranuclear V2Mo2 or V2W2 complexes with 4,4'-di-tert-butyl-2,2'
bipyridine: syntheses, crystal structures, and catalytic activities.
AB - Two novel heterotetranuclear complexes [V(2)O(2)(MU-MeO)(2)(MU-WO(4))(2)(4,4'
(t)Bubpy)(2)] (1) and [V(2)O(2)(MU-MeO)(2)(MU-MoO(4))(2)(4,4'-(t)Bubpy)(2)] (2)
were synthesized, and the solid state structures of these complexes were revealed
by single crystal X-ray crystallography. The heterotetranuclear complexes 1 and 2
are centrosymmetric building blocks, considered as consisting of two [VO(4,4'
(t)Bubpy)](3+) units bridged by MU-MO(4)(2-) (M = W or Mo) anions connected with
methoxy groups. Furthermore, catalytic activities of 1 and 2 in the alcohol
oxidation with hydrogen peroxide as terminal oxidants in water as solvent were
investigated.
PMID- 21905660
TI - Biomagnification of perfluorinated compounds in a remote terrestrial food chain:
Lichen-Caribou-wolf.
AB - The biomagnification behavior of perfluorinated carboxylates (PFCAs) and
perfluorinated sulfonates (PFSAs) was studied in terrestrial food webs consisting
of lichen and plants, caribou, and wolves from two remote northern areas in
Canada. Six PFCAs with eight to thirteen carbons and perfluorooctane sulfonate
(PFOS) were regularly detected in all species. Lowest concentrations were found
for vegetation (0.02-0.26 ng/g wet weight (ww) sum (Sigma) PFCAs and 0.002-0.038
ng/g ww PFOS). Wolf liver showed highest concentrations (10-18 ng/g ww SigmaPFCAs
and 1.4-1.7 ng/g ww PFOS) followed by caribou liver (6-10 ng/g ww SigmaPFCAs and
0.7-2.2 ng/g ww PFOS). Biomagnification factors were highly tissue and substance
specific. Therefore, individual whole body concentrations were calculated and
used for biomagnification and trophic magnification assessment. Trophic
magnification factors (TMF) were highest for PFCAs with nine to eleven carbons
(TMF = 2.2-2.9) as well as PFOS (TMF = 2.3-2.6) and all but perfluorooctanoate
were significantly biomagnified. The relationship of PFCA and PFSA TMFs with the
chain length in the terrestrial food chain was similar to previous studies for
Arctic marine mammal food web, but the absolute values of TMFs were around two
times lower for this study than in the marine environment. This study
demonstrates that challenges remain for applying the TMF approach to studies of
biomagnification of PFCAs and PFSAs, especially for terrestrial animals.
PMID- 21905661
TI - Measurements of isoprene-derived organosulfates in ambient aerosols by aerosol
time-of-flight mass spectrometry-part 2: temporal variability and formation
mechanisms.
AB - Organosulfate species have recently gained attention for their potentially
significant contribution to secondary organic aerosol (SOA); however, their
temporal behavior in the ambient atmosphere has not been probed in detail. In
this work, organosulfates derived from isoprene were observed in single particle
mass spectra in Atlanta, GA during the 2002 Aerosol Nucleation and
Characterization Experiment (ANARChE) and the 2008 August Mini-Intensive Gas and
Aerosol Study (AMIGAS). Real-time measurements revealed that the highest
organosulfate concentrations occurred at night under a stable boundary layer,
suggesting gas-to-particle partitioning and subsequent aqueous-phase processing
of the organic precursors played key roles in their formation. Further analysis
of the diurnal profile suggests possible contributions from multiple production
mechanisms, including acid-catalysis and radical-initiation. This work highlights
the potential for additional SOA formation pathways in biogenically influenced
urban regions to enhance the organic aerosol burden.
PMID- 21905662
TI - Sonoproduction of liposomes and protein particles as templates for delivery
purposes.
AB - The development of nano and micro delivery systems (DS), so small in size, is
growing in importance, such as in drug targeting. In an era where nano is the new
trend, micro and nano materials are in the forefront of progress. These systems
can be produced by a diversity of methods. However, the use of high-intensity
ultrasound offers an easy and versatile tool for nano- and microstructured
materials that are often unavailable by conventional methods. Similarly to the
synthesis methods that can be used, several starting materials can be applied to
produce particulate systems. In this review, the recent strategic development of
DS is discussed with emphasis on liposomes and polymer-based, specially protein
based, nanomedicine platforms for drug delivery. Among the variety of
applications that materials in the particulate form can have, the control release
of drugs is probably the most prominent one, as these have been in the forefront
line of interest for biomedical applications. The basic concepts of sonochemical
process pertaining to DS are summarized as well as the role of sonochemical
procedure to their preparation. The different applications of these systems wrap
up this review.
PMID- 21905663
TI - Acid-activatable prodrug nanogels for efficient intracellular doxorubicin
release.
AB - Endosomal pH-activatable doxorubicin (DOX) prodrug nanogels were designed,
prepared, and investigated for triggered intracellular drug release in cancer
cells. DOX prodrugs with drug grafting contents of 3.9, 5.7, and 11.7 wt %
(denoted as prodrugs 1, 2, and 3, respectively) were conveniently obtained by
sequential treatment of poly(ethylene glycol)-b-poly(2-hydroxyethyl methacrylate
co-ethyl glycinate methacrylamide) (PEG-b-P(HEMA-co-EGMA)) copolymers with
hydrazine and doxorubicin hydrochloride. Notably, prodrugs 1, 2, and 3 formed
monodispersed nanogels with average sizes of 114.4, 75.3, and 66.3 nm,
respectively, in phosphate buffer (PB, 10 mM, pH 7.4). The in vitro release
results showed that DOX was released rapidly and nearly quantitatively from DOX
prodrug nanogels at endosomal pH and 37 degrees C in 48 h, whereas only a minor
amount (ca. 20% or less) of drug was released at pH 7.4 under otherwise the same
conditions. Confocal laser scanning microscope (CLSM) observations revealed that
DOX prodrug nanogels delivered and released DOX into the cytosols as well as cell
nuclei of RAW 264.7 cells following 24 h incubation. MTT assays demonstrated that
prodrug 3 had pronounced cytotoxic effects to tumor cells following 72 h
incubation with IC(50) data determined to be 2.0 and 3.4 MUg DOX equiv/mL for RAW
264.7 and MCF-7 tumor cells, respectively. The corresponding polymer carrier, PEG
b-P(HEMA-co-GMA-hydrazide), was shown to be nontoxic up to a tested concentration
of 1.32 mg/mL. These endosomal pH-activatable DOX prodrug nanogels uniquely
combining features of water-soluble macromolecular prodrugs and nanogels offer a
promising platform for targeted cancer therapy.
PMID- 21905664
TI - Gold-catalyzed 1,2-difunctionalizations of aminoalkynes using only N- and O
containing oxidants.
AB - We report two viable routes for the 1,2-difunctionalization of aminoalkynes using
only oxidants. In the presence of a gold catalyst, nitrones enable the
oxoamination of aminoalkynes 1 to form 2-aminoamides 2. With a suitable gold
catalyst, nitrosobenzenes implement an alkyne/nitroso metathesis of the same
substrates to give 2-oxoiminylamides 3. These two novel oxidations also provide
1,2-aminoalcohols with opposite regioselectivity via NaBH(4) reduction in situ.
PMID- 21905666
TI - Atomic-scale visualization of initial growth of homoepitaxial SrTiO3 thin film on
an atomically ordered substrate.
AB - The initial homoepitaxial growth of SrTiO(3) on a (?13 * ?13)-R33.7 degrees
SrTiO(3)(001) substrate surface, which can be prepared under oxide growth
conditions, is atomically resolved by scanning tunneling microscopy. The
identical (?13 * ?13) atomic structure is clearly visualized on the deposited
SrTiO(3) film surface as well as on the substrate. This result indicates the
transfer of the topmost Ti-rich (?13 * ?13) structure to the film surface and
atomic-scale coherent epitaxy at the film/substrate interface. Such atomically
ordered SrTiO(3) substrates can be applied to the fabrication of atom-by-atom
controlled oxide epitaxial films and heterostructures.
PMID- 21905665
TI - Thermodynamic analysis of protein-ligand interactions in complex biological
mixtures using a shotgun proteomics approach.
AB - Shotgun proteomics protocols are widely used for the identification and/or
quantitation of proteins in complex biological samples. Described here is a
shotgun proteomics protocol that can be used to identify the protein targets of
biologically relevant ligands in complex protein mixtures. The protocol combines
a quantitative proteomics platform with a covalent modification strategy, termed
Stability of Proteins from Rates of Oxidation (SPROX), which utilizes the
denaturant dependence of hydrogen peroxide-mediated oxidation of methionine side
chains in proteins to assess the thermodynamic properties of proteins and protein
ligand complexes. The quantitative proteomics platform involves the use of
isobaric mass tags and a methionine-containing peptide enhancement strategy. The
protocol is evaluated in a ligand binding experiment designed to identify the
proteins in a yeast cell lysate that bind the well-known enzyme cofactor, beta
nicotinamide adenine dinucleotide (NAD+). The protocol is also used to
investigate the protein targets of resveratrol, a biologically active ligand with
less well-understood protein targets. A known protein target of resveratrol,
cytosolic aldehyde dehydrogenase, was identified in addition to six other
potential new proteins targets including four that are associated with the
protein translation machinery, which has previously been implicated as a target
of resveratrol.
PMID- 21905667
TI - Enhancing the intestinal membrane permeability of zanamivir: a carrier mediated
prodrug approach.
AB - The purpose of this study was to improve the membrane permeability and oral
absorption of the poorly permeable anti-influenza agent, zanamivir. The poor oral
bioavailability is attributed to the high polarity (cLogP ~ -5) resulting from
the polar and zwitterionic nature of zanamivir. In order to improve the
permeability of zanamivir, prodrugs with amino acids were developed to target the
intestinal membrane transporter, hPepT1. Several acyloxy ester prodrugs of
zanamivir conjugated with amino acids were synthesized and characterized. The
prodrugs were evaluated for their chemical stability in buffers at various pHs
and for their transport and tissue activation by enzymes. The acyloxy ester
prodrugs of zanamivir were shown to competitively inhibit [(3)H]Gly-Sar uptake in
Caco-2 cells (IC(50): 1.19 +/- 0.33 mM for L-valyl prodrug of zanamivir). The L
valyl prodrug of zanamivir exhibited ~3-fold higher uptake in transfected
HeLa/hPepT1 cells compared to wild type HeLa cells, suggesting, at least in part,
carrier mediated transport by the hPepT1 transporter. Further, enhanced
transcellular permeability of prodrugs across Caco-2 monolayer compared to the
parent drug (P(app) = 2.24 * 10(-6) +/- 1.33 * 10(-7) cm/s for L-valyl prodrug of
zanamivir), with only parent zanamivir appearing in the receiver compartment,
indicates that the prodrugs exhibited both enhanced transport and activation in
intestinal mucosal cells. Most significantly, several of these prodrugs exhibited
high intestinal jejunal membrane permeability, similar to metoprolol, in the in
situ rat intestinal perfusion system, a system highly correlated with human
jejunal permeability. In summary, this mechanistic targeted prodrug strategy, to
enhance oral absorption via intestinal membrane carriers such as hPepT1, followed
by activation to parent drug (active pharmaceutical ingredient or API) in the
mucosal cell, significantly improves the intestinal epithelial cell permeability
of zanamivir and has the potential to provide the high oral bioavailability
necessary for oral zanamivir therapy.
PMID- 21905668
TI - Controlled clockwise-counterclockwise motion of the ring-shaped microtubules
assembly.
AB - The microtubule (MT)-kinesin system has been proposed as the building block of
biomolecular motor based artificial biomachines. Considerable efforts have been
devoted to integrate this system that produced a variety of ordered structures
including the ring-shaped MT assembly which is being considered as a promising
candidate for the further development of the biomachines. However, lack of proper
knowledge that might help tune the direction of motion of ring-shaped microtubule
assembly from counterclockwise to clockwise direction, and vice versa,
significantly restricted their potential applications. We report our success in
controlling the direction of rotational motion of ring-shaped MT assembly by
altering the preparation conditions of microtubules. The change in the direction
of rotation of MT rings could be interpreted in terms of the accompanied
structural rearrangement of the MT lattice. For achieving handedness-regulated
efficient biomachines having tunable asymmetric property, our study will be
significantly directive.
PMID- 21905669
TI - Discovery of isoxazole analogues of sazetidine-A as selective alpha4beta2
nicotinic acetylcholine receptor partial agonists for the treatment of
depression.
AB - Depression, a common neurological condition, is one of the leading causes of
disability and suicide worldwide. Standard treatment, targeting monoamine
transporters selective for the neurotransmitters serotonin and noradrenaline, is
not able to help many patients that are poor responders. This study advances the
development of sazetidine-A analogues that interact with alpha4beta2 nicotinic
acetylcholine receptors (nAChRs) as partial agonists and that possess favorable
antidepressant profiles. The resulting compounds that are highly selective for
the alpha4beta2 subtype of nAChR over alpha3beta4-nAChRs are partial agonists at
the alpha4beta2 subtype and have excellent antidepressant behavioral profiles as
measured by the mouse forced swim test. Preliminary absorption, distribution,
metabolism, excretion, and toxicity (ADMET) studies for one promising ligand
revealed an excellent plasma protein binding (PPB) profile, low CYP450-related
metabolism, and low cardiovascular toxicity, suggesting it is a promising lead as
well as a drug candidate to be advanced through the drug discovery pipeline.
PMID- 21905670
TI - Predictive models for cytochrome p450 isozymes based on quantitative high
throughput screening data.
AB - The human cytochrome P450 (CYP450) isozymes are the most important enzymes in the
body to metabolize many endogenous and exogenous substances including
environmental toxins and therapeutic drugs. Any unnecessary interactions between
a small molecule and CYP450 isozymes may raise a potential to disarm the
integrity of the protection. Accurately predicting the potential interactions
between a small molecule and CYP450 isozymes is highly desirable for assessing
the metabolic stability and toxicity of the molecule. The National Institutes of
Health Chemical Genomics Center (NCGC) has screened a collection of over 17,000
compounds against the five major isozymes of CYP450 (1A2, 2C9, 2C19, 2D6, and
3A4) in a quantitative high throughput screening (qHTS) format. In this study, we
developed support vector classification (SVC) models for these five isozymes
using a set of customized generic atom types. The CYP450 data sets were randomly
split into equal-sized training and test sets. The optimized SVC models exhibited
high predictive power against the test sets for all five CYP450 isozymes with
accuracies of 0.93, 0.89, 0.89, 0.85, and 0.87 for 1A2, 2C9, 2C19, 2D6, and 3A4,
respectively, as measured by the area under the receiver operating characteristic
(ROC) curves. The important atom types and features extracted from the five
models are consistent with the structural preferences for different CYP450
substrates reported in the literature. We also identified novel features with
significant discerning power to separate CYP450 actives from inactives. These
models can be useful in prioritizing compounds in a drug discovery pipeline or
recognizing the toxic potential of environmental chemicals.
PMID- 21905671
TI - Quinoxalino[2,3-c]cinnolines and their 5-N-oxide: alkoxylation of methyl
substituted quinoxalino[2,3-c]cinnolines to acetals and orthoesters.
AB - We report the alkoxylation of methyl-substituted quinoxalino[2,3-c]cinnolines to
give acetals and orthoesters in high yields. Routes to the precursors of this
alkoxylation reaction as well as other quinoxalino[2,3-c]cinnoline and their 5
oxide derivatives are reported. Most of these quinoxalino[2,3-c]cinnolines were
prepared by cyclization of the corresponding 2-amino-3-(2
nitrophenyl)quinoxaline, which, in turn, result from an unusual Beirut reaction
from benzofurazan oxides plus 2-nitrobenzylcyanides. Mechanistic explanations for
these intriguing reactions are presented.
PMID- 21905672
TI - Bolaform superamphiphile based on a dynamic covalent bond and its self-assembly
in water.
AB - We have employed a dynamic covalent bond to fabricate a bolaform superamphiphile,
which can be used as building blocks for controlled assembly and disassembly. In
alkaline environment, one building block bearing a benzoic aldehyde group can
react with the other building block bearing an amino group to form a bolaform
superamphiphile. It is found that the bolaform superamphiphiles can self-assemble
in water to form micellar aggregates. When the pH is tuned down to slightly
acidic values, the benzoic imine bond can be hydrolyzed, leading to the
dissociation of the superamphiphile. The micellar aggregates will also
disassemble, and the loaded guest molecules are released subsequently. This line
of research has enriched the family of bolaform amphiphiles, and the resulting
assemblies may find application in the field of controlled and targetable drug
delivery in a biological environment.
PMID- 21905673
TI - Selective derivatization of cytosine and methylcytosine moieties with 2
bromoacetophenone for submicrogram DNA methylation analysis by reversed phase
HPLC with spectrofluorimetric detection.
AB - In eukaryotes, actual DNA methylation patterns provide biologically important
information, for which both, genome-wide and locus-specific methylation at
cytosine residues have been extensively studied. The original contribution of
this work relies on the selective derivatization of cytosine moieties with 2
bromoacetophenone for the determination of global DNA methylation by reversed
phase high performance liquid chromatography with spectrofluorimetric detection.
The important features of the proposed procedure are as follows: (1) no need for
the elimination of RNA, (2) detection limits for cytidine, 2'-deoxycytidine, 5
methylcytidine, and 5-methyl-2'-deoxycytidine in the range of 14.4-22.7 fmol, (3)
feasibility for the detection of 0.06% of methylation in a low amount of DNA (80
ng), (4) potential viability for the evaluation of RNA methylation, and (5)
relative simplicity in terms of analytical instrumentation and personnel
training. The results obtained in the analysis of salmon testes DNA and nucleic
acids from plant, human blood, and earthworms demonstrate the utility of the
proposed procedure in biological studies and, in particular, for evaluation of
the potential effect of environmental factors on actual DNA methylation in
different types of living organisms.
PMID- 21905674
TI - Cu2+-induced micellar charge selective fluorescence response of acridine orange:
effect of micellar charge, pH, and mechanism.
AB - Photophysical properties of cationic Acridine Orange (AO) have been studied in
different micellar environments [anionic SDS (sodium dodecyl sulfate), nonionic
TX (TritonX-100), and cationic CTAB (cetyl trimethyl ammonium bromide)] at
different pH, in the presence of a metal ion (Cu(2+)). At pH ~ 8, addition of
Cu(2+) results in AO fluorescence quenching in the presence of SDS micelle,
enhancement of the same in the presence of TX micelle, and remaining unaltered in
the presence of CTAB micelle. At pH ~ 2, addition of Cu(2+) results in AO
fluorescence quenching only in the presence of SDS micelle, and it remains mostly
unaffected in the presence of TX and CTAB. Availability of Cu(2+) toward AO and
binding of Cu(2+) with AO at the charged micellar interface are responsible for
this pH-dependent Cu(2+)-mediated micellar charge selective fluorescence pattern.
PMID- 21905675
TI - Electrospray ionization tandem mass spectrometry analysis of the reactivity of
structurally related bromo-methyl-benzoquinones toward oligonucleotides.
AB - We report the use of electrospray ionization tandem mass spectrometry (ESI-MS/MS)
as a tool for rapid screening of structurally related chemicals toward
oligonucleotides using the binding of five bromobenzoquinones with single
stranded (ss) and double-stranded (ds) oligonucleotides (ODNs) as a model. We
found that these compounds interact differentially with oligonucleotides
depending on the extent of their bromination and methylation. Three
dibromobenzoquinones, 2,6-dibromo-1,4-benzoquinone (2,6-DBBQ), 2,5-dibromo-1,4
benzoquinone (2,5-DBBQ), and 2,5-dimethyl-3,6-dibromo-1,4-benzoquinone (DMDBBQ),
bound to ssODN to form 1:1 adducts, and the binding constant of DMDBBQ bound to
ssODN was 100-fold lower than those of 2,6-DBBQ and 2,5-DBBQ to ssODN, indicating
that methyl groups hindered interactions of the bromoquinones with ODNs.
Collision-induced dissociation (CID) of the 1:1 and 1:2 adducts of ODN with 2,6
DBBQ and 2,5-DBBQ demonstrated neutral loss of DBBQ and charge separations.
Incubation of two tetrabromobenzoquinones (TBBQ), 2,3,5,6-tetrabromo-1,4
benzoquinone and 3,4,5,6-tetrabromo-1,2-benzoquinone, with the same ODNs did not
form any adducts of TBBQ with ssODN or dsODN; however, bromide-ODNs were
detected. Fragmentation of the bromide-ODN adducts showed loss of the HBr
molecule, supporting the presence of bromide on ODNs. High-resolution MS and
MS/MS analysis of the mixtures of dinucleotides (AA, GG, CC, and TT) and TBBQ
confirmed the presence of bromide on the dinucleotides, supporting the transfer
of bromide to ODNs through interaction with TBBQ. This study presents evidence of
differential interactions of structurally related bromo and methyl-benzoquinones
with oligonucleotides and demonstrates a potential application of ESI-MS/MS
analysis of chemical interactions with ODN for rapid screening of the reactivity
of other structurally related environmental contaminants toward DNA.
PMID- 21905676
TI - Oligomeric structure of ExbB and ExbB-ExbD isolated from Escherichia coli as
revealed by LILBID mass spectrometry.
AB - Energy-coupled transporters in the outer membrane of Escherichia coli and other
Gram-negative bacteria allow the entry of scarce substrates, toxic proteins, and
bacterial viruses (phages) into the cells. The required energy is derived from
the proton-motive force of the cytoplasmic membrane, which is coupled to the
outer membrane via the ExbB-ExbD-TonB protein complex. Knowledge of the structure
of this complex is required to elucidate the mechanisms of energy harvesting in
the cytoplasmic membrane and energy transfer to the outer membrane transporters.
Here we solubilized an ExbB oligomer and an ExbB-ExbD subcomplex from the
cytoplasmic membrane with the detergent undecyl maltoside. Using laser-induced
liquid bead ion desorption mass spectrometry (LILBID-MS), we determined at
moderate desorption laser energies the oligomeric structure of ExbB to be mainly
hexameric (ExbB(6)), with minor amounts of trimeric (ExbB(3)), dimeric (ExbB(2)),
and monomeric (ExbB(1)) oligomers. Under the same conditions ExbB-ExbD formed a
subcomplex consisting of ExbB(6)ExbD(1), with a minor amount of ExbB(5)ExbD(1).
At higher desorption laser intensities, ExbB(1) and ExbD(1) and traces of
ExbB(3)ExbD(1), ExbB(2)ExbD(1), ExbB(1)ExbD(1), ExbB(3), and ExbB(2) were
observed. Since the ExbB(6) complex and the ExbB(6)ExbD(1) complex remained
stable during solubilization and subsequent chromatographic purification on
nickel-nitrilotriacetate agarose, Strep-Tactin, and Superdex 200, and during
native blue gel electrophoresis, we concluded that ExbB(6) and ExbB(6)ExbD(1) are
subcomplexes on which the final complex including TonB is assembled.
PMID- 21905678
TI - Dielectric and thermal effects on the optical properties of natural dyes: a case
study on solvated cyanin.
AB - The optical properties of the flavylium state of the cyanin dye are simulated
numerically by combining Car-Parrinello molecular dynamics and linear-response
time-dependent density functional theory calculations. The spectrum of the dye
calculated in the gas phase is characterized by two peaks in the yellow and in
the blue (green and violet), using a GGA-PBE (hybrid-B3LYP) DFT functional, which
would bring about a greenish (bright orange) color incompatible with the dark
purple hue observed in nature. Describing the effect of the water solvent through
a polarizable continuum model does not modify qualitatively the resulting
picture. An explicit simulation of both solvent and thermal effects using ab
initio molecular dynamics results instead in a spectrum that is compatible with
the observed coloration. This result is analyzed in terms of the spectroscopic
effects of the molecular distortions induced by thermal fluctuations.
PMID- 21905679
TI - Macroporous polymers obtained in highly concentrated emulsions stabilized solely
with magnetic nanoparticles.
AB - Magnetic macroporous polymers have been successfully prepared using Pickering
high internal phase ratio emulsions (HIPEs) as templates. To stabilize the HIPEs,
two types of oleic acid-modified iron oxide nanoparticles (NPs) were used as
emulsifiers. The results revealed that partially hydrophobic NPs could stabilize
W/O HIPEs with an internal phase above 90%. Depending upon the oleic acid
content, the nanoparticles showed either an arrangement at the oil-water
interface or a partial dispersion into the oil phase. Such different abilities to
migrate to the interface had significant effects on the maximum internal phase
fraction achievable and the droplet size distribution of the emulsions. Highly
macroporous composite polymers were obtained by polymerization in the external
phase of these emulsions. The density, porosity, pore morphology and magnetic
properties were characterized as a function of the oleic acid content,
concentration of NPs, and internal phase volume of the initial HIPEs. SEM imaging
indicated that a close-cell structure was obtained. Furthermore, the composite
materials showed superparamagnetic behavior and a relatively high magnetic
moment.
PMID- 21905680
TI - Deconstruction of the alpha4beta2 nicotinic acetylcholine receptor positive
allosteric modulator desformylflustrabromine.
AB - Desformylflustrabromine (dFBr; 1), perhaps the first selective positive
allosteric modulator of alpha4beta2 neuronal nicotinic acetylcholine (nACh)
receptors, was deconstructed to determine which structural features contribute to
its actions on receptors expressed in Xenopus ooycytes using two-electrode
voltage clamp techniques. Although the intact structure of 1 was found to be
optimal, several deconstructed analogs retained activity. Neither the 6-bromo
substituent nor the entire 2-position chain is required for activity. In
particular, reduction of the olefinic side chain of 1, as seen with 6, not only
resulted in retention of activity/potency but in enhanced selectivity for
alpha4beta2 versus alpha7 nACh receptors. Pharmacophoric features for the
allosteric modulation of alpha4beta2 nACh receptors by 1 were identified.
PMID- 21905681
TI - Fluorescent properties and conformational preferences of C-linked phenolic-DNA
adducts.
AB - Phenolic toxins and mutagenic diazoquinones generate C-linked adducts at the C8
site of 2'-deoxyguanosine (dG) through the intermediacy of radical species. We
have previously reported the site-specific incorporation of these adducts into
oligonucleotides using a postsynthetic palladium-catalyzed cross-coupling
strategy [Omumi (2011 ) J. Am. Chem. Soc. 133 , 42 - 50 ]. We report here the
structural impact of these lesions within two decanucleotide sequences containing
either 5'- and 3'-flanking pyrimidines or purines. In the complementary strands,
the base opposite (N) the C-linked adduct was varied to determine the possibility
of mismatch stabilization by the modified nucleobases. The resulting adducted
duplex structures were characterized using UV thermal denaturation studies,
circular dichroism, fluorescence spectroscopy, and molecular dynamics (MD)
simulations. The experimental data showed the C-linked adducts to destabilize the
duplex when base paired with its normal partner C but to increase duplex
stability within a G:G mismatch. The stabilization within the G:G mismatch was
sequence dependent, with flanking purine bases playing a key role in the
stabilizing influence of the adduct. MD simulations showed no large structural
changes to the B form double helix, regardless of the (anti/syn) adduct
preference. Consideration of H-bonding and stacking interactions derived from the
MD simulations together with the thermal melting data and changes in fluorescent
emission of the adducts upon hybridization to the complementary strands implied
that the C-linked phenolic adducts preferentially adopt the syn-conformation
within both duplexes regardless of the opposite base N. Given that biological
outcome in terms of mutagenicity appears to be strongly correlated to the
conformational preference of the corresponding N-linked C8-dG adducts, the
potential biological implications of phenolic C-linked adducts are discussed.
PMID- 21905682
TI - Enantioselective synthesis of dihydropyridinones via NHC-catalyzed aza-Claisen
reaction.
AB - N-Heterocyclic carbene catalyzed aza-Claisen annulations of enals or their alpha'
hydroxyenone surrogates with vinylogous amides afford dihydropyridinones. The
reaction proceeds with a broad range of substrates, and no nitrogen protecting
group is required.
PMID- 21905683
TI - Spectral properties of multiply charged semiconductor quantum dots.
AB - Spectrally resolved fluorescence imaging of single CdSe/ZnS quantum dots (QDs),
charged by electrospray deposition under negative bias has revealed a surprising
net blue shift (~60 meV peak-to-peak) in the distribution of center frequencies
in QD band-edge luminescence. Electrostatic force microscopy (EFM) on the
electrospray QD samples showed a subpopulation of charged QDs with 4.7 +/- 0.7
excess electrons, as well as a significant fraction of uncharged QDs as evidenced
by the distinct cantilever response under bias. We show that the blue-shifted
peak recombination energy can be understood as a first-order electronic
perturbation that affects the band-edge electron- and hole-states differently.
These studies provide new insight into the role of electronic perturbations of QD
luminescence by excess charges.
PMID- 21905684
TI - In situ hydrodynamic lateral force calibration of AFM colloidal probes.
AB - Lateral force microscopy (LFM) is an application of atomic force microscopy (AFM)
to sense lateral forces applied to the AFM probe tip. Recent advances in tissue
engineering and functional biomaterials have shown a need for the surface
characterization of their material and biochemical properties under the
application of lateral forces. LFM equipped with colloidal probes of well-defined
tip geometries has been a natural fit to address these needs but has remained
limited to provide primarily qualitative results. For quantitative measurements,
LFM requires the successful determination of the lateral force or torque
conversion factor of the probe. Usually, force calibration results obtained in
air are used for force measurements in liquids, but refractive index differences
between air and liquids induce changes in the conversion factor. Furthermore, in
the case of biochemically functionalized tips, damage can occur during
calibration because tip-surface contact is inevitable in most calibration
methods. Therefore, a nondestructive in situ lateral force calibration is
desirable for LFM applications in liquids. Here we present an in situ
hydrodynamic lateral force calibration method for AFM colloidal probes. In this
method, the laterally scanned substrate surface generated a creeping Couette
flow, which deformed the probe under torsion. The spherical geometry of the tip
enabled the calculation of tip drag forces, and the lateral torque conversion
factor was calibrated from the lateral voltage change and estimated torque.
Comparisons with lateral force calibrations performed in air show that the
hydrodynamic lateral force calibration method enables quantitative lateral force
measurements in liquid using colloidal probes.
PMID- 21905685
TI - Regional characterization of freshwater Use in LCA: modeling direct impacts on
human health.
AB - Life cycle assessment (LCA) is a methodology that quantifies potential
environmental impacts for comparative purposes in a decision-making context.
While potential environmental impacts from pollutant emissions into water are
characterized in LCA, impacts from water unavailability are not yet fully
quantified. Water use can make the resource unavailable to other users by
displacement or quality degradation. A reduction in water availability to human
users can potentially affect human health. If financial resources are available,
there can be adaptations that may, in turn, shift the environmental burdens to
other life cycle stages and impact categories. This paper proposes a model to
evaluate these potential impacts in an LCA context. It considers the water that
is withdrawn and released, its quality and scarcity in order to evaluate the loss
of functionality associated with water uses. Regionalized results are presented
for impacts on human health for two modeling approaches regarding affected users,
including or not domestic uses, and expressed in disability-adjusted life years
(DALY). A consumption and quality based scarcity indicator is also proposed as a
midpoint. An illustrative example is presented for the production of corrugated
board with different effluents, demonstrating the importance of considering
quality, process effluents and the difference between the modeling approaches.
PMID- 21905686
TI - Exploring the structure-solubility relationship of asphaltene models in toluene,
heptane, and amphiphiles using a molecular dynamic atomistic methodology.
AB - The solubility parameters, delta, of several asphaltene models were calculated by
mean of an atomistic NPT ensemble. Continental and archipelago models were
explored. A relationship between the solubility parameter and the molecule
structure was determined. In general, increase of the fused-rings number forming
the aromatic core and the numbers of heteroatoms such as oxygen, nitrogen, and
sulfur produces an increase of the solubility parameter, while increases of the
numbers and length of the aliphatic chains yield a systematic decrease of this
parameter. Molecules with large total carbon atom number at the tails, n(c), and
small aromatic ring number, n(r), exhibit the biggest values of delta, while
molecules with small n(c) and large n(r) show the smallest delta values. A good
polynomial correlation delta = 5.967(n(r)/n(c)) - 3.062(n(r)/n(c))(2) +
0.507(n(r)/n(c))(3) + 16.593 with R(2) = 0.965 was found. The solubilities of the
asphaltene models in toluene, heptane, and amphiphiles were studied using the
Scatchard-Hildebrand and the Hansen sphere methodologies. Generally, there is a
large affinity between the archipelago model and amphiphiles containing large
aliphatic tails and no aromatic rings, while continental models show high
affinity for amphiphiles containing an aromatic ring and small aliphatic chains.
PMID- 21905687
TI - Nonviral pulmonary delivery of siRNA.
AB - RNA interference (RNAi) is an important part of the cell's defenses against
viruses and other foreign genes. Moreover, the biotechnological exploitation of
RNAi offers therapeutic potential for a range of diseases for which drugs are
currently unavailable. Unfortunately, the small interfering RNAs (siRNAs) that
are central to RNAi in the cytoplasm are readily degradable by ubiquitous
nucleases, are inefficiently targeted to desired organs and cell types, and are
excreted quickly upon systemic injection. As a result, local administration
techniques have been favored over the past few years, resulting in great success
in the treatment of viral infections and other respiratory disorders. Because
there are several advantages of pulmonary delivery over systemic administration,
two of the four siRNA drugs currently in phase II clinical trials are delivered
intranasally or by inhalation. The air-blood barrier, however, has only limited
permeability toward large, hydrophilic biopharmaceuticals such as nucleic acids;
in addition, the lung imposes intrinsic hurdles to efficient siRNA delivery.
Thus, appropriate formulations and delivery devices are very much needed.
Although many different formulations have been optimized for in vitro siRNA
delivery to lung cells, only a few have been reported successful in vivo. In this
Account, we discuss both obstacles to pulmonary siRNA delivery and the success
stories that have been achieved thus far. The optimal pulmonary delivery vehicle
should be neither cytotoxic nor immunogenic, should protect the payload from
degradation by nucleases during the delivery process, and should mediate the
intracellular uptake of siRNA. Further requirements include the improvement of
the pharmacokinetics and lung distribution profiles of siRNA, the extension of
lung retention times (through reduced recognition by macrophages), and the
incorporation of reversible or stimuli-responsive binding of siRNA to allow for
efficient release of the siRNAs at the target site. In addition, the ideal
carrier would be biodegradable (to address difficulties with repeated
administration for the treatment of chronic diseases) and would contain targeting
moieties to enhance uptake by specific cell types. None of the currently
available polymer- and lipid-based formulations meet every one of these
requirements, but we introduce here several promising new approaches, including a
biodegradable, nonimmunogenic polyester. We also discuss imaging techniques for
following the biodistribution according to the administration route. This
tracking is crucial for better understanding the translocation and clearance of
nanoformulated siRNA subsequent to pulmonary delivery. In the literature, the
success of pulmonary siRNA delivery is evaluated solely by relief from or
prophylaxis against a disease; side effects are not studied in detail. It also
remains unclear which cell types in the lung eventually take up siRNA. These are
critical issues for the translational use of pulmonary siRNA formulations;
accordingly, we present a flow cytometry technique that can be utilized to
differentiate transfected cell populations in a mouse model that expresses
transgenic enhanced green fluorescence protein (EGFP). This technique, in which
different cell types are identified on the basis of their surface antigen
expression, may eventually help in the development of safer carriers with
minimized side effects in nontargeted tissues.
PMID- 21905688
TI - Lamellar assembly of cadmium selenide nanoclusters into quantum belts.
AB - Here, we elucidate a double-lamellar-template pathway for the formation of CdSe
quantum belts. The lamellar templates form initially by dissolution of the CdX(2)
precursors in the n-octylamine solvent. Exposure of the precursor templates to
selenourea at room temperature ultimately affords (CdSe)(13) nanoclusters
entrained within the double-lamellar templates. Upon heating, the nanoclusters
are transformed to CdSe quantum belts having widths, lengths, and thicknesses
that are predetermined by the dimensions within the templates. This template
synthesis is responsible for the excellent optical properties exhibited by the
quantum belts. We propose that the templated-growth pathway is responsible for
the formation of the various flat, colloidal nanocrystals recently discovered,
including nanoribbons, nanoplatelets, nanosheets, and nanodisks.
PMID- 21905689
TI - Studies directed toward the elucidation of the pharmacophore of steroid-based
Sonic Hedgehog signaling inhibitors.
AB - Previous work from our laboratory has established that the readily available
steroid-based analog 2 of cyclopamine 1 is, like 1, a highly potent inhibitor of
Hedgehog signaling. The first structure-activity relationship studies on 2, i.e.,
the synthesis and biological evaluation of both the C-17 epi analog 4 and the C-3
deoxy analog 11, both of which are more potent than cyclopamine 1, are described.
The implications of these results for the emerging pharmacophore of these Sonic
Hedgehog signaling inhibitors are discussed.
PMID- 21905690
TI - One-pot synthesis of uniform Fe3O4 nanospheres with carbon matrix support for
improved lithium storage capabilities.
AB - Poly(acrylic acid) (PAA)-entangled Fe(3)O(4) nanospheres are synthesized via a
facile solvothermal method. In this system, ethylenediamine plays a very
important role to control the uniformity of the nanospheres, and the PAA
molecules serve as the carbon source that transforms into a carbon matrix after
the heat treatment under an inert atmosphere. These uniform Fe(3)O(4) nanospheres
with carbon matrix support manifest greatly enhanced lithium storage properties
over prolonged cycling, with a reversible capacity of 712 mA h g(-1) retained
after 60 charge/discharge cycles. However, the carbon-free counterpart can only
deliver a much lower capacity of 328 mA h g(-1).
PMID- 21905691
TI - Polymer-coated NaYF4:Yb3+, Er3+ upconversion nanoparticles for charge-dependent
cellular imaging.
AB - Lanthanide-doped upconversion nanoparticles (UCNPs) are considered promising
novel near-infrared (NIR) bioimaging agents with the characteristics of high
contrast and high penetration depth. However, the interactions between charged
UCNPs and mammalian cells have not been thoroughly studied, and the corresponding
intracellular uptake pathways remain unclear. Herein, our research work involved
the use of a hydrothermal method to synthesize polyvinylpyrrolidone-coated UCNPs
(UCNP-PVP), and then a ligand exchange reaction was performed on UCNP-PVP, with
the help of polyethylenimine (PEI) and poly(acrylic acid) (PAA), to generate UCNP
PEI and UCNP-PAA. These polymer-coated UCNPs demonstrated good dispersibility in
aqueous medium, had the same elemental composition and crystal phase, shared
similar TEM and dynamic light scattering (DLS) size distribution, and exhibited
similar upconversion luminescence efficiency. However, the positively charged
UCNP-PEI evinced greatly enhanced cellular uptake in comparison with its neutral
or negative counterparts, as shown by multiphoton confocal microscopy and
inductively coupled plasma mass spectrometry (ICP-MS) measurements. Meanwhile, we
found that cationic UCNP-PEI can be effectively internalized mainly through the
clathrin endocytic mechanism, as revealed by colocalization, chemical, and
genetic inhibitor studies. This study elucidates the role of the surface polymer
coatings in governing UCNP-cell interactions, and it is the first report on the
endocytic mechanism of positively charged lanthanide-doped UCNPs. Furthermore,
this study provides important guidance for the development of UCNPs as specific
intracellular nanoprobes, allowing us to control the UCNP-cell interactions by
tuning surface properties.
PMID- 21905692
TI - Thin single-walled carbon nanotubes with narrow chirality distribution:
constructive interplay of plasma and Gibbs-Thomson effects.
AB - Multiscale, multiphase numerical modeling is used to explain the mechanisms of
effective control of chirality distributions of single-walled carbon nanotubes in
direct plasma growth and suggest effective approaches to further improvement. The
model includes an unprecedented combination of the plasma sheath, ion/radical
transport, species creation/loss, plasma-surface interaction, heat transfer,
surface/bulk diffusion, graphene layer nucleation, and bending/lift-off modules.
It is shown that the constructive interplay between the plasma and the Gibbs
Thomson effect can lead to the effective nucleation and lift-off of small
graphene layers on small metal catalyst nanoparticles. As a result, much thinner
nanotubes with narrower chirality distributions can nucleate at much lower
process temperatures and pressures compared to thermal CVD. This approach is
validated by a host of experimental results, substantially reduces the amounts of
energy and atomic matter required for the nanotube growth, and can be extended to
other nanoscale structures and materials systems, thereby nearing the ultimate
goal of energy- and matter-efficient nanotechnology.
PMID- 21905693
TI - Molecular calipers control atomic separation at a metal surface.
AB - If a molecule controls the length of some other moiety, it can be termed a
"molecular caliper". Here we image individual molecular calipers of this type by
scanning tunneling microscopy. These consist of linear polymers of p
diiodobenzene, (pDIB)n, of varying length, 0.7-2.9 nm, physisorbed on Cu(110) at
4.6 K. Through electron-induced reaction these chemically imprint their terminal
I-atoms on the copper, 0.7 nm further apart than their initial separations. The
physisorbed monomer or polymer, therefore, constitutes a molecular-caliper with
variable terminal I..I separation. The localized nature of the I-atom reaction at
the copper surface relative to the parent molecule, constitutes a novel finding
reported here. It ensures that the separation of the I-atoms in the physisorbed
molecular caliper correlates with their subsequent separation when chemisorbed at
the surface.
PMID- 21905694
TI - Greening coal: breakthroughs and challenges in carbon capture and storage.
AB - Like it or not, coal is here to stay, for the next few decades at least.
Continued use of coal in this age of growing greenhouse gas controls will require
removing carbon dioxide from the coal waste stream. We already remove toxicants
such as sulfur dioxide and mercury, and the removal of CO2 is the next step in
reducing the environmental impacts of using coal as an energy source (i.e.,
greening coal). This paper outlines some of the complexities encountered in
capturing CO2 from coal, transporting it large distances through pipelines, and
storing it safely underground.
PMID- 21905696
TI - On the cavitation and pore blocking in cylindrical pores with simple
connectivity.
AB - We present a grand canonical Monte Carlo (GCMC) simulation of argon adsorption in
connected cylindrical pores at 87.3 K. A number of pore models are constructed
from various components: finite cylinder, finite cone, and flat surface. In the
case of two cylinders of different sizes connected to each other with open ends,
the adsorption isotherm can be described by a combination of two independent
pores, the smaller of which is opened at both ends while the larger one is closed
at one end. The adsorption isotherm depends on the relative size between the two
sections of the connected pore. In the case of a cavity connected to the bulk
surrounding gas via one or two narrower cylindrical necks, the phenomenon of
either pore blocking or cavitation is observed, depending on the relative size
between the neck and the cavity. If the neck size is smaller than a critical
size, D(c), we observe cavitation, while pore blocking is observed when it is
greater than D(c). This is due to the dominance of one of two mechanisms for
removal of the adsorbates: either the receding of the menisci or the stretching
of the fluid in the cavity. We also explore the effects of neck length and cavity
length on the adsorption isotherm and conclude that while the neck length has a
negligible effect on cavitation, it is of considerable importance when pore
blocking occurs, because this process is controlled by the formation and movement
of the meniscus in the pore neck. The effect of cavity length is found to be
negligible in both cases.
PMID- 21905695
TI - Relaxed but highly compact diansa metallacyclophanes.
AB - A series of monoansa [MU-1,1'-PR-3,3'-Co(1,2-C(2)B(9)H(10))(2)](-) and diansa
[8,8'-MU-(1'',2''-benzene)-MU-1,1'-PR-3,3'-Co(1,2-C(2)B(9)H(9))(2)](-) (R = Ph,
(t)Bu) cobaltabisdicarbollidephanes have been synthesized, characterized and
studied by NMR, MALDI-TOF-MS, UV-visible spectroscopy, cyclic voltammetry, and
DFT calculations. Single crystal X-ray diffraction revealed a highly relaxed
structure characterized by the title angle alpha of 3.8 degrees ([7](-)), this
being the smallest angle alpha for a metallacyclophane. In such compounds, the
metal-to-phosphorus distance is less than the sum of their van der Waals radii.
The availability of a phosphorus lone pair causes an electron delocalization
through the metal, as shown by the abnormal (31)P NMR chemical shift. Remarkably,
the combination of a phosphine donor and a phenyl acceptor moieties causes a
synergistic effect that is observed through the different techniques used in this
study. The importance of having an available lone pair is demonstrated by the
oxidation of phosphorus with hydrogen peroxide, sulfur, and elemental black
selenium to produce the corresponding P(V) compounds. When the electron lone pair
is used to form the bond with the corresponding chalcogen atom, the communication
between the donor and acceptor moieties on the diansa metallacyclophane is shut
down.
PMID- 21905697
TI - Analytic energy gradient in combined second-order Moller-Plesset perturbation
theory and polarizable force field calculation.
AB - Second-order Moller-Plesset perturbation theory (MP2) is used to describe
electronic correlation on the basis of Hartree-Fock (HF) variational calculations
that incorporate induced dipole polarizable force fields (i.e., QM/MMpol style HF
and MP2). The Z-vector equations for regular closed shell and open shell MP2
methods (RMP2, ZAPT2, and UMP2) are extended to include induced dipole
contributions to determine the MP2 response density so that nuclear gradient and
other properties can be efficiently evaluated. A better estimation of the induced
dipole polarization energy can be obtained using the MP2 relaxed density.
QM/MMpol style MP2 molecular dynamics simulations are performed for the ground
state and first triplet state of acetone solvated by 1024 polarizable water
molecules. A switching function is used to ensure energy conservation in QM/MM
simulation under periodic boundary condition.
PMID- 21905698
TI - Photophysics and photodynamics of 1'-hydroxy-2'-acetonaphthone (HAN) in micelles
and nonionic surfactants forming vesicles: a comparative study of different
microenvironments of surfactant assemblies.
AB - The effect of different microenvironments inside various biomimicking
supramolecular assemblies of ionic (SDS/CTAB) and nonionic (TX100) micelles and
nonionic surfactants (Tween-80/PEG-6000) forming vesicles (niosome) on the
photophysical and rotational dynamical properties of 1'-hydroxy-2'-acetonaphthone
(HAN) have been studied using steady-state and time-resolved fluorescence
spectroscopy. Enhanced fluorescence intensity with a significant blue shift and
longer emission lifetime of the caged tautomers of HAN indicate modulation of
photophysics of HAN upon encapsulation in both micellar assemblies and the
niosome system. The binding constant and free energy change for the complexation
of HAN with micelles and niosome demonstrate a comparative study on the binding
efficiency of the different assemblies depending on the nature of
microenvironments toward HAN. The enhancement in the steady-state anisotropy in
niosome solutions compared with that in pure aqueous solution indicates that HAN
is located inside the motionally restricted bilayer region of niosome. The
fluorescence quenching experiment further reveals the probable location of HAN in
micelles and niosome. In TX100 micelles, the obtained lifetime values are 417 ps
and 1.63 ns for the caged tautomers, whereas in the comparatively more rigid and
confined environment provided by niosome those values are 444 ps and 2.5 ns. The
rotational relaxation time constants for the caged tautomers in niosome are also
found to be higher than those in micelles. The observed difference in binding
ability of the different assemblies is due to the difference in the extent of
water penetration and different extent of rigidity around the fluorophore.
PMID- 21905699
TI - Divergent regioselective synthesis of 2,5,6,7-tetrahydro-1H-1,4-diazepin-2-ones
and 5H-1,4-benzodiazepines.
AB - A novel and simple one-pot synthesis of 3-substituted 2,5,6,7-tetrahydro-1H-1,4
diazepin-2-ones from 1,2-diaza-1,3-dienes (DDs) and N-unsubstituted aliphatic 1,3
diamines is described. Here we also report a procedure to selectively obtain
alkyl 5H-1,4-benzodiazepine-3-carboxylates from the DDs and 2-aminobenzylamine.
Both processes occur by means of sequential 1,4-conjugated addition followed by
regioselective 7-exo cyclization. The behavior of N-methyl- and N,N'-dimethyl-1,3
diaminopropanes toward the DDs furnished pyrazol-3-ones and bis-alpha
aminohydrazones, respectively.
PMID- 21905700
TI - Direct interaction between an allosteric agonist pepducin and the chemokine
receptor CXCR4.
AB - Cell surface heptahelical G protein-coupled receptors (GPCRs) mediate critical
cellular signaling pathways and are important pharmaceutical drug targets. (1)
In addition to traditional small-molecule approaches, lipopeptide-based GPCR
derived pepducins have emerged as a new class of pharmaceutical agents. (2, 3)
To better understand how pepducins interact with targeted receptors, we developed
a cell-based photo-cross-linking approach to study the interaction between the
pepducin agonist ATI-2341 and its target receptor, chemokine C-X-C-type receptor
4 (CXCR4). A pepducin analogue, ATI-2766, formed a specific UV-light-dependent
cross-link to CXCR4 and to mutants with truncations of the N-terminus, the known
chemokine docking site. These results demonstrate that CXCR4 is the direct
binding target of ATI-2341 and suggest a new mechanism for allosteric modulation
of GPCR activity. Adaptation and application of our findings should prove useful
in further understanding pepducin modulation of GPCRs as well as enable new
experimental approaches to better understand GPCR signal transduction.
PMID- 21905702
TI - Novel mechanism for dehalogenation and glutathione conjugation of dihalogenated
anilines in human liver microsomes: evidence for ipso glutathione addition.
AB - The objective of the present study was to investigate the influence of halogen
position on the formation of reactive metabolites from dihalogenated anilines.
Herein we report on a proposed mechanism for dehalogenation and glutathione (GSH)
conjugation of a series of ortho-, meta-, and para-dihalogenated anilines
observed in human liver microsomes. Of particular interest were conjugates formed
in which one of the halogens on the aniline was replaced by GSH. We present
evidence that a (4-iminocyclohexa-2,5-dienylidene)halogenium reactive
intermediate (QX) was formed after oxidation, followed by ipso addition of GSH at
the imine moiety. The ipso GSH thiol attacks at the ortho-carbon and eventually
leads to a loss of a halogen and GSH replacement. The initial step of GSH
addition at the ipso position is also supported by density functional theory,
which suggests that the ipso carbon of the chloro, bromo, and iodo (but not
fluoro) containing 2-fluoro-4-haloanilines is the most positive carbon and that
these molecules have the favorable highest occupied molecular orbital of the
aniline and the lowest unoccupied orbital from GSH. The para-substituted halogen
(chloro, bromo, or iodo but not fluoro) played a pivotal role in the formation of
the QX, which required a delocalization of the positive charge on the para
halogen after oxidation. This mechanism was supported by structure-metabolism
relationship analysis of a series of dihalogenated and monohalogenated aniline
analogues.
PMID- 21905701
TI - Design of native-like proteins through an exposure-dependent environment
potential.
AB - We hypothesize that the degree of surface exposure of amino acid side chains
within a globular, soluble protein has been optimized in evolution, not only to
minimize the solvation free energy of the monomeric protein but also to prevent
protein aggregation. This effect needs to be taken into account when engineering
proteins de novo. We test this hypothesis through addition of a knowledge-based,
exposure-dependent energy term to the RosettaDesign solvation potential
[Lazaridis, T., and Karplus, M. (1999) Proteins 35, 133-152]. Correlation between
amino acid type and surface exposure is determined from a representative set of
experimental protein structures. The amino acid solvent accessible surface area
(SASA) is estimated with a neighbor vector measure that increases in accuracy
compared to the neighbor count measure while remaining pairwise decomposable
[Durham, E., et al. (2009) J. Mol. Model. 15, 1093-1108]. Benchmarking of this
potential in protein design displays a 3.2% improvement in the overall sequence
recovery and an 8.5% improvement in recovery of amino acid types tolerated in
evolution.
PMID- 21905703
TI - Characterizing the adsorption of proteins on glass capillary surfaces using
electrospray-differential mobility analysis.
AB - We quantify the adsorption and desorption of a monoclonal immunoglobulin-G
antibody, rituxamab (RmAb), on silica capillary surfaces using electrospray
differential mobility analysis (ES-DMA). We first develop a theory to calculate
coverages and desorption rate constants from the ES-DMA data for proteins
adsorbing on glass capillaries used to electrospray protein solutions. This model
is then used to study the adsorption of RmAb on a bare silica capillary surface.
A concentration-independent coverage of ~4.0 mg/m(2) is found for RmAb
concentrations ranging from 0.01 to 0.1 mg/mL. A study of RmAb adsorption to bare
silica as a function of pH shows maximum adsorption at its isoelectric point (pI
of pH 8.5) consistent with literature. The desorption rate constants are
determined to be ~10(-5) s(-1), consistent with previously reported values, thus
suggesting that shear forces in the capillary may not have a considerable effect
on desorption. We anticipate that this study will allow ES-DMA to be used as a
"label-free" tool to study adsorption of oligomeric and multicomponent protein
systems onto fused silica as well as other surface modifications.
PMID- 21905704
TI - Structural stability from solution to the gas phase: native solution structure of
ubiquitin survives analysis in a solvent-free ion mobility-mass spectrometry
environment.
AB - The conformations of desolvated ubiquitin ions, lifted into the gas phase by
electrospray ionization (ESI), were characterized by ion mobility spectrometry
(IMS) and compared to the solution structures they originated from. The IMS
instrument combining a two-meter helium drift tube with a quadrupole time-of
flight mass spectrometer was built in-house. Solutions stabilizing the native
state of ubiquitin yielded essentially one family of tightly folded desolvated
ubiquitin structures with a cross section matching the size of the native state
(1000 A(2)). Solutions favoring the A state yielded several well-defined families
of significantly unfolded conformations (1800-2000 A(2)) matching in size
conformations between the A state and a fully unfolded state. On the basis of
these results and a wealth of data available in the literature, we conclude that
the native state of ubiquitin is preserved in the transition from solution to the
desolvated state during the ESI process and survives for >100 ms in a 294 K
solvent-free environment. The A state, however, is charged more extensively than
the native state during ESI and decays more rapidly following ESI. A state ions
unfold on a time scale equal to or shorter than the experiment (<=50 ms) to more
extended structures.
PMID- 21905705
TI - Comparative properties of caveolar and noncaveolar preparations of kidney Na+/K+
ATPase.
AB - To evaluate previously proposed functions of renal caveolar Na(+)/K(+)-ATPase, we
modified the standard procedures for the preparation of the purified membrane
bound kidney enzyme, separated the caveolar and noncaveolar pools, and compared
their properties. While the subunits of Na(+)/K(+)-ATPase (alpha,beta,gamma)
constituted most of the protein content of the noncaveolar pool, the caveolar
pool also contained caveolins and major caveolar proteins annexin-2 tetramer and
E-cadherin. Ouabain-sensitive Na(+)/K(+)-ATPase activities of the two pools had
similar properties and equal molar activities, indicating that the caveolar
enzyme retains its ion transport function and does not contain nonpumping enzyme.
As minor constituents, both caveolar and noncaveolar pools also contained Src,
EGFR, PI3K, and several other proteins known to be involved in stimulous-induced
signaling by Na(+)/K(+)-ATPase, indicating that signaling function is not limited
to the caveolar pool. Endogenous Src was active in both pools but was not further
activated by ouabain, calling into question direct interaction of Src with native
Na(+)/K(+)-ATPase. Chemical cross-linking, co-immunoprecipitation, and
immunodetection studies showed that in the caveolar pool, caveolin-1 oligomers,
annexin-2 tetramers, and oligomers of the alpha,beta,gamma-protomers of
Na(+)/K(+)-ATPase form a large multiprotein complex. In conjunction with known
roles of E-cadherin and the beta-subunit of Na(+)/K(+)-ATPase in cell adhesion
and noted intercellular beta,beta-contacts within the structure of Na(+)/K(+)
ATPase, our findings suggest that interacting caveolar Na(+)/K(+)-ATPases located
at renal adherens junctions maintain contact of two adjacent cells, conduct
essential ion pumping, and are capable of locus-specific signaling in junctional
cells.
PMID- 21905707
TI - Ruthenium agostic (phosphinoaryl)borane complexes: multinuclear solid-state and
solution NMR, X-ray, and DFT studies.
AB - The reactivity of the (o-phosphinophenyl)(amino)borane compound
HB(N(i)Pr(2))C(6)H(4)(o-PPh(2)) prepared from Li(C(6)H(4))PPh(2) and
HBCl(N(i)Pr(2)) toward the bis(dihydrogen) complex RuH(2)(H(2))(2)(PCy(3))(2) (1)
was studied by a combination of DFT, X-ray, and multinuclear NMR techniques
including solid-state NMR, a technique rarely employed in organometallic
chemistry. The study showed that the complex RuH(2){HB(N(i)Pr(2))C(6)H(4)(o
PPh(2))}(PCy(3))(2) (3), isolated in excellent yield as yellow crystals and
characterized by X-ray diffraction, led in solution to PCy(3) dissociation and
formation of an unsaturated 16-electron complex RuH(2){HB(N(i)Pr(2))C(6)H(4)(o
PPh(2))}(PCy(3)) (4), with a hydride trans to a vacant site. In both cases, the
(phosphinoaryl)(amino)borane acts as a bifunctional ligand through the phosphine
moiety and a Ru-H-B interaction, thus featuring an agostic interaction.
PMID- 21905706
TI - Ookinete-interacting proteins on the microvillar surface are partitioned into
detergent resistant membranes of Anopheles gambiae midguts.
AB - Lipid raft microdomains, a component of detergent resistant membranes (DRMs), are
routinely exploited by pathogens during host-cell entry. Multiple membrane
surface proteins mediate Plasmodium ookinete invasion of the Anopheles midgut, a
critical step in the parasite life cycle that is successfully targeted by
transmission-blocking vaccines (TBV). Given that lipid rafts are a common feature
of host-pathogen interactions, we hypothesized that they promote the partitioning
of midgut surface proteins and thus facilitate ookinete invasion. In support of
this hypothesis, we found that five of the characterized Anopheles TBV
candidates, including the leading Anopheles TBV candidate, AgAPN1, are present in
Anopheles gambiae DRMs. Therefore, to extend the repertoire of putative midgut
ligands that can be targeted by TBVs, we analyzed midgut DRMs by tandem mass
spectrometry. We identified 1452 proteins including several markers of DRMs.
Since glycosylphosphotidyl inositol (GPI)-anchored proteins partition to DRMs, we
characterized the GPI subproteome of An. gambiae midgut brush-border microvilli
and found that 96.9% of the proteins identified in the GPI-anchored fractions
were also present in DRMs. Our study vastly expands the number of candidate
malarial TBV targets for subsequent analysis by the broader community and
provides an inferred role for midgut plasmalemma microdomains in ookinete cell
invasion.
PMID- 21905708
TI - Development of flexible antimicrobial packaging materials against Campylobacter
jejuni by incorporation of gallic acid into zein-based films.
AB - In this study, antimicrobial films were developed against Campylobacter jejuni by
incorporation of gallic acid (GA) into zein-based films. The zein and zein-wax
composite films containing GA between 2.5 and 10 mg/cm(2) were effective on
different C. jejuni strains in a concentration-dependent manner. Zein and zein
wax composite films showed different release profiles in distilled water but
quite similar release profiles at solid agar medium. Depending on incorporated GA
concentration, 60-80% of GA released from the films, while the remaining GA was
bound or trapped by film matrix. The GA at 2.5 and 5 mg/cm(2) caused a
considerable increase in elongation (57-280%) of all zein films and eliminated
their classical flexibility problems. The zein-wax composite films were less
flexible than zein films, but the films showed similar tensile strengths and
Young's modulus. Scanning electron microscopy indicated different morphologies of
zein and zein-wax composite films. This study clearly showed the good potential
of zein and GA to develop flexible antimicrobial films against C. jejuni.
PMID- 21905709
TI - Liquid crystalline order and magnetocrystalline anisotropy in magnetically doped
semiconducting ZnO nanowires.
AB - Controlled alignment of nanomaterials over large length scales (>1 cm) presents a
challenge in the utilization of low-cost solution processing techniques in
emerging nanotechnologies. Here, we report on the lyotropic liquid crystalline
behavior of transition-metal-doped zinc oxide nanowires and their facile
alignment over large length scales under external fields. High aspect ratio Co-
and Mn-doped ZnO nanowires were prepared by solvothermal synthesis with uniform
incorporation of dopant ions into the ZnO wurtzite crystal lattice. The resulting
nanowires exhibited characteristic paramagnetic behavior. Suspensions of surface
functionalized doped nanowires spontaneously formed stable homogeneous nematic
liquid crystalline phases in organic solvent above a critical concentration.
Large-area uniaxially aligned thin films of doped nanowires were obtained from
the lyotropic phase by applying mechanical shear and, in the case of Co-doped
nanowires, magnetic fields. Application of shear produced thin films in which the
nanowire long axes were aligned parallel to the flow direction. Conversely, the
nanowires were found to orient perpendicular to the direction of the applied
magnetic fields. This indicates that the doped ZnO possesses magnetocrystalline
anisotropy sufficient in magnitude to overcome the parallel alignment which would
be predicted based solely on the anisotropic demagnetizing field associated with
the high aspect ratio of the nanowires. We use a combination of magnetic property
measurements and basic magnetostatics to provide a lower-bound estimate for the
magnetocrystalline anisotropy.
PMID- 21905710
TI - Synthesis and characterization of a hydrogel with controllable electroosmosis: a
potential brain tissue surrogate for electrokinetic transport.
AB - Electroosmosis is the bulk fluid flow initiated by application of an electric
field to an electrolyte solution in contact with immobile objects with a nonzero
zeta-potential such as the surface of a porous medium. Electroosmosis may be used
to assist analytical separations. Several gel-based systems with varying
electroosmotic mobilities have been made in this context. A method was recently
developed to determine the zeta-potential of organotypic hippocampal slice
cultures (OHSC) as a representative model for normal brain tissue. The zeta
potential of the tissue is significant. However, determining the role of the zeta
potential in solute transport in tissue in an electric field is difficult because
the tissue's zeta-potential cannot be altered. We hypothesized that mass
transport properties, namely the zeta-potential and tortuosity, could be
modulated by controlling the composition of a set of hydrogels. Thus,
poly(acrylamide-co-acrylic acid) gels were prepared with three compositions (by
monomer weight percent): acrylamide/acrylic acid 100/0, 90/10, and 75/25. The
zeta-potentials of these gels at pH 7.4 are distinctly different, and in fact
vary approximately linearly with the weight percent of acrylic acid. We
discovered that the 25% acrylic acid gel is a respectable model for brain tissue,
as its zeta-potential is comparable to the OHSC. This series of gels permits the
experimental determination of the importance of electrokinetic properties in a
particular experiment or protocol. Additionally, tortuosities were measured
electrokinetically and by evaluating diffusion coefficients. Hydrogels with well
defined zeta-potential and tortuosity may find utility in biomaterials and
analytical separations, and as a surrogate model for OHSC and living biological
tissues.
PMID- 21905711
TI - NOM fractionation and fouling of low-pressure membranes in microgranular
adsorptive filtration.
AB - Membrane fouling by natural organic matter (NOM) was investigated in
microgranular adsorptive filtration (MUGAF) systems, in which a thin layer of
adsorbent is predeposited on low-pressure membranes. The adsorbents tested
included heated aluminum oxide particles (HAOPs), ion exchange (IX) resin, and
powdered activated carbon (PAC). Size exclusion chromatography (SEC) separated
the NOM into four apparent MW fractions with significant UV254. HAOPs and the IX
resin performed almost identically with respect to removal of these fractions,
and differently from PAC. However, while HAOPs and PAC reduced fouling
substantially, IX resin did not, indicating that fouling could not be attributed
to the NOM fractions detected by SEC. Rather, the key foulants appear to comprise
a very small fraction of the NOM with almost no UV254 absorbance. Alginate, a
strongly fouling surrogate for natural polysaccharides, is adsorbed effectively
by HAOPs, but not by IX resin or PAC, suggesting that polysaccharides sometimes
play a key role in membrane fouling by NOM.
PMID- 21905712
TI - Structure and bioactivity of thiosulfinates resulting from suppression of
lachrymatory factor synthase in onion.
AB - In normal onion (Allium cepa), trans-S-1-propenyl-L-cysteine sulfoxide is
transformed via 1-propenesulfenic acid into propanethial S-oxide, a lachrymatory
factor, through successive reactions catalyzed by alliinase and lachrymatory
factor synthase (LFS). A recent report showed that suppression of the LFS
activity caused a dramatic increase in thiosulfinates previously reported as
"zwiebelane isomers". After purification by recycle high-performance liquid
chromatography and subsequent analyses, we established the planar structure of
the putative "zwiebelane isomers" as S-3,4-dimethyl-5-hydroxythiolane-2-yl 1
propenethiosulfinate, in which two of the three molecules of 1-propenesulfenic
acid involved in the formation gave the thiolane backbone, and the third molecule
gave the thiosulfinate structure. Of at least three stereoisomers observed, one
in the (2'R,3'R,4'R,5'R)-configuration was collected as an isolated fraction, and
the other isomers were collected as a combined fraction because spontaneous
tautomerization prevented further purification. Both fractions showed inhibitory
activities against cyclooxygenase-1 and alpha-glucosidase in vitro.
PMID- 21905713
TI - Characterization of graphene films and transistors grown on sapphire by metal
free chemical vapor deposition.
AB - We present a novel method for the direct metal-free growth of graphene on
sapphire that yields high quality films comparable to that of graphene grown on
SiC by sublimation. Graphene is synthesized on sapphire via the simple
decomposition of methane at 1425-1600 degrees C. Film quality was found to be a
strong function of growth temperature. The thickness, structure, interface
characteristics, and electrical transport properties were characterized in order
to understand the utility of this material for electronic devices. Graphene
synthesized on sapphire is found to be strain relieved, with no evidence of an
interfacial buffer layer. There is a strong correlation between the graphene
structural quality and carrier mobility. Room temperature Hall effect mobility
values were as high as 3000 cm(2)/(V s), while measurements at 2 K reached values
of 10,500 cm(2)/(V s). These films also display evidence of the quantum Hall
effect. Field effect transistors fabricated from this material had a typical
current density of 200 mA/mm and transconductance of 40 mS/mm indicating that
material performance may be comparable to graphene on SiC.
PMID- 21905714
TI - Carryover of perfluorooctanoic acid (PFOA) and perfluorooctane sulfonate (PFOS)
from soil to plant and distribution to the different plant compartments studied
in cultures of carrots (Daucus carota ssp. Sativus), potatoes (Solanum
tuberosum), and cucumbers (Cucumis Sativus).
AB - A vegetation study was carried out to investigate the carryover of
Perfluorooctanoic Acid (PFOA) and Perfluorooctane Sulfonate (PFOS) from soil
mixed with contaminated sewage sludge to potato, carrot, and cucumber plants.
Analysis was done by liquid-extraction using acetonitrile with dispersive SPE
cleanup and subsequent HPLC-MS/MS. In order to assess the transfer potential from
soil, transfer factors (TF) were calculated for the different plant compartments:
TF = [PFC](plant (wet substance))/[PFC](soil (dry weight)). The highest TF were
found for the vegetative plant compartments with average values for PFOS below
those for PFOA: cucumber, 0.17 (PFOS), 0.88 (PFOA); potato, 0.36 (PFOS), 0.40
(PFOA); carrot, 0.38 (PFOS), 0.53 (PFOA). Transfer of PFOA and PFOS into potato
peelings (average values of TF: PFOA 0.03, PFOS 0.04) exceeded the carryover to
the peeled tubers (PFOA 0.01, PFOS < 0.01). In carrots, this difference did not
occur (average values of TF: PFOA 0.04, PFOS 0.04). Transfer of PFOS into the
unpeeled cucumbers was low and comparable to that of peeled potatoes (TF < 0.01).
For PFOA, it was higher (TF: 0.03).
PMID- 21905715
TI - Photocontrol over the disorder-to-order transition in thin films of polystyrene
block-poly(methyl methacrylate) block copolymers containing photodimerizable
anthracene functionality.
AB - Reversible photocontrol over the ordering transition of block copolymers (BCPs)
from a disordered state to an ordered state, namely the disorder-to-order
transition (DOT), can be used to create long-range ordered nanostructures in self
assembled BCPs over macroscopic distances by photocombing, similar to the classic
zone refining used to produce highly pure, large single crystals. Here, we have
designed and synthesized an anthracene-functionalized tri-BCP containing
deuterated polystyrene (d(8)-PS) and poly(methyl methacrylate) (PMMA) blocks, as
well as a short middle block of poly(2-hydroxyethyl methacrylates) (PHEMA) that
is randomly functionalized by anthracene. This tri-BCP maintains the order-to
disorder transition-type phase behavior of its parent d(8)-PS-b-PMMA di-BCPs.
Under 365 nm UV irradiation, the junction between d(8)-PS and PMMA blocks is
photocoupled through the anthracene photodimers, leading to a significant
increase in the total molecular weight of the tri-BCP. As a consequence, when the
tri-BCP is phase-mixed but close to the boundary of the ordering transition, it
undergoes the DOT, as evidenced by small-angle neutron scattering and
transmission electron microscopy. The tri-BCP could be reversibly brought through
the DOT in thin films by taking advantage of photodimerization and thermal
dissociation of anthracene. Currently, anthracene-functionalized d(8)-PS-b-PMMA
BCP is one of the most promising candidates for the photocombing process to
promote long-range laterally ordered nanostructures over macroscopic distances in
a noninvasive manner.
PMID- 21905716
TI - Antiproliferation effect and apoptosis mechanism of prostate cancer cell PC-3 by
flavonoids and saponins prepared from Gynostemma pentaphyllum.
AB - The objectives of this study were to investigate the antiproliferation and
apoptosis mechanism of saponin and flavonoid fractions from Gynostemma
pentaphyllum (Thunb.) Makino on prostate cancer cell PC-3. Both flavonoid and
saponin fractions were isolated by a column chromatographic method with Cosmosil
75C(18)-OPN as adsorbent and elution solvents of ethanol-water (30:70, v/v) for
the former and 100% ethanol for the latter, followed by high-performance liquid
chromatography-tandem mass spectrometry analysis. On the basis of the MTT assay,
the saponin and flavonoid fraction were comparably effective in inhibiting the
growth of PC-3 cells, with the IC(50) being 39.3 and 33.3 MUg/mL, respectively.
Additionally, both fractions induced an arrest of PC-3 cell cycle at both S and
G2/M phases, with both early and late apoptotic cell populations showing a dose
dependent rise. The Western blot assay indicated that the incorporation of
flavonoid or saponin fraction could modulate the expression of G2 and M
checkpoint regulators, cyclins A and B, and the antiapoptotic proteins Bcl-2 and
Bcl-xl and pro-apoptotic proteins Bad and Bax. The expression of the caspase-3
and its activated downstream substrate effectors, DFF45 and poly (ADP-ribose)
polymerase-1 (PARP-1), was also increased and followed a dose-dependent manner.
All of these findings suggest that the apoptosis of PC-3 cells may proceed
through the intrinsic mitochondria pathway.
PMID- 21905717
TI - Exploration of transferability in multiscale coarse-grained peptide models.
AB - Coarse-grained models can facilitate the efficient simulation of complex
biological systems. In earlier studies the multiscale coarse-graining (MS-CG)
method was employed to examine the folding landscape for two small peptides. In
those studies, MS-CG force fields specific to each peptide were employed. We
extend here the scope of that work with the goal of obtaining a transferable MS
CG force field which can be used to simulate the folded conformations of peptides
with disparate structural motifs. Information obtained via MS-CG modeling was
used to understand the characteristics of CG interactions which govern their
capacity to be transferred between different peptide systems. We find that polar
CG groups are least transferable in general, with interactions between CG sites
representing the CO and NH groups on the peptide backbone being particularly
resistant to facile transfer. Our results additionally suggest that, while there
are limitations to the approach, the MS-CG method may provide a systematic path
toward obtaining rigorously defined CG interactions with at least some degree of
transferability. These studies also indicate that it may be possible to enhance
the transferability of the MS-CG approach by identifying novel ways to combine
information from different MS-CG force fields.
PMID- 21905718
TI - Immobilization of oligonucleotides onto zirconia-modified filter paper and
specific molecular recognition.
AB - A morphologically complex cellulosic substance (e.g., commercial filter paper)
was employed as a substrate for DNA immobilization and successive recognition. A
uniform ultrathin zirconia gel film was first deposited on each cellulose
nanofiber in bulk filter paper by a facile sol-gel process. Relying on the large
surface area of filter paper and the strong affinity of zirconia for the
phosphate group, terminal-phosphate probe DNA was abundantly immobilized on the
zirconia-modified filter paper so as to convert the composite to a biofunctional
material for the sensitive and repetitive recognition of the corresponding
complementary target DNA on the nanomolar level. By contrast, in spite of the
viability of the immobilization of the probe DNA and the recognition of target
DNA on the quartz plate, the amount of captured probe DNA or recognized target
DNA on such a flat substrate was much less than that captured or recognized on
filter paper, resulting in a relatively insensitive recognition event. Moreover,
control experiments on bare filter paper (without a zirconia nanocoating)
suggested that the zirconia gel film was essential to probe DNA immobilization
and subsequent target DNA recognition.
PMID- 21905719
TI - Catalytic degradation of the nerve agent VX by water-swelled polystyrene
supported ammonium fluorides.
AB - The catalytic degradation of the nerve agent VX (O-ethyl S-2
(diisopropylamino)ethyl methylphosphonothioate) by water-swelled polymer
supported ammonium fluorides is described. VX (0.06-0.53 mol/mol F(-)) is rapidly
degraded (t(1/2) ~ 10-30 min) to form the "G-analogue" (O-ethyl
methylphosphonofluoridate), which hydrolyzes (t(1/2) ~ 1-1.5 h) to the nontoxic
EMPA (ethyl methylphosphonic acid). The toxic desethyl-VX is not formed. The
catalytic effect of fluoride is maintained even when 6 equiv of VX are loaded. GB
(O-isopropyl methylphosphonofluoridate) and desethyl-VX agents are also degraded
under these conditions.
PMID- 21905720
TI - Electrochemical DNA methylation detection for enzymatically digested CpG
oligonucleotides.
AB - We describe the electrochemical detection of DNA methylation through the direct
oxidation of both 5-methylcytosine (mC) and cytosine (C) in 5'-CG-3' sequence
(CpG) oligonucleotides using a sputtered nanocarbon film electrode after
digesting a longer CpG oligonucleotide with endonuclease P1. Direct
electrochemistry of the longer CpG oligonucleotides was insufficient for
obtaining the oxidation currents of these bases because the CG rich sequence
inhibited the direct oxidation of each base in the longer CpG oligonucleotides,
owing to the conformational structure and its very low diffusion coefficient. To
detect C methylation with better quantitativity and sensitivity in the relatively
long CpG oligonucleotides, we successfully used an endonuclease P1 to digest the
target CpG oligonucleotide and yield an identical mononucleotide 2'
deoxyribonucleoside 5'-monophosphate (5'-dNMP). Compared with results obtained
without P1 treatment, we achieved 4.4 times higher sensitivity and a wider
concentration range for mC detection with a resolution capable of detecting a
subtle methylated cytosine difference in the CpG oligonucleotides (60mer).
PMID- 21905721
TI - Design, synthesis, and characterization of nucleic-acid-functionalized gold
surfaces for biomarker detection.
AB - Nucleic-acid-functionalized gold surfaces have been used extensively for the
development of biological sensors. The development of an effective biomarker
detection assay requires careful design, synthesis, and characterization of probe
components. In this Feature Article, we describe fundamental probe development
constraints and provide a critical appraisal of the current methodologies and
applications in the field. We discuss critical issues and obstacles that impede
the sensitivity and reliability of the sensors to underscore the challenges that
must be met to advance the field of biomarker detection.
PMID- 21905722
TI - Effect of tea catechins on regulation of antioxidant enzyme expression in H2O2
induced skeletal muscle cells of goat in vitro.
AB - Skeletal muscle cells (SMCs) of goats were stress induced with 1 mM H(2)O(2) in
the absence or presence of 0.5, 5, and 50 MUg/mL tea catechins (TCs) incubation.
Cells were harvested at 48 h postincubation with TCs to investigate the effects
of TCs on cell proliferation, cell membrane integrity, antioxidant enzyme
activities, and antioxidant enzyme genes and protein expression levels. Results
showed that H(2)O(2) induction inhibited cell proliferation with or without TC
incubation; moreover, the inhibition effect was enhanced in the presence of TCs
(P < 0.001). H(2)O(2)-induced stress increased the lactate dehydrogenase (LDH)
activity in the absence or presence of TC incubation, but concentrations of TCs,
less than 5 MUg/mL, showed protective functions against LDH leakage than in other
H(2)O(2)-induced treatments. The catalase (CAT) activity increased when SMCs were
stress induced with H(2)O(2) in the absence or presence of TC incubation (P <
0.001). H(2)O(2)-induced stress decreased CuZn superoxide dismutase (CuZn-SOD)
and glutathione peroxidase (GPx) activities, whereas this effect was prevented by
incubation with TCs in a concentration-dependent manner. H(2)O(2)-induced stress
with or without TC incubation had significant effects on mRNA and protein
expression levels of CAT, CuZn-SOD, and GPx (P < 0.001). CAT and CuZn-SOD mRNA
expression levels were increased by different concentrations of TC incubation,
and this tendency was basically consistent with corresponding protein expression
levels. The GPx mRNA expression level increased with a low concentration of TCs
but decreased with concentrations greater than 5 MUg/mL of TCs, whereas GPx
protein expression in all TC-incubated groups was lower than in the control
treatment. The current findings imply that TCs had an inhibitory effect on cell
proliferation and enhanced damage to the cell membrane integrity, but TCs
affected antioxidant status in SMCs by modulating antioxidant enzyme activities
at mRNA and protein expression levels.
PMID- 21905723
TI - Influence of foam structure on the release kinetics of volatiles from espresso
coffee prior to consumption.
AB - The relationship between the physical structure of espresso coffee foam, called
crema, and the above-the-cup aroma release was studied. Espresso coffee samples
were produced using the Nespresso extraction system. The samples were extracted
with water with different levels of mineral content, which resulted in liquid
phases with similar volatile profiles but foams with different structure
properties. The structure parameters foam volume, foam drainage, and lamella film
thickness at the foam surface were quantified using computer-assisted microscopic
image analysis and a digital caliper. The above-the-cup volatile concentration
was measured online by using PTR-MS and headspace sampling. A correlation study
was done between crema structure parameters and above-the-cup volatile
concentration. In the first 2.5 min after the start of the coffee extraction, the
presence of foam induced an increase of concentration of selected volatile
markers, independently if the crema was of high or low stability. At times longer
than 2.5 min, the aroma marker concentration depends on both the stability of the
crema and the volatility of the specific aroma compounds. Mechanisms of above-the
cup volatile release involved gas bubble stability, evaporation, and diffusion.
It was concluded that after the initial aroma burst (during the first 2-3 min
after the beginning of extraction), for the present sample space a crema of high
stability provides a stronger aroma barrier over several minutes.
PMID- 21905725
TI - Purification and characterization of a novel extracellular tripeptidyl peptidase
from Rhizopus oligosporus.
AB - A novel extracellular tripeptidyl peptidase (TPP) was homogenously purified from
the culture supernatant of Rhizopus oligosporus by sequential fast protein
liquid chromatography. The purified enzyme was a 136.5 kDa dimer composed of
identical subunits. The effects of inhibitors and metal ions indicated that TPP
is a metallo- and serine protease. TPP was activated by divalent cations, such as
Co(2+) and Mn(2+), and completely inhibited by Cu(2+). Enzyme activity was
optimal at pH 7.0 and 45 degrees C with a specific activity of 281.9 units/mg
for the substrate Ala-Ala-Phe-pNA. The purified enzyme catalyzed cleavage of
various synthetic tripeptides but not when proline occupied the P1 position.
Purified TPP cleaved the pentapeptide Ala-Ala-Phe-Tyr-Tyr and tripeptide Ala-Ala
Phe, confirming the TPP activity of the enzyme.
PMID- 21905724
TI - Designing electrochemical interfaces with functionalized magnetic nanoparticles
and wrapped carbon nanotubes as platforms for the construction of high
performance bienzyme biosensors.
AB - The design of a novel biosensing electrode surface, combining the advantages of
magnetic ferrite nanoparticles (MNPs) functionalized with glutaraldehyde (GA) and
poly(diallyldimethylammonium chloride) (PDDA)-coated multiwalled carbon nanotubes
(MWCNTs) as platforms for the construction of high-performance multienzyme
biosensors, is reported in this work. Before the immobilization of enzymes, GA
MNP/PDDA/MWCNT composites were prepared by wrapping of carboxylated MWCNTs with
positively charged PDDA and interaction with GA-functionalized MNPs. The
nanoconjugates were characterized by scanning electron microscopy (SEM) and
electrochemistry. The electrode platform was used to construct a bienzyme
biosensor for the determination of cholesterol, which implied coimmobilization of
cholesterol oxidase (ChOx) and peroxidase (HRP) and the use of hydroquinone as
redox mediator. Optimization of all variables involved in the preparation and
analytical performance of the bienzyme electrode was accomplished. At an applied
potential of -0.05 V, a linear calibration graph for cholesterol was obtained in
the 0.01-0.95 mM concentration range. The detection limit (0.85 MUM), the
apparent Michaelis-Menten constant (1.57 mM), the stability of the biosensor, and
the calculated activation energy can be advantageously compared with the
analytical characteristics of other CNT-based cholesterol biosensors reported in
the literature. Analysis of human serum spiked with cholesterol at different
concentration levels yielded recoveries between 100% and 103%
PMID- 21905726
TI - Studies of liquid crystalline self-assembly of GdF3 nanoplates by in-plane, out
of-plane SAXS.
AB - Directed self-assembly of colloidal nanocrystals into ordered superlattices
enables the preparation of novel metamaterials with diverse functionalities.
Structural control and precise characterization of these superlattices allow the
interactions between individual nanocrystal building blocks and the origin of
their collective properties to be understood. Here, we report the directed liquid
interfacial assembly of gadolinium trifluoride (GdF(3)) nanoplates into liquid
crystalline assemblies displaying long-range orientational and positional order.
The macroscopic orientation of superlattices is controlled by changing the
subphases upon which liquid interfacial assembly occurs. The assembled structures
are characterized by a combination of transmission electron microscopy (TEM) and
small-angle X-ray scattering (SAXS) measurements performed on a laboratory
diffractometer. By doping GdF(3) nanoplates with europium (Eu(3+)), luminescent
phosphorescent superlattices with controlled structure are produced and enable
detailed structural and optical characterization.
PMID- 21905727
TI - Fabrication of ultrafine metal-oxide-decorated carbon nanofibers for DMMP sensor
application.
AB - Ultrafine metal-oxide-decorated hybrid carbon nanofibers (CNFs) were fabricated
by a single-nozzle co-electrospinning process using a phase-separated mixed
polymer composite solution and heat treatment. To decorate metal oxides on the
CNF surface, core (PAN) and shell (PVP) structured nanofibers (NFs) were
fabricated as starting materials. The core-shell NF structure was prepared by
single-nozzle co-electrospinning because of the incompatibility of the two
polymers. Ultrafine hybrid CNFs were then formed by decomposing the PVP phase,
converting the metal precursors to metal oxide nanonodules, and transforming the
PAN to CNFs of ca. 40 nm diameter during heat treatment. The decoration
morphology of the metal oxide nanonodules could be controlled by precursor
concentration in the PVP solution. These ultrafine hybrid CNFs were applied to a
dimethyl methylphosphonate (DMMP) chemical sensor at room temperature with
excellent sensitivity. The minimum detectable level (MDL) of hybrid CNFs was as
low as 0.1 ppb, which is 10-100 times higher than for a chemical sensor based on
carbon nanotubes. This is because the metal oxide nanonodules of hybrid CNFs
increase the surface area and affinity to DMMP vapor. Our new synthetic
methodology promises to be an effective approach to fabricating hybrid
CNF/inorganic nanostructures for future sensing technologies.
PMID- 21905728
TI - Morpholinecarbonyl-Rhodamine 110 based substrates for the determination of
protease activity with accurate kinetic parameters.
AB - Commonly used fluorogenic substrate analogues for the detection of protease
activity contain two enzyme-cleavable bonds conjugated to the fluorophore.
Enzymatic cleavage follows a two-step reaction with a monoamide intermediate.
This intermediate shows fluorescence at the same wavelength as the final product
complicating the kinetic analysis of fluorescence-based assays. Fluorogenic
substrate analogues for alpha-chymotrypsin with one cleavable peptide bond have
been prepared from morpholinecarbonyl-Rhodamine 110 (MC-Rh110). A comparison of
their kinetic properties with the corresponding (peptide)(2)-Rh110 derivatives
revealed that these frequently used double-substituted substrate analogues yield
only apparent K(m) and k(cat) values that are quite different from the kinetic
parameters obtained from the monosubstituted MC-Rh110 based substrate analogues.
Although both the monoamide intermediate and MC-Rh110 are monosubstituted
Rhodamine 110 derivatives, they show different spectroscopic properties. The data
from the spectroscopic analysis clearly show that these properties are directly
related to the electron structure of the fluorophore and not to the previously
proposed equilibrium between the lactone form and the open ionic form of the
fluorophore. This knowledge about the determinants of the spectroscopic
properties of monosubstituted Rhodamine 110 introduces a way for a more
systematic development of new fluorogenic protease substrate analogues.
PMID- 21905729
TI - Effects of Ginkgo biloba constituents on fruit-infesting behavior of codling moth
(Cydia pomonella) in apples.
AB - Codling moth, Cydia pomonella (L.), is a cosmopolitan pest of apple, potentially
causing severe damage to the fruit. Currently used methods of combating this
insect do not warrant full success or are harmful to the environment. The use of
plant-derived semiochemicals for manipulation with fruit-infesting behavior is
one of the new avenues for controlling this pest. Here, we explore the potential
of Ginkgo biloba and its synthetic metabolites for preventing apple feeding and
infestation by neonate larvae of C. pomonella. Experiments with crude extracts
indicated that deterrent constituents of ginkgo are present among alkylphenols,
terpene trilactones, and flavonol glycosides. Further experiments with ginkgo
synthetic metabolites of medical importance, ginkgolic acids, kaempferol,
quercetin, isorhamnetin, ginkgolides, and bilobalide, indicated that three out of
these chemicals have feeding deterrent properties. Ginkgolic acid 15:0 prevented
fruit infestation at concentrations as low as 1 mg/mL, bilobalide had deterrent
effects at 0.1 mg/mL and higher concentrations, and ginkgolide B at 10 mg/mL. On
the other hand, kaempferol and quercetin promoted fruit infestation by codling
moth neonates. Ginkgolic acids 13:0, 15:1, and 17:1, isorhamnetin, and
ginkgolides A and C had no effects on fruit infestation-related behavior. Our
research is the first report showing that ginkgo constituents influence fruit
infestation behavior and have potential applications in fruit protection.
PMID- 21905730
TI - Design, synthesis, and functionalization of dimeric peptides targeting chemokine
receptor CXCR4.
AB - The chemokine receptor CXCR4 is a critical regulator of inflammation and immune
surveillance, and it is specifically implicated in cancer metastasis and HIV-1
infection. On the basis of the observation that several of the known antagonists
remarkably share a C(2) symmetry element, we constructed symmetric dimers with
excellent antagonistic activity using a derivative of a cyclic pentapeptide as
monomer. To optimize the binding affinity, we investigated the influence of the
distance between the monomers and the pharmacophoric sites in the synthesized
constructs. The affinity studies in combination with docking computations support
a two-site binding model. In a final step, 1,4,7,10-tetraazacyclododecane
1,4,7,10-tetraacetic acid (DOTA) was introduced as chelator for (radio-)metals,
thus allowing to exploit these compounds as a new group of CXCR4-binding peptidic
probes for molecular imaging and endoradiotherapeutic purposes. Both the DOTA
conjugates and some of their corresponding metal complexes retain good CXCR4
affinity, and one (68)Ga labeled compound was studied as PET tracer.
PMID- 21905731
TI - Atomic alignment effect in the dissociative energy transfer reaction of metal
carbonyls (Fe(CO)5, Ni(CO)4) with oriented Ar (3P2, M(J) = 2).
AB - The atomic alignment effect has been studied for the dissociative energy transfer
reaction of metal carbonyls (Fe(CO)(5), Ni(CO)(4)) with the oriented Ar ((3)P(2),
M(J) = 2). The emission intensity from the excited metal products (Fe*, Ni*) has
been measured as a function of the atomic alignment in the collision frame. The
selectivity of the atomic orbital alignment of Ar ((3)P(2), M(J) = 2) (rank 2
moment, a(2)) is found to be opposite for the two reaction systems; the Fe(CO)(5)
reaction is favorable at the Pi configuration (positive a(2)), while the
Ni(CO)(4) reaction is favorable at the Sigma configuration (negative a(2)).
Moreover, a significant spin alignment effect (rank 4 moment, a(4)) is recognized
only in the Ni(CO)(4) reaction. The atomic alignment effect turns out to be
essentially different between the two reaction systems; the Fe(CO)(5) reaction is
controlled by the configuration of the half-filled 3p atomic orbital of Ar
((3)P(2)) in the collision frame (L dependence), whereas the Ni(CO)(4) reaction
is controlled by the configuration of the total angular moment J (including spin)
of Ar ((3)P(2)) in the collision frame (J dependence). As the origin of J
dependence observed only in the Ni(CO)(4) reaction, the correlation (and/or the
interference) between two electron exchange processes via the electron
rearrangements is proposed.
PMID- 21905732
TI - In situ characterization of alloy catalysts for low-temperature graphene growth.
AB - Low-temperature (~450 degrees C), scalable chemical vapor deposition of
predominantly monolayer (74%) graphene films with an average D/G peak ratio of
0.24 and domain sizes in excess of 220 MUm(2) is demonstrated via the design of
alloy catalysts. The admixture of Au to polycrystalline Ni allows a controlled
decrease in graphene nucleation density, highlighting the role of step edges. In
situ, time-, and depth-resolved X-ray photoelectron spectroscopy and X-ray
diffraction reveal the role of subsurface C species and allow a coherent model
for graphene formation to be devised.
PMID- 21905733
TI - Quantification of phenolic compounds during red winemaking using FT-MIR
spectroscopy and PLS-regression.
AB - We present a rapid method to quantify phenolic compounds all during the red
winemaking process using Fourier transform mid-infrared (FT-MIR) spectroscopy and
chemometrics. To get the reference values, we used the usual UV-vis spectroscopy
methods, and the compounds studied were evaluated as total phenolic compounds
(TPC), total anthocyanins (TA), and condensed tannins (CT). Sampling from five
different grape varieties (Merlot, Tempranillo, Syrah, Carinena, and Cabernet
sauvignon), harvested at different ripening states, and monitored over 10 days of
vinification produced a total of 600 spectra. These were used to build and
validate four different predictive models by partial least-squares (PLS)
regression. The spectral regions selected for each model were between 979 and
2989 cm(-1), and when selecting the most suitable one in each case, good values
of performance parameters were obtained (R2(val) > 0.95 and RPD > 4.0 for TPC;
R2(val) > 0.90 and RPD > 3.0 for TA; R2(val) < 0.8 and RPD < 3.0 for CT).
Furthermore, also more specific PLS regression models for each phenolic parameter
and each grape variety were developed using different regions with results
similar to those obtained when dealing with all of the grape varieties. It is
concluded that FT-MIR spectroscopy together with multivariate calibration could
be a rapid and valuable tool for wineries to carry out the monitoring of phenolic
compound extraction during winemaking.
PMID- 21905734
TI - Comparison of the absorption, emission, and resonance Raman spectra of 7
hydroxyquinoline and 8-bromo-7-hydroxyquinoline caged acetate.
AB - To better understand the deprotection reaction of the new promising phototrigger
compound BHQ-OAc (8-bromo-7-hydroxyquinoline acetate), we present a detailed
comparison of the UV-vis absorption, resonance Raman, and fluorescence spectra of
BHQ-OAc with its parent compound 7-hydroxyquinoline in different solvents. The
steady-state absorption and resonance Raman spectra provide fundamental
information about the structure, properties, and population distribution of the
different prototropic forms present under the different solvent conditions
examined. The species present in the excited states that emit strongly were
detected by fluorescence spectra. It is shown that the ground-state
tautomerization process of BHQ-OAc is disfavored compared with that of 7-HQ in
aqueous solutions. The observation of the tautomeric form of BHQ-OAc in neutral
aqueous solutions demonstrates the occurrence of the excited-state proton
transfer process, which would be a competing process for the deprotection
reaction of BHQ-OAc in aqueous solutions.
PMID- 21905735
TI - Identification and quantification of metallo-chlorophyll complexes in bright
green table olives by high-performance liquid chromatrography-mass spectrometry
quadrupole/time-of-flight.
AB - Five different samples of table olives, two regular Spanish table olives and
three "bright green table olives", have been analyzed by HPLC-MS/MS to determine
their pigment profile. Typical pigment profiles of almost all table olives show
primarily chlorophyll derivatives lacking metals (e.g., pheophytin a/b and 15(2)
Me-phytol-chlorin e(6)). Bright green table olives have a unique profile
including metallo-chlorophyll complexes (Cu-15(2)-Me-phytol-chlorin e(6) with 26
48% and Cu-pheophytin a with 3-18%) as their major pigments. New tentative
structures have been identified by MS such as 15(2)-Me-phytol-rhodin g(7), 15(2)
Me-phytol-chlorin e(6), 15(2)-Me-phytol-isochlorin e(4), Cu-15(2)-Me-phytol
rhodin g(7), Cu-15(2)-Me-phytol-chlorin e(6), and Cu-15(2)-Me-phytol-isochlorin
e(4), and new MS/MS fragmentation patterns are reported for Cu-15(2)-Me-phytol
rhodin g(7), Cu-15(2)-Me-phytol-chlorin e(6), Cu-pheophytin b, Cu-pheophytin a,
Cu-pyropheophytin b, and Cu-pyropheophytin a. The presence of metallo-chlorophyll
derivatives is responsible for the intense color of bright green table olives,
but these metallo-chlorophyll complexes may be regarded as a "green staining"
defect that is unacceptable to consumers.
PMID- 21905736
TI - Characterization of phytochemicals and antioxidant activities of a purple tomato
(Solanum lycopersicum L.).
AB - A newly developed nongenetically modified purple tomato V118 was investigated for
its phytochemical compositions and antioxidant activities. A highly efficient and
sensitive UPLC method was developed for both the phenolics and carotenoids, which
showed that in addition to the phytochemicals commonly known for tomatoes, V118
had a unique composition of anthocyanins. The total carotenoid content of V118
was 234.78 MUg/g dry weight (DW), and the total phenolic content was 659.11 mg
GAE/100 g DW. The antioxidant activities of the lipophilic extract as measured by
the PCL and ORAC-L assays were 30.11 MUmol TE/g DW and 11.97 MUmol TE/g DW,
respectively, while the hydrophilic extracts as determined by the ORAC-H and FRAP
assays were 323.23 MUmol TE/g DW and 54.95 MUmol AAE/g DW, respectively. The LC
MS study showed three major anthocyanins, which were mainly acylglycosides of
petunidin and malvidin. This study showed that purple tomatoes such as V118
possess additional phytochemicals like anthocyanins, which can potentially have
added health benefits.
PMID- 21905737
TI - Photochemistry of Acetabularia rhodopsin II from a marine plant, Acetabularia
acetabulum.
AB - Acetabularia rhodopsins are the first microbial rhodopsins discovered in a marine
plant organism, Acetabularia acetabulum. Previously, we expressed Acetabularia
rhodopsin II (ARII) by a cell-free system from one of two opsin genes in A.
acetabulum cDNA and showed that ARII is a light-driven proton pump [Wada, T., et
al. (2011) J. Mol. Biol. 411, 986-998]. In this study, the photochemistry of ARII
was examined using the flash-photolysis technique, and data were analyzed using a
sequential irreversible model. Five photochemically defined intermediates (P(i))
were sufficient to simulate the data. Noticeably, both P(3) and P(4) contain an
equilibrium mixture of M, N, and O. Using a transparent indium tin oxide
electrode, the photoinduced proton transfer was measured over a wide pH range.
Analysis of the pH-dependent proton transfer allowed estimation of the pK(a)
values of some amino acid residues. The estimated values were 2.6, 5.9 (or 6.3),
8.4, 9.3, 10.5, and 11.3. These values were assigned as the pK(a) of Asp81
(Asp85(BR)) in the dark, Asp92 (Asp96(BR)) at N, Glu199 (Glu204(BR)) at M, Glu199
in the dark, an undetermined proton-releasing residue at the release, and the pH
to start denaturation, respectively. Following this analysis, the proton transfer
of ARII is discussed.
PMID- 21905738
TI - Synthesis of new 3,20-bispolyaminosteroid squalamine analogues and evaluation of
their antimicrobial activities.
AB - 3,20-Amino- and polyaminosteroid analogues of squalamine and trodusquemine were
synthesized involving a stereoselective titanium reductive amination reaction in
high chemical yields in numerous cases. These derivatives were evaluated for
their in vitro antimicrobial properties against references and clinical bacterial
strains exhibiting minimum inhibitory concentrations of 2.5-40 MUg/mL. The
mechanism of action of these derivatives was determined using bioluminescence for
ATP efflux measurements and fluorescence methods for membrane depolarization
assays.
PMID- 21905740
TI - Compact antenna for efficient and unidirectional launching and decoupling of
surface plasmons.
AB - Controlling the launching efficiencies and the directionality of surface plasmon
polaritons (SPPs) and their decoupling to freely propagating light is a major
goal for the development of plasmonic devices and systems. Here, we report on the
design and experimental observation of a highly efficient unidirectional surface
plasmon launcher composed of eleven subwavelength grooves, each with a distinct
depth and width. Our observations show that, under normal illumination by a
focused Gaussian beam, unidirectional SPP launching with an efficiency of at
least 52% is achieved experimentally with a compact device of total length
smaller than 8 MUm. Reciprocally, we report that the same device can efficiently
convert SPPs into a highly directive light beam emanating perpendicularly to the
sample.
PMID- 21905739
TI - Design, synthesis, and biological evaluation of chromone-based p38 MAP kinase
inhibitors.
AB - 3-(4-Fluorophenyl)-2-(4-pyridyl)chromone derivatives were synthesized and
evaluated as p38 MAP kinase inhibitors. Introduction of an amino group in the 2
position of the pyridyl moiety gave p38alpha inhibitors with IC(50) in the low
nanomolar range (e.g., IC(50) = 17 nm). The inhibitors showed excellent
selectivity profiles when tested on a panel of 62 kinases, as well as efficient
inhibition of p38 signaling in human breast cancer cells.
PMID- 21905741
TI - Tuning G-quadruplex vs double-stranded DNA recognition in regioisomeric lysyl
peptidyl-anthraquinone conjugates.
AB - Anthraquinone is a versatile scaffold to provide effective DNA binders. This
planar system can be easily conjugated to protonable side chains: the nature of
the lateral groups and their positions around the tricyclic moiety largely affect
the DNA recognition process in terms of binding affinity and mode, as well as
sequence and structure of the target nucleic acid. Starting from an
anthracenedione system symmetrically functionalized with N-terminal lysyl
residues, we incremented the length of side chains by introducing a Gly, Ala, or
Phe spacer, characterized by different flexibility, lipophilicity, and bulkiness.
Moreover, 2,6, 2,7, 1,8, and 1,5 regioisomers were examined to yield a small
bis(lysyl-peptidyl) anthracenedione library. By merging spectroscopic, enzymatic,
and cellular results, we showed that the proper combination of a basic aminoacid
(Lys) with a more hydrophobic residue (Phe) can provide selective G-quadruplex
recognition, in particular when side chains are located at positions 2,6 or 2,7.
In fact, while these derivatives effectively bind G-quadruplex structures, they
behave at the same time as rather poor double-stranded DNA intercalators. As a
result, the Lys-Phe substituted anthraquinones are poorly cytotoxic but still
able to promote a senescence mechanism in cancer cells. This combination of
chemical and biological properties foresees potentially valuable applications in
anticancer medicinal chemistry.
PMID- 21905742
TI - Conjugation of type I antifreeze protein to polyallylamine increases thermal
hysteresis activity.
AB - Antifreeze proteins (AFPs) are ice binding proteins found in some plants,
insects, and Antarctic fish allowing them to survive at subzero temperatures by
inhibiting ice crystal growth. The interaction of AFPs with ice crystals results
in a difference between the freezing and melting temperatures, termed thermal
hysteresis, which is the most common measure of AFP activity. Creating antifreeze
protein constructs that reduce the concentration of protein needed to observe
thermal hysteresis activities would be beneficial for diverse applications
including cold storage of cells or tissues, ice slurries used in refrigeration
systems, and food storage. We demonstrate that conjugating multiple type I AFPs
to a polyallylamine chain increases thermal hysteresis activity compared to the
original protein. The reaction product is approximately twice as active when
compared to the same concentration of free proteins, yielding 0.5 degrees C
thermal hysteresis activity at 0.3 mM protein concentration. More impressively,
the amount of protein required to achieve a thermal hysteresis of 0.3 degrees C
is about 100 times lower when conjugated to the polymer (3 MUM) compared to free
protein (300 MUM). Ice crystal morphologies observed in the presence of the
reaction product are comparable to those of the protein used in the conjugation
reaction.
PMID- 21905745
TI - Analysis and status of post-combustion carbon dioxide capture technologies.
AB - The Electric Power Research Institute (EPRI) undertook a multiyear effort to
understand the landscape of postcombustion CO2 capture technologies globally. In
this paper we discuss several central issues facing CO2 capture involving scale,
energy, and overall status of development. We argue that the scale of CO2
emissions is sufficiently large to place inherent limits on the types of capture
processes that could be deployed broadly. We also discuss the minimum energy
usage in terms of a parasitic load on a power plant. Finally, we present summary
findings of the landscape of capture technologies using an index of technology
readiness levels.
PMID- 21905744
TI - Solid-phase synthesis of smac peptidomimetics incorporating triazoloprolines and
biarylalanines.
AB - Apoptotic induction mechanisms are of crucial importance for the general
homeostasis of multicellular organisms. In cancer the apoptotic pathways are
downregulated, which, at least partly, is due to an abundance of inhibitors of
apoptosis proteins (IAPs) that block the apoptotic cascade by deactivating
proteolytic caspases. The Smac protein has an antagonistic effect on IAPs, thus
providing structural clues for the synthesis of new pro-apoptotic compounds.
Herein, we report a solid-phase approach for the synthesis of Smac-derived
tetrapeptide libraries. On the basis of a common (N-Me)AVPF sequence, peptides
incorporating triazoloprolines and biarylalanines were synthesized by means of
Cu(I)-catalyzed azide-alkyne cycloaddition and Pd-catalyzed Suzuki cross-coupling
reactions. Solid-phase procedures were optimized to high efficiency, thus
accessing all products in excellent crude purities and yields (both typically
above 90%). The peptides were subjected to biological evaluation in a live/dead
cellular assay which revealed that structural decorations on the AVPF sequence
indeed are highly important for cytotoxicity toward HeLa cells.
PMID- 21905743
TI - Hydrazide reactive peptide tags for site-specific protein labeling.
AB - New site-specific protein labeling (SSPL) reactions for targeting-specific, short
peptides could be useful for the real-time detection of proteins inside of living
cells. One SSPL approach matches bioorthogonal reagents with complementary
peptides. Here, hydrazide reactive peptides were selected from phage-displayed
libraries using reaction-based selections. Selection conditions included washes
of varying pH and treatment with NaCNBH(3) in order to specifically select
reactive carbonyl-containing peptides. Selected peptides were fused to T4
lysozyme or synthesized on filter paper for colorimetric assays of the peptide
hydrazide interaction. A peptide-lysozyme protein fusion demonstrated specific,
covalent labeling by the hydrazide reactive (HyRe) peptides in crude bacterial
cell lysates, sufficient for the specific detection of an overexpressed protein
fusion. Chemical synthesis of a short HyRe tag variant and subsequent reaction
with two structurally distinct hydrazide probes produced covalent adducts
observable by MALDI-TOF MS and MS/MS. Rather than isolating reactive carbonyl
containing peptides, we observed reaction with the N-terminal His of HyRe tag
114, amino acid sequence HKSNHSSKNRE, which attacks the hydrazide carbonyl at
neutral pH. However, at the pH used during selection wash steps (<6.0), an
alternative imine-containing product is formed that can be reduced with sodium
cyanoborohydride. MSMS further reveals that this low pH product forms an adduct
on Ser6. Further optimization of the novel bimolecular reaction described here
could provide a useful tool for in vivo protein labeling and bioconjugate
synthesis. The reported selection and screening methods could be widely
applicable to the identification of peptides capable of other site-specific
protein labeling reactions with bioorthogonal reagents.
PMID- 21905746
TI - Amplified multiplexed analysis of DNA by the exonuclease III-catalyzed
regeneration of the target DNA in the presence of functionalized semiconductor
quantum dots.
AB - Quantum dots (QDs) functionalized with a black-hole quencher are used as optical
tracer for the detection of DNA using exonuclease as a biocatalyst. The binding
of the target DNA or of a target/open hairpin complex to the functionalized QDs
leads to the exonuclease-stimulated recycling of the target DNA or the
target/hairpin complex. This results in the triggering of the luminescence of the
QDs that provides a readout signal for the amplified sensing process. By using
different-sized QDs, the multiplexed detection of DNAs is demonstrated.
PMID- 21905747
TI - Diastereoselective one-pot synthesis of 7- and 8-substituted 5-phenylmorphans.
AB - Novel 7- and 8-alkyl and aryl substituted 5-phenylmorphans were synthesized from
substituted allyl halides and N-benzyl-4-aryl-1,2,3,6-tetrahydropyridine by a
highly efficient and diastereoselective reaction series, "one-pot" alkylation and
ene-imine cyclization followed by sodium borohydride reduction. Mild cyclization
conditions gave the desired substituted 5-phenylmorphans in good yield as a
single diastereomer.
PMID- 21905749
TI - Selective synthesis of hydroxy analogues of valinomycin using dioxiranes.
AB - A synthesis of representative monohydroxy derivatives of valinomycin (VLM) was
achieved under mild conditions by direct hydroxylation at the side chains of the
macrocyclic substrate using dioxiranes. Results demonstrate that the powerful
methyl(trifluoromethyl)dioxirane 1b should be the reagent of choice to carry out
these key transformations. Thus, a mixture of compounds derived from the direct
dioxirane attack at the beta-(CH(3))(2)C-H alkyl chain of one Hyi residue
(compound 3a) or of one Val moiety (compounds 3b and 3c) could be obtained.
Following convenient mixture separation, each of the new oxyfunctionalized
macrocycles became completely characterized.
PMID- 21905748
TI - Stereoselective borylative ketone-diene coupling.
AB - In the presence of catalytic Ni(cod)(2) and P(t-Bu)(3), ketones, dienes, and
B(2)(pin)(2) undergo a stereoselective multicomponent coupling reaction. Upon
oxidation, the reaction furnishes 1,3-diols as the major reaction product.
PMID- 21905750
TI - Dynamic metabolic response of mice to acute mequindox exposure.
AB - Mequindox is used as a veterinary antibiotic drug. As part of systematic
investigations into mequindox as a veterinary medicine and its subsequent
applications in food safety, we conducted the investigation to assess the
metabolic response of mice to mequindox using metabonomics, which combines NMR
metabolic profiles of biofluids or tissues and pattern recognition data analysis.
In this study, we delivered a single dose of mequindox to mice with dosage levels
of 15, 75, and 350 mg/kg body weight and collected urine samples over a 7 day
period, as well as plasma and liver tissues at 7 days postdose. Principal
components analysis (PCA) and orthogonal projection to latent structure
discriminant analysis (O-PLS-DA) were performed on (1)H NMR spectra of biofluids
and liver, showing that low dose levels of mequindox exposure had no adverse
effects, consistent with histological observations of the liver. High and
moderate levels of mequindox exposure caused suppression of glycolysis and
stimulation of fatty acid oxidation accompanied with increased levels of
oxidative stress. Our metabonomic analyses also showed disruption of amino acid
metabolism, consistent with liver damage observed from histopathological
examinations. Furthermore, mequindox perturbed gut microbial activity manifested
in the altered excretion of urinary trimethylamine (TMA), trimethylamine-N-oxide
(TMAO), hippurate, phenylacetylglycine (PAG), and phenylacetate. The putative gut
microbial function may also contribute to the assembly and secretion of very-low
density lipoproteins from the liver to the plasma. Our work provides important
insights on the metabolic responses of mequindox.
PMID- 21905751
TI - Metabolomics unveils urinary changes in subjects with metabolic syndrome
following 12-week nut consumption.
AB - Through an HPLC-Q-TOF-MS-driven nontargeted metabolomics approach, we aimed to
discriminate changes in the urinary metabolome of subjects with metabolic
syndrome (MetS), following 12 weeks of mixed nuts consumption (30 g/day),
compared to sex- and age-matched individuals given a control diet. The urinary
metabolome corresponding to the nut-enriched diet clearly clustered in a distinct
group, and the multivariate data analysis discriminated relevant mass features in
this separation. Metabolites corresponding to the discriminating ions (MS
features) were then subjected to multiple tandem mass spectrometry experiments
using LC-ITD-FT-MS, to confirm their putative identification. The metabolomics
approach revealed 20 potential markers of nut intake, including fatty acid
conjugated metabolites, phase II and microbial-derived phenolic metabolites, and
serotonin metabolites. An increased excretion of serotonin metabolites was
associated for the first time with nut consumption. Additionally, the detection
of urinary markers of gut microbial and phase II metabolism of nut polyphenols
confirmed the understanding of their bioavailability and bioactivity as a
priority area of research in the determination of the health effects derived from
nut consumption. The results confirmed how a nontargeted metabolomics strategy
may help to access unexplored metabolic pathways impacted by diet, thereby
raising prospects for new intervention targets.
PMID- 21905752
TI - Tridentate lysine-based fluorescent sensor for Hg(II) in aqueous solution.
AB - A novel homoplastic podand fluorescent sensor based on flexible hydrophilic
lysine was prepared. Lysine with two dansyl groups-appended at both ends supplied
a possibility for a tridentate binding toward Hg(II) and finally resulted in a
unique selectivity to Hg(II) over other transition-metal ions with a
hypersensitivity (detection limit 2.0 nM) in neutral buffered aqueous solutions.
Notably, the coordination of chloride ion to the complex of sensor-Hg(II) brought
forth that the trend in the NMR chemical shift for hydrogen and carbon atoms of
the sensor was contrary to the findings in the former reports, which shows
upfield shifts for the hydrogens and the alkane carbons but downfield shifts for
the dansyl carbons, respectively.
PMID- 21905753
TI - A highly selective on/off fluorescence sensor for cadmium(II).
AB - A polypyridyl ligand, 2,3,6,7,10,11-hexakis(2-pyridyl)dipyrazino[2,3-f:2',3'
h]quinoxaline (HPDQ), was found to have excellent fluorescent selectivity for
Cd(2+) over many other metal ions (K(+), Na(+), Ca(2+), Mg(2+), Mn(2+), Fe(2+),
Ni(2+), Co(2+), Cu(2+), Ag(+), Hg(2+), Zn(2+), and Cr(3+)) based on the
intramolecular charge-transfer mechanism, which makes HPDQ a potential
fluorescence sensor or probe for Cd(2+). An obvious color change between HPDQ and
HPDQ + Cd(2+) can be visually observed by the naked eye. The structure of the
complex HPDQ-Cd has been characterized by X-ray crystallography. Density
functional theory calculation results on the HPDQ and HPDQ-Cd complexes could
explain the experimental results.
PMID- 21905754
TI - Antiferromagnetic ground state of quantum spins in the synthetic imanite,
Ca3Ti2Si3O12: the lost child of the garnet family.
AB - Large single crystals of the garnet imanite, Ca(3)Ti(2)Si(3)O(12), were
synthesized by a floating zone technique. Near-infrared to visible spectroscopy
presents an optical gap of 1.65 eV at 4 K, proving the insulating character of
this garnet compound. Electron paramagnetic resonance data indicate that the d(1)
electron of Ti(3+) exhibits an orbital contribution to the spin moment (g =
1.859(1)). An antiferromagnetic state is observed below T(N) = 7 K, confirmed by
magnetic susceptibility and specific heat data. X-ray diffraction investigations
on powders and single crystals of imanite reveal that the crystal structure
agrees well with expectations: the cubic symmetry Ia3d describes all obtained
single crystal and powder diffraction data.
PMID- 21905755
TI - Site-preferential design of itinerant ferromagnetic borides: experimental and
theoretical investigation of MRh6B3 (M = Fe, Co).
AB - Single-phase polycrystalline samples of the compounds MRh(6)B(3) (M = Fe, Co) as
well as single crystals of CoRh(6)B(3) have been synthesized by arc-melting the
elements under a purified argon atmosphere in a water-cooled copper crucible. The
characterization of the new phases was achieved by using single-crystal and
powder X-ray diffraction as well as EDX measurements. The two phases are isotypic
and crystallize in the hexagonal Th(7)Fe(3) structure type (space group P6(3)mc,
no. 186, Z = 2). In this structure, the magnetically active atoms (Fe, Co) are
preferentially found on only one of the three available rhodium sites, and
together with rhodium they build a three-dimensional network of interconnected
(Rh/M)(3) triangles. Magnetic properties investigations show that both phases
order ferromagnetically below Curie temperatures of 240 K (for FeRh(6)B(3)) and
150 K (for CoRh(6)B(3)). First-principles DFT calculations correctly reproduce
not only the lattice parameters but also the ground state magnetic ordering in
the two phases. These calculations also show that the long-range magnetic
ordering in both phases occurs via indirect ferromagnetic coupling between the
iron atoms mediated by rhodium. This magnetic structural model also predicts the
saturation magnetizations to be 4.02 MU(B) for FeRh(6)B(3) (3.60 MU(B) found
experimentally) and 2.75 MU(B) for CoRh(6)B(3). Furthermore, both phases are
predicted to be metallic conductors as expected for these intermetallic borides.
PMID- 21905756
TI - Ba4KFe3O9: a novel ferrite containing discrete 6-membered rings of corner-sharing
FeO4 tetrahedra.
AB - Single crystals of a new iron-containing oxide, Ba(4)KFe(3)O(9), were grown from
a hydroxide melt, and the crystal structure was determined by single-crystal X
ray diffraction. This ferrite represents the first complex oxide containing
isolated 6-membered rings of corner-sharing FeO(4) tetrahedra. Mossbauer
measurements are indicative of two tetrahedral high-spin Fe(3+) coordination
environments. The observed magnetic moment (~3.9 MU(B)) at 400 K is significantly
lower than the calculated spin-only (~5.2 MU(B)) value, indicating the presence
of strong antiferromagnetic interactions in the oxide. Our density functional
theory calculations confirm the strong antiferromagnetic coupling between
adjacent Fe(3+) sites within each 6-membered ring and estimate the nearest
neighbor spin-exchange integral as ~200 K; next-nearest-neighbor interactions are
shown to be negligible. The lower than expected effective magnetic moment for
Ba(4)KFe(3)O(9) calculated from chiT data is explained as resulting from the
occupation of lower-lying magnetic states in which more spins are paired. X-band
(9.5 GHz) electron paramagnetic resonance (EPR) spectra of a powder sample
consist of a single line at g ~ 2.01 that is characteristic of Fe(3+) ions in a
tetrahedral environment, thus confirming the Mossbauer results. Further analysis
of the EPR line shape reveals the presence of two types of Fe(6) magnetic species
with an intensity ratio of ~1:9. Both species have Lorentzian line shapes and
indistinguishable g factors but differ in their peak-to-peak line widths
(deltaB(pp)). The line-width ratio deltaB(pp)(major)/deltaB(pp)(minor) ~ 3.6
correlates well with the ratio of the Weiss constants, theta(minor)/theta(major)
~ 4.
PMID- 21905757
TI - Introducing a magnetic guest to a tetrel-free clathrate: synthesis, structure,
and properties of Eu(x)Ba(8-x)Cu16P30 (0 <= x <= 1.5).
AB - The europium-containing clathrate-I Eu(x)Ba(8-x)Cu(16)P(30) was synthesized from
the elements. Powder X-ray diffraction in combination with energy dispersive X
ray absorption spectroscopy (EDXS) and metallographic studies showed the
homogeneity range with x <= 1.5. Determination of the crystal structure confirmed
the presence of an orthorhombic superstructure of clathrate-I and revealed that
Eu atoms exclusively resided in small pentagonal-dodecahedral cages. Magnetic
measurements together with X-ray absorption spectroscopy are consistent with a
4f(7) (Eu(2+)) ground state for Eu(x)Ba(8-x)Cu(16)P(30). Below 3 K the Eu moments
order antiferromagnetically. Resistivity measurements revealed metallic behavior
of the investigated clathrate, in line with the composition deviating from the
Zintl counting scheme. Local vibrations of the guest atoms inside the cages are
analyzed with the help of specific heat investigations.
PMID- 21905759
TI - Critical assessment of belgian reimbursement dossiers of orphan drugs.
AB - BACKGROUND: Orphan medicinal products are designed to diagnose or treat rare
diseases that are serious, life threatening or chronically debilitating and that
affect 50 or fewer people in every 100 000 in the EU. In Belgium, the Drug
Reimbursement Committee (DRC) evaluates reimbursement requests for orphan drugs
based on multiple criteria: the therapeutic value, price and proposed
reimbursement tariff; the importance of the drug in clinical practice; and the
budget impact of the drug. OBJECTIVES: This study aimed to assess reimbursement
dossiers of orphan drugs in Belgium and to compare them with the clinical
evidence submitted to the European Medicines Agency (EMA). METHODS: A qualitative
analysis examined all reimbursement dossiers of orphan drugs that were submitted
in Belgium between January 2002 and June 2008. The following information was
extracted from each dossier: description of the orphan drug; indication;
reimbursement status; therapeutic value and needs; budget impact; and number of
registered indications. For selected orphan drugs, an in-depth analysis extracted
and compared information about the clinical trials, their primary endpoints and
results from EMA documents (i.e. the marketing authorization application file,
European public assessment report and summary of product characteristics) and the
Belgian reimbursement dossiers. RESULTS: Reimbursement was awarded to the
majority of orphan drugs. In addition to the official criteria, other negotiable
factors, such as price adjustments, employment incentives, patient population
restrictions and funding of diagnostic tests by the company, seemed to play a
role in the reimbursement decision. Despite the low number of patients,
randomized controlled trials were conducted for many orphan drugs. Budget-impact
analyses were simplistic and did not consider the impact across multiple
indications. Some differences were also observed between the clinical evidence
submitted to the EMA and that submitted to the Belgian DRC. CONCLUSIONS: In
addition to the official criteria, other negotiable factors, such as price
adjustments and employment incentives, may play a role in Belgian reimbursement
decisions of orphan drugs. Some differences have also been noted between the
clinical evidence reported in EMA documents and the evidence included in Belgian
reimbursement dossiers of orphan drugs. There appears to be a need for further
standardization of Belgian reimbursement applications and for European
cooperation in sharing clinical evidence of orphan drugs.
PMID- 21905758
TI - Balance between fluorescence enhancement and association affinity in fluorescent
heteroditopic indicators for imaging zinc ion in living cells.
AB - A fluorescent heteroditopic indicator for the zinc(II) ion possesses two
different zinc(II) binding sites. The sequential coordination of zinc(II) at the
two sites can be transmitted into distinct fluorescence changes. In the
heteroditopic ligand system that our group developed, the formations of mono- and
dizinc(II) complexes along an increasing gradient of zinc(II) concentration lead
to fluorescence enhancement and an emission bathochromic shift, respectively. The
extents of these two changes determine the sensitivity and, ultimately, the
effectiveness of the heteroditopic indicator in quantifying zinc(II) ion over a
large concentration range. In this work, a strategy to increase the degree of
fluorescence enhancement upon the formation of the monozinc(II) complex of a
heteroditopic ligand under simulated physiological conditions is demonstrated.
Fluorination of the pyridyl groups in the pentadentate N,N,N'
tris(pyridylmethyl)ethyleneamino group reduces the apparent pK(a) value of the
high-affinity site, which increases the degree of fluorescence enhancement as the
monozinc(II) complex is forming. However, fluorination impairs the coordination
strength of the high-affinity zinc(II) binding site, which in the triply
fluorinated ligand reduces the binding strength to the level of the low-affinity
2,2'-bipyridyl. The potential of the reported ligands in imaging zinc(II) ion in
living cells was evaluated. The subcellular localization properties of two
ligands in five organelles were characterized. Both benefits and deficiencies of
these ligands were revealed, which provides directions for the near future in
this line of research.
PMID- 21905760
TI - Diabetes and stress: an anthropological review for study of modernizing
populations in the US-Mexico border region.
AB - INTRODUCTION: Diabetes is a growing worldwide problem, characterized by
considerable ethnic variation and being particularly common in modernizing
populations. Modernization is accompanied by a variety of stressful sociocultural
changes that are believed to increase the risk of diabetes. Unfortunately, there
is little accurate knowledge about impact of stress on the risk of diabetes in
the US-Mexico border area. METHODS: Literature searches were performed in PubMed
and Google Scholar to identify anthropological studies on stress and diabetes.
Snowball and opportunistic sampling were used to expand the identified
literature. In total, 30 anthropological studies were identified concerning the
role of stress and modernization on diabetes among Indigenous peoples. This
article reviews the available information regarding stress and diabetes in
different populations from various anthropological perspectives. RESULTS: Four
different concepts of stress were indentified: physiological, psychological,
psychosocial and nutritional stress. Unlike physiological and nutritional
theories of diabetes, psychological and psychosocial theories of stress and
disease lack etiological specificity. No study addressed all four concepts of
stress and few studies addressed more than two concepts. Most studies concerned
nutritional stress and the developmental origins of diabetes. Most studies were
conducted on the Pima Indians of Arizona and Mexico. All four stress concepts
have some evidence as determinants of diabetes. CONCLUSION: These theoretical
concepts and ethnographic results can provide the basis for developing
comprehensive research protocols and public health intervention targeted at
diabetes. A comprehensive view of stress can potentially explain the high
prevalence of diabetes in developing countries and among Indigenous peoples.
These results can be used to inform public health interventions aimed at reducing
diabetes in the US-Mexico border region or similar areas, help identify at-risk
individuals, and guide health education and promotion.
PMID- 21905761
TI - Quality of life following successful repair of vesicovaginal fistula in Nigeria.
AB - INTRODUCTION: The impact of obstetric vesicovaginal fistula (VVF), which
continues to occur among women in developing countries, can severely affect the
health of the women while the condition persists. This study was designed to
discover the effect of successful VVF repair on affected women's quality of life.
METHODS: A comparison of the quality of life of a cross-section of women who had
VVF was carried out before and 6 months after successful repair. Quality of life
was assessed using the WHO Quality of Life (WHOQOL)-BREF Questionnaire. RESULTS:
Of the 150 women studied, only 20% felt satisfied with their general state of
health and quality of life before the repair, while this increased to 90%
following successful repair (highly statistically significant at p<0.000). In the
physical health domain, the mean quality of life score was 67.9 +/- 4.4 before
and 69.3 +/- 3.4 after successful repair (p<0.01). In the mental health domain,
the mean quality of life score was 32.4 +/- 8.7 before and 74.7 +/- 3.2 after
successful repair (p<0.005). In the social health domain, the mean score was 20.2
+/- 2.7 before and 69.7 +/- 2.3 after successful repair (p<0.001). In the
environment domain, the mean score of quality of life was 60.3 +/- 4.3 before
repair and 59.7 +/- 2.7 after successful repair (comparable at p<0.2).
CONCLUSION: Successful repair of VVF is associated with significant improvement
in the multidimensional quality of life among affected women.
PMID- 21905762
TI - Novel phosphodiesterase type 5 modulators: a patent survey (2008 - 2010).
AB - INTRODUCTION: The inhibition of cyclic nucleotide PDE5 has been clinically
validated as an effective treatment for erectile dysfunction and pulmonary
arterial hypertension. There are three PDE5 inhibitors (sildenafil, vardenafil
and tadalafil) approved worldwide and a further two agents (udenafil and
mirodenafil) approved only in Korea. These first generation agents are perceived
to have flaws in selectivity over other PDEs: slow onset, duration of action or
CNS penetration, which has driven further research to identify optimal PDE5
inhibitors for the current pathologies. Several clinical trials have been
reported to investigate the potential for PDE5 inhibitors to treat additional
indications, which might require agents with different biological and/or
pharmacokinetic profiles. AREAS COVERED: This review provides a summary of
developments in the patent and open literature over the period 2008 - 2010.
EXPERT OPINION: Avanafil, the first of a new generation of PDE5 inhibitors, has
shown encouraging efficacy in clinical trials, and is likely to result in a new
drug application filing during 2011, followed by a possible launch in 2012.
Judging by the wealth of different structural series being claimed in patents, it
seems that the selectivity and pharmacokinetic issues facing the first generation
can be addressed through novel chemical matter.
PMID- 21905763
TI - The COPD Pipeline XII.
PMID- 21905764
TI - Emerging GLP-1 receptor agonists.
AB - INTRODUCTION: Recently, glucagon-like peptide-1 receptor (GLP-1R) agonists have
become available for the treatment of type 2 diabetes. These agents exploit the
physiological effects of GLP-1, which is able to address several of the
pathophysiological features of type 2 diabetes. GLP-1R agonists presently
available are administered once or twice daily, but several once-weekly GLP-1R
agonists are in late clinical development. AREAS COVERED: The present review aims
to give an overview of the clinical data on the currently available GLP-1R
agonists used for treatment of type 2 diabetes, exenatide and liraglutide, as
well as the emerging GLP-1R agonists including the long-acting compounds. EXPERT
OPINION: An emerging therapeutic trend toward initial or early combination
therapy with metformin- and incretin-based therapy is anticipated for patients
with type 2 diabetes. GLP-1-based therapy has so far proven safe and tolerable.
The determination of which incretin-based therapy to choose necessitates
comparisons between the various GLP-1R agonists. The available GLP-1R agonists
cause sustained weight loss and clinical relevant improvement of glycemic
control. The long-acting GLP-1R agonists in late development may improve the
effects of GLP-1 even further with optimized pharmacokinetic profiles resulting
in fewer side effects. Meta-analyses have shown promising effects on
cardiovascular disease and data from ongoing multicenter trials with
cardiovascular endpoints are expected in 2015.
PMID- 21905765
TI - Successful treatment with oral valganciclovir of primary CMV enterocolitis in a
congenitally infected infant.
AB - Cytomegalovirus (CMV) is the most common congenitally acquired viral infection in
the United States and is associated with significant morbidity and mortality.
Primary CMV enterocolitis is well documented in immunocompromised patients, but
remains rare in congenitally acquired infections. There are no universally
accepted recommendations for the treatment of CMV enterocolitis in the pediatric
population. Case reports show varied dosing and length of treatment of either
intravenously administered ganciclovir, orally administered valganciclovir, or a
combination of both. We present a congenitally infected infant with primary CMV
enterocolitis who was successfully treated with orally administered
valganciclovir.
PMID- 21905766
TI - Ophthalmic preservatives: focus on polyquaternium-1.
AB - INTRODUCTION: Ophthalmic preservatives, such as polyquaternium-1 (PQ-1), are
critical for the inhibition of growth of microbial contaminants in multi-dose
bottles of topical medications. These antimicrobial agents must have a high
efficacy against pathogenic organisms, while maintaining a favorable tolerability
and safety profile. AREAS COVERED: This review focuses on the ophthalmic
preservative PQ-1. For comparison purposes, the most commonly used preservative,
benzalkonium chloride (BAK), is also discussed. This survey focuses primarily on
data collected during the past 10 years. EXPERT OPINION: Effective drug delivery
requires more than just an active ingredient that achieves its desired biological
effect on end-target tissues. In addition, drugs must be stable in the containers
that they are stored in, and must possess minimal undesired local and systemic
side effects that can cause patients to decrease their adherence. In addressing
these concerns, specifically in topical ophthalmic drops, one must take into
account the active ingredients, vehicle components and preservatives. Medications
with fewer adverse effects may lead to enhanced adherence to therapy; therefore,
the induction of such adverse outcomes must be considered by physicians when
treating patients with chronic ocular disease. Although BAK will continue to be
used in ophthalmic medications, due to its familiarity and compatibility with a
broad range of topical ocular formulations, PQ-1 is certainly a viable
alternative in the preservative formulary armamentarium.
PMID- 21905767
TI - Cytogenetic characterization of the invasive mussel species Xenostrobus securis
Lmk. (Bivalvia: Mytilidae).
AB - The chromosomes of the invasive black-pigmy mussel (Xenostrobus securis (Lmk.
1819)) were analyzed by means of 4',6-diamidino-2-phenylindole (DAPI) / propidium
iodide (PI) and chromomycin A3 (CMA) / DAPI fluorescence staining and fluorescent
in situ hybridization using major rDNA, 5S rDNA, core histone genes, linker
histone genes, and telomeric sequences as probes. The diploid chromosome number
in this species is 2n = 30. The karyotype is composed of seven metacentric, one
meta/submetacentric, and seven submetacentric chromosome pairs. Telomeric
sequences appear at both ends of every single chromosome. Major rDNA clusters
appear near the centromeres on chromosome pairs 1 and 3 and are associated with
bright CMA fluorescence and dull DAPI fluorescence. This species shows five 5S
rDNA clusters close to the centromeres on four chromosome pairs (2, 5, 6, and 8).
Three of the four core histone gene clusters map to centromeric positions on
chromosome pairs 7, 10, and 13. The fourth core histone gene cluster occupies a
terminal position on chromosome pair 8, also bearing a 5S rDNA cluster. The two
linker histone gene clusters are close to the centromeres on chromosome pairs 12
and 14. Therefore, the use of these probes allows the unequivocal identification
of 11 of the 15 chromosome pairs that compose the karyotype of X. securis.
PMID- 21905768
TI - A genetic map of an Australian wild Gossypium C genome and assignment of
homoeologies with tetraploid cultivated cotton.
AB - Genetic diversity for traits such as fibre quality or disease resistance to
microorganisms is limited in the elite cotton germplasm; consequently, cotton
breeders are looking for novel alleles in the secondary or even in the tertiary
gene pools. The wild Australian Gossypium species (tertiary gene pool) represent
an alternative source of novel alleles. However, to use these species
efficiently, enabling tools are required. Chromosome-specific molecular markers
are particularly useful tools to track the transmission of this exotic genetic
material into the cultivated cotton during introgression. In this study, we
report the construction of a genetic linkage map of the Australian wild C-genome
species Gossypium sturtianum. The map, based on an F(2) population of 114
individuals, contains 291 AFLP loci. The map spans 1697 cM with an average
distance of 5.8 cM between markers. To associate C-genome chromosomes with the A
and D subgenomes of cultivated cotton, 29 SSR and RFLP-STS markers were assigned
to chromosomes using cultivated cotton mapped marker information. Polymorphisms
were revealed by 51 AFLP primer combinations and 38 RFLP-STS and 115 SSR cotton
mapped markers. The utility of transferring RFLP-STS and SSR cotton mapped
markers to other Gossypium species shows the usefulness of a comparative approach
as a source of markers and for aligning the genetic map of G. sturtianum with the
cultivated species in the future. This also indicates that the overall structure
of the G. sturtianum linkage groups is similar to that of the A and D subgenomes
of cotton at the gross structural level. Applications of the map for the
Australia wild C-genome species and cotton breeding are discussed.
PMID- 21905769
TI - Preoperative "group and save" in lumbar microdiscectomy: is it necessary?
AB - OBJECT: "Group and save" (type and screen) is commonly requested preoperatively
in lumbar microdiscectomy. On average, less than 100 ml of blood is lost during
lumbar microdiscectomy, and blood transfusion guidelines and resuscitation
practice recommend that no transfusion would be required in almost all healthy
patients with less than 750 ml of blood loss. The authors performed an audit of
319 consecutive lumbar microdiscectomies to determine if the practice of group
and save can be justified. A telephone survey of the United Kingdom (UK)
neurosurgical units to establish current UK neurosurgical practice was also
conducted. METHODS: A telephone survey of all UK neurosurgical units and an audit
of all patients who underwent primary lumbar microdiscectomy at our institution
over a period of 2 consecutive years was performed. The health records of all
patients were retrieved and critically reviewed. Information about hemoglobin
measurements before and after surgery, group and save, and blood transfusion were
collected. RESULTS: Thirty-two UK neurosurgical units were surveyed by telephone,
with a 100% response rate. Group and save was commonly performed prior to lumbar
microdiscectomy in 28 units (87.5%). The records of 319 consecutive patients who
underwent lumber microdiscectomy were reviewed. All patients had group and save
prior to lumbar microdiscectomy. No patient required a blood transfusion during
or after surgery. The mean decrease in hemoglobin concentration was 0.8 g/dl in
121 patients who had postoperative hemoglobin measurements. CONCLUSIONS: Blood
transfusion and hemoglobin decrease following lumbar microdiscectomy is
exceptionally rare. Group and save and postoperative hemoglobin measurements are
therefore nonessential in all patients undergoing lumbar microdiscectomy, with
potentially significant cost savings from not performing these tests.
PMID- 21905770
TI - Subarachnoid hemorrhage due to retained lumbar drain.
AB - Intrathecal spinal catheters (lumbar drains) are indicated for several medical
and surgical conditions. In neurosurgical procedures, they are used to reduce
intracranial and intrathecal pressures by diverting CSF. They have also been
placed for therapeutic access to administer drugs, and more recently, vascular
surgeons have used them to improve spinal cord perfusion during the treatment of
thoracic aortic aneurysms. Insertion of these lumbar drains is not without
attendant complications. One complication is the shearing of the distal end of
the catheter with a resultant retained fragment. The authors report the case of a
65-year-old man who presented with a subarachnoid hemorrhage due to the migration
of a retained lumbar drain that sheared off during its removal. To the best of
the authors' knowledge, this is the first case of rostral migration of a retained
intrathecal catheter causing subarachnoid hemorrhage. The authors review the
literature on retained intrathecal spinal catheters, and their findings support
either early removal of easily accessible catheters or close monitoring with
serial imaging.
PMID- 21905771
TI - Analysis of ascending spinal tract degeneration in cervical spondylotic
myelopathy using 3D anisotropy contrast single-shot echo planar imaging on a 3.0
T system.
AB - OBJECT: The authors assessed the role of 3D anisotropy contrast (3DAC) in
evaluating specific ascending tract degeneration in patients with cervical
spondylotic myelopathy (CSM). METHODS: The authors studied 10 patients (2 women,
8 men; mean age 59.8 +/- 14.6 years) with CSM and spinal cord compression below
the C2-3 disc level, as well as 10 healthy control individuals (3 women, 7 men;
mean age 42.0 +/- 24.1 years). Images of the cervical cord at the C2-3 level were
obtained using a 3.0-T MR imaging system. RESULTS: Three-dimensional anisotropy
contrast imaging clearly made possible tract-by-tract analysis of the fasciculus
cuneatus, fasciculus gracilis, and spinocerebellar tract. Tract degeneration
identified using 3DAC showed good correlation with a decline in fractional
anisotropy. Degeneration of the fasciculus gracilis detected by "vector contrast"
demonstrated a good correlation with Nurick grades. CONCLUSIONS: The study
unambiguously demonstrated that 3DAC imaging is capable of assessing ascending
tract degeneration in patients with CSM. Degeneration of an individual tract can
be easily identified as a vector contrast change on the 3DAC image, a reflection
of quantitative changes in anisotropism, similar to fractional anisotropy.
Excellent correlation between Nurick grades and fasciculus gracilis degeneration
suggests potential application of 3DAC imaging for tract-by-tract clinical
correlation.
PMID- 21905772
TI - The use of 3D computer graphics in the diagnosis and treatment of spinal vascular
malformations.
AB - OBJECT: Digital subtraction (DS) angiography is the gold standard for diagnosing
spinal vascular malformations. Recently, multidetectorrow spiral CT and contrast
enhanced MR angiography have been introduced as screening examinations before DS
angiography. These methods, however, do not always determine the accurate
location of an arteriovenous shunt because the resulting images lack information
about the spinal cord or the dura mater. METHODS: Between April 2009 and December
2010, 13 patients underwent imaging evaluations for spinal vascular malformations
at the authors' university hospital. This group included 8 patients with spinal
dural arteriovenous fistulas (AVFs), 3 with perimedullary AVFs, and 2 with
intramedullary arteriovenous malformations. Using data from these patients, the
authors attempted to develop 3D computer graphics (CG) based upon the fusion of
3D rotational angiography and postmyelographic CT. They subsequently verified the
accuracy of this imaging method. Ten of these 13 patients underwent surgical
treatment for their lesions (11 AVFs), and for these 11 lesions the authors
compared the diagnoses obtained using 3D CG with those obtained using
conventional DS angiography. RESULTS: In all 13 cases, 3D CG images of the spinal
lesions were successfully developed using the patients' actual data. Four (36%)
of 11 AVFs were correctly identified using DS angiography, whereas 10 (91%) were
correctly identified using 3D CG. Results from 3D CG of spinal AVFs corresponded
well with operative findings, and 3D CG was significantly better than
conventional DS angiography at predicting AVF location (p = 0.024, Fisher exact
test). CONCLUSIONS: To the authors' knowledge, this is the first reported case
series in which 3D CG of spinal vascular malformations was used to provide
simultaneous, stereoscopic visualization of the spinal vascular system, spinal
cord, dura mater, and bone. The 3D CG method provides precise visual images for
the diagnosis and treatment of these lesions.
PMID- 21905773
TI - The effects of chemotherapeutic agents on differentiated chordoma cells.
AB - OBJECT: Chordoma is a rare type of malignant bone tumor and is known to arise
from the remnants of the notochord. Resistance to chemotherapy makes the
treatment of chordoma difficult; therefore, new approaches need to be developed
to cure this disease. Differentiation therapy, using various differentiating
agents, is attracting oncologists as a common therapeutic method to treat other
tumors. Based on forcing cells to mature into other lineages, differentiation
therapy might be an available method to treat chordomas in addition to
conventional therapies. METHODS: In this study a chordoma cell line, U-CH1, was
exposed to several chemotherapeutic agents including vincristine, doxorubicin,
cisplatin, etoposide, fludarabine, methotrexate, nilotinib, and imatinib mesylate
under appropriate conditions. The first group of U-CH1 cells was exposed to drugs
only and the second group of cells was exposed to the simultaneous treatment of 1
MUM all-trans retinoic acid (ATRA) and chemotherapeutic agents in differentiation
therapy. The efficacy of the differentiation method was assessed by measuring the
viability of U-CH1 cells. RESULTS: Vincristine, doxorubicin, etoposide,
cisplatin, and fludarabine, each at a concentration of 10 MUM, decreased the
number of chordoma cells when given alone down to 11%, 0%, 30%, 67%, and 3%,
respectively. Etoposide and cisplatin, each at a concentration of 10 MUM, reduced
the percentage of viable chordoma cells in a more effective way when given with 1
MUM ATRA simultaneously, reducing the number of viable cells to 14% and 9%,
respectively. On the other hand, imatinib and nilotinib, each at a concentration
of 3 MUM, as well as 10 MUM methotrexate, showed no decrease in the number of
cancer cells. CONCLUSIONS: The results suggest that chordoma cells may be treated
using the differentiation method in a more effective way than when they are
treated with chemotherapeutic agents alone. This new approach may be an
alternative method to conventional therapies in the treatment of chordoma.
PMID- 21905774
TI - Novel spinal cord imaging.
PMID- 21905775
TI - The V(2) segment of the vertebral artery: anatomical considerations and surgical
implications.
AB - OBJECT: Iatrogenic injury of the V(2) segment of the vertebral artery (VA) is a
rare but serious complication and can be catastrophic. The purpose of this study
was to characterize the relationship of the V(2) segment of the VA to the
surrounding anatomical structures and to highlight the potential site and
mechanisms of injury that can occur during common neurosurgical procedures
involving the subaxial cervical spine. METHODS: Ten adult cadaveric specimens (20
sides) were included in this study. Quantitative anatomical measurements between
selected landmarks and the VA were obtained. In addition, lateral mass screws
were placed bilaterally, from C-3 to C-7, reproducing either the Magerl technique
or a modified technique. The safety angle, defined as the axial deviation from
the screw trajectory needed to injure the VA, and the distance from the entry
point to the VA were measured at each level for both techniques. RESULTS: The VA
coursed closer to the midline at C3-4 and C4-5 (mean distance [SD] 14.9 +/- 1.1
mm) than at C2-3 or C5-6. Within the intertransverse space it coursed closer to
the uncinate processes of the vertebral bodies (1.8 +/- 1.1 mm) than to the
anterior tubercle of the transverse processes (3.4 +/- 1.6 mm). The distance
between the VA and the uncinate process was less at C3-6 (1.3 +/- 0.7 mm) than at
C2-3 (3.3 +/- 0.8 mm). The VA coursed on average at a distance of 11.9 +/- 1.7 mm
from the anterior and 4.2 +/- 2.6 mm from the posterior aspect of the
intervertebral disc space. Lateral mass screw angles were 25 degrees lateral and
39.1 degrees cranial for the Magerl technique, and 36.6 degrees lateral and
46.1 degrees cranial for the modified technique. The safety angle was greater
and screw length longer when using this modified technique. CONCLUSIONS: The
relation of the V(2) segment of the VA to anterior procedures and lateral mass
instrumentation at the subaxial cervical spine was reviewed in this study. A
detailed anatomical knowledge of the V(2) segment of the VA combined with careful
preoperative imaging is mandatory for safe cervical spine surgery.
PMID- 21905776
TI - Analysis of in vivo kinematics of 3 different cervical devices: Bryan disc,
ProDisc-C, and Prestige LP disc.
AB - OBJECT: Cervical arthroplasty has emerged as a means of preventing adjacent
segment disease by preserving motion, restoring sagittal balance, and mimicking
natural spinal kinematics. The purpose of this retrospective in vivo study was to
characterize the impact of arthroplasty on sagittal balance and segmental
kinematics of the cervical spine. METHODS: Sixty patients receiving the Bryan
disc, ProDisc-C, or Prestige LP disc were retrospectively analyzed. Only single
level arthroplasty cases were included in this study. Lateral dynamic radiographs
of the cervical spine were evaluated using quantitative measurement analysis
software to determine the kinematics at the index level both preoperatively and 1
year postoperatively. Collected parameters included range of motion (ROM), disc
angles, shell angles, anterior and posterior disc heights (ADHs/PDHs),
translation, and center of rotation (COR). Preoperative and postoperative data
were compared using the Student t-test, with p < 0.05 indicating significance.
RESULTS: The Bryan and Prestige LP discs preserved motion, whereas the ProDisc-C
increased segmental ROM from extension to flexion. Following surgery, the Bryan
disc exhibited significant shell angle kyphosis, while ProDisc-C and Prestige LP
retained lordosis. Both ADHs and PDHs decreased following insertion of the Bryan
disc. In contrast, the ProDisc-C increased the ADHs and PDHs by 80% and 52%,
respectively, and the Prestige LP disc increased the ADHs and PDHs by 20%. Only
the ProDisc-C demonstrated significant translation of 0.7 mm. The ProDisc-C
shifted the COR x by 0.9 mm anteriorly, while the Prestige LP disc demonstrated a
significant superior shift of 2.2 mm in COR y. CONCLUSIONS: All discs adequately
maintained ROM at the surgical level. The greatest difference among the 3 devices
was in the disc height and index angle measurements.
PMID- 21905777
TI - Will direct-acting antivirals make a difference in HIV-HCV coinfected patients?
PMID- 21905778
TI - Prenatal prevention of respiratory syncytial virus bronchiolitis.
PMID- 21905779
TI - How could rapid bacterial identification improve the management of septic
patients?
PMID- 21905781
TI - Preventing deaths from cryptococcal meningitis: from bench to bedside.
AB - Cryptococcal meningitis (CM), a fungal disease caused by Cryptococcus spp., is
the most common form of meningitis and a leading cause of death among persons
with HIV/AIDS in sub-Saharan Africa. Detection of cryptococcal antigen, which is
present several weeks before overt signs of meningitis develop, provides an
opportunity to detect infection early. Screening persons with HIV for
cryptococcal infection when they access healthcare can identify asymptomatic
infected patients allowing for prompt treatment and prevention of death. A newly
developed point-of-care assay for cryptococcal antigen, as well as growing
evidence supporting the utility and cost-effectiveness of screening, are further
reasons to consider broad implementation of cryptococcal screening in countries
with a high burden of cryptococcal disease.
PMID- 21905782
TI - Tuberculous optochiasmatic arachnoiditis: a devastating form of tuberculous
meningitis.
AB - Tuberculous meningitis is primarily a disease of the meninges of brain and spinal
cord along with adjacent brain parenchyma. The characteristic pathological
changes are meningeal inflammation, basal exudates, vasculitis and hydrocephalus.
Tuberculous meningitis has a strong predilection for basal parts of the brain.
Exudates, if dominantly present in the interpeduncular, suprasellar and Sylvian
cisterns, result in optochiasmatic arachnoiditis and tuberculoma. Optochiasmatic
arachnoiditis and tuberculoma are devastating forms of tuberculous meningitis and
often associated with profound vision loss. This clinical entity more frequently
affects young adults. In a recent study, on the multivariate logistic regression
analysis, female sex, younger age and raised cerebrospinal fluid protein content
were identified as predictors for developing optochiasmatic arachnoiditis.
Frequently, optochiasmatic tuberculoma and optochiasmatic arachnoiditis develop
paradoxically while a patient is being treated with anti-TB drugs. MRI reveals
confluent enhancing lesions that are present in the interpeduncular fossa,
pontine cistern, and the perimesencephalic and suprasellar cisterns. Management
of tuberculous optochiasmatic arachnoiditis and optochiasmatic arachnoiditis
tuberculoma has been variable. Treatment of optochiasmatic arachnoiditis
continues to be a challenge and the response is generally unsatisfactory. In
isolated case reports and in small series, corticosteroids, methyl prednisolone,
thalidomide and hyaluronidase have been used with variable success. The benefit
from neurosurgery is controversial and deterioration may follow the initial
temporary improvement. Management of paradoxical optochiasmatic arachnoiditis is
also controversial. Some patients regain vision following treatment with anti-TB
drugs and continued usage of corticosteroids. Neurosurgery may be considered in
the patients with either treatment failure or when diagnosis is in doubt. In
conclusion, presence of optochiasmatic arachnoiditis or tuberculoma has important
therapeutic and prognostic implications for patients of tuberculous meningitis.
PMID- 21905784
TI - Impact of HCV genetic differences on pathobiology of disease.
AB - Multiple HCV genotypes have been isolated worldwide. Genotype seems to be
involved in the main pathological aspects of HCV infection. Insulin resistance,
steatosis and progression toward cirrhosis, fibrosis and hepatocellular carcinoma
establish and develop following genotype-specific mechanisms. Moreover genotype
influences pharmacological treatment in term of dose and duration. Pathways
involved in cell proliferation, apoptosis, lipid metabolism, insulin and
interferon signaling are impaired to a different extent among genotypes, leading
to distinct pathological settings. Genotype 1 is associated with a more
aggressive disease with increased insulin resistance, worst response to therapy,
higher risk of cirrhosis and hepatocellular carcinoma development, while genotype
3 is associated with increased steatosis and fibrosis. The identification and
characterization of HCV types and subtypes provides insight into the different
outcome of HCV infection and responsiveness to therapy. In the present article,
we focused on the pathogenicity of HCV genotypes and their effect on disease
progression and treatment.
PMID- 21905785
TI - Carriage of Neisseria meningitidis in Europe: a review of studies undertaken in
the region.
AB - Meningococcal conjugate vaccines induce herd protection by preventing
nasopharyngeal meningococcal acquisition, which is a prerequisite for invasive
disease. Thus, meningococcal carriage epidemiology is important in understanding
relationships between carriage and disease. A literature search traced
information on meningococcal carriage in 27 EU countries. Meningococcal carriage
prevalence differed within and between countries, varying across age groups,
serogroup distribution and over time. Carriage prevalence increased during
childhood, peaking in 15-24-year-olds. While serogroup B was usually the dominant
serogroupable carried serogroup, serogroups C, W-135 and Y were also frequently
carried. Current carriage studies in Europe are limited. New studies using
standardized methods are needed to improve our understanding of meningococcal
disease etiology and transmission, and to monitor the impact of meningococcal
conjugate vaccines in populations.
PMID- 21905783
TI - Evidence for a causal relationship between respiratory syncytial virus infection
and asthma.
AB - Respiratory syncytial virus (RSV) infects all children early in life, is the most
common cause of infant lower respiratory tract infections, and causes disease
exacerbations in children with asthma. Episodes of lower respiratory tract
infection in early life are associated with asthma development. Whether RSV
infection early in life directly causes asthma or simply identifies infants who
are genetically predisposed to develop subsequent wheezing is debatable. Recent
studies suggest that these two explanations are not mutually exclusive, and are
likely both important in asthma development. An open-label study of RSV
immunoprophylaxis administered to preterm infants reduced recurrent wheezing by
50%. Clinical trials of infant RSV prevention, delay or severity reduction on the
outcome of childhood asthma would confirm the causal relationship between RSV
infection and asthma, and offer a primary prevention strategy.
PMID- 21905786
TI - Bacteriophage therapy: potential uses in the control of antibiotic-resistant
pathogens.
AB - The use of bacteriophages (phages) to treat bacterial infections, known as phage
therapy, has a history substantially longer than that of antibiotics, yet these
drugs have been the treatment of choice in the West for over 60 years owing to
efficacy, low toxicity and ease of production. Bacteria are becoming increasingly
resistant to antibiotics while efforts to discover new agents have drastically
reduced. Phages have co-evolved with their hosts over billions of years and have
acquired mechanisms to counter bacterial defences such as extracellular biofilm
production, which severely reduces the effectiveness of conventional antibiotics.
Recent animal and human trials show phages to be safe, well-tolerated agents with
a bright future as an alternative to chemical agents.
PMID- 21905787
TI - Prosthetic joint infection: diagnosis and management.
AB - Prosthetic joint implantations improve patients' quality of life but are
associated with complications, including aseptic failure and prosthetic joint
infection (PJI). Biofilms are the essential factor in the persistence of
infection. Early postoperative and acute hematogenous infections are usually
easily diagnosed; however, late chronic infections are challenging to predict.
Joint aspiration with differential cell counts appears to be a very useful test.
New microbiological techniques (i.e., implant sonication and molecular studies)
are promising tools. Main objectives of treatment are to alleviate pain, to
restore the function and to eradicate the infection. In deciding the best
approach for an individual patient, several factors should be considered: the
type of the infection, presence of loosening, functional prognosis, etiology and
the patient's preferences. Antimicrobial therapy should be coherent with the
chosen surgical strategy. Level of evidence in the field of PJI is low, and
recommendations are based on short literature series, experimental data and
expert experience.
PMID- 21905789
TI - Micafungin use in children.
AB - Invasive fungal infections (IFIs) are one of the major reasons for morbidity and
mortality in immunocompromised children. The majority of IFIs are caused by
Candida and Aspergillus species. Early diagnosis and prompt initiation of
appropriate antifungal therapy is essential for favorable outcome. Micafungin is
a member of the echinocandins, a novel class of antifungal agents that target the
biosynthesis of beta-1,3-D-glucan, a key fungal cell wall component. It has
concentration-dependent fungicidal activity against Candida species and
fungistatic activity against Aspergillus species. Although optimal dosing of
micafungin in children has not been established, the recommended dosage in
children is 2 mg/kg/day (100 mg/day if >40 kg bodyweight) for invasive
candidiasis, 1 mg/kg/day (50 mg/day if >40 kg bodyweight) for the prophylaxis of
Candida infections in patients with anticipated prolonged and severe neutropenia
or in allogeneic hematopoietic stem cell transplantation recipients. Micafungin
has a favorable safety and drug-drug interaction profile. The most common adverse
effects in children are diarrhea, epistaxis, abdominal pain, headache, nausea,
vomiting, fever, chills, elevation of alanine aminotransferase/aspartate
aminotransferase values, hypokalemia, thrombocytopenia, mucositis, and rash.
Because of its different mechanisms of action, micafungin shows promise as part
of the prophylactic and therapeutic management of IFIs, but larger prospective
and comparative trials are needed for widespread use in children.
PMID- 21905788
TI - Immunopathogenesis of falciparum malaria: implications for adjunctive therapy in
the management of severe and cerebral malaria.
AB - Despite optimal antimalarial treatment and advances in malaria eradication, the
mortality rate associated with severe malaria due to Plasmodium falciparum
infection, including cerebral malaria (CM), remains unacceptably high. This
suggests that strategies directed solely at parasite eradication may be
insufficient to prevent neurological complications and death in all cases of CM.
Therefore, there is an urgent need to develop innovative adjunctive therapeutic
strategies to effectively reduce CM-associated mortality. CM pathogenesis is
believed to be due, in part, to an aberrant host immune response to P.
falciparum, resulting in deleterious consequences, including vascular activation
and dysfunction. Development of effective and affordable therapeutic strategies
that act to modulate the underlying host-mediated immunopathology should be
explored to improve outcome. In this article, we summarize immunomodulatory
therapies that have been assessed in clinical trials to date, and highlight novel
and promising treatment strategies currently being investigated to address this
major global health challenge.
PMID- 21905792
TI - Topical application of betahistine improves eustachian tube function in an animal
model.
AB - CONCLUSION: Betahistine dihydrochloride, a drug used widely in the systemic
treatment of balance disorders such as Meniere's disease, was found to improve
eustachian tube function when applied topically in the nasopharynx of rats.
OBJECTIVES: The study tested the effect of betahistine, a histamine receptor
agonist, on eustachian tube function and tested the involvement of H1 and H3
histamine receptors. METHODS: Eustachian tube function was measured in
anaesthetized rats while middle ear pressure was increased and then monitored
during induced swallowing. Betahistine and other drugs were applied topically in
the nasopharynx, bulla and epipharynx, and administered intraperitoneally.
RESULTS: Systemic application of betahistine hardly changed eustachian tube
function, but topical application significantly improved it. The action of
topical betahistine was unaffected by the HI receptor antagonist mepyramine and
was mimicked by the H3 agonist, ciproxifan.
PMID- 21905791
TI - Three-year follow-up of palatal implants for the treatment of snoring.
AB - CONCLUSIONS: The safety of treatment with palatal implants remains high 3 years
after the procedure. The implant extrusion rate decreases over time. Additional
surgery to the palate is safe. The presence of the implants seems important in
sustaining efficacy past 1 year. OBJECTIVES: To investigate long-term safety and
treatment results of palatal implants for treatment of snoring. METHODS: A
prospective clinical non-randomized trial of 55 patients with primary snoring
followed over a period of 3 years. RESULTS: No major adverse events were
observed. Eleven of the 55 patients (20%) experienced implant extrusions, 8
during the first year, 3 in the second, and none in the third year. Twenty-six
patients (47.3%) had additional procedures past 1 year. Only one post-implant
surgery caused an extrusion. The success defined as no additional surgery
followed by endpoint satisfaction rate was 34.6%. For patients with implants
intact it was 41.5% (17/41) at 3 years, significantly better than for those who
experienced loss of implants.
PMID- 21905793
TI - Effect of fibrin-coated collagen fleece (TachoComb) on pain and bleeding after
adenotonsillectomy in children.
AB - CONCLUSION: Even though the use of TachoComb does not decrease pain after
tonsillectomy, it is safe and useful to reduce bleeding after tonsillectomy.
OBJECTIVES: Sealing the post-tonsillectomy wound would be expected to reduce pain
and bleeding by decreasing the exposure of the traumatized tissue and sensory
nerves. TachoComb is a powerful topical hemostatic agent. The objectives of this
study were to evaluate the effect of TachoComb on reduction of pain and bleeding
after tonsillectomy. METHODS: A prospective randomized double-blind study was
performed on 120 pediatric patients undergoing adenotonsillectomy. The patients
were randomized into two groups: use/non-use of TachoComb. In the study group,
each tonsillar bed was covered with a TachoComb strip at the end of operation. No
hemostatic agents were used in the control group. After surgery, patients were
monitored for pain, bleeding, oral intake, medication administration, activity,
and complications using a 10-day diary. RESULTS: In all, 110 patients returned
and filled in the diary. The use of TachoComb did not decrease pain, reduce the
use of analgesic drugs or speed recovery to normal everyday life. Post-surgery
bleeding was not experienced by any of the TachoComb patients, but occurred in
five of the control patients. The result had borderline statistical significance
(p < 0.1).
PMID- 21905794
TI - Solitary fibrous tumor of the petrous bone: a successful treatment option.
AB - The patient was a 48-year-old man complaining about right hemicranial pain and
deafness of 1 year's duration. MRI showed a solid well-vascularized mass
destroying the mastoid and petrous bone, and with an epidural component that
pulled the right cerebellar hemisphere. The patient underwent a right modified
type A infratemporal approach, which allowed complete resection of the tumor,
with a low morbidity. The pathological diagnosis was solitary fibrous tumor. The
patient received complementary treatment with radiotherapy. At 24 months after
the initial treatment the patient is free of disease. We conclude that solitary
fibrous tumor of the petrous bone can be satisfactorily treated with surgical
excision followed by radiotherapy, with low morbidity and excellent facial
function. To our knowledge this is the first description of a solitary fibrous
tumor of the petrous bone. Due to the lack of consensus in treating rare tumors,
we want to offer this management approach for treating this kind of tumor.
PMID- 21905795
TI - Diagnosis of the subtype and affected ear of benign paroxysmal positional vertigo
using a questionnaire.
AB - CONCLUSION: The prediction of subtype and the affected ear of benign paroxysmal
positional vertigo (BPPV) derived from the answers to our questionnaire can
support the definitive diagnosis of BPPV. OBJECTIVES: We examined to what extent
the diagnosis of subtype and the affected ear of BPPV judged from answers to a
questionnaire agreed with the diagnosis decided by the results of the positional
nystagmus test. METHODS: We asked the following questions: 'What kind of head
movements induce vertigo?' and 'How long does the vertigo continue?'. As for the
affected ear, we asked which ear was lower during stronger vertigo when induced
in a supine position or during sleep. RESULTS: The percentages of correct
diagnosis speculated by the combined answers were 69% in posterior canal-type
BPPV, 48% in BPPV with geotropic nystagmus, and 39% in BPPV with apogeotropic
nystagmus. The percentage of correct diagnoses of the affected ear was more than
80%.
PMID- 21905796
TI - Aggressive course of multiple de novo cavernous malformations.
AB - The risk of hemorrhage from an intracerebral cavernous malformation has been
estimated at 2%-4% per year. In patients with multiple cavernous malformations,
typically there are 1 or 2 dominant lesions that result in symptoms. This report
highlights an unusual case of recurrent hemorrhage from de novo cavernous
malformations. This 35-year-old man had a generalized seizure in 2007. Magnetic
resonance imaging performed at the time showed multiple hemorrhagic lesions
suggestive of cavernous malformations. Two years later, the patient had clinical
symptoms referable to a midbrain hemorrhage. This lesion was not present on 2007
standard and gradient echo images. One year later, the patient had another
clinical hemorrhage at the cervical medullary junction. This lesion was also not
present on earlier imaging. Genetic testing was negative for the known familial
types of cavernous malformation. A lesion was biopsied to ensure correct
diagnosis, and the results were pathologically consistent with a cavernous
malformation. The patient had a fourth clinical hemorrhage in 2011 from a
separate lesion. All hemorrhage symptoms were mild, and he returned to normal
functioning and work after each hemorrhage. This case highlights several
unusual features of the known natural history of intracerebral cavernous
malformations. In this case, resection of the hemorrhagic lesion would not have
altered future hemorrhage risk since each new hemorrhage was from a de novo
lesion.
PMID- 21905797
TI - Functional neurosurgery and hemorrhage.
PMID- 21905798
TI - Reducing hemorrhagic complications in functional neurosurgery: a large case
series and systematic literature review.
AB - OBJECT: Hemorrhagic complications carry by far the highest risk of devastating
neurological outcome in functional neurosurgery. Literature published over the
past 10 years suggests that hemorrhage, although relatively rare, remains a
significant problem. Estimating the true incidence of and risk factors for
hemorrhage in functional neurosurgery is a challenging issue. METHODS: The
authors analyzed the hemorrhage rate in a consecutive series of 214 patients
undergoing image-guided deep brain stimulation (DBS) lead placement without
microelectrode recording (MER) and with routine postoperative MR imaging lead
verification. They also conducted a systematic review of the literature on
stereotactic ablative surgery and DBS over a 10-year period to determine the
incidence and risk factors for hemorrhage as a complication of functional
neurosurgery. RESULTS: The total incidence of hemorrhage in our series of image
guided DBS was 0.9%: asymptomatic in 0.5%, symptomatic in 0.5%, and causing
permanent deficit in 0.0% of patients. Weighted means calculated from the
literature review suggest that the overall incidence of hemorrhage in functional
neurosurgery is 5.0%, with asymptomatic hemorrhage occurring in 1.9% of patients,
symptomatic hemorrhage in 2.1% and hemorrhage resulting in permanent deficit or
death in 1.1%. Hypertension and age were the most important patient-related
factors associated with an increased risk of hemorrhage. Risk factors related to
surgical technique included use of MER, number of MER penetrations, as well as
sulcal or ventricular involvement by the trajectory. The incidence of hemorrhage
in studies adopting an image-guided and image-verified approach without MER was
significantly lower than that reported with other operative techniques (p < 0.001
for total number of hemorrhages, p < 0.001 for asymptomatic hemorrhage, p < 0.004
for symptomatic hemorrhage, and p = 0.001 for hemorrhage leading to permanent
deficit; Fisher exact test). CONCLUSIONS: Age and a history of hypertension are
associated with an increased risk of hemorrhage in functional neurosurgery.
Surgical factors that increase the risk of hemorrhage include the use of MER and
sulcal or ventricular incursion. The meticulous use of neuroimaging-both in
planning the trajectory and for target verification-can avoid all of these
surgery-related risk factors and appears to carry a significantly lower risk of
hemorrhage and associated permanent deficit.
PMID- 21905799
TI - Subthalamic nucleus stimulation for attenuation of pain related to Parkinson
disease.
AB - OBJECT: The objective of this study was to evaluate the efficacy of chronic
subthalamic nucleus (STN) stimulation for alleviating pain related to Parkinson
disease (PD). METHODS: Among 163 consecutive patients undergoing STN stimulation,
69 were identified as experiencing pain preoperatively that was related to their
PD. All 69 patients suffering from pain were followed up prospectively for 12
months after surgery. All patients described the severity of their pain according
to a visual analog scale (VAS) preoperatively and at 2 weeks, 6 months, and 12
months postoperatively. Pain unrelated to PD was not studied. RESULTS: Several
types of pain related to PD, the categories of which were based on a modification
of 2 previous classifications (Ford and Honey), can occur in such patients: 1)
musculoskeletal pain, 2) dystonic pain, 3) somatic pain exacerbated by PD, 4)
radicular/peripheral neuropathic pain, and 5) central pain. The overall mean VAS
score was significantly decreased postoperatively by 75% and 69% at 2 weeks and 6
months, respectively (p < 0.001). The mean VAS score at 12 months was also
decreased by 80%, but 6 instances of pain (3 reports of somatic back pain and 3
reports of radicular/peripheral neuropathic pain) required additional spinal
surgery to alleviate the pain severity. The results were analyzed using the
Wilcoxon signed-rank test and demonstrated a significant reduction in VAS scores
at all follow-up assessments (p < 0.001). Musculoskeletal pain and dystonic pain
were well alleviated by STN stimulation. In contrast, somatic pain exacerbated by
PD and peripheral neuropathic pain originating from lumbar spinal diseases, such
as spondylosis deformans and/or canal stenosis, often deteriorated
postoperatively despite attenuation of the patients' motor disability. Patients
with central pain were poor responders. CONCLUSIONS: This study found that STN
stimulation produced significant improvement of overall pain related to PD in
patients with advanced PD, and the efficacy continued for at least 1 year. The
present results indicate that musculoskeletal pain and dystonic pain responded
well to STN stimulation, but patients with back pain (somatic pain) and
radicular/peripheral neuropathic pain originating from spinal disease have a
potential risk for postoperative deterioration of their pain.
PMID- 21905800
TI - Neurocognitive function before and after surgery for insular gliomas.
AB - OBJECT: Insular gliomas can be resected with acceptable rates of neurological
morbidity, but little is known with regard to impairment of higher-order
neurocognitive functions. The frequency and functional impact of neurocognitive
deficits in patients with gliomas has until recently been underappreciated. The
authors therefore examined neurocognitive function in patients with insular
gliomas and compared the findings in this group to those in a matched control
group of patients with gliomas in nearby brain regions. METHODS: Thirty-three
patients with WHO Grade II or III insular gliomas participated in
neuropsychological evaluations before and after resection. To establish whether
the pattern of neurocognitive performance was different from that of other
patients with tumors in neighboring areas, patients with insular tumors were
matched with control patients for age, educational level, preoperative Karnofsky
Performance Scale score, tumor side, grade, and volume. The control group
comprised patients in whom gliomas had been resected from frontal, temporal, and
parietal areas near the insula. Baseline pre- and postoperative neurocognitive
test results were compared between and within groups. RESULTS: Preoperative
neurocognitive impairment was common in both insular and control groups. Patients
with insular tumors had significantly worse preoperative performance on naming
tests. In both groups, postoperative decline occurred in most neurocognitive
domains. There were no statistically significant differences between patients in
the insular and control groups with regard to rates of postoperative decline on
any test. However, there were trends suggesting differential cognitive
performance postoperatively, because patients with insular tumors were more
likely to experience greater decline in learning and memory. Neurological
morbidity was similar to prior rates reported in the literature. CONCLUSIONS: Few
statistically significant differences in cognitive function were observed between
patients in the insular and control groups at either the pre- or postoperative
evaluation, although there was a trend for patients with insular tumors to
exhibit greater postoperative decline in learning and memory. Although
technically more challenging, surgery for insular region glioma appears feasible
without profound neurological or cognitive morbidity for many patients.
PMID- 21905801
TI - Communicating hydrocephalus following surgery and adjuvant radiochemotherapy for
glioblastoma.
AB - OBJECT: Communicating hydrocephalus is an uncommon complication in patients
treated for glioblastoma multiforme (GBM). Its pathogenesis remains unclear. The
authors evaluated the clinical and radiological factors associated with the onset
of communicating hydrocephalus and the impact of ventriculoperitoneal (VP) shunt
surgery on the outcome of these patients. METHODS: One hundred twenty-four
patients harboring GBM, who had undergone craniotomy for tumor resection and
adjuvant radiochemotherapy, were retrospectively assessed. Seven of them
developed communicating hydrocephalus and were treated with VP shunt surgery.
Clinical and radiological estimates included Karnofsky Performance Scale (KPS)
score, previous surgery, overall survival (OS), CSF pressure and components,
tumor location, and leptomeningeal dissemination. RESULTS: All 7 patients who
developed communicating hydrocephalus had undergone at least 2 craniotomies for
tumor resection before the onset of hydrocephalus (p = 0.0006; Fisher exact
test). Six cases showed high levels of CSF proteins. There was a highly
significant relationship between ventricular opening at surgery for tumor
recurrence and onset of hydrocephalus (p = 0.0002; Fisher exact test). In these
patients, VP shunt surgery was followed by a significant improvement of KPS score
(p = 0.0180; Wilcoxon signed-rank test). The median OS after VP shunt insertion
was 5 +/- 2.9 months. CONCLUSIONS: Ventricular opening after radiochemotherapy
and high CSF protein levels are significant predictors of communicating
hydrocephalus in patients with GBM. The VP shunt surgery improves quality of life
in these patients.
PMID- 21905802
TI - Convergent validity of the Mullen Scales of Early Learning and the differential
ability scales in children with autism spectrum disorders.
AB - Despite widespread use of the Mullen Scales of Early Learning (MSEL; E. M.
Mullen, 1995 ) as a cognitive test for children with autism spectrum disorders
and other developmental disabilities, the instrument has not been independently
validated for use in these populations. Convergent validity of the MSEL and the
Differential Ability Scales (DAS; C. D. Elliott, 1990 , 2007 ) was examined in 53
children with autism spectrum disorder and 19 children with nonspectrum
diagnoses. Results showed good convergent validity with respect to nonverbal IQ
(NVIQ), verbal IQ (VIQ), and NVIQ-VIQ profiles. These findings provide
preliminary support for the practice of using MSEL age-equivalents to generate
NVIQ and VIQ scores. Establishing convergent validity of cognitive tests is
needed before IQs derived from different tests can be conceptualized as a uniform
construct.
PMID- 21905803
TI - Functional magnetic resonance imaging of cognitive processing in young adults
with Down syndrome.
AB - The authors used functional magnetic resonance imaging (fMRI) to investigate
neural activation during a semantic-classification/object-recognition task in 13
persons with Down syndrome and 12 typically developing control participants (age
range = 12-26 years). A comparison between groups suggested atypical patterns
of brain activation for the individuals with Down syndrome. Correlation analyses
between an index of visual spatial ability and brain activation depicted a
positive relationship between (a) this index and brain activation in regions of
the occipital and parietal lobes for the typically developing individuals and (b)
the middle and dorsal frontal gyri in the individuals with Down syndrome. These
findings supported the authors' hypothesis that persons with Down syndrome
demonstrate atypical neural activation compared with typically developing
individuals matched for chronological age.
PMID- 21905804
TI - Effect of incorporating adaptive functioning scores on the prevalence of
intellectual disability.
AB - Surveillance and epidemiologic research on intellectual disability often do not
incorporate adaptive functioning (AF) data. Exclusion of AF data leads to
overestimation of the prevalence of intellectual disability, the extent of which
is not known. In this study, the authors evaluated the effect of incorporating AF
data on overall intellectual disability prevalence according to sociodemographic,
economic, and severity characteristics. Between 2002 and 2006, the Metropolitan
Atlanta Developmental Disabilities Surveillance Program identified 1,595 8-year
old children who met the study's intellectual disability surveillance-case
definition of IQ <= 70. AF scores were not available for 9.2% of the case
children, specifically those with mild intellectual disability and low
socioeconomic backgrounds. Prevalence estimates showed few substantive changes
when incorporating AF data. The authors conclude that use of IQ data alone
appears to be appropriate for measuring population intellectual disability
prevalence.
PMID- 21905805
TI - Chronic disease risks in young adults with autism spectrum disorder: forewarned
is forearmed.
AB - An emerging, cost-effective method to examine prevalent and future health risks
of persons with disabilities is electronic health record (EHR) analysis. As an
example, a case-control EHR analysis of adults with autism spectrum disorder
receiving primary care through the Cleveland Clinic from 2005 to 2008 identified
108 adults with autism spectrum disorder. In this cohort, rates of chronic
disease included 34.9% for obesity, 31.5% for hyperlipidemia, and 19.4% for
hypertension. Compared with a control cohort of patients from the same health
system matched for age, sex, race, and health insurance status, adults with
autism spectrum disorder were more likely to be diagnosed with hyperlipidemia
(odds ratio = 2.0, confidence interval = 1.2-3.4, p = .012). Without
intervention, adults with autism spectrum disorder appear to be at significant
risk for developing diabetes, coronary heart disease, and cancer by midlife.
PMID- 21905808
TI - Fourth Scandinavian Pediatric Obesity Conference (SPOC). Foreword.
PMID- 21905806
TI - Changes in maladaptive behaviors from midchildhood to young adulthood in autism
spectrum disorder.
AB - The current study prospectively examined trajectories of change in symptoms of
irritability, hyperactivity, and social withdrawal, as well as predictors of such
behaviors, for ages 9-18 years for youths with autism spectrum disorder and a
comparison group with nonspectrum developmental delays. Children with more severe
core features of autism had consistently higher irritability and hyperactivity
scores over time than those with broader autism spectrum disorder and nonspectrum
delays. Across all diagnoses, behaviors related to hyperactivity showed the
greatest improvement. Social withdrawal worsened with age for a substantial
proportion of youths with autism spectrum disorder but not for the nonspectrum
comparison group. Compared with youths without autism spectrum disorder, children
with the disorder showed greater heterogeneity in trajectories for maladaptive
behaviors.
PMID- 21905809
TI - Human adenovirus-36 and childhood obesity.
AB - There is increasing evidence that obesity in humans is associated with infection
with human adenovirus-36 (Adv36). Infection of experimental animals with Adv36
demonstrates that this virus causes obesity. Human studies have shown a
prevalence of Adv36 infection of 30% or greater in obese adult humans, but a
correlation with obesity has not always been demonstrated. In contrast, three
published studies and one presented study with a total of 559 children all show
that there is an increase in prevalence of Adv36 infection in obese children
(28%) compared to non-obese children (10%). The explanation for the apparently
more robust correlation of Adv36 infection with obesity in children vs. adults is
not clear. The data in animals and people suggests that Adv36 has contributed to
the worldwide increase in childhood obesity. More research is needed to identify
prevalences and consequences of Adv36 infection in people of all age groups and
geographic locations.
PMID- 21905810
TI - The role of nutrient partitioning and stem cell differentiation in pediatric
obesity: a new theory.
AB - It is commonly theorized that some youths become fatter than others simply
because they eat too much and exercise too little; i.e., they have an excessive
positive energy balance. This theory suggests that obesity prevention efforts
should emphasize dietary strategies designed to reduce energy intake, with
moderate physical activity (PA) playing a supporting role. However, such
interventions have typically been unsuccessful, perhaps because pediatric
research that has measured body fatness, rather than weight, has found that the
simple energy balance theory is faulty; it is critical to also consider whether
the ingested energy is deposited in fat or lean tissue in order to provide a more
complete picture of the etiology of pediatric obesity. In some way that is still
poorly understood; vigorous PA and mechanical stimulation of the body stimulate
stem cells to differentiate into bone and muscle rather than fat, with the result
that ingested nutrients tend to be partitioned into lean tissue rather than fat.
Thus, active youths tend to ingest more energy than their sedentary peers without
increasing the percentage of the body mass that is comprised of fat tissue.
Having a high level of both energy expenditure and intake is in accord with the
biologic drives of youths because it encourages them to ingest sufficient amounts
of the nutrients needed for healthy growth. Thus, public health interventions are
likely to be more effective if they devote more attention to increasing PA and
less attention to dietary strategies designed to reduce energy intake.
PMID- 21905811
TI - Is adipose tissue metabolically different at different sites?
AB - This review focuses on metabolic differences of adipose tissue at different sites
of the body, with emphasis in pediatrics. Adipose tissue is composed of various
cell types, which include adipocytes and other cells of the stromal vascular
fraction such as preadipocytes, blood cells, endothelial cells and macrophages.
Mammals have two main types of adipose tissue: white adipose tissue (WAT), and
brown adipose tissue (BAT), each of which possesses unique cell autonomous
properties. WAT and BAT differ at the functional, as well as the morphological
and molecular levels. WAT accumulates surplus energy mainly in the form of
triacylglycerols and BAT dissipates energy directly as heat. Recently, functional
BAT in humans has been located in the neck, supraclavicular, mediastinal and
interscapular areas. WAT is distributed throughout the body in the form of two
major types: subcutaneous adipose tissue (SWAT) and the intra-abdominal visceral
adipose tissue (VWAT). VWAT tissue is associated with insulin resistance,
diabetes mellitus, dyslipidaemia, hypertension, atherosclerosis, hepatic
steatosis, and overall mortality whereas SWAT and BAT have intrinsic beneficial
metabolic properties. Subcutaneous and visceral adipocytes derive from different
progenitor cells that exhibit a different gene expression pattern. SWAT responds
better to the antilipolytic effects of insulin and other hormones, secrets more
adiponectin and less inflammatory cytokines, and is differentially affected by
molecules involved in signal transduction as well as drugs compared with VWAT.
Current research is investigating various approaches of BAT and SWAT
transplantation, including new sources of adipocyte progenitors. This may be
important for the potential treatment of childhood obesity.
PMID- 21905812
TI - Stress and obesity/metabolic syndrome in childhood and adolescence.
AB - Chronic distress contributes to the development of obesity and comorbid states.
Stress is the disturbance of the complex dynamic equilibrium that all organisms
must maintain, and is associated with activation of the Stress system comprising
of the hypothalamic-pituitary-adrenal axis and the arousal/sympathetic nervous
systems. The stress system functions in a baseline circadian fashion and
interacts with other systems of the organism to regulate a variety of behavioral,
endocrine, metabolic, immune and cardiovascular functions. The experience of
perceived or real uncontrollable intense and/or chronic stress (distress) may
lead to several psychopathologic conditions, including anxiety, depressive and
psychosomatic disorders, substance abuse, obesity and the metabolic syndrome, and
osteoporosis, as well as impaired reproductive and immune functions. Developing
children and adolescents are particularly vulnerable to the effects of chronic
stress. Both behavioral and biological pathways are involved in the connection
between chronic stress and obesity in adults and children. Emotional "comfort"
eating, lack of sleep, impulsive behaviours and selection of specific foods often
characterize stressed individuals. In addition to specific behaviours,
dysregulation of the stress system through increased secretion of cortisol and
catecholamines, especially in the evening hours, and in concert with concurrently
elevated insulin concentrations, leads to development of central obesity, insulin
resistance and the metabolic syndrome. In children, chronic alterations in
cortisol secretion may have additional effects on cognitive and emotional
development, timing of puberty and final stature. Obese children and adolescents
are frequently entangled in a vicious cycle between distress, impairing self
image and distorted self-image, maintaining and worsening distress.
PMID- 21905813
TI - The association between physical activity, physical fitness and development of
metabolic disorders.
AB - BACKGROUND: Cardiovascular (CVD) risk factors have been shown to cluster in some
children. This has been shown in children from the age of nine years, but
recently we found no clustering in six-year old children. It is uncertain when
clustering develops and which parameters are related to the development of
clustered CVD risk. METHODS: A longitudinal study including 484 children aged six
years. Three years later, 434 children participated in a follow-up. The main
outcome was clustering of five CVD risk factors: homeostasis assessment insulin
resistance (HOMA), total cholesterol:HDL ratio, triglyceride (TG), systolic blood
pressure and sum of four skinfolds. Independent variables were physical activity
and cardiorespiratory fitness. RESULTS: CVD risk factors were independently
distributed in the six-year-olds, and there was no association between composite
risk factor score and physical fitness or activity even if there were obese and
unfit children in the population. Clustering of CVD risk factors was found at the
age of nine years, and the observed number with three or more CVD risk factors
was 3.33 (95% CI: 1.41-7.87) times higher than expected if risk factors had been
independently distributed. At the age of nine years, the lowest quartile of
fitness had 34.9 (95% CI: 8.0-152.5) times higher risk of having clustered risk
than the most fit quartile. CONCLUSION: Clustering of CVD risk factors developed
between the age of six and nine years. At nine years of age clustered CVD risk
was highly associated with low fitness level.
PMID- 21905814
TI - How to make overweight children exercise and follow the recommendations.
AB - As regular physical activity of high enough intensity is essential in the
management of overweight, efforts should be made to increase physical activity
adherence in overweight children. To make overweight children exercise and follow
the recommendations, it is essential to have insight into determinants of
exercise initiation and adherence. According to the Self-determination Theory,
creating opportunities to satisfy the need for autonomy (i.e., having choices),
competence (i.e., feeling effective) and relatedness (i.e., being socially
connected) might increase autonomous motivation for physical activity in
overweight children and promote a long-lasting active lifestyle. To increase
feelings of autonomy in overweight children, exercise programs could be delivered
in an autonomy-supportive manner by providing choices, supporting the child's
initiatives, avoiding use of external rewards, offering relevant information and
rationale for changing behaviour, making a decisional balance and using autonomy
supportive language, while minimizing pressure and control. Perceived competence
in physical activities could be increased by offering activities tailored to the
capabilities of the overweight child, helping the children set realistic goals,
learning the children self-management skills, providing the children with
appropriate feedback and organizing separate exercise sessions for overweight
children. Feelings of relatedness in overweight children might increase by
adopting an empathic approach, showing interest in the child's well-being and
problems, showing enjoyment and enthusiasm, knowing the names of the children,
talking to the children as equals, offering group sessions and talks, encouraging
club participation and having a sports partner and encouraging parental support.
PMID- 21905816
TI - Intima media thickness-related risk factors in childhood obesity.
AB - Macroangiopathy caused by atherosclerosis is one of the major morbidity and
mortality factors in obese adults with dyslipidemia, hypertension and disturbed
glucose metabolism. However, the onset of these cardiovascular changes is not
well established. Measuring the intima- media thickness (IMT) of the common
carotid artery, as a non-invasive marker for early atherosclerotic changes, has
been reported to be reliable and predictive for later cardiovascular disease.
Increased IMT has been reported in children with diabetes mellitus type 1 and 2.
IMT was related to both hyperglycaemia and dyslipidemia in these children.
Furthermore, children with hypertension demonstrated increased IMT. Moreover,
children with familial hypercholesterolemia have higher IMT values as compared to
healthy children. Obese children with the features of the metabolic syndrome
(MetS) such as hypertension, dyslipidemia, or impaired glucose tolerance also
demonstrated increased IMT. A strong association between IMT and parameters of
the MetS has been reported for impaired glucose tolerance. Furthermore, increased
androgens in girls with polycystic ovarian syndrome (PCOS) are associated with
increased IMT measurements. Reduction of overweight and normalization of blood
pressure, glucose metabolism and dyslipidemia were associated with a reduction of
IMT in children and adolescents. In conclusion, early vascular changes already
occur in obese children with MetS suggesting that rather cardiovascular risk
factors such as hypertension, dyslipidaemia, or disturbed glucose metabolism are
associated to increased IMT than obesity per se. Most importantly, these early
changes are reversible if effective therapy of cardiovascular risk factors could
be achieved.
PMID- 21905815
TI - From fatness to leaness: where did we go wrong?
AB - INTRODUCTION: In humans, using adipose tissue to store fat represents the most
effective means to 'store' energy. On the whole and over an extended period of
time, intake of energy has exceeded energy expenditure and where previously the
excess of energy was regularly turned over through physical activity, this
crucial circle has now been broken. In this way obesity ascribed to either
'overeating' or 'under-activity'. Central adiposity poses a major risk for
chronic diseases such as hypertension, cardiovascular diseases and diabetes, and
possibly mortality. Predictors and potential factors underlying the development
of excess adiposity were well studied and established with emphasis on fat mass.
OBJECTIVE: When studying the development of body composition, bone mass, fat mass
and lean mass should be considered together. The purposes of the present paper
are to briefly analyze the methodologies used to estimate the lean mass (LM),
understanding the interrelationship between fat mass (FM) and LM and underline
the importance of LM during growth. RESULTS: As muscle and adipose tissue are
closely linked anatomically, biologically and pathologically, the
interrelationship between these two tissues is of great importance in
understanding the pathogenesis and development of diseases related to obesity and
physical activity/inactivity. LM estimation, and LM programming could show
several implications for the early origin of obesity. CONCLUSION: Measurements of
lean mass may improve the capacity to tailor nutrition, treatment and management
to metabolic criteria. This approach could offer a unique opportunity of putting
lean mass in the first line keeping in mind that this metabolic active tissue
need to be preserved when obesity prevention and treatment are considered.
PMID- 21905817
TI - Does obesity lead to a specific lipid disorder? Analysis from the
German/Austrian/Swiss APV registry.
AB - Overweight and obese youth represent a challenge for the affected individual, the
healthcare system as well as society as a whole. Increased long-term
cardiovascular risk is one of the major consequences of early-onset obesity,
affecting both life expectancy and quality of life. The aim of this report is to
study the effects of age, gender and obesity category on the presence of
individual components of dyslipidemia using normal-weight subjects from the
population-based German KIGGS study including 17,641 randomly selected children
and adolescents, aged 0-18 years (11,110 normal-weight subjects with lipid
measurements) and the German-Austrian-Swiss APV registry, including 57,239
overweight or obese children, adolescents and young adults from 162 specialized
obesity care centers (lipid measurements available in 29,711 subjects). Subjects
were classified according to BMI category based on the age- and gender-adjusted
BMI-z-scores as recommended by the AGA (German Pediatric Obesity working group).
Cut-offs for dyslipidemia were based on the recommendations by the American Heart
Association: total cholesterol: > 5.2 mmol/l, HDL-cholesterol < 0.9 mmol/l, LDL
cholesterol > 3.4 mmol/l, triglycerides > 1.7 mmol/l. Using SAS 9.2-software,
hierarchic modeling with both linear and logistic regression analysis was
applied. Within the group of normal-weight children, fasting triglycerides were
elevated in 3.3%, LDL-cholesterol in 7.2% and HDL-cholesterol was reduced in
3.1%. With increasing BMI-category, the prevalence of hypertriglyceridemia and
reduced HDL-cholesterol increased rapidly. A weaker relationship was present for
LDL-cholesterol and total cholesterol. Among obese youth, 30.5% displayed any
dyslipidemia, underlining the importance of adequate screening and intervention.
PMID- 21905818
TI - Fatty liver disease in obese children--relation to other metabolic risk factors.
AB - Liver steatosis, known as non-alcoholic fatty liver disease (NAFLD) is common
among obese children. Deposition of lipid within the liver represents part of an
abnormal lipid partitioning pattern, most commonly associated with increased
intra-abdominal fat. Lipid deposition in the liver can be a cause of peripheral
insulin resistance via local acceleration of lipogenesis and a cause of hepatic
insulin resistance leading to further compensatory hyperinsulinemia. The typical
obese child with NAFLD will usually manifest other components of the insulin
resistance syndrome such as dyslipidemia, hypertension and altered glucose
metabolism. As liver steatosis itself is usually asymptomatic, a high index of
suspicion for its presence should be present in obese insulin resistant youth who
present with dyslipidemia or altered glucose metabolism or manifest anamnestic or
physical signs that suggest the presence of insulin resistance.
PMID- 21905819
TI - Bariatric surgery in morbidly obese adolescents: long-term follow-up.
AB - OBJECTIVE: Morbid obesity is an increasingly common disease in the industrialized
world and poses a great challenge to the medical community. Many obese
adolescents have undergone various conservative treatment methods without
adequate success so that a surgical approach became necessary. We report on 18
patients who underwent bariatric surgery as well as a long-term follow-up
program. METHODS: Eight patients received a laparoscopic adjustable gastric
banding (LAGB). Four of these had to undergo a gastric bypass surgery (GByp) as
second procedure due to insufficient weight loss. Nine patients primarily
received a gastric bypass. RESULTS: LAGB: Mean weight loss after 24 months was 20
+/- 6.3 kg. Four of the patients showed a regain in weight leading to a mean
weight loss of 9 kg compared to initial weight after 53.3 months mean in this
group. These patients had to undergo an additional gastric bypass procedure and
lost 31 +/- 18.3 kg after 18 months. GByp: Mean weight loss in nine patients
after gastric bypass (primary procedure) was 31 +/- 10.2 kg after 12 months and
36 +/- 30.1 kg at the end of the observation time. Sleeve: Initial weight in this
patient was 232 kg with a weight loss of 38 kg after 24 months. DISCUSSION AND
CONCLUSION: It is remarkable that in four of eight patients who underwent LAGB
had to undergo a second surgical procedure (GByp). No previous indicator, neither
from a psychological nor from a medical point of view, could be detected. More
long-term studies including psychological aspects seem to be necessary.
PMID- 21905820
TI - Which psychological method is most effective for group treatment?
AB - While outcome studies in pediatric obesity have received considerable attention,
research on different components of effective interventions remains limited.
Little is known which psychological method (i.e., behavior modification,
cognitive behavior therapy and family therapy) is most useful or how the choice
of program delivery (group/individual) influences the intervention outcomes.
Group treatment is of particular interest for two reasons. First, motivation is
important for behavior change; in group settings motivation can be increased in
two ways: by the group leader and through the interaction with the group
participants. Second, group treatment can be more cost-effective than individual
approaches (i.e., it requires fewer staff resources and space). This paper aims
to comment on the influence of the method choice and delivery in pediatric
obesity interventions through discussion of the existing evidence on current
programs. In addition, two examples of useful models will be described in more
detail: the Yale Bright Bodies Weight Management Program and the Family Weight
School. These are outpatients programs both targeting families with severely
obese children but through different methodological approaches. Finally,
directions for future research will be explored, particularly regarding how the
selection of program delivery and psychological method affect treatment outcomes
in various populations.
PMID- 21905821
TI - Managing obesity--from childhood and onwards.
AB - It is unclear whether the obesity epidemic has come to a halt. Perhaps the
incidence is declining, at least in pre-school children. However, the obesity
rate is higher than ever before. Prevention is a priority, especially in
children, but has not been very successful to date. Treatment has basically
offered the same tools for decades. The recent development of obesity
pharmacotherapy has regressed with--in most countries--only one drug of modest
effect available. Bariatric surgery has therefore been considered one of the few
solutions in the adult setting and is gaining increasing attention as a treatment
option, even in pediatric extreme obesity. In some countries, government action
for prevention has been taken, but too often resources have not been set aside.
This review addresses new and old strategies to manage obesity--from childhood
and onwards.
PMID- 21905822
TI - Thujone inhibits lung metastasis induced by B16F-10 melanoma cells in C57BL/6
mice.
AB - The antimetastatic potential of thujone, a naturally occurring monoterpene, was
evaluated. Metastasis was induced in C57BL/6 mice by injecting highly metastatic
B16F-10 melanoma cells through the lateral tail vein. Administration of thujone
(1 mg.(kg body weight)(-1)), prophylactically and simultaneously with tumor
induction, inhibited tumor nodule formation in the lungs by 59.45% and 57.54%,
respectively, with an increase in the survival rate (33.67% and 32.16%) of the
metastatic tumor bearing animals. These results correlated with biochemical
parameters such as lung collagen hydroxyproline, hexosamine and uronic acid
contents, serum sialic acid and gamma-glutamyl transpeptidase levels, and
histopathological analysis. Treatment with thujone downregulated the production
of proinflammatory cytokines such as tumor necrosis factor-alpha, interleukin
(IL)-1beta, IL-6, and granulocyte-monocyte colony-stimulating factor. Thujone
administration downregulated the expression of matrix metalloproteinase (MMP)-2,
MMP-9, extracellular signal-regulated kinase (ERK)-1, ERK-2, and vascular
endothelial growth factor (VEGF) and also upregulated the expression of nm-23,
tissue inhibitor of metalloproteinase (TIMP)-1, and TIMP-2 in the lung tissue of
metastasis-induced animals. Treatment with thujone inhibited the activity of MMP
2 and MMP-9 in gelatin zymographic analysis. Thujone treatment significantly
inhibited the invasion of B16F-10 melanoma cells across the collagen matrix in a
Boyden chamber. Thujone also inhibited the adhesion of tumor cells to collagen
coated microtire plate wells and the migration of B16F-10 melanoma cells across a
polycarbonate filter in vitro. These results indicate that Thujone can inhibit
the lung metastasis of B16F-10 cells through inhibition of tumor cell
proliferation, adhesion, and invasion, as well as by regulating expression of
MMPs, VEGF, ERK-1, ERK-2, TIMPs, nm23, and levels of proinflammatory cytokines
and IL-2 in metastatic animals.
PMID- 21905823
TI - Concentration- and time-dependent effects of enoxaparin on human adenocarcinomic
epithelial cell line A549 proliferation in vitro.
AB - Non-small cell lung cancer (NSCLC) is a major health problem. Surgery is the only
potential curative treatment, in spite of the high recurrence and mortality
rates. Low molecular weight heparins (LMWH) have been suggested to have a
positive impact on the outcome of various cancers, mainly attributed to their
anticoagulant properties; yet a direct antineoplastic effect has not been
excluded. We thought to evaluate the direct effect of the LMWH enoxaparin on the
human lung adenocarcinomic epithelial cell line A549 and to determine potential
antiproliferative and antimetastatic effects that could guide future trials. A549
cells were cultured with different concentrations of enoxaparin (1-30 U/mL). Cell
counting was performed at 24, 48, and 72 h. Detection of c-Myc protein and CD44
protein was performed by electrophoresis and Western blotting. Statistical
analysis was performed using paired Student's t tests. Cell counts were decreased
with increasing concentrations and time of exposure to enoxaparin. This
corresponds to decreased expression of c-Myc and CD44. In conclusion, enoxaparin
displayed a direct dose and exposure duration dependent suppressor effect on A549
cell proliferation and the expression of both c-Myc and CD44 in vitro, suggesting
reduced proliferative and metastatic potentials of these cells.
PMID- 21905824
TI - Effect of resveratrol derivative BTM-0512 on high glucose-induced dysfunction of
endothelial cells: role of SIRT1.
AB - Hyperglycemia impairs the function of endothelial cells. Sirtuin 1 (SIRT1) is
involved in regulating the function of endothelial cells. Resveratrol, a
polyphenol found in many plant species, exerts protective effects on endothelial
cells through activation of SIRT1. The aims of this work were to explore whether
BTM-0512, a novel derivative of resveratrol, is able to exert beneficial effects
on high glucose-induced dysfunction of endothelial cells through regulation of
SIRT1. We found that high glucose significantly impaired the function of
endothelial cells as shown by reduced tube formation, cell migration, and cell
adhesion concomitantly with downregulation of mRNA expression of SIRT1 and
vascular endothelial growth factor as well as increased tumor necrosis factor
alpha release and reactive oxygen species production. These effects of high
glucose were inhibited by pretreatment with BTM-0512. The beneficial effects of
BTM-0512 on high glucose-induced cell dysfunction were abolished by splitomicin,
a specific inhibitor of SIRT1. The regulatory effects of BTM-0512 on high glucose
induced changes in vascular endothelial growth factor mRNA expression and tumor
necrosis factor-alpha release were also abolished by splitomicin. The results
suggest that BTM-0512 exerts beneficial effects on high glucose-induced
endothelial cell dysfunction through regulation of the SIRT1 - reactive oxygen
species - vascular endothelial growth factor - tumor necrosis factor-alpha
pathway.
PMID- 21905825
TI - Disability identity of leaders in the self-advocacy movement.
AB - Life stories and perspectives of leaders in the self-advocacy movement were
explored to enhance knowledge about disability identity formation. In-depth
qualitative interviews were conducted with 13 leaders in the self-advocacy
movement. Five major themes emerged: (a) resistance--claiming personhood and
voice; (b) connection with disability community; (c) reclaiming disability and
personal transformation; (d) interconnection with broader disability rights
movement; and (e) bond with social justice and interdependency.
PMID- 21905826
TI - "I'm supposed to be in charge": self-advocates' perspectives on their self
determination support needs.
AB - In this qualitative interview study, we explored the perceptions of adults with
intellectual disability regarding interpersonal or social supports needed to
express their own self-determination. Specifically, 10 adults, all members of a
self-advocacy group, were asked to discuss their understanding of the term self
determination and ways in which support staff have either supported or inhibited
their self-determination. Ten themes characterizing supportive and impeding staff
actions were identified. The need for greater exploration of environmental and
social influences on self-determination is emphasized.
PMID- 21905827
TI - Gendered service delivery: a masculine and feminine perspective on staff gender.
AB - Despite acknowledgement that paid caregivers have a significant impact on the
lives of people with intellectual disability, the subjective experience of staff
gender is rarely considered in research. Qualitative data from a study on the
sexual health needs of men and boys with intellectual disability is presented. We
designed this study to determine what impact staff gender has on the sexual
health needs of men and boys with intellectual disability. Findings suggest that
although staff traverse the same geographies of care, they do it in uniquely
gendered ways. Staff gender is an important consideration when dealing with
sexual health matters and can enhance the type and quality of relationships
between people with intellectual disability.
PMID- 21905830
TI - Perske's list: false confessions from 75 persons with intellectual disability.
PMID- 21905831
TI - Reflections on monitoring the implementation of court orders in class action
lawsuits.
PMID- 21905832
TI - How the shift to individualize supports gets stuck and the first step out of
gridlock.
PMID- 21905833
TI - Parental supports for parents with intellectual and developmental disabilities.
PMID- 21905834
TI - Barriers to conducting research with community-dwelling adults who have
intellectual disabilities.
PMID- 21905835
TI - Supporting religion and spirituality to enhance quality of life of people with
intellectual disability: a Jewish perspective.
PMID- 21905836
TI - The times they are a changing: special olympics and the movement towards valued
lives and inclusion.
PMID- 21905839
TI - Validation of a multi-residue enzyme-linked immunosorbent assay for qualitative
screening of corticosteroids in liver, urine and milk.
AB - A rapid and sensitive enzyme-linked immunosorbent assay (ELISA) was applied for
the qualitative screening analysis of dexamethasone, betamethasone, flumethasone,
and prednisolone in milk and urine, and dexamethasone, flumethasone and
prednisolone in liver samples at levels corresponding to the European Union
maximum residue limit (MRL), or at required performance levels (RPLs) for
substances for which there is no established MRL. Method validation was performed
according to Commission Decision 2002/657/EC criteria established for qualitative
screening methods. In this regard, the following parameters were determined:
detection capability (CCbeta), specificity, limit of detection (LOD), limit of
quantitation (LOQ), recovery, within-laboratory reproducibility, linearity and
ruggedness. LODs were 0.2, 1.2 and 0.6 ug kg(-1) in milk, urine and liver
samples, and LOQ values were 0.3, 1.2 and 1.4 ug kg(-1) in milk, urine and liver,
respectively. Recoveries from spiked samples ranged from 68% to 131% for
dexamethasone, from 57% to 120% for flumethasone, from 60% to 155% for
betamethasone, and from 23% to 32% for prednisolone, with a coefficient of
variation (CV) between 1.6% and 21.2%. The CCbeta value was below the MRL/RPL for
all examined matrices. Moderate variations of some critical factors in the sample
pre-treatment for liver and milk samples were deliberately introduced for
ruggedness evaluation and did not result in any negative effects on
corticosteroid detection. The proposed method is suitable for qualitative
screening analysis of corticosteroids in the above-mentioned food in conformity
with the current European Union performance requirements.
PMID- 21905841
TI - Quantum-behaved particle swarm optimization: analysis of individual particle
behavior and parameter selection.
AB - Quantum-behaved particle swarm optimization (QPSO), motivated by concepts from
quantum mechanics and particle swarm optimization (PSO), is a probabilistic
optimization algorithm belonging to the bare-bones PSO family. Although it has
been shown to perform well in finding the optimal solutions for many optimization
problems, there has so far been little analysis on how it works in detail. This
paper presents a comprehensive analysis of the QPSO algorithm. In the theoretical
analysis, we analyze the behavior of a single particle in QPSO in terms of
probability measure. Since the particle's behavior is influenced by the
contraction-expansion (CE) coefficient, which is the most important parameter of
the algorithm, the goal of the theoretical analysis is to find out the upper
bound of the CE coefficient, within which the value of the CE coefficient
selected can guarantee the convergence or boundedness of the particle's position.
In the experimental analysis, the theoretical results are first validated by
stochastic simulations for the particle's behavior. Then, based on the derived
upper bound of the CE coefficient, we perform empirical studies on a suite of
well-known benchmark functions to show how to control and select the value of the
CE coefficient, in order to obtain generally good algorithmic performance in real
world applications. Finally, a further performance comparison between QPSO and
other variants of PSO on the benchmarks is made to show the efficiency of the
QPSO algorithm with the proposed parameter control and selection methods.
PMID- 21905844
TI - Notice of retraction: Analysis of azoospermia factor loci polymorphisms among
Tunisian infertile men with varicocele.
PMID- 21905842
TI - Risky business: the need for hypothesis-generating research.
PMID- 21905845
TI - Biomechanical proof of barbed sutures for the efficacy of laparoscopic
pyeloplasty.
AB - BACKGROUND AND PURPOSE: The gold standard for management of adult ureteropelvic
junction obstruction is laparoscopic dismembered pyeloplasty (LPP), described by
Anderson-Hynes, with reduced postoperative complications, early patient release,
and favorable results. LPP, however, necessitates a high level of surgical
expertise, especially with regard to reanastomosis. Knotless self-anchoring
barbed sutures have also been introduced into aesthetic surgery and wound
closure. We compared a self-retaining suture (SRS) Quill((r)) (Angiotech, Canada)
with a standard monofilament suture to further investigate their biomechanical
and urodynamic aspects. MATERIALS AND METHODS: We analyzed breaking strength and
stiffness between SRS 4.0, 3.0, and polydioxanone suture (PDS((r))) 4.0 (Ethicon,
Germany) using a biomechanical testing unit. Urodynamic evaluations were
performed in the porcine upper urinary tract, closing a longitudinal incision
either with SRS 4.0 (without knots) or with PDS 4.0 (five knots each end). Suture
line shortening, suture time, tightness, and intrapelvic pressure were measured.
RESULTS: SRS 4.0 breaks at a mean of 11.57 N (standard deviation [SD]=1.25,
stiffness 172.8 N/mm(2), SD=10.84), SRS 3.0 at 16.01 N (1.81), and PDS 4.0 at
18.41 N (0.75, 128.9 N/mm(2), 7.45). SRS 4.0 results in a suture line shortening
from mean 3.08 to 2.26 mm (-26.6%) while PDS 4.0 shortens from 3.05 to 1.81 mm (
40.7%). The maximum intrapelvic pressure demonstrated no difference, and leakage
was seen in 50% of the cases. Suture time was significantly decreased with SRS
use (SRS 4.0 277 s and PDS 4.0 364 s). CONCLUSION: SRS offers immediate tissue
adaption with reduced suture line shortening and equal tightness compared with
nonbarbed material in vitro. Knotless suturing using SRS is time efficient and
appears to be an excellent material for LPP.
PMID- 21905846
TI - Effects of subinhibitory concentrations of ciprofloxacin on Staphylococcus
saprophyticus adherence and virulence in urinary tract infections.
AB - BACKGROUND AND PURPOSE: Staphylococcus saprophyticus is a frequent cause of both
uncomplicated and complicated urinary tract infections (UTI) in young females and
has recently been established as the most prominent gram-positive uropathogen.
Although the effects of subinhibitory concentrations of antimicrobials on
numerous other pathogenic bacteria have been studied, little is known regarding
how S saprophyticus responds under such conditions. MATERIALS AND METHODS: In
this study, we investigated the effects of subminimum inhibitory concentrations
(MIC) of ciprofloxacin (CIP) on S saprophyticus attachment to glass microscope
slides, ureteral stent material, and T24 bladder cells, as well as its effects on
S saprophyticus-induced proinflammatory cytokine expression in bladder cells.
RESULTS: Adherence to glass microscope slides, ureteral stent material, and
bladder cell monolayers were all significantly increased in the presence of sub
MIC levels of CIP. While the S saprophyticus challenge of T24 bladder cell
monolayers significantly upregulated both interleukin (IL)-6 and IL-8 expression,
sub-MIC CIP abrogated these effects, returning their secretion to control levels.
CONCLUSIONS: Our results demonstrate that exposure to sub-MIC CIP increases S
saprophyticus adherence to both abiotic and biotic surfaces including urinary
device material and cultured bladder cells. In addition, low levels of this
antimicrobial downregulate S saprophyticus-stimulated proinflammatory cytokine
secretion in the bladder. These changes may make S saprophyticus more effective
at colonizing the urinary tract and highlights the need for clinicians to
consider the impact of subinhibitory concentrations of antimicrobials on bacteria
when designing treatment strategies to manage UTI.
PMID- 21905847
TI - Factors determining fluoroscopy time during ureteroscopy.
AB - PURPOSE: The aim of this study was to prospectively identify predictors of
radiation exposure during ureteroscopy. PATIENTS AND METHODS: Eighty-five
consecutive patients who presented for ureteroscopies and laser lithotripsy were
considered. Fluoroscopy time (FT) was obtained from radiology reports for each
patient, and clinical data were obtained from chart review. Nine patients were
excluded (three unconfirmed FTs, four staghorn calculi, one ectopic kidney, and
one multiple ureteral strictures). Seventy-six patients were included in the
study. Univariate and multivariate linear regression were used to identify
factors that determined FT. RESULTS: The patient cohort was 65.8% male with a
mean age of 52.7 years. Mean FT was 183 s, and mean surgical time was 68.4+/-29
minutes. Mean stone size was 10+/-5 mm in the greatest dimension. A large
proportion of patients (50%) had renal stones, multiple stones were present in
31.6% of cases, and 22.3% of stones were radiolucent. Cases were equally
distributed between surgeons A and B, and 46% of patients had preoperative
stents. On multivariate analysis, increased FT was independently associated with
surgeon A (104 additional seconds per case, P<0.001), longer duration of surgery
(14 s per 10 minutes, P<0.001), and male patients (54 s per procedure, P=0.02).
Age, stone characteristics, presence of ureteral stent, and stone-free status did
not correlate with FT. CONCLUSIONS: Surgeon behavior, longer duration of surgery,
and male gender were significant predictors of FT and, hence, radiation exposure
during ureteroscopy. In the present study, stone characteristics were not found
to be predictors of FT.
PMID- 21905848
TI - Needle renal displacement technique for the percutaneous approach to the superior
calix.
AB - We describe a new renal displacement technique using an 18-gauge needle to
facilitate superior calix puncture and consequently to decrease intrathoracic
morbidity. Initially, a lower or middle calix is punctured with an 18-gauge
needle. Then, the proximal end of the needle is progressively pushed in the
cephalic direction. Therefore, the kidney is pushed caudally by the lever
maneuver. This technique has also been used to immobilize the kidney or to
reorient complex and malrotated kidneys.
PMID- 21905849
TI - Factors affecting operative time during percutaneous nephrolithotomy: our
experience with the complete supine position.
AB - BACKGROUND AND PURPOSE: Operative time influences surgical outcomes, operation
related and indirectly anesthesia-related complications. We investigated
variables that affect operative time during percutaneous nephrolithotomy (PCNL)
with the complete supine position. PATIENTS AND METHODS: We reviewed data of 120
patients who underwent complete supine PCNL by one surgeon. Correlation between
age, sex, body mass index (BMI), preoperative serum creatinine and hemoglobin
levels, history (stone surgery, shockwave lithotripsy (SWL), hypertension,
diabetes), stone (side, opacity, burden, complexity), imaging for access, calix
for access, number of tracts, and tubeless approach with operative time were
assessed by univariate and multivariate statistical tests. RESULTS: Mean
operative time was 60.62+/-30.70 minutes. In univariate analysis, age, sex,
groups of BMI, hypertension, diabetes, previous stone surgery and SWL, stone
opacity, operation side, number of tracts, and tubeless approach had no effect on
operative time. BMI (P=0.029, negative correlation), stone burden (P=0.001,
positive correlation), imaging for access (P=0.001, fluoroscopylower>middle) and probably complex stones
(P=0.057, complex>noncomplex) were effective factors on operative time. Multiple
linear regression revealed association between BMI (P=0.000, negative
correlation), stone burden (P=0.005, positive correlation), imaging for access
(P=0.000, fluoroscopy=1,024 MUg/ml) was observed in 16 (11.6%) of
the 138 gentamicin-resistant E. coli (GREC) strains. Among the seven different
kinds of aminoglycoside resistance genes tested, only four kinds were detected in
the apramycin-resistant GREC strains: aac (3)-II, aac (3)-III, aac (3)-IV, and
armA. The aac (3)-IV gene was found in all apramycin-resistant GREC strains,
whereas aac(3)-II, aac(3)-III, and armA genes were detected in 8 (50.0%), 6
(37.5%), and 1 (6.3%) GREC strains resistant to apramycin, respectively. Of 16
apramycin-resistant GREC strains, transfer of apramycin resistance was observed
in seven (43.8%), and co-transfer of resistance to other antimicrobials along
with apramycin resistance was also found in four strains (25.0%) by broth mating.
The results of this study suggest that more prudential use of apramycin in
animals is needed.
PMID- 21905875
TI - Improved cellular immune response elicited by a ubiquitin-fused DNA vaccine
against Mycobacterium tuberculosis.
AB - This study evaluated the immune response elicited by a ubiquitin (Ub)-fused MPT64
DNA vaccine against Mycobacterium tuberculosis. BALB/c mice were vaccinated with
plasmid DNA encoding MPT64 protein, Ub-fused MPT64 DNA vaccine (UbGR-MPT64), and
negative DNA vaccines, respectively. MPT64 DNA vaccine immunization induced a Thl
polarized immune response. The production of Thl-type cytokine (interferon-gamma
[IFN-gamma]) and proliferative T cell responses were enhanced significantly in
mice immunized with UbGR-MPT64 fusion DNA vaccine, compared with nonfusion DNA
vaccine. Moreover, this fusion DNA vaccine also resulted in an increased relative
ratio of IgG2a to IgGl and the cytotoxicity of T cells. IFN-gamma intracellular
staining of splenocytes indicated that UbGR-mpt64 fusion DNA vaccine activated
CD4+ and CD8+ T cells, particularly CD8+ T cells. Thus, this study demonstrated
that the UbGR-MPT64 fusion DNA vaccine inoculation could improve antigen-specific
cellular immune responses, which is helpful for protection against TB.
PMID- 21905876
TI - Towards a better understanding and management of pain: a public health mandate.
PMID- 21905877
TI - Characteristics of Iranian women seeking drug treatment.
AB - BACKGROUND: In the west, men are twice as likely as women to develop a drug
problem, but female users have higher rates of morbidity than male users. Iran
has the world highest per capita opiate consumption, but little is known about
female drug users. In 2007, we established a free methadone clinic with ancillary
services for female drug users in South Tehran. The aim was to explore the
characteristics of female drug users seeking treatment for heroin dependence in
Iran. Clients were interviewed about demographic characteristics, drug use and
treatment history, and drug-related health problems. Urine and blood samples were
collected and tested for morphine, HIV, hepatitis C virus (HCV), and sexually
transmitted infections (STIs). METHODS: Between August 2007 and October 2008, 78
women completed a baseline interview. The median age was 37 years, the main
ethnic background was Persian (65%), and half of the clients were married. Opium
and heroin and opium use was reported by 69% (n=54) and 87% (n=68) of clients,
respectively. The mean duration of heroin use problems was 10.5 years, and only
20% of women reported ever having received drug treatment. HIV and HCV
seroprevalence was 5% and 24%, respectively. Forty percent were sexually active
when interviewed, and one third tested positive for an STI. Women had poor social
functioning, high levels of depression, and poor general health. RESULTS AND
CONCLUSIONS: Our clients were dependent users with a multitude of problems who
had little or no contact with treatment agencies before this study. Many clients
had made a transition from using opium to using heroin, and some had commenced
injecting, placing them at risk for HIV and HCV infection. More women-only drug
treatment services are needed to facilitate women's entry into drug treatment.
PMID- 21905878
TI - Biosurveillance where it happens: state and local capabilities and needs.
AB - In recent years, improved biosurveillance has become a bipartisan national
security priority. As has been pointed out by the National Biosurveillance
Advisory Subcommittee and others, building a national biosurveillance enterprise
requires having strong biosurveillance systems at the state and local levels, and
additional policies are needed to strengthen their biosurveillance capabilities.
Because of the foundational role that state and local health departments play in
biosurveillance, we sought to determine to what extent state and local health
departments have the right capabilities in place to provide the information
needed to detect and manage an epidemic or public health emergency-both for state
and local outbreak management and for reporting to federal agencies during
national public health crises. We also sought to identify those policies or
actions that would improve state and local biosurveillance and make
recommendations to federal policymakers who are interested in improving national
biosurveillance capabilities.
PMID- 21905879
TI - Collagen-induced arthritis as an animal model for rheumatoid arthritis: focus on
interferon-gamma.
AB - Rheumatoid arthritis (RA), an autoimmune disease causing inflammation,
destruction, and deformity of the joints, affects around 1% of the world
population. It is a systemic disease as patients exhibit extra-articular
manifestations as well. Collagen-induced arthritis (CIA) in DBA/1 mice is one of
the many animal models used to study possible pathogenic mechanisms of RA. It
involves immunizing mice with collagen type II in complete Freund's adjuvant.
Here we briefly review the general characteristics of RA and CIA and present an
overview of data obtained by studying CIA in several gene knockout mice. In
particular, detailed analysis of CIA in interferon-gamma (IFN-gamma) receptor
deficient mice has pin-pointed IFN-gamma as an important cytokine in the
pathogenesis and has exposed new functions of IFN-gamma in immunological
processes. Pilot trials with exogenous IFN-gamma in RA have been indicative of a
beneficial effect. That improvement of the disease symptoms by IFN-gamma
treatment was not spectacular may be explained by the fact that RA is a
heterogeneous disease in which the severity of the autoimmune disease is strongly
determined by environmental factors.
PMID- 21905880
TI - Global gene expression profile of osteoblast-like cells grown on polyester
copolymer scaffolds.
AB - One of the principal goals in tissue engineering is to produce scaffold materials
that will guide cells to differentiate and regenerate functional replacement
tissue at the site of injury. Poly(l-lactide-co-1,5-dioxepan-2-one) [Poly(LLA-co
DXO)], a potential scaffolding material for bone tissue engineering, has high
hydrophilicity. Previous in vitro studies using human osteoblast-like cells
(HOBs) demonstrated greater cytocompatibility and enhanced osteogenic
differentiation when HOBs were seeded onto Poly(LLA-co-DXO) compared to Poly(l
lactide) [P(LLA)] scaffolds. The aim of the study was to identify the gene
expression profiles of HOBs obtained from alveolar bone and grown on Poly(LLA-co
DXO) biodegradable polymer scaffolds compared to P(LLA) one. Illumina HumanWG-6
v3.0 Expression BeadChips were used for the gene expression analysis. Several
genes were found as differentially expressed at 24 h and at 21 days. Expression
of genes related to cell adhesion, cytoskeleton, antiapoptosis, proliferation,
and bone mineralization was influenced by adding the monomer 1,5-dioxepan-2-one
to the L-lactide. Genes related to three biological pathways involving Integrin,
Notch, and Ras were found to be upregulated. For selected genes, results were
confirmed by quantitative reverse transcriptase-polymerase chain reaction.
Further, calcium content analysis revealed a significant enhancement of calcium
deposition on both tested scaffolds. This observation was confirmed by Von Kossa
and Alizarin Red S staining. Findings of this study are relevant to a better
understanding of the molecular mechanisms underlying the behavior of HOBs in bone
regenerative procedure.
PMID- 21905882
TI - Effect of different storage media on the regenerative potential of autogenous
bone grafts: a histomorphometrical analysis in rabbits.
AB - The success of autogenous bone graft is related to the graft cell viability. In
bone-grafting procedures, harvested grafts are often maintained in extraoral
media while the recipient site is prepared. The aim of this study was to evaluate
in vivo the effect of storage media over autogenous bone grafts during the
transsurgical time. Two grafts were removed bilaterally from the calvaria of 18
rabbits. One graft was immediately fixed in the mandibular angle (control group),
and the other was maintained in air exposure (dry group), 0.9% NaCl solution
(saline group), or platelet-poor plasma (PPP group) during 30 minutes and
stabilized in the symmetrical location of control grafts. After 28 days, the
animals were euthanized and the bone fragments were removed, demineralized, and
embedded in paraffin. Histological evaluation was performed under light
microscope. Empty lacunae and bone graft area quantification were carried out for
the sections. The histomorphometrical analysis revealed reduction of the graft
area and increase of empty lacunae in the dry group when compared with control.
No significant differences were found in the number of empty lacunae or bone
graft area between the saline group and its control and also between the PPP
group and its control. The dry group showed more empty lacunae and less graft
area than the saline and PPP groups. In accordance with the results, PPP and
physiologic solution demonstrated osteocyte preservation and bone graft area
maintenance, being satisfactory storage media for autogenous bone grafts during
the transsurgical period.
PMID- 21905881
TI - In vitro cartilage tissue engineering using adipose-derived extracellular matrix
scaffolds seeded with adipose-derived stem cells.
AB - Extracellular matrix (ECM) secreted from the resident cell of tissue is an ideal
biomaterial evolved by nature. Cartilage is also built from well-organized ECM
components in a gel-like structure with a high collagen and proteoglycan content.
Here, we explored cartilage tissue engineering using ECM scaffolds seeded with
stem cells. Both scaffolds and stem cells were isolated from human adipose
tissue, which is abundant and easily harvested in the human body. The human ECM
scaffolds contained various endogenous bioactive factors, including transforming
growth factor-beta1 (TGF-beta1, 8782+/-4989 pg/g, dry ECM), insulin growth factor
1 (13319+/-1388 pg/g, dry ECM), basic fibroblast growth factor (82373+/-9572
pg/g, dry ECM), and vascular endothelial growth factor (25647+/-2749 pg/g, dry
ECM). A composite of ECM and stem cells was prepared and cultured in chondrogenic
medium (with 10 ng/mL TGF-beta1 or not) for 45 days. The volumes and weights of
the composites increased during culture and the surface gradually became smooth.
Cell viability remained high throughout the 45 days of in vitro culture.
Composites showed the formation of cartilage-like tissue with the synthesis of
cartilage-specific proteins such as collagen and glycosaminoglycan. Important
chondrogenic markers were expressed including Sox-9, aggrecan, and collagen type
II and XI. These results demonstrate that a cell/ECM composite containing
endogenous bioactive factors could provide biochemical cues for the promotion of
cartilage formation.
PMID- 21905883
TI - Ridge expansion and immediate placement with piezosurgery and screw expanders in
atrophic maxillary sites: two case reports.
AB - Endosseous dental implants may require bone augmentation before implant
placement. Herein is described an approach to edentulous ridge expansion with the
use of piezosurgery and immediate placement of implants. This may allow for a
shortened treatment time and the elimination of donor-site morbidity. Two cases
are reported. This technique uses a piezoelectric device to cut the crestal and
proximal facial cortices. Space is then created with motorized osteotomes to
widen the split ridge. This technique allows for expansion of narrow,
anatomically limiting, atrophic ridges, creating space for immediate implant
placement. The facial and lingual cortices provide support with vital osteocytes
for osteogenesis. The 2 patients presented had adequate bone height for implant
placement but narrow edentulous ridges. In patient 1 at site #11, the ridge crest
was 3.12 mm thick and was expanded to accept a 4.3 mm * 13 mm implant. The
resulting ridge width was 8.88 mm, which was verified using cone beam
computerized tomography (CBCT). In patient 2 at site #8 and site #9, the narrow
ridge was expanded using the same technique to accept 2 adjacent 3.5 mm * 14 mm
implants. The implants were restored to a functional and esthetic outcome.
PMID- 21905884
TI - Socket preservation and sinus augmentation using a medical grade calcium sulfate
hemihydrate and mineralized irradiated cancellous bone allograft composite.
AB - Regeneration and preservation of bone after the extraction of a tooth are
necessary for the placement of a dental implant. The goal is to regenerate
alveolar bone with minimal postoperative pain. Medical grade calcium sulfate
hemihydrate (MGCSH) can be used alone or in combination with other bone grafts;
it improves graft handling characteristics and particle containment of particle
based bone grafts. In this case series, a 1:1 ratio mix of MGCSH and mineralized
irradiated cancellous bone allograft (MICBA) was mixed with saline and grafted
into an extraction socket in an effort to maintain alveolar height and width for
future implant placement. MGCSH can be used in combination with other bone grafts
and can improve handling characteristics and graft particle containment of
particle-based bone grafts. In the cases described, we found that an MGCSH:MICBA
graft can potentially be an effective bone graft composite. It has the ability to
act as a space maintainer and as an osteoconductive trellis for bone cells,
thereby promoting bone regeneration in the extraction socket. MGCSH, a cost
effective option, successfully improved MICBA handling characteristics, prevented
soft tissue ingrowth, and assisted in the regeneration of bone.
PMID- 21905886
TI - Influence of fine threads and platform-switching on crestal bone stress around
implant-a three-dimensional finite element analysis.
AB - The aims of this study were to investigate the effect of implant fine threads on
crestal bone stress compared to a standard smooth implant collar and to analyze
how different abutment diameters influenced the crestal bone stress level. Three
dimensional finite element imaging was used to create a cross-sectional model in
SolidWorks 2007 software of an implant (5-mm platform and 10 mm in length) placed
in the premolar region of the mandible. The implant model was created to resemble
a commercially available fine thread implant. Abutments of different diameters
(5.0 mm: standard, 4.5 mm, 4.0 mm, and 3.5 mm) were loaded with a force of 100 N
at 90 degrees vertical and 40 degrees oblique angles. Finite element analysis
was done in COSMOSWorks software, which was used to analyze the stress patterns
in bone, especially in the crestal region. Upon loading, the fine thread implant
model had greater stress at the crestal bone adjacent to the implant than the
smooth neck implant in both vertical and oblique loading. When the abutment
diameter decreased progressively from 5.0 mm to 4.5 mm to 4 mm and to 3.5 mm the
thread model showed a reduction of stress at the crestal bone level from 23.2 MPa
to 15.02 MPa for fine thread and from 22.7 to 13.5 MPa for smooth collar implant
group after vertical loading and from 43.7 MPa to 33.1 MPa in fine thread model
and from 36.9 to 20.5 MPa in smooth collar implant model after oblique loading.
Fine threads increase crestal stress upon loading. Reduced abutment diameter that
is platform switching resulted in less stress translated to the crestal bone in
the fine thread and smooth neck.
PMID- 21905885
TI - Immediate loading of single post-extractive implants in the anterior maxilla: 12
month results from a multicenter clinical study.
AB - The purpose of the study was to evaluate survival and peri-implant bone levels of
single, immediately loaded post-extractive implants in the anterior maxilla 12
months after implant placement. Thirty-six consecutive patients from 3 study
centers were included in the study. The concerned sites were upper premolars,
canines, and incisors. For each patient the following data were recorded: reason
for tooth extraction, bone quality, implant size, and final insertion torque.
Implants were placed using a flapless technique and immediately loaded with a
nonoccluding temporary restoration. Final restorations were provided 4 months
later. Peri-implant bone resorption was evaluated radiographically after 6 and 12
months. The average final insertion torque was 70.55 Ncm. One implant inserted in
D3 quality bone with a 35-Ncm seating torque was lost. All other implants had a
final insertion torque ranging between 50 and 80 Ncm. The average peri-implant
bone loss was 0.437 and 0.507 mm at 6 and 12 months, respectively. All the sites
maintained excellent papillae and peri-implant soft-tissue conditions. The
resulting 1-year success rate was 97.2%. Immediate nonfunctional loading of
single post-extractive implants in the anterior maxilla is a predictable
treatment. And it seems that achieving high insertion torques by placing self
tapping/self-condensing implants in an underprepared osteotomy is favorable.
PMID- 21905887
TI - Craniofacial implant-retained auricular prosthesis: a case report.
AB - Implants provide patients with a safe and reliable method for anchoring auricular
prostheses that enable restoration of their normal appearance and offer improved
quality of life. In this case report, an auricular prosthesis was fabricated for
a patient who had lost his right external ear in a traffic accident. Extraoral
implants and bar-and-clip retention for the proper connection of the auricular
prosthesis to the implant were used.
PMID- 21905888
TI - Development of a rat model of bisphosphonate-related osteonecrosis of the jaw
(BRONJ).
AB - The purpose of this study was to develop a rat model predictive of bisphosphonate
related osteonecrosis of the jaw (BRONJ) after exodontias. Thirty female rats
were randomized into 2 groups, control and experimental. The experimental group
received 2 intravenous injections of zoledronate (20 MUg/kg). The mesial root of
the right mandibular first molar was extracted. Rats were euthanized at 0, 4, and
8 weeks. Bone mineral density (BMD), collagen breakdown (pyridinium [PYD]),
vascular regeneration (VEGF), and histology were examined. A trend toward higher
PYD values was suggested in control vs experimental groups after wounding. Serum
VEGF increased significantly after wounding for both control and experimental
groups. After 8 weeks, VEGF continued to rise for the experimental group only. In
the extraction socket area, BMD was significantly lower after wounding in control
vs. zoledronate-treated rats. Histology sections from experimental groups showed
bacteria and bone necrosis. Consistent findings of BRONJ features similar to
those in humans were observed after zoledronate treatment.
PMID- 21905889
TI - An early loaded implant-supported mandibular complete arch fixed prosthesis in a
young completely edentulous patient: a case report.
AB - The restoration of the mandibular arch up to the first molars with 5 one-piece
implants presents a viable and cost-effective treatment plan in patients with
adequate bone volume and favorable ridge relationships. The early loading of the
implants with a provisional restoration reduces the period of edentulousness and
restores the patient's ability to eat, talk, and smile effectively. Implants in
younger patients prevent resorption of the residual alveolar ridge. This article
presents a case report of the restoration of an edentulous mandibular arch with
an early loaded implant-supported fixed restoration in a young patient.
PMID- 21905890
TI - Evaluation of soft tissues around single tooth implants in the anterior maxilla
restored with cemented and screw-retained crowns.
AB - Implant-supported restorations can be attached as screw-retained or cemented
prostheses. In both situations, the characteristics of the soft tissues around
the implants are crucial for oral rehabilitation and patient satisfaction.
Therefore, this study uses the Pink Esthetic Score (PES), which allows evaluation
of gingival esthetics around implants, to evaluate the soft tissues around
implants in the anterior maxilla rehabilitated with cemented prostheses (CP) and
screw-retained prostheses (SP). Forty implants placed in the anterior maxilla
were evaluated, and these had been rehabilitated with prosthetic crowns for a
minimum of 1 year. Periodontal examination was performed to evaluate probing
depth (PD) and bleeding on probing (BOP) of the implant and the corresponding
natural tooth. The total mean (+/-SD) PES for SP was 10.73 (+/-1.98) and 10.41
(+/-2.67) for CP, which was not statistically significant (P >= .05). Periodontal
examination revealed that CP and SP showed no difference for BOP (P >= .05).
Differences were only detected in PD when comparing the reference teeth of both
groups to CP and SP (P <= .05). The present study demonstrates that the PES
proved to be an efficient index to assess peri-implant tissues, and that the type
of crown retention does not influence the health and quality of the soft tissues
around implants.
PMID- 21905891
TI - Ultrasonic oscillations for conservative retrieval of a rare fracture of implant
healing abutment.
AB - Dental implants can be rendered useless because of complications related to
implant components such as the fracture of abutment screw and prosthetic
retaining screw. Removal of such fractured fragments is therefore deemed
necessary for the sake of prosthetic restoration. This case report presents a
rare incident of healing abutment fracture and details the stepwise and probably
the most conservative clinical technique using ultrasonic oscillations for
retrieval of fractured screw fragment without affecting the internal surface of
the implant. The management was based on the fact that the screw, being an
inclined plane, will unthread when subjected to continuous vibrations.
PMID- 21905892
TI - PEEK dental implants: a review of the literature.
AB - The insertion of dental implants containing titanium can be associated with
various complications (eg, hypersensitivity to titanium). The aim of this article
is to evaluate whether there are existing studies reporting on PEEK
(polyetheretherketone) as an alternative material for dental implants. A
systematic literature search of PubMed until December 2010 yielded 3 articles
reporting on dental implants made from PEEK. One article analyzed stress
distribution in carbon fiber-reinforced PEEK (CFR-PEEK) dental implants by the 3
dimensional finite element method, demonstrating higher stress peaks due to a
reduced stiffness compared to titanium. Two articles reported on investigations
in mongrel dogs. The first article compared CFR-PEEK to titanium-coated CFR-PEEK
implants, which were inserted into the femurs and evaluated after 4 and 8 weeks.
The titanium-coated implants showed significantly higher bone-implant contact
(BIC) rates. In a second study, implants of pure PEEK were inserted into the
mandibles beside implants made from titanium and zirconia and evaluated after 4
months, where PEEK presented the lowest BIC. The existing articles reporting on
PEEK dental implants indicate that PEEK could represent a viable alternative
material for dental implants. However, further experimental studies on the
chemical modulation of PEEK seem to be necessary, mainly to increase the BIC
ratio and to minimize the stress distribution to the peri-implant bone.
PMID- 21905893
TI - Clinical, histological, and histomorphometrical analysis of maxillary sinus
augmentation using inorganic bovine in humans: preliminary results.
AB - The aim of the present study was to evaluate bone formation after maxillary sinus
augmentation using bovine bone substitute material Bio-Oss alone by means of
clinical, histological, and histomorphometrical examination of human biopsies.
Deproteinized bovine bone (DPBB, Bio-Oss) was used to fill cavities after
elevation of the sinus mucosa following major sinus pneumatization. Twenty
patients with edentulous posterior maxillae were treated with 20 sinus
augmentation procedures using a 2-stage technique. Residual lateral maxillary
bone height was less than 3 mm. Forty-nine Straumann endosseous implants were
used to complete the implant-prosthetic rehabilitation. Forty cylinder-shaped
bone biopsies were taken from the augmented maxillary region 8 months after
grafting during the second-stage surgery before implant placement. All implants
were loaded 3 months after insertion, and no failures were recorded.
Histomorphometrical analysis showed an average percentage of newly formed bone of
17.6% (+/- 2.8%) and a proportion of residual bone substitute material of 29.9%
(+/- 4.9%) of the total biopsy area. Intimate contact between newly formed bone
and Bio-Oss was detected along 28.2% (+/- 6.8%) of the particle surfaces. The
results also showed that in all cases, the DPBB granules had been interconnected
by bridges of vital newly formed bone. Inorganic bovine bone appears to be
biocompatible and osteoconductive, and it can be used with success as a bone
substitute in maxillary sinus augmentation procedures.
PMID- 21905894
TI - Influence of surface nano-roughness on osseointegration of zirconia implants in
rabbit femur heads using selective infiltration etching technique.
AB - This study evaluates osseous healing of selective infiltration-etched (SIE)
zirconia implants compared to as-sintered zirconia and titanium implants. Twenty
implants of each group were inserted in 40 adult New Zealand white male rabbits.
After 4 and 6 weeks, bone blocks containing the implants were retrieved,
sectioned, and processed to evaluate bone-implant contact (BIC) and peri-implant
bone density. SIE zirconia implants had significantly higher BIC and marginally
higher bone density. The results suggest that selective infiltration-etched
zirconia implant surface may improve implant osseointegration.
PMID- 21905895
TI - A technique to salvage a single implant-supported fixed dental prosthesis having
a nonretrievable implant screw fragment.
AB - An implant screw fracture is one of the common problems associated with the
implant components. In some situations, it may not be possible to retrieve the
fractured implant screws. As a result, clinicians either remove the implant or
the implant may need to be covered over with soft tissue. The salvage of an
implant with a nonretrievable screw fragment may be highly beneficial to the
patients. This report describes a technique to salvage an implant-supported
single restoration that has a nonretrievable implant screw fragment.
PMID- 21905896
TI - Retention of implant-supported overdenture with bar/clip and stud attachment
designs.
AB - The degree of retention for overdenture attachments depends on design, location,
and alignment of supporting dental implants and the type of attachments. The aim
of this study was to evaluate the retention of an implant-supported overdenture
with different attachment designs. An overdenture was made on an edentulous
mandibular model with 2 ITI implants (4.1 * 10 mm) in the symphyseal region. Five
specimens of 8 attachment designs with corresponding abutments and
superstructures were used: ITI regular Dolder bar with 1 metal clip, ITI regular
Dolder bar with cantilever and 3 metal clips, Hader bar with 1 plastic clip,
Hader bar with cantilever and 3 plastic clips, Sphero block abutment with Rhein
plastic caps (green, white, and pink), and retentive anchor with ITI elliptical
matrix. The attachments' housings were interchangeable and fixed into the
overdenture through nut and screw. The overdenture attachments were subjected to
8 consecutive pulls on a universal testing machine with a crosshead speed of 50.8
mm/min in the vertical and posteroanterior directions. Analysis of variance and
Duncan tests were used to determine differences between mean retention values
(alpha = .05). The highest average value retention was recorded for the ITI
Dolder bar with cantilever and 3 metal clips in both the vertical and
posteroanterior directions, respectively (P < .05). The Rhein pink caps had the
lowest retention in the posteroanterior direction (P < .05). Retention decreased
over the course of consecutive pulls for all attachments in both directions. The
type, number, and placement of attachments affect the retention of implant
supported mandibular overdentures.
PMID- 21905897
TI - Gingival conditioning in an implant-supported prosthesis: a clinical report.
AB - The loss of gingival volume is a major challenge for dental surgeons with implant
supported fixed prosthesis manufactures, particularly from an aesthetics
perspective in patients with a high smile line. Gingival tissue remodeling can
help in papillae formation to avoid soft tissue surgery by reestablishing the
appropriate shape and gingival contour of the tissues. This improves not only the
aesthetics, but also the phonetics of the patient. This paper describes a
clinical case of gingival conditioning obtained with interim implant-supported
fixed partial dentures via incremental addition and adjustment of acrylic resin.
The purpose of the gingival conditioning was to obtain improved aesthetics.
Gingival conditioning by gradual pressure application is presented as an easy,
non-traumatic technique that improves gingival aesthetics.
PMID- 21905898
TI - A technique for removal of a fractured implant abutment screw.
AB - The aim of this technique report was to present a procedure for removal of a
fractured implant abutment screw. Whatever the cause, when an abutment fracture
has occurred, the fractured screw segment inside the implant must be removed. The
methods used by the clinicians may include the use of an endo-explorer self-made
screwdriver and the use of implant repair kit available for some implant systems.
The advantage of the presented method is that it may be extended to other implant
systems that do not have a special repair kit and also that the technique is
simple and does not require special equipment.
PMID- 21905899
TI - WITHDRAWN: Immediate placement and provisionalization of implant-supported,
single-tooth restorations: a retrospective study.
AB - Immediate implant placement into extraction sockets has been widely reported in
the dental literature, but few studies have evaluated the immediate loading of
such implants. In this retrospective study, we evaluated 235 implants placed into
fresh extraction sites utilizing a flapless technique, followed by immediate
provisionalization with non-functional single-tooth restorations, and definitive
restoration within 2 weeks. Cumulative survival and success rates were 98.8%
(mean follow-up = 23.1 months). Periodontitis did not adversely influence the
outcome. Within the limitations of this study, immediate implant placement and
restoration followed by definitive loading within 2 weeks achieved outcomes
comparable to those historically reported for delayed implants.
PMID- 21905900
TI - Buccal bone plate remodeling after immediate implant placement with and without
synthetic bone grafting and flapless surgery: radiographic study in dogs.
AB - Recent studies in animals have shown pronounced resorption of the buccal bone
plate after immediate implantation. The use of flapless surgical procedures prior
to the installation of immediate implants, as well as the use of synthetic bone
graft in the gaps represent viable alternatives to minimize buccal bone
resorption and to favor osseointegration. The aim of this study was to evaluate
the healing of the buccal bone plate following immediate implantation using the
flapless approach, and to compare this process with sites in which a synthetic
bone graft was or was not inserted into the gap between the implant and the
buccal bone plate. Lower bicuspids from 8 dogs were bilaterally extracted without
the use of flaps, and 4 implants were installed in the alveoli in each side of
the mandible and were positioned 2.0 mm from the buccal bone plate (gap). Four
groups were devised: 2.0-mm subcrestal implants (3.3 * 8 mm) using bone grafts
(SCTG), 2.0-mm subcrestal implants without bone grafts (SCCG), equicrestal
implants (3.3 * 10 mm) with bone grafts (ECTG), and equicrestal implants without
bone grafts (ECCG). One week following the surgical procedures, metallic
prostheses were installed, and within 12 weeks the dogs were sacrificed. The
blocks containing the individual implants were turned sideways, and radiographic
imaging was obtained to analyze the remodeling of the buccal bone plate. In the
analysis of the resulting distance between the implant shoulder and the bone
crest, statistically significant differences were found in the SCTG when compared
to the ECTG (P = .02) and ECCG (P = .03). For mean value comparison of the
resulting linear distance between the implant surface and the buccal plate, no
statistically significant difference was found among all groups (P > .05). The
same result was observed in the parameter for presence or absence of tissue
formation between the implant surface and buccal plate. Equicrestally placed
implants, in this methodology, presented little or no loss of the buccal bone.
The subcrestally positioned implants presented loss of buccal bone, even though
synthetic bone graft was used. The buccal bone, however, was always coronal to
the implant shoulder.
PMID- 21905902
TI - Evaluation of optimal taper of immediately loaded wide-diameter implants: a
finite element analysis.
AB - This study aimed to evaluate the effects of different tapering angles of an
immediately loaded wide-diameter implant on the stress/strain distribution in
bone and implant after implant insertion in healed or fresh molar extraction
sockets. A total of 10 finite element (FE) implant-bone models, including 8.1-mm
diameter implant, superstructure, and mandibular molar segment, were created to
investigate the biomechanical behavior of different implant taper angles in
immediate and delayed placement conditions. The degrees of implant taper ranged
from 2 degrees to 14 degrees , and the contact conditions between the
immediately loaded implants and bone were set with frictional coefficients (MU)
of 0.3 in the healed models and 0.1 in the extracted models. Vertical and lateral
loading forces of 189.5 N were applied in all models. Regardless of the degree of
implant tapering, immediate loading of wide-diameter implants placed in molar
extraction sockets generated higher stress/strain levels than implants placed in
healed sockets. In all models, the von Mises stresses and strains at the implant
surfaces, cortical bone, and cancellous bone increased with the increasing taper
angle of the implant body, except for the buccal cancellous bone in the healed
models. The maximum von Mises strains were highly concentrated on the buccal
cortical struts in the extracted models and around the implant neck in the healed
models. The maximum von Mises stresses on the implant threads were more
concentrated in the non-tapered coronal part of the 11 degrees and 14 degrees
tapered implants, particularly in the healed models, while the stresses were more
evenly dissipated along the implant threads in other models. Under immediate
loading conditions, the present study indicates that minimally tapered implants
generate the most favorable stress and strain distribution patterns in extracted
and healed molar sites.
PMID- 21905903
TI - The bactericidal effects of an acidified sodium chlorite-containing oral
moisturizing gel: a pilot study.
AB - The aim of this study was to examine the bactericidal effects and bactericidal
time of an acidified sodium chlorite compound gel (ASC-Gel) on bacteria isolated
from the peri-implant sulci of 10 patients who received implants 3-27 years
previously, and the depth of each peri-implant sulcus was 5 mm or less.
Porphyromonas gingivalis (ATCC33277) was used as the control bacterium. Five ASC
Gel preparations were created by adding 3.3%, 5.0%, 7.0%, 9.0%, and 11.0% citric
acid (CA) (condition a, b, c, d, and e, respectively) into an oral moisturizing
gel containing sodium chlorite. The concentrations of chlorine dioxide (ClO2)
generated in ASC-Gel under conditions (a) to (e) were 12.1, 14.1, 17.2, 21.2, and
39.3 ppm, respectively. We examined the bactericidal effects of the 5 ASC-Gel
preparations at volumes of 0.5, 1.0, and 2.0 mL, and measured the bactericidal
time when 2.0 mL of ASC-Gel was used under condition (e). The bactericidal
effects of ASC-Gel became significantly greater with increased concentrations of
CA and ClO2 and with increased usage (0.5-2.0 mL) of the gel. All bacteria were
killed by using 2.0 mL of ASC-Gel under condition (e). ASC-Gel also needed
between 45 and 90 minutes to kill all microbes under condition (e). Within the
limits of the present investigation, these results suggest that ASC-Gel is useful
as a chemical disinfectant against bacteria in the peri-implant sulcus. Further
studies are also required to protect teeth, the surface of hydroxyapatite-coated
implants, and the surrounding soft tissues from effects of chemical dissolution
such as acid erosion due to the low pH of ASC-Gel.
PMID- 21905904
TI - Bone regeneration around immediate implants utilizing a dense
polytetrafluoroethylene membrane without primary closure: a report of 3 cases.
AB - Case reports document successful use of a high-density polytetrafluorethylene
membrane to augment horizontal defects associated with immediately placed
implants. This membrane, which is designed to withstand exposure (not require
primary closure) to the oral cavity because it is impervious to bacteria, reduces
the need for advanced flap management to attain primary closure. Thus, the
surgical aspect is less complex and the mucogingival architecture of the area can
be maintained. These cases demonstrate successful use of this application and
provide evidence for controlled clinical trials to further evaluate this
technique.
PMID- 21905908
TI - Left-sided predominance of hypodontia irrespective of cleft sidedness in a French
population.
AB - OBJECTIVE: Individuals with oral clefts exhibit considerably more dental
anomalies than do individuals without clefts. Our aim was to evaluate the
prevalence of tooth agenesis in a sample composed of 124 children (81 boys and 43
girls, mean age 12.5 years) with clefts registered with the Cleft Palate Center
in Strasbourg (France). DESIGN: Cleft types and dental agenesis were assessed by
clinical and radiographic examination. Cleft types were divided into four groups
according to the clinical extent of the cleft (cleft lip only [CL, 12.9%], cleft
lip and alveolus [CLA, 4%], cleft lip and palate [CLP, 49.2%], and cleft palate
only [CP, 33.9%]). RESULTS: Of the subjects 63% had evidence of hypodontia:
maxillary lateral incisors (54%) and upper and lower premolars (32%) were the
most common missing teeth. The number of dental ageneses associated with CP (54%)
and CLP (79%) was significantly higher than that with CL (33%). All these
anomalies were found in proportionately higher frequencies as the severity of the
cleft increased, and we found left side predominance for hypodontia (p < .01)
irrespective of cleft sidedness. CONCLUSIONS: Both right-sided and left-sided
clefts were more frequently correlated with left-sided dental agenesis. This left
sided prevalence suggests that common signaling malfunctions might be involved,
both in dental development anomalies and cleft genesis.
PMID- 21905907
TI - Neuropsychological, behavioral, and academic sequelae of cleft: early
developmental, school age, and adolescent/young adult outcomes.
AB - This article reviews behavioral, neuropsychological, and academic outcomes of
individuals with cleft across three age levels: (1) infancy/early development,
(2) school age, and (3) adolescence/young adulthood. The review points out that
attachment, neurocognitive functioning, academic performance/learning, and
adjustment outcomes are the result of a complex interaction between biological
and environmental factors and vary with developmental level, sex, and
craniofacial anomaly diagnosis. The degree to which associated genetic or
neurodevelopmental conditions may explain inconsistent findings is unknown and
suggests the need for caution in generalizing from group data on cleft.
PMID- 21905910
TI - A restricted test of single-word intelligibility in 3-year-old children with and
without cleft palate.
AB - OBJECTIVE: In a previous study, children with cleft palate with hard palate
closure at 12 months of age showed more typical phonological development than did
children with an unrepaired hard palate at 36 months of age. This finding was
based on narrow transcription of word initial target consonants obtained from a
simple naming test. To evaluate the relevance of this finding, we investigated
how well the children's target words were understood by 84 naive listeners.
DESIGN: A cross-sectional study. PARTICIPANTS: Data obtained from 28 children
with unilateral cleft lip and palate, 3 years of age, who received hard palate
closure at either 12 months (hard palate repaired; HPR) or 36 months (hard palate
unrepaired; HPU) were compared with data obtained from 14 age-matched, typically
developing control children. METHODS: Video recordings of the children naming
target words were shown to 84 naive listeners between 15 and 24 years of age who
typed the word they heard. RESULTS: The findings of this study indicated that
naive listeners correctly identified a larger percentage of words in the control
children followed by children in the HPR group. Children in the HPU group were
more difficult for the naive listeners to understand. The error of
retraction/backing of alveolar target consonants to velar place of articulation
occurred frequently and most often in the HPU group and was found to have a
negative effect on intelligibility.
PMID- 21905911
TI - Demographics of orofacial clefts in Canada from 2002 to 2008.
AB - Objective : Orofacial clefts such as cleft lip, cleft palate, and cleft lip and
palate are the most frequent congenital anomalies of the head and neck. The
purpose of this study was to determine the current demographics for orofacial
clefts in Canada. Methods : A request for data from all Canadian provinces
(excluding Quebec due to incompatibilities with provincial coding systems) for
the fiscal years 2002-2003 to 2007-2008 was submitted to the Canadian Institute
for Health Information. Variables evaluated included gender, cleft type,
gestational age, birth weight, income quintile, and institution health region.
Results : Over the period studied, the prevalence of orofacial clefts ranged from
11.0 to 15.3 per 10,000 live births (1 in 654 to 1 in 909 live births). The
distribution of cleft types for live births with orofacial clefts was 17% for
cleft lip, 41% for cleft palate, and 42% for cleft lip and palate, of which cleft
lip and cleft lip and palate were male dominant (62% and 66% male, respectively)
and cleft palate was female dominant (56% female). Saskatchewan and Manitoba had
significantly higher cleft birthrates (P < .05) compared with the other
provinces. Birth weight and gestational age (but not income quintile) were
significantly (P < .0001) lower for newborns with orofacial clefting compared
with those with no cleft. Conclusions : Canada has one of the highest orofacial
cleft birthrates in the world (prevalence of 12.7 per 10,000 live births,
approximately 1 in 790 live births). This study presents an updated demographic
of orofacial clefts in Canadian newborns and may be useful in predicting the
burden of anticipated health care.
PMID- 21905912
TI - An overview of immediate root analogue zirconia implants.
AB - Owing to its excellent biomechanical characteristics, biocompatibility, and
bright tooth-like color, zirconia has the potential to become a substitute for
titanium as dental implant material and to be successfully used as root-analogue
implants by reproducing the contours of the extracted tooth. This article
presents an overview of the technique of using root analogue zirconia dental
implants as an immediate implantation material. These implants are replicas of
the extracted tooth and therefore truly anatomically correct and socket friendly.
PMID- 21905913
TI - Implant-prosthetic rehabilitation of a patient with nonsyndromic oligodontia: a
clinical report.
AB - Oligodontia is defined as the absence of 6 or more permanent teeth due to the
hypodevelopment of tooth germs. This familial abnormality is attributable to
various mutations or polymorphisms of genes and associated with malformative
syndromes. This clinical report presents the multidisciplinary dental treatment
planning for a 27-year-old woman who exhibited oligodontia. After radiographic
and clinical evaluations, 7 retained primary teeth and 2 mandibular third molars
were extracted. Three dental implants were placed into the maxillary alveolar
process. After the osseointegration period, complete-arch fixed prostheses in
both maxilla and mandible, supported by a combination of implants and teeth, were
fabricated. Osseointegration of the implants, peri-implant mucosa health, and
prosthesis function were assessed every 6 months. At the end of the 3-year
clinical follow-up, the patient was satisfied with the esthetics, function, and
phonation of her prosthesis. To attain the best esthetic and biological results,
an interdisciplinary approach could be used to synergistically combine surgery
and restorative dentistry for the restoration of maximal esthetics and function.
PMID- 21905914
TI - Replacement of mandibular posterior teeth with implants in a postmandibular
resection case: a case report.
AB - This case report describes the prosthetic rehabilitation of a patient who was
treated for ameloblastoma by segmental resection of the mandible. Because of the
size of the defect it was decided to use a healing obturator to facilitate bone
formation in the defect. At the end of 3 months, bone formation had led to
complete obliteration of the defect with an almost complete fill to the crest of
the ridge. The next phase of the treatment was prosthetic rehabilitation. The
patient preferred a fixed replacement of teeth as opposed to a removable option.
The final treatment plan was an implant-supported fixed prosthesis that was
progressively loaded.
PMID- 21905915
TI - Types of implant surgical guides in dentistry: a review.
AB - Various techniques have been proposed for the fabrication of surgical guide
templates in implant dentistry. The objective of this paper is to review the
associated literature and recent advancements in this field, based on design
concept. An electronic and hand search of the literature revealed 3 categories,
namely, nonlimiting, partially limiting, and completely limiting design. Most
clinicians still adopt the partially limiting design due to its cost
effectiveness and credibility. Moreover, clinicians use cross-sectional imaging
during the preimplant assessment of surgical sites.
PMID- 21905916
TI - Psychosocial, feeding, and drooling outcomes in children with Beckwith Wiedemann
syndrome following tongue reduction surgery.
AB - OBJECTIVE: Macroglossia is a common feature of Beckwith Wiedemann Syndrome (BWS).
Tongue reduction surgery (TRS) is advocated to overcome, or reduce, the secondary
effects of macroglossia. Macroglossia may affect a child's cosmetic appearance,
feeding, and drooling function. However, no study has systematically reported on
feeding, drooling, or psychosocial outcomes preoperatively and postoperatively in
this group. This study aimed to describe the presurgical and postsurgical
psychosocial, feeding, and drooling outcomes of children with macroglossia
associated with BWS and to determine the effect of TRS on these areas. DESIGN:
Clinical cohort study. PARTICIPANTS: Ten consecutively admitted children with BWS
(age at surgery from 9 months to 4 years, 9 months [4;9]; mean, 2;7) were
assessed preoperatively, 3 months postoperatively, and at long-term follow-up.
MAIN OUTCOME MEASURES: A parental report questionnaire, the Brodsky drooling
scale, and a feeding rating scale. RESULTS: Parents reported that macroglossia
had a negative impact cosmetically that was ameliorated following surgery.
Macroglossia caused a range of feeding difficulties presurgically by preventing
lip seal and bolus manipulation during the oral preparatory phase. Excessive
drooling was present in all cases presurgically. This resolved partially across
the group postsurgically, with almost complete recovery at longer-term follow-up
assessment. CONCLUSIONS: Presurgically, children show a common profile of feeding
and drooling impairment with negative effects on cosmetic appearance. Our
preliminary results demonstrate that TRS has a positive impact on these features
with good outcomes for children with BWS.
PMID- 21905917
TI - Isolated congenital hypoplasia of nasal lower lateral cartilages and its
correction with helical rim and conchal cartilage composite grafts.
AB - Isolated congenital absence of the alar cartilages and nasal septum is rarely
reported. We report here an example for the phenotype of carbamazepine
embryopathy with lower lateral cartilage hypoplasia and our surgical approach to
solve the nasal problems. This unusual presentation and the literature
surrounding infant nasal surgery are discussed.
PMID- 21905918
TI - TBX22 and tongue-tie.
AB - OBJECTIVE: To resolve if TBX22 mutations cause isolated tongue-tie in the Finnish
population. DESIGN: Mutation analysis of the coding region of the TBX22 gene in
50 Finnish isolated tongue-tie patients and 61 control samples. RESULTS: One
putative sequence variation was identified from two male patients, but whether
this represents a polymorphism or causative mutation remains unknown.
CONCLUSIONS: Mutations in the coding region of the TBX22 gene are not a major
cause of ankyloglossia in the Finnish population and do not explain the sex
difference or inheritance of tongue-tie.
PMID- 21905919
TI - Tumor-conditioned mesenchymal stem cells display hematopoietic differentiation
and diminished influx of Ca2+.
AB - Mesenchymal stem cells (MSCs) that are present in many adult tissues can generate
new cells either continuously or in response to injury/cancer. An increasing
number of studies demonstrated that MSCs have the ability to differentiate into
cells of mesodermal origin and transdifferentiate into cells such as hepatocytes,
neural cells. There has been growing interest in the application of MSCs to
cancer therapy. The relationship between MSCs and cancer cells remains highly
controversial. In this study, we analyzed the interaction of bone marrow-derived
MSCs and cancer cells by cell-cell contact and transwell culture system. The flow
cytometry and real-time polymerase chain reaction showed that after coculture of
MSCs and cancer cells, MSCs displayed the hematopoietic cell markers such as
CD34, CD45, and CD11b. The CD68, MRCI, and CSF1R were dramatically upregulated
after coculture. The cytokine array showed that MSCs after coculture secreted
monokines and chemokines much more than that of intact MSCs. The MSCs under tumor
conditions were responsive to stimulation with lipopolysaccharide by cytokines
release. The tumor-conditioned MSCs showed phagocytic ability and enhanced
release of nitric oxide, which are the characteristics of macrophages. Calcium
ion is an important intracellular messenger responsible for differentiation and
gene expression regulations. The influx of Ca(2+) into MSCs was obviously reduced
after coculture. The blocking of calcium channel with verapamil obviously
increased the expression of CD34, CD45, and CD11b, thus indicating that the
diminished calcium ion influx is coupled with the hematopoietic differentiation
of MSCs under tumor conditions. Taken together, in a cancer environment, MSCs
could effectively differentiate into immune hematopoietic cells, precisely
macrophages. Diminished transient influx of Ca(2+) may mediate the hematopoietic
differentiation of MSCs.
PMID- 21905920
TI - Amniotic fluid stem cells increase embryo survival following injury.
AB - Although amniotic fluid cells can differentiate into several mesenchymal lineages
and have been proposed as a valuable therapeutic cell source, their ability to
undergo terminal neuronal differentiation remains a cause of controversy. The aim
of this study was to investigate the neuronal differentiation ability of the c
Kit-positive population from GFP-transgenic rat amniotic fluid, amniotic fluid
stem (AFS) cells, and to assess how they affected injury response in avian
embryos. AFS cells were found to express several neural stem/progenitor cell
markers. However, no overt neuronal differentiation was apparent after either
treatment with small molecules known to stimulate neuronal differentiation,
attempts to differentiate AFS cell-derived embryoid body-like structures, or
grafting AFS cells into environments known to support neuronal differentiation
(organotypic rat hippocampal cultures, embryonic chick nervous system).
Nonetheless, AFS cells significantly reduced hemorrhage and increased survival
when grafted at the site of an extensive thoracic crush injury in E2.5 chick
embryos. Increased embryo survival was induced neither by desmopressin treatment,
which also reduced hemorrhage, nor by grafting other mesenchymal or neural cells,
indicating a specific effect of AFS cells. This was found to be mediated by
soluble factors in a transwell coculture model. Altogether, this study shows that
AFS cells reduce tissue damage and increase survival in injured embryos,
providing a potentially valuable tool as therapeutic agents for tissue repair,
particularly prenatal/perinatal repair of defects diagnosed during gestation, but
this effect is mediated via paracrine mechanisms rather than the ability of AFS
cells to fully differentiate into neuronal cells.
PMID- 21905921
TI - Primitive stem cells derived from bone marrow express glial and neuronal markers
and support revascularization in injured retina exposed to ischemic and
mechanical damage.
AB - Ischemic or mechanical injury to the optic nerve is an irreversible cause of
vision loss, associated with limited regeneration and poor response to
neuroprotective agents. The aim of this study was to assess the capacity of adult
bone marrow cells to participate in retinal regeneration following the induction
of anterior ischemic optic neuropathy (AION) and optic nerve crush (ONC) in a
rodent model. The small-sized subset of cells isolated by elutriation and lineage
depletion (Fr25lin(-)) was found to be negative for the neuroglial markers nestin
and glial fibrillary acidic protein (GFAP). Syngeneic donor cells, identified by
genomic marker in sex-mismatched transplants and green fluorescent protein,
incorporated into the injured retina (AION and ONC) at a frequency of 0.35%-0.45%
after intravenous infusion and 1.8%-2% after intravitreous implantation.
Perivascular cells with astrocytic morphology expressing GFAP and vimentin were
of the predominant lineage that engrafted after AION injury; 10%-18% of the donor
cells incorporated in the retinal ganglion cell layer and expressed NeuN, Thy-1,
neurofilament, and beta-tubulin III. The Fr25lin(-) cells displayed an excellent
capacity to migrate to sites of tissue disruption and developed coordinated site
specific morphological and phenotypic neural and glial markers. In addition to
cellular reconstitution of the injured retinal layers, these cells contributed to
endothelial revascularization and apparently supported remodeling by secretion of
insulin-like growth factor-1. These results suggest that elutriated autologous
adult bone marrow-derived stem cells may serve as an accessible source for
cellular reconstitution of the retina following injury.
PMID- 21905922
TI - Thymidylate synthase inhibitors for non-small cell lung cancer.
AB - INTRODUCTION: The folate-dependent enzyme thymidylate synthase (TS) plays a
pivotal role in DNA replication/repair and cancer cell proliferation, and
represents a valid target for the treatment of several tumor types, including
NSCLC. NSCLC is the leading cause of cancer-related mortality, and several TS
inhibitors have gone into preclinical and clinical testing, with pemetrexed
emerging for its approval and widespread use as first-/second-line and
maintenance therapy for this disease. AREAS COVERED: This review summarizes the
therapeutic options in NSCLC, as well as the background and rationale for
targeting TS. The authors also review recent pharmacogenetic studies and data
from clinical trials evaluating novel TS inhibitors, hoping that the reader will
gain a comprehensive overview of the field of TS inhibition, specifically
relating to drugs used or being developed for lung cancer patients. EXPERT
OPINION: TS is a validated target in NSCLC. However, benefits from conventional
chemotherapy in NSCLC have plateaued, and more cost-effective results should be
obtained with individualized treatment. Accordingly, the clinical success for TS
inhibitors may depend on our ability to correctly administer these agents
following biomarker-driven patient selection, including TS genotype and
expression, and using the right combination therapy.
PMID- 21905942
TI - Ease of use and preference of a new versus widely available prefilled insulin pen
assessed by people with diabetes, physicians and nurses.
AB - OBJECTIVE: FlexTouch(r) (FT; Novo Nordisk A/S, Bagsvaerd, Denmark) is a new
prefilled insulin pen that has no push-button extension and low injection force.
This multi-centre, crossover usability study evaluated the perceptions of, and
preference for, FT versus another widely used prefilled pen, SoloStar(r) (SS;
Sanofi, Paris, France), by people with diabetes and healthcare professionals.
RESEARCH DESIGN AND METHODS: Following instruction, participants performed
injections into a foam cushion, randomly alternating between doses of 20, 40 and
80 international units (IU). Participants then answered questions on usability
and preference. RESULTS: In all, 59 people with diabetes and 61 healthcare
professionals (30 physicians and 31 nurses) took part. Overall, significantly
more respondents preferred to use FT than SS (83 vs 10%, respectively), found FT
easier to use (83 vs 9%) and would recommend FT to others (83 vs 8%; p < 0.001
for all). More respondents found it 'very/fairly easy' to reach the push-button
and to inject 20, 40 and 80 IU with FT (93, 90 and 88% to inject, respectively)
than with SS (73, 43 and 15% to inject, respectively; p < 0.001 for all). Most
respondents chose FT as giving them the most confidence in correct and complete
insulin delivery (76 vs 6%; p < 0.001) and considerably more were 'very/rather
confident' in managing their daily insulin injections with FT than with SS (88 vs
58%). CONCLUSIONS: Most participants rated FT as easier to use and to inject
with, were more confident in its accuracy of insulin delivery and preferred it to
SS.
PMID- 21905943
TI - Emerging drugs which target the renin-angiotensin-aldosterone system.
AB - INTRODUCTION: The renin-angiotensin-aldosterone system (RAAS) is already the most
important target for drugs in the cardiovascular system. However, still new
developments are underway to interfere with the system on different levels. AREAS
COVERED: The novel strategies to interfere with RAAS aim to reduce the synthesis
of the two major RAAS effector hormones, angiotensin (Ang) II and aldosterone, or
interfere with their receptors, AT1 and mineralocorticoid receptor, respectively.
Moreover, novel targets have been identified in RAAS, such as the (pro)renin
receptor, and molecules, which counteract the classical actions of Ang II and are
therefore beneficial in cardiovascular diseases. These include the AT2 receptor
and the ACE2/Ang-(1-7)/Mas axis. The search for drugs activating these tissue
protective arms of RAAS is therefore the most innovative field in RAAS
pharmacology. EXPERT OPINION: Most of the novel pharmacological strategies to
inhibit the classical RAAS need to prove their superiority above the existing
treatment in clinical trials and then have to compete against these now quite
cheap drugs in a competitive market. The newly discovered targets have functions
beyond the cardiovascular system opening up novel therapeutic areas for drugs
interfering with RAAS components.
PMID- 21905944
TI - Impact of galactomannan testing on the prevalence of invasive aspergillosis in
patients with hematological malignancies.
AB - Galactomannan (GM) is a polysaccharide component of the cell wall of Aspergillus
spp. and is released into the host's circulation by growing hyphae. GM testing of
patients with hematological malignancies has been rarely considered in recent
epidemiologic studies of invasive mould infections (IMIs). The aim of the
investigation was to analyze the impact of GM testing on the reported prevalence
of IMI by comparing detection rates of IMI before and after the introduction of
this diagnostic procedure. Prevalence of IMI was assessed by conducting a
prospective single-centre study over seven months in 2010. Results obtained were
then compared to those obtained with a representative collection of patients
assessed by the same investigators at the same institution over seven months in
2007, i.e., prior to the introduction of GM testing. We found that, in general,
detection rates of invasive aspergillosis (IA) and invasive mould infections
increased significantly after the introduction of GM analysis. This study may
therefore indicate that GM testing has a significant impact on the reported
prevalence of IMI. Broad usage of such testing in patients with hematological
malignancies may be able to produce a realistic picture of IMI rates when current
diagnostic criteria are applied.
PMID- 21905945
TI - Opportunistic fungal infections in the Asia-Pacific region.
AB - With more than half the world's population, many Asia-Pacific countries still
lack resources for adequate infection control and diagnostics. Opportunistic
invasive fungal infections (IFIs) have a significant impact on public health in
the region, and early diagnosis and appropriate treatment remain important. The
incidence of IFI in the Asia-Pacific region is increasing because of the expanded
population of immunosuppressed patients resulting from advances in medical
technology, such as treatments for cancer and transplantation, as well as the
impact of human immunodeficiency virus. Even so, the epidemiology of IFIs is not
well described in the Asia-Pacific region. Prevalence of some infections, such as
mucormycosis, is particularly related to undiagnosed or untreated diabetes, which
is likely to be a continuing problem with the epidemic of diabetes in the region.
In addition, despite some effective treatment options, IFIs are associated with
high morbidity and mortality. In an attempt to increase recognition of invasive
mycoses in this large area, this paper reviews recent findings on the
epidemiology of the most clinically significant opportunistic mould and yeast
infections in the Asia-Pacific region, i.e., aspergillosis, mucormycosis,
pythiosis, scedosporiosis, fusariosis, candidiasis, trichosporonosis, and
cryptococcosis.
PMID- 21905946
TI - Detection of invasive infection caused by Fusarium solani and non-Fusarium solani
species using a duplex quantitative PCR-based assay in a murine model of
fusariosis.
AB - A duplex Real Time PCR (RT-PCR) assay for detecting DNA of members of the genus
Fusarium has been developed and validated by using two mouse models of invasive
infection. The duplex RT-PCR technique employed two specific molecular beacon
probes targeting a highly conserved region of the fungal rDNA gene. This
technique showed a detection limit of 10 fg DNA per MUl of sample and a
specificity of 100%. The sensitivity in a total of 48 samples from a murine model
of Fusarium solani infection was 93.9% for lung tissues and 86.7% for serum
samples. In comparison, the sensitivity in a total of 45 samples of a F.
oxysporum murine model infection was 87% for lung tissues and 42.8% for serum
samples. This molecular technique could be a reliable method for the
quantification and the evaluation of the disease in animal models and for the
clinical diagnosis of fusariosis.
PMID- 21905947
TI - Candidal thrombophlebitis of central veins: case report and review.
AB - Although candidemia and central catheter septic thrombosis is quite common,
central veins thrombophlebitis caused by Candida spp. is a rarely reported
complication in critically ill patients. Here we report a case of
thrombophlebitis of the right internal jugular and subclavian veins due to
Candida albicans which occurred in a patient admitted in the intensive care unit
for major trauma. The individual was eventually cured after prolonged course of
antifungal therapy. We also review 24 additional cases of Candida induced central
veins thrombophlebitis reported since 1978. A central vein catheter was in place
in all 25 patients with 21 (84%) being admitted in an intensive care unit, 22
(88%) were receiving total parenteral nutrition and 23 (92%) undergoing a course
of antibiotic therapy. Overall mortality was 16%, including two patients who
received no therapy and died. In the group of patients receiving only medical
therapy, the mortality rate was 13%, while no deaths were observed among those
treated with combined medical and surgical therapy. Literature data suggest that
Candida caused central veins thrombophlebitis is a rare and probably
underdiagnosed infectious complication of the critically ill patient. Despite the
dramatic presentation with persistent candidemia, mortality is low even with a
conservative medical approach with prolonged fungicidal therapy through the use
of amphotericin B or echinocandins. Thus, the decision for a combined surgical
debridement should be assessed for each patient.
PMID- 21905948
TI - Epidemiological analysis of worldwide bovine, canine and human clinical
Prototheca isolates by PCR genotyping and MALDI-TOF mass spectrometry proteomic
phenotyping.
AB - This study presents information on the phenotypic and genotypic characterization
of clinical Prototheca spp. isolates obtained from different geographic regions.
Of 350 isolates studied, 342 came from cattle, six from canines and two from
humans. Phenotypic characterization was carried out by a matrix-assisted laser
desorption/ionization-time of flight (MALDI-TOF) proteomic analysis. The peptide
extraction that was used for this analysis included the additional steps of
washing and sonication to increase the yield of peptide. Genotypic analysis was
conducted using species- and genotype-specific primers. The study revealed that
among the cattle isolates, 310 (90.6%) belonged to Prototheca zopfii genotype 2,
30 (8.8%) to P. blaschkeae, and two (0.6%) to P. zopfii genotype 1. P. zopfii
genotype 2 is the principal etiological agent of protothecal mastitis in cattle
regardless of the geographic region. Similarly, all canine and human isolates
also belonged to the P. zopfii genotype 2, suggesting that this is probably the
most virulent species of the genus. The role of P. blaschkeae needs further
epidemiologic studies to ascertain its etiologic role in bovine mastitis. To the
best of our knowledge, this is the first comprehensive study on phenotypic and
genotypic characterization of P. zopfii and P. blaschkeae isolates originating
from diverse clinical specimens from different countries.
PMID- 21905949
TI - Trichophyton bullosum: a new zoonotic dermatophyte species.
AB - We report the first human case of dermatophytosis caused by Trichophyton bullosum
in a 21-year-old male who had a skin lesion located on his forearm. The
dermatophyte was isolated in culture and further identified by sequence analysis
of internal transcripted spacer regions. The species T. bullosum is a zoophilic
dermatophyte rarely isolated from the coat of horses in Africa and Asia. In the
present case, it was probably transmitted by contact with an infected donkey in a
rural area in France. Antifungal therapy led to remission of the lesion in the
patient after 2 months of treatment. T. bullosum ITS region sequences were
closely related to those of the African species of Arthroderma benhamiae and
grouped in a zoophilic cluster with Trichophyton verrucosum, T. erinacei and the
Trichophyton anamorph of A. benhamiae (zoophilic species of the T. mentagrophytes
complex). Systematic molecular identification could contribute to an accurate
identification of this unusual species.
PMID- 21905950
TI - Distribution of yeast species associated with oral lesions in HIV-infected
patients in Southwest Uganda.
AB - Oropharyngeal candidiasis remains a significant clinical problem in HIV-infected
and AIDS patients in regions of Africa where anti-retroviral therapy isn't
readily available. In this study we identified the yeast populations associated
with oral lesions in HIV-infected patients in Southwest Uganda who were receiving
treatment with nystatin and topical clotrimazole. Samples were taken from 605
patients and 316 (52%) of these yielded yeast growth following incubation on
Sabouraud dextrose agar. Samples were subsequently re-plated on CHROMagar Candida
medium to facilitate identification of the yeast species present. The majority
(56%) of culture-positive samples yielded a mix of two or more species. Candida
albicans was present in 87% (274/316) of patient samples and accounted for 87%
(120/138) of single species samples. Candida glabrata, Candida tropicalis and
Candida norvegensis were also found in cultures that yielded a single species. No
Candida dubliniensis isolates were identified in this population.
PMID- 21905951
TI - Vogt-Koyanagi-Harada syndrome: a rare but important differential diagnosis of
viral meningitis.
AB - Vogt-Koyanagi-Harada syndrome (VKHS) is an inflammatory syndrome affecting
melanocyte-containing organs. The clinical onset is often acute with neurological
and ophthalmological symptoms and there is considerable risk of sequelae if the
condition is not promptly diagnosed and treated. We present a case illustrating
that VKHS is a rare but important differential diagnosis of viral meningitis.
PMID- 21905952
TI - Hepatitis C virus infection prevalence and liver dysfunction in a cohort of B
cell non-Hodgkin's lymphoma patients treated with immunochemotherapy.
AB - Several studies have reported a higher prevalence of hepatitis C virus (HCV)
infection in patients with B-cell non-Hodgkin's lymphoma (NHL) than in the
general population. Treatment for NHL includes the use of chemotherapeutic agents
such as cytotoxic drugs, corticosteroids, and rituximab, which can be
immunosuppressive and hepatotoxic. While reactivation of hepatitis B virus (HBV)
when undergoing immunosuppressive therapy for haematological malignancies is a
well-documented complication, data on HCV reactivation or liver function
impairment after chemotherapy for NHL are controversial. From January 2006 to
December 2009, 207 consecutive NHL patients treated with chemotherapy without
rituximab (CHOP) or with rituximab (R-CHOP) were observed; screening for HCV
infection and baseline liver function tests were performed in all patients. The
prevalence of HCV infection was 9.2%. This prevalence is higher than that
observed in the general population in Italy (3%). Among the HCV-infected
subjects, the incidence of hepatitis flares was 26.3% vs 2.1% among the HCV
uninfected individuals. Although less frequent and less severe than in HBV
infected subjects, liver dysfunction can occur as a consequence of rituximab
containing regimens in HCV-infected patients with NHL. In the cases considered in
this study, no patient treated with chemotherapy without rituximab developed
hepatitis flares. The frequency and the severity of this complication vary in
different reports. Therefore, we recommend the assessment of liver function and
the screening of all patients with NHL for HCV infection before starting
chemotherapy; we also recommend monitoring of liver function tests and HCV-RNA
serum levels during treatment.
PMID- 21905953
TI - Lack of correlation between the 257C-to-T mutation in the gyrA gene and clinical
severity of Campylobacter jejuni infection in a region of high incidence of
ciprofloxacin resistance.
AB - BACKGROUND: Fluoroquinolone resistance is increasingly detected in Campylobacter
jejuni worldwide. Despite the fact that a point mutation in the gyrA gene has
been linked with increased fitness in animals, the association of resistant
organisms with more severe infections in man remains controversial. METHODS:
Erythromycin and quinolone susceptibility of 147 C. jejuni strains isolated from
individual patients with diarrhoea in southwest Hungary were investigated and the
molecular background of fluoroquinolone resistance was determined.
Hospitalization and the presence of macroscopic blood in the stool were
correlated with the presence of 257C-to-T mutation of the gyrA gene causing
resistance to fluoroquinolones. RESULTS: Isolates showed an extensive genetic
heterogeneity by macrorestriction analysis of the chromosome. While all strains
retained susceptibility to erythromycin, 68% were non-susceptible to
ciprofloxacin. The mutation causing a Thr-86-to-Ile replacement in the gyrA gene
was present in 98% of non-susceptible isolates. Infection caused by isolates
containing this mutation did not show any significant association with either
hospitalization or with the development of bloody diarrhoea. CONCLUSIONS: Our
findings indicate that in a region with high-level ciprofloxacin resistance in C.
jejuni, non-susceptibility to this antibiotic did not correlate with the severity
of infection.
PMID- 21905954
TI - Mesenchymal stromal cells derived from CD271(+) bone marrow mononuclear cells
exert potent allosuppressive properties.
AB - BACKGROUND AIMS. Because data on the immunosuppressive effect of different
subsets of mesenchymal stromal cells (MSC) are sparse, we investigated the
molecular and cellular mechanisms underlying the allosuppressive effect of MSC
generated from bone marrow CD271(+) cells (CD271-MSC) and asked whether this
potential is comparable with that of MSC generated through plastic adherence (PA
MSC). METHODS. The immunosuppressive effect of CD271-MSC on the allogeneic
reaction was investigated by mixed lymphocyte reaction (MLR). RESULTS. CD271-MSC
significantly suppressed the alloantigen-induced proliferation of mononuclear
cells (MNC) of two HLA-disparate donors at all MSC:MNC ratios, 1:1, 1:2 and 1:10.
They also demonstrated a significantly higher allosuppression than PA-MSC at an
MSC:MNC ratio of 1:1. This inhibitory effect was associated with significantly
elevated levels of prostaglandin E2 (PGE2) at ratios of 1:1 and 1:2 (about 4
fold), but not at a ratio of 1:10. Indomethacin, and inhibitor of cyclooxygenase
1 and 2 necessary for the biosynthesis of PGE2, mitigated suppressive effects of
CD271-MSC only at a ratio of 1:1, indicating that PGE2 is not involved in MSC
mediated inhibition when allogeneic MNC are in excess. The increase of PGE2 was
associated with a significant decrease of pro-inflammatory cytokine levels
(interferon-gamma and tumor necrosis-alpha), while no changes in levels of
interleukin-10, soluble HLA-G and nitric oxide were observed. In addition, CD271
MSC induced an expansion of highly suppressive naive
CD4(+)CD25(high)CD45RA(+)CD62L(+) T-regulatory cells, which may extend their
allosuppressive effect. CONCLUSIONS. Our data suggest that CD271-MSC exert potent
allosuppressive properties and therefore can be used as a reasonable alternative
to PA-MSC for the treatment of patients with graft-versus-host disease.
PMID- 21905955
TI - Effects of peripheral blood stem cell apheresis on systemic cytokine levels in
patients with multiple myeloma.
AB - BACKGROUND AIMS. Pro-angiogenic cytokines can affect myeloma cell proliferation
directly and indirectly through stimulation of cancer-associated angiogenesis.
METHODS. We investigated how peripheral blood stem cell (PBSC) collection
affected plasma angioregulatory cytokine levels in 15 consecutive myeloma
patients. RESULTS. Plasma levels of hepatocyte growth factor (HGF) were
significantly increased prior to apheresis in patients compared with donors, and
a further increase was detected immediately after PBSC apheresis. HGF levels
decreased within 24 h, but were still higher than the levels in healthy donors,
whose HGF levels were not altered by platelet apheresis. Pre-apheresis levels of
other angioregulatory cytokines, angiopoietin-2 and vascular endothelial growth
factor (VEGF), were also increased in patients, whereas angiopoietin-1,
angiogenin and basic fibroblast growth factor levels did not differ from healthy
controls. PBSC harvesting decreased angiopoietin-1 and VEGF levels, increased the
microvascular endothelial cell marker endocan levels but did not affect the other
mediators. CONCLUSIONS. Our results show that PBSC apheresis alters systemic
angioregulatory profiles in myeloma patients. This cytokine modulation is not a
general characteristic of all apheresis procedures and was not seen in healthy
platelet donors.
PMID- 21905956
TI - Immunoregulatory effects of human dental pulp-derived stem cells on T cells:
comparison of transwell co-culture and mixed lymphocyte reaction systems.
AB - BACKGROUND AIMS. Studies performed using human and animal models have indicated
the immunoregulatory capability of mesenchymal stromal cells in several lineages.
We investigated whether human dental pulp-derived stem cells (hDP-SC) have
regulatory effects on phytohemagglutinin (PHA)-activated CD3(+) T cells. We aimed
to define the regulatory mechanisms associated with hDP-SC that occur in mixed
lymphocyte reaction (MLR) and transwell systems with PHA-CD3(+) T cells and hDP
SC at a ratio of 1:1. METHODS. Proliferation, apoptosis and pro- and anti
inflammatory cytokines of PHA-CD3(+)T cells, the expression of Regulatory T cells
(Treg) markers and some regulatory factors related to hDP-SC, were studied in
Both transwell and MLR are co-cultures systems. RESULTS. Anti-proliferative and
apoptotic effects of hDP-SC were determined in co-culture systems. Elevated
expression levels of human leukocyte antigen (HLA)-G, hepatocyte growth factor
(HGF)-beta1, intracellular adhesion molecule (ICAM-1)-1, interleukin (IL)-6, IL
10, transforming growth factor (TGF)-beta1, vascular adhesion molecule (VCAM)-1
and vascular endothelial growth factor (VEGF) by hDP-SC were detected in the co
culture systems. We observed decreased expression levels of pro-inflammatory
cytokines [interferon (IFN)-gamma, IL-2, IL-6 receptor (R), IL-12, Interleukin
17A (IL-17A), tumor necrosis factor (TNF)-alpha] and increased expression levels
of anti-inflammatory cytokine [inducible protein (IP)-10] from PHA-CD3(+) T cells
in the transwell system. Expression of Treg (CD4(+) CD25(+) Foxp3(+)) markers was
significantly induced by hDP-SC in both co-culture systems. We observed apoptosis
of PHA-CD3(+) T cells with 24 h using time-lapse camera photographs and active
caspase labeling; it is likely that paracrine soluble factors and molecular
signals secreted by hDP-SC led this apoptosis. CONCLUSIONS. We suggest that hDP
SC have potent immunoregulatory functions because of their soluble factors and
cytokines via paracrine mechanisms associated with PHA-CD3(+) T cells, which
could contribute to clinical therapies.
PMID- 21905957
TI - Aldehyde dehydrogenase as an alternative to enumeration of total and viable
CD34(+) cells in autologous hematopoietic progenitor cell transplantation.
AB - We validated the correlation of aldehyde dehydrogenase ALDH(br) cells with total
and viable CD34(+) cells in fresh and thawed hematopoietic progenitor cell (HPC)
products, and looked for a correlation with time to white blood cell (WBC) and
platelet engraftment after autologous transplantation, using simple linear
regression analyzes. We found a significant correlation between pre-freeze
ALDH(br) cell numbers and pre-freeze total CD34(+) (P < 0.001), viable CD34(+) (P
< 0.001) and post-thaw viable CD34(+) (P < 0.001) cell numbers. We suggest that
ALDH(br) may be substituted for CD34(+) cell numbers when evaluating HPC. As post
thaw viability testing apparently adds no significant information, we suggest
that it may not be necessary. Finally, neither marker correlated with time to
engraftment in our patients, supporting previous data suggesting the existence of
a threshold dose for timely engraftment around 2.5 * 10(6) cells/kg.
PMID- 21905958
TI - Development and validation of a procedure to isolate viable bone marrow cells
from the vertebrae of cadaveric organ donors for composite organ grafting.
AB - BACKGROUND AIMS: Donor-derived vertebral bone marrow (BM) has been proposed to
promote chimerism in solid organ transplantation with cadaveric organs. Reports
of successful weaning from immunosuppression in patients receiving directed donor
transplants in combination with donor BM or blood cells and novel peri-transplant
immunosuppression has renewed interest in implementing similar protocols with
cadaveric organs. METHODS: We performed six pre-clinical full-scale separations
to adapt vertebral BM preparations to a good manufacturing practice (GMP)
environment. Vertebral bodies L4-T8 were transported to a class 10 000 clean
room, cleaned of soft tissue, divided and crushed in a prototype bone grinder.
Bone fragments were irrigated with medium containing saline, albumin, DNAse and
gentamicin, and strained through stainless steel sieves. Additional cells were
eluted after two rounds of agitation using a prototype BM tumbler. RESULTS: The
majority of recovered cells (70.9 +/- 14.1%, mean +/- SD) were eluted directly
from the crushed bone, whereas 22.3% and 5.9% were eluted after the first and
second rounds of tumbling, respectively. Cells were pooled and filtered (500, 200
MUm) using a BM collection kit. Larger lumbar vertebrae yielded about 1.6 times
the cells of thoracic vertebrae. The average product yielded 5.2 +/- 1.2 * 10(10)
total cells, 6.2 +/- 2.2 * 10(8) of which were CD45(+) CD34(+). Viability was
96.6 +/- 1.9% and 99.1 +/- 0.8%, respectively. Multicolor flow cytometry revealed
distinct populations of CD34(+) CD90(+) CD117(dim) hematopoietic stem cells (15.5
+/- 7.5% of the CD34 (+) cells) and CD45(-) CD73(+) CD105(+) mesenchymal stromal
cells (0.04 +/- 0.04% of the total cells). CONCLUSIONS: This procedure can be
used to prepare clinical-grade cells suitable for use in human
allotransplantation in a GMP environment.
PMID- 21905959
TI - Powered-assisted partial turbinectomy versus mometasone furoate nasal spray for
relief of nasal blockage in chronic or idiopathic rhinosinusitis.
AB - CONCLUSION: Power-assisted turbinectomy is a safe and reliable alternative for
patients with nasal blockage if nasal corticosteroid spray therapy is not
suitable. OBJECTIVE: Powered-assisted partial turbinectomy was compared to the
use of a corticosteroid nasal spray for relief of nasal obstruction in chronic or
idiopathic rhinosinusitis. METHODS: Patients were randomized to either power
assisted partial turbinectomy or daily use of mometasone furoate nasal spray for
6 months. Evaluation by peak nasal inspiratory flow (PNIF) and grading of
symptoms by use of visual analog scale was done after 3 and 6 months. Grading of
symptoms was also done by use of a questionnaire approximately 30 months after
the last visit. RESULTS: PNIF scores improved for all patients, although
significantly only for patients on mometasone spray. Patients' self-reported
symptoms decreased more for operated patients. Side effects were few and mild in
both groups.
PMID- 21905960
TI - Comparison of the distribution pattern of PEG-b-PCL polymersomes delivered into
the rat inner ear via different methods.
AB - CONCLUSION: Cochleostomy is the most efficient approach in delivering PEG-b-PCL
polymersomes (PMs) to the inner ear. PMs can be delivered to the vestibule by
transtympanic injection or cochleostomy. OBJECTIVE: To evaluate the efficiency of
delivering PEG-b-PCL PMs into the inner ear using different approaches. METHODS:
The PEG-b-PCL PMs were administered either by sustained topical round window
membrane (RWM) delivery using gelatin sponge pledgets in combination with an
osmotic pump, transtympanic injection, or cochleostomy. The distribution of the
PMs in the inner ear was observed by confocal microscopy using either whole mount
specimens or cryosections. RESULTS: Cochleostomy resulted in distribution of the
PMs in the spiral ligament (SL), mesothelial cells beneath the organ of Corti,
supporting cells in the organ of Corti, and spiral ganglion cells (SGCs).
Transtympanic injection induced uptake of the PMs in the SL and mesothelial cells
beneath the organ of Corti. Topical administration showed distribution of the PMs
only in the SL. In the vestibulum, transtympanic injection and cochleostomy
induced more distribution of the PMs than did topical RWM delivery (p < 0.05,
Kruskal-Wallis test).
PMID- 21905961
TI - Immunohistochemical localization of transforming growth factor beta1 and beta2 in
mouse testes during postnatal development.
AB - We examined age-related changes in the expression of transforming growth factor
beta(1) (TGF-beta(1)) and transforming growth factor-beta(2) in mouse testes. The
mice were assigned to three age groups: 35, 50, and 75 days old. Paraffin
embedded testis sections were processed for the standard streptavidin biotin
peroxidase complex immunohistochemistry method. TGF-beta(1) expression increased
in aging round spermatids over the time studied. There was no expression in 35
day-old Leydig cells, whereas strong expression of TGF-beta(1) was observed in 50
day-old Leydig cells. Expression decreased in 75-day-old Leydig cells. TGF
beta(2) expression was weak in 35- and 50-day-old mouse spermatids, but
expression was greater in 75-day-old elongated spermatids. In Leydig cells, TGF
beta(2) expression was strong in both 35- and 50-day-old mice, whereas the
expression of TGF-beta(2) was less in 75-day-old Leydig cells. Our results
suggest that TGF-beta(1) and TGF-beta(2) may play significant roles in testicular
functions and germ cell development in mice.
PMID- 21905962
TI - A new technique for visualizing proanthocyanidins by light microscopy.
AB - We describe a new technique for visualizing proanthocyanidin-containing elements
in plant tissues. Our innovation is the fixation of condensed tannins with an
exogenous protein prior to alcohol dehydration. In this way, tannins do not
undergo partial solubilization during the dehydration sequence and appear as
sharply contoured globules of various diameters.
PMID- 21905963
TI - Gefitinib for non-small-cell lung cancer treatment.
AB - INTRODUCTION: Gefitinib is an EGFR tyrosine kinase inhibitor (EGFR-TKI) that
demonstrated efficacy in patients with advanced non-small cell lung cancer
(NSCLC) across therapy lines. In the first-line setting, recent randomized Phase
III trials comparing EGFR-TKIs versus platinum-based doublets demonstrated that
in patients harboring an activating EGFR mutation, gefitinib is superior to
chemotherapy in terms of response rate, progression-free survival, toxicity
profile and quality of life, with a marginal positive effect on survival. In
order to choose the best treatment, a molecular characterization is now
mandatory, as part of baseline diagnostic procedures. AREAS COVERED: All
published data on gefitinib in lung cancer were analyzed using PubMed. The aim of
this review is to summarize activity and safety data from major clinical trials
of gefitinib in patients with advanced NSCLC. EXPERT OPINION: EGFR-TKIs including
gefitinib are the best option we can offer today in patients with EGFR mutation,
regardless of treatment line. Administration of gefitinib to patients with
advanced NSCLC is usually well-tolerated and it also appears to be feasible in
special populations characterized by a significantly poorer risk:benefit ratio
with standard chemotherapy, like elderly patients and patients with poor
performance status.
PMID- 21905964
TI - Neoteric pharmacotherapeutic targets in fibromyalgia.
AB - INTRODUCTION: Fibromyalgia is a debilitating, chronic pain disorder typically
present with allodynia and hyperalgesia. Estimates from the USA suggest that
fibromyalgia affects about 5% of women, and is the third most common rheumatic
disorder after lower back pain and osteoarthritis. Recent research advances
highlighted a role for aberrant central pain processing in fibromyalgia, and
consistent with this, the first three drugs (pregabalin, duloxetine and
milnacipran) approved by the FDA for fibromyalgia over the past 2 years have a
predominantly central mode of action. Despite progress in understanding of
fibromyalgia and the long-awaited introduction of three medications for treating
it, fibromyalgia continues to pose a significantly unmet medical need, negatively
affecting the lives of millions of individuals worldwide in all ethnic groups and
all economic classes. AREAS COVERED: Prevailing theories of pathogenesis of
fibromyalgia, existing therapies and the potential of current research on novel
targets. EXPERT OPINION: Current research on novel sedative-hypnotics, anti
epileptic medications, various reuptake inhibitors, growth hormone agonists,
canabinoid agonists, non-opiate analgesics and 5-HT3 antagonists offers hope for
the the next generation of therapeutic options for fibromyalgia. With regards to
the development of novel pharmacotherapies, there seem to be grounds for
increased optimism regarding prospective treatments of the disorder.
PMID- 21905965
TI - Cervical artery dissection: risk factors, treatment, and outcome; a 5-year
experience from a tertiary care center.
AB - BACKGROUND: Cervical artery dissection (CAD) is a common cause of stroke in young
individuals. Risk factors, treatment, and outcome of CAD are not well
established. Our aim is to identify risk factors, outcome, and discuss treatment
of CAD. METHODS: We reviewed medical records of patients seen at our institution
between 2004 and 2009, using ICD-9 codes for CAD, for risk factors, treatment,
type, and outcome. Poor outcome was defined as modified Rankin Scale (mRS) score
within 6 months of >1 or recurrent stroke or transient ischemic attack (TIA).
RESULTS: A total of 47 patients were identified. Twenty-four patients had
spontaneous dissections (52%); 30 (63%) involved the carotid. As compared with
spontaneous dissections, traumatic dissections occurred in younger patients (mean
age 41.0 vs. 47.7 years, p = .064), were more likely to involve the vertebral
artery (52% vs. 21%, p = .036, and caused less neurological deficits on
presentation (48% vs. 75%, p = .075). Conversely, spontaneous dissections were
more likely to occur in patients with hypertension (63% vs. 30%, p = .041) and
hypercholesterolemia (46% vs. 9%, p = .008). Patients having no stroke had better
outcomes (100% vs. 54%, p < .001). Anticoagulation was used in 17 patients (36%)
and antiplatelets in 31 (64%). Outcome and adverse events were similar in the two
groups. CONCLUSION: Hypertension and hypercholesterolemia were risk factors in
spontaneous dissections, speaking for vascular wall abnormalities as potential
contributors to pathophysiology of CAD. There was no evidence supporting one type
of treatment over the other. A large ongoing prospective study should quell this
controversy.
PMID- 21905966
TI - Pharmacokinetic evaluation of pixantrone for the treatment of non-Hodgkin's
lymphoma.
AB - INTRODUCTION: Pixantrone is a novel aza-anthracenedione, similar in structure to
anthracyclines, including the anthracycline derivative mitoxantrone. There is no
standard therapy for relapsed or refractory aggressive non-Hodgkin's lymphoma
(NHL) in patients who have received two prior lines of therapy. Pixantrone does
not bind iron, promotes reactive oxygen species to a lesser degree than other
anthracyclines and is therefore believed to be less cardiotoxic without
compromising efficacy. AREAS COVERED: This article outlines the chemical
properties of pixantrone, as well as the preclinical and clinical studies looking
at pixantrone in the treatment of both indolent and aggressive NHL. EXPERT
OPINION: Pixantrone is an active and safe drug that has been shown to be of
benefit when used to treat patients with relapsed aggressive NHL in the context
of Phase II and Phase III studies. It was superior to other single-agent
therapies in this context and in combination therapy may be the treatment of
choice in order to avoid cardiac toxicity. Potentially it is a more effective and
less cardiotoxic alternative to doxorubicin in patients with aggressive NHL.
PMID- 21905967
TI - Efficacy and tolerability of telmisartan plus amlodipine in added-risk
hypertensive patients.
AB - OBJECTIVES: Added-risk hypertensive patients with co-morbidities such as diabetes
and metabolic syndrome often require two or more antihypertensives to achieve
blood pressure (BP) targets. The aim of this sub-analysis was to determine the
efficacy and safety of telmisartan 40 or 80 mg plus amlodipine 5 or 10 mg in
patients with hypertension, stratified according to certain criteria such as type
2 diabetes mellitus and metabolic syndrome. METHODS: Patients were treated for 8
weeks with telmisartan 20-80 mg plus amlodipine 2.5-10 mg. This post-hoc analysis
included patients treated with higher doses, and stratified according to a number
of sub-populations (age, race, diabetes, obesity, metabolic syndrome, elevated
baseline systolic BP (SBP), renal impairment). RESULTS: Eight weeks' treatment
with telmisartan plus amlodipine combinations provided consistent reductions in
mean SBP/diastolic BP (DBP) across the different sub-populations, similar to the
overall population. SBP/DBP reductions ranged from -13.5 to -34.7/-12.6 to -26.1
mmHg and BP goal rates (<140/90 mmHg) ranged from 29.8-100% for the four key dose
combinations of telmisartan plus amlodipine. For the highest dose combination of
telmisartan 80 mg plus amlodipine 10 mg, SBP/DBP reduction ranged from -19.1 to
34.7/-16.4 to -22.8 mmHg and goal attainment rate from 66.7% to 87.0%. Across the
sub-populations, high SBP and DBP response rates were seen with combination
treatment (83.3-97.7% and 75.0-95.7%, respectively, with telmisartan 80 mg plus
amlodipine 10 mg). The combination was safe and well tolerated across all sub
populations and the incidence of peripheral oedema with telmisartan 40-80 mg plus
amlodipine 10 mg was generally lower than with A10 monotherapy. CONCLUSIONS:
Despite small patient numbers in some sub-populations and the post-hoc nature of
the analysis, this does show that the combination of telmisartan plus amlodipine
provides an effective, safe and well-tolerated antihypertensive treatment for
added-risk hypertensive patients.
PMID- 21905968
TI - Systematic review of tapentadol in chronic severe pain.
AB - AIM: A systematic review of chronic pain treatment with strong opioids (step 3
WHO pain ladder) and a comparison to a new drug recently approved for the
treatment of severe chronic pain in Europe, tapentadol (Palexia, Nucynta*), were
performed. METHODS: Thirteen electronic databases were searched as well as a
number of other sources from 1980 up to November 2010 for relevant randomized
controlled clinical trials in chronic moderate and severe pain investigating at
least one step 3 opioid. Chronic pain could be nociceptive or neuropathic,
malignant or non-malignant, all systemic administrations were considered as well
as trials of different lengths. Two separate analyses were performed, one only
for trials which reported (at least as sub-groups) the outcome in patients with
severe pain, the other including both moderate and severe pain conditions. With
the exception of the direct comparison between tapentadol, oxycodone and placebo,
indirect comparisons were performed based on a network analysis. Trials with an
enriched or an enriched withdrawal design were excluded. Primary (pain intensity)
and a number of secondary endpoints were evaluated, including pain relief (30%
and 50%), patient global impression of change, quality of life, quality of sleep,
discontinuations, as well as serious adverse events and selected adverse events.
RESULTS: Only 10 trials were eligible for analysis of patients with severe pain
(eight investigating tapentadol and two trials comparing buprenorphine patch vs
placebo). For moderate and severe pain, 42 relevant trials were identified and
indirect comparisons with transdermal buprenorphine, transdermal fentanyl,
hydromorphone, morphine, and oxymorphone were performed. This report focuses on
the network analysis. Tapentadol showed statistically favourable results over
oxycodone for pain intensity, 30% and 50% pain relief, patient global impression
of change (PGIC), and quality of life. Furthermore, some of the most important
adverse events of chronic opioid treatment were significantly less frequent with
tapentadol as compared to oxycodone, i.e. constipation, nausea, and vomiting;
discontinuations due to these adverse events were found significantly reduced
with tapentadol. Similar results were obtained for the network analysis, i.e.
tapentadol was superior for the primary outcome (pain intensity) to hydromorphone
and morphine, whereas fentanyl and oxymorphone showed trends in favour of these
treatments. Significantly less frequent gastrointestinal adverse events of
tapentadol were observed in comparison with fentanyl, hydromorphone, morphine,
and oxymorphone, apparently leading to significantly reduced treatment
discontinuations (for any reason). CONCLUSIONS: Taken together, the benefit-risk
ratio of tapentadol appears to be improved compared to step 3 opioids.
PMID- 21905969
TI - Single-agent rituximab in treatment-refractory or poor prognosis patients with
chronic lymphocytic leukemia.
AB - OBJECTIVE: Rituximab in combination with fludarabine and cyclophosphamide has
significantly improved outcomes for patients with chronic lymphocytic leukemia
(CLL) and an improvement in overall survival has recently been shown for the
first time in the history of CLL treatment. However, the chemotherapy portion of
this regimen may be unsuitable for elderly patients or those with significant
comorbidities. We investigated the safety and tolerability of single-agent
rituximab in 23 consecutive patients presenting with CLL at a single institution.
RESEARCH DESIGN AND METHODS: Patients received eight cycles of weekly rituximab
(375 mg/m(2) initially). Patients progressing on rituximab treatment could
receive up to five further courses of single-agent rituximab in escalating doses
up to 3 gm/m(2) per dose. Previously untreated and previously treated patients,
including those refractory to fludarabine, were eligible for the study. RESULTS:
Single-agent rituximab was efficacious, even in patients with treatment
refractory or poor-prognosis CLL. The overall response rate was 90.9% with a CR
rate of 63.6%. The median PFS was 28.5 months, and median duration of response
was 26 months. Responses were seen regardless of prior treatment including in
patients refractory to fludarabine. Especially encouraging results were seen in
patients receiving rituximab maintenance therapy who had a median duration of
response substantially longer than those who did not receive maintenance (35
months vs. 14 months, respectively). CONCLUSIONS: Rituximab was well tolerated
with no unexpected adverse events even at the highest dose. These results
indicate that single-agent rituximab is effective and has a place in the
treatment of CLL.
PMID- 21905971
TI - Renal nerve ablation: emerging role in therapeutics.
PMID- 21905970
TI - Further assessment of the clinically effective dose range of etoricoxib: a
randomized, double-blinded, placebo-controlled trial in rheumatoid arthritis.
AB - OBJECTIVE: To further assess the clinically active dose range of etoricoxib, a
COX-2 selective inhibitor, in rheumatoid arthritis (RA). METHODS: RA patients
were randomized to etoricoxib 10, 30, 60, or 90 mg or placebo in a double-blind,
12-week study. DMARDs (methotrexate, biologics) or low-dose corticosteroids were
allowed in stable doses. The primary endpoint was the proportion of patients
completing the study and achieving an American College of Rheumatology 20%
(ACR20) response. Secondary endpoints included individual components of the ACR
index and Patient Global Assessment of Pain. Safety was assessed by physical exam
and adverse experiences (AEs) occurrences. RESULTS: Etoricoxib 90 mg was the only
dose to reach a statistically significant difference from placebo (p < 0.001) on
the primary endpoint; etoricoxib 60 mg approached significance (p = 0.057).
Significant pain improvement vs. placebo was observed with etoricoxib 90 mg (p <
0.001), 60 mg (p = 0.018), and 30 mg (p = 0.017). Despite the use of background
biologics and corticosteroids, a dose response was still apparent. A higher
proportion of etoricoxib 60 and 90 mg patients had renovascular AEs (i.e., edema
and hypertension) compared with placebo, although discontinuations for
renovascular AEs were rare. Etoricoxib 90 mg had a higher incidence of serious
AEs (n = 5; 1 was considered drug-related) versus placebo (n = 0). LIMITATIONS:
The present study was not powered to detect differences in cardiovascular or
gastrointestinal safety by dose. Additionally, further research is needed to
clarify the role of doses less than the etoricoxib 90 mg dose for pain management
in RA patients. CONCLUSION: Etoricoxib 90 mg demonstrated statistically superior
efficacy (ACR20) compared with placebo and numerical superiority over the other
doses of etoricoxib studied. Etoricoxib 30 and 60 mg demonstrated significant
pain improvement versus placebo, suggesting utility for some patients.
PMID- 21905972
TI - Is smoking linked to positive symptoms in acutely ill psychiatric patients?
AB - BACKGROUND: The self-medication hypothesis is commonly put forward to explain the
high prevalence of smoking in psychiatric patients. However, studies supporting
the self-medication hypothesis have most often been carried out on chronic
patients stabilized by antipsychotics. AIM: Given that antipsychotics tend to
erase psychiatric symptoms, the present study was undertaken on acutely ill
patients usually receiving no medications, or on whom medications are
ineffective. METHODS: Participants were 492 consecutively hospitalized patients.
They were evaluated the day of their hospitalization with the Brief Psychiatric
Rating Scale (BPRS, 18 items). Urinary cotinine and creatinine were measured the
morning following their hospitalization. The urinary cotinine/creatinine ratio
and the cotinine/creatinine/number of cigarettes smoked per day ratio (nicotine
extraction index) were calculated for each patient. RESULTS: The positive
symptoms subscale of the BPRS significantly correlated with smoking, whereas
other BPRS subscales did not. In patients with mood disorder, the nicotine
extraction index correlated with the positive symptoms, activation and hostility
subscales, but not with the negative symptoms subscale. Analyses of individual
BPRS items using the cotinine/creatinine ratio measure showed that smoking is
positively associated with "unusual thought content" and "grandiosity" items and
negatively associated with "guilt feeling", "depressed mood" and "motor
retardation". Analyses of individual BPRS items using the nicotine extraction
index showed a positive association only with "unusual thought content" and
"grandiosity" items. Patients with schizophrenia extract more nicotine from
cigarettes than other patients. CONCLUSION: In acutely ill psychiatric patients,
smoking is linked with positive symptoms and not with negative symptoms.
PMID- 21905973
TI - Parameters of mineral metabolism predict midterm clinical outcome in end-stage
heart failure patients.
AB - OBJECTIVES: We investigated to which extent disturbances in mineral metabolism
predict 90-day clinical outcome in end-stage heart failure patients. DESIGN:
Among numerous biochemical parameters, we measured serum levels of sodium and
magnesium, the calciotropic hormones parathyroid hormone and 1,25
dihydroxyvitamin D as well as fibroblast growth factor-23 (a phosphaturic
hormone) in 305 cardiac transplant candidates. Primary endpoint was a composite
of the need of mechanical circulatory support (MCS), transplantation, or death.
RESULTS: Of the study cohort, 33.4% reached the primary endpoint. In detail, 19%
were transplanted (the vast majority was listed "high urgent"), 8.8% died and
5.6% received MCS implants. As determined by logistic regression analysis, all
aforementioned biochemical parameters were independently related to the primary
endpoint. Results did not change substantially when transplanted patients were
censored. A risk score (0-5 points) was developed. Of the patients who scored 5
points 89.5% reached the primary endpoint whereas of the patients with a zero
score only 3.8% reached the primary endpoint. CONCLUSIONS: Our data demonstrate
that in addition to the well-known predictive value of disturbed sodium
metabolism, derangements in calcium, phosphate, and magnesium metabolism also
predict midterm clinical outcome in end-stage heart failure patients.
PMID- 21905974
TI - Diagnostic accuracies of MR enterography and CT enterography in symptomatic
Crohn's disease.
AB - OBJECTIVE: In patients, with symptomatic Crohn's disease (CD), valid information
about the presence or absence of small bowel disease activity and stenosis is
clinically important. Such information supports decisions about medical or
surgical therapy and can be obtained with MR enterography (MRE) or CT
enterography (CTE). MATERIALS AND METHODS: A total of 50 patients with
symptomatic pre-existing CD and a demand for small bowel imaging to support
changes in treatment strategy were included in this prospective and blinded
study. MRE and CTE were performed on the same day in alternating order and
subsequently compared with the gold standard: pre-defined lesions at ileoscopy (n
= 30) or surgery with (n = 12) or without (n = 3) intra-operative enteroscopy.
RESULTS: A total of 35 patients had active small bowel CD (jejunum 0, ileum 1,
(neo)-terminal ileum 34) and 20 had small bowel stenosis. The sensitivity and
specificity of MRE for detection of small bowel CD was 74% and 80% compared to
83% and 70% with CTE (p >= 0.5). MRE and CTE detected small bowel stenosis with
55% and 70% sensitivities, respectively (p = 0.3) and 92% specificities.
CONCLUSIONS: MRE and CTE have comparable diagnostic accuracies for detection of
small bowel CD and stenosis. In symptomatic patients with CD and high disease
prevalence, positive predictive values are favorable but negative predictive
values are low. Consequently, MRE and CTE can be relied upon, if a positive
result is obtained whereas a negative enterography should be interpreted with
caution.
PMID- 21905975
TI - Clinical significance of colonoscopic examination in patients with early stage of
gastric neoplasm undergoing endoscopic submucosal dissection.
AB - OBJECTIVE: We aimed to determine the frequency of colorectal neoplasm in patients
with early gastric neoplasm who underwent endoscopic submucosal dissection (ESD)
compared to healthy controls and to investigate their risk factors for colorectal
neoplasm. METHODS: A total of 107 patients with gastric neoplasm including 54
gastric adenoma and 53 early gastric cancer (EGC) that underwent ESD and 107
sex/age-matched healthy controls were enrolled. All of the subjects underwent
colonoscopy for routine check-up. High-risk colorectal neoplasm were defined as
>1 cm, three or more polyps, adenoma with villous component, adenoma with high
grade dysplasia or adenocarcinoma. RESULTS: The frequency of overall colorectal
neoplasm was 56.1% in the gastric neoplasm group and 34.6% in the control group
(p < 0.005). High-risk colorectal neoplasm was found in 26.2% of patients with
gastric neoplasm and 12.1% of controls (p < 0.01). In each gastric adenoma and
EGC subgroups, the frequency of overall colorectal neoplasm was higher than each
control subgroup. The frequency of high-risk colorectal neoplasm in EGC subgroup
was significantly higher than that in the control subgroup, against not being in
gastric adenoma subgroup. The risk factors for overall colorectal neoplasm were
age and presence of gastric neoplasm, and that for high risk colorectal neoplasm
was the only presence of gastric neoplasm. CONCLUSIONS: The frequency of overall
and high-risk colorectal neoplasm in the gastric neoplasm group was higher than
that in the control group. Therefore, a screening colonoscopy should be
considered in patients with early gastric neoplasm undergoing ESD.
PMID- 21905976
TI - YKL-40 and transient elastography, a powerful team to assess hepatic fibrosis.
AB - OBJECTIVE: Transient elastography (TE) is a non-invasive and accurate method for
the diagnosis of severe hepatic fibrosis and cirrhosis (F = 3 and F = 4).
However, the assessment of significant fibrosis (F = 2) by TE is impaired due to
a high variation in the diagnostic accuracy. Within this study, we aim to compare
the diagnostic value of TE and experimental biomarkers of liver fibrosis.
MATERIAL AND METHODS: A total of 55 patients with chronic liver disease of
different etiologies were included in the study. Among them, patients with HCV
infection represented the largest cohort (n = 25). Liver fibrosis was evaluated
according to the Desmet/Scheuer score. All patients received TE. Serum
concentrations of YKL-40, hyaluronic acid (HA), Laminin, C-terminal procollagen I
peptide, MMP-9, TIMP-1, TIMP-2 and MMP-9/TIMP-1 complex were determined by ELISA.
RESULTS: In the total patient population, areas under the receiver operator
characteristic curve (AUROC) for TE were 0.798 (F >= 2), 0.880 (F >= 3) and 1 (F
= 4). Among the serum markers, highest diagnostic accuracies were calculated for
YKL-40 for F >= 2 (0.792) and F >= 3 (0.914) and for YKL-40 and HA for F = 4
(both 0.936). In the subgroup of HCV patients, the following AUROCs for TE were
calculated: 0.802 (F >= 2), 0.798 (F >= 3) and 0.998 (F = 4). YKL-40 exhibited
the highest diagnostic accuracy of all biomarkers in the HCV population (0.880,
0.854 and 0.986, respectively). CONCLUSIONS: YKL-40 is a powerful fibrosis marker
with high diagnostic accuracy, in particular in HCV-associated liver disease. Its
determination may confirm and improve the diagnostic accuracy of TE especially in
early stages of liver fibrosis.
PMID- 21905977
TI - IFN beta 1b induced celiac disease.
PMID- 21905978
TI - C-reactive protein level as a predictor of mortality in liver disease patients
with bacteremia.
AB - BACKGROUND AND OBJECTIVE: C-reactive protein (CRP) is synthesized in the liver in
response to inflammation, and CRP is a widely used marker of sepsis. In
bacteremia the initial CRP level is an independent predictor of mortality. Since
the CRP response in patients with chronic liver disease is lower than in patients
without liver disease the objective was to assess whether CRP levels in chronic
liver disease and bacteremia was associated with case fatality. PATIENTS: The
study enrolled 105 patients with chronic liver disease and bacteremia as well as
202 patients with bacteremia and no recorded liver disease from the same region
and time period. METHODS: Retrospective review of medical records with
registration of demography, co-morbidity, bacteriological, biochemical and
clinical findings, and Child-Turcotte-Pugh scores. The primary outcome was 30-day
mortality. RESULTS: Mortality was significantly higher in patients with chronic
liver disease (mortality rate ratio 2.2; 95% confidence interval 1.2-3.9) and it
was correlated to Child-Turcotte-Pugh scores. CRP levels were not different
between the three Child-Turcotte-Pugh classes (p = 0.33), and no linear
correlation with 30-day mortality was observed. CONCLUSION: Mortality associated
with bacteremia is increased in patients with chronic liver disease and it is
correlated with Child-Turcotte-Pugh score. The prognostic information of initial
CRP levels in patients with chronic liver disease is weak. The clinical
management of patients with chronic liver disease and suspected infection should
initiate antimicrobial therapy based on clinical, radiological and
microbiological findings, whereas the measurement of CRP in bacteremia is less
helpful as compared with patients without liver disease.
PMID- 21905979
TI - Limited value of fecal calprotectin in patients with liver cirrhosis.
PMID- 21905980
TI - Screening for unrecognized coeliac disease in subfertile couples.
AB - OBJECTIVE: Subfertility has been reported as a long-term complication of
unrecognized and/or untreated coeliac disease (CD); however, the results from
studies on this topic are ambiguous. We aimed to determine the prevalence of
unrecognized CD in subfertile male-female couples visiting a fertility clinic
compared with the general population. METHODS: Subjects included 1038 male-female
couples (n = 2076) who visited the fertility clinic of the Leiden University
Medical Center in the Netherlands between 2003 and 2009. All consecutive patients
were routinely, serologically screened, and those with positive test results for
antibodies against IgA anti-tissue transglutaminase type 2 and IgA endomysial
antibodies were considered to have unrecognized CD. Clinical data on gender, age,
height, weight, diagnosis of subfertility, and previously diagnosed CD were
collected from the clinical files. Subsequently, after serological screening, all
patients were anonymized. The prevalence of unrecognized CD was compared with the
one in the general adult population in the Netherlands (0.35%). RESULTS: The
prevalence of unrecognized CD in subfertile male-female couples was 0.48%
(10/2076; 6 females and 4 males) and was not significantly more frequent compared
with the general population. Compared with the control group, similar CD
prevalences were found within the different subfertility categories separately:
unexplained subfertility, anovulation, tubal pathology, and male factor (p = NS).
CONCLUSION: In our large study cohort of subfertile male-female couples, the
prevalence of unrecognized CD is comparable to the general population in the
Netherlands. No association was observed between CD and subfertility in the
different subfertility categories and genders.
PMID- 21905981
TI - A decision support model for cost-effectiveness of radical prostatectomy in
localized prostate cancer.
AB - OBJECTIVE: This study aimed to develop a probabilistic decision support model to
calculate the lifetime incremental cost-effectiveness ratio (ICER) between
radical prostatectomy and watchful waiting for different patient groups. MATERIAL
AND METHODS: A randomized trial (SPCG-4) provided most data for this study. Data
on survival, costs and quality of life were inputs in a decision analysis, and a
decision support model was developed. The model can generate cost-effectiveness
information on subgroups of patients with different characteristics. RESULTS: Age
was the most important independent factor explaining cost-effectiveness. The cost
effectiveness value varied from 21,026 Swedish kronor (SEK) to 858,703 SEK for
those aged 65 to 75 years, depending on Gleason scores and prostate-specific
antigen (PSA) values. Information from the decision support model can support
decision makers in judging whether or not radical prostatectomy (RP) should be
used to treat a specific patient group. CONCLUSIONS: The cost-effectiveness ratio
for RP varies with age, Gleason scores, and PSA values. Assuming a threshold
value of 200,000 SEK per quality-adjusted life-year (QALY) gained, for patients
aged <=70 years the treatment was always cost-effective, except at age 70,
Gleason 0-4 and PSA <=10. Using the same threshold value at age 75, Gleason 7-9
(regardless of PSA) and Gleason 5-6 (with PSA >20) were cost-effective. Hence, RP
was not perceived to be cost-effective in men aged 75 years with low Gleason and
low PSA. Higher threshold values for patients with clinically localized prostate
cancer could be discussed.
PMID- 21905982
TI - Caries prevalence in Danish pre-school children delivered vaginally and by
caesarean section.
AB - OBJECTIVE: The mode of delivery may significantly influence the diversity and
composition of the oral microflora and facilitate early acquisition of mutans
streptococci. The aim was to compare caries prevalence and experience in 3-year
old children delivered vaginally and by caesarean section (C-section). MATERIALS
AND METHODS: The study had an observational cohort protocol based on extracted
information from governmental databases and nationwide registers concerning
birth, social and educational levels and dental status. Children born at the
Copenhagen University Hospital in 2005 were eligible and the final study group
with complete information consisted of 594 children, 443 delivered vaginally and
151 by C-section. RESULTS: The total caries prevalence was 8% and no significant
difference was displayed between the groups. When only the children with caries
(dmfs > 0) were compared, those delivered by C-section had a higher mean value in
comparison with those delivered vaginally (dmfs 6.8 vs 3.2), but the difference
was not statistically significant. There was a significant relationship between
caries prevalence and low family income in the total study group (OR = 5.8, p <
0.05). CONCLUSIONS: Within the limitations of this observational cohort study,
caries prevalence in 3-year-old children was not related to the mode of delivery.
However, the tendency of more severe caries in the C-section group justifies
further studies, preferably with a prospective design.
PMID- 21905983
TI - Well-being and occupational rights: an imperative for critical occupational
therapy.
AB - BACKGROUND: One of occupational therapy's core assumptions is that engagement in
occupations influences well-being. Because occupational engagement is integral to
human well-being, and because well-being is integral to human rights, this paper
contends that the ability and opportunity to engage in occupations is an issue
that concerns rights. AIMS: To outline well-being and its centrality to human
rights; to explore the relationships between well-being and occupation and
between well-being and occupational rights; and to highlight the consequent
imperative to engage in critical occupational therapy. KEY ISSUES: The World
Federation of Occupational Therapists asserts that all people have the right to
participate in a range of occupations that enable them to flourish, fulfil their
potential, and experience satisfaction congruent with their culture and beliefs;
and further asserts the human right to equitable access to participation in
occupation. CONCLUSIONS: If occupational therapists are to take seriously their
espoused commitment to enabling equitable access to participation in occupation,
the inequitable conditions of people's lives will need to be addressed. Critical
occupational therapy is a committed form of practice which acknowledges that well
being cannot be achieved solely by enhancing individuals' abilities, and that
consequently endeavours also to address the conditions of people's lives.
PMID- 21905984
TI - The Assessment of Awareness of Ability (A3) in a Japanese context: a Rasch model
application.
AB - The overall aim of this study was to evaluate the validity of the Assessment of
Awareness of Ability (A3) in a Japanese context. The A3 (formerly known as the
Assessment of Awareness of Disability, AAD) is a standardized 11-question
interview used to explore the discrepancy between observed strengths and
limitations in the performance of activities of daily living (ADL) according to
the Assessment of Motor and Process Skills (AMPS) and the limitations described
by the client. In this study, 259 matched Swedish and Japanese A3 data records
were analyzed using a Rasch partial credit model (PCM). The analysis of rater
consistency and items demonstrated acceptable goodness-of-fit according to the
PCM, indicating rater consistency and internal scale validity. The A3 can help
clients and therapists understand discrepancies between observed and self
reported performance aspects of various everyday tasks within Japanese and
Swedish contexts.
PMID- 21905985
TI - Maternal docosahexaenoic acid feeding protects against impairment of learning and
memory and oxidative stress in prenatally stressed rats: possible role of
neuronal mitochondria metabolism.
AB - AIMS: Docosahexaenoic acid (22:6n-3; DHA) is known to play a critical role in
postnatal brain development. However, no study has been performed to investigate
its preventive effect on prenatal stress-induced behavioral and molecular
alterations in offspring. In the present study, rats were exposed to restraint
stress on days 14-20 of pregnancy, three times a day, 2 hours each time; DHA was
given at the doses of 100 and 300 mg/kg/day for two weeks. RESULTS: We showed
that prenatal restraint stress caused (1) learning and memory impairment, (2)
BDNF mRNA level decrease, (3) oxidative damage to proteins, (4) enhanced
expression of nitric oxide synthase and apoptosis, and (5) abnormalities in
mitochondrial metabolism that included changes in mitochondrial complexes I-V,
and enhancement of expression of proteins involved in mitochondrial
fusion/fission (Mfn-1, Mfn-2, Drp-1) and autophagy (Atg3, Atg7, Beclin-1, p-Akt,
and p-mTOR) in the hippocampus of offspring. INNOVATION: Besides the well-known
role in child brain development, we reported the novel finding of DHA in
protecting prenatal stress-induced cognitive dysfunction involving the modulation
of mitochondrial function and dynamics. CONCLUSION: Maternal feeding of DHA
significantly prevented prenatal stress-induced impairment of learning and memory
and normalized the biomarkers of oxidative damage, apoptosis, and mitochondrial
metabolism in the hippocampus of both male and female offspring. These results
suggest that maternal feeding of DHA exerts preventive effects on prenatal stress
induced brain dysfunction and that modulation of mitochondrial metabolism may
play critical role in DHA protection.
PMID- 21905986
TI - Conceptualising molecular psychiatry and translational psychiatry.
AB - The terms "molecular psychiatry" and "translational psychiatry" are frequently
used key words of today's scientific community. However, the exact meaning of
these terms remains surprisingly unclear. They also seem to be interpreted in
different ways by different authors. Here, we first analyse how the terms have
emerged historically and then try to indicate how meaningful and widely
acceptable definitions could be achieved. Clearly, with the further development
of these emerging psychiatric research areas, it will be necessary to regularly
adjust these definitions accordingly.
PMID- 21905987
TI - Modern neuroimaging in psychiatry: towards the integration of functional and
molecular information.
AB - Thirty-five years of psychiatric imaging along traditional diagnostic boundaries
have revealed a great deal about the structural and functional brain changes that
accompany mental disorders but not produced reliable biomarkers. One reason may
be that clinical syndromes represent the phenotypic expression of many different
genotypes and biological pathways. Neuroimaging is now increasingly being used to
map out the pathways from genes (obtained from candidate or genome-wide
association studies) to the cognitive, emotional and behavioural phenotypes that
result in syndromes like schizophrenia or depression. The armamentarium of
neuroimaging is becoming increasingly versatile, and now includes methods with
considerable spatial, temporal and/or molecular resolution. We can expect that a
sophisticated combination of these techniques with genetic and pharmacological
information will usher into a new era of psychiatric imaging that will aid a
biological classification of mental diseases.
PMID- 21905988
TI - Pharmacotherapy in depressed children and adolescents.
AB - In children and adolescents, antidepressants are used in the treatment of
depressive symptoms and several other psychiatric conditions. In the treatment of
mild and moderate depressive symptoms, non-pharmacological approaches such as
psychotherapy play a major role, a severe symptomatology may demand a combination
with antidepressants. As first-choice medication for the treatment of juvenile
depression, the selective serotonin reuptake inhibitor (SSRI) fluoxetine is
recommended, due to its efficacy and approval. As second-choice antidepressants
the SSRIs sertraline, escitalopram and citalopram might be used. Other
antidepressants - such as tricyclic antidepressants, alpha(2)-adrenoceptor
antagonists, selective noradrenalin reuptake inhibitors (SNRI) - may be
alternatively used, but not as first- or second-choice medications. In the case
of "off-label" use, patients and parents have to be carefully informed prior to
the start of medication, after a thorough risk-benefit analysis. In the following
overview we address a general framework, therapeutic strategies and the issues of
antidepressant pharmacotherapy for the treatment of unipolar depression in
childhood and adolescence.
PMID- 21905989
TI - Gene therapy for psychiatric disorders.
AB - There is no indication that gene therapy can be applied in psychiatric patients
any time soon. However, there are several promising developments on the level of
experimental neuroscience indicating that gene therapy approaches have an effect
in animal models of several psychiatric disorders including drug addiction,
affective disorders, psychoses and dementia, modifying behavioural parameters via
interventions on the molecular and cellular level. However, before gene therapy
in psychiatric disorders can be considered on the human level, not only
neurobiological and technical problems need to be overcome, but also important
ethical questions answered.
PMID- 21905990
TI - Discovering a new anatomical structure in the brain: implications for
neuropsychiatry and therapy.
AB - Describing new brain structures may open research avenues and improve our
knowledge of brain functions and of brain disorders. It may also provide new
neuroanatomical targets for treatments. A detailed understanding of neuroanatomy
is a prerequisite of understanding the pathomechanisms underlying psychiatric
disorders on a molecular and cellular level. The tail of the ventral tegmental
area (tVTA), also known as rostromedial tegmental nucleus (RMTg), is a recently
described region which may be a major inhibitory control centre for the
dopaminergic systems. These systems participates to behavioural functions and are
implicated in the aetiology, symptoms or treatment of neurological or psychiatric
diseases, such as Parkinson's, schizophrenia, mood disorders, attention-deficit
hyperactivity-disorder (ADHD) and drug abuse. Belonging to the reticular
formation, the tVTA may constitute a major inhibitory GABAergic input to these
dopaminergic systems. Moreover, it is sensitive to drugs of abuse, to stimulant
or arousing drugs, to aversive stimuli and it could also be the main relay
between lateral habenula and VTA. First described in rats, and proposed as a
component of the emotional motor system implicated in basic survival behaviours,
tVTA appears as a relevant structure for molecular psychiatry, which should
foster research to define and study this brain region in the human brain.
PMID- 21905991
TI - Forensic patients with organic brain disorders.
AB - Present literature states that people with acquired organic brain dysfunctions
face problems with attention, executive functions and social interaction. During
the past years an increasing number of patients with organic brain disorders have
been committed into our forensic psychiatry. In current literature studies on
this group of patients are underrepresented. This study wanted to verify the
impairment of cognitive functions of this specific group of patients. Included
were all patients of the forensic psychiatry in Rostock (Mecklenburg-Western
Pomerania) with a primary or secondary organic brain dysfunction who have been
committed into the clinic since 2009. These patients went through an extensive
neuropsychological test battery. It was found that patients affected by organic
brain dysfunction achieve lower results in the neuropsychological testing than
non impaired patients, but their results are not as below average than it would
have been expected. Further studies should show, if these patients are able to
improve their performance while successfully undergoing psychotherapy.
PMID- 21905992
TI - Translational research approach to biological and modifiable risk factors of
psychosis and affective disorders.
AB - OBJECTIVES: This review summarizes the literature on (molecular-) biological,
medical, environmental and modifiable risk factors for psychosis and mood
disorders with the view of their suitability for translational research and
mental health practice from preventative and clinical treatment perspectives.
METHODS: This review summarized literature on biological, medical, environmental
and modifiable risk factors for psychosis and mood disorders evaluating their
potential for translational research and clinical practice. RESULTS: Based on the
concept of the gene - environment interaction in the development of mental
disorders, we highlight the numerous risk factors reported to contribute to an
increased susceptibility to schizophrenia and mood disorders of young adults to
late-life. Special emphasis is placed onto the discussion on the requirement of
translational and interdisciplinary research approaches integrating basic and
clinical neuroscience approaches that may have important implications for future
studies and clinical practice. CONCLUSIONS: Interdisciplinary research approaches
integrating developmental neuroscience and policy makers are encouraged in order
to achieve effective prevention and intervention programs addressing
environmental, behavioural, biological factors relevant to psychiatric disorders
during young ages, adulthood and aging.
PMID- 21905993
TI - Intelligence moderates impulsivity and attention in ADHD children: an ERP study
using a go/nogo paradigm.
AB - OBJECTIVES: If the cardinal symptoms of ADHD - hyperactivity, impulsivity and
inattention - are combined with a learning disability (70 >= IQ < 85), the
question arises whether a child shows hyperkinetic behaviour because of
intellectual overload in a challenging situation, for example at school. Perhaps,
this behaviour is not a primary attention deficit disorder but an impulse control
disorder, determined by the primarily intelligence level. It raised the question
whether attention deficit and impulse control regarded as behavioural inhibition
deficit may depend on intelligence and therefore should be separated into
distinct clinical entities. METHODS: A total of 45 children (15 with ADHD, 15
with learning disabilities (LD), 15 with ADHD and learning disabilities) were
compared in a matched-pair design with 42 control children using a go/no go
paradigm (visual continuous performance test, CPT). The dependent variable was
the target P3 amplitude, averaged from a 10-20 EEG measurements under distinct
trigger conditions. For statistical analysis, a three-factor analysis of variance
(MANOVA) with repeated measurements was used. In a subsequent regression analysis
with residuals, the influence of intelligence (IQ) was calculated and a "parallel
analysis of variance" was conducted. RESULTS: No differences in the P3 amplitudes
in the comparison ADHD-control group were found. Reduced P3 amplitudes as main
effects in the LD group compared with controls were found and a significant group
dependent interaction on reduced P3 amplitudes comparing ADHD + LD versus control
group. Using residuals (IQ), this interaction was not longer verifiable.
CONCLUSION: Impulsivity and attention deficit as the cardinal symptoms of ADHD,
regarded as behavioural inhibition deficit, are essentially moderated by the
primary intelligence, rather than by an attention deficit. The lower the IQ, the
more ADHD surfaces as a disturbed impulsivity and lesser as an attention deficit.
PMID- 21905994
TI - Chronotherapeutics and psychiatry: setting the clock to relieve the symptoms.
AB - Circadian rhythms are near 24-h cycles in a number of physiological and
behavioural parameters and the underpinning circadian timing systems is one of
the key homeostatic regulatory systems in mammalian physiology. Many common
psychiatric conditions are associated with disrupted sleep, including a common
occurrence of delayed or advanced phase sleep syndromes, which in themselves may
be indicative of dysregulated circadian timing in these disorders. In this
article we discuss the evidence for abnormal circadian rhythms in seasonal
affective disorder, bipolar disorder and attention deficit/hyperactivity
disorder. Much of this evidence suggest that these conditions are associated with
either phase delays or phase advances of core phase markers of the circadian
clock such as melatonin or core body temperature, suggesting the presence of
circadian desynchrony in these conditions. We also highlight findings that
pharmacological and/or behavioural interventions that ameliorate circadian
misalignments are efficacious in producing symptomatic relief, suggesting an
intrinsic link between the circadian and affective systems that can be
manipulated for clinical benefit.
PMID- 21905995
TI - Characterisation of different nanoparticles with a potential use for drug
delivery in neuropsychiatric disorders.
AB - OBJECTIVES: Nanoparticles are promising tools for targeted delivery of drugs in
the treatment of different diseases, including neuropsychiatric disorders.
However, they need to be carefully characterised for any adverse effects which
may occur in their presence. In this study, we evaluated the applicability of
nanoparticles that belong to three different groups: (i) aggregates from
amphiphilic diblock copolymers composed of poly(2-ethyl-2-oxazoline) (PEtOx) and
poly(2-phenyl-2-oxazoline) (PPhOx) in different ratios, (ii) stabilised polymeric
micelles (SPM) based on poly(ethylene oxide)-b-poly(propylene oxide)
bpoly(ethylene oxide) (PEO-PPO-PEO) and (iii) star-like polymer with poly(acrylic
acid) arms and branched polystyrene interior (PSPAA). METHODS: Using cultured
human neural progenitor cells, we characterised six nanoparticles (POx-9, POx-23
and POx-46 - the polyoxazoline group, SPM-F38 and SPMMS - the SPM group, and
PSPAA - the star-like polymer) for neurotoxicity and effect on neurodevelopmental
genes. Nanoparticles ability to activate complement system in blood was assessed
by ELISA. RESULTS: None of the nanoparticles exhibited neurotoxicity. However,
POx-9, POx-23, POx-46 and SPM-F38 activated complement system. POx-9 and SPM-F38
resulted in inhibition of expression of 19 and 26 out of 30 tested
neurodevelopmental genes, respectively. CONCLUSIONS: Considering the properties
of the studied nanoparticles, only PSPAA and SPMMS can be used at high
concentrations for drug delivery without compromising neurogenesis and
neurodevelopment, and activation of complement system.
PMID- 21905996
TI - Comorbidity of schizophrenia and adult attention-deficit hyperactivity disorder.
AB - OBJECTIVES: Adult ADHD is characterised by a plethora of comorbid conditions.
However, the comorbidity of schizophrenia and ADHD does not seem to be a typical
feature and is therefore under-researched. OBJECTIVE: To identify adult patients
with schizophrenia and comorbid ADHD and compare their symptomatology with
schizophrenic patients without ADHD. METHOD: Performance in specific
neuropsychological tests (set shifting, selective and sustained attention,
cognitive performance, and speed of information processing) was determined.
Additionally, important demographic data and information about the patients'
history such as the number of suicide attempts were gathered. Twenty-seven
patients were involved in this study (14 male and 13 female). Fifteen patients
were diagnosed with schizophrenia/no ADHD and twelve had both schizophrenia/ADHD.
RESULTS: We report here an increase in suicidal behaviour of patients with both
schizophrenia and ADHD compared to schizophrenia only. A significant
underperformance of the patients with ADHD comorbidity compared to patients with
schizophrenia only was also determined. CONCLUSIONS: The increased suicidal
behaviour in patients with schizophrenia and ADHD suggests the need of further
studies on mood regulation and suicidal ideations in these patients.
PMID- 21905997
TI - Repetitive transcranial magnetic stimulation (rTMS) for treatment of alcohol
dependence.
AB - OBJECTIVES: Neuroimaging studies have found that alcohol dependent patients
display dopaminergic dysfunction in the ventral striatum, which is associated
with alcohol craving. Repetitive transcranial magnetic stimulation (rTMS) was
introduced as a promising new treatment option for depression, and among other
neurobiological mechanisms, it is able to stimulate the striatal dopaminergic
system. The aim of our study was to investigate the effect of high frequency rTMS
of the left dorsolateral prefrontal cortex compared to sham stimulation on
craving and mood in alcohol dependent women. Furthermore, the impact on an
attentional blink (AB) paradigm to pictures with neutral, emotional and alcohol
related contents was proofed. METHODS: Nineteen female detoxified patients were
randomized either to a high frequency rTMS (20 Hz) over the left DLPFC (n = 10)
or a sham stimulations (n = 9) at 10 days. Alcohol craving was determined with
the Obsessive Compulsive Drinking Scale, depressive symptoms were registered by
means of Hamilton Depression Rating Scale and Beck' Depression Inventory. For the
AB paradigm an age-matched control group was investigated. RESULTS: There were no
significantly differences between both groups regarding alcohol craving or mood.
In the AB paradigm, real stimulated patients detected alcohol related T2 targets
incorrectly in comparison to the sham stimulated and control subjects. SUMMARY:
Although there were no differences in clinical parameters such as craving or mood
after real high frequency rTMS compared to sham stimulation, we found an
interesting difference between the real and the sham stimulated group and
controls in the AB paradigm indicating an increase of the AB effect to alcohol
related pictures after real stimulation. Further studies are needed to replicate
these findings and correlate them to clinical and neurophysiological data.
PMID- 21905998
TI - Transforming poison into medicine: the role of dualism in psychiatry.
AB - This article draws attention to a generalizable phenomenon called "transforming
poison into medicine," illustrating both literal and figurative applications. The
purpose of such illustrations is to support a strong philosophical claim, namely
the currently incomplete reduction (if not the ultimate irreducibility) of
intentional mind-states - e.g., beliefs, desires, volitions - to electro-chemical
brain-states. Such incomplete reduction (or irreducibility) holds profound
implications for the ineluctable role of dualism in neuroscience and psychiatry
alike.
PMID- 21905999
TI - Enhanced emotional interference on working memory performance in adults with
ADHD.
AB - OBJECTIVES: Subjects with attention-deficit/hyperactivity disorder (ADHD) suffer
from both executive dysfunction and deficits in emotion regulation. However, up
to now, there has been no research demonstrating a clear impact of emotional
dysregulation on cognitive performance in subjects with ADHD. METHODS: Male and
female adults with ADHD (n=39) and gender- and IQ-matched control subjects (n=40)
performed an emotional working memory task (n-back task). In the background of
the task, we presented neutral and negative stimuli varied in emotional saliency
(negative pictures with low saliency, negative pictures with high saliency), but
subjects were instructed to ignore these pictures and to process the working
memory task as quickly and as accurately as possible. RESULTS: Compared to
control subjects, ADHD patients showed both a general working memory deficit and
enhanced distractability by emotionally salient stimuli in terms of lower n-back
performance accuracy. In particular, while controls showed impaired WM
performance when presented with highly arousing negative background pictures, a
comparable decrement was observed in the ADHD group already with lowly arousing
pictures. CONCLUSIONS: Our results suggest that difficulties in suppressing
attention towards emotionally laden stimuli might result from deficient executive
control in ADHD.
PMID- 21906000
TI - A new approach in psychotherapy: ACT (acceptance and commitment therapy).
AB - Acceptance and commitment therapy (ACT) focuses on enhancing psychological
flexibility in the service of achieving core life values. One thing that
distinguishes ACT from other psychotherapies is its grounding in empirical
behavioural science. The results of the latter suggest that the capacity for
human language can produce seriously negative psychological effects under certain
circumstances. ACT is a therapeutic approach in which the negative effects of
human language are undermined so as to support flexible values based living. ACT
therapeutic work involves six key processes proposed under the "hexaflex" model.
ACT has received considerable empirical support at a number of different levels
of analysis.
PMID- 21906001
TI - Pilot study of the application of magnetic bead protein profiling to the study of
biomarkers in addiction research.
AB - OBJECTIVES: Proteomic technologies based on mass spectrometry are increasingly
used as a valuable tool in clinical research allowing high-throughput protein and
peptide profiling to be undertaken. Whilst previous research has focussed the
application of this novel technology on the study of patients with disorders
compared to comparable individuals from the healthy population, this current
study seeks to determine the effect of successful treatment for alcoholism on the
serum protein profile obtained. METHODS: Serum samples were collected from
patients after initial treatment for alcohol abuse and also 6 months after
treatment. The serum samples were prepared for analysis using reverse phase
magnetic bead fractionation and the resulting peptides analysed by matrix
assisted laser desorption ionisation time-of-flight (MALDI-ToF) mass
spectrometry. RESULTS: Whilst the majority of the peptides detected by this
approach exhibited constant levels between the two time points, three peptides
were elevated at the 6-month time point compared to the initial sampling.
CONCLUSIONS: Whilst disorders with very clear biological causes (such as cancer)
exhibit significantly different peptide profiles, psychiatric disorders such as
alcohol addiction which are multifactorial show less obvious changes. Despite
this the two groups of samples could statistically be distinguished by certain
peptides expression levels.
PMID- 21906002
TI - Diagnostic characteristics of inpatients in a Western African psychiatric
hospital.
AB - Little is known about psychiatric patients and psychiatric service delivery in
non-Western developing countries. Therefore, this naturalistic pilot study aimed
at analysing and describing the patient population treated in the Tanka Tanka
Psychiatric Hospital, the mental health inpatient facility of The Gambia. Most
patients were male and exhibited a wide age range of over 40 years. There were
also indicators that the hospital population consisted of two distinguished
groups: a large group of chronically ill patients and a smaller group of
psychiatric patients with very acute symptoms. Psychotic/mood disorders and
substance dependence/abuse were the most common diagnoses. In many patients
problematic cannabis use was prevalent. Such research can contribute to better
understand the needs of psychiatric patients, and help to develop continuously
improved service delivery and optimise therapeutic options.
PMID- 21906003
TI - Pharmacological treatment of adult ADHD in Europe.
AB - OBJECTIVES: It is now widely accepted that ADHD is a frequent chronic condition
with a lifelong perspective. Adult ADHD is a reliable and valid diagnosis. The
disorder and the co-morbid conditions can place a severe burden on the patients,
their families and their partners, requiring adequate treatment. METHODS: A
systematic literature search was conducted to review the available
pharmacological treatment options for adults with ADHD in European countries.
RESULTS: Supported by meta-analyses, stimulant medication is the first-line
pharmacological therapy for adult ADHD. However, from a European perspective the
pharmacological treatment options are very limited and only a minority of adults
with ADHD in European countries receives adequate treatment. CONCLUSIONS: With
reference to the epidemiological data, it seems very likely that the number of
people with ADHD in Europe seeking multimodal treatment including
pharmacotherapy, psychotherapy, coaching or other therapeutic services will
increase profoundly during the coming years.
PMID- 21906004
TI - Molecular pathophysiology of neurodegenerative disease caused by gammaPKC
mutations.
AB - OBJECTIVE: Spinocerebellar ataxia type 14 (SCA14) is an autosomal dominant
neurodegenerative disorder, which is caused by missense mutations of PRKCG gene
encoding gamma type protein kinase C (gammaPKC). To elucidate the pathophysiology
of SCA14, we have analyzed the character of mutant gammaPKC causing SCA14,
expressed in cultured cells. RESULTS: We found that most of mutant gammaPKCs were
susceptible to cytoplasmic aggregation, suggesting that this aggregate-prone is
involved in the etiology of SCA14. When expressed in cultured Purkinje cells,
mutant gammaPKC inhibited the development of dendrites in a manner independent of
its aggregate-prone, suggesting that other mechanism is implicated in the
pathogenesis of SCA14. FRAP (fluorescence recovery after photobleaching) analysis
demonstrated that mobility of mutant gammaPKC was slower than that of wild type
in Purkinje cells. Furthermore, translocation of mutant PKC was attenuated when
the cells was treated with high potassium solution. These results suggest that
mutant gammaPKC forms oligomers in Purkinje cells. In addition, enzymological
studies revealed that most of mutant gammaPKC had higher basal activity than wild
one. However, the imaging analysis of gammaPKC demonstrated that mutations slowed
the translocation of gammaPKC, which may explain the low accessibility of mutant
gammaPKC to the plasma membrane. CONCLUSION: We propose that variety of mutant
gammaPKC characters integrally and complicatedly participate in the
pathophysiology of SCA 14.
PMID- 21906005
TI - Reduction of gyrification index in the cerebellar vermis in schizophrenia: a post
mortem study.
AB - OBJECTIVES: In schizophrenia, alterations of the gyrification index (GI) have
been measured in cortical brain regions and are related to neurodevelopmental
disturbances. Cerebellar regions have been implicated in the pathophysiology of
schizophrenia; however, the GI has not been investigated here so far. METHODS:
Hence, in a post-mortem study we investigated the GI separately from the vermis,
left and right hemisphere of the medial posterior cerebellum in nine
schizophrenia patients and 10 healthy controls. GI was defined as length of the
inner contour inclusively depth of the sulci divided by length of the outer
contour of the cerebellar surface and measured by tracing contours on images
obtained by a stereological workstation. RESULTS: In the vermis, GI was reduced
in schizophrenia patients according to the methods of Zilles (P = 0.020) and
Vogeley (P = 0.015). In the hemispheres, no differences have been observed. GI
obtained by the two methods showed a high correlation (P < 0.001). Correlation
analysis showed no influence of gender, postmortem interval and age. CONCLUSIONS:
Decreased GI in the vermis of schizophrenia patients may result from
neurodevelopmental disturbances, since folding of the brain occurs mainly during
the perinatal period. MRI studies using automated GI processing in larger samples
are needed to confirm our results.
PMID- 21906006
TI - Altered mRNA expression of monoaminergic candidate genes in the blood of children
with attention deficit hyperactivity disorder and autism spectrum disorder.
AB - OBJECTIVES: In absence of objective clinical characteristics the identification
of peripheral biomarkers in neuropsychiatric disorders is highly relevant for the
diagnostic process and an individualized therapy. We analyzed mRNA-expression of
monoaminergic candidate genes (DRD4, DRD5, TPH1) in peripheral tissue of patients
with attention deficit hyperactivity disorder (ADHD) and autism spectrum
disorders (ASD), highly comorbid with ADHD, searching for possible molecular
markers for these disorders. METHODS: mRNA was obtained from children and
adolescents with ADHD (n = 51) and ASD (n = 26), diagnosed according to ICD-10
criteria, as well as healthy controls (n = 39). mRNA expression was determined
via quantitative realtime PCR (qRT-PCR) from whole blood cells. RESULTS: The
concentrations of DRD4-mRNA in the whole blood were significantly lower in ADHD
and ASD children (19 of 26 comorbid with ADHD) compared to healthy controls. ASD
patients revealed a significantly decreased DRD5 mRNA expression in comparison to
the two other groups. CONCLUSIONS: Alterations in mRNA expression patterns
provide further evidence for a relevant effect of the respective candidate genes
in the pathophysiology of ADHD. Given their potential as biomarkers mRNA
expression patterns may be useful tools in (differential-) diagnostic procedures
of ADHD and ASD. Future studies may determine the sensitivity and specificity of
these putative biomarkers in larger samples including further neuropsychiatric
diagnoses.
PMID- 21906008
TI - Availability of psychiatric medication in an urban area of The Gambia/West
Africa.
AB - The use of a wide and differentiated arsenal of psychopharmacological substances
is integral part of modern psychiatric treatment in addition to non
pharmacological interventions (e.g., psychotherapy). However, worldwide the
access to such medication can vary considerably. In this study, access to a wide
range of psychiatric medication including antidepressants, antipsychotics,
tranquilisers, mood stabilisers and ADHD medication was analysed for the Western
African country of The Gambia by surveying private pharmacies within the urban
and sub-urban areas of Banjul, the country's capital. The results show that most
of these pharmacies tend to keep a very limited range of psychiatric drugs in
stock. In many instances only a tricyclic antidepressant (e.g., amitriptyline),
the neuroleptic haloperidol and the benzodiazepine diazepam were readily
available. None of the pharmacies kept ADHD medication in stock, and only very
few had mood stabilisers. However, several pharmacies reported to be able to
obtain at request most of the drugs from international sources, including
atypical antipsychotics, SSRIs, and dual-acting antidepressants. Therefore, it
can be concluded that in rapidly growing urban centres of developing countries
exemplified by Banjul, the infrastructure for modern psychopharmacotherapy is
well established, and that the lack of immediate access to modern
psychopharmacological compounds represents not so much a genuine lack of
availability, but rather a lack of demand which may be associated with the
considerable cost-implications of such treatment and the absence of prescribers
(i.e. psychiatrists and other doctors with an expertise in modern
psychopharmacotherapy). To our knowledge this is the first such study for The
Gambia and results might be representative for the wider Western African region.
It also exemplifies the challenges psychiatry is facing in developing countries
worldwide. It is essential that government-sponsored so-called "essential
medication lists" are continuously updated in order to reflect the progress in
medical research including psychopharmacology. Further research into how to
facilitate psychopharmacotherapy is urgently needed in order to further improve
psychiatric services.
PMID- 21906007
TI - Atrophy outcomes in multicentre clinical trials on Alzheimer's disease: effect of
different processing and analysis approaches on sample sizes.
AB - Structural MRI markers may serve as surrogate endpoints in clinical trials on
disease modification in Alzheimer's disease (AD). Here, we used a longitudinal
MRI data set of total brain and cortical grey matter volumes from 66 patients
with AD recruited across seven centres of the German Dementia Competence Network.
We compared effect size estimates for the detection of a 25% reduction of atrophy
progression between a priori segmentation of brain tissue, implementing an
anatomical model of brain tissue distribution, and a posteriori segmentation that
was not informed by an anatomical model. Additionally, we compared effect size
estimates between fixed effects analysis and a mixed effects model, implementing
a random effects term to account for variable spacing of observation times. A
priori segmentation reduced the required sample size by 50%. Introducing a random
effects term for time led to an additional 50% reduction of required samples
sizes compared to fixed effects analysis. In summary, using a priori segmentation
with mixed effects analysis reduced the sample size to detect clinically relevant
treatment effects more than fourfold. The implementation of mixed effects models
will enhance the power to detect treatment effects also with other classes of
biological endpoints including molecular biomarkers of disease.
PMID- 21906009
TI - Differential patterns of disordered eating in subjects with ADHD and overweight.
AB - OBJECTIVES: Despite growing evidence for an association between overweight and
attention-deficit/hyperactivity disorder (ADHD), still little is known about the
mechanisms underlying this relationship. METHODS: Within a two (no ADHD, ADHD) *
two (normal weight, overweight) factorial design (n = 94) we tested disordered
eating behaviour in a laboratory breakfast procedure as well as delay aversion
(DA) in male children aged 7-15 years. RESULTS: While children with ADHD tended
to eat above the normal level particularly at the beginning of the meal, children
with overweight tended to eat above the normal level throughout the whole meal.
Furthermore, preference for immediately available food was predicted by parental
ratings of inattention and neuropsychological measures of DA in overweight
children, and by impulsivity in children with ADHD. CONCLUSIONS: Our results
suggest distinct neuropsychopathological pathways to abnormal eating in ADHD and
overweight. Thus, children with overweight might benefit more from specialized
treatment programmes that aim at improving attention functions while in children
with ADHD the treatment should focus on impulsivity.
PMID- 21906010
TI - ADHD and offenders.
AB - OBJECTIVES: To present the needs and psychological treatment options for
offenders with ADHD. METHODS: Key papers are discussed in relation to this topic.
RESULTS: Research suggests there is a disproportionately high number of
individuals with ADHD involved with the Criminal Justice System. UK studies among
offenders have indicated around 45% of youths and 24% of male adults screen
positive for a childhood history of ADHD, 14% of whom have persisting symptoms in
adulthood. Those with persisting symptoms have a significantly younger onset of
offending and higher rate of recidivism. ADHD was the most powerful predictor of
violent offending, even above substance misuse. They accounted for 8-fold more
institutional aggressive behavioural disturbances (critical incidents) than other
non-ADHD prisoners. Critical incidents have also been associated with personality
disordered patients screening positive for ADHD and detained under the Mental
Health Act. It is the impulsive symptoms and mood instability associated with
ADHD that most likely increase the risk of critical incidents within
institutional settings. CONCLUSIONS: There are international guidelines available
for the treatment of ADHD; however, serious offenders with ADHD will require more
complex and comprehensive interventions than their non-offending peers. In
particular psychological interventions need to be provided that contain a
prosocial competence component. One such programme, the R&R2 for ADHD Youths and
Adults, has demonstrated improvement in ADHD symptoms, anxiety, depression,
antisocial behaviour and social functioning at three month follow-up with medium
to large effect sizes.
PMID- 21906011
TI - Ex vivo differentiation of natural killer cells from human umbilical cord blood
CD34+ progenitor cells.
AB - Natural Killer (NK)-cells are peripheral blood lymphocytes that represent an
important arm of the innate immune system. NK-cells play a critical role in the
immune surveillance against tumors and virally infected cells in a major
histocompatibiliy complex (MHC)-unrestricted fashion. We have explored such
capacities of NK-cells after differentiation from hematopoietic stem and
progenitor cells derived from human umbilical cord blood. Several culture
conditions have been established supporting proliferation and subsequent
differentiation of these cells in terms of receptor expression and specific lysis
depending on the growth conditions in the presence and absence of supportive
stromal feeders. We show that acquisition of Killer Immunoglobulin Receptor (KIR)
as well as NK Cytotoxicity Receptor expressions is independent of culture
condition whereas absence of stromal feeders did not support acquisition of
CD94/NKG2A expression. Such KIR-positive/NKG2A-negative cells generated under
different culture conditions showed strong and specific cytolytic activity which
could have impact on further immunotherapeutic strategies.
PMID- 21906014
TI - Recent patents on live bacteria and their products as potential anticancer
agents.
AB - This review intends to provide a comprehensive coverage of the various patents,
published or issued, since 2007 on live or attenuated bacteria as potential
anticancer agents, as well as microbial products including toxins, enzymes,
antibiotics, various proteins and peptides as well as other small molecular
weight products. Below is a list of such published/issued patents and a summary
of the main contents of many such patents.
PMID- 21906013
TI - Update on laser photochemotherapy: an alternative for cancer treatment.
AB - Although major progress has been made in surgery, radiation, and chemotherapy for
the treatment of malignancy during the last 20 years, there has been little
improvement in the survival of patients with recurrent or advanced head and neck
cancer. Because of the ease and accessibility for surgery and their loco-regional
biological behavior, head and neck cancers serve as an ideal model to test
combined laser energy delivered via interstitial fiberoptics and chemotherapeutic
agents activated by photo-thermal energy as an alternative, less invasive
treatment for cancer. A number of investigators have shown that anthracyclines
and cisplatin are likely candidates for light or heat activation in cancer cells.
Maximum tolerated dose followed by photochemical and thermal activation via laser
fiberoptics can improve treatment by sensitizing tumor response. The higher
intratumor drug levels compared to systemic drug administration along with laser
activation should also reduce systemic toxicity. In this article the authors
analyze the concept of combining anti-cancer drugs and laser therapy and review
the clinical application. In summary, the literature available suggests
photochemotherapy with currently approved drugs and lasers may soon become an
attractive alternative for cancer treatment.
PMID- 21906015
TI - Local use-dependent sleep; synthesis of the new paradigm.
AB - The logic and potential mechanisms for a new paradigm, the local use-dependent
view of sleep as a distributed dynamic process in brain, are presented. This new
paradigm is needed because the current dominant top-down imposition of sleep on
the brain by sleep regulatory centers is either silent or is of inadequate
explanatory value for many well-known sleep phenomena, e.g. sleep inertia. Two
mechanistic falsifiable hypotheses linking sleep to cell use and the emergence of
sleep/wake states are presented. These hypotheses are not mutually exclusive and
both firmly link sleep to activity-dependent epigenetic brain plasticity and the
need to integrate and balance waking activity induced-network connectivity
changes. The views presented herein emphasize the inseparability of sleep
mechanisms from a connectivity sleep function.
PMID- 21906017
TI - Synaptic potentiation and sleep need: clues from molecular and
electrophysiological studies.
AB - Sleep is homeostatically regulated in all species that have been carefully
studied. In mammals and birds, the best characterized marker of sleep pressure is
slow wave activity (SWA), defined as the electroencephalogram (EEG) power between
0.5 and 4 Hz during NREM sleep. SWA peaks at sleep onset and decreases with time
spent asleep, and reflects the synchronous firing of cortical neurons coordinated
by an underlying slow oscillation, the fundamental cellular phenomenon of NREM
sleep. We have recently proposed the synaptic homeostasis hypothesis of sleep,
which claims that an important function of sleep is to maintain synaptic balance.
This hypothesis states that plastic processes during wake are biased towards
synaptic potentiation, resulting in a net increase in synaptic strength in many
brain circuits. Such increased synaptic weight would be unsustainable in the long
run, due to increased demand for energy, space and supplies, and risk of synaptic
saturation. Thus, according to the synaptic homeostasis hypothesis, sleep is
important to renormalize synaptic strength to a baseline level that is
sustainable and beneficial for memory and performance. There is strong evidence
that the amplitude and slope of EEG slow waves is related to the number of
neurons that enter an up state or a down state of the slow oscillation near
synchronously, and that synchrony is directly related to the number, strength,
and efficacy of synaptic connections among them. Thus, the average synaptic
strength (number or efficacy of synapses) reached in a given cortical area at the
end of the major wake phase should be reflected by the level of SWA in the EEG at
sleep onset. Moreover, according to the hypothesis, sleep SWA is not only a
useful proxy of wake-related cortical synaptic strength, but could mediate the
renormalization of neural circuits by favoring net synaptic depression, perhaps
aided by low levels of norepinephrine, serotonin, and acetylcholine during NREM
sleep. Here we briefly review human and animal studies showing that, consistent
with this hypothesis, 1) in the adult cerebral cortex wake is associated with a
net increase in synaptic strength, and sleep with a net decrease; and 2) SWA
reflects not just prior "use" of specific neuronal circuits, but rather the
occurrence of plastic changes, with increases in SWA after synaptic potentiation,
and decreases in SWA after synaptic depression. We end by discussing current
challenges to this hypothesis and future research directions.
PMID- 21906016
TI - Sleep-active neuronal nitric oxide synthase-positive cells of the cerebral
cortex: a local regulator of sleep?
AB - Our recent report demonstrated that a small subset of GABAergic interneurons in
the cerebral cortex of rodents expresses Fos protein, a marker for neuronal
activity, during SWS [1]. The population of sleep-active neurons consists of
strongly immunohistochemically-stained cells for the enzyme neuronal nitric oxide
synthase (Type I cells). By virtue of their widespread localization within the
cerebral cortex and their widespread projections to other cortical cell types,
cortical neuronal nitric oxide synthase-positive neurons are positioned to play a
central role in the local regulation of sleep waveforms within the cerebral
cortex. Here, we review the possible functions of neuronal nitric oxide synthase
and its diffusible gas product, nitric oxide, in regulating neuronal activity,
synaptic plasticity and cerebral blood flow within the context of local sleep
regulation in the cerebral cortex. We also summarize what is known, in addition
to their expression of neuronal nitric oxide synthase, about the biochemical
phenotype, synaptic connectivity and electrophysiological properties of this
novel sleep-active population of cells. Finally, we raise some critical
unanswered questions about the role of this population in local sleep regulation
within the cerebral cortex and describe some experimental approaches that might
be used to address those questions.
PMID- 21906018
TI - Thalamocortical oscillations: local control of EEG slow waves.
AB - This article starts with a brief review of the thalamocortical system
architecture, which is composed of the projecting thalamic nuclei, the thalamic
reticular nucleus, and the neocortex. Then we provide a description of the three
states of vigilances followed by a detailed review of major brain rhythms present
in the thalamocortical system, ranging from very slow to very fast oscillations.
We provide descriptions of known mechanisms and hypotheses for unknown mechanisms
for the generation of the different rhythms. The last part offers a detailed
review on sleep slow oscillation describing its properties in the thalamocortical
system, proposing a mechanism of generation of active states and a description of
their propagation.
PMID- 21906019
TI - Beyond the neuron: astroglial regulation of mammalian sleep.
AB - The cellular substrates of sleep are incompletely described, but historically
they have been thought to be neuronal. According to one view, sleep is produced
by interactions between wake-promoting neurons, sleep-inducing neurons, and sleep
inducing substances released by neurons (e.g. adenosine)[1, 2]. Alternatively,
sleep pressure may arise independently among subsets of neurons in a use
dependent fashion within the neocortex (i.e. 'local' sleep) [3, 4]. Implicit in
both views is the notion that changes in neuronal activity (or plasticity) is the
principle mechanism driving sleep homeostasis. Recent findings, however, suggest
that the glial cells known as astrocytes may play critical roles in mammalian
sleep.
PMID- 21906020
TI - Assessment of network states: local hemodynamics.
AB - Neural activity utilizes energy resources and requires replenishment of
metabolites through vascular dilation. During wake, cortical neurons usually have
depolarized membrane potentials and exhibit frequent spontaneous action
potentials, requiring an increased metabolic delivery to activated tissue and
causing blood vessels to dilate. Quiet sleep (QS) is characterized by alternating
membrane potential between a depolarized and hyperpolarized state. The
hyperpolarized state has a lower membrane potential and exhibits few action
potentials, which may be less metabolically demanding. In order to investigate
the relationship between evoked neural and metabolic responses across wake and
sleep states, we combined electrical and optical imaging techniques. We implanted
rats with screw electrodes to measure evoked response potentials (ERPs), and used
a light emitting diode (LED) and photodiode to measure evoked changes in local
hemodynamics based on hemoglobin absorption properties. During QS, hemodynamic
changes were larger in amplitude compared to wake and rapid eye movement (REM)
sleep. In this review, we explore the potential mechanisms for the larger
hemodynamic changes. Wake periods may correspond to decreased vessel compliance
as they expand to supply tissue with metabolites while sleep periods may decrease
metabolic demand and allow vessels to relax and restore compliance.
PMID- 21906022
TI - Going local: insights from EEG and stereo-EEG studies of the human sleep-wake
cycle.
AB - In the present paper, we reviewed a large body of evidence, mainly from
quantitative EEG studies of our laboratory, supporting the notion that sleep is a
local and use-dependent process. Quantitative analyses of sleep EEG recorded from
multiple cortical derivations clearly indicate that every sleep phenomenon, from
sleep onset to the awakening, is strictly local in nature. Sleep onset first
occurs in frontal areas, and a frontal predominance of low-frequency power
persists in the first part of the night, when the homeostatic processes mainly
occur, and then it vanishes. Upon awakening, we showed an asynchronous EEG
activation of different cortical areas, the more anterior ones being the first to
wake up. During extended periods of wakefulness, the increase of sleepiness
related low-EEG frequencies is again evident over the frontal derivations.
Similarly, experimental manipulations of sleep length by total sleep deprivation,
partial sleep curtailment or even selective slow-wave sleep deprivation lead to a
slow-wave activity rebound localized especially on the anterior derivations.
Thus, frontal areas are crucially involved in sleep homeostasis. According to the
local use-dependent theory, this would derive from a higher sleep need of the
frontal cortex, which in turn is due to its higher levels of activity during
wakefulness. The fact that different brain regions can simultaneously exhibit
different sleep intensities indicates that sleep is not a spatially global and
uniform state, as hypothesized in the theory. We have also reviewed recent
evidence of localized effects of learning and plasticity on EEG sleep measures.
These studies provide crucial support to a key concept in the theory, the one
claiming that local sleep characteristics should be use-dependent. Finally, we
have reported data corroborating the notion that sleep is not necessarily present
simultaneously in the entire brain. Our stereo-EEG recordings clearly indicate
that sleep and wakefulness can co-exist in different areas, suggesting that
vigilance states are not necessarily temporally discrete states. We conclude that
understanding local variations in sleep propensity and depth, especially as a
result of brain plasticity, may provide in the near future insightful hints into
the fundamental functions of sleep.
PMID- 21906021
TI - The cortical topography of local sleep.
AB - In a recent series of experiments, we demonstrated that a visuomotor adaptation
task, 12 hours of left arm immobilization, and rapid transcranial magnetic
stimulation (rTMS) during waking can each induce local changes in the topography
of electroencephalographic (EEG) slow wave activity (SWA) during subsequent non
rapid eye movement (NREM) sleep. However, the poor spatial resolution of EEG and
the difficulty of relating scalp potentials to the activity of the underlying
cortex limited the interpretation of these results. In order to better understand
local cortical regulation of sleep, we used source modeling to show that plastic
changes in specific cortical areas during waking produce correlated changes in
SWA during sleep in those same areas. We found that implicit learning of a
visuomotor adaptation task induced an increase in SWA in right premotor and
sensorimotor cortices when compared to a motor control. These same areas have
previously been shown to be selectively involved in the performance of this task.
We also found that arm immobilization resulted in a decrease in SWA in
sensorimotor cortex. Inducing cortical potentiation with repetitive transcranial
magnetic stimulation (rTMS) caused an increase in SWA in the targeted area and a
decrease in SWA in the contralateral cortex. Finally, we report the first
evidence that these modulations in SWA may be related to the dynamics of
individual slow waves. We conclude that there is a local, plasticity dependent
component to sleep regulation and confirm previous inferences made from the scalp
data.
PMID- 21906024
TI - Reciprocal interactions between wakefulness and sleep influence global and
regional brain activity.
AB - Reciprocal interactions between wakefulness and sleep substantially influence
human brain function in both states of vigilance. On the one hand, there is
evidence that regionally-specialized brain activity during wakefulness is
modulated by the interaction between a local use-dependent buildup of homeostatic
sleep pressure and circadian signals. On the other hand, brain activity during
sleep, although mainly constrained by genuine sleep oscillations, shows wake
dependent regionally-specific modulations, which are involved in the dissipation
of local homeostatic sleep pressure and memory consolidation.
PMID- 21906025
TI - State dissociation, human behavior, and consciousness.
AB - Sleep is clearly not only a whole-brain or global phenomenon, but can also be a
local phenomenon. This accounts for the fact that the primary states of being
(wakefulness, NREM sleep, and REM sleep) are not necessarily mutually exclusive,
and components of these states may appear in various combinations, with
fascinating clinical consequences. Examples include: sleep inertia, narcolepsy,
sleep paralysis, lucid dreaming, REM sleep behavior disorder, sleepwalking, sleep
terrors, out-of-body experiences, and reports of alien abduction. The incomplete
declaration of state likewise has implications for consciousness - which also has
fluid boundaries. Fluctuations in the degree of consciousness are likely
explained by abnormalities of a "spatial and temporal binding rhythm" which
normally results in a unified conscious experience. Dysfunctional binding may
play a role in anesthetic states, autism, schizophrenia, and neurodegenerative
disorders. Further study of the broad spectrum of dissociated states of sleep and
wakefulness that are closely linked with states of consciousness and
unconsciousness by basic neuroscientists, clinicians, and members of the legal
profession will provide scientific, clinical and therapeutic insights, with
forensic implications.
PMID- 21906023
TI - A local, bottom-up perspective on sleep deprivation and neurobehavioral
performance.
AB - Waking neurobehavioral performance is temporally regulated by a sleep/wake
homeostatic process and a circadian process in interaction with a time-on-task
effect. Neurobehavioral impairment resulting from these factors is task-specific,
and characterized by performance variability. Several aspects of these phenomena
are not well understood, and cannot be explained solely by a top-down
(subcortically driven) view of sleep/wake and performance regulation. We present
a bottom-up theory, where we postulate that task performance is degraded by
local, use-dependent sleep in neuronal groups subserving cognitive processes
associated with the task at hand. The theory offers explanations for the temporal
dependence of neurobehavioral performance on time awake, time on task, and their
interaction; for the effectiveness of task switching and rest breaks to overcome
the time-on-task effect (but not the effects of sleep deprivation); for the task
specific nature of neurobehavioral impairment; and for the stochastic property of
performance variability.
PMID- 21906026
TI - Oxidative stress induced mitochondrial DNA deletion as a hallmark for the drug
development in the context of the cerebrovascular diseases.
AB - Oxidative stress in the cardiovascular system, including brain microvessels
and/or parenchymal cells results in an accumulation of reactive oxygen species
(ROS) and reactive nitrogen species (RNS) compounds thus promoting leukocyte
adhesion and increasing endothelial permeability. The resulting chronic injury
stimulus results in progressive cellular hypometabolism. We propose that
hypometabolism, coupled with oxidative stressors, is responsible for most
Alzheimer disease (AD) and cerebrovascular accidents (CVAs) and appears to be a
central initiating factor for vascular abnormalities, mitochondrial damage and an
imbalance in the activity of vasoactive substances, such as different isoforms of
nitric oxide synthase (NOS), endothelin-1 (ET-1), oxidative stress markers, mtDNA
and mitochondrial enzymes in the vascular wall and in brain parenchymal cells. At
higher concentrations, ROS induces cell injury and death, which occurs during the
aging process, where accelerated generation of ROS and a gradual decline in
cellular antioxidant defense mechanisms, especially in the mitochondria. Vascular
endothelial and neuronal mitochondria are especially vulnerable to oxidative
stress due to their role in energy supply and use, which can cause a cascade of
debilitating factors such as the production of giant and/or vulnerable young
mitochondrion who's DNA has been compromised. Therefore, mitochondrial DNA
abnormalities such as overproliferation and or deletion can be used as a key
marker for diseases differentiation and effectiveness of the treatment. We
speculate that specific antioxidants such as acetyl-L-carnitine and R-alpha
lipoic acid seem to be potential treatments for AD. They target the factors that
damage mitochondria and reverse its effect, thus eliminating the imbalance seen
in energy production and restore the normal cellular function, making these
antioxidants very powerful alternate strategies for the treatment of
cardiovascular cerebrovascular as well as neurodegenerative diseases including
AD. Future potential exploration using mtDNA markers can be considered more
accurate hallmarks for diagnosis and monitoring treatment of human diseases. The
present article discusses some of the patents regarding the oxidative stress.
PMID- 21906027
TI - S6K inhibition renders cardiac protection against myocardial infarction through
PDK1 phosphorylation of Akt.
AB - In the present study, we observed a rapid and robust activation of the ribosomal
protein S6K (S6 kinase) provoked by MI (myocardial infarction) in mice. As
activation of S6K promotes cell growth, we hypothesized that increased S6K
activity contributes to pathological cardiac remodelling after MI and that
suppression of S6K activation may prevent aberrant cardiac remodelling and
improve cardiac function. In mice, administration of rapamycin effectively
suppressed S6K activation in the heart and significantly improved cardiac
function after MI. The heart weight/body weight ratio and fibrotic area were
substantially reduced in rapamycin-treated mice. In rapamycin-treated mice,
decreased cardiomyocyte remodelling and cell apoptosis were observed compared
with vehicle-treated controls. Consistently, inhibition of S6K with PF-4708671
displayed similar protection against MI as rapamycin. Mechanistically, we
observed significantly enhanced Thr308 phosphorylation and activation of Akt in
rapamycin- and PF-4708671-treated hearts. Cardiomyocyte-specific deletion of PDK1
(phosphoinositide-dependent kinase 1) and Akt1/3 abolished cardioprotection after
MI in the presence of rapamycin administration. These results demonstrate that
S6K inhibition rendered beneficial effects on left ventricular function and
alleviated adverse remodelling following MI in mice by enhancing Akt signalling,
suggesting the therapeutic value of both rapamycin and PF-4708671 in treating
patients following an MI.
PMID- 21906028
TI - Hypertonic stress regulates amino acid transport and cell cycle proteins in chick
embryo hepatocytes.
AB - Hyperosmotic stress affects cell growth, decreasing cell volume and increasing
the uptake of organic osmolytes. However, the sensitivity of embryonic cells to
osmotic treatment remains to be established. We have analysed some aspects of
cell-cycle control and amino-acid transport in hypertonic conditions during
prenatal life. The effects of hyperosmotic stress on amino-acid uptake mediated
by system A, (3)H-thymidine incorporation, and regulation of cell-cycle proteins
were analysed in chick embryo hepatocytes. Hypertonic stress increased system A
activity and caused cell-cycle delay. Effects on amino-acid transport involved
p38 kinase activation and new carrier synthesis. Cyclin D1, cdk4 (cyclin
dependent kinase 4) and PCNA (proliferating-cell nuclear antigen) levels
decreased, whereas cyclin E, p21 and p53 levels were unchanged. Incorporation of
(3)H-leucine indicated decreased synthesis of cyclin D1. In contrast, analysis of
mRNA by qRT-PCR (quantitative real-time PCR) showed a net increase of cyclin D1
transcripts, suggesting post-transcriptional regulation. The data show that chick
embryo hepatocytes respond to hyperosmotic conditions by arresting cell growth to
prevent DNA damage and increasing osmolyte uptake to regulate cell volume,
indicating that the adaptive response to environmental stress exists during
prenatal life.
PMID- 21906029
TI - Neurohumoral and haemodynamic profile in postural tachycardia and chronic fatigue
syndromes.
AB - Several studies recognized an overlap between CFS (chronic fatigue syndrome) and
POTS (postural tachycardia syndrome). We compared the autonomic and neurohormonal
phenotype of POTS patients with CFS (CFS-POTS) to those without CFS (non-CFS
POTS), to determine whether CFS-POTS represents a unique clinical entity with a
distinct pathophysiology. We recruited 58 patients with POTS, of which 47 were
eligible to participate. A total of 93% of them reported severe fatigue [CIS
(Checklist of Individual Strength), fatigue subscale >36], and 64% (n=30)
fulfilled criteria for CFS (CFS-POTS). The prevalence of CFS symptoms (Centers
for Disease Control and Prevention criteria) was greater in the CFS-POTS group,
but the pattern of symptoms was similar in both groups. Physical functioning was
low in both groups (RAND-36 Health Survey, 40+/-4 compared with 33+/-3; P=0.153),
despite more severe fatigue in CFS-POTS patients (CIS fatigue subscale 51+/-1
compared with 43+/-3; P=0.016). CFS-POTS patients had greater orthostatic
tachycardia than the non-CFS-POTS group (51+/-3 compared with 40+/-4 beats/min;
P=0.030), greater low-frequency variability of BP (blood pressure; 6.3+/-0.7
compared with 4.8+/-1.0 mmHg2; P=0.019), greater BP recovery from early to late
phase II of the Valsalva manoeuvre (18+/-3 compared with 11+/-2 mmHg; P=0.041)
and a higher supine (1.5+/-0.2 compared with 1.0+/-0.3 ng/ml per.h; P=0.033) and
upright (5.4+/-0.6 compared with 3.5+/-0.8 ng/ml per h; P=0.032) PRA (plasma
renin activity). In conclusion, fatigue and CFS-defining symptoms are common in
POTS patients. The majority of them met criteria for CFS. CFS-POTS patients have
higher markers of sympathetic activation, but are part of the spectrum of POTS.
Targeting this sympathetic activation should be considered in the treatment of
these patients.
PMID- 21906030
TI - OP9-DL1 cell co-culture enhances anti-tumour immunity of mouse bone marrow
derived dendritic cells.
AB - DCs (dendritic cells) are the strongest professional APCs (antigen-presenting
cells) to initiate immune responses against pathogens, but they are usually
incompetent in initiating efficient immune responses in the progress of solid
tumours. We have shown that Notch signalling plays a pivotal role in DC-dependent
anti-tumour immunity. Compared with the control DCs, OP9-DL1 (Delta-like1) cell
co-cultured DCs gained increased tumour suppression activity when inoculated
together with tumour cells. This was probably due to the activation of Notch
signalling in DCs enhancing their ability to evoke anti-tumour immune responses
in solid tumours. Indeed, the OP9-DL1 cell co-cultured DCs expressed higher
levels of MHC I, MHC II, CXCR4 (CXC chemokine receptor 4), CCR7 (CC chemokine
receptor 7), IL-6 (interleukin 6), IL-12 and TNFalpha (tumour necrosis factor
alpha), and a lower level of IL-10 than control DCs, resulting in more efficient
DC migration and T-cell activation in vivo and in vitro. T-cells stimulated by
OP9-DL1 cells co-cultured DCs more efficiently; and were cytotoxic against tumour
cells, in contrast with control DCs. These results indicated that up-regulation
of Notch signalling in DCs by co-culturing with OP9-DL1 cells enhances DC
dependent anti-tumour immune reactions, making the Notch signalling pathway a
target for the establishment of the DC-based anti-tumour immunotherapies.
PMID- 21906031
TI - The conflicting meaning of transparency.
PMID- 21906034
TI - Profile of Sheena Josselyn. Interviewed by Kristie Nybo.
PMID- 21906036
TI - A decade after the genome, bioinformatics comes of age.
PMID- 21906037
TI - Clone selection in weakly adherent cells.
PMID- 21906038
TI - Minor variant detection in amplicons using 454 massive parallel pyrosequencing:
experiences and considerations for successful applications.
AB - Ultra-deep sequencing (UDS) of amplicons is a major application for next
generation sequencing technologies, even more so for the 454 Genome Sequencer
FLX. Especially for this application, errors that might be introduced during any
of the sample processing or data analysis steps should be avoided or at least
recognized, as they might lead to aberrant sequence variant calling. Since 454
pyrosequencing relies on PCR-driven target amplification, it is key to
differentiate errors introduced during the amplification step from genuine
minority variants. Thereto, optimal primer design is imperative because primer
selection, primer dimer formation, and nonspecific binding may all affect the
quality and outcome of amplicon-based deep sequencing. Also, other intrinsic PCR
characteristics including amplification drift and the formation of secondary
structures may influence sequencing data quality. We illustrate these phenomena
using real life case studies and propose experimental and analytical evidence
based solutions for effective practice. Furthermore, because accuracy of the DNA
polymerase is vital for reliable UDS results, a comparative analysis of error
profiles from seven different DNA polymerases was performed and experimentally
assessed in parallel by 454 sequencing. Finally, intra and interrun variability
evaluation of the 454 sequencing protocol revealed highly reproducible results in
amplicon-based UDS.
PMID- 21906039
TI - The use of melting curves as a novel approach for validation of real-time PCR
instruments.
AB - Validation of PCR thermal cycler performance is crucial in order to obtain
reliable results. In this study, high resolution melting curve (HRM) analysis is
presented as a novel validation method for real-time PCR instruments. By applying
HRM analysis using a defined PCR amplicon and EvaGreen dye, information about the
temperature accuracy and thermal homogeneity of the heating block was obtained.
This pilot study shows the potential of our technique for temperature validation
of real-time quantitative PCR thermal cyclers. Our data correlated well with the
temperature accuracy data obtained from the Mobile Temperature Acquisition System
(MTAS; r2 = 0.93), which conforms to the National Institute of Standards and
Technology criteria, and our method was reproducible in independent runs (r2 =
0.95). The advantages of this HRM-based method include: (i) temperature
measurement under real world conditions in the reaction liquid in closed reaction
tubes; (ii) temperature measurement of all wells; and (iii) applicability to all
real-time PCR instruments capable of HRM analysis.
PMID- 21906040
TI - In-solution staining and arraying method for the immunofluorescence detection of
gammaH2AX foci optimized for clinical applications.
AB - Immunofluorescence quantification of gammaH2AX foci is a powerful approach to
quantify DNA double-strand breaks induced by cancer therapy or accidental
exposure to ionizing radiation. Here we report a modification to the gammaH2AX
immunofluorescence labeling method, whereby cells are stained in-solution before
being spotted and fixed onto microscope slides. Our modified method allows
arraying of 16 patient samples/slide ready for foci counting in 2 h and
demonstrated reliably detection of gammaH2AX foci in mononuclear cells prepared
from patients who had undergone radiation therapy.
PMID- 21906041
TI - The fluorescent dyes TO-PRO-3 and TOTO-3 iodide allow detection of microbial
cells in soil samples without interference from background fluorescence.
AB - Visualization of microorganisms in soils and sediments using fluorescent dyes is
a common method in microbial ecology studies, but is often hampered by strong
nonspecific background fluorescence that can mask genuine cellular signals. The
cyanine nucleic acid binding dyes TO-PRO-3 and TOTO-3 iodide enabled a clear
detection of microbial cells in a mineral soil, while nonspecific background was
greatly reduced compared with commonly used dyes. When used as counterstains for
fluorescence in situ hybridization (FISH), both cyanine dyes allowed
identification of microbial cells despite strong background from nonspecifically
bound probes. TO-PRO-3 and TOTO-3 are easy to use and represent superior
alternatives for detecting microorganisms in soil environments.
PMID- 21906042
TI - A strategy for purifying glutathione S-transferase in the presence of sodium
dodecyl sulfate.
AB - Glutathione S-transferase (GST) is widely used to prepare and purify GSTtagged
fusion proteins. Although GST improves protein solubility, detergents must often
be used to achieve protein solubilization from bacterial lysates. However,
purification of GST by affinity chromatography cannot be achieved in the presence
of even low concentrations of the detergent sodium dodecyl sulfate (SDS). Here we
show that 2-methyl-2,4-pentanediol (MPD) can prevent SDS from interfering with
purification of GST, thus enabling purification of proteins that require SDS to
improve their solubility.
PMID- 21906043
TI - A rapid and cost-effective method for sequencing pooled cDNA clones by using a
combination of transposon insertion and Gateway technology.
AB - Large-scale cDNA-sequencing projects require an efficient strategy for mass
sequencing. Here we describe a method for sequencing pooled cDNA clones using a
combination of transposon insertion and Gateway technology. Our method reduces
the number of shotgun clones that are unsuitable for reconstruction of cDNA
sequences, and has the advantage of reducing the total costs of the sequencing
project.
PMID- 21906045
TI - Age-related penetrance of hereditary atypical hemolytic uremic syndrome.
AB - Hereditary atypical hemolytic uremic syndrome (aHUS), a dramatic disease
frequently leading to dialysis, is associated with germline mutations of the CFH,
CD46, or CFI genes. After identification of the mutation in an affected aHUS
patient, single-site gene testing of relatives is the preventive care
perspective. However, clinical data for family counselling are scarce. From the
German-Speaking-Countries-aHUS-Registry, 33 index patients with mutations were
approached for permission to offer relatives screening for their family-specific
mutations and to obtain demographic and clinical data. Mutation screening was
performed using direct sequencing. Age-adjusted penetrance of aHUS was calculated
for each gene in index cases and in mutation-positive relatives. Sixty-one
relatives comprising 41 parents and 20 other relatives were enrolled and
mutations detected in 31/61. In total, 40 research participants had germline
mutations in CFH, 19 in CD46 and in 6 CFI. Penetrance at age 40 was markedly
reduced in mutation-positive relatives compared to index patients overall with
10% versus 67% (P < 0.001); 6% vs. 67% (P < 0.001) in CFH mutation carriers and
21% vs. 70% (P= 0.003) in CD46 mutation carriers. Age-adjusted penetrance for
hereditary aHUS is important to understand the disease, and if replicated in the
future, for genetic counselling.
PMID- 21906046
TI - Investigating epilepsy in Africa: 10 years of data collection using a
standardized questionnaire in 2,269 peoples with epilepsy.
AB - PURPOSE: The need for comparable epidemiologic data on epilepsy from various
locations in tropical areas has led in 1994 to the creation of a questionnaire
able to standardize information. The Limoges' questionnaire was created to
collect information independently of the objectives of each survey performed, and
since it has been employed in various continents under tropics latitude. In
Africa between 1994 and 2004, 13 epidemiologic surveys in 12 countries were
performed by this means. Authors of these works were solicited to communicate
their raw data on people with epilepsy (PWE). METHODS: Information collected was
aggregated in a database upon which operations of data management were processed.
Undernutrition status was determined using an anthropologic method, according
World Health Organization (WHO) recommendations. Factors associated with
undernutrition and absence of treatment by phenobarbitone were searched for by
using multivariate logistic regression. KEY FINDINGS: Information about 2,269 PWE
was collected. Mean treatment gap and undernutrition were determined,
respectively, to be 30.6% [95% confidence interval (95% CI) 28.7-32.6] and 25.4%
(95% CI 22.7-28.2). Factors significantly associated with undernutrition and not
being treated with phenobarbitone were determined. SIGNIFICANCE: Despite the
different purposes of each study, we were able to pool information in order to
characterize and study particular traits of PWE in Africa. Some items of
particular importance should be collected systematically and will be highlighted
in a newer version of this questionnaire. Because many surveys were undertaken
using this tool in tropical areas, a backward compatibility should be ensured.
PMID- 21906047
TI - A novel deletion in ZBTB24 in a Lebanese family with immunodeficiency,
centromeric instability, and facial anomalies syndrome type 2.
AB - The immunodeficiency, centromeric instability and facial anomalies (ICF) syndrome
is a rare autosomal recessive disease characterized by targeted chromosome
breakage, directly related to a genomic methylation defect. It manifests with
phenotypic and clinical variability, with the most consistent features being
developmental delay, facial anomalies, cytogenetic defects and immunodeficiency
with a reduction in serum immunoglobulin levels. From the molecular point of
view, ICF syndrome was always divided into ICF type I (ICF1) and ICF type 2
(ICF2). Mutations in DNMT3B gene are responsible for ICF1, while mutations in
ZBTB24 have been reported to be responsible for ICF2. In this study, we describe
a Lebanese family with three ICF2 affected brothers. Sanger sequencing of the
coding sequence of ZBTB24 gene was conducted and revealed a novel deletion:
c.396_397delTA (p.His132Glnfs*19), resulting in a loss-of-function of the
corresponding protein. ZBTB24 belongs to a large family of transcriptional
factors and may be involved in DNA methylation of juxtacentromeric DNA. Detailed
molecular and functional studies of the ZBTB24 and DNMT3B genes are needed to
understand the pathophysiology of ICF syndrome.
PMID- 21906048
TI - Mapping a mouse limbic seizure susceptibility locus on chromosome 10.
AB - PURPOSE: Mapping seizure susceptibility loci in mice provides a framework for
identifying potentially novel candidate genes for human epilepsy. Using C57BL/6J
* A/J chromosome substitution strains (CSS), we previously identified a locus on
mouse chromosome 10 (Ch10) conferring susceptibility to pilocarpine, a muscarinic
cholinergic agonist that models human temporal lobe epilepsy by inducing initial
limbic seizures and status epilepticus (status), followed by hippocampal cell
loss and delayed-onset chronic spontaneous limbic seizures. Herein we report
further genetic mapping of pilocarpine quantitative trait loci (QTLs) on Ch10.
METHODS: Seventy-nine Ch10 F(2) mice were used to map QTLs for duration of
partial status epilepticus and the highest stage reached in response to
pilocarpine. Based on those results we created interval-specific congenic lines
to confirm and extend the results, using sequential rounds of breeding
selectively by genotype to isolate segments of A/J Ch10 genome on a B6
background. KEY FINDINGS: Analysis of Ch10 F(2) genotypes and seizure
susceptibility phenotypes identified significant, overlapping QTLs for duration
of partial status and severity of pilocarpine-induced seizures on distal Ch10.
Interval-specific Ch10 congenics containing the susceptibility locus on distal
Ch10 also demonstrated susceptibility to pilocarpine-induced seizures, confirming
results from the F(2) mapping population and strongly supporting the presence of
a QTL between rs13480781 (117.6 Mb) and rs13480832 (127.7 Mb). SIGNIFICANCE: QTL
mapping can identify loci that make a quantitative contribution to a trait, and
eventually identify the causative DNA-sequence polymorphisms. We have mapped a
locus on mouse Ch10 for pilocarpine-induced limbic seizures. Novel candidate
genes identified in mice can be investigated in functional studies and tested for
their role in human epilepsy.
PMID- 21906049
TI - Abnormalities of lexical and semantic processing in left temporal lobe epilepsy:
an fMRI study.
AB - PURPOSE: We examined the efficiency of lexical and semantic processing and
associated brain activation using functional magnetic resonance imaging (fMRI) in
patients with left temporal lobe epilepsy (TLE). METHODS: Twenty patients with
left TLE (10 with hippocampal sclerosis, the HS group; and 10 with nonlesional MR
scans, the NL group) and 12 healthy controls underwent an event-related fMRI
analysis during a lexical decision task (LDT). Lexical and semantic processing
were examined by comparing behavioral and imaging data associated with words and
nonwords (lexicality) or with concrete and abstract words (concreteness). KEY
FINDINGS: Although the control group showed greater activation associated with
word stimuli than with nonword stimuli in a bilateral language network, both TLE
groups showed greater activation for nonword stimuli than word stimuli, including
greater activation of inferior frontal language areas (bilaterally in the HS
group and left-lateralized in the NL group). The TLE groups also exhibited
differential activation patterns during the processing of abstract and concrete
words compared to controls, and compared to each other. For abstract words, in
particular, the HS group showed activation of frontal areas typically associated
with executive functions, whereas the NL group showed activation of more
posterior semantic processing regions. SIGNIFICANCE: These results suggest that
left TLE is associated with altered functional organization of cortical networks
involved in lexical and semantic processing. In addition, the organization
observed varies as a function of hippocampal pathology.
PMID- 21906050
TI - Isovaline, a rare amino acid, has anticonvulsant properties in two in vitro
hippocampal seizure models by increasing interneuronal activity.
AB - PURPOSE: We investigated whether RS-isovaline, a unique amino acid found in
carbonaceous meteorites and presumed extraterrestrial, has anticonvulsant
properties in rat hippocampal slices in vitro. METHODS: Extracellular recordings
were obtained in the rat hippocampal CA1 pyramidal layer in two in vitro seizure
models: perfusion of low (0.25 mm) Mg(2+) and high (5 mm) K(+) (LM/HK), or 100
MUm 4-aminopyridine (4-AP). To investigate the underlying mechanisms of isovaline
action, whole-cell recordings were obtained from CA1 pyramidal neurons and
stratum oriens interneurons during 4-AP blockade of K(+) channels. KEY FINDINGS:
Perfusion of LM/HK produced seizure-like events (SLEs) or stimulus-evoked primary
afterdischarges (PADs) with amplitudes of 0.9 +/- 0.1 mV lasting 80 +/- 14 s.
Application of isovaline (250 MUm) for 20-30 min abolished SLEs and PADs or
attenuated seizure amplitude and duration by 57.0 +/- 9.0% and 57.0 +/- 12.0%,
respectively. Similar effects were seen with isovaline in the 4-AP seizure model.
Isovaline alone increased interneuronal spontaneous spiking from 0.9 +/- 0.3 to
3.2 +/- 0.9 Hz, increased input resistance by 21.6 +/- 8.1%, and depolarized the
resting membrane potential by 8.0 +/- 1.5 mV; no changes in the firing or
electrical properties of pyramidal neurons were observed. Coapplication of 4-AP
and isovaline increased interneuronal spontaneous spiking from 1.0 +/- 0.6 to 2.6
+/- 0.8 Hz, whereas pyramidal neuronal spiking activity decreased from 0.6 +/-
0.4 to 0.2 +/- 0.1 Hz. SIGNIFICANCE: Isovaline exhibited anticonvulsant
properties in two hippocampal seizure models. This may lead to the development of
a new class of anticonvulsants based on an unusual mechanism of action of this
presumed extraterrestrial amino acid.
PMID- 21906051
TI - Differential effects of atorvastatin treatment and withdrawal on
pentylenetetrazol-induced seizures.
AB - PURPOSE: Statins are selective inhibitors of 3-hydroxyl-3-methyl-glutaryl
coenzyme A (HMG-CoA) reductase, the rate-limiting enzyme of the mevalonate
pathway for cholesterol biosynthesis. Increasing evidence indicates that statins,
particularly atorvastatin, are neuroprotective in several conditions, including
stroke, cerebral ischemia, traumatic brain injury, and excitotoxic amino acid
exposure. However, only a few studies have investigated whether statins modulate
seizure activity. In the current study we investigated whether atorvastatin or
simvastatin alters the seizures induced by pentylenetetrazol (PTZ), a classical
convulsant. METHODS: Adult male Wistar rats were treated with atorvastatin or
simvastatin for 7 days (10 mg/kg/day). Seizure activity was induced by PTZ (60
mg/kg, i.p.), and evaluated by behavioral and electrographic methods. Cholesterol
levels were determined by a standard spectrophotometric method. Blood-brain
barrier (BBB) permeability was assessed by the fluorescein method. Atorvastatin
levels in the plasma and cerebral cortex were determined by high-performance
liquid chromatography tandem mass spectrometry. KEY FINDINGS: We found that oral
atorvastatin treatment increased the latency to PTZ-induced generalized seizures.
In contrast, when the 7-day atorvastatin treatment was withheld for 1 day (i.e.,
atorvastatin withdrawal), PTZ-induced seizures were facilitated, as evidenced by
a decrease in the latency to clonic and generalized tonic-clonic seizures induced
by PTZ. In contrast, simvastatin treatment for 7 days (10 mg/kg/day, p.o.), with
or without withdrawal, did not alter PTZ-induced seizures. Interestingly, the
effects of atorvastatin treatment and withdrawal were not accompanied by changes
in plasma or cerebral cortex cholesterol levels or in the BBB permeability.
Atorvastatin levels in the plasma and cerebral cortex after 7 days of treatment
were above the half maximal inhibitory concentration for inhibition of HMG-CoA
reductase, whereas atorvastatin was not detectable in the plasma or cerebral
cortex following a 24 h washout period (atorvastatin withdrawal). SIGNIFICANCE:
We conclude that atorvastatin treatment and withdrawal have differential effects
on pentylenetetrazol-induced seizures, which are not related to changes in plasma
or cerebral cortex cholesterol levels or in BBB permeability. Additional studies
are necessary to evaluate the molecular mechanisms underlying our findings as
well as its clinical implications.
PMID- 21906053
TI - Scuba diving is not associated with high prevalence of headache: a cross
sectional study in men.
AB - OBJECTIVE: To study the prevalence of cephalalgia in male divers. BACKGROUND:
Scuba divers work in stressing environments and have a high cerebrovascular risk,
both conditions which are supposed to contribute to the genesis of cephalalgia.
However, no study assessed expressly the prevalence of cephalalgia in divers, to
date. METHODS: We enrolled 201 professional male scuba divers (41.0 +/- 7.2
years) and controls (41.1 +/- 7.2 years), and the risk ratio and its
corresponding 95% confidence of suffering from cephalalgia was calculated.
RESULTS: We found that 16% of divers and 22% of matched controls were affected by
cephalalgia (P > .05), accounting for a risk ratio of 0.71 (95% CI 0.47-1.07).
Divers reported fewer attacks per month (1.8 +/- 0.7, n = 32) with regard to
controls (2.5 +/- 1.8, n = 45) (P = .02), but no differences concerning age at
onset and severity were detected (P > .05). Divers suffered from migraine,
migraine with aura and tension headache as much as controls. CONCLUSION: Scuba
diving, an intense physical activity characterized by cerebral micro-vascular
distress, is not associated with cephalalgia, as a whole, or migraine, tension
headache or migraine with aura, more commonly than in a matched, non-diving,
population. A longitudinal study may disclose if diving may act as a protective
factor in the occurrence of crises of cephalalgia.
PMID- 21906052
TI - Seizure-related cardiac repolarization abnormalities are associated with ictal
hypoxemia.
AB - PURPOSE: Cardiac arrhythmias and respiratory disturbances have been proposed as
likely causes for sudden unexpected death in epilepsy. Oxygen desaturation occurs
in one-third of patients with localization-related epilepsy (LRE) undergoing
inpatient video-electroencephalography (EEG) telemetry (VET) as part of their
presurgical workup. Ictal-related oxygen desaturation is accompanied by
hypercapnia. Both abnormal lengthening and shortening of the corrected QT
interval (QTc) on electrocardiography (ECG) have been reported with seizures. QTc
abnormalities are associated with increased risk of sudden cardiac death. We
hypothesized that there may be an association between ictal hypoxemia and cardiac
repolarization abnormalities. METHODS: VET data from patients with refractory LRE
were analyzed. Consecutive patients having at least one seizure with accompanying
oxygen desaturation below 90% and artifact-free ECG data were selected. ECG
during the 1 min prior to seizure onset (PRE) and during the ictal/postictal
period with accompanying oxygen desaturation below 90% (DESAT) was analyzed.
Consecutive QT and RR intervals were measured. In the same patients, DESAT
seizures were compared with seizures without accompanying oxygen desaturation
below 90% (NODESAT). For NODESAT seizures, QT and RR intervals for 2 min after
seizure onset were measured. KEY FINDINGS: Thirty-seven DESAT seizures were
analyzed in 17 patients with localization-related epilepsy. A total of 2,448 QT
and RR intervals were analyzed during PRE. During DESAT, 1,554 QT and RR
intervals were analyzed. Twelve of the 17 patients had at least one NODESAT
seizure. A total of 19 NODESAT seizures were analyzed, including 1,558 QT and RR
intervals during PRE and 3,408 QT and RR intervals during NODESAT. The odds ratio
for an abnormally prolonged (>457 ms) QTcH (Hodges correction method) during
DESAT relative to PRE was 10.64 (p < 0.0001). The odds ratio for an abnormally
shortened (<372 ms) QTcH during DESAT relative to PRE was 1.65 (p < 0.0001).
Seizure-related shortening and prolongation of QTc during DESAT were also
observed when Fridericia correction of the QT was applied. During DESAT seizures,
the mean range of QT values (QTr) (61.14 ms) was significantly different from
that during PRE (44.43 ms) (p = 0.01). There was a significant association
between DESAT QTr and oxygen saturation nadir (p = 0.025) and between DESAT QTr
and duration of oxygen desaturation (p < 0.0001). Both QTcH prolongation and
shortening also occurred with NODESAT seizures. A seizure-associated prolonged
QTcH was more likely during DESAT than NODESAT, with an odds ratio of 4.30 (p <
0.0001). A seizure-associated shortened QTcH was more likely during DESAT than
NODESAT with an odds ratio of 2.13 (p < 0.0001). SIGNIFICANCE: We have shown that
the likelihood of abnormal QTcH prolongation is increased 4.3-fold with seizures
that are associated with oxygen desaturation when compared with seizures that are
not accompanied with oxygen desaturation. The likelihood of abnormally shortened
QTcH increases with seizures that are accompanied by oxygen desaturation with an
odds ratio of 2.13 compared with that in seizures without desaturations. There is
a significant association between the depth and duration of oxygen desaturation
and QTr increase. These findings may be related to the pathophysiology of SUDEP.
PMID- 21906054
TI - Does low atmospheric pressure independently trigger migraine?
AB - Although atmospheric weather changes are often listed among the common migraine
triggers, studies to determine the specific weather component(s) responsible have
yielded inconsistent results. Atmospheric pressure change produces air movement,
and low pressure in particular is associated with warm weather, winds, clouds,
dust, and precipitation, but how this effect might generate migraine is not
immediately obvious. Humans are exposed to low atmospheric pressure in situations
such as ascent to high altitude or traveling by airplane in a pressurized cabin.
In this brief overview, we consider those conditions and experimental data
delineating other elements in the atmosphere potentially related to migraine
(such as Saharan dust). We conclude that the available data suggest low
atmospheric pressure unaccompanied by other factors does not trigger migraine.
PMID- 21906056
TI - Periodontal regeneration following implantation of cementum and periodontal
ligament-derived cells.
AB - BACKGROUND AND OBJECTIVE: The periodontal regeneration of bone defects is often
unsatisfactory and could be largely improved by cell therapy. Therefore, the
purpose of this study was to evaluate the regenerative potential of implanting
canine cementum-derived cells (CDCs) and canine periodontal ligament-derived
cells (PDLDCs) in experimentally created periodontal intrabony defects in beagle
dogs. MATERIAL AND METHODS: Cells were obtained from premolars extracted from
four beagle dogs. Three-wall intrabony periodontal defects, 3 mm wide and 4 mm
deep, were surgically created in their second and fourth premolars and plaque was
allowed to accumulate. Once the defects were surgically debrided, periodontal
regeneration was attempted by random implantation of collagen sponges embedded
with 750,000 CDCs, 750,000 PDLDCs or culture medium. After 3 mo of healing,
specimens were obtained and periodontal regenerative outcomes were assessed
histologically and histometrically. RESULTS: The histological analysis showed
that a minimal amount of new cementum was formed in the control group (1.56 +/-
0.39 mm), whereas in both test groups, significantly higher amounts of new
cementum were formed (3.98 +/- 0.59 mm in the CDC group and 4.07 +/- 0.97 mm in
the PDLDC group). The test groups also demonstrated a larger dimension of new
connective tissue, resulting in a significantly more coronal level of
histological attachment. CONCLUSION: This proof-of-principle study suggests that
cellular therapy, in combination with a collagen sponge, promoted periodontal
regeneration in experimental intrabony periodontal defects.
PMID- 21906057
TI - Association of the FcgammaRIIB-nt645+25A/G polymorphism with the expression level
of the FcgammaRIIb receptor, the antibody response to Porphyromonas gingivalis
and the severity of periodontitis.
AB - BACKGROUND AND OBJECTIVE: Human FcgammaRIIb is an immunoglobulin G (IgG) receptor
that inhibits the activation of B lymphocytes through cross-linking with the B
cell receptor via immune complexes. This function acts as a negative regulator of
antibody production. Our previous studies have demonstrated the gene
polymorphisms in FcgammaRIIb to be associated with periodontitis. In this study,
we presented a polymorphism--FcgammaRIIB-nt645+25A/G (rs2125685)--in intron 4 and
analyzed its functional relevance to periodontitis. We examined whether the
FcgammaRIIB-nt645+25A/G polymorphism is associated with periodontal parameters,
the IgG response to the periodontopathic bacterium Porphyromonas gingivalis
and/or the expression level of FcgammaRIIb on peripheral B lymphocytes. MATERIAL
AND METHODS: Thirty-two patients with chronic periodontitis were genotyped with
nested PCR and by direct sequencing of genome DNA. The levels of serum IgG and of
specific IgG subclasses for P. gingivalis sonicate and for the recombinant 40-kDa
outer membrane protein (OMP) were determined. The expression levels of
FcgammaRIIb on peripheral B lymphocytes from 19 healthy donors were measured by
flow cytometry. RESULTS: Patients with the FcgammaRIIB-nt645+25AA genotype showed
significantly higher mean clinical attachment levels compared to patients with
the FcgammaRIIB-nt645+25GG genotype (p = 0.003) and a significantly lower IgG
response to P. gingivalis sonicate and to the 40-kDa OMP. The expression levels
of FcgammaRIIb protein on the cell surface in peripheral B lymphocytes were
higher in healthy donors with the FcgammaRIIB-nt645+25AA genotype than in those
with the FcgammaRIIB-nt645+25GG genotype (p = 0.03). CONCLUSION: The higher
expression levels of FcgammaRIIb in subjects with the FcgammaRIIB-nt645+25AA
genotype may induce a lower level of production of IgG against P. gingivalis and
therefore more severe periodontitis.
PMID- 21906058
TI - Hip dysplasia.
PMID- 21906059
TI - Treatment of hip dysplasia.
AB - Hip dysplasia is a common orthopaedic developmental disorder of dogs. This paper
reviews the treatment options available for management of the condition in the
skeletally immature and adult dog.
PMID- 21906060
TI - Extraction of urethral calculi by transabdominal cystoscopy and urethroscopy in
nine dogs.
AB - OBJECTIVES: To describe a minimally invasive technique for treating urethral
obstructions in male dogs and to review the postoperative results. METHODS: All
dogs (n=9) had urethral obstruction due to calculi. Obstructions were verified by
radiographic and ultrasonographic examinations. Dogs with impaired kidney
function were not included in the study. A 5-mm diameter trocar and cannula were
placed in the ventral midline, 2 cm cranial to the umbilicus, allowing placement
of a 10-mm diameter cannula under visual guidance, adjacent to the apex of the
bladder. The bladder was then partially exteriorised and sutured to the skin. A 5
mm diameter cystoscopy sheath was introduced into the bladder lumen and advanced
into the urethra. Continuous retrograde flushing was used to dislodge the calculi
from the site of obstruction and collect them upstream. RESULTS: The nine dogs
were followed up for a minimum of 6 months. No major postoperative complications
were identified. One dog exhibited transient macroscopic haematuria (for 3 weeks
postoperatively). All urethral calculi were removed in the nine dogs. No
recurrence was found during the follow-up period. CLINICAL SIGNIFICANCE: A
minimally invasive approach is used to treat urethral obstructions resulting from
calculi in the male dogs.
PMID- 21906061
TI - Hyaluronidase shortens levobupivacaine lumbosacral epidural anaesthesia in dogs.
AB - OBJECTIVES: The aim of this study was to evaluate the effects of hyaluronidase
added to levobupivacaine in lumbosacral epidural blockade in dogs. METHODS: Six
adult mixed breed dogs (two males and four females) weighing 7 to 14 kg (10.5 +/
1.5 kg) and aged two to five years were used. Each dog received both treatments
in random order: levobupivacaine alone (LBA; n=6) or levobupivacaine plus
hyaluronidase (LBH; n=6) administered in the lumbosacral epidural space. Systemic
effects, spread and duration of anaesthesia and motor block were determined
before treatment and at predetermined intervals. RESULTS: The duration of local
anaesthesia was 90 +/-10 minutes (P=0.001) for LBH treatment and 150 +/-15
minutes for LBA treatment. In the LBH treatment, anaesthesia reached the T12 to
T13 dermatome and in the LBA treatment it reached the T11 to T12 dermatome in all
animals in 5 and 15 minutes, respectively. Complete motor blockade was 75 +/-12
minutes (P=0.01) and 120 +/-15 minutes for LBH and LBA treatments, respectively.
CLINICAL SIGNIFICANCE: Hyaluronidase added to levobupivacaine significantly
shortens the duration of epidural anaesthesia with the same dermatome spread into
the epidural space in dogs.
PMID- 21906062
TI - A retrospective study of the rate of postoperative septic arthritis following 353
elective arthroscopies.
AB - OBJECTIVE: To report the rate of postoperative septic arthritis following
elective diagnostic and surgical arthroscopy in small animals within a clinical
setting. METHODS: A retrospective case review from the case log of one veterinary
surgeon. RESULTS: Of the 353 procedures included, performed on 305 animals, there
were three cases of postoperative sepsis (0.85%). CLINICAL SIGNIFICANCE:
Interventional arthroscopy including both diagnostic and surgical arthroscopy in
small animals is a safe technique and poses a risk of postoperative sepsis of
approximately 1%.
PMID- 21906063
TI - Computed tomographic findings in canine pyothorax and correlation with findings
at exploratory thoracotomy.
AB - OBJECTIVES: To describe computed tomographic (CT) findings in canine spontaneous
pyothorax and compare them to surgical findings and to assess the utility of CT
in guiding case management. METHODS: Records from 2003 to 2010 were reviewed to
identify dogs, with spontaneous pyothorax, which had undergone CT. Cases were
managed medically or surgically. CT images and surgery reports were reviewed and
compared for surgical cases. RESULTS: Twelve dogs were included. Eight were
managed surgically, three were managed medically and one died before management.
Pleural fluid was present in all dogs on CT (n=12) and at surgery (n=8). Pleural
gas was identified in five dogs on CT. Pleural thickening was detected in eight
dogs on CT (seven visceral, one parietal and six mediastinal) and eight dogs at
surgery (seven visceral, eight parietal and six mediastinal), six of which were
identified by CT. Abnormal pulmonary parenchyma was detected in 10 dogs on CT and
5 dogs at surgery, all of which were identified by CT. Mediastinal involvement
was detected in 10 dogs on CT and 6 dogs at surgery, 5 of which were identified
by CT. CONCLUSIONS: CT and surgical findings are similar in most cases of canine
spontaneous pyothorax. CT may be a useful diagnostic tool for guiding case
management.
PMID- 21906064
TI - Gammopathy in a Spanish dog infected with Bartonella henselae.
AB - Generalised pyogranulomatous disease and hyperviscosity syndrome associated with
a presumed monoclonal gammopathy was diagnosed in a three-year-old intact female
Pomeranian. The Bartonella henselae antibody titer was 1:64 and Bartonella
species DNA was amplified from the splenic tissue. Monoclonal gammopathies in
dogs are typically associated with plasma cell and lymphoid dyscrasias and other
inflammatory or infectious diseases such as ehrlichiosis and leishmaniosis. Based
on this case report, infection with Bartonella species should also be added to
the differential diagnoses for gammopathy in dogs. To the authors' knowledge,
this is the first report of molecular evidence of Bartonella species infection in
a sick dog in Spain.
PMID- 21906065
TI - Patent ductus arteriosus in a family of Chihuahuas.
AB - This report describes a patent ductus arteriosus (PDA) in four puppies from the
same family of Chihuahuas, bred from the same mother and from two different
litters. Identification of this congenital anomaly relies on clinical
examination, radiography and ultrasonography. Three of these puppies were female,
and had a type-1 PDA. A conventional surgical ligation was performed on one of
them, whilst the others underwent no treatment. One puppy was male, and presented
with a type-4 PDA, requiring euthanasia. Post-mortem examination and
histopathological examination of the PDA allowed characterisation of the
histological anomalies, which were identical to those described in other breeds.
The mother and the two stud dogs were not affected. Even though the mode of
transmission has not been fully identified, it is evident that this PDA is
hereditary in nature. To the authors' knowledge this is the first description of
this congenital cardiopathy in a family of this breed, and in a significant
number of first-generation individuals.
PMID- 21906066
TI - Use of strontium-90 plesiotherapy for the treatment of a lingual plasmacytoma in
a dog.
AB - A 12-year-old, 25 kg, intact male St Bernard crossbreed was presented for
treatment of a 538 mm ulcerated tumour on the dorsal tongue. Fine-needle
aspiration cytology revealed a plasmacytoma. The dog staged negative for evidence
of local metastatic or systemic disease. Histopathology confirmed the diagnosis.
Treatment with one 150 Gy dose of radiation delivered with strontium-90
plesiotherapy was performed. Side effects were not noted and there was no visible
evidence of tumour remaining at 2 months and 1 year after therapy.
PMID- 21906069
TI - Non-enhancing confirmed oligodendroglioma in three dogs.
PMID- 21906070
TI - Stem anatomy supports Arabidopsis thaliana as a model for insular woodiness.
PMID- 21906071
TI - The relationship between performance-based self-esteem and self-reported work and
health behaviors among Danish knowledge workers.
AB - Since knowledge intensive work often requires self-management, one might fear
that persons who are dependent on work success for self-esteem will have
difficulties in finding a healthful and sustainable balance between internal
needs and external demands. Accordingly, we examined to what degree work-related
performance-based self-esteem (PBS) was linked to work and health behaviors in
392 knowledge workers (226 women, 166 men). In the women group, multiple binary
logistic regression analyses with repeated measurements showed that the PBS score
was associated with 10 of the 17 examined work and health behaviors. For men the
corresponding figure was 3 of 17. In both men and women, higher PBS scores were
positively associated with reports of efforts and strivings for work as well as
attending work while ill. In conclusion, statistically significant relationships
between PBS and work and health behaviors were more clearly visible among women
than men. Whether this gender difference is dependent on the study design, or on
true inherent differences between women and men, cannot be concluded with any
certainty. However, persons who described themselves as being relatively more
dependent on work accomplishments for a high self-esteem, as expressed by the PBS
score, seem to display work behaviors that may lessen their restitution time. In
addition, they also seem to be more prone to work while sick.
PMID- 21906072
TI - Airborne contact dermatitis to triclosan.
PMID- 21906073
TI - Ascaridole, a sensitizing component of tea tree oil, patch tested at 1% and 5% in
two series of patients.
PMID- 21906074
TI - Occupational allergic contact dermatitis of the ears caused by thiurams in a
headset.
PMID- 21906075
TI - Facial leucoderma following eczema: a new case induced by spectacle frames.
PMID- 21906076
TI - Allergic contact dermatitis caused by chromium in a mobile phone.
PMID- 21906077
TI - A case of occupational allergic contact dermatitis in a plumber performing
pipeline repair.
PMID- 21906078
TI - Mast cells are critically involved in serum-mediated vascular leakage in chronic
urticaria beyond high-affinity IgE receptor stimulation.
AB - BACKGROUND: Chronic urticaria (CU) is one of the most common skin disorders whose
pathogenic mechanisms are not fully clarified. Autoimmune aetiology can be
ascribed to 45% of patients with CU, and basophil histamine release is positive
in 40% of cases. Our aim was to use a novel approach to evaluate the serum
permeabilizing effect to identify the mediators of endothelial cell (EC) leakage
and to define the role of mast cells (MCs) in the process. METHODS:
Permeabilizing activity of sera from 19 patients with CU and 11 healthy blood
donors was evaluated by measuring serum-induced degranulation of two MC lines,
expressing (LAD2) or lacking (HMC-1) the IgE receptor. Mast cell supernatant (SN)
was then incubated with an EC monolayer, and endothelial permeability was
evaluated by Fluorescein isothiocyanate-bovine serum albumin leakage in a
transwell system. RESULTS: All 19 patient sera failed to induce direct EC
leakage, but 15/19 and 17/19 promoted degranulation of HMC-1 and LAD2,
respectively. Interestingly, 85% of autologous serum skin test-negative sera were
able to cause MC degranulation. Also, 17/19 SNs from HMC-1 and all SNs from LAD2
incubated with CU sera increased endothelial permeability. Endothelial cell
leakage remained unchanged after Ig depletion and was prevented by antihistamine,
platelet-activating factor or leukotriene antagonist. CONCLUSIONS: Our study
shows that CU sera are able to degranulate MCs through an IgE- and IgG
independent mechanism. The nature of histamine-releasing factors involved is
still unclear, but our finding opens new ways to the understanding of the
pathogenesis of CU, particularly in patients not showing circulating
autoantibodies to FcepsilonRI or IgE.
PMID- 21906079
TI - Macrophages from patients with atopic dermatitis show a reduced CXCL10 expression
in response to staphylococcal alpha-toxin.
AB - BACKGROUND: Patients with atopic dermatitis (AD) are frequently colonized with
Staphylococcus aureus (S. aureus), one-third of them producing alpha-toxin, which
is correlated with the severity of eczema in AD. Staphylococcus aureus colonizes
in patients with psoriasis as well. Distinct expression of chemokine (C-C motif)
ligand (CCL) and chemokine (C-X-C motif) ligand (CXCL) chemokines has been
documented in both diseases. In this study, we investigated the effects of
sublytic alpha-toxin concentrations on human macrophages that accumulate in the
skin of patients with AD and psoriasis. METHODS: IFN-gamma-induced protein of
10-kDa (IP-10)/CXCL10 and macrophage-derived chemokine (MDC)/CCL22 production
were evaluated at the mRNA or at the protein level using qRT-PCR or ELISA,
respectively. Cell surface markers' expression and chemotaxis were determined by
flow cytometry and Boyden chamber technique, respectively. RESULTS: Sublytic
concentrations of alpha-toxin strongly induced CXCL10 in macrophages at both the
mRNA and the protein levels and significantly up-regulated MHC class II
expression. Supernatants of alpha-toxin-stimulated macrophages induced the
migration of human CD4+ lymphocytes via the CXCL10 receptor (CXCR3). Macrophages
from patients with AD produced lower levels of CXCL10 compared to cells from
patients with psoriasis as well as healthy controls in response to alpha-toxin.
alpha-Toxin did not lead to a large variation in CCL22 production in macrophages
from all three groups. CONCLUSIONS: Staphylococcal alpha-toxin contributes to
Th1 polarization by induction of CXCL10 in macrophages. Macrophages from patients
with AD and psoriasis responded to alpha-toxin in the induction of Th1-related
chemokine CXCL10 diversely, which could favour the recruitment of distinct
leucocyte subsets into the skin.
PMID- 21906080
TI - A porcine lymphocyte model for P-gp inhibition studies.
PMID- 21906081
TI - The correlation between epidermal lipid composition and morphologic skin
characteristics with percutaneous permeation: an interspecies comparison of
substances with different lipophilicity.
PMID- 21906082
TI - Absorption-dependent apparent volume of distribution at steady state (V(ss)/F) in
pharmacokinetic data analysis.
PMID- 21906083
TI - Detection of ceftiofur and oxytetracycline in oral fluids of swine with a pen
side competitive ELISA test after intramuscular injection.
PMID- 21906084
TI - The pharmacokinetics of hydrochlorothiazide in the camel.
PMID- 21906085
TI - Cytochrome P450 3A expression and function in liver and intestinal mucosa from
dexamethasone-treated sheep.
AB - The effects of repeated administrations of dexamethasone (DEX) (3 mg/kg/day by
i.m. route for 7 days) on the gene expression profile of a cytochrome P450 (CYP)
3A28-like isoenzyme, on the expression of a CYP3A-immunoreactive protein and on
CYP3A-dependent metabolic activities in sheep liver and small intestinal mucosa
were evaluated in the current work. CYP 3A-dependent metabolic activities
(erythromycin and triacetyl-oleandomycin N-demethylations) were assessed in
microsomal fractions. The mRNA expression of CYP3A28-like, glucocorticoid
receptor, constitutive androstane receptor, pregnane X receptor and retinoic X
receptor alpha (RXRalpha) was determined by quantitative real-time PCR. The
expression of a CYP3A-immunoreactive protein was measured by Western blot
analyses. In the liver, DEX treatment increased CYP3A28-like mRNA levels (2.67
fold, P<0.01) and CYP3A apoprotein expression (1.34-fold, P<0.05) and stimulated
CYP3A-dependent metabolism. High and significant correlation coefficients between
CYP3A-dependent activities and CYP3A28-like gene (r=0.835-0.856, P<0.01) or
protein (r=0.728-0.855, P<0.05) expression profiles were observed. Among the
transcriptional factors, DEX only stimulated (2.1-fold, P<0.01) the mRNA
expression of RXRalpha. In sheep small intestine, DEX caused a slight increment
(34.6%, P<0.05) in erythromycin N-demethylase activity in the jejunal mucosa and
a significant enhancement (P<0.05) of CYP3A apoprotein level in the duodenal
mucosa.
PMID- 21906086
TI - A comparison of two gutta-percha master points consisting of different phases in
filling of artificial lateral canals and depressions in the apical region of root
canals when using a warm vertical compaction technique.
AB - AIM: Two types of gutta-percha master points consisting of different phases of
the material were compared during the filling of lateral canals and depressions
in the apical region of root canals when using warm vertical compaction (WVC).
METHODOLOGY: Two split-tooth models were constructed one with lateral canals 1, 2
and 3 mm from the working length (WL) and another with depressions 1 and 3 mm
from the WL. For each model, canal filling was performed with either alpha-phase
or beta-phase gutta-percha. The gutta-percha was condensed with a System B
plugger placed 7, 5 or 3 mm from the WL. The mean depth of gutta-percha
penetration into the lateral canals and the percentage of depression area covered
by gutta-percha were measured. A two-factor analysis of variance and a Student
Newman-Keuls test (P < 0.05) were used to compare the two gutta-percha and three
plugger insertion depths. RESULTS: At the 1-2 mm apical levels, alpha-phase gutta
percha and deeper plugger depth elicited better flow into canals and depressions
(P < 0.01). At the 3 mm apical level, the alpha-phase and beta-phase gutta-percha
penetrated to the same extent with 3- and 5-mm plugger insertions (P > 0.05);
however, with the 7-mm plugger insertion, the alpha-phase gutta-percha flowed
significantly more deeply than the beta-phase gutta-percha (P < 0.01). At the 2-3
mm apical levels, the 3 and 5 mm plugger depths elicited similar flow (P > 0.05);
both elicited significantly better flow than the 7 mm plugger depth (P < 0.01).
CONCLUSION: The alpha-phase gutta-percha with deep plugger insertion during warm
vertical compaction using a System B heat source moved singificantly more into
lateral canals and depressions than the beta-phase gutta-percha.
PMID- 21906087
TI - Retrospective long-term evaluation of autotransplantation of premolars to the
central incisor region.
AB - AIM: This retrospective case-series study aimed to examine the long-term
outcomes of autogenously transplanted premolars. METHODOLOGY: Twelve patients
in whom donor premolars were used to replace maxillary central incisors lost by
trauma were clinically and radiologically monitored. Standardized clinical and
radiographic records were systematically obtained during the follow-up period of
14 years, to determine the influence of specific clinical criteria on the overall
success rate of transplantation. RESULTS: The success rate of premolar
autotransplantation in the maxillary central incisor area was 80% after 14 years
follow-up. The highest success rate occurred in those teeth transplanted with two
thirds of full root development. Complete pulp obliteration was positively
related to autotransplant viability, followed by root formation in the bony
crypt. CONCLUSIONS: Autotransplantation of donor teeth, at the stage of 1/2 to
3/4 of their expected root length, can provide a successful treatment solution
for over 14 years.
PMID- 21906088
TI - Comparison of the surface tension of 5.25% sodium hypochlorite solution with
three new sodium hypochlorite-based endodontic irrigants.
AB - AIM: To investigate the surface tension characteristics of 5.25% sodium
hypochlorite and three recently introduced sodium hypochlorite solutions, which
had been modified to reduce their surface tension: Chlor-Xtra, Hypoclean A and
Hypoclean B. METHODOLOGY: Freshly produced MilliQ water was used as a reference
liquid. All measurements of surface tension were taken by the Wilhelmy plate
technique, using a Cahn DCA-322 Dynamic Contact Angle Analyzer at the temperature
of 22 degrees C. A glow-discharge cleaned glass slide was immersed in 5 mL of
the test liquid in a beaker cleaned with hot chromic acid, rinsed with MilliQ
water and finally air plasma-cleaned in a glow-discharge reactor. The force on
the glass slide was recorded continuously by the instrument software as the
beaker was raised and withdrawn at the constant speed of 40 micron/s, until at
least 1 cm of the glass slide was immersed. The typical accuracy was 0.5 mJ m(
2). For each sample, fifteen measurements were taken, and mean values were
calculated. A Kruskal-Wallis anova analysis, followed by Mann-Whitney's U rank
sum test for pair-wise comparisons, was used to compare surface tension values.
Statistical significance was set at alpha = 0.05. RESULTS: MilliQ water (72.13 mJ
m(-2)) and 5.25% sodium hypochlorite (48.90 mJ m(-2) ) had the highest surface
tension values (P < 0.01) compared to Chlor-Xtra (33.14 mJ m(-2)), Hypoclean B
(30.00 mJ m(-2) ) and Hypoclean A (29.13 mJ m(-2)). Hypoclean A had the lowest
surface tension (P < 0.01). CONCLUSIONS: The new 5.25% sodium hypochlorite
solutions modified with surfactants, Hypoclean A and Hypoclean B, had surface
tension values that were significantly lower (P < 0.01) than Chlor-Xtra and 5.25%
NaOCl. Because of their low surface tension and increased contact with dentinal
walls, these new irrigants have the potential to penetrate more readily into
uninstrumented areas of root canal system as well as allow a more rapid exchange
with fresh solution, enabling greater antimicrobial effectiveness and enhanced
pulp tissue dissolution ability.
PMID- 21906090
TI - The easy success of the Spanish model for organ transplantation.
PMID- 21906091
TI - Welcome to the European Society for Artificial Organs-International Federation
for Artificial Organs joint congress in Porto, Portugal.
PMID- 21906092
TI - Welcome to the 4th joint European Society for Artificial Organs-international
Federation for Artificial Organs Congress.
PMID- 21906093
TI - Left ventricular temporary assistance: by blood propelling or counterpulsating?
PMID- 21906094
TI - Effect of dobutamine combined with intra-aortic balloon counterpulsation on left
ventricular function early after acute myocardial infarction: experimental study.
AB - Acute myocardial infarction (AMI) causes left ventricular (LV) remodeling, which
forms the substrate for its early and late complications. The purpose of this
study was to compare the acute effect of dobutamine or intra-aortic balloon
pumping (IABP), alone or in combination, on LV function in the early phase of an
experimental AMI. In 18 pigs, AMI was induced by ligation of the left anterior
descending artery (LAD). IABP or dobutamine infusion at a rate of 5 ug/kg/min, or
a combination of the two, was applied immediately after ligation of the LAD.
Echocardiographic measurements of the long and short LV axes were obtained before
(baseline) and post LAD ligation and at the end of each intervention for 5, 15,
and 30 min. The fractional shortening (FS) of both axes, as well as the ejection
fraction (EF), was calculated. The combination of dobutamine with IABP increased
the EF significantly after the AMI in comparison to dobutamine or IABP alone, and
improved the stroke volume, cardiac output, and long axis FS in comparison to
IABP alone. Dobutamine alone produced a significantly higher increase of EF in
comparison to IABP alone. These results indicate that the combination of
dobutamine with IABP may be useful during AMI.
PMID- 21906096
TI - Radiographic quantitative assessment of caudal proximal tibial angulation in 100
dogs with cranial cruciate ligament rupture.
AB - OBJECTIVE: To evaluate inter- and intraobserver variability in the measurement of
distal tibial axis/proximal tibial axis angle (DPA) from lateral radiographs of
canine tibia in dogs with cranial cruciate ligament rupture (CCLR). STUDY DESIGN:
Retrospective clinical study. ANIMALS: Dogs (n=100) with cranial cruciate
ligament rupture. METHODS: Medical records of dogs diagnosed with CCLR were
reviewed. In addition to signalment and TPA measurements, measured DPA (mDPA) was
calculated for each lateral view of the tibia in each animal, twice, by 3 blinded
observers. Subjective scoring of DPA (sDPA) was also recorded, twice, by 3
additional blinded observers from lateral views of the proximal half of the tibia
in each dog. Inter- and intraobserver variability was measured by intraclass
correlation coefficient (ICC) for each measurement. Correlation between mDPA and
sDPA was also determined. RESULTS: Median tibial plateau angle (TPA) of the
subject population was 27.9 degrees (range 18.8-41.3 degrees ; IQR: 25.5-30.75
degrees ). Mean +/- SD mDPA was 6.50 +/- 2.81 degrees (confidence intervals
[CI]: 5.94-7.06 degrees ; range 0-13.33 degrees ). There was no correlation
between age and weight of dogs and the mDPA (P=.58 and .12). There was a moderate
correlation between mDPA and TPA (r(2)=0.49, P<.0001). There was a moderate
correlation between sDPA and mDPA (r(2)=0.27, P<.0001). Good inter- and
intraobserver agreement was found in the measurement of mDPA. CONCLUSION: mDPA is
a reproducible measurement of caudal angulation of proximal tibia. Furthermore,
mDPA of dogs with cranial cruciate ligament disease in this report are in
concordance with previous reports.
PMID- 21906097
TI - Quantitative trait loci for clinical mastitis on chromosomes 2, 6, 14 and 20 in
Norwegian Red cattle.
AB - Mastitis is the most frequent and costly disease in dairy production and
solutions leading to a reduction in the incidence of mastitis are highly
demanded. Here a genome-wide association study was performed to identify
polymorphisms affecting susceptibility to mastitis. Genotypes for 17 349 SNPs
distributed across the 29 bovine autosomal chromosomes from a total of 2589 sires
with 1 389 776 daughters with records on clinical mastitis were included in the
analysis. Records of occurrence of clinical mastitis were divided into seven time
periods in the first three lactations in order to identify quantitative trait
loci affecting mastitis susceptibility in particular phases of lactation. The
most convincing results from the association mapping were followed up and
validated by a combined linkage disequilibrium and linkage analysis. The study
revealed quantitative trait loci affecting occurrence of clinical mastitis in the
periparturient period on chromosomes 2, 6 and 20 and a quantitative trait locus
affecting occurrence of clinical mastitis in late lactation on chromosome 14.
None of the quantitative trait loci for clinical mastitis detected in the study
seemed to affect lactation average of somatic cell score. The SNPs highly
associated with clinical mastitis lie near both the gene encoding interleukin 8
on chromosome 6 and the genes encoding the two interleukin 8 receptors on
chromosome 2.
PMID- 21906098
TI - Genome-wide association mapping in Norwegian Red cattle identifies quantitative
trait loci for fertility and milk production on BTA12.
AB - Reproductive performance is a critical trait in dairy cattle. Poor reproductive
performance leads to prolonged calving intervals, higher culling rates and extra
expenses related to multiple inseminations, veterinary treatments and
replacements. Genetic gain for improved reproduction through traditional
selection is often slow because of low heritability and negative correlations
with production traits. Detection of DNA markers associated with improved
reproductive performance through genome-wide association studies could lead to
genetic gain that is more balanced between fertility and production. Norwegian
Red cattle are well suited for such studies, as very large numbers of detailed
reproduction records are available. We conducted a genome-wide association study
for non-return rate, fertility treatments and retained placenta using almost 1
million records on these traits and 17 343 genome-wide single-nucleotide
polymorphisms. Genotyping costs were minimized by genotyping the sires of the
cows recorded and by using daughter averages as phenotypes. The genotyped sires
were assigned to either a discovery or a validation population. Associations were
only considered to be validated if they were significant in both groups. Strong
associations were found and validated on chromosomes 1, 5, 8, 9, 11 and 12.
Several of these were highly supported by findings in other studies. The most
important result was an association for non-return rate in heifers in a region of
BTA12 where several associations for milk production traits have previously been
found. Subsequent fine-mapping verified the presence of a quantitative trait loci
(QTL) having opposing effects on non-return rate and milk production at 18 Mb.
The other reproduction QTL did not have pleiotropic effects on milk production,
and these are therefore of considerable interest for use in marker-assisted
selection.
PMID- 21906099
TI - Global gene expression profiling reveals genes expressed differentially in cattle
with high and low residual feed intake.
AB - Feed efficiency is an economically important trait in beef production. It can be
measured as residual feed intake. This is the difference between actual feed
intake recorded over a test period and the expected feed intake of an animal
based on its size and growth rate. DNA-based marker-assisted selection would help
beef breeders to accelerate genetic improvement for feed efficiency by reducing
the generation interval and would obviate the high cost of measuring residual
feed intake. Although numbers of quantitative trait loci and candidate genes have
been identified with the advance of molecular genetics, our understanding of the
physiological mechanisms and the nature of genes underlying residual feed intake
is limited. The aim of the study was to use global gene expression profiling by
microarray to identify genes that are differentially expressed in cattle, using
lines genetically selected for low and high residual feed intake, and to uncover
candidate genes for residual feed intake. A long-oligo microarray with 24 000
probes was used to profile the liver transcriptome of 44 cattle selected for high
or low residual feed intake. One hundred and sixty-one unique genes were
identified as being differentially expressed between animals with high and low
residual feed intake. These genes were involved in seven gene networks affecting
cellular growth and proliferation, cellular assembly and organization, cell
signalling, drug metabolism, protein synthesis, lipid metabolism, and
carbohydrate metabolism. Analysis of functional data using a transcriptional
approach allows a better understanding of the underlying biological processes
involved in residual feed intake and also allows the identification of candidate
genes for marker-assisted selection.
PMID- 21906100
TI - Caecal transcriptome analysis of colonized and non-colonized chickens within two
genetic lines that differ in caecal colonization by Campylobacter jejuni.
AB - Campylobacter jejuni is one of the most common causes of human bacterial
enteritis worldwide. The molecular mechanisms of the host responses of chickens
to C. jejuni colonization are not well understood. We have previously found
differences in C. jejuni colonization at 7-days post-inoculation (pi) between two
genetic broiler lines. However, within each line, not all birds were colonized by
C. jejuni (27.5% colonized in line A, and 70% in line B). Therefore, the
objective of the present experiments was to further define the differences in
host gene expression between colonized and non-colonized chickens within each
genetic line. RNA isolated from ceca of colonized and non-colonized birds within
each line was applied to a chicken 44K Agilent microarray for the pair
comparison. There were differences in the mechanisms of host resistant to C.
jejuni colonization between line A and line B. Ten times more differentially
expressed genes were observed between colonized and non-colonized chickens within
line B than those within line A. Our study supports the fact that the MAPK
pathway is important in host response to C. jejuni colonization in line B, but
not in line A. The data indicate that inhibition of small GTPase-mediated signal
transduction could enhance the resistance of chickens to C. jejuni colonization
and that the tumour necrosis factor receptor superfamily genes play important
roles in determining C. jejuni non-colonization in broilers.
PMID- 21906101
TI - Muscle transcriptome profiling in divergent phenotype swine breeds during growth
using microarray and RT-PCR tools.
AB - Using an array consisting of 10 665 70-mer oligonucleotide probes, the
longissimus dorsi muscle tissue expression during growth in nine pigs belonging
to Casertana (CT), an autochthonous breed characterized by slow growth and a
massive accumulation of backfat, was compared with that of two cosmopolitan
breeds, Large White (LW) and a crossbreed (CB; Duroc * Landrace * Large White).
The results were validated by real-time PCR. All animals were of the same age and
were raised under the same environmental conditions. Muscle tissues were
collected at 3, 6, 9 and 11 months of age, and a total of 173 genes showed
significant differential expression between CT and the cosmopolitan genetic types
at 3 months of age. Time series cluster analysis indicated that the CT breed had
a different pattern of gene expression compared with that of the LW and the CB.
Four of the eight clusters highlighted the gene differences between CT and the
other two breeds, which were further supported by statistical analyses: clusters
4 and 5 contained a total of 71 genes that were underexpressed at 3 months of
age, and cluster 3 and cluster 7 included 28 and 42 genes respectively that were
overexpressed at 3 months of age. As expected, differentially expressed genes
belonged to the category of genes coding for contractile fibres and transcription
factors involved in muscle development and differentiation. These findings
highlight muscle expression genes during pig growth and are useful to understand
the genetic meaning of the different developmental rates.
PMID- 21906102
TI - Transcription specificity of the class Ib genes SLA-6, SLA-7 and SLA-8 of the
swine major histocompatibility complex and comparison with class Ia genes.
AB - Our aim was to analyse the transcription levels of the three non-classical class
Ib genes SLA-6, SLA-7 and SLA-8 of the swine major histocompatibility complex in
various tissues and conditions and to compare them to the transcription levels of
classical class Ia genes. Twenty-five adult tissues from two pig breeds, pig
renal PK15 cells infected with the Pseudorabies virus, and peripheral blood
mononuclear cells (PBMCs) stimulated by lipopolysaccharide or a mixture of
phorbol myristate acetate and ionomycin were included in our study. Relative
transcription was quantified by quantitative real-time PCR. On average, in adult
tissues and PBMCs and compared to SLA-6, the transcription level of SLA-Ia genes
was 100-1000 times higher, the level of SLA-8 was 10-20 times higher, and that of
SLA-7 was five times higher. Thus, SLA-8 is the most transcribed SLA-Ib gene,
followed by the SLA-7 and SLA-6 genes. The highest transcription levels of SLA-Ib
transcripts were found in the lymphoid organs, followed by the lung and the
digestive tract. The tissue variability of expression levels was widest for the
SLA-6 gene, with a 1:32 ratio between the lowest and highest levels in contrast
to a 1:12 ratio for the SLA-7 and SLA-8 genes and a 1:16 ratio for the SLA-Ia
genes. During PK-15 infection and PBMC stimulation, SLA-Ia and SLA-8 genes were
downregulated, whereas SLA-6 and SLA-7 were upregulated, downregulated or not
significantly modified. Our overall results confirm the tissue-wide transcription
of the three SLA-Ib genes and suggest that they have complementary roles.
PMID- 21906103
TI - Integrating comparative expression profiling data and association of SNPs with
Salmonella shedding for improved food safety and porcine disease resistance.
AB - Salmonella in swine is a major food safety problem, as the majority of US swine
herds are Salmonella-positive. Salmonella can be shed from colonized swine and
contaminate (i) neighbouring pigs; (ii) slaughter plants and pork products; (iii)
edible crops when swine manure is used as a fertilizer; and (iv) water supplies
if manure used as crop fertilizer runs off into streams and waterways. A
potentially powerful method of addressing pre-harvest food safety at the farm
level is through genetic improvement of disease resistance in animals. In this
research, we describe a successful strategy for discovering genetic variation at
candidate genes associated with disease resistance in pigs. This involves
integrating our recent global gene expression analysis of the porcine response to
Salmonella with information from the literature about important candidate genes.
We identified single-nucleotide polymorphisms (SNPs) in these functional
candidate genes and genotyped three independent pig populations that had data on
Salmonella faecal shedding or internal burden (total n = 377) at these loci. Of
31 SNPs genotyped, 21 SNPs segregated in at least two populations with a minor
allele frequency of 15% or greater. Statistical analysis revealed thirteen SNPs
associated with Salmonella faecal shedding or tissue colonization, with an
estimated proportion of false positives (PFP) <=0.2. The genes with associated
SNPs included GNG3, NCF2, TAP1, VCL, AMT, CCR1, CD163, CCT7, EMP1 and ACP2. These
associations provide new information about the mechanisms of porcine host
response to Salmonella and may be useful in improving genetic resistance to this
bacterium.
PMID- 21906104
TI - Novel polymorphisms in ovine immune response genes and their association with
abortion.
AB - The sheep has worldwide agricultural importance, yet the genetic control of the
immune responses underlying susceptibility or resistance to ovine disease is
little understood. Here, we identify six novel polymorphisms in the ovine immune
response genes interferon-gamma (IFNG), tumour necrosis factor-alpha (TNF),
interleukin-1beta (IL1B) and interleukin-4 (IL4) in pedigree Charollais flocks.
We confirm the presence of previously reported polymorphisms in IFNG and IL1B in
Charollais. Restriction fragment length polymorphism (RFLP) genotyping assays
have been developed for four polymorphisms, IFNGg.168C>T, IFNGg.285A>G,
IL1Bg.689C>T and TNFg.3UTRA>G, and a Taqman genotyping assay has been developed
for IL4g.485C>T. The previously described IL2g.647C>T polymorphism is adapted for
RFLP analysis. Allele frequencies are described in Charollais, Lleyn and Suffolk
cross sheep. Polymorphisms are typed in both Charollais ewes and lambs and
analysed against abortion phenotypes. A subset of animals have also been analysed
for the presence of Toxoplasma gondii, an abortion-causing protozoan. The
IFNGg.168T allele is shown to be associated with increased risk of a ewe having
an abortion, while the IFNGg.285G allele is associated with increased risk of a
lamb being aborted. These assays provide tools for the investigation of the
genetic basis of other phenotypes in sheep, including infectious disease
susceptibility.
PMID- 21906105
TI - SNP-based association mapping of Arachnomelia in Fleckvieh cattle.
AB - Bovine arachnomelia is an inherited congenital disorder with malformation mainly
of the limbs, the vertebral column and the skull, following a monogenic autosomal
recessive heredity. Despite almost identical pathological findings, arachnomelia
has previously been mapped to bovine chromosome 23 and 5 in Fleckvieh and
Braunvieh respectively. Therefore, this disorder may be an example of locus
heterogeneity in cattle. This study aimed to refine the candidate region to allow
positional cloning and sequence analyses of candidate genes in Fleckvieh cattle.
For that purpose, a case-control association mapping design was set up with a
case group of 16 pre-selected affected individuals and a control group of 50
unrelated animals. The subset of affected animals was selected from a total of
129 pathologically confirmed cases due to the occurrence of recombination(s)
within a 14.5 cM candidate interval previously mapped to chromosome 23. Six
linked microsatellites currently used for indirect gene testing in Fleckvieh were
analysed for this purpose. In all selected cases, a genome-wide scan using 44 473
informative SNPs revealed shared segments of homozygosity at 15 adjacent SNPs on
chromosome 23. Additional haplotype analysis of 37 carrier bulls confirmed the
localization of the arachnomelia locus to a region of 927 kb (13.622-14.549 Mb)
containing molybdenum cofactor biosynthesis protein 1 gene, the most likely
candidate gene for arachnomelia in Fleckvieh. The number of recombinant
haplotypes observed in cases was more than doubled compared with the number of
expected recombinations. This remarkably increased mapping resolution and thus
illustrates the benefit of pre-selection in association studies.
PMID- 21906106
TI - Quantitative trait loci for fatness at growing and reproductive stages in Iberian
* Meishan F(2) sows.
AB - A considerable number of fatness QTL have been identified in growing pigs, but
there is a lack of knowledge about the genetic architecture of this trait in
gilts and sows. We have performed a genome scan, in 255 Iberian * Meishan F(2)
sows, for backfat thickness (BF) at 150 (BF(150) ) and 210 (BF(210)) days of age,
30 days after conception (BF(30)) and 7-10 days before farrowing (BF(bf)). We
have found one BF150 QTL in SSC6 (120 cM) that was highly significant (P < 0.001)
at the chromosome-wide level and suggestive at the genome-wide level (P < 0.1).
Ten additional chromosome-wide significant QTL were found for sow BF(150) (SSC1,
SSC13), BF(210) (SSC6, SSC8, SSC15), BF(30) (SSC5, SSC6) and BF(bf) (SSC1, SSC6,
SSC13). The location of several of the BF QTL varied depending on the growing and
reproductive status of the sow, suggesting that part of these genetic effects may
have a temporal pattern of phenotypic expression.
PMID- 21906107
TI - Refined mapping of the Escherichia coli F4ab/F4ac receptor gene(s) on pig
chromosome 13.
AB - Enterotoxigenic Escherichia coli expressing F4 fimbriae is the major cause of
diarrhoea in neonatal and post-weaning piglets. Previous studies have revealed
that the loci controlling the F4ab/F4ac receptors are located on SSC13q41,
between markers SW207 and S0283. In this study, we refined their positions in a
two generation population containing 366 piglets of three breeds (Large White,
Landrace, and Songliao Black). Nine microsatellite markers within this region
were selected from the MARC (U.S. Meat Animal Research Center) porcine linkage
map, and the pedigree disequilibrium test was employed for fine-mapping. The
F4abR gene was located in the interval between S0283 and SW1833, a 4.8-cM region,
and the F4acR gene was located in the interval between S0283 and SW1876, a 1.6-cM
region. Our results also suggest that the F4ab/F4ac receptors might be controlled
by two different but closely linked loci. The results of microsatellite-based
haplotype analysis in the corresponding region show that some specific haplotypes
were overwhelmingly present in the adhesive or non-adhesive animals, indicating
that there are mutations within the identified regions that are strongly
associated with the F4ab/ac phenotypes.
PMID- 21906108
TI - Sequence variants in the bovine nucleophosmin 1 gene, their linkage and their
associations with body weight in native cattle breeds in China.
AB - The nucleophosmin (nucleolar phosphoprotein B23, numatrin) gene (NPM1, previously
known as nucleophosmin/nucleoplasmin family, member 1) encodes a multifunctional
nucleolar phosphoprotein that plays a crucial role in cell growth and
homeostasis. Seven sequence variants (SVs) were identified in the coding region
of bovine NPM1, five of which were in complete linkage disequilibrium. Eight
different haplotypes were identified, of which two major haplotypes have a
frequency of 23.2% and 20.4%. Three SVs were significantly associated with body
weight in the Nanyang population as analysed at different ages. No significant
association was detected between 18 combined genotypes and body weight at five
different ages. Our results suggest that some polymorphisms in NPM1 are
associated with body weight at some ages and may be used as candidates for marker
assisted selection and management in beef cattle breeding programmes.
PMID- 21906109
TI - Genotypechecker: an interactive tool for checking the inheritance consistency of
genotyped pedigrees.
AB - Datapoint errors in pedigree genotype data sets are difficult to identify and
adversely affect downstream genetic analyses. We present GenotypeChecker, a
desktop software tool for assisting data cleansing. The application identifies
likely data errors in pedigree/genotype data sets by performing an inheritance
checking algorithm for each marker across the pedigree, and highlights
inconsistently inherited genotypes in an exploratory user interface. By 'masking'
suspect datapoints and rechecking inheritance consistency, erroneous datapoints
can be confirmed and cleansed from the data set. The software, examples and
documentation are freely available at
http://bioinformatics.roslin.ac.uk/genotypechecker.
PMID- 21906110
TI - Tracing genetic differentiation of Chinese Mongolian sheep using microsatellites.
AB - The genetic consequences of population differentiation and isolation have been
the subject of conservation biology. In this study, we analysed the genetic
diversity and structure of Mongolian sheep in China. These animals belong to a
traditional local breed with high production, extensive adaption, early maturity
and roughage resistance. For this purpose, 26 microsatellites were genotyped for
five Mongolian sheep populations. The Bayesian clustering indicated five clusters
as the most probable genetic structure of the populations investigated. In
addition, a clear genetic structure was revealed in three populations distributed
at large geographical scales, while the other cluster encompassed UQ and HLBR
sheep that displayed no clear differentiation, probably due to their close and
small geographical distributions. Overall, our results are helpful in
understanding the interplay of population dynamics in these close genetic
lineages of Mongolian sheep.
PMID- 21906111
TI - Genetic structure of the bovine Y-specific microsatellite UMN0103 reflects the
genetic history of the species.
PMID- 21906112
TI - Mapping of the Toll-like receptor family in channel catfish, Ictalurus punctatus.
PMID- 21906113
TI - NAP1L5 is imprinted in porcine placenta.
PMID- 21906114
TI - A gradient Markov chain Monte Carlo algorithm for computing multivariate maximum
likelihood estimates and posterior distributions: mixture dose-response
assessment.
AB - Multivariate probability distributions, such as may be used for mixture dose
response assessment, are typically highly parameterized and difficult to fit to
available data. However, such distributions may be useful in analyzing the large
electronic data sets becoming available, such as dose-response biomarker and
genetic information. In this article, a new two-stage computational approach is
introduced for estimating multivariate distributions and addressing parameter
uncertainty. The proposed first stage comprises a gradient Markov chain Monte
Carlo (GMCMC) technique to find Bayesian posterior mode estimates (PMEs) of
parameters, equivalent to maximum likelihood estimates (MLEs) in the absence of
subjective information. In the second stage, these estimates are used to
initialize a Markov chain Monte Carlo (MCMC) simulation, replacing the
conventional burn-in period to allow convergent simulation of the full joint
Bayesian posterior distribution and the corresponding unconditional multivariate
distribution (not conditional on uncertain parameter values). When the
distribution of parameter uncertainty is such a Bayesian posterior, the
unconditional distribution is termed predictive. The method is demonstrated by
finding conditional and unconditional versions of the recently proposed emergent
dose-response function (DRF). Results are shown for the five-parameter common
mode and seven-parameter dissimilar-mode models, based on published data for
eight benzene-toluene dose pairs. The common mode conditional DRF is obtained
with a 21-fold reduction in data requirement versus MCMC. Example common-mode
unconditional DRFs are then found using synthetic data, showing a 71% reduction
in required data. The approach is further demonstrated for a PCB 126-PCB 153
mixture. Applicability is analyzed and discussed. Matlab((r)) computer programs
are provided.
PMID- 21906115
TI - Four polymorphisms of the CAPN 10 gene and their relationship to polycystic ovary
syndrome susceptibility: a meta-analysis.
AB - OBJECTIVE: To investigate the association between CAPN 10 gene polymorphism and
polycystic ovary syndrome (PCOS) susceptibility. DESIGN: Meta-analysis of
published case-control studies of four single nucleotide polymorphisms (SNPs) in
CAPN 10 and PCOS susceptibility. PATIENTS: Women with PCOS. MEASUREMENTS:
Odds ratios (ORs) and 95% confidence intervals (CIs) for heterozygous,
homozygous, dominant model, recessive model and allele. RESULTS: A total of 11
studies were involved in the meta-analysis. UCSNP-63 was significantly associated
with PCOS, with homozygous carriers (TT vs CC: OR = 0.64; 95% CI: 0.45-0.90) and
recessive model (TT vs CC and CT: OR = 0.64; 95% CI: 0.45-0.90) being protective
factors. In addition, UCSNP-19 was significantly associated with PCOS, with
recessive model (ins/ins vs del/del and del/ins: OR = 0.72, 95% CI: 0.59-0.88)
and insert allele (ins vs del: OR = 0.85, 95% CI: 0.76-0.96) being protective
factors, while heterozygous carriers (del/ins vs del/del: OR = 1.56, 95% CI: 1.24
1.94) and deletion allele (del vs ins: OR = 1.18, 95% CI: 1.04-1.32) being risk
factors. However, no significant associations were found between UCSNP-44, -43
and PCOS. Moreover, the results of the Rotterdam criteria subgroup analysis were
similar with that of overall analysis. CONCLUSIONS: This is the first report on
the association between CAPN 10 UCSNP-63 and PCOS in genotype, with homozygous
carriers and recessive model being protective factors. Additionally, insert
allele and recessive model of UCSNP-19 are protective factors, while deletion
allele and heterozygous genotype are risk factors for PCOS development.
PMID- 21906116
TI - Increased vitamin D supplementation recommended during summer season in the gulf
region: a counterintuitive seasonal effect in vitamin D levels in adult,
overweight and obese Middle Eastern residents.
AB - PURPOSE: Seasonal variations in circulating vitamin D levels provide vital
information as to the most appropriate time to either start or increase vitamin D
supplementation to maintain optimal vitamin D levels. In this follow-up study, we
determined seasonal differences in serum 25(OH)-vitamin D (25(OH)D) levels, as
well as parallel changes in metabolic parameters, in a cohort of adult,
overweight and obese Saudis. METHODS: A total of 121 adult, overweight, obese,
and consenting Saudis aged 18-70 years were randomly recruited from four Primary
Health Care Centers in Riyadh, Saudi Arabia. They were divided according to the
season when baseline measurements were made [74 summer (April-October); 47 winter
(November-March)]. Anthropometrics were obtained, and fasting blood samples were
taken at baseline and every 3 months for 1 year. Fasting blood glucose, corrected
calcium levels, and lipid profiles were measured routinely. Serum 25(OH)-vitamin
D was quantified using a specific enzyme-linked immunosorbent assay (ELISA).
RESULTS: Age- and BMI-matched mean 25(OH)-vitamin D levels from the winter
group were significantly higher than those of the summer group (P < 0.001). In
both groups, HDL-C levels improved significantly as 25(OH)-vitamin D levels
increased with subsequent follow-ups, even after adjusting for age, gender and
BMI (P < 0.001). CONCLUSION: Seasonal differences in serum 25(OH)-vitamin D
levels in Saudi Arabia are counterintuitive, with circulating levels being higher
during the winter than the summer season. Increased vitamin D supplementation is
thus recommended to maintain optimal serum 25(OH)-vitamin D levels during the
summer season.
PMID- 21906117
TI - Endosteal bone storage in young adults born small for gestational age - a study
using peripheral quantitative computed tomography.
AB - OBJECTIVE: Poor growth early in life is associated with numerous adverse
conditions including decreased bone mass. The aim is to investigate bone and body
composition in young adults born small for gestational age (SGA). DESIGN:
Observational study. PARTICIPANTS: A total of 76 young adults born SGA (34f) at a
mean age of 19.68 +/- 0.5 years were enrolled. METHOD: Bone mineral density
(BMD), bone geometry and body composition were analysed using peripheral
quantitative computed tomography. RESULTS: Adults born SGA had significantly
lower z-score for height (-0.86 +/- 0.87), weight (-0.61 +/- 0.78) and BMI (-0.38
+/- 1.04) as well as fat cross-sectional area (CSA) (-0.62 +/- 0.80) compared
with a healthy reference population (P < 0.05). Z-scores for trabecular and
cortical BMD were normal. After correction for reduced height, z-scores for total
CSA (-0.14 +/- 1.11) and muscle CSA (-0.21 +/- 0.99) were normal and medullary
cavity (-0.71 +/- 0.80) was reduced. Those with a birthweight of <= 1500 g had
even lower height-corrected z-scores for medullary cavity (-1.12 +/- 0.69) and
total bone CSA (-0.58 +/- 0.93) (P < 0.05). After adjustment for sex and weight,
significant partial correlations were detectable between BMI at the age of 48
months and height-corrected z-scores for medullary cavity (r = 0.33, P = 0.020)
and total CSA (r = 0.29, P = 0.04). CONCLUSION: Environmental factors early in
life seem to influence bone geometry in adulthood. Young adults born SGA have
normal total bone CSA but smaller medullary cavity. Those with very low
birthweight, however, show compromised bone size development that may alter bone
stability later in life.
PMID- 21906118
TI - Diabetic peripheral neuropathy is highly associated with nontraumatic fractures
in Korean patients with type 2 diabetes mellitus.
AB - OBJECTIVE: Patients with type 2 diabetes mellitus are at greater risk of bone
fractures than nondiabetics. However, the risk factors for fractures in patients
with diabetes have not been fully evaluated. This study was designed to evaluate
the relative frequency of fractures at different sites and the diabetes
associated factors that affect nontraumatic bone fracture in patients with type 2
diabetes. PATIENTS AND DESIGN: This retrospective case-control study recruited
144 patients with type 2 diabetes, who presented with nontraumatic fractures
between March 2004 and March 2009 and 150 age-, gender-, body mass index (BMI)-
and duration of diabetes-matched control subjects. Nontraumatic fractures were
confirmed using patients' medical records and radiological findings. All subjects
were examined for their diabetes status and associated factors for fracture,
including bone mineral density (BMD). RESULTS: Of 150 reported bone fractures,
the hip was the most frequent fracture site (32.7%), followed by the upper
extremity (19.3%). Nontraumatic fractures were associated with diabetic
retinopathy, diabetic peripheral neuropathy, stroke history, previous fracture
and insulin treatment (P < 0.05). In multivariate analyses, independently
associated factors for bone fracture were diabetic peripheral neuropathy [odds
ratio (OR) = 37.3, 95% confidence interval (CI) = 1.46-652.57] and previous
fracture (OR = 9.54, 95% CI = 1.18-77.37; P < 0.05). CONCLUSIONS: The hip was the
most frequent site of nontraumatic fracture, and diabetic peripheral neuropathy
was significantly associated with an increased risk of nontraumatic fractures in
patients with type 2 diabetes.
PMID- 21906119
TI - To bleed or not to bleed. Is that the question?
PMID- 21906124
TI - The pathogenic role of autoantibodies in pemphigus vulgaris.
AB - Pemphigus vulgaris (PV) is a severe autoimmune bullous disease involving both the
skin and mucosal areas, and characterized by intraepithelial flaccid blisters and
erosions. The pathogenesis of this disease is not yet completely understood, but
novel insights into desmoglein biology and autoantibody pathogenesis have
recently been published. Acantholysis in PV seems to result from a collective
action of autoantibodies against various keratinocyte self antigens, of which
desmogleins 1 and 3 are the most important. Additional antigens including
desmocollins and nondesmosome components, such as the mitochondrion, might take
part in disease activation. Recently, apoptosis was reported as a possible
underlying mechanism of acantholysis. Furthermore, apoptolysis is believed to be
the link between suprabasal acantholytic and cell-death pathways. We review the
possible hypotheses of the pathogenesis of PV: the desmoglein compensation
theory, the antibody-induced apoptosis theory, the basal-cell shrinkage
hypothesis and the newly published apoptolysis theory.
PMID- 21906120
TI - Antiplatelet therapy: thrombin receptor antagonists.
AB - Activated platelets stimulate thrombus formation in response to rupture of an
atherosclerotic plaque or endothelial cell erosion, promoting atherothrombotic
disease. Multiple pathways contribute to platelet activation. Aspirin, an
irreversible inhibitor of thromboxane A2 synthesis, in combination with
clopidogrel, an inhibitor of P2Y(12) adenosine diphosphate platelet receptors,
represent the current standard-of-care of antiplatelet therapy for patients with
acute coronary syndrome and for those undergoing percutaneous coronary
intervention. Although these agents have demonstrated significant clinical
benefit, the increased risk of bleeding and the recurrence of thrombotic events
represent substantial limitations. Thrombin is one of the most important platelet
activators. The inhibition of protease-activated receptor 1 showed a good safety
profile in preclinical studies. In fact, phase II studies with vorapaxar
(SCH530348) and atopaxar (E5555) showed no increase of bleeding events in
addition to the current standard-of-care of antiplatelet therapy. Although the
results of phase III trials for both drugs are awaited, this family is a
promising new addition to the current clinical practice for patients with
atherothrombotic disease, not only as an alternative, but also as additional
therapy.
PMID- 21906121
TI - Anti-platelet therapy: glycoprotein IIb-IIIa antagonists.
AB - Glycoprotein (GP) IIb-IIIa antagonists inhibit the aggregation of activated
platelets. Three agents are approved for clinical use. In this review, the
characteristics of each agent, their pharmacodynamic profile, results in pivotal
clinical trials and the associated clinical implications are discussed. GP IIb
IIIa antagonists have greatest benefit when used as adjunctive therapy during
percutaneous coronary intervention (PCI) when the patient has intra-coronary
thrombosis. These agents appear to provide greatest benefit when used in
combination with heparin. The clinical niche for parenteral GP IIb-IIIa
antagonists is evolving. The rapid onset and offset of GP IIb-IIIa antagonists
plus dosing designed to inhibit extensively platelet aggregation differentiates
them from oral agents. The contemporary niche appears to include patients in
transition, such as individuals requiring emergent PCI before oral agents are
fully active and for unstable patients requiring transport to PCI centres,
particularly in patients likely to have intracoronary thrombus. Subsequent
studies should evaluate the optimal duration of therapy with GP IIb-IIIa
antagonists.
PMID- 21906125
TI - A review of the biological and clinical characteristics of luminal-like oestrogen
receptor-positive breast cancer.
AB - Global gene expression profiling (GEP) studies of breast cancer have identified
distinct biological classes with different clinical and therapeutic implications.
Oestrogen receptor (ER) has been found to be a central marker of the molecular
signature. GEP studies have consistently recognized a molecularly distinct class
of tumours that is characterized by high-level expression of ER and other
biomarkers recognized to be characteristic of normal luminal cells of the breast.
This class is the largest of the GEP-defined molecular subclasses, comprising 60
70% of breast cancer cases. Moreover, it has been proposed that this group of
tumours is composed of at least two subclasses distinguished by differing GEP
profiles. At present, there is no consensus on the definition of the luminal
subclasses and, in clinical practice, luminal-like tumours and ER-positive
tumours are frequently considered to be the same. A better understanding of the
biological features of luminal tumours could lead to their improved
characterization and consistent identification. In this review, we explore the
concept and definitions of the luminal-like class of breast carcinoma and their
contribution to our understanding of their molecular features, clinical
significance and therapeutic implications.
PMID- 21906127
TI - Chromosomal aberrations as detected by array comparative genomic hybridization in
early low-grade intraepithelial neoplasias of the breast.
AB - AIMS: Low-grade flat ductal intraepithelial neoplasia (DIN1a, flat epithelial
atypia) is one of the earliest morphologically recognizable neoplastic lesions of
the breast. Frequently, it occurs concomitantly with lobular intraepithelial
neoplasia (LIN). We aimed to elucidate chromosomal aberrations in these early
neoplastic breast lesions with the use of array comparative genomic hybridization
analysis. METHODS AND RESULTS: Laser capture microdissection of 12 archival
formalin-fixed, paraffin-embedded specimens harbouring foci of both DIN1a and LIN
was performed. All analysed cases of DIN1a and LIN showed chromosomal gains and
losses. The aberration encountered most often was loss of 16q, noted in seven
DIN1a (70% of those successfully examined) and 10 LIN (91%) cases. The next most
common alteration was a gain on 1q, noted in four DIN1a (40%) and seven LIN (64%)
cases. CONCLUSIONS: The results show concurrent chromosomal aberrations of 1q
gains and 16q losses in several cases with coexisting LIN and DIN1a. These
aberrations are known to be common in low-grade invasive (ductal and lobular)
carcinomas as well as in more advanced (conventional) types of low-grade ductal
intraepithelial neoplasia (DIN) (low-grade ductal carcinoma in situ). Our results
raise the possibility of similar molecular-genetic pathways in coexisting LIN and
low-grade flat DIN.
PMID- 21906126
TI - Histological grade of invasive carcinoma of the breast assessed on needle core
biopsy - modifications to mitotic count assessment to improve agreement with
surgical specimens.
AB - AIMS: Histological grade assessed on core biopsy of mammary invasive carcinomas
tends to underestimate the grade in the excision specimen. A major factor is
underestimation of mitoses. The aim of this study was to improve the assessment
of mitoses in core biopsies. METHODS AND RESULTS: In a test set (100 tumours),
reducing the threshold for a mitotic score of 2 from 11 mitoses per 10 high-power
fields (diameter 0.61 mm) to 6/10 resulted in improved agreement between core and
excision from 69% to 73%. In tumours graded as T3 P3 M1 (few tubules, marked
nuclear pleomorphism and few mitoses) on core (a group with poor agreement of
grade between core and surgical specimens), this change in threshold also
improved agreement in four tumours. Use of the mitotic count in the core of
axillary nodal metastasis, if higher than the count in the breast core, improved
agreement in two patients. Use of both approaches in a validation set (349
tumours) improved agreement from 65% to 70% in the whole group, and from 31% to
55% in tumours scored as T3 P3 M1 on the core. CONCLUSIONS: These strategies
improve the accuracy of grading on core biopsy and are of particular value in T3
P3 M1 tumours.
PMID- 21906128
TI - Much of the variation in breast pathology quality assurance data in the UK can be
explained by the random order in which cases arrive at individual centres, but
some true outliers do exist.
AB - AIMS: To investigate the role of random temporal order of patient arrival at
screening centres in the variability seen in rates of node positivity and breast
cancer grade between centres in the NHS Breast Screening Programme. METHODS AND
RESULTS: Computer simulations were performed of the variation in node positivity
and breast cancer grade with the random temporal arrival of patients at screening
centres based on national UK audit data. Cumulative mean graphs of these data
were plotted. Confidence intervals for the parameters were generated, using the
binomial distribution. UK audit data were plotted on these control limit graphs.
The results showed that much of the variability in the audit data could be
accounted for by the effects of random order of arrival of cases at the screening
centres. Confidence intervals of 99.7% identified true outliers in the data.
CONCLUSIONS: Much of the variation in breast pathology quality assurance data in
the UK can be explained by the random order in which cases arrive at individual
centres. Control charts with confidence intervals of 99.7% plotted against the
number of reported cases are useful tools for identification of true outliers.
PMID- 21906129
TI - Constructing tissue microarrays from core needle biopsies of breast cancers.
PMID- 21906130
TI - Can end organ damage in scleroderma be predicted based on nail fold dermatoscopy
findings?
PMID- 21906131
TI - Whither nursing models? The value of nursing theory in the context of evidence
based practice and multidisciplinary health care.
AB - AIM: This paper presents a discussion of the role of nursing models and theory in
the modern clinical environment. BACKGROUND: Models of nursing have had limited
success in bridging the gap between theory and practice. DATA SOURCES: Literature
on nursing models and theory since the 1950s, from health and social care
databases. DISCUSSION: Arguments against nursing theory are challenged. In the
current context of multidisciplinary services and the doctrine of evidence-based
practice, a unique theoretical standpoint comprising the art and science of
nursing is more relevant than ever. IMPLICATIONS FOR NURSING: A theoretical
framework should reflect the eclectic, pragmatic practice of nursing. CONCLUSION:
Nurse educators and practitioners should embrace theory-based practice as well as
evidence-based practice.
PMID- 21906132
TI - Rapidly progressive systemic sclerosis associated with breast carcinoma: report
of a case with anti-RNA polymerase III antibody.
PMID- 21906133
TI - Temporal triangular alopecia: trichoscopic diagnosis.
PMID- 21906134
TI - Case of tubular apocrine adenoma with follicular differentiation located on the
trunk.
PMID- 21906135
TI - Livedo reticularis as an initial clinical manifestation of gemcitabine-induced
hemolytic uremic syndrome.
PMID- 21906136
TI - Perilipin and adipophilin expression regulated by Rho-kinase during
differentiation of hamster sebocyte.
PMID- 21906137
TI - Extensive subcutaneous fat necrosis of the newborn associated with therapeutic
hypothermia.
AB - Subcutaneous fat necrosis of the newborn is a form of panniculitis that most
often occurs in full-term infants with predisposing risk factors. Three neonates
with hypoxic ischemic encephalopathy were treated with therapeutic hypothermia
and developed extensive subcutaneous fat necrosis. All three infants developed
extensive subcutaneous fat necrosis, involving the back, scalp, and arms. Mild,
asymptomatic hypercalcemia was noted in one infant in the weeks following the
subcutaneous fat necrosis. Hypothermia as a risk factor for subcutaneous fat
necrosis is reviewed. Clinicians should be aware of subcutaneous fat necrosis as
a possible risk factor and complication associated with asphyxiated newborns who
may undergo therapeutic hypothermia. Future studies for therapeutic hypothermia
should evaluate neonates for the development of subcutaneous fat necrosis.
PMID- 21906138
TI - Case report: Meningothelial hamartoma of the scalp in a 9-year-old child.
AB - Meningothelial hamartomas represent a collection of meningothelial elements in an
ectopic location. Lesions are histologically characterized as a proliferation of
connective tissue elements and small- and medium-sized vessels admixed with
meningothelial elements. Lesions are most often located on the scalp, present at
birth, and do not extend past the subcutis. We discuss the case of a 9-year-old
African American girl presenting with one such lesion on the parietal aspect of
the scalp.
PMID- 21906139
TI - Fatal leptomeningeal melanoma in neurocutaneous melanosis.
AB - We report an unusual occurrence of a primary dural-based malignant melanoma in a
13-year-old boy with neurocutaneous melanosis. The lesion presented with rapid
onset symptoms characterized by raised intracranial pressure and seizures, had an
aggressive clinical course, and proved to be fatal despite two surgeries and
adjuvant therapy. There should be a high index of suspicion for the occurrence of
such a malignant leptomeningeal tumor in patients with congenital melanocytic
nevi presenting with neurological symptoms of recent onset.
PMID- 21906140
TI - Coronary artery thickening with mucosal lesions in Henoch-Schonlein purpura.
AB - Henoch-Schonlein purpura (HSP) is the most common vasculitis of childhood. It is
usually self-limiting and is characterized by an immune complex-mediated
vasculitis associated with IgA deposition. We present an unusual case of HSP with
mucosal lesions and coronary artery thickening.
PMID- 21906141
TI - Congenital cutaneous candidiasis in two full-term infants.
AB - We describe two full-term infants who presented with congenital cutaneous
candidiasis (CCC) and compare their clinical presentation and outcome with that
of neonatal candidiasis and chronic mucocutaneous candidiasis. Although candidal
vulvovaginitis occurs in up to one-third of pregnancies, CCC is uncommon and can
be confused with more-serious pustular disorders that present in neonates.
Greater awareness of CCC is essential to make an early diagnosis and distinguish
it from other infections.
PMID- 21906142
TI - "Alternately divided" epidermal nevus of the fingers.
AB - A 2-year-old white girl with divided (or kissing) epidermal nevus of the third
and fourth fingers of the left hand is described. The possible pathogenesis of
this unique lesion is also discussed.
PMID- 21906143
TI - Profuse congenital familial milia with absent dermatoglyphics (Basan's Syndrome):
description of a new family.
AB - Milia are common, small, keratin-containing cysts frequently seen in all age
groups. They may arise spontaneously or develop after a variety of stimuli. They
can be found alone or as part of syndromes. We present a female neonate born not
only with profuse facial milia, but also with acral bullae and absent
dermatoglyphics. Similar features were seen in several members of her family.
These findings correspond to the syndrome known as Basan's syndrome, a rare
autosomal-dominant inherited dermatosis characterized by profuse congenital
milia, transient neonatal acral bullae, and absence of dermatoglyphics.
PMID- 21906144
TI - A novel heterozygous point mutation in the p63 gene in a patient with ectodermal
dysplasia associated with B-cell leukemia.
AB - We report a 7-year-old boy with a past medical history of B-cell leukemia with
dysmorphic features, including cleft palate, hypotrichosis with trichorrhexis
nodosa, hypohidrosis, oligodontia, and ridging of nails. A heterozygous germline
mutation, Ala111Thr, in the p63 gene was detected in the boy and in his mother,
who had no clinical expression. This case emphasizes the spectrum of different
phenotypical manifestations of mutations in the p63 gene and underlines the
possible role of this gene as a tumor suppressor.
PMID- 21906145
TI - Linear immunoglobulin a bullous disease of childhood responsive to intravenous
immunoglobulin monotherapy.
AB - We report a case of linear immunoglobulin A bullous disease in a 9-year-old boy
who presented with rapidly progressive severe disease and could not tolerate
dapsone because of high liver enzymes within a week after a low dose of dapsone
in association with an underlying fatty liver. He showed remarkable improvement
with intravenous immunoglobulins used as monotherapy, with a rapid clearance and
a sustained remission after stopping the treatment.
PMID- 21906146
TI - Severe cutaneous zygomycosis due to Basidiobolus ranarum in a young infant.
AB - Basidiobolomycosis classically presents as a noninflammatory, nonulcerated,
nontender woody indurated mass without much contiguous spread. It is almost
always seen in an immunocompetent host younger than 20. We report a case of a 9
month-old baby with a rapidly expanding malignant presentation of
basidiobolomycosis with nonhealing ulcers and spread to underlying muscles,
mimicking lymphoma. She responded poorly to itraconazole alone but showed
dramatic improvement with a combination therapy of itraconazole and potassium
iodide. The case also highlights an early acquisition of the infection at 1 month
of age.
PMID- 21906147
TI - Sturge-Weber syndrome in patients with facial port-wine stain.
AB - Facial port-wine stain (PWS) may be associated with cerebrovascular abnormalities
such as Sturge-Weber syndrome (SWS). In a large series, we aimed to assess which
topography of facial PWS can predict SWS. This was a cross-sectional study of
consecutive patients with facial PWS seen in pediatric dermatologic or
angiodysplasia consultations from 1993 to 2005 at the University Hospital Center
of Tours. A standardized form was used to collect data on clinical and imaging
findings. Patients with and without SWS were compared in terms of topography of
the cutaneous angioma and related ophthalmologic and neurologic features. Two
hundred fifty-nine patients were included, 15 with a diagnosis of SWS. All
patients with SWS showed involvement of the V1 trigeminal cutaneous area. SWS was
significantly associated with bilateral topography of the PWS, its extension to
another territory, and involvement of the upper eyelid. Knowledge of the
topography of facial PWS with risk of associated neurological or ocular anomalies
allows for early diagnosis of SWS and avoids unnecessary and costly radiography
for patients with uncomplicated facial PWS.
PMID- 21906148
TI - Acrodermatitis enteropathica: a novel SLC39A4 gene mutation found in a patient
with an early-onset.
AB - We report the case of a 3-month-old full-term, breast-fed infant with clinical
and laboratorial findings consistent with acrodermatitis enteropathica. In
addition, the mother had low zinc levels in her breast milk. Mutation analysis
revealed a novel insertion in the SLC39A4 gene.
PMID- 21906149
TI - Panton-Valentine leukocidin-producing Staphylococcal aureus: report of four
siblings.
AB - Panton-Valentine leukocidin (PVL)-producing Staphylococcus aureus results in
leukocyte destruction and tissue necrosis (Pediatric Dermatology 2007;24:401). It
can be associated with a spectrum of clinical manifestations that range from
localized staphylococcal skin infections to sometimes severe necrotizing
pneumonia (Clin Infect Dis 1999;29:1128). We report a case of four siblings,
three brothers whose atopic dermatitis was complicated by cutaneous lesions and
furunculosis, while their 21-month-old sister had a fatal PVL positive
staphylococcal pneumonia.
PMID- 21906150
TI - Neonatal linear immunoglobulin a bullous dermatosis: a rare presentation.
AB - Linear immunoglobulin A bullous dermatosis (LABD) is an autoimmune blistering
disease that most commonly presents in preschool-aged children. There have been
few neonatal reports, all of which had life-threatening aerodigestive
complications requiring mechanical intervention and systemic therapy. We present
a case of LABD in a neonate who had an uncomplicated course and was treated
conservatively with only low-potency topical corticosteroids and wound care
before resolution of his skin lesions.
PMID- 21906151
TI - Frontal congenital lipoma.
AB - Frontonasal dysplasia (FND) is a rare syndrome characterized by malformations of
the central portion of the face, especially of the forehead, nose, and philtrum.
FND is associated with hypertelorism, a hidden encephalocele, and a cleft of the
nose. Occasionally, affected individuals also experience abnormalities of the
brain and craniofacial bones. In such cases, a frontal lipoma or calcification of
the falx cerebri suggest the existence of a lipoma of the corpus callosum. We
present the case of a male newborn with a congenital lipoma 15 mm in size located
in the medial frontal line. Magnetic resonance imaging confirmed complete
agenesis of the corpus callosum. While there is controversy about the association
of frontal lipoma and lipoma of the corpus callosum and FND, we believe our case
supports the concept that the changes are due to the same underlying pathogenic
mechanism. Therefore, we recommend imaging of the central nervous system in
newborns with a congenital lipoma located in the craniofacial midline.
PMID- 21906152
TI - Kawasaki disease and alopecia areata: coincidence or a true association?
AB - A 10-year-old boy presented with fever, stiff neck, and rash over the legs.
During the course of his hospital stay, the clinical picture gradually evolved,
and he met the criteria for Kawasaki disease (KD) on the seventh day of
hospitalization. During this period, he also developed alopecia areata. He was
managed with intravenous immunoglobulin, aspirin, and intralesional
triamcinolone. This is the first case of alopecia areata with KD in the
literature, and it does not appear to be a mere coincidence. We discuss the
probable mechanisms of alopecia areata with KD, an association that has not been
reported before.
PMID- 21906153
TI - Familial androgenetic alopecia in siblings with normal endocrinological status.
AB - Androgenetic alopecia (AGA) is the most common type of hair loss in adults, but
it has been rarely reported in children. We report two cases of AGA in two
siblings, aged 6 and 8, whose mother had the same condition, without any
association with other skin diseases or abnormalities in endocrinologic
examinations.
PMID- 21906154
TI - Opalescent grouped vesicles over the face: an important indicator of
staphylococcal septicemia.
AB - We present a report of three cases with vesicles containing opalescent fluid
grouped over the face and scattered on the trunk and limbs. Culture of the fluid
aspirated from the vesicles grew Staphylococcus aureus in two of the three cases.
The observation of vesicles grouped on the face in a sick febrile child should
prompt the diagnosis of a severe staphylococcal septicemia.
PMID- 21906155
TI - Cutaneous mastocytosis exacerbated by pinworms in a young boy.
AB - Cutaneous mastocytosis in children has an indolent course and undergoes
spontaneous regression. Many triggering factors may cause mast cell degranulation
and clinical manifestations. Knowledge of these factors is important for patients
and their families. We report a case of exacerbation of urticaria pigmentosa due
to mast cell degranulation caused by Enterobius vermicularis, which has not been
reported before as a triggering factor.
PMID- 21906156
TI - Febrile ulceronecrotic Mucha-Habermann disease in a 34-month-old boy: a case
report and review of the literature.
AB - We report a case of febrile ulceronecrotic Mucha-Habermann disease (FUMHD) in a
34-month-old boy. Our patient had a history of biopsy-proven pityriasis
lichenoides et varioliformis acuta (PLEVA) since age 2. At 34 months, his skin
lesions rapidly progressed to ulceration and necrosis in the setting of high
fever. Skin biopsy revealed an intense lichenoid infiltrate with parakeratosis at
the edges of areas of epidermal necrosis consistent with FUMHD, which is the
severe variant of PLEVA. Despite initial treatment with prednisolone, his disease
progressed to involve more than 50% of his body surface area. In addition to
corticosteroids, he was treated with intravenous immunoglobulin, dapsone, and
acyclovir, without complete resolution of disease. Methotrexate successfully
cleared his skin disease and systemic symptoms. This patient highlights the
exceptional response of FUMHD to methotrexate. Based on our review of the
literature, this also represents the youngest reported case of FUMHD.
PMID- 21906157
TI - Multiple cutaneous plexiform schwannomas as initial presentation of
neurofibromatosis 2 in a 9-year-old.
AB - Neurofibromatosis 2 (NF2) is an autosomal-dominant disease caused by genetic
mutations of the NF2 gene on chromosome 22. Patients are often diagnosed
according to the presence of bilateral vestibular schwannomas and other tumors in
the brain and spinal cord. In children, NF2 can present early with ocular
findings and cutaneous tumors. We report here a 9-year-old girl who presented
with multiple pigmented, slightly tender plaques on her scalp, face, and back
that were revealed by histopathology to be plexiform schwannomas. We suspected
NF2 and sent the patient's blood for genetic testing, which confirmed our
diagnosis.
PMID- 21906158
TI - Coexistence of two atypical variants of pityriasis rosea: a case report and
review of literature.
AB - We report the case of a 16-year-old Indian girl who presented with multiple small
papular lesions and larger erythema multiforme-like plaques in a Christmas tree
pattern over her body for 3 weeks. Histopathologic examination was performed, and
she was diagnosed with pityriasis rosea presenting with two atypical
morphological variants-generalized papular and erythema multiforme-like. A brief
review of literature of these two rare types is also presented.
PMID- 21906159
TI - Aneurysmal dilatation of the inferior vena cava.
AB - AIM: Inferior vena cava aneurysms (IVCA) are rare, unlike aortic aneurysms. The
diagnosis and treatment is challenging. This study defines clinical and
echocardiographic findings in a prospective cohort of sixteen patients with
fusiform IVCA. METHODS AND RESULTS: All patients referred to the Mayo Clinic
between January 2006 and July 2009 for a clinically indicated echocardiogram
(36,128 patients) were screened for a dilated IVC. Sixteen cases of fusiform IVCA
were identified. Eleven cases (68.8%) were female. Mean age at presentation was
76 years (range 51-89). Eleven (68.8%) had structural heart disease: with right
ventricular (RV) dysfunction in 45.5% (n = 5), moderate or greater tricuspid
regurgitation (TR) was seen in 36.4% (n = 4) and RV enlargement was seen in 18.2%
(n = 2). The most common clinical indication for echocardiography was dyspnea
(25%; n = 4) and heart failure (18.8%; n = 3). The mean IVCA diameter was 4.1 cm
(range 3.8-5 cm) and the mean length of the aneurysms was 6.2 cm (range 3.5-8.7
cm), with mean right ventricular systolic pressure of 55 mmHg (range 31-105
mmHg). Five (31.3%) had at least a moderate reduction in right ventricular
ejection fraction and five (31.3%) had significant TR. Among these five patients
with significant TR, severe TR was present in 80%; (n = 4) and moderate to severe
TR was present in 20%; (n = 1). CONCLUSIONS: IVC aneurysms are more common in the
elderly, and is associated with an increase in right sided heart pressures,
significant TR, and RV dysfunction.
PMID- 21906161
TI - Echo Doppler predictors of pulmonary artery hypertension in patients with
systemic sclerosis.
AB - OBJECTIVES: Evaluate echocardiographic predictors of pulmonary artery
hypertension (PAH) in a prospective cohort of patients with systemic sclerosis
(SSc). METHODS: 38 patients with SSc who did not have PAH and significant left
heart disease, with peak tricuspid regurgitant velocity (TRV) <= 2.8 m/sec and
systolic pulmonary artery pressure (sPAP) < 40 mmHg on echo Doppler were
enrolled. Patients underwent: clinical assessment, NT-proBNP, and DLco
measurements. Echo Doppler evaluation included right ventricular (RV) dimensions,
tricuspid annular plan systolic excursion, fractional area change, tricuspid DTI
systolic velocity, Tei index, pulmonary flow acceleration time (AcT), ratio of
TRV to RV outflow tract time-velocity integral (TVI) and a parameter of disturbed
RV ejection (TRV/AcT). After a planned 12-month follow-up we evaluated the
predictive value of these parameters for the development of PAH, as demonstrated
by right heart catheterization (RHC). Criteria for RHC were TRV >= 3 m/sec or
sPAP >= 40 mmHg. RESULTS: Four patients developed PAH. Only TRV/TVI and TRV/AcT
ratios significantly predicted PAH development (TRV/TVI ratio >= 0.16 [predefined
and ROC confirmed]: OR 99, CI 95%: 4.865-2015, P = 0.004; TRV/AcT ratio >= 0.022
[predefined and ROC confirmed]: OR 12.68, CI 95% 1.163-379.3, P = 0.036). Both
parameters showed a good diagnostic power (TRV/TVI ratio: ROC area 79%,
sensitivity 75%, specificity 97% and diagnostic accuracy 94.74% for cutoff value
of 0.16; TRV/AcT ratio: ROC area 75%, sensitivity 75%, specificity 71% and
diagnostic accuracy 72% for cutoff value of 0.022). CONCLUSIONS: This prospective
study identified increased values of the two ratios TRV/TVI and TRV/AcT as
predictors of PAH in SSc.
PMID- 21906162
TI - Subclinical left ventricular dysfunction in asymptomatic chronic mitral
regurgitation patients with normal ejection fraction: a combined tissue Doppler
and velocity vector imaging-based study.
AB - BACKGROUND: The optimal timing of the surgery in asymptomatic severe mitral
regurgitation (MR) remains a challenge. The aim of the study is to evaluate the
subclinical changes in LV longitudinal functions by using a novel strain imaging
technique; velocity vector imaging (VVI); in patients with chronic MR. METHODS
AND RESULTS: We studied 54 patients with asymptomatic, nonischemic, chronic MR
(56.8 +/- 9 years and 56% male) and 30 healthy controls (55 +/- 6.5 years and 55%
male) with normal ejection fraction. Patients with MR were analyzed in tertiles
according to their regurgitant volumes (RV) and regurgitant fractions (RF): mild
MR (RV < 30 mL, RF < 30% n = 7), moderate MR (RV: 30-59 mL, RF = 30-50%; n = 29),
and severe MR (RV > 60 mL, RF >= 50%; n = 18). Conventional echocardiography and
VVI-based strain imaging were performed to analyze LV functions. LV longitudinal
peak systolic strain and strain rate (SRs) were significantly impaired in
moderate and severe MR patients. Changes in LV longitudinal deformation were more
significant in patients with severe MR. All deformation parameters showed a
marked negative correlation with RV (LV Strain r =-0.583, P = 0.0001; LV SR r =
0.408, P = 0.002, respectively). CONCLUSIONS: LV long-axis functions are
important markers of LV contractility in MR patients. Novel echocardiographic
techniques may provide additional data on subclinical changes in the LV and give
way to the optimal timing for the surgery in severe MR patients.
PMID- 21906163
TI - Progressive subclinical left ventricular systolic dysfunction in severe aortic
regurgitation patients with normal ejection fraction: a 24 months follow-up
velocity vector imaging study.
AB - OBJECTIVES: We aimed to evaluate long-term changes in left ventricular (LV)
longitudinal systolic functions in patients with asymptomatic, severe aortic
regurgitation (AR) by using novel 2D strain imaging. METHODS AND RESULTS: Thirty
severe AR patients with normal ejection fraction (EF) and 30 healthy controls
were evaluated by both conventional echocardiography and velocity vector maging
(VVI) based strain imaging at baseline and 24 months follow-up. To evaluate LV
longitudinal systolic function, segmental peak systolic strain and strain rate
(SRs) data were acquired from apical four-chamber, two-chamber and long-axis
views. Longitudinal peak systolic strain and SRs of the LV were decreased in
patients with severe AR compared to controls at baseline (P = 0.0001). The
impairment was more significant in 24 months follow-up (P = 0.0001 for strain, P
= 0.01 for SRs). Longitudinal peak systolic strain was significantly correlated
with left ventricular end-diastolic (LVEDD; r =-0.42, P = 0.0001) and left
ventricular end-systolic diameter (LVESD) (r =-0.41, P = 0.0001) There was also a
strong negative correlation between LV SRs and LVEDD (r =-0.50, P = 0.0001), and
LVESD (r =-0.39, P = 0.0001). CONCLUSIONS: VVI-derived strain and SRs may be used
as adjunctive, noninvasive parameters in the assessment of subclinical LV
dysfunction and its progress during clinical follow-up, in patients with severe
AR.
PMID- 21906164
TI - Management of coronary artery disease in end-stage renal disease.
AB - Despite a substantial number of patients with end-stage renal disease who have
coronary artery disease, the comparative effectiveness of revascularization
procedures such as coronary artery bypass grafting and percutaneous coronary
intervention remain unclear. Innovations in the field of coronary artery
revascularization and concomitant changes in the standard of practice have
improved outcomes in general. However, meaningful clinical decision-making
remains difficult because it requires clinicians to extrapolate evidence derived
from studies in the general population to patients with kidney disease for whom
there is limited information from intervention trials. In non-randomized studies,
this high-risk population for cardiovascular morbidity and mortality appear to
derive substantial benefits from coronary revascularization. However, specific
treatment decisions are often made based upon individual circumstances and
contexts that are not well captured in these studies. This article reviews the
available evidence, and its limitations, for deciding between various
revascularization strategies for patients with end-stage renal disease. Several
considerations that arise while making such decisions are discussed.
PMID- 21906165
TI - Erythropoietin-stimulating agents in chronic kidney disease: a response to
hyporesponsiveness.
AB - Hyporesponsiveness to erythropoietin stimulating agents occurs frequently, and
may be observed at initiation of treatment or during maintenance therapy. An
inverse relationship between hyporesponsiveness and incident cardiovascular
events has been reported. It is related at least in part to co-morbidity and its
occurrence requires a search for the cause. Treatment of anemia in hyporesponsive
patients should be individualized, with consideration given to the indication for
ESA therapy, the target hemoglobin for therapy, and maximal dose limitations.
PMID- 21906167
TI - Conditionally funded field evaluations--a solution to the economic barriers
limiting evidence generation in dialysis?
AB - Evaluation of new therapies after licensing is usually a binary decision by
payers; to fund or not to fund. In the real world, many therapies fall into a
gray zone of incomplete evaluation. Many clinical and economic issues in
nephrology have combined to create a long list of such promising but incompletely
evaluated therapies. This article focuses on the economic challenges that limit
evidence generation in nephrology. Conditionally funded field evaluations such as
coverage with evidence development can allow both earlier access to new
treatments and rigorous evaluation. The authors propose that field evaluations
will stimulate an environment that promotes pivotal renal care advances.
Certainly, the evidence challenge faced by nephrology requires urgent discussions
on creating conditions that catalyze and accelerate innovation, and improve
patient outcomes.
PMID- 21906166
TI - Standardized definitions for hemodialysis vascular access.
AB - Vascular access dysfunction is one of the leading causes of morbidity and
mortality among end-stage renal disease patients. Vascular access dysfunction
exists in all three types of available accesses: arteriovenous fistulas,
arteriovenous grafts, and tunneled catheters. To improve clinical research and
outcomes in hemodialysis (HD) access dysfunction, the development of a
multidisciplinary network of collaborative investigators with various areas of
expertise, and common standards for terminology and classification in all
vascular access types, is required. The North American Vascular Access Consortium
(NAVAC) is a newly formed multidisciplinary and multicenter network of experts in
the area of HD vascular access, who include nephrologists and interventional
nephrologists from the United States and Canada with: (1) a primary clinical and
research focus in HD vascular access dysfunction, (2) national and
internationally recognized experts in vascular access, and (3) a history of
productivity measured by peer-reviewed publications and funding among members of
this consortium. The consortium's mission is to improve the quality and
efficiency in vascular access research, and impact the research in the area of HD
vascular access by conducting observational studies and randomized controlled
trials. The purpose of the consortium's initial manuscript is to provide working
and standard vascular access definitions relating to (1) epidemiology, (2)
vascular access function, (3) vascular access patency, and (4) complications in
vascular accesses relating to each of the vascular access types.
PMID- 21906169
TI - Anemia and iron management.
PMID- 21906168
TI - A proposed approach to the dialysis prescription in severely hyponatremic
patients with end-stage renal disease.
AB - Patients with renal failure and severe hyponatremia present a therapeutic
dilemma. Conventional hemodialysis is necessary to correct blood chemistries and
volume overload, yet it may raise serum sodium (Na) too quickly, potentially
resulting in osmotic demyelination syndrome. We present the case of a patient who
presented with renal failure requiring dialysis and also with a serum Na of 112
mEq/l. Using a dialysate Na concentration of 130 mEq/l and by limiting the blood
flow to 50 ml/minute, we were able to raise her serum Na by only 2 mEq/l/hour
during her hemodialysis treatment and thus control both the rate and total change
in the patient's serum Na.
PMID- 21906170
TI - Impact of the ratio of graft kidney volume to recipient body surface area on
graft function after live donor kidney transplantation.
AB - Functioning nephron mass is a determinant of the graft function of kidney
transplant recipients. The graft kidney volume and its weight have been reported
to be surrogates of the nephron mass. To investigate the impact of the ratios of
the surrogates to recipient body surface area (BSA) and body weight on the graft
function within six months post-transplantation, we measured the graft kidney
volume, using computed tomography with 3-dimensional reconstruction before
transplantation, and measured the graft kidney weight during surgery. Ninety-four
cases of live donor kidney transplants were included in this study. The graft
kidney volume/recipient BSA ratio was correlated with the glomerular filtration
rate (GFR) of recipients at one and six months post-transplantation (r = 0.416, p
< 0.001 and r = 0.381, p < 0.001, respectively). We found a difference in the
graft function between recipients with a graft kidney volume/recipient BSA ratio
of >=90.9 mL/m(2) and those with a ratio of <90.9 mL/m(2) (p < 0.001).
Multivariate analysis demonstrated that the graft kidney volume/recipient BSA
ratio and donor age are independent predictors of recipient GFR at one and six
months post-transplantation (p < 0.05). During living donor and recipient
matching, both the potential volume of the donated kidney and the body size of
recipient should be considered.
PMID- 21906171
TI - Age, gender, and body mass index are associated with renal function after kidney
donation.
AB - Renal function is thoroughly evaluated before live kidney donation. However, some
donors experience impaired recovery of renal function after donation. Our aim was
to assess estimated glomerular filtration rate (eGFR) and mean relative (%)
increase in creatinine one yr after donor nephrectomy. The study was based on
retrospective data from kidney donors during the period 1997-2009. Pre-operative
and one-yr follow-up data were available for 721 of 1067 donors. Mean relative
increase in creatinine and eGFR were stratified by gender, body mass index (BMI),
and age at donation. At one yr post-donation, overweight (BMI > 5 kg/m(2) ) women
50 yr or older experienced the lowest eGFR of 49.6 +/- 8.8 mL/min/1.73 m(2) . Men
younger than 50 yr with normal weight (BMI < 25 kg/m(2) ) had the highest eGFR of
66.6 +/- 10.4 mL/min/1.73 m(2) . Overweight men 50 yr or older had the highest
relative increase in creatinine of 49.4% compared to pre-donation. Men under 50
yr with normal weight had the smallest increase in creatinine of 35.2%. In
multivariate analysis, older age (p < 0.001), male gender (p < 0.001), and
overweight (p = 0.01) were associated with relative increase in creatinine after
donation. Potential donors should be offered counseling regarding overweight, as
this is a modifiable risk factor.
PMID- 21906172
TI - Clinical application of mTORi based immunosuppression for renal transplantation
in India.
AB - Long-term renal graft survival is hampered by allograft dysfunction and
cardiovascular disease resulting from calcineurin inhibitors (CNIs). This has led
to the development of immunosuppressive regimens involving mammalian target of
rapamaycin (mTOR) inhibitors, sirolimus and everolimus. They seem to provide long
term benefits for kidney function in transplant patients because of their anti
proliferation and anti-tumor properties and absence of nephrotoxicity. Their use
has been evaluated in therapeutic regimens aimed at reducing the nephrotoxicity
associated with CNIs. Both proactive and reactive strategies have been used.
Whether existing strategies of using mTORi in renal transplantation is applicable
for Indian patient's remains to be seen. Data on side effect profile, economic
viability and the impact of these drugs on infections, particularly in India, are
worth documenting. After briefly reviewing available data from India, this
article explores the indications, patient populations; timing and practical
aspects as well as the safety and efficacy of mTORi-based regimens for renal
transplantation and suggests a framework which could allow transplant physicians
to tailor its use to their own practice with particular reference to the Indian
subcontinent.
PMID- 21906173
TI - The Right Organ for the Right Recipient: the Ninth Annual American Society of
Transplant Surgeons' State-of-the-Art Winter Symposium.
AB - With an increasing number of individuals with end-stage organ disease and the
increasing success of organ transplantation, the demand for transplants has
steadily increased. This growth has led to a greater need to utilize organs from
as many donors as possible. As selection criteria have become less stringent to
accommodate increasing demand, transplant outcomes are more strongly influenced
by recipient and donor factors; thus, finding the right organ for the right
recipient is more important than ever. The Ninth Annual American Society of
Transplant Surgeons (ASTS) State-of-the-Art Winter Symposium, entitled "The Right
Organ for the Right Recipient," addressed the matching of donor organs to
appropriate recipients. Representative dilemmas in the matching of donor organs
with recipients were discussed. These included the following: matching by donor
and recipient risk characteristics; use of organs with risk for disease
transmission; biologic incompatibility; use of organs from donors after cardiac
death; the justification for combined organ transplants like liver-kidney and
kidney-pancreas; and the role of allocation in facilitating the matching of
donors and recipients. Regardless of the particular issue, decisions about donor
recipient matching should be evidence-based, practical, and made with the goal of
maximizing organ utilization while still protecting individual patient interests.
PMID- 21906174
TI - Oral motor performance in spastic cerebral palsy individuals: are hydration and
nutritional status associated?
AB - BACKGROUND: Previous studies reported alterations in salivary parameters in
cerebral palsy (CP) individuals; however, none of these considered oral motor
performance as possibly responsible for these conditions. The aim of this study
was to investigate the influence of oral motor performance on the nutritional
status and salivary parameters in individuals with CP. METHODS: Forty-three
individuals aged 11-19 years-old, with spastic CP were included in this study.
Oral motor performance was evaluated using the Oral Motor Assessment Scale, which
classified the individuals into two groups: subfunctional or functional.
Unstimulated saliva was collected and the flow rate was calculated (ml/min).
Salivary osmolality was measured using a freezing point depression osmometer.
Blood samples were collected to evaluate complete blood count, total protein,
albumin/globulin ratio and transferrin levels. RESULTS: The subfunctional (n =
21) and the functional group (n = 22) did not differ regarding sex (P = 0.193),
however the functional group was older (P = 0.023) and had a higher mean BMI (P <
0.001). The subfunctional CP group presented a reduction in salivary flow rate
(36.4%) (P < 0.01) and an increase in salivary osmolality (35.5%) (P < 0.001)
compared to the functional group. Slightly lower values for red blood cells
(millions/mm(3) ) (P < 0.001), hemoglobin (g/dl) (P < 0.009), hematocrit (%) (P =
0.001), number of platelets (N/mm(3) ), total protein (g/dl) and albumin/globulin
ratio (P = 0.003 and P = 0.036, respectively) were determined for the
subfunctional group, but within the normal range of normality. CONCLUSION:
Cerebral palsy individuals appear to present impaired adequate hydration due to
compromised oral motor performance.
PMID- 21906175
TI - Norovirus, gastroenteritis, and indoor environmental quality.
PMID- 21906176
TI - Geographical variation and the determinants of domestic endotoxin levels in
mattress dust in Europe.
AB - Endotoxin exposures have manifold effects on human health. The geographical
variation and determinants of domestic endotoxin levels in Europe have not yet
been extensively described. To investigate the geographical variation and
determinants of domestic endotoxin concentrations in mattress dust in Europe
using data collected in the European Community Respiratory Health Survey follow
up (ECRHS II). Endotoxin levels were measured in mattress dust from 974 ECRHS II
participants from 22 study centers using an immunoassay. Information on
demographic, lifestyle, and housing characteristics of the participants was
obtained in face-to-face interviews. The median endotoxin concentration in
mattress dust ranged from 772 endotoxin units per gram (EU/g) dust in Reykjavik,
Iceland, to 4806 EU/g in Turin, Italy. High average outdoor summer temperature of
study center, cat or dog keeping, a high household crowding index, and visible
damp patches in the bedroom were significantly associated with a higher endotoxin
concentrations in mattress dust. There is a large variability in domestic
endotoxin levels across Europe. Average outdoor summer temperature of study
center, which explains only 10% of the variation in domestic endotoxin level by
center, is the strongest meteorological determinant. The observed variation needs
to be taken into account when evaluating the health effects of endotoxin
exposures in international contexts. PRACTICAL IMPLICATIONS: The incoherent
observations of the health effects of endotoxin may be partly owing to the
geographical heterogeneity of endotoxin exposure. Therefore, the observed
variation should be considered in further studies. Measurements of indoor
endotoxin are recommended as an indicator for the level of exposures of
individual domestic environments.
PMID- 21906177
TI - Large-eddy simulation of human-induced contaminant transport in room
compartments.
AB - A large-eddy simulation is used to investigate contaminant transport owing to
complex human and door motions and vent-system activity in room compartments
where a contaminated and clean room are connected by a vestibule. Human and door
motions are simulated with an immersed boundary procedure. We demonstrate the
details of contaminant transport owing to human- and door-motion-induced wake
development during a short-duration event involving the movement of a person (or
persons) from a contaminated room, through a vestibule, into a clean room.
Parametric studies that capture the effects of human walking pattern, door
operation, over-pressure level, and vestibule size are systematically conducted.
A faster walking speed results in less mass transport from the contaminated room
into the clean room. The net effect of increasing the volume of the vestibule is
to reduce the contaminant transport. The results show that swinging-door motion
is the dominant transport mechanism and that human-induced wake motion enhances
compartment-to-compartment transport. PRACTICAL IMPLICATIONS: The effect of human
activity on contaminant transport may be important in design and operation of
clean or isolation rooms in chemical or pharmaceutical industries and intensive
care units for airborne infectious disease control in a hospital. The present
simulations demonstrate details of contaminant transport in such indoor
environments during human motion events and show that simulation-based
sensitivity analysis can be utilized for the diagnosis of contaminant
infiltration and for better environmental protection.
PMID- 21906178
TI - The economics of successes and failures in animal breeding.
PMID- 21906179
TI - Building phenotype networks to improve QTL detection: a comparative analysis of
fatty acid and fat traits in pigs.
AB - Models in QTL mapping can be improved by considering all potential variables,
i.e. we can use remaining traits other than the trait under study as potential
predictors. QTL mapping is often conducted by correcting for a few fixed effects
or covariates (e.g. sex, age), although many traits with potential causal
relationships between them are recorded. In this work, we evaluate by simulation
several procedures to identify optimum models in QTL scans: forward selection,
undirected dependency graph and QTL-directed dependency graph (QDG). The latter,
QDG, performed better in terms of power and false discovery rate and was applied
to fatty acid (FA) composition and fat deposition traits in two pig F2 crosses
from China and Spain. Compared with the typical QTL mapping, QDG approach
revealed several new QTL. To the contrary, several FA QTL on chromosome 4 (e.g.
Palmitic, C16:0; Stearic, C18:0) detected by typical mapping vanished after
adjusting for phenotypic covariates in QDG mapping. This suggests that the QTL
detected in typical mapping could be indirect. When a QTL is supported by both
approaches, there is an increased confidence that the QTL have a primary effect
on the corresponding trait. An example is a QTL for C16:1 on chromosome 8. In
conclusion, mapping QTL based on causal phenotypic networks can increase power
and help to make more biologically sound hypothesis on the genetic architecture
of complex traits.
PMID- 21906180
TI - Expression study on the porcine PTHLH gene and its relationship with sow teat
number.
AB - Teat number is an important trait in sows that should accompany the increase in
litter size that has been achieved in the last decades through selection. We have
previously identified a genome-wide significant QTL for teat number in porcine
chromosome SSC5 by means of an experimental Meishan by Iberian F(2) intercross
population. In the present report, we have studied the porcine parathyroid
hormone-like hormone (PTHLH) gene, which maps to SSC5, as a candidate gene for
this trait, as PTHLH is involved in nipple formation during embryogenesis and
nipple development during pregnancy and lactation. We have found that porcine
PTHLH gene is transcribed into three mRNA species differing in the 5'UTR region.
Two of these variants are reported in pigs here for the first time: one was
similar to variant 1 described in humans while the other, which was generated by
the retention of two small introns, has not been identified before in any other
species. In addition, mRNA expression profile for two of the mRNA variants was
assessed in 19 pig tissues. Porcine PTHLH showed a widespread expression as it
was present in all tested tissues and relative expression of each variant was
tissue dependent. Finally, we have performed an association study between a non
synonymous mutation in the coding region of this gene and sow teat number. The
PTHLH polymorphism was segregating in our Meishan by Iberian F(2) population at
intermediate allelic frequencies. We compared here six different statistical
models to choose the one with a better fit and a lower degree of complexity.
However, despite the potential negative effect of the PTHLH mutation in the
signal peptide of this protein, we did not detect any association between the
PTHLH genotype and the sow teat number phenotype, concluding that the causal
mutation of the observed QTL is very likely not related to this gene.
PMID- 21906181
TI - Use of bioinformatic SNP predictions in differentially expressed genes to find
SNPs associated with Salmonella colonization in swine.
AB - Asymptomatic Salmonella-carrier pigs present a major problem in preharvest food
safety, with a recent survey indicating >50% of swine herds in the United States
have Salmonella-positive animals. Salmonella-carrier pigs serve as a reservoir
for contamination of neighbouring pigs, abattoir pens and pork products. In
addition, fresh produce as well as water can be contaminated with Salmonella from
manure used as fertilizer. Control of Salmonella at the farm level could be
through genetic improvement of porcine disease resistance, a potentially powerful
method of addressing preharvest pork safety. In this research, we integrate gene
expression profiling data and sequence alignment-based prediction of single
nucleotide polymorphisms (SNPs) to successfully identify SNPs in functional
candidate genes to test for the associations with swine response to Salmonella. A
list of 2527 genes that were differentially regulated in porcine whole blood in
response to infection with Salmonella enterica serovar Typhimurium were selected.
In those genes, SNPs were predicted using ANEXdb alignments based on stringent
clustering of all publically available porcine cDNA and expressed sequence tag
(EST) sequences. A set of 30 mostly non-synonymous SNPs were selected for
genotype analysis of four independent populations (n = 750) with Salmonella
faecal shedding or tissue colonization phenotypes. Nine SNPs segregated with
minor allele frequency >=15% in at least two populations. Statistical analysis
revealed SNPs associated with Salmonella shedding, such as haptoglobin (HP, p =
0.001, q = 0.01), neutrophil cytosolic factor 2 (NCF2 #2, p = 0.04, q = 0.21) and
phosphogluconate dehydrogenase (p = 0.066, q = 0.21). These associations may be
useful in identifying and selecting pigs with improved resistance to this
bacterium.
PMID- 21906182
TI - Fine-mapping of quantitative trait loci for body weight and bone traits and
positional cloning of the RB1 gene in chicken.
AB - Previously, a quantitative trait locus (QTL) that affects body weight (BW) at 4
12 weeks of age and carcass weight at 12 weeks of age had been mapped on chicken
chromosome 1. After including more markers and individuals, the confidence
interval was narrowed down to approximately 5.5 Mbps and located this QTL near a
microsatellite marker (ADL328). This QTL is the same as the QTL for 12 bone
traits, including metatarsus length and metatarsus circumference at 4, 6, 8, 10
and 12 weeks of age and keel length and metatarsus claw weight at 12 weeks of
age, that was identified using the same population. In the current study, 1010
individuals from the Northeast Agricultural University F(2) resource population
were used and 14 single-nucleotide polymorphism (SNPs) around ADL328 were
developed to construct haplotypes, and an association analysis was performed to
fine-map the QTL. The haplotypes were constructed on the basis of a sliding
'window', with three SNP markers included in each 'window'. The association
analysis results indicated that the haplotypes in 'windows' 6-12 were
significantly associated with BW and bone traits and suggested that the QTL for
BW and bone traits was located between SNP8 and SNP14 or was in linkage
disequilibrium with this region. The interval from SNP8 to SNP14 was
approximately 400 kbps. This region contained five RefSeq genes (RB1, P2RY5,
FNDC3A, MLNR and CAB39L) on the University of California Santa Cruz website. The
RB1 gene was selected as a candidate gene and five SNPs were identified in the
gene. The association results indicated that the RB1 gene was a major gene for BW
and bone traits. The SNPs g.39692 G>A and g.77260 A>G in RB1 gene might be two
quantitative trait nucleotides for BW and bone traits.
PMID- 21906183
TI - Association test between haplotypes and longitudinal traits in complex pedigrees.
AB - Evaluating the association of candidate genes with longitudinal traits would be a
useful method to study the genetic basis of complex traits. Haplotypes
incorporate more information about the underlying polymorphisms than do genotypes
for individual SNP, and have been considered as a more informative format of data
in association analysis. In this study, we extended the random regression model
to allow analysing haplotype effects in a longitudinal framework and then
performed a hierarchical Bayesian method to estimate parameter values. We
assessed the performance of the proposed approach and demonstrated its validity
and power with simulation. The power of our method was also demonstrated by an
example of Meishan pigs, in which one haplotype affecting the total number of
piglets born was detected using our method, whereas it cannot be detected using
the conventional single SNP-based model. Additionally, the model is flexible to
be extended to model a complex network of genetic regulation that includes the
interactions between different haplotypes and between haplotypes and
environments.
PMID- 21906184
TI - Evaluation of the utility of diagonal elements of the genomic relationship matrix
as a diagnostic tool to detect mislabelled genotyped animals in a broiler chicken
population.
AB - This study explored distributions of diagonal elements of genomic relationship
matrix (G), evaluated the utility of G as a diagnostic tool to detect mislabelled
animals in a genomic dataset and evaluated the effect of mislabelled animals on
the accuracy of genomic evaluation. Populations of 10 000 animals were simulated
with 60 000 SNP varying in allele frequency at each locus between 0.02 and 0.98.
Diagonal elements of G were distributed with a single peak (mean = 1.00 +/- 0.03)
and ranged from 0.84 through 1.36. Mixed populations were also simulated: 7 000
animals with frequencies of second alleles ranging from 0.02 through 0.98 were
combined with 1750 or 7000 animals with frequencies of second alleles ranging
from 0.0 through 1.0. The resulting distributions of diagonal elements of G were
bimodal. Body weight at 6 weeks was provided by Cobb-Vantress for broiler
chickens, of which 3285 were genotyped for 57 636 SNP. Analysis used a combined
genomic and pedigree relationship matrix; G was scaled using current allele
frequencies. The distribution of diagonal elements was multimodal and ranged from
0.54 to 3.23. Animals with diagonal elements >1.5 were identified as coming from
another chicken line or as having low call rates. Removal of mislabelled animals
increased accuracy by 0.01. For the studied type of population, diagonal elements
of G may be a useful tool to help identify mislabelled animals or secondary
populations.
PMID- 21906185
TI - Genetic diversity in an indigenous horse breed: implications for mating
strategies and the control of future inbreeding.
AB - The Franches-Montagnes is an indigenous Swiss horse breed, with approximately
2500 foalings per year. The stud book is closed, and no introgression from other
horse breeds was conducted since 1998. Since 2006, breeding values for 43
different traits (conformation, performance and coat colour) are estimated with a
best linear unbiased prediction (BLUP) multiple trait animal model. In this
study, we evaluated the genetic diversity for the breeding population,
considering the years from 2003 to 2008. Only horses with at least one progeny
during that time span were included. Results were obtained based on pedigree
information as well as from molecular markers. A series of software packages were
screened to combine best the best linear unbiased prediction (BLUP) methodology
with optimal genetic contribution theory. We looked for stallions with highest
breeding values and lowest average relationship to the dam population. Breeding
with such stallions is expected to lead to a selection gain, while lowering the
future increase in inbreeding within the breed.
PMID- 21906186
TI - Sinus bone formation and implant survival after sinus membrane elevation and
implant placement: a 1- to 6-year follow-up study.
AB - OBJECTIVES: To investigate the long-term clinical and radiographic results of the
maxillary sinus membrane elevation technique where implants were inserted in a
void space created by the elevation of the sinus membrane without adding any
graft material. MATERIALS AND METHODS: A total of 84 patients were subjected to
96 membrane elevation procedures and simultaneous placement of 239 implants.
Changes of intra-sinus and marginal bone height in relation to the implants were
measured in intraoral radiographs taken at insertion, after 6 months of healing,
after 6 months of loading and then annually. Computerized tomography was
performed pre-surgically and 6 months post-surgically. Resonance Frequency
Analyses measurements were performed at the time of implants placement, at
abutment connection and after 6 months of loading. The implant follow-up period
ranged from a minimum of one to a maximum of 6 years after implants loading.
RESULTS: All implants were stable after 6 months of healing. A total of three
implants were lost during the follow-up period giving a survival rate of 98.7%.
Radiography demonstrated on average 5.3+/-2.1 mm of intra-sinus new bone
formation after 6 months of healing. RFA measurements showed adequate primary
stability (implant stability quotient 67.4+/-6.1) and small changes over time.
CONCLUSION: Maxillary sinus membrane elevation and simultaneous placement of
implants without the use of bone grafts or bone substitutes result in predictable
bone formation with a high implant survival rate of 98.7% during a follow-up
period of up to 6 years. The intra-sinus bone formation remained stable in the
long-term follow-up. It is suggested that the secluded compartment allowed for
bone formation according to the principle of guided tissue regeneration. The high
implant survival rate of 98.7% indicated that the implants sufficiently supported
the fixed bridges throughout the study period. This technique reduces the risks
for morbidity related to harvesting of bone grafts and eliminates the costs of
grafting materials.
PMID- 21906188
TI - Cultivation with untransfected fibroblasts stimulates proliferation of a single
gene-modified fibroblast derived from a clawn miniature swine foetus.
AB - Porcine embryonic fibroblasts (PEFs) have been used extensively as donor nuclei
for the production of cloned pigs via somatic cell nuclear transfer (SCNT).
Somatic cell nuclear transfer of gene-targeted PEFs has been the only way to
produce gene-targeted pigs, given the lack of germ-line-competent porcine
embryonic stem cells. Unlike other primary-cultured cells, such as murine
embryonic fibroblasts, a single porcine PEF is unable to proliferate under normal
conditions in which a certain number of PEFs (likely over 100) can grow normally.
This limitation greatly hampers re-cloning of gene-modified PEFs, which is
required for SCNT. Herein, we demonstrate the cultivation of a single PEF
transfectant carrying the pEGFP-N1 plasmid with intact normal PEFs (>100) in a
Terasaki microtest plate, which resulted in stimulation of the growth of the
former cell (doubling time = 2.6 days). In contrast, when a single cell was
cultured, it could typically divide only once and never divided more than twice.
When a single transfectant was seeded in a well of a 96-well plate together with
5 * 10(4) untransfected PEFs and was subsequently selected in the presence of
G418, we obtained a pure cell population of single-cell origin. Thus, this method
should be useful for the purification of target recombinant pig clones from
mosaic populations that cannot be cultured as a single cell or for which suitable
cell growth-promoting conditions are unclear.
PMID- 21906189
TI - Effect of a bacterial lipopolysaccharide treatment on rabbit testis and
ejaculated sperm.
AB - In a previous study, we reported the short- and long-term effects of bacterial
lipopolysaccharide (LPS)-induced inflammation on rabbit sperm quality. This study
was aimed at exploring the spermatogenesis of the rabbit model focussing on the
possible damages occurring to the testis and ejaculated sperm. Twenty New Zealand
White rabbit bucks were divided into two groups. One group was inoculated intra
peritoneally with LPS, the other group, considered as control, was treated under
the same conditions with saline only. Semen samples were collected before LPS
injection, the 7th, 14th, 21st, 30th, 45th, 60th and 90th day after LPS
treatment. Semen parameters were evaluated following international guidelines.
The kinetic characteristics of ejaculated sperm were analysed using a computer
assisted sperm analyzer and the ultrastructural characteristics were explored by
transmission electron microscopy (TEM). On the 7th, 14th and 30th day, testis
from treated rabbits and controls were obtained. Testis samples were analysed by
light microscopy and TEM. The induced LPS lesions in the testis became evident
the 7th day after treatment, with a decrease in germinal cells and with an
increase in structurally altered Sertoli cells; normal spermatogenesis was
restored on the 30th day. The testicular damages observed on day 7 were probably
responsible for the reduction in sperm concentration and motility and the
ultrastructural alterations that were detected in the ejaculated sperm on the
14th through the 30th days after treatment. In conclusion, rabbit buck treated
with LPS could be a useful model for studying the effect of an induced systemic
inflammation on spermatogenesis.
PMID- 21906190
TI - Gene expression differences in oocytes derived from adult and prepubertal
Japanese Black cattle during in vitro maturation.
AB - The present study was carried out to compare the gene expression profiles in
oocytes derived from adult and prepubertal Japanese Black cattle during in vitro
maturation (IVM) using microarray gene chips (Bovine genome array containing
24,072 probe sets representing over 23,000 transcripts). Microarray experiments
were conducted using total RNA isolated from immature [germinal vesicle (GV)] and
in vitro matured [metaphase II, (MII)] oocytes derived from adult and prepubertal
animals. A total of 333 (1.4%) and 549 (2.3%) genes were differentially expressed
between prepubertal vs adult bovine GV and MII stages oocytes, respectively. Of
these, 176 and 312 genes were up-regulated, while 157 and 237 were down-regulated
in prepubertal when compared with adult GV and MII oocytes, respectively. It was
also observed that 695 (2.9%) and 553 (2.3%) genes were differentially expressed
between GV vs MII stage oocytes in the adult and prepubertal groups,
respectively. Gene ontological classification of the differentially expressed
genes revealed that up-regulated genes in adult oocytes were involved in signal
transduction, transcriptional control and transport. Quantitative reverse
transcription-PCR validated the expression profile of some selected transcripts
and confirmed differences in the expression levels of transcripts between adult
vs prepubertal groups in both GV and MII stages oocytes as identified by
microarray data analysis. This study indicated for the first time that
significant number of genes were differentially expressed (>2-fold, p < 0.01)
between oocytes derived from adult and those from prepubertal Japanese Black
cattle, and this difference increased during IVM.
PMID- 21906191
TI - Routine antenatal anti-D prophylaxis--is the protection adequate?
AB - BACKGROUND/AIMS: Prophylactic anti-D given during pregnancy can be detected in
current indirect antiglobulin tests (IAT). Using this to measure the persistence
of prophylactic anti-D, this study set out to determine whether there is an
association between anti-D detectable at delivery and the RhD status of the
foetus and/or the duration of the pregnancy post the standard 28 week dose of
routine antenatal anti-D prophylaxis (RAADP). The study also investigated the
detection rates of anti-D at delivery when given in a two dose regime or a one
dose regime. METHOD: All IAT screening was undertaken using fully automated
Diamed gel technology. The results from 407 women were included in the two dose
regime study, and 157 in the one dose regime study. RESULTS: 160/407 (39%) women
receiving one dose of prophylactic anti-D had no detectable anti-D at delivery.
123/157 (78%) women on the one dose regime had no detectable anti-D at delivery.
No association was found between detectable anti-D at delivery and the RhD status
of the infant in either study arm. A strong association was found between
detectable anti-D Ig at delivery and the duration of the pregnancy post the 28
week dose in each study arm. CONCLUSION: Our data show that neither the two dose
nor the one dose regime appear to provide adequate cover at delivery for a large
percentage of pregnant women. This appears to be associated with the duration of
the pregnancy past the 28 week dose but not associated with the RhD status of the
foetus.
PMID- 21906192
TI - Examining supply changes in Australia's cocaine market.
AB - INTRODUCTION AND AIMS: Media attention to cocaine use and supply has increased
following some of the largest cocaine seizures in Australia's history. Whether
there has been an expansion in supply remains unclear. This paper examines the
evidence behind assertions of increased supply in Australia and the scale and
nature of any apparent increase, using proxy indicators of cocaine importation,
distribution and use. DESIGN AND METHODS: Eight proxies of cocaine importation,
distribution and use were adopted, including amount of importation, mode of
importation and supply flows to Australia. Each proxy indicator was sourced using
publicly available and Australia-wide data, including information on the total
weight of border seizures, mode of detection and country of embarkation of
individual seizures. Data permitting, trends were examined for up to a 12 year
period (1997-1998 to 2009-2010). RESULTS: Since 2006-2007 there was evidence of
increased cocaine importation, albeit less than between 1998-1999 and 2001-2002.
There were further signs that the 2006-2007 expansion coincided with a
diversification of trafficking routes to and through Australia (beyond the
traditional site of entry-Sydney) and shifts in the geographic distribution of
use. DISCUSSION AND CONCLUSIONS: The congruity between indicators suggests that
there has been a recent expansion in cocaine supply to and distribution within
Australia, but that the more notable shift has concerned the nature of supply,
with an apparent growth in importation and distribution beyond New South Wales.
The diversification of cocaine supply routes may increase risks of market
entrenchment and organised crime throughout Australia.
PMID- 21906193
TI - Biogenic amine receptor gene expression in the ovarian tissue of the honey bee
Apis mellifera.
AB - In the honey bee Apis mellifera loss of the queen from a colony induces increased
levels of the biogenic amine dopamine in the brain of workers, and this elevation
is correlated with ovary activation. In the present study we use real-time
quantitative PCR to investigate expression of five biogenic amine receptor genes.
We show that biogenic amine receptors are expressed in ovarian tissue, and that
their expression is strongly influenced by the presence or absence of a queen in
the colony. In contrast to the brain, where all three dopamine receptors are
expressed, only two dopamine receptors are expressed in the ovaries, and their
expression is strongly correlated with the reproductive status of workers. We
conclude that biogenic amine receptors are expressed in the ovaries and are
likely to be directly influential in the regulation of worker sterility in honey
bees.
PMID- 21906194
TI - Prolixicin: a novel antimicrobial peptide isolated from Rhodnius prolixus with
differential activity against bacteria and Trypanosoma cruzi.
AB - We identified and characterized the activity of prolixicin, a novel antimicrobial
peptide (AMP) isolated from the hemipteran insect, Rhodnius prolixus. Sequence
analysis reveals one region of prolixicin that may be related to the
diptericin/attacin family of AMPs. Prolixicin is an 11-kDa peptide containing a
putative 21 amino acid signal peptide, two putative phosphorylation sites and no
glycosylation sites. It is produced by both adult fat body and midgut tissues in
response to bacterial infection of the haemolymph or the midgut. Unlike most
insect antibacterial peptides, the prolixicin gene does not seem to be regulated
by NF-kappaB binding sites, but its promoter region contains several GATA sites.
Recombinant prolixicin has strong activity against the Gram-negative bacterium
Escherichia coli and differential activity against several Gram-negative and Gram
positive bacteria. No significant toxicity was demonstrated against Trypanosoma
cruzi, the human parasite transmitted by R. prolixus.
PMID- 21906195
TI - An ancient icon reveals new mysteries: mummy DNA resurrects a cryptic species
within the Nile crocodile.
AB - The Nile crocodile (Crocodylus niloticus) is an ancient icon of both cultural and
scientific interest. The species is emblematic of the great civilizations of the
Nile River valley and serves as a model for international wildlife conservation.
Despite its familiarity, a centuries-long dispute over the taxonomic status of
the Nile crocodile remains unresolved. This dispute not only confounds our
understanding of the origins and biogeography of the 'true crocodiles' of the
crown genus Crocodylus, but also complicates conservation and management of this
commercially valuable species. We have taken a total evidence approach involving
phylogenetic analysis of mitochondrial and nuclear markers, as well as karyotype
analysis of chromosome number and structure, to assess the monophyletic status of
the Nile crocodile. Samples were collected from throughout Africa, covering all
major bioregions. We also utilized specimens from museum collections, including
mummified crocodiles from the ancient Egyptian temples at Thebes and the Grottes
de Samoun, to reconstruct the genetic profiles of extirpated populations. Our
analyses reveal a cryptic evolutionary lineage within the Nile crocodile that
elucidates the biogeographic history of the genus and clarifies long-standing
arguments over the species' taxonomic identity and conservation status. An
examination of crocodile mummy haplotypes indicates that the cryptic lineage
corresponds to an earlier description of C. suchus and suggests that both African
Crocodylus lineages historically inhabited the Nile River. Recent survey efforts
indicate that C. suchus is declining or extirpated throughout much of its
distribution. Without proper recognition of this cryptic species, current
sustainable use-based management policies for the Nile crocodile may do more harm
than good.
PMID- 21906196
TI - What can we learn from the Dutch cannabis coffeeshop system?
AB - AIMS: To examine the empirical consequences of officially tolerated retail sales
of cannabis in the Netherlands, and possible implications for the legalization
debate. METHODS: Available Dutch data on the prevalence and patterns of use,
treatment, sanctioning, prices and purity for cannabis dating back to the 1970s
are compared to similar indicators in Europe and the United States. RESULTS: The
available evidence suggests that the prevalence of cannabis use among Dutch
citizens rose and fell as the number of coffeeshops increased and later declined,
but only modestly. The coffeeshops do not appear to encourage escalation into
heavier use or lengthier using careers, although treatment rates for cannabis are
higher than elsewhere in Europe. Scatterplot analyses suggest that Dutch patterns
of use are very typical for Europe, and that the 'separation of markets' may
indeed have somewhat weakened the link between cannabis use and the use of
cocaine or amphetamines. CONCLUSIONS: Cannabis consumption in the Netherlands is
lower than would be expected in an unrestricted market, perhaps because cannabis
prices have remained high due to production-level prohibitions. The Dutch system
serves as a nuanced alternative to both full prohibition and full legalization.
PMID- 21906197
TI - The effect of tobacco control policies on smoking prevalence and smoking
attributable deaths. Findings from the Netherlands SimSmoke Tobacco Control
Policy Simulation Model.
AB - AIM: To develop a simulation model projecting the effect of tobacco control
policies in the Netherlands on smoking prevalence and smoking-attributable
deaths. DESIGN, SETTING AND PARTICIPANTS: Netherlands SimSmoke-an adapted version
of the SimSmoke simulation model of tobacco control policy-uses population,
smoking rates and tobacco control policy data for the Netherlands to predict the
effect of seven types of policies: taxes, smoke-free legislation, mass media,
advertising bans, health warnings, cessation treatment and youth access policies.
MEASUREMENTS: Outcome measures were smoking prevalence and smoking-attributable
deaths. FINDINGS: With a comprehensive set of policies, as recommended by MPOWER,
smoking prevalence can be decreased by as much as 21% in the first year,
increasing to a 35% reduction in the next 20 years and almost 40% by 30 years. By
2040, 7706 deaths can be averted in that year alone with the stronger set of
policies. Without effective tobacco control policies, almost a million lives will
be lost to tobacco-related diseases between 2011 and 2040. Of those, 145,000 can
be saved with a comprehensive tobacco control package. CONCLUSIONS: Smoking
prevalence and smoking-attributable deaths in the Netherlands can be reduced
substantially through tax increases, smoke-free legislation, high-intensity media
campaigns, stronger advertising bans and health warnings, comprehensive cessation
treatment and youth access laws. The implementation of these FCTC/MPOWER
recommended policies could be expected to show similar or even larger relative
reductions in smoking prevalence in other countries which currently have weak
policies.
PMID- 21906198
TI - The impact of small changes in bar closing hours on violence. The Norwegian
experience from 18 cities.
AB - AIMS: To estimate the effect on violence of small changes in closing hours for on
premise alcohol sales, and to assess whether a possible effect is symmetrical.
DESIGN, SETTING, AND PARTICIPANTS: A quasi-experimental design drawing on data
from 18 Norwegian cities that have changed (extended or restricted) the closing
hours for on-premise alcohol sales. All changes were <= 2 hours. MEASUREMENTS:
Closing hours were measured in terms of the latest permitted hour of on-premise
trading, ranging from 1 a.m. to 3 a.m. The outcome measure comprised police
reported assaults that occurred in the city centre between 10 p.m. and 5 a.m. at
weekends. Assaults outside the city centre during the same time window should not
be affected by changes in closing hours but function as a proxy for potential
confounders, and was thus included as a control variable. The data spanned the
period Q1 2000-Q3 2010, yielding 774 observations. FINDINGS: Outcomes from main
analyses suggested that each 1-hour extension of closing hours was associated
with a statistically significant increase of 4.8 assaults (95% CI 2.60, 6.99) per
100,000 inhabitants per quarter (i.e. an increase of about 16%). Findings
indicate that the effect is symmetrical. These findings were consistent across
three different modelling techniques. CONCLUSION: In Norway, each additional 1
hour extension to the opening times of premises selling alcohol is associated
with a 16% increase in violent crime.
PMID- 21906199
TI - Delay discounting by adolescents experimenting with cigarette smoking.
AB - AIMS: To evaluate delay discounting and self-reported impulsive behavior in a
sample of adolescents experimenting with cigarette smoking compared with
adolescents who had never smoked or were daily smokers. DESIGN: A cross-sectional
design was used to compare smoking-status groups. SETTING: Columbus, Ohio, a city
of approximately 780,000 people. PARTICIPANTS: A sample of 141 male and female
adolescents with a mean age of 15.37 (standard deviation = 1.09) years.
MEASUREMENTS: Primary measures included a computerized assessment of delay
discounting, a self-report assessment of impulsivity [Barratt Impulsiveness Scale
adolescent (BIS-11-A)] and verifications of cigarette smoking status (breath
carbon monoxide and urinary cotinine level). FINDINGS: Smokers discounted more by
delay and had higher impulsivity scores than non-smokers. Experimenters had
scores intermediate to those of smokers and non-smokers on both measures. In some
analyses the difference between experimenters and non-smokers was significant,
with experimenters showing greater delay discounting, but in no case did
experimenters differ significantly from the smokers. CONCLUSIONS: Young people
who experiment with cigarettes appear to be similar to those who smoke regularly
in terms of tendency to discount future gains and report impulsive tendencies,
and generally higher in these traits than non-smokers.
PMID- 21906201
TI - Comparison of serial qualitative and quantitative assessments of caval index and
left ventricular systolic function during early fluid resuscitation of
hypotensive emergency department patients.
AB - OBJECTIVES: The objective was to determine whether serial bedside visual
estimates of left ventricular systolic function (LVF) and respiratory variation
of the inferior vena cava (IVC) diameter would agree with quantitative
measurements of LVF and caval index in hypotensive emergency department (ED)
patients during fluid challenges. The authors hypothesized that there would be
moderate inter-rater agreement on the visual estimates. METHODS: This prospective
observational study was performed at an urban, regional ED. Patients were
eligible for enrollment if they were hypotensive in the ED as defined by a
systolic blood pressure (sBP) of <100 mm Hg or mean arterial pressure of <=65 mm
Hg, exhibited signs or symptoms of shock, and the treating physician intended to
administer intravenous (IV) fluid boluses for resuscitation. Sonologists
performed a sequence of echocardiographic assessments at the beginning, during,
and toward the end of fluid challenge. Both caval index and LVF were determined
by the sonologist in qualitative then quantitative manners. Deidentified digital
video clips of two-dimensional IVC and LVF assessments were later presented, in
random order, to an ultrasound (US) fellowship-trained emergency physician using
a standardized rating system for review. Statistical analysis included both
descriptive statistics and correlation analysis. RESULTS: Twenty-four patients
were enrolled and yielded 72 caval index and LVF videos that were scored at the
bedside prior to any measurements and then reviewed later. Visual estimates of
caval index compared to measured caval index yielded a correlation of 0.81 (p <
0.0001). Visual estimates of LVF compared to fractional shortening yielded a
correlation of 0.84 (p < 0.0001). Inter-rater agreement of respiratory variation
of IVC diameter and LVF scores had simple kappa values of 0.70 (95% confidence
interval [CI] = 0.56 to 0.85) and 0.46 (95% CI = 0.29 to 0.63), respectively.
Significant differences in mean values between time 0 and time 2 were found for
caval index measurements, the visual scores of IVC diameter variation, and both
maximum and minimum IVC diameters. CONCLUSIONS: This study showed that serial
visual estimations of the respiratory variation of IVC diameter and LVF agreed
with bedside measurements of caval index and LVF during early fluid challenges to
symptomatic hypotensive ED patients. There was moderate inter-rater agreement in
both visual estimates. In addition, acute volume loading was associated with
detectable acute changes in IVC measurements.
PMID- 21906200
TI - Drug use in rural China: a preliminary investigation in Hunan Province.
AB - AIMS: To compare characteristics and illicit drug abuse patterns among drug
abusers in rural and urban areas of Hunan Province, China. MEASUREMENTS AND
DESIGN: Data collected by public security bureau on newly registered drug abusers
between 2005 and 2008 in five urban and five rural areas (n = 1639) were
extracted anonymously and analyzed. SETTING AND PARTICIPANTS: All newly
registered drug users in urban (n = 812) and rural (n = 827) areas of Hunan
Province were included. FINDINGS: Drug users from the rural areas were younger
[31 (6.6) versus 34 (8.0) years, P < 0.001], with a higher proportion of males
(86% versus 82%, P < 0.05) or married (34% versus 27%, P < 0.01). Rural drug
users reported an earlier onset of drug use [27 (5.9) versus 30 (7.9) years old,
P < 0.001], were more likely to report heroin as their primary drug of abuse (53%
versus 47%, P < 0.001) and had a lower prevalence of criminal activities (19%
versus 31%, P < 0.001). Rural drug users were less likely to report needle
sharing (1.8% versus 4.3%, P < 0.01), less likely to report being human
immunodeficiency virus positive (0.8% versus 2.6%, P < 0.01) and less likely to
report prior drug treatment participation (2.8% versus 6.8%, P < 0.001).
CONCLUSIONS: Drug abuse is a substantial problem in both urban and rural areas in
China. The very low proportion of newly registered drug users reporting any prior
drug abuse treatment points to the importance of expanding substance abuse
treatments, especially in rural areas where treatment penetration is even lower
than in urban areas.
PMID- 21906202
TI - Feasibility of nonmydriatic ocular fundus photography in the emergency
department: Phase I of the FOTO-ED study.
AB - OBJECTIVES: Examination of the ocular fundus is imperative in many acute medical
and neurologic conditions, but direct ophthalmoscopy by nonophthalmologists is
underutilized, poorly performed, and difficult without pharmacologic pupillary
dilation. The objective was to examine the feasibility of nonmydriatic fundus
photography as a clinical alternative to direct ophthalmoscopy by emergency
physicians (EPs). METHODS: Adult patients presenting to the emergency department
(ED) with headache, acute focal neurologic deficit, diastolic blood pressure >=
120 mm Hg, or acute visual change had ocular fundus photographs taken by nurse
practitioners using a nonmydriatic fundus camera. Photographs were reviewed by a
neuroophthalmologist within 24 hours for findings relevant to acute ED patient
care. Nurse practitioners and patients rated ease, comfort, and speed of
nonmydriatic fundus photography on a 10-point Likert scale (10 best). Timing of
visit and photography were recorded by automated electronic systems. RESULTS: A
total of 350 patients were enrolled. There were 1,734 photographs taken during
230 nurse practitioner shifts. Eighty-three percent of the 350 patients had at
least one eye with a high-quality photograph, while only 3% of patients had no
photographs of diagnostic value. Mean ratings were >= 8.7 (standard deviation
[SD] <= 1.9) for all measures. The median photography session lasted 1.9 minutes
(interquartile range [IQR] = 1.3 to 2.9 minutes), typically accounting for less
that 0.5% of the patient's total ED visit. CONCLUSIONS: Nonmydriatic fundus
photography taken by nurse practitioners is a feasible alternative to direct
ophthalmoscopy in the ED. It is performed well by nonphysician staff, is well
received by staff and patients, and requires a trivial amount of time to perform.
PMID- 21906203
TI - Variations in crowding and ambulance diversion in nine emergency departments.
AB - OBJECTIVES: The primary study aim was to examine the variations in crowding when
an emergency department (ED) initiates ambulance diversion. METHODS: This
retrospective, multicenter study included nine geographically disparate EDs.
Daily ED operational variables were collected during a 12-month period (January
2009 to December 2009), including total number of ED visits, mean overall length
of stay (LOS), number of ED beds, and hours on ambulance diversion. The primary
outcome variable was the "ED workload rate," a surrogate marker for daily ED
crowding. It was calculated as the total number of daily ED visits multiplied by
the overall mean LOS (in hours) and divided by the number of ED beds available
for acute treatment in a given day. The primary predictor variables were
ambulance diversion, as a dichotomous variable of whether or not an ED went on
diversion at least once during a 24-hour period, diversion hour quintiles, and
sites. RESULTS: The annual ED census ranged from 43,000 to 101,000 patients. The
percentage of days that an ED went on diversion at least once varied from 4.9% to
86.6%. On days with ambulance diversion, the mean ED workload rate varied from
17.1 to 62.1 patient LOS hours per ED bed among sites. The magnitude of variation
in ED workload rate was similar on days without ambulance diversion. Differences
in ED workload rate varied among sites, ranging from 1.0 to 6.0 patient LOS hours
per ED bed. ED workload rate was higher on average on diversion days compared to
nondiversion days. The mean difference between diversion and nondiversion was
statistically significant for the majority of sites. CONCLUSIONS: There was
marked variation in ED workload rates and whether or not ambulance diversion
occurred during a 24-hour period. This variability in initiating ambulance
diversion suggests different or inconsistently applied decision-making criteria
for initiating diversion.
PMID- 21906204
TI - Short-term mortality risk in emergency department acute heart failure.
AB - OBJECTIVES: Few tools exist that provide objective accurate prediction of short
term mortality risk in patients presenting with acute heart failure (AHF). The
purpose was to describe the accuracy of several biomarkers for predicting short
term death rates in patients diagnosed with AHF in the emergency department (ED).
METHODS: The Biomarkers in ACute Heart failure (BACH) trial was a prospective, 15
center, international study of patients presenting to the ED with nontraumatic
dyspnea. Clinicians were blinded to all investigational markers, except troponin
and natriuretic peptides, which used the local hospital reference range. For this
secondary analysis, a core lab was used for all markers except troponin. This
study evaluated patients diagnosed with AHF by the on-site emergency physician
(EP). RESULTS: In the 1,641 BACH patients, 466 (28.4%) had an ED diagnosis of
AHF, of whom 411 (88.2%) had a final diagnosis of AHF. In the ED-diagnosed HF
patients, 59% were male, 69% had a HF history, and 19 (4.1%) died within 14 days
of their ED visit. The area under the curve (AUC) for the 14-day mortality
receiver operating characteristic (ROC) curve was 0.484 for brain natriuretic
peptide (BNP), 0.586 for N-terminal pro-B-type natriuretic peptide (NT-proBNP),
0.755 for troponin (I or T), 0.742 for adrenomedullin (MR-proADM), and 0.803 for
copeptin. In combination, MR-proADM and copeptin had the best 14-day mortality
prediction (AUC = 0.818), versus all other markers. CONCLUSIONS: MR-proADM and
copeptin, alone or in combination, may provide superior short-term mortality
prediction compared to natriuretic peptides and troponin. Presented results are
explorative due to the limited number of events, but validation in larger trials
seems promising.
PMID- 21906205
TI - Risk adjustment measures and outcome measures for prehospital trauma research:
recommendations from the emergency medical services outcomes project (EMSOP).
AB - OBJECTIVES: The objectives were to conduct a comprehensive, systematic review of
the literature for risk adjustment measures (RAMs) and outcome measures (OMs) for
prehospital trauma research and to use a structured expert panel process to
recommend measures for use in future emergency medical services (EMS) trauma
outcomes research. METHODS: A systematic literature search and review was
performed identifying the published studies evaluating RAMs and OMs for
prehospital injury research. An explicit structured review of all articles
pertaining to each measure was conducted using the previously established
methodology developed by the Canadian Physiotherapy Association ("Physical
Rehabilitation Outcome Measures"). RESULTS: Among the 4,885 articles reviewed, 96
RAMs and/or OMs were identified from the existing literature (January 1958 to
February 2010). Only one measure, the Glasgow Coma Scale (GCS), currently meets
Level 1 quality of evidence status and a Category 1 (strong) recommendation for
use in EMS trauma research. Twelve RAMs or OMs received Category 2 status
(promising, but not sufficient current evidence to strongly recommend), including
the motor component of GCS, simplified motor score (SMS), the simplified verbal
score (SVS), the revised trauma score (RTS), the prehospital index (PHI), EMS
provider judgment, the revised trauma index (RTI), the rapid acute physiology
score (RAPS), the rapid emergency medicine score (REMS), the field trauma triage
(FTT), the pediatric triage rule, and the out-of-hospital decision rule for
pediatrics. CONCLUSIONS: Using a previously published process, a structured
literature review, and consensus expert panel opinion, only the GCS can currently
be firmly recommended as a specific RAM or OM for prehospital trauma research
(along with core measures that have already been established and published). This
effort highlights the paucity of reliable, validated RAMs and OMs currently
available for outcomes research in the prehospital setting and hopefully will
encourage additional, methodologically sound evaluations of the promising,
Category 2 RAMs and OMs, as well as the development of new measures.
PMID- 21906206
TI - ACR appropriateness criteria(r) locally advanced breast cancer.
AB - Locally advanced breast cancer (LABC) is a disease that is heterogeneous in its
presentation, potentially curable, and generally necessitating multidisciplinary
management. Radiation therapy (RT) plays an important role in the management of
LABC. The integration of radiation with surgery, chemotherapy, and sometimes
breast reconstruction can be complex. The American College of Radiology
Appropriateness Criteria Breast Committee aims to provide guidance for the
management of a variety of LABC cases. The American College of Radiology
Appropriateness Criteria is evidence-based guidelines for specific clinical
conditions that are reviewed every 2 years by a multidisciplinary expert panel.
The guideline development and review include an extensive analysis of current
medical literature from peer-reviewed journals and the application of a well
established consensus methodology (modified Delphi) to rate the appropriateness
of imaging and treatment procedures by the panel. In those instances where
evidence is either lacking or not definitive, expert opinion may be used to
recommend imaging or treatment.
PMID- 21906207
TI - Calcifications in the breast and digital breast tomosynthesis.
AB - Our study was to compare the clarity with which calcifications are seen on
conventional mammography (CM) with the same calcifications on digital breast
tomosynthesis (DBT). We define clarity as the sharpness, contrast, and diagnostic
quality by which the calcifications were depicted. In a HIPPA compliant
Institutional Review Board approved study, 3,000 women volunteered to have both a
screening mammogram and a DBT study. A total of 119 sequential cases with
relevant calcifications (not clearly benign) were reviewed. Two board certified,
dedicated, breast imaging radiologists reviewed the CM and DBT images in an
unblinded paired comparison. Only the mediolateral oblique (MLO) projection was
available for the DBT studies. The MLO and craniocaudal projections were reviewed
using the 2D images. Window and leveling, and electronic zoom were permitted.
Unlimited time was allowed to provide a subjective assessment as too how well the
calcifications were seen, from a diagnostic perspective, when the two studies
were evaluated side-by-side. In 41.6% of the cases, the readers felt that
calcifications were seen with superior clarity on DBT. In 50.4% of the cases, the
visibility of calcifications was the same for DBT and CM, and in 8% of the cases,
calcifications were seen with greater clarity on CM than DBT. In 92% of the
cases, the clarity with which calcifications were seen on DBT was equal to or
better than for CM and in almost half, the clarity on DBT was judged to be better
than for CM. Our analysis shows that calcifications can be demonstrated with
equal or greater clarity on DBT as on CM, thus allowing for comparable, and,
perhaps, improved interpretive analysis of detected calcifications.
PMID- 21906208
TI - Radioactive seed localization of breast lesions: an adequate localization method
without seed migration.
AB - Preoperative localization is important to optimize the surgical treatment of
breast lesions, especially in nonpalpable lesions. Radioactive seed localization
(RSL) using iodine-125 is a relatively new approach. To provide accurate guidance
to surgery, it is important that the seeds do not migrate after placement. The
aim of this study was to assess short-term and long-term seed migration after RSL
of breast lesions. In 45 patients, 48 RSL procedures were performed under
ultrasound or stereotactic guidance. In the first 12 patients, the lesion was
localized with two markers: an iodine-125 seed and a reference marker. In 33
patients, 36 RSL procedures were performed using a single iodine-125 seed. All
patients received control mammograms after seed placement and prior to surgery.
In the patients with two markers, migration was defined as the difference in the
largest distance between the markers observed in the mammograms. For single
marked lesions, migration was assessed by comparing distances between anatomical
landmarks in the mammograms. RSL was successful in all patients. Seeds were in
situ for 59.5 days on average (3-136 days). The detection rate during surgery was
100%. Overall, an average seed migration of 0.9 mm (standard deviation 1.0 mm)
was observed. Neither differences in lesion type, nor days in situ, type of
surgery or radiologic localization method were found to have impact on seed
migration. RSL is an accurate preoperative localization method for breast lesions
with negligible seed migration, independent of time in-situ.
PMID- 21906209
TI - Malignant seeding following percutaneous breast biopsy: documentation with
comprehensive imaging and clinical implications.
AB - The aim of this study was to demonstrate convincing evidence that percutaneous
breast biopsy may result in displacement of malignant cells that can initiate
tumor growth at a separate anatomic site, other than the index lesion. Two
patients with malignancy diagnosed by percutaneous breast biopsy were followed up
with subsequent imaging. The observation of displaced cells initiating subsequent
malignant growth was compared retrospectively with the previous year's outcomes
following percutaneous breast biopsy. Two cases of displaced malignant cells
resulting in malignant growth at a separate site along the biopsy needle track
were demonstrated by imaging. During the preceding year, 1644 biopsies with 298
malignant results were compared with the outcomes of these two patients,
resulting in a 0.7% incidence of malignant seeding. No identifiable factors could
be identified to predict under what conditions this iatrogenic complication
occurs. Although uncommon, percutaneous breast biopsy can result in malignant
seeding, and raises issues regarding informed consent and proper subsequent
treatment.
PMID- 21906210
TI - Impact of triplicate testing on HIV genotypic tropism prediction in routine
clinical practice.
AB - Guidelines state that the CCR5-inhibitor Maraviroc should be prescribed to
patients infected with R5-tropic HIV-1 only. Therefore, viral tropism needs to be
assessed phenotypically or genotypically. Preliminary clinical trial data suggest
that genotypic analysis in triplicate is associated with improved prediction of
virological response by increasing the detection of X4-tropic variants. Our
objective was to evaluate the impact of triplicate genotypic analysis on
prediction of co-receptor usage in routine clinical practice. Samples from
therapy-naive and therapy-experienced patients were collected for routine tropism
testing at three European clinical centres. Viral RNA was isolated from plasma
and proviral DNA from peripheral blood mononuclear cells. Gp120-V3 was amplified
in a triplicate nested RT-PCR procedure and sequenced. Co-receptor usage was
predicted using the Geno2Pheno([coreceptor]) algorithm and analysed with a false
positive rate (FPR) of 5.75%, 10%, or an FPR of 20% and according to the current
European guidelines on the clinical management of HIV-1 tropism testing. A total
of 266 sequences were obtained from 101 patient samples. Discordance in tropism
prediction for the triplicates was observed in ten samples using an FPR of 10%.
Triplicate testing resulted in a 16.7% increase in X4-predicted samples and to
reclassification from R5 to X4 tropism for four cases rendering these patients
ineligible for Maraviroc treatment. In conclusion, triplicate genotypic tropism
testing increases X4 tropism detection in individual cases, which may prove to be
pivotal when CCR5-inhibitor therapy is applied.
PMID- 21906211
TI - Nystagmus and oscillopsia.
AB - The ocular motor system consists of several subsystems, including the vestibular
ocular nystagmus saccade system, the pursuit system, the fixation and gaze
holding system and the vergence system. All these subsystems aid the
stabilization of the images on the retina during eye and head movements and any
kind of disturbance of one of the systems can cause instability of the eyes (e.g.
nystagmus) or an inadequate eye movement causing a mismatch between head and eye
movement (e.g. bilateral vestibular failure). In both situations, the subjects
experience a movement of the world (oscillopsia) which is quite disturbing. New
insights into the patho-physiology of some of the ocular motor disorders have
helped to establish new treatment options, in particular in downbeat nystagmus,
upbeat nystagmus, periodic alternating nystagmus, acquired pendular nystagmus and
paroxysmal vestibular episodes/attacks. The discussed patho-physiology of these
disorders and the current literature on treatment options are discussed and
practical treatment recommendations are given in the paper.
PMID- 21906212
TI - Incidence of childhood and adolescence epilepsy: a community-based prospective
study in the province of Ferrara and in Copparo, Italy, 1996-2005.
AB - BACKGROUND: The annual incidence of childhood and adolescence epilepsy ranges
from 41 to 97 diagnoses per 100,000 people in western Countries, with a reported
decline over time. We aimed at studying the incidence of epilepsy in children and
adolescents (1 month to 14 years) and its temporal trend in the province of
Ferrara, northern Italy. METHODS: We implemented a community-based prospective
multi-source registry. All children with newly diagnosed epilepsy in the period
1996-2005 were recorded. RESULTS: The incidence rate of newly diagnosed epilepsy
in the considered age range was 57 per 100,000 person-years, (95% CI: 49.3-65.9),
with a peak in the first year of life (109.4; 95% CI: 69.4-164.1), without
differences between the two gender. The estimates were significantly lower than
those observed previously (97.3; 95% CI: 81.9-115.7). CONCLUSIONS: Incidence
rates for epilepsy in the Italian population aged 1 month to 14 years are in line
with those of other European and Northern American Countries. The incidence of
childhood epilepsy has declined over time in our area. A reduced impact of
serious perinatal adverse events could partly explain the decline.
PMID- 21906213
TI - Iron content of Cambodian foods when prepared in cooking pots containing an iron
ingot.
AB - OBJECTIVES: To investigate the effect of cooking with an iron ingot on the iron
content of several water and Cambodian food preparations. METHODS: Various food
and water samples were prepared, in replicate, in glass and aluminium pots with
and without an iron ingot. The samples were subjected to iron content analysis
using standard ICP-OES procedures. RESULTS: Prepared with an ingot, the iron
content was 76.3 MUg iron/g higher in lemon water, 32.6 MUg iron/g higher in pork
soup and 3.3 MUg iron/g higher in fish soup, than in the same foods prepared
without an ingot. Acidity of the food samples was positively associated with iron
leaching. CONCLUSIONS: Even when taking into account the low bioavailability of
contaminant iron, approximately 75% of the daily iron requirement can be met by
consuming 1L of lemon water prepared with an iron ingot. Its use may be a cheap
and sustainable means of improving iron intake for those with iron-deficient
diets.
PMID- 21906214
TI - Anal cleansing practices and faecal contamination: a preliminary investigation of
behaviours and conditions in schools in rural Nyanza Province, Kenya.
AB - OBJECTIVE: To learn how children in rural schools in Nyando District, Kenya clean
themselves after defecation. METHODS: Six focus group discussions were held with
boys and girls ages 12-15 in three rural schools in mid-2009. Parents were
interviewed in one setting. In early 2010, a survey of head teachers was
conducted in 114 schools in Nyanza Province, Kenya, to assess the provision of
anal cleansing materials and handwashing water and soap in schools. RESULTS: Anal
cleansing behaviour is linked with access to materials, age, social pressure,
perceived personal risk of illness and emotional factors. Materials used for anal
cleansing include schoolbook paper, leaves, grasses, stones, corncobs and one's
own hands. Students have knowledge gaps in terms of personal hygiene. They were
forthcoming with information on their anal cleansing practices. Almost no schools
budgeted for or provided anal cleansing materials regularly. CONCLUSION: Anal
cleansing is a necessary human activity. However, because of social taboos, there
are few articles on the topic. School health plans overlook it as well.
Researchers need to determine if and how current practices could harm child
health to inform policy.
PMID- 21906215
TI - First provincial survey of Angiostrongylus cantonensis in Guangdong Province,
China.
AB - The rat lungworm Angiostrongylus cantonensis is a zoonotic nematode with a wide
distribution. We report the first provincial survey of the prevalence of A.
cantonensis infection among wild rodents and snails in Guangdong Province, China.
A total of 2929 Pomacea canaliculata and 1354 Achatina fulica were collected from
fields in 22 survey sites with a larval infection rates ranging from 0-26.6% to 0
45.4%. In addition, 114 Cipangopaludina sp and 252 Bellamya sp were bought from
markets; larvae were found only in Bellamya snails from two survey sites with an
infection rate of 1.4% (1/70) and 3.3% (3/91), respectively. Four hundred and
ninety-one rodents were captured in nine sites (Rattus norvegicus, R.
flavipectus, Suncus murinus, Mus musculus, Bandicota indica, R. losea and R.
rattus). Adult worms were found in R. norvegicus, R. flavipectus and Bandicota
indica. Our survey revealed a wide distribution of A. cantonensis and its
intermediate hosts P. canaliculata and A. fulica in Guangdong. The prevalence of
A. cantonensis in wild snails and rats poses a substantial risk for
angiostrongyliasis in humans.
PMID- 21906216
TI - Costs of dengue prevention and incremental cost of dengue outbreak control in
Guantanamo, Cuba.
AB - OBJECTIVE: To assess the economic cost of routine Aedes aegypti control in an at
risk environment without dengue endemicity and the incremental costs incurred
during a sporadic outbreak. METHODS: The study was conducted in 2006 in the city
of Guantanamo, Cuba. We took a societal perspective to calculate costs in months
without dengue transmission (January-July) and during an outbreak (August
December). Data sources were bookkeeping records, direct observations and
interviews. RESULTS: The total economic cost per inhabitant (p.i.) per month.
(p.m.) increased from 2.76 USD in months without dengue transmission to 6.05 USD
during an outbreak. In months without transmission, the routine Aedes control
programme cost 1.67 USD p.i. p.m. Incremental costs during the outbreak were
mainly incurred by the population and the primary/secondary level of the
healthcare system, hardly by the vector control programme (1.64, 1.44 and 0.21
UDS increment p.i. p.m., respectively). The total cost for managing a
hospitalized suspected dengue case was 296.60 USD (62.0% direct medical, 9.0%
direct non-medical and 29.0% indirect costs). In both periods, the main cost
drivers for the Aedes control programme, the healthcare system and the community
were the value of personnel and volunteer time or productivity losses.
CONCLUSIONS: Intensive efforts to keep A. aegypti infestation low entail
important economic costs for society. When a dengue outbreak does occur
eventually, costs increase sharply. In-depth studies should assess which mix of
activities and actors could maximize the effectiveness and cost-effectiveness of
routine Aedes control and dengue prevention.
PMID- 21906217
TI - Growth dependence of conjugation explains limited plasmid invasion in biofilms:
an individual-based modelling study.
AB - Plasmid invasion in biofilms is often surprisingly limited in spite of the close
contact of cells in a biofilm. We hypothesized that this poor plasmid spread into
deeper biofilm layers is caused by a dependence of conjugation on the growth rate
(relative to the maximum growth rate) of the donor. By extending an individual
based model of microbial growth and interactions to include the dynamics of
plasmid carriage and transfer by individual cells, we were able to conduct in
silico tests of this and other hypotheses on the dynamics of conjugal plasmid
transfer in biofilms. For a generic model plasmid, we find that invasion of a
resident biofilm is indeed limited when plasmid transfer depends on growth, but
not so in the absence of growth dependence. Using sensitivity analysis we also
find that parameters related to timing (i.e. a lag before the transconjugant can
transfer, transfer proficiency and scan speed) and spatial reach (EPS yield,
conjugal pilus length) are more important for successful plasmid invasion than
the recipients' growth rate or the probability of segregational loss. While this
study identifies one factor that can limit plasmid invasion in biofilms, the new
individual-based framework introduced in this work is a powerful tool that
enables one to test additional hypotheses on the spread and role of plasmids in
microbial biofilms.
PMID- 21906219
TI - Characterization of the rumen microbiota of pre-ruminant calves using metagenomic
tools.
AB - The temporal sequence of microbial establishment in the rumen of the neonatal
ruminant has important ecological and pathophysiological implications. In this
study, we characterized the rumen microbiota of pre-ruminant calves fed milk
replacer using two approaches, pyrosequencing of hypervariable V3-V5 regions of
the 16S rRNA gene and whole-genome shotgun approach. Fifteen bacterial phyla were
identified in the microbiota of pre-ruminant calves. Bacteroidetes was the
predominant phylum in the rumen microbiota of 42-day-old calves, representing
74.8% of the 16S sequences, followed by Firmicutes (12.0%), Proteobacteria
(10.4%), Verrucomicrobia (1.2%) and Synergistetes (1.1%). However, the phylum
level composition of 14-day-old calves was distinctly different. A total of 170
bacterial genera were identified while the core microbiome of pre-ruminant calves
included 45 genera. Rumen development seemingly had a significant impact on
microbial diversity. The dazzling functional diversity of the rumen microbiota
was reflected by identification of 8298 Pfam and 3670 COG protein families. The
rumen microbiota of pre-ruminant calves displayed a considerable compositional
heterogeneity during early development. This is evidenced by a profound
difference in rumen microbial composition between the two age groups. However,
all functional classes between the two age groups had a remarkably similar
assignment, suggesting that rumen microbial communities of pre-ruminant calves
maintained a stable function and metabolic potentials while their phylogenetic
composition fluctuated greatly. The presence of all major types of rumen
microorganisms suggests that the rumen of pre-ruminant calves may not be
rudimentary. Our results provide insight into rumen microbiota dynamics and will
facilitate efforts in formulating optimal early-weaning strategies.
PMID- 21906221
TI - PecS is an important player in the regulatory network governing the coordinated
expression of virulence genes during the interaction between Dickeya dadantii
3937 and plants.
AB - Successful infection of a pathogen relies on the coordinated expression of
numerous virulence factor-encoding genes. In plant-bacteria interactions, this
control is very often achieved through the integration of several regulatory
circuits controlling cell-cell communication or sensing environmental conditions.
Dickeya dadantii (formerly Erwinia chrysanthemi), the causal agent of soft rot on
many crops and ornamentals, provokes maceration of infected plants mainly by
producing and secreting a battery of plant cell wall-degrading enzymes. However,
several other virulence factors have also been characterized. During Arabidopsis
infection, most D. dadantii virulence gene transcripts accumulated in a
coordinated manner during infection. This activation requires a functional GacA
GacS two-component regulatory system but the Gac system is not involved in the
growth phase dependence of virulence gene expression. Here we show that, contrary
to Pectobacterium, the AHL-mediated ExpIR quorum-sensing system does not play a
major role in the growth phase-dependent control of D. dadantii virulence genes.
On the other hand, the global regulator PecS participates in this coordinated
expression since, in a pecS mutant, an early activation of virulence genes is
observed both in vitro and in planta. This correlated with the known
hypervirulence phenotype of the pecS mutant. Analysis of the relationship between
the regulatory circuits governed by the PecS and GacA global regulators indicates
that these two regulators act independently. PecS prevents a premature expression
of virulence genes in the first stages of colonization whereas GacA, presumably
in conjunction with other regulators, is required for the activation of virulence
genes at the onset of symptom occurrence.
PMID- 21906224
TI - Beyond the oral microbiome.
AB - The human oral microbiome currently comprises 600-700 taxa, but estimates suggest
that overall species numbers may turn out to be higher (~1200). Within the oral
cavity, groups of microbial species become arranged into surface-localized
communities that vary considerably in composition according to sites of
establishment. Factors such as nutrient availability, pH, toxic metabolites,
shear forces and host conditions contribute to modelling the structure and
activities of these oral microbial communities. With development of more rapid
and accurate molecular techniques it has become possible to begin to characterize
the genome contents of individual communities. However, understanding the
phenotypic interactions between cultivable microorganisms within communities is
essential in order to complement the genomic data. This will then enable
construction of microbial community interactomes, incorporating genomic and
spatial information with functional knowledge of physical and metabolic
interplays between the microorganisms. Enlightenment of the changes in genome
composition and phenotypic interactions as functions of niche, time and
intrusions will help towards developing better means of manipulating communities
for host benefit.
PMID- 21906223
TI - The role of reduction in iron uptake processes in a unicellular, planktonic
cyanobacterium.
AB - In many aquatic environments the essential micronutrient iron is predominantly
complexed by a heterogeneous pool of strong organic chelators. Research on iron
uptake mechanisms of cyanobacteria inhabiting these environments has focused on
endogenous siderophore production and internalization. However, as many
cyanobacterial species do not produce siderophores, alternative Fe acquisition
mechanisms must exist. Here we present a study of the iron uptake pathways in the
unicellular, planktonic, non-siderophore producing strain Synechocystis sp. PCC
6803. By applying trace metal clean techniques and a chemically controlled growth
medium we obtained reliable and reproducible short-term (radioactive assays) and
long-term (growth experiments) iron uptake rates. We found that Synechocystis
6803 is capable of acquiring iron from exogenous ferrisiderophores (Ferrioxamine
B, FeAerobactin) and that unchelated, inorganic Fe is a highly available source
of iron. Inhibition of iron uptake by the Fe(II)-specific ligand, ferrozine,
indicated that reduction of both inorganic iron and ferrisiderophore complexes
occurs before transport through the plasma membrane. Measurements of iron
reduction rates and the inhibitory effect of ferrozine on growth supported this
conclusion. The reduction-based uptake strategy is well suited for acquiring iron
from multiple complexes in dilute aquatic environments and may play an important
role in other cyanobacterial strains.
PMID- 21906225
TI - Human distal gut microbiome.
AB - The distal gut and its associated microbiota is a new frontier in the quest to
understand human biology and evolution. The renaissance in this field has been
partly driven by advances in sequencing technology and also by the application of
a variety of 'omic' technologies in a systems biology framework. In the initial
stages of understanding what constitutes the gut, culture-independent methods,
primarily inventories of 16S rRNA genes, have provided a clear view of the main
taxonomic groups of Bacteria in the distal gut and we are now moving towards
defining the functions that reside in the distal gut microbiome. This review will
explore recent advances in the area of the distal gut and the use of a variety of
omic approaches to determine what constitutes this fascinating collection of
microbes.
PMID- 21906222
TI - Effects of ocean acidification on microbial community composition of, and oxygen
fluxes through, biofilms from the Great Barrier Reef.
AB - Rising anthropogenic CO(2) emissions acidify the oceans, and cause changes to
seawater carbon chemistry. Bacterial biofilm communities reflect environmental
disturbances and may rapidly respond to ocean acidification. This study
investigates community composition and activity responses to experimental ocean
acidification in biofilms from the Australian Great Barrier Reef. Natural
biofilms grown on glass slides were exposed for 11 d to four controlled pCO(2)
concentrations representing the following scenarios: A) pre-industrial (~300
ppm), B) present-day (~400 ppm), C) mid century (~560 ppm) and D) late century
(~1140 ppm). Terminal restriction fragment length polymorphism and clone library
analyses of 16S rRNA genes revealed CO(2) -correlated bacterial community shifts
between treatments A, B and D. Observed bacterial community shifts were driven by
decreases in the relative abundance of Alphaproteobacteria and increases of
Flavobacteriales (Bacteroidetes) at increased CO(2) concentrations, indicating pH
sensitivity of specific bacterial groups. Elevated pCO(2) (C + D) shifted biofilm
algal communities and significantly increased C and N contents, yet O(2) fluxes,
measured using in light and dark incubations, remained unchanged. Our findings
suggest that bacterial biofilm communities rapidly adapt and reorganize in
response to high pCO(2) to maintain activity such as oxygen production.
PMID- 21906227
TI - A period of transition.
PMID- 21906226
TI - Interleukin-15 treatment improves glucose homeostasis and insulin sensitivity in
obese mice.
AB - The prevalence of metabolic diseases associated with obesity, such as type 2
diabetes, continues to rise along with obesity rates. Recently, obesity has been
described as an inflammatory condition, suggesting a link between the
dysregulation in proinflammatory cytokine production and the aetiology of these
metabolic diseases. While known as an immunomodulatory cytokine, Interleukin-15
(IL-15) has been shown to have effects on adipose tissue and induce weight loss
in diet-induced obese mice. As weight loss improves glucose homeostasis, the goal
of this study was to determine whether IL-15 impacts glucose regulation in a
mouse model of diet-induced obesity. Our data demonstrate that IL-15 treatment
significantly improves insulin sensitivity and glucose and insulin responses to
an oral glucose challenge compared to obese counterparts and/or lean controls.
These results show that IL-15 may be a novel therapeutic target for the treatment
of obesity and its associated abnormal glucose regulation.
PMID- 21906228
TI - Dialectic of the university: a critique of instrumental reason in graduate
nursing education.
AB - Our analysis in this paper unfolds on two levels: a critique of the 'realities'
of graduate nursing education and an argument to sustain its 'ideals'. We open
for discussion an aspect of graduate nursing education dominated by instrumental
reason, namely the research industry, using an internal critique approach
developed by Max Horkheimer and Theodor Adorno of the Early Frankfurt School. As
we explain, internal critique arises out of, and relies on, the mismatch between
goals, or 'ideals', and existing realities. Thinking about 'ideals' of the
academy, we draw on Hans-Georg Gadamer's view of the university as a place to
think freely, creatively, and critically. The contemporary realities of the
university, on the other hand, that emphasize the market values of the research
industry forcefully shape nursing academic scholarship in a particular direction.
In our attempt to recognize and disrupt the forces of the research industry with
its instrumental reason, we consider Judith Butler's writings on how norms
operate in society. We show that our growing involvement in the research industry
makes it very difficult to disentangle ourselves from that situation. The values
of the research industry actually suppress the very ideals of education and
scholarship that we would like to uphold. As a contra-force, the internal
critique of the 'existing realities' in the graduate nursing education unmasks
the tyranny of the research industry and makes visible the importance of
sustaining the higher goals and ideals in nursing scholarship.
PMID- 21906220
TI - Photoautotrophic symbiont and geography are major factors affecting highly
structured and diverse bacterial communities in the lichen microbiome.
AB - Although common knowledge dictates that the lichen thallus is formed solely by a
fungus (mycobiont) that develops a symbiotic relationship with an alga and/or
cyanobacterium (photobiont), the non-photoautotrophic bacteria found in lichen
microbiomes are increasingly regarded as integral components of lichen thalli.
For this study, comparative analyses were conducted on lichen-associated
bacterial communities to test for effects of photobiont-types (i.e. green algal
vs. cyanobacterial), mycobiont-types and large-scale spatial distances (from
tropical to arctic latitudes). Amplicons of the 16S (SSU) rRNA gene were examined
using both Sanger sequencing of cloned fragments and barcoded pyrosequencing.
Rhizobiales is typically the most abundant and taxonomically diverse order in
lichen microbiomes; however, overall bacterial diversity in lichens is shown to
be much higher than previously reported. Members of Acidobacteriaceae,
Acetobacteraceae, Brucellaceae and sequence group LAR1 are the most commonly
found groups across the phylogenetically and geographically broad array of
lichens examined here. Major bacterial community trends are significantly
correlated with differences in large-scale geography, photobiont-type and
mycobiont-type. The lichen as a microcosm represents a structured, unique
microbial habitat with greater ecological complexity and bacterial diversity than
previously appreciated and can serve as a model system for studying larger
ecological and evolutionary principles.
PMID- 21906229
TI - Evil and elder abuse: intersections of Paul Ricoeur's and Simone Weil's
perspectives on evil with one abused older woman's narrative.
AB - Doing violence and evil always indirectly or directly leads to making someone
else suffer. Such is the dialogical structure of evil and it seems to be the
dialogical structure of elder abuse as well. There is a perturbing sameness
between definitions of evil and definitions of elder abuse. It is hard at times
to see how or if there is any line of demarcation between the subjects. Two
modern-day philosophers, Paul Ricoeur and Simone Weil have delved particularly
into the concept of evil. The symbolism Ricoeur analyses in depth is that of
defilement, sin, and guilt and the concept of the servile will. Integral in
Weil's description of evil are the concepts of suffering and the special
situation of extreme suffering, termed affliction. Grounded in the writings of
Ricoeur and Weil, this paper is a series of reflections on the intersection of
evil and elder abuse as exemplified in the narrative of an abused older woman.
This woman provided around the clock care at home for her husband who had
vascular dementia. She was also abused by her husband. This was witnessed by both
family and others but no one intervened. In her narrative there were indications
of defilement, sin, guilt, and true affliction as a servile will. This paper
illuminates the evil of elder abuse that is harm and suffering, and the challenge
of untangling issues of blame, free will, responsibility, and self-determinism.
When engaging with abused, older persons it can be worthwhile for nurses to enter
the encounter with non-judgemental compassion founded on the human to human
connection and recognition of our mutual fallibility and potential for evil that
is part of our human fragility.
PMID- 21906230
TI - Understanding the relational aspects of learning with, from, and about the other.
AB - Frequently heard among healthcare providers, administrators, students, and
educators, especially within the context of interprofessional collaboration, is
the phrase: learning with, from, and about the other. Our purpose in writing this
article was to explore the relational aspects of interprofessional collaboration
and provide a conversational perspective on how this phrase may be co-constructed
by members of the interprofessional team, to achieve a contextual understanding
for enhanced practice. It is through understanding and analysing the meaning of
commonly held words and phrases that we can begin to understand the differences
between transactional ways of gaining knowledge and begin to understand how a
transformational shift in attitude, identity, and practice can promote learning
with, from, and about the other.
PMID- 21906231
TI - Socio-phenomenology and conversation analysis: interpreting video lifeworld
healthcare interactions.
AB - This article uses a socio-phenomenological methodology to develop knowledge and
understanding of the healthcare consultation based on the concept of the
lifeworld. It concentrates its attention on social action rather than strategic
action and a systems approach. This article argues that patient-centred care is
more effective when it is informed through a lifeworld conception of human mutual
shared interaction. Videos offer an opportunity for a wide audience to experience
the many kinds of conversations and dynamics that take place in consultations.
Visual sociology used in this article provides a method to organize video
emotional, knowledge and action conversations as well as dynamic typical
consultation situations. These interactions are experienced through the video
materials themselves unlike conversation analysis where video materials are first
transcribed and then analysed. Both approaches have the potential to support
intersubjective learning but this article argues that a video lifeworld schema is
more accessible to health professionals and the general public. The typical
interaction situations are constructed through the analysis of video materials of
consultations in a London walk-in centre. Further studies are planned in the
future to extend and replicate results in other healthcare services. This method
of analysis focuses on the ways in which the everyday lifeworld informs face-to
face person-centred health care and supports social action as a significant
factor underpinning strategic action and a systems approach to consultation
practice.
PMID- 21906232
TI - The 'demented other' or simply 'a person'? Extending the philosophical discourse
of Naue and Kroll through the situated self.
AB - This article presents a critique of an article previously featured in Nursing
Philosophy (10: 26-33) by Ursula Naue and Thilo Kroll, who suggested that people
living with dementia are assigned a negative status upon receipt of a diagnosis,
holding the identity of the 'demented other'. Specifically, in this critique, we
suggest that unwitting use of the adjective 'demented' to define a person living
with the condition is ill-informed and runs a risk of defining people through
negative (self-)attributes, which has a deleterious impact upon that person's
social and relational personae. Moreover, use of the locution 'demented'
reinforces a divide between the 'demented' (them) and the 'healthy others' (us).
Social constructionist theory, malignant positioning and viewing people with
dementia as semiotic subjects are the philosophical pillars through which we
construct the main arguments of the critique. The article concludes with the
voice of one of the authors, a younger person with dementia, asking for language
in dementia care to be carefully reconsidered and reframed and for the
recognition of the diagnosed person's agency in the conduct of their day-to-day
lives.
PMID- 21906237
TI - Deaths in early pregnancy. The eight report of the confidential enquiries into
maternal deaths in the United Kingdom.
PMID- 21906238
TI - Saving mothers' lives. Reviewing maternal deaths to make motherhood safer: 2006
2008.
PMID- 21906239
TI - The term 'Pregnancy of unknown location' is staying put.
PMID- 21906244
TI - Multivariate risk scores and patient outcomes in advanced heart failure.
AB - Significant improvements in survival have occurred for patients with advanced
heart failure, with an increasing array of therapeutic options sharing quite
varied properties of cost, invasiveness, and impact on life expectancy. Risk
models allow patients and providers to achieve a better understanding of
prognosis than is possible through unstructured holistic assessment. This article
reviews scoring systems for heart failure prognostication in the general sense
and in the setting of providing answers to specific clinical queries. Topics
addressed include outpatient survival, risk of inpatient and post-discharge
mortality, potential changes to clinician decision-making through better
understanding of prognosis, and mortality after having a left ventricular assist
device placed or receiving an implantable cardiac-defibrillator.
PMID- 21906245
TI - Pharmacologic therapy for New York Heart Association class IV heart failure.
AB - As the incidence of heart failure increases, the number of patients with advanced
heart failure is anticipated to grow. Substantial progress in the treatment of
heart failure has been achieved over the past few decades. Several classes of
medications have been studied and found effective, including beta-blockers,
angiotensin converting enzyme inhibitors or angiotensin receptor blockers,
aldosterone antagonists, vasodilators, digoxin, and inotropes. The evidence base
for the use of these medications in the treatment of patients with New York Heart
Association (NYHA) class IV heart failure is reviewed.
PMID- 21906246
TI - Device therapy in advanced heart failure: what to put in and what to turn off:
remote telemonitoring and implantable hemodynamic devices for advanced heart
failure monitoring in the ambulatory setting and the evolving role of cardiac
resynchronization therapy.
AB - Despite evidence based medical and pharmacologic advances the management of heart
failure remains challenging, especially in the ambulatory setting. There is an
urgent need to develop strategies to reduce hospitalizations and re-admission
rates for heart failure in general. This focused review illustrates the potential
role for remote telemonitoring and implantable hemodynamic devices to address
this significant issue. We also explore the growth of cardiac resynchronization
therapy and how it has evolved into another tool in our armamentarium for
hemodynamic monitoring.
PMID- 21906247
TI - Patient selection for left ventricular assist devices.
AB - Mechanical circulatory support has become an increasingly common method of
supporting patients with advanced heart failure. Paramount to the recent progress
observed with this therapy has been a greater understanding of patient selection
criteria as a primary determinant of early and late patient outcomes. Prior to
device implant, patients should undergo a multidisciplinary evaluation of
cardiovascular, noncardiovascular, and psychosocial factors that influence
postoperative outcomes. The use of multivariable risk scores may also be useful
to guide discussions with patients and families regarding the relative risks of
different therapeutic alternatives. Despite an evidence base that provides
guiding principles in patient selection for mechanically assisted circulation,
several aspects of the evaluation require further refinement, including
development of tools to objectively assess psychosocial parameters, and
definition and validation of measures of right ventricular dysfunction that
preclude successful isolated left ventricular support.
PMID- 21906248
TI - Ethics in the treatment of advanced heart failure: palliative care and end-of
life issues.
AB - Advanced heart failure (HF) is a life-shortening condition, yet there are
increasing treatments and implantable devices available to clinicians to manage
patients with advanced HF. Planning for adverse events and the end of life,
formulated as "preparedness planning," can be integrated into HF care early in
illness. Discussions that acknowledge the uncertainty of HF course and length of
life and incorporate patient and family goals and values facilitates this
planning. Clear processes for weighing potential benefits and burdens of
interventions and therapies should accompany decision-making. Device implantation
decision-making can acknowledge alternative avenues of care to the device and
identify situations in which the device might be deactivated in the future.
Symptom assessment and management potentially improve quality of life in patients
with advanced HF. Management of symptoms should integrate HF therapies as well as
other treatments. Collaboration between HF providers, palliative care clinicians,
and, if appropriate, hospice clinicians will improve care for HF patients and
their families.
PMID- 21906249
TI - Contemporary management and research directions in advanced heart failure: where
are we going?
AB - Advanced heart failure (AHF) is not a uniform disorder, but is rather a
heterogeneous group of patients with varying clinical presentations and
definitions. It is growing in magnitude and represents a major public health
problem. Herein we describe contemporary care of the patient with AHF, novel
medical therapies, and mechanical circulatory assist devices. We speculate where
progress has been made and where the major gaps in knowledge remain. Clearly,
there is ample opportunity for research and discovery to further advance the care
of these very sick patients.
PMID- 21906250
TI - Continuous hemodynamic monitoring in patients with mild to moderate heart
failure: results of The Reducing Decompensation Events Utilizing Intracardiac
Pressures in Patients With Chronic Heart Failure (REDUCEhf) trial.
AB - Clinical trial results support the hypothesis that implantable hemodynamic
monitoring (IHM) systems may reduce hospitalizations among patients with chronic
heart failure (HF). The Reducing Decompensation Events Utilizing Intracardiac
Pressures in Patients With Chronic Heart Failure (REDUCE hf ) study was a
prospective, randomized, multicenter, single-blinded trial that enrolled patients
with New York Heart Association class II or III symptoms, an indication for an
implantable cardioverter-defibrillator (ICD), and a previous HF hospitalization.
A combination IHM-ICD was implanted and patients were randomly assigned to a
treatment group in which hemodynamic information was used or a control group in
which hemodynamic information was not available. Patients were followed for 12
months to evaluate the primary efficacy end point of HF hospitalizations,
emergency department visits, or urgent clinic visits. The trial was designed to
enroll 1300 patients, but stopped at 400 patients because of IHM lead failures
experienced from previous trials. A total of 202 treatment patients and 198
controls were randomized for 12-month follow-up. The primary safety end point was
met, but the rate of HF equivalents was not different between groups. REDUCE hf
was unable to test clinical efficacy end points adequately. The device combining
IHM-ICD technology was safe and functioned appropriately. Patients at high risk
for decompensated HF have high baseline filling pressures and demonstrate
consistent increases as the process of congestion worsens to the time of
hospitalization.
PMID- 21906252
TI - Late cytomegalovirus transmission and impact of T-depletion in clinical islet
transplantation.
AB - The epidemiology of cytomegalovirus infection (CMV) in islet transplantation (IT)
is not well defined. This study defines incidence, transmission and clinical
sequelae of CMV reactivation or disease in 121 patients receiving 266 islet
infusions at a single institution. The donor (D)/recipient (R) serostatus was
D+/R- 31.2%, D+/R+ 26.3%, D-/R+ 13.2% and D-/R- 29.3%. CMV prophylaxis with oral
ganciclovir/valganciclovir was given in 68%. CMV infection occurred in 14/121
patients (11.6%); six had asymptomatic seroconversion and eight others had
positive viremia (six asymptomatic and two with CMV febrile symptoms). Median
peak viral loads were 1755 copies/mL (range 625-9 100 000). Risk factors for
viremia included lymphocyte depletion (thymoglobulin or alemtuzumab, p < 0.001).
Viremia was more common in D+/R+ versus D+/R- (p = 0.12), occurring mostly late
after transplant (median 306 days). Presumed transmission from IT occurred in
8/83 of D+/R- procedures (9.6%). Of the two cases of CMV disease, one resulted
from islet transmission from a CMV positive donor (D+/R-); the other was due to
de novo exogenous infection (D-/R-). Therefore, CMV transmission presents rarely
after IT and with low incidence compared to solid organ transplantation, but
occurs late posttransplant. The use of lymphocyte depleting therapies is a
primary risk factor.
PMID- 21906253
TI - Rational rationing or discrimination: balancing equity and efficiency
considerations in kidney allocation.
AB - After 6 years of deliberation, the Organ Procurement and Transplantation Network
recently released a concept document proposing changes to the kidney allocation
algorithm, sparking a heated debate about priority-setting of scarce health
resources and discrimination. Proponents of the proposal argue that it will
result in an additional 15,223 life years following transplant annually for
recipients, yet the benefit will not be equally distributed and will likely
benefit younger patients. Critics argue that the new model will promote age
discrimination and may lead to a further decrease in live kidney donation. If
true, these concerns could undermine fairness and damage public trust in the
organ allocation system. We address these objections and consider their merit,
highlighting both benefits and shortcomings of the proposal. We argue that,
despite weaknesses of the proposal and the importance of maintaining consistency
in patient and provider expectations over time, the proposal represents a needed
first step in balancing equity and efficiency.
PMID- 21906254
TI - The emerging role of the TIM molecules in transplantation.
AB - Since their discovery in 2001, the T-cell immunoglobulin mucin (TIM) family
members have been shown to play important roles in the regulation of immune
responses. The TIM family comprises of eight genes in the mouse, three of which
are conserved in humans (TIM-1, TIM-3 and TIM-4). Initially, TIM-1 and TIM-3 were
thought to be expressed solely on T cells. However, emerging data suggest a much
broader expression pattern where their presence on APCs confers differing
functions, including the ability to mediate phagocytosis. In contrast, TIM-4 is
exclusively expressed on APCs. Together, the TIM molecules provide a functional
repertoire for determining the fate of T-cell activation and differentiation. To
date, much of the knowledge about the TIM family members has been garnered from
the models of asthma, allergy and autoimmunity. More recently, data from
experimental models of transplantation demonstrate that TIM family members also
have a key role in alloimmunity. This review will serve to highlight the emerging
data regarding this unique family of molecules and to identify their potential in
transplantation tolerance.
PMID- 21906255
TI - Posttransplant donor-specific anti-HLA antibodies negatively impact pancreas
transplantation outcome.
AB - During a 9-year follow-up, 167 consecutive pancreas transplant recipients (152
simultaneous pancreas-kidney [SPK]) were followed for the detection of
posttransplant anti-HLA antibodies. Forty patients (24%) developed anti-HLA
antibodies, 26 (65%) had donor-specific antibodies (DSA; 61% anticlass 2) and 14
(35%) non-DSA (78.6% anticlass 1). More rejection episodes were observed in
patients with positive anti-HLA antibodies than in patients without antibodies
(42.5% vs. 11%; p = 0.001), with the highest incidence observed in DSA patients
(53.8%). More severe rejections (according to rescue therapy) were observed in
DSA patients compared to non-DSA (p < 0.05) or to negative patients (p < 0.001).
Contrasting with the kidney, pancreas graft survival did not differ between
patients with or without anti-HLA antibodies. On the contrary, pancreas and
kidney survivals were significantly lower in DSA positive patients (75% for both
organs) as compared to non-DSA positive patients (100% for pancreas and 92% for
kidney) or to HLA-negative patients (91% for pancreas and 89% for kidney).
Nontechnical pancreas and kidney graft failures were significantly higher in
positive than in negative anti-HLA patients (32.5% vs. 11%; p < 0.01). Occurrence
of posttransplant DSA was an independent risk factor for both pancreas and kidney
survival (HR 3.2; p = 0.039) in diabetic transplant recipients.
PMID- 21906256
TI - Hypothermic reconditioning by gaseous oxygen improves survival after liver
transplantation in the pig.
AB - The quality of cold-stored livers declines with the extension of ischemic time
and the risk of primary dys- or nonfunction increases. Here, we provide in vivo
evidence for the efficacy of the previously developed end-ischemic gaseous oxygen
persufflation technique to resuscitate liver grafts after extended storage times.
Porcine livers were recovered according to standard multiorgan procurement
protocol. Control livers were cold stored in histidine tryptophan ketoglutarate
solution for 10 h (cold storage [CS]; n = 6) at 4 degrees C. In the treatment
group (n = 6), livers were additionally subjected to hypothermic reconditioning
(HR) by gaseous oxygen persufflation via the caval vein for 2 h before
transplantation. Viability was assessed by orthotopic liver transplantation and 1
week follow-up. HR significantly improved pretransplant energy charge and initial
graft function after transplantation. One week survival after CS was 0% whereas
five of six pigs (83%) survived in the HR group. At that time, coagulation
parameters were in the normal range and histological analysis disclosed healthy
liver tissue with normal trabecular architecture in the treated grafts. Molecular
analyses identify the prevention of ischemia-induced decline of cellular
autophagy and mitigation of innate immune machinery (high-mobility group protein
B1, interferon-beta) as operative mechanisms among the protective effects
provided by HR.
PMID- 21906257
TI - Impact of cold ischemia time on graft survival among ECD transplant recipients: a
paired kidney analysis.
AB - Delays in expanded criteria donor (ECD) kidney placement increases cold ischemia
times (CIT) potentially leading to discard. The effect of increased CIT on ECD
kidney transplant outcomes is unknown. We evaluated paired ECD kidneys (derived
from the same donor transplanted to different recipients) from the SRTR registry
transplanted between 1995 and 2009 (n = 17,514). To test the effect of CIT, we
excluded paired transplants with the same CIT (n = 3286). Of 14,230 recipients
(7115 donors) the median difference in CIT was 5 h (Q1 = 3 h, Q3 = 9 h). Delayed
graft function (DGF) was significantly more likely between pairs with greater CIT
(35% vs. 31%, p < 0.001) including substantially higher rates for CIT differences
>= 15 h (42%). Overall graft loss was not significantly different between
recipients with higher CIT relative to paired donor recipients with lower CIT (p
= 0.47) or for pairs with differences of 1-3 h (p = 0.90), 4-9 h (p = 0.41), 10
14 h (p = 0.36) or >= 15 h (p = 0.10). Results were consistent in multivariable
models adjusted for recipient factors. Although increasing cold ischemia time is
a risk factor for DGF among ECD kidney transplants, there is no effect on graft
survival which may suggest an important utility for donor kidneys that may not
currently be considered viable.
PMID- 21906258
TI - Impact of adjuvanted H1N1 vaccine on cell-mediated rejection in heart transplant
recipients.
AB - During the H1N1 influenza virus pandemic, vaccination of high risk groups
including solid-organ transplant recipients was advised. A retrospective case
control study of 60 heart transplant patients, 15 having received the H1N1 virus
antigen and ASO3 adjuvant vaccine (GlaxoSmithKline, Mississauga, ON, Canada)
within 21 days and 45 having not been vaccinated, all undergoing routine
surveillance endmyocardial biopsies, was performed. The overall rate of cellular
rejection (all grades) was not statistically different between groups; however,
acute cellular rejection, >=grade 2 (1990 ISHLT criteria), was more frequent
among those having recently vaccinated (control: 1/45 vs. 6/15, p = 0.001). On
multivariate analysis, the only risk factor found to be associated with acute
cellular rejection was recent H1N1 viral antigen and adjuvant vaccination (OR
26.5: 95% CI 02.59-270.5). Vaccine adjuvants increase host response to vaccine
antigens by immune upregulation potentially increasing risk of rejection in solid
organ transplant recipients. The potential hazard of vaccination this study
raises must be weighed with the clear benefit vaccination has proven to be.
PMID- 21906260
TI - Donor-specific alloantibody upregulation after influenza vaccination in
transplant recipients.
PMID- 21906259
TI - Impact of donor left ventricular hypertrophy on survival after heart transplant.
AB - Left ventricular hypertrophy (LVH) of the donor heart is believed to increase the
risk of allograft failure after transplant. However this effect is not well
quantified, with variable findings from single-center studies. The United Network
for Organ Sharing database was used to analyze the effect of donor LVH on
recipient survival. Three cohorts, selected in accordance with the American
Society of Echocardiography guidelines, were examined: recipients of allografts
without LVH (<1.1 cm), with mild LVH (1.1-1.3 cm) and with moderate-severe LVH
(>= 1.4 cm). The study group included 2626 patients with follow-up of up to 3.3
years. Mild LVH was present in 38% and moderate-severe LVH in 5.6% of allografts.
Predictors of mortality included a number of donor and recipient characteristics,
but not LVH. However, a subgroup analysis showed an increased risk of death in
recipients of allografts with LVH and donor age >55 years, and in recipients of
allografts with LVH and ischemic time >= 4 h. In the contemporary era, close to
half of all transplanted allografts demonstrate LVH, and survival of these
recipients is similar to those without LVH. However, the use of allografts with
LVH in association with other high-risk characteristics may result in increased
mortality.
PMID- 21906262
TI - Cognitive impairments in adult mice with constitutive inactivation of RIP140 gene
expression.
AB - Receptor-interacting protein 140 (RIP140) is a negative transcriptional
coregulator of nuclear receptors such as estrogen, retinoic acid or
glucocorticoid receptors. Recruitment of RIP140 results in an inhibition of
target gene expression through different repressive domains interacting with
histone deacetylases or C-terminal binding proteins. In this study, we analyzed
the role of RIP140 activity in memory processes using RIP140-deficient transgenic
mice. Although the RIP140 protein was clearly expressed in the brain (cortical
and hippocampus areas), the morphological examination of RIP140(-/-) mouse brain
failed to show grossly observable alterations. Using male 2-month-old RIP140(-/-)
, RIP140(+/-) or RIP140(+/+) mice, we did not observe any significant
differences in the open-field test, rotarod test and in terms of spontaneous
alternation in the Y-maze. By contrast, RIP140(-/-) mice showed long-term memory
deficits, with an absence of decrease in escape latencies when animals were
tested using a fixed platform position procedure in the water maze and in the
passive avoidance test. Noteworthy, RIP140(-/-) mice showed decreased swimming
speed, suggesting swimming alterations that may in part account for the marked
alterations measured in the water maze. Moreover, RIP140(+/-) and RIP140(-/-)
mice showed a significant increase in immobility time in the forced swimming test
as compared with wild-type animals. These observations showed that RIP140 gene
depletion results in learning and memory deficits as well as stress response,
bringing to light a major role for this transcriptional coregulator in the
neurophysiological developmental mechanisms underlying cognitive functions.
PMID- 21906264
TI - Relevant uses of surface proteins--display on self-organized biological
structures.
AB - Proteins are often found attached to surfaces of self-assembling biological units
such as whole microbial cells or subcellular structures, e.g. intracellular
inclusions. In the last two decades surface proteins were identified that could
serve as anchors for the display of foreign protein functions. Extensive protein
engineering based on structure-function data enabled efficient display of
technically and/or medically relevant protein functions. Small size, diversity of
the anchor protein as well as support structure, genetic manipulability and
controlled cultivation of phages, bacterial cells and yeasts contributed to the
establishment of designed and specifically functionalized tools for applications
as sensors, catalysis, biomedicine, vaccine development and library-based
screening technologies. Traditionally, phage display is employed for library
screening but applications in biomedicine and vaccine development are also
perceived. For some diagnostic purposes phages are even too small in size so
other carrier materials where needed and gave way for cell and yeast display.
Only recently, intracellular inclusions such as magnetosomes,
polyhydroxyalkanoate granules and lipid bodies were conceived as stable
subcellular structures enabling the display of foreign protein functions and
showing potential as specific and tailor-made devices for medical and
biotechnological applications.
PMID- 21906265
TI - Biofilm formation in Streptococcus pneumoniae.
AB - Biofilm-grown bacteria are refractory to antimicrobial agents and show an
increased capacity to evade the host immune system. In recent years, studies have
begun on biofilm formation by Streptococcus pneumoniae, an important human
pathogen, using a variety of in vitro model systems. The bacterial cells in these
biofilms are held together by an extracellular matrix composed of DNA, proteins
and, possibly, polysaccharide(s). Although neither the precise nature of these
proteins nor the composition of the putative polysaccharide(s) is clear, it is
known that choline-binding proteins are required for successful biofilm
formation. Further, many genes appear to be involved, although the role of each
appears to vary when biofilms are produced in batch or continuous culture.
Prophylactic and therapeutic measures need to be developed to fight S. pneumoniae
biofilm formation. However, much care needs to be taken when choosing strains for
such studies because different S. pneumoniae isolates can show remarkable genomic
differences. Multispecies and in vivo biofilm models must also be developed to
provide a more complete understanding of biofilm formation and maintenance.
PMID- 21906267
TI - Clinical applicability of functional hemodynamic monitoring.
AB - Recent interest in functional hemodynamic monitoring for the bedside assessment
of cardiovascular insufficiency has heightened. Functional hemodynamic monitoring
is the assessment of the dynamic interactions of hemodynamic variables in
response to a defined perturbation. Accordingly, fluid responsiveness can be
predicted in a quantities fashion by measuring as arterial pulse pressure
variation and left ventricular stroke volume variation during positive pressure
breathing or the change in cardiac output response to a passive leg raising
maneuver. However, volume responsiveness, though important, reflects only part of
the overall spectrum of functional physiological variables that can be measured
to define physiologic state and monitor response to therapy. Dynamic tissue O2
saturation (StO2) responses to complete stop flow conditions, which can be
created by measuring hand StO2 and occluding flow with a blood pressure cuff,
assesses cardiovascular sufficiency and microcirculatory blood flow distribution.
Furthermore, these measures can be made increasingly more sensitive and specific
if coupled to other "traditional" measures of organ perfusion, such as blood
lactate levels.
PMID- 21906268
TI - Training in critical care echocardiography.
AB - Echocardiography is useful for the diagnosis and management of hemodynamic
failure in the intensive care unit so that competence in some elements of
echocardiography is a core skill of the critical care specialist. An important
issue is how to provide training to intensivists so that they are competent in
the field. This article will review issues related to training in critical care
echocardiography.
PMID- 21906266
TI - New materials and devices for preventing catheter-related infections.
AB - Catheters are the leading source of bloodstream infections for patients in the
intensive care unit (ICU). Comprehensive unit-based programs have proven to be
effective in decreasing catheter-related bloodstream infections (CR-BSIs). ICU
rates of CR-BSI higher than 2 per 1,000 catheter-days are no longer acceptable.
The locally adapted list of preventive measures should include skin antisepsis
with an alcoholic preparation, maximal barrier precautions, a strict catheter
maintenance policy, and removal of unnecessary catheters. The development of new
technologies capable of further decreasing the now low CR-BSI rate is a major
challenge. Recently, new materials that decrease the risk of skin-to-vein
bacterial migration, such as new antiseptic dressings, were extensively tested.
Antimicrobial-coated catheters can prevent CR-BSI but have a theoretical risk of
selecting resistant bacteria. An antimicrobial or antiseptic lock may prevent
bacterial migration from the hub to the bloodstream. This review discusses the
available knowledge about these new technologies.
PMID- 21906269
TI - A simple method to evaluate the number of bradyrhizobia on soybean seeds and its
implication on inoculant quality control.
PMID- 21906270
TI - Reduction of exogenous ketones depends upon NADPH generated photosynthetically in
cells of the cyanobacterium Synechococcus PCC 7942.
AB - Effective utilization of photosynthetic microorganisms as potential biocatalysts
is favorable for the production of useful biomaterials and the reduction of
atmospheric CO2. For example, biocatalytic transformations are used in the
synthesis of optically active alcohols. We previously found that ketone reduction
in cells of the cyanobacterium Synechococcus PCC 7942 is highly enantioselective
and remarkably enhanced under light illumination. In this study, the mechanism of
light-enhanced ketone reduction was investigated in detail using several
inhibitors of photosynthetic electron transport and of enzymes of the Calvin
cycle. It is demonstrated that light intensity and photosynthesis inhibitors
significantly affect the ketone reduction activity in Synechococcus. This
indicates that the reduction correlates well with photosynthetic activity.
Moreover, ketone reduction in Synechococcus specifically depends upon NADPH and
not NADH. These results also suggest that cyanobacteria have the potential to be
utilized as biocatalytic systems for direct usage of light energy in various
applications such as syntheses of useful compounds and remediation of
environmental pollutants.
PMID- 21906271
TI - Diagnosis of invasive candidiasis in the ICU.
AB - Invasive candidiasis ranges from 5 to 10 cases per 1,000 ICU admissions and
represents 5% to 10% of all ICU-acquired infections, with an overall mortality
comparable to that of severe sepsis/septic shock. A large majority of them are
due to Candida albicans, but the proportion of strains with decreased sensitivity
or resistance to fluconazole is increasingly reported. A high proportion of ICU
patients become colonized, but only 5% to 30% of them develop an invasive
infection. Progressive colonization and major abdominal surgery are common risk
factors, but invasive candidiasis is difficult to predict and early diagnosis
remains a major challenge. Indeed, blood cultures are positive in a minority of
cases and often late in the course of infection. New nonculture-based laboratory
techniques may contribute to early diagnosis and management of invasive
candidiasis. Both serologic (mannan, antimannan, and betaglucan) and molecular
(Candida-specific PCR in blood and serum) have been applied as serial screening
procedures in high-risk patients. However, although reasonably sensitive and
specific, these techniques are largely investigational and their clinical
usefulness remains to be established. Identification of patients susceptible to
benefit from empirical antifungal treatment remains challenging, but it is
mandatory to avoid antifungal overuse in critically ill patients. Growing
evidence suggests that monitoring the dynamic of Candida colonization in surgical
patients and prediction rules based on combined risk factors may be used to
identify ICU patients at high risk of invasive candidiasis susceptible to benefit
from prophylaxis or preemptive antifungal treatment.
PMID- 21906272
TI - Cancer vaccines at an inflexion point: what next?
AB - With the approval of the first therapeutic cancer vaccines for veterinarian and
human use, the field reached a significant milestone after a considerable
interval of tumultuous research and development marked by numerous ups and downs.
As the mechanism of action and clinical benefit afforded by this class of agents
are starkly different from that of conventional or small targeted therapies for
cancer, there are still numerous hurdles that need to be overcome to fully
unleash their potential. These challenges and efforts are illustrated in a book
just published on this subject, a non-exhaustive yet representative synopsis of
the latest advances in cancer vaccine technologies in various stages of
development. Major lessons resulting from clinical testing of cancer vaccines and
other immune interventions, are being integrated in novel, cutting edge platform
technologies that blur the distinction between passive and active immunotherapies
as well as carry the promise of fundamentally changing and improving the
management of patients with cancer.
PMID- 21906274
TI - Vascular endothelial growth factor-A and chemokine ligand (CCL2) genes are
upregulated in peripheral blood mononuclear cells in Indian amyotrophic lateral
sclerosis patients.
AB - BACKGROUND: We have earlier shown that protein levels of vascular endothelial
growth factor-A (VEGF-A) and chemokine ligand-2 (CCL2) were elevated in Indian
amyotrophic lateral sclerosis (ALS) patients. Here, we report the mRNA levels of
VEGF-A and CCL2 in Indian ALS patients since they display extended survival after
disease onset. METHODS: VEGF-A and CCL2 mRNA levels were measured in peripheral
blood mononuclear cells (PBMCs) of 50 sporadic Indian ALS patients using Real
Time Polymerase Chain Reaction (PCR) and compared with normal controls (n = 50).
Their levels were adjusted for possible confounders like cigarette smoking,
alcohol and meat consumption. RESULTS: VEGF-A and CCL2 mRNA levels were found to
be significantly elevated in PBMCs in ALS patients as compared to controls. PBMCs
from definite ALS revealed higher VEGF-A mRNA expression as compared to probable
and possible ALS. CCL2 mRNA levels were found to be unaltered when definite,
probable and possible ALS were compared. PBMCs from patients with respiratory
dysfunction showed much higher VEGF-A and CCL2 elevation when compared to
patients without respiratory dysfunction. No association of smoking, alcohol and
meat consumption with VEGF-A and CCL2 was observed after analyzing the data with
univariate and multivariate analysis. CONCLUSION: VEGF-A and CCL2 mRNA
upregulation in PBMCs may have a clinico-pathological/etiological/epidemiological
association with ALS pathogenesis. The cross-cultural and cross-ethnic
investigations of these molecules could determine if they have any role in
enhancing the mean survival time unique to Indian ALS patients.
PMID- 21906273
TI - Nogo receptor is involved in the adhesion of dendritic cells to myelin.
AB - BACKGROUND: Nogo-66 receptor NgR1 and its structural homologue NgR2 are binding
proteins for a number of myelin-associated inhibitory factors. After neuronal
injury, these inhibitory factors are responsible for preventing axonal outgrowth
via their interactions with NgR1 and NgR2 expressed on neurons. In vitro, cells
expressing NgR1/2 are inhibited from adhering to and spreading on a myelin
substrate. Neuronal injury also results in the presence of dendritic cells (DCs)
in the central nervous system, where they can come into contact with myelin
debris. The exact mechanisms of interaction of immune cells with CNS myelin are,
however, poorly understood. METHODS: Human DCs were differentiated from
peripheral blood monocytes and mouse DCs were differentiated from wild type and
NgR1/NgR2 double knockout bone marrow precursors. NgR1 and NgR2 expression were
determined with quantitative real time PCR and immunoblot, and adhesion of cells
to myelin was quantified. RESULTS: We demonstrate that human immature myeloid DCs
express NgR1 and NgR2, which are then down-regulated upon maturation. Human
mature DCs also adhere to a much higher extent to a myelin substrate than
immature DCs. We observe the same effect when the cells are plated on Nogo-66-His
(binding peptide for NgR1), but not on control proteins. Mature DCs taken from
Ngr1/2 knockout mice adhere to a much higher extent to myelin compared to wild
type mouse DCs. In addition, Ngr1/2 knockout had no effect on in vitro DC
differentiation or phenotype. CONCLUSIONS: These results indicate that a lack of
NgR1/2 expression promotes the adhesion of DCs to myelin. This interaction could
be important in neuroinflammatory disorders such as multiple sclerosis in which
peripheral immune cells come into contact with myelin debris.
PMID- 21906275
TI - Activation of matrix metalloproteinases following anti-Abeta immunotherapy;
implications for microhemorrhage occurrence.
AB - BACKGROUND: Anti-Abeta immunotherapy is a promising approach to the prevention
and treatment of Alzheimer's disease (AD) currently in clinical trials. There is
extensive evidence, both in mice and humans that a significant adverse event is
the occurrence of microhemorrhages. Also, vasogenic edema was reported in phase 2
of a passive immunization clinical trial. In order to overcome these vascular
adverse effects it is critical that we understand the mechanism(s) by which they
occur. METHODS: We have examined the matrix metalloproteinase (MMP) protein
degradation system in two previously published anti-Abeta immunotherapy studies.
The first was a passive immunization study in which we examined 22 month old
APPSw mice that had received anti-Abeta antibodies for 1, 2 or 3 months. The
second is an active vaccination study in which we examined 16 month old
APPSw/NOS2-/- mice treated with Abeta vaccination for 4 months. RESULTS: There is
a significant activation of the MMP2 and MMP9 proteinase degradation systems by
anti-Abeta immunotherapy, regardless of whether this is delivered through active
vaccination or passive immunization. We have characterized this activation by
gene expression, protein expression and zymography assessment of MMP activity.
CONCLUSIONS: Since the MMP2 and MMP9 systems are heavily implicated in the
pathophysiology of intracerbral hemorrhage, these data may provide a potential
mechanism of microhemorrhage due to immunotherapy. Increased activity of the MMP
system, therefore, is likely to be a major factor in increased microhemorrhage
occurrence.
PMID- 21906276
TI - Increased oxidative stress and severe arterial remodeling induced by permanent
high-flow challenge in experimental pulmonary hypertension.
AB - BACKGROUND: Involvement of inflammation in pulmonary hypertension (PH) has
previously been demonstrated and recently, immune-modulating dendritic cells
(DCs) infiltrating arterial lesions in patients suffering from idiopathic
pulmonary arterial hypertension (IPAH) and in experimental monocrotaline-induced
PH have been reported. Occurrence of perivascular inflammatory cells could be
linked to local increase of oxidative stress (OS), as it has been shown for
systemic atherosclerosis. The impact of OS on vascular remodeling in PH is still
to be determined. We hypothesized, that augmented blood-flow could increase OS
and might thereby contribute to DC/inflammatory cell-recruitment and smooth
muscle-cell-proliferation. METHODS: We applied a monocrotaline-induced PH-model
and combined it with permanent flow-challenge. Thirty Sprague-Dawley rats were
assigned to following groups: control, monocrotaline-exposure (MCT),
monocrotaline-exposure/pneumonectomy (MCT/PE). RESULTS: Hemodynamic exploration
demonstrated most severe effects in MCT/PE, corresponding in histology to
exuberant medial and adventitial remodeling of pulmonary muscular arteries, and
intimal remodeling of smaller arterioles; lung-tissue PCR evidenced increased
expression of DCs-specific fascin, CD68, proinflammatory cytokines (IL-6, RANTES,
fractalkine) in MCT/PE and to a lesser extent in MCT. Major OS enzyme NOX-4 was
maximal in MCT/PE. Antioxidative stress enzymes Mn-SOD and glutathion-peroxidase
1 were significantly elevated, while HO-1 showed maximal expression in MCT with
significant decrease in MCT/PE. Catalase was decreased in MCT and MCT/PE.
Expression of NOX-4, but also of MN-SOD in MCT/PE was mainly attributed to a
highly increased number of interstitial and perivascular CXCR4/SDF1 pathway
recruited mast-cells. Stress markers malonedialdehyde and nitrotyrosine were
produced in endothelial cells, medial smooth muscle and perivascular leucocytes
of hypertensive vasculature. Immunolabeling for OX62, CD68 and actin revealed
adventitial and medial DC- and monocyte-infiltration; in MCT/PE, medial smooth
muscle cells were admixed with CD68+/vimentin+ cells. CONCLUSION: Our
experimental findings support a new concept of immunologic responses to increased
OS in MCT/PE-induced PAH, possibly linking recruitment of dendritic cells and OS
producing mast-cells to characteristic vasculopathy.
PMID- 21906277
TI - When is informed consent required in cluster randomized trials in health
research?
AB - This article is part of a series of papers examining ethical issues in cluster
randomized trials (CRTs) in health research. In the introductory paper in this
series, we set out six areas of inquiry that must be addressed if the cluster
trial is to be set on a firm ethical foundation. This paper addresses the second
of the questions posed, namely, from whom, when, and how must informed consent be
obtained in CRTs in health research? The ethical principle of respect for persons
implies that researchers are generally obligated to obtain the informed consent
of research subjects. Aspects of CRT design, including cluster randomization,
cluster level interventions, and cluster size, present challenges to obtaining
informed consent. Here we address five questions related to consent and CRTs: How
can a study proceed if informed consent is not possible? Is consent to
randomization always required? What information must be disclosed to potential
subjects if their cluster has already been randomized? Is passive consent a valid
substitute for informed consent? Do health professionals have a moral obligation
to participate as subjects in CRTs designed to improve professional practice?We
set out a framework based on the moral foundations of informed consent and
international regulatory provisions to address each of these questions. First,
when informed consent is not possible, a study may proceed if a research ethics
committee is satisfied that conditions for a waiver of consent are satisfied.
Second, informed consent to randomization may not be required if it is not
possible to approach subjects at the time of randomization. Third, when potential
subjects are approached after cluster randomization, they must be provided with a
detailed description of the interventions in the trial arm to which their cluster
has been randomized; detailed information on interventions in other trial arms
need not be provided. Fourth, while passive consent may serve a variety of
practical ends, it is not a substitute for valid informed consent. Fifth, while
health professionals may have a moral obligation to participate as subjects in
research, this does not diminish the necessity of informed consent to study
participation.
PMID- 21906278
TI - Recruitment activities for a nationwide, population-based, group-randomized
trial: the VA MI-Plus study.
AB - BACKGROUND: The Veterans Health Administration (VHA) oversees the largest
integrated healthcare system in the United States. The feasibility of a large
scale, nationwide, group-randomized implementation trial of VHA outpatient
practices has not been reported. We describe the recruitment and enrollment of
such a trial testing a clinician-directed, Internet-delivered intervention for
improving the care of postmyocardial infarction patients with multiple
comorbidities. METHODS: With a recruitment goal of 200 eligible community-based
outpatient clinics, parent VHA facilities (medical centers) were recruited
because they oversee their affiliated clinics and the research conducted there.
Eligible facilities had at least four VHA-owned and -operated primary care
clinics, an affiliated Institutional Review Board (IRB), and no ongoing,
potentially overlapping, quality-improvement study. Between December 2003 and
December 2005, in two consecutive phases, we used initial and then intensified
recruitment strategies. RESULTS: Overall, 48 of 66 (73%) eligible facilities were
recruited. Of the 219 clinics and 957 clinicians associated with the 48
facilities, 168 (78%) clinics and 401 (42%) clinicians participated. The median
time from initial facility contact to clinic enrollment was 222 days, which
decreased by over one-third from the first to the second recruitment phase
(medians: 323 and 195 days, respectively; p < .001), when more structured
recruitment with physician recruiters was implemented and a dedicated IRB manager
was added to the coordinating center staff. CONCLUSIONS: Large group-randomized
trials benefit from having dedicated physician investigators and IRB personnel
involved in recruitment. A large-scale, nationally representative, group
randomized trial of community-based clinics is feasible within the VHA or a
similar national healthcare system.
PMID- 21906279
TI - Technology assessment of automated atlas based segmentation in prostate bed
contouring.
AB - BACKGROUND: Prostate bed (PB) contouring is time consuming and associated with
inter-observer variability. We evaluated an automated atlas-based segmentation
(AABS) engine in its potential to reduce contouring time and inter-observer
variability. METHODS: An atlas builder (AB) manually contoured the prostate bed,
rectum, left femoral head (LFH), right femoral head (RFH), bladder, and penile
bulb of 75 post-prostatectomy cases to create an atlas according to the recent
RTOG guidelines. 5 other Radiation Oncologists (RO) and the AABS contoured 5 new
cases. A STAPLE contour for each of the 5 patients was generated. All contours
were anonymized and sent back to the 5 RO to be edited as clinically necessary.
All contouring times were recorded. The dice similarity coefficient (DSC) was
used to evaluate the unedited- and edited- AABS and inter-observer variability
among the RO. Descriptive statistics, paired t-tests and a Pearson correlation
were performed. ANOVA analysis using logit transformations of DSC values was
calculated to assess inter-observer variability. RESULTS: The mean time for
manual contours and AABS was 17.5- and 14.1 minutes respectively (p = 0.003). The
DSC results (mean, SD) for the comparison of the unedited-AABS versus STAPLE
contours for the PB (0.48, 0.17), bladder (0.67, 0.19), LFH (0.92, 0.01), RFH
(0.92, 0.01), penile bulb (0.33, 0.25) and rectum (0.59, 0.11). The DSC results
(mean, SD) for the comparison of the edited-AABS versus STAPLE contours for the
PB (0.67, 0.19), bladder (0.88, 0.13), LFH (0.93, 0.01), RFH (0.92, 0.01), penile
bulb (0.54, 0.21) and rectum (0.78, 0.12). The DSC results (mean, SD) for the
comparison of the edited-AABS versus the expert panel for the PB (0.47, 0.16),
bladder (0.67, 0.18), LFH (0.83, 0.18), RFH (0.83, 0.17), penile bulb (0.31,
0.23) and rectum (0.58, 0.09). The DSC results (mean, SD) for the comparison of
the STAPLE contours and the 5 RO are PB (0.78, 0.15), bladder (0.96, 0.02), left
femoral head (0.87, 0.19), right femoral head (0.87, 0.19), penile bulb (0.70,
0.17) and the rectum (0.89, 0.06). The ANOVA analysis suggests inter-observer
variability among at least one of the 5 RO (p value = 0.002). CONCLUSION: The
AABS tool results in a time savings, and when used to generate auto-contours for
the femoral heads, bladder and rectum had superior to good spatial overlap.
However, the generated auto-contours for the prostate bed and penile bulb need
improvement.
PMID- 21906280
TI - Increased betulinic acid induced cytotoxicity and radiosensitivity in glioma
cells under hypoxic conditions.
AB - BACKGROUND: Betulinic acid (BA) is a novel antineoplastic agent under evaluation
for tumor therapy. Because of the selective cytotoxic effects of BA in tumor
cells (including gliomas), the combination of this agent with conservative
therapies (such as radiotherapy and chemotherapy) may be useful. Previously, the
combination of BA with irradiation under hypoxic conditions had never been
studied. METHODS: In this study, the effects of 3 to 30 MUM BA on cytotoxicity,
migration, the protein expression of PARP, survivin and HIF-1alpha, as well as
radiosensitivity under normoxic and hypoxic conditions were analyzed in the human
malignant glioma cell lines U251MG and U343MG. Cytotoxicity and radiosensitivity
were analyzed with clonogenic survival assays, migration was analyzed with Boyden
chamber assays (or scratch assays) and protein expression was examined with
Western blot analyses. RESULTS: Under normoxic conditions, a half maximal
inhibitory concentration (IC50) of 23 MUM was observed in U251MG cells and 24 MUM
was observed in U343MG cells. Under hypoxic conditions, 10 MUM or 15 MUM of BA
showed a significantly increased cytotoxicity in U251MG cells (p = 0.004 and p =
0.01, respectively) and U343MG cells (p < 0.05 and p = 0.01, respectively). The
combination of BA with radiotherapy resulted in an additive effect in the U343MG
cell line under normoxic and hypoxic conditions. Weak radiation enhancement was
observed in U251MG cell line after treatment with BA under normoxic conditions.
Furthermore, under hypoxic conditions, the incubation with BA resulted in
increased radiation enhancement. The enhancement factor, at an irradiation dose
of 15 Gy after treatment with 10 or 15 MUM BA, was 2.20 (p = 0.02) and 4.50 (p =
0.03), respectively. Incubation with BA led to decreased cell migration, cleavage
of PARP and decreased expression levels of survivin in both cell lines.
Additionally, BA treatment resulted in a reduction of HIF-1alpha protein under
hypoxic conditions. CONCLUSION: Our results suggest that BA is capable of
improving the effects of tumor therapy in human malignant glioma cells,
particularly under hypoxic conditions. Further investigations are necessary to
characterize its potential as a radiosensitizer.
PMID- 21906282
TI - Cd(II) and Pb(II) complexes of the polyether ionophorous antibiotic salinomycin.
AB - BACKGROUND: The natural polyether ionophorous antibiotics are used for the
treatment of coccidiosis in poultry and ruminants. They are effective agents
against infections caused by Gram-positive microorganisms. On the other hand, it
was found that some of these compounds selectively bind lead(II) ions in in vivo
experiments, despite so far no Pb(II)-containing compounds of defined composition
have been isolated and characterized. To assess the potential of polyether
ionophores as possible antidotes in the agriculture, a detailed study on their in
vitro complexation with toxic metal ions is required. In the present paper we
report for the first time the preparation and the structure elucidation of
salinomycin complexes with ions of cadmium(II) and lead(II). RESULTS: New
metal(II) complexes of the polyether ionophorous antibiotic salinomycin with
Cd(II) and Pb(II) ions were prepared and structurally characterized by IR, FAB-MS
and NMR techniques. The spectroscopic information and elemental analysis data
reveal that sodium salinomycin (SalNa) undergoes a reaction with heavy metal(II)
ions to form [Cd(Sal)2(H2O)2] (1) and [Pb(Sal)(NO3)] (2), respectively.
Abstraction of sodium ions from the cavity of the antibiotic is occurring during
the complexation reaction. Salinomycin coordinates with cadmium(II) ions as a
bidentate monoanionic ligand through the deprotonated carboxylic moiety and one
of the hydroxyl groups to yield 1. Two salinomycin anions occupy the equatorial
plane of the Cd(II) center, while two water molecules take the axial positions of
the inner coordination sphere of the metal(II) cation. Complex 2 consists of
monoanionic salinomycin acting in polydentate coordination mode in a molar ratio
of 1: 1 to the metal ion with one nitrate ion for charge compensation.
CONCLUSION: The formation of the salinomycin heavy metal(II) complexes indicates
a possible antidote activity of the ligand in case of chronic/acute intoxications
likely to occur in the stock farming.
PMID- 21906281
TI - Toxicity report of once weekly radiation therapy for low-risk prostate
adenocarcinoma: preliminary results of a phase I/II trial.
AB - BACKGROUND: Increasing clinical data supports a low alpha/beta ratio for prostate
adenocarcinoma, potentially lower than that of surrounding normal tissues. A
hypofractionated, weekly radiation therapy (RT) schedule should result in
improved tumour control, reduced acute toxicity, and similar or decreased late
effects. We report the toxicity profile of such treatment. MATERIALS AND METHODS:
We conducted a multi-institution phase I/II trial of three-dimensional conformal
radiation therapy (3D-CRT) for favourable-risk prostate cancer (T1a-T2a, Gleason
<= 6 and PSA < 10 ng/ml). RT consisted of 45 Gy in nine 5 Gy fractions, once
weekly. Primary end-points were feasibility and late gastrointestinal (GI)
toxicity (RTOG scale), while secondary end-points included acute GI toxicity,
acute and late genitourinary (GU) toxicity, biochemical control, and survival.
RESULTS: Between 2006 and 2008, 80 patients were treated. No treatment
interruptions occurred. The median follow-up is 33 months (range: 20-51). Maximal
grade 1, 2, and 3 acute (< 3 months) GU toxicity was 29%, 31% and 5% respectively
(no grade 4). Acute GI grade 1 toxicity was reported in 30% while grade 2
occurred in 14% (no grade 3 or 4). Crude late grade >= 3 toxicity rates at 31
months were 2% for both GU and GI toxicity. Cumulative late grade >= 3 GI
toxicity at 3 years was 11%. Two patients had PSA failure according to the
Phoenix definition. The three-year actuarial biochemical control rate is 97%.
CONCLUSIONS: Weekly RT with 45 Gy in 9 fractions is feasible and results in
comparable toxicity. Long term tumour control and survival remain to be assessed.
PMID- 21906283
TI - Dissemination of Metarhizium anisopliae of low and high virulence by mating
behavior in Aedes aegypti.
AB - BACKGROUND: Dengue is a viral disease transmitted by Aedes mosquitoes. It is a
threat for public health worldwide and its primary vector Aedes aegypti is
becoming resistant to chemical insecticides. These factors have encouraged
studies to evaluate entomopathogenic fungi against the vector. Here we evaluated
mortality, infection, insemination and fecundity rates in A. aegypti females
after infection by autodissemination with two Mexican strains of Metarhizium
anisopliae. METHODS: Two M. anisopliae strains were tested: The Ma-CBG-1 least
virulent (lv), and the Ma-CBG-2 highly virulent (hv) strain. The lv was tested as
non mosquito-passed (NMP), and mosquito-passed (MP), while the hv was examined
only as MP version, therefore including the control four treatments were used. In
the first bioassay virulence of fungal strains towards female mosquitoes was
determined by indirect exposure for 48 hours to conidia-impregnated paper. In the
second bioassay autodissemination of fungal conidia from fungus-contaminated
males to females was evaluated. Daily mortality allowed computation of survival
curves and calculation of the LT50 by the Kaplan-Meier model. All combinations of
fungal sporulation and mating insemination across the four treatments were
analyzed by chi2. The mean fecundity was analyzed by ANOVA and means contrasted
with the Ryan test. RESULTS: Indirect exposure to conidia allowed a faster rate
of mortality, but exposure to a fungal-contaminated male was also an effective
method of infecting female mosquitoes. All females confined with the hv strain
contaminated male died in fifteen days with a LT50 of 7.57 (+/- 0.45) where the
control was 24.82 (+/- 0.92). For the lv strain, it was possible to increase
fungal virulence by passing the strain through mosquitoes. 85% of females exposed
to hv-contaminated males became infected and of them just 10% were inseminated;
control insemination was 46%. The hv strain reduced fecundity by up to 99%, and
the lv strain caused a 40% reduction in fecundity. CONCLUSIONS: The hv isolate
infringed a high mortality, allowed a low rate of insemination, and reduced
fecundity to nearly zero in females confined with a fungus-contaminated male.
This pathogenic impact exerted through sexual transmission makes the hv strain of
M. anisopliae worthy of further research.
PMID- 21906284
TI - Implementing a genomic data management system using iRODS in the Wellcome Trust
Sanger Institute.
AB - BACKGROUND: Increasingly large amounts of DNA sequencing data are being generated
within the Wellcome Trust Sanger Institute (WTSI). The traditional file system
struggles to handle these increasing amounts of sequence data. A good data
management system therefore needs to be implemented and integrated into the
current WTSI infrastructure. Such a system enables good management of the IT
infrastructure of the sequencing pipeline and allows biologists to track their
data. RESULTS: We have chosen a data grid system, iRODS (Rule-Oriented Data
management systems), to act as the data management system for the WTSI. iRODS
provides a rule-based system management approach which makes data replication
much easier and provides extra data protection. Unlike the metadata provided by
traditional file systems, the metadata system of iRODS is comprehensive and
allows users to customize their own application level metadata. Users and IT
experts in the WTSI can then query the metadata to find and track data.The aim of
this paper is to describe how we designed and used (from both system and user
viewpoints) iRODS as a data management system. Details are given about the
problems faced and the solutions found when iRODS was implemented. A simple use
case describing how users within the WTSI use iRODS is also introduced.
CONCLUSIONS: iRODS has been implemented and works as the production system for
the sequencing pipeline of the WTSI. Both biologists and IT experts can now track
and manage data, which could not previously be achieved. This novel approach
allows biologists to define their own metadata and query the genomic data using
those metadata.
PMID- 21906285
TI - Deep sequencing-based transcriptome analysis of Plutella xylostella larvae
parasitized by Diadegma semiclausum.
AB - BACKGROUND: Parasitoid insects manipulate their hosts' physiology by injecting
various factors into their host upon parasitization. Transcriptomic approaches
provide a powerful approach to study insect host-parasitoid interactions at the
molecular level. In order to investigate the effects of parasitization by an
ichneumonid wasp (Diadegma semiclausum) on the host (Plutella xylostella), the
larval transcriptome profile was analyzed using a short-read deep sequencing
method (Illumina). Symbiotic polydnaviruses (PDVs) associated with ichneumonid
parasitoids, known as ichnoviruses, play significant roles in host immune
suppression and developmental regulation. In the current study, D. semiclausum
ichnovirus (DsIV) genes expressed in P. xylostella were identified and their
sequences compared with other reported PDVs. Five of these genes encode proteins
of unknown identity, that have not previously been reported. RESULTS: De novo
assembly of cDNA sequence data generated 172,660 contigs between 100 and 10000 bp
in length; with 35% of > 200 bp in length. Parasitization had significant impacts
on expression levels of 928 identified insect host transcripts. Gene ontology
data illustrated that the majority of the differentially expressed genes are
involved in binding, catalytic activity, and metabolic and cellular processes. In
addition, the results show that transcription levels of antimicrobial peptides,
such as gloverin, cecropin E and lysozyme, were up-regulated after parasitism.
Expression of ichnovirus genes were detected in parasitized larvae with 19 unique
sequences identified from five PDV gene families including vankyrin, viral
innexin, repeat elements, a cysteine-rich motif, and polar residue rich protein.
Vankyrin 1 and repeat element 1 genes showed the highest transcription levels
among the DsIV genes. CONCLUSION: This study provides detailed information on
differential expression of P. xylostella larval genes following parasitization,
DsIV genes expressed in the host and also improves our current understanding of
this host-parasitoid interaction.
PMID- 21906286
TI - A comparative physical map reveals the pattern of chromosomal evolution between
the turkey (Meleagris gallopavo) and chicken (Gallus gallus) genomes.
AB - BACKGROUND: A robust bacterial artificial chromosome (BAC)-based physical map is
essential for many aspects of genomics research, including an understanding of
chromosome evolution, high-resolution genome mapping, marker-assisted breeding,
positional cloning of genes, and quantitative trait analysis. To facilitate
turkey genetics research and better understand avian genome evolution, a BAC
based integrated physical, genetic, and comparative map was developed for this
important agricultural species. RESULTS: The turkey genome physical map was
constructed based on 74,013 BAC fingerprints (11.9 * coverage) from two
independent libraries, and it was integrated with the turkey genetic map and
chicken genome sequence using over 41,400 BAC assignments identified by 3,499
overgo hybridization probes along with > 43,000 BAC end sequences. The physical
comparative map consists of 74 BAC contigs, with an average contig size of 13.6
Mb. All but four of the turkey chromosomes were spanned on this map by three or
fewer contigs, with 14 chromosomes spanned by a single contig and nine
chromosomes spanned by two contigs. This map predicts 20 to 27 major
rearrangements distinguishing turkey and chicken chromosomes, despite up to 40
million years of separate evolution between the two species. These data elucidate
the chromosomal evolutionary pattern within the Phasianidae that led to the
modern turkey and chicken karyotypes. The predominant rearrangement mode involves
intra-chromosomal inversions, and there is a clear bias for these to result in
centromere locations at or near telomeres in turkey chromosomes, in comparison to
interstitial centromeres in the orthologous chicken chromosomes. CONCLUSION: The
BAC-based turkey-chicken comparative map provides novel insights into the
evolution of avian genomes, a framework for assembly of turkey whole genome
shotgun sequencing data, and tools for enhanced genetic improvement of these
important agricultural and model species.
PMID- 21906287
TI - Mutation of L-2,3-diaminopropionic acid synthase genes blocks staphyloferrin B
synthesis in Staphylococcus aureus.
AB - BACKGROUND: Staphylococcus aureus synthesizes two siderophores, staphyloferrin A
and staphyloferrin B, that promote iron-restricted growth. Previous work on the
biosynthesis of staphyloferrin B has focused on the role of the synthetase
enzymes, encoded from within the sbnA-I operon, which build the siderophore from
the precursor molecules citrate, alpha-ketoglutarate and L-2,3-diaminopropionic
acid. However, no information yet exists on several other enzymes, expressed from
the biosynthetic cluster, that are thought to be involved in the synthesis of the
precursors (or synthetase substrates) themselves. RESULTS: Using mutants carrying
insertions in sbnA and sbnB, we show that these two genes are essential for the
synthesis of staphyloferrin B, and that supplementation of the growth medium with
L-2,3-diaminopropionic acid can bypass the block in staphyloferrin B synthesis
displayed by the mutants. Several mechanisms are proposed for how the enzymes
SbnA, with similarity to cysteine synthase enzymes, and SbnB, with similarity to
amino acid dehydrogenases and ornithine cyclodeaminases, function together in the
synthesis of this unusual nonproteinogenic amino acid L-2,3-diaminopropionic
acid. CONCLUSIONS: Mutation of either sbnA or sbnB result in abrogation of
synthesis of staphyloferrin B, a siderophore that contributes to iron-restricted
growth of S. aureus. The loss of staphyloferrin B synthesis is due to an
inability to synthesize the unusual amino acid L-2,3-diaminopropionic acid which
is an important, iron-liganding component of the siderophore structure. It is
proposed that SbnA and SbnB function together as an L-Dap synthase in the S.
aureus cell.
PMID- 21906288
TI - Improving Cry8Ka toxin activity towards the cotton boll weevil (Anthonomus
grandis).
AB - BACKGROUND: The cotton boll weevil (Anthonomus grandis) is a serious insect-pest
in the Americas, particularly in Brazil. The use of chemical or biological insect
control is not effective against the cotton boll weevil because of its endophytic
life style. Therefore, the use of biotechnological tools to produce insect
resistant transgenic plants represents an important strategy to reduce the damage
to cotton plants caused by the boll weevil. The present study focuses on the
identification of novel molecules that show improved toxicity against the cotton
boll weevil. In vitro directed molecular evolution through DNA shuffling and
phage display screening was applied to enhance the insecticidal activity of
variants of the Cry8Ka1 protein of Bacillus thuringiensis. RESULTS: Bioassays
carried out with A. grandis larvae revealed that the LC50 of the screened mutant
Cry8Ka5 toxin was 3.15-fold higher than the wild-type Cry8Ka1 toxin. Homology
modelling of Cry8Ka1 and the Cry8Ka5 mutant suggested that both proteins retained
the typical three-domain Cry family structure. The mutated residues were located
mostly in loops and appeared unlikely to interfere with molecular stability.
CONCLUSIONS: The improved toxicity of the Cry8Ka5 mutant obtained in this study
will allow the generation of a transgenic cotton event with improved potential to
control A. grandis.
PMID- 21906289
TI - Association of HLA-B*5801 allele and allopurinol-induced Stevens Johnson syndrome
and toxic epidermal necrolysis: a systematic review and meta-analysis.
AB - BACKGROUND: Despite some studies suggesting a possible association between human
leukocyte antigen, HLA-B*5801 and allopurinol induced Stevens-Johnson Syndrome
(SJS) and Toxic Epidermal Necrolysis (TEN), the evidence of association and its
magnitude remain inconclusive. This study aims to systematically review and meta
analyze the association between HLA-B*5801 allele and allopurinol-induced
SJS/TEN. METHODS: A comprehensive search was performed in databases including
MEDLINE, Pre-MEDLINE, Cochrane Library, EMBASE, International Pharmaceutical
Abstracts (IPA), CINAHL, PsychInfo, the WHO International, Clinical Trial
Registry, and ClinicalTrial.gov from their inceptions to June 2011. Only studies
investigating association between HLA-B*5801 with allopurinol-induced SJS/TEN
were included. All studies were extracted by two independent authors. The primary
analysis was the carrier frequency of HLA-B*5801 comparison between allopurinol
induced SJS/TEN cases and each comparative group. The pooled odds ratios were
calculated using a random effect model. RESULTS: A total of 4 studies with 55
SJS/TEN cases and 678 matched-controls (allopurinol-tolerant control) was
identified, while 5 studies with 69 SJS/TEN cases and 3378 population-controls
(general population) were found. SJS/TEN cases were found to be significantly
associated with HLA-B*5801 allele in both groups of studies with matched-control
(OR 96.60, 95%CI 24.49-381.00, p < 0.001) and population-control (OR 79.28, 95%CI
41.51-151.35, p < 0.001). Subgroup analysis for Asian and Non-Asian population
yielded similar findings. CONCLUSION: We found a strong and significant
association between HLA-B*5801 and allopurinol-induced SJS/TEN. Therefore, HLA
B*5801 allele screening may be considered in patients who will be treated with
allopurinol.
PMID- 21906290
TI - Supplier-induced demand for psychiatric admissions in Northern New England.
AB - BACKGROUND: The development of hospital service areas (HSAs) using small area
analysis has been useful in examining variation in medical and surgical care;
however, the techniques of small area analysis are underdeveloped in
understanding psychiatric admission rates. We sought to develop these techniques
in order to understand the relationship between psychiatric bed supply and
admission rates in Northern New England. Our primary hypotheses were that there
would be substantial variation in psychiatric admission across geographic
settings and that bed availability would be positively correlated with admission
rates, reflecting a supplier-induced demand phenomenon. Our secondary hypothesis
was that the construction of psychiatric HSAs (PHSAs) would yield more meaningful
results than the use of existing general medical hospital service areas. METHODS:
To address our hypotheses, we followed a four-step analytic process: 1) we used
small area analytic techniques to define our PHSAs, 2) we calculated the
localization index for PHSAs and compared that to the localization index for
general medical HSAs, 3) we used the number of psychiatric hospital beds, the
number of psychiatric admissions, and census data to calculate population-based
bed-supply and psychiatric admission rates for each PHSA, and 4) we correlated
population-based admission rates to population-based psychiatric bed supply.
RESULTS: The admission rate for psychiatric diagnosis varied considerably among
the PHSAs, with rates varying from 2.4 per 100,000 in Portsmouth, NH to 13.4 per
100,000 in Augusta, ME. There was a positive correlation of 0.71 between a PHSA's
supply of beds and admission rate. Using our PSHAs produced a substantially
higher localization index than using general medical hospital services areas
(0.69 vs. 0.23), meaning that our model correctly predicted geographic
utilization at three times the rate of the existing model. CONCLUSIONS: The
positive correlation between admission and bed supply suggests that psychiatric
bed availability may partially explain the variation in admission rates.
Development of PHSAs, rather than relying on the use of established general
medical HSAs, improves the relevance and accuracy of small area analysis in
understanding mental health services utilization.
PMID- 21906291
TI - Factors associated with default from treatment among tuberculosis patients in
Nairobi province, Kenya: a case control study.
AB - BACKGROUND: Successful treatment of tuberculosis (TB) involves taking anti
tuberculosis drugs for at least six months. Poor adherence to treatment means
patients remain infectious for longer, are more likely to relapse or succumb to
tuberculosis and could result in treatment failure as well as foster emergence of
drug resistant tuberculosis. Kenya is among countries with high tuberculosis
burden globally. The purpose of this study was to determine the duration
tuberculosis patients stay in treatment before defaulting and factors associated
with default in Nairobi. METHODS: A Case-Control study; Cases were those who
defaulted from treatment and Controls those who completed treatment course
between January 2006 and March 2008. All (945) defaulters and 1033 randomly
selected controls from among 5659 patients who completed treatment course in 30
high volume sites were enrolled. Secondary data was collected using a facility
questionnaire. From among the enrolled, 120 cases and 154 controls were randomly
selected and interviewed to obtain primary data not routinely collected. Data was
analyzed using SPSS and Epi Info statistical software. Univariate and
multivariate logistic regression analysis to determine association and Kaplan
Meier method to determine probability of staying in treatment over time were
applied. RESULTS: Of 945 defaulters, 22.7% (215) and 20.4% (193) abandoned
treatment within first and second months (intensive phase) of treatment
respectively. Among 120 defaulters interviewed, 16.7% (20) attributed their
default to ignorance, 12.5% (15) to traveling away from treatment site, 11.7%
(14) to feeling better and 10.8% (13) to side-effects. On multivariate analysis,
inadequate knowledge on tuberculosis (OR 8.67; 95% CI 1.47-51.3), herbal
medication use (OR 5.7; 95% CI 1.37-23.7), low income (OR 5.57, CI 1.07-30.0),
alcohol abuse (OR 4.97; 95% CI 1.56-15.9), previous default (OR 2.33; 95% CI 1.16
4.68), co-infection with Human immune-deficient Virus (HIV) (OR 1.56; 95% CI 1.25
1.94) and male gender (OR 1.43; 95% CI 1.15-1.78) were independently associated
with default. CONCLUSION: The rate of defaulting was highest during initial two
months, the intensive phase of treatment. Multiple factors were attributed by
defaulting patients as cause for abandoning treatment whereas several were
independently associated with default. Enhanced patient pre-treatment counseling
and education about TB is recommended.
PMID- 21906292
TI - Semiquantitative assessment of subchondral bone marrow edema-like lesions and
subchondral cysts of the knee at 3T MRI: a comparison between intermediate
weighted fat-suppressed spin echo and Dual Echo Steady State sequences.
AB - BACKGROUND: Choice of appropriate MR pulse sequence is important for any research
studies using imaging-derived data. The aim of this study was to compare
semiquantitative assessment of subchondral bone marrow edema-like lesions and
subchondral cysts using intermediate-weighted (IW) fat-suppressed (fs) spin echo
and Dual Echo Steady State (DESS) sequences on 3 T MRI. METHODS: Included were
201 subjects aged 35-65 with frequent knee pain. 3T MRI was performed with the
same sequence protocol as in the Osteoarthritis Initiative (OAI). In a primary
reading subchondral bone marrow edema-like lesions were assessed according to the
WORMS system. Two hundred subregions with such lesions were randomly chosen. The
extent of subchondral bone marrow edema-like lesions was re-evaluated separately
using sagittal IW fs and DESS sequences according to WORMS. Lesion size and
confidence of the differentiation between subchondral bone marrow edema-like
lesions and subchondral cysts located within or adjacent to them was rated from 0
to 3. Wilcoxon signed-rank tests and chi-square statistics were used to examine
differences between the two sequences. RESULTS: Of 200 subchondral bone marrow
edema-like lesions detected by IW fs sequence, 93 lesions (46.5%) were not
depicted by the DESS sequence. The IW fs sequence depicted subchondral bone
marrow edema-like lesions to a larger extent than DESS (p < 0.0001), and the
opposite was true for subchondral cysts. Confidence scores for differentiation of
the two types of lesions were not significantly different between the two
sequences. CONCLUSIONS: In direct comparison the IW fs sequence depicts more
subchondral bone marrow edema-like lesions and better demonstrate the extent of
their maximum size. The DESS sequence helps in the differentiation of subchondral
bone marrow edema-like lesions and subchondral cysts. The IW fs sequence should
be used for determination of lesion extent whenever the size of subchondral bone
marrow edema-like lesions is the focus of attention.
PMID- 21906293
TI - Is the impact of hospital performance data greater in patients who have compared
hospitals?
AB - BACKGROUND: Public information on average has limited impact on patients'
hospital choice. However, the impact may be greater in consumers who have
compared hospitals prior to their hospital choice. We therefore assessed whether
patients who have compared hospitals based their hospital choice mainly on public
information, rather than e.g. advice of their general practitioner and consider
other information important than patients who have not compared hospitals.
METHODS: 337 new surgical patients completed an internet-based questionnaire.
They were asked whether they had compared hospitals prior to their hospital
choice and which factors influenced their choice. They were also asked to select
between four and ten items of hospital information (total: 41 items) relevant for
their future hospital choice. These were subsequently used in a hospital choice
experiment in which participants were asked to compare hospitals in an Adaptive
Choice-Based Conjoint analysis to estimate which of the hospital characteristics
had the highest Relative Importance (RI). RESULTS: Patients who have compared
hospitals more often used public information for their hospital choice than
patients who have not compared hospitals (12.7% vs. 1.5%, p < 0.001). However,
they still mostly relied on their own (47.9%) and other people's experiences
(31%) rather than to base their decision on public information. Both groups
valued physician's expertise (RI 20.2 [16.6-24.8] in patients comparing hospitals
vs. 16.5 [14.2-18.8] in patients not comparing hospitals) and waiting time (RI
15.1 [10.7-19.6] vs. 15.6 [13.2-17.9] respectively) as most important public
information. Patients who have compared hospitals assigned greater importance to
information on wound infections (p = 0.010) and respect for patients (p = 0.022),
but lower importance to hospital distance (p = 0.041). CONCLUSION: Public
information has limited impact on patient's hospital choice, even in patients who
have actually compared hospitals prior to hospital choice.
PMID- 21906294
TI - diArk 2.0 provides detailed analyses of the ever increasing eukaryotic genome
sequencing data.
AB - BACKGROUND: Nowadays, the sequencing of even the largest mammalian genomes has
become a question of days with current next-generation sequencing methods. It
comes as no surprise that dozens of genome assemblies are released per months
now. Since the number of next-generation sequencing machines increases worldwide
and new major sequencing plans are announced, a further increase in the speed of
releasing genome assemblies is expected. Thus it becomes increasingly important
to get an overview as well as detailed information about available sequenced
genomes. The different sequencing and assembly methods have specific
characteristics that need to be known to evaluate the various genome assemblies
before performing subsequent analyses. RESULTS: diArk has been developed to
provide fast and easy access to all sequenced eukaryotic genomes worldwide.
Currently, diArk 2.0 contains information about more than 880 species and more
than 2350 genome assembly files. Many meta-data like sequencing and read-assembly
methods, sequencing coverage, GC-content, extended lists of alternatively used
scientific names and common species names, and various kinds of statistics are
provided. To intuitively approach the data the web interface makes extensive
usage of modern web techniques. A number of search modules and result views
facilitate finding and judging the data of interest. Subscribing to the RSS feed
is the easiest way to stay up-to-date with the latest genome data. CONCLUSIONS:
diArk 2.0 is the most up-to-date database of sequenced eukaryotic genomes
compared to databases like GOLD, NCBI Genome, NHGRI, and ISC. It is different in
that only those projects are stored for which genome assembly data or
considerable amounts of cDNA data are available. Projects in planning stage or in
the process of being sequenced are not included. The user can easily search
through the provided data and directly access the genome assembly files of the
sequenced genome of interest. diArk 2.0 is available at http://www.diark.org.
PMID- 21906295
TI - Reference genes for quantitative reverse transcription-polymerase chain reaction
expression studies in wild and cultivated peanut.
AB - BACKGROUND: Wild peanut species (Arachis spp.) are a rich source of new alleles
for peanut improvement. Plant transcriptome analysis under specific experimental
conditions helps the understanding of cellular processes related, for instance,
to development, stress response, and crop yield. The validation of these studies
has been generally accomplished by quantitative reverse transcription-polymerase
chain reaction (qRT-PCR) which requires normalization of mRNA levels among
samples. This can be achieved by comparing the expression ratio between a gene of
interest and a reference gene which is constitutively expressed. Nowadays there
is a lack of appropriate reference genes for both wild and cultivated Arachis.
The identification of such genes would allow a consistent analysis of qRT-PCR
data and speed up candidate gene validation in peanut. RESULTS: A set of ten
reference genes were analyzed in four Arachis species (A. magna; A. duranensis;
A. stenosperma and A. hypogaea) subjected to biotic (root-knot nematode and leaf
spot fungus) and abiotic (drought) stresses, in two distinct plant organs (roots
and leaves). By the use of three programs (GeNorm, NormFinder and BestKeeper) and
taking into account the entire dataset, five of these ten genes, ACT1 (actin
depolymerizing factor-like protein), UBI1 (polyubiquitin), GAPDH (glyceraldehyde
3-phosphate dehydrogenase), 60S (60S ribosomal protein L10) and UBI2
(ubiquitin/ribosomal protein S27a) emerged as top reference genes, with their
stability varying in eight subsets. The former three genes were the most stable
across all species, organs and treatments studied. CONCLUSIONS: This first in
depth study of reference genes validation in wild Arachis species will allow the
use of specific combinations of secure and stable reference genes in qRT-PCR
assays. The use of these appropriate references characterized here should improve
the accuracy and reliability of gene expression analysis in both wild and
cultivated Arachis and contribute for the better understanding of gene expression
in, for instance, stress tolerance/resistance mechanisms in plants.
PMID- 21906296
TI - The use of HaloTag-based technology in flow and laser scanning cytometry analysis
of live and fixed cells.
AB - BACKGROUND: Combining the technologies of protein tag labeling and optical
microscopy allows sensitive analysis of protein function in cells. FINDINGS:
Here, we describe development of applications using protein tag technology
(HaloTag (HT)-based) for flow and laser scanning cytometry (LSC). Cell lines,
expressing recombinant surface beta1-integrin-HT and HT-p65 fusion protein, and a
CD4 T cell line (Jurkat) infected with human immunodeficiency virus type 1 (HIV
1) reporter virus expressing the unfused HT (HIV-1Lai-Halo), were stained with
different HT ligands and successfully detected by flow cytometers equipped with
488 and 561 nm lasers as well as a laser scanning cytometer (equipped with 488
and 405 nm lasers) alone or combined with cell cycle and viability markers.
CONCLUSIONS: Use of HT technology for cytometric applications has advantages over
its use in microscopy as it allows for the statistical measurement of protein
expression levels in individual cells within a heterogeneous cell population in
combination with cell cycle analysis. Another advantage is the ability of the
HaloTag to withstand long fixation and high concentration of fixative, which can
be useful in research of infectious agents like HIV and/or mycobacteria.
PMID- 21906297
TI - Markedly lower follow-up rate after liver biopsy in patients with non-alcoholic
fatty liver diseases than those with viral hepatitis in Japan.
AB - BACKGROUND: Patients with non-alcoholic fatty liver diseases (NAFLD) are
recommended to have periodic follow-up exams because these patients are at
increased risk of the presence of non-alcoholic steatohepatitis (NASH), which can
lead to cirrhosis or hepatocellular carcinoma. We investigated the follow-up
status of NAFLD patients after a liver biopsy examination. METHODS: We compared
the follow-up rates of NAFLD patients who had received an ultrasonography-guided
liver biopsy and patients who had received a liver biopsy for chronic viral
hepatitis (hepatitis B or C). RESULTS: The 1- and 3-year follow-up rates after
the liver biopsy were 92.7% and 88.3% for patients with chronic HBV infection,
and 93.4% and 88.2% for patients with chronic HCV infection, respectively. In
contrast, the follow-up rates for NAFLD patients were 77.6% and 49.9%,
respectively, which were significantly lower than those of patients with chronic
viral hepatitis (p < 0.0001). Among NAFLD patients, the respective 1- and 3-year
follow-up rates were 73.0% and 44.6% for patients with simple steatosis and 80.0%
and 52.4% for patients with NASH based on a pathologic diagnosis, without
significant difference between these two subgroups (p = 0.5202). CONCLUSIONS: The
outpatient-based follow-up rate after a liver biopsy was significantly lower in
NAFLD patients compared to patients with chronic viral hepatitis, regardless of
the presence of NASH. It is important to determine how to maintain regular
hospital visits for NAFLD patients, preventing patient attrition.
PMID- 21906298
TI - The effect of competition on heart rate during kart driving: A field study.
AB - BACKGROUND: Both the act of competing, which can create a kind of mental stress,
and participation in motor sports, which induces physical stress from intense g
forces, are known to increase heart rate dramatically. However, little is known
about the specific effect of competition on heart rate during motor sports,
particularly during four-wheel car driving. The goal of this preliminary study,
therefore, was to investigate whether competition increases heart rate under such
situations. FINDINGS: The participants drove an entry-level formula kart during
two competitive races and during solo driving against the clock while heart rate
and g-forces were measured. Analyses showed that heart rate values during the
races (168.8 beats/min) were significantly higher than those during solo driving
(140.9 beats/min) and rest (75.1 beats/min). CONCLUSIONS: The results of this
preliminary study indicate that competition heightens heart rate during four
wheel car driving. Kart drivers should be concerned about maintaining good health
and developing physical strength.
PMID- 21906299
TI - Birth defects in newborns and stillborns: an example of the Brazilian reality.
AB - BACKGROUND: This study constitutes a clinical and genetic study of all newborn
and stillborn infants with birth defects seen in a period of one year in a
medical school hospital located in Brazil. The aims of this study were to
estimate the incidence, causes and consequences of the defects. METHODS: For all
infants we carried out physical assessment, photographic records, analysis of
medical records and collection of additional information with the family, besides
the karyotypic analysis or molecular tests in indicated cases. RESULT: The
incidence of birth defects was 2.8%. Among them, the etiology was identified in
73.6% (ci95%: 64.4-81.6%). Etiology involving the participation of genetic
factors single or associated with environmental factors) was more frequent 94.5%,
ci95%: 88.5-98.0%) than those caused exclusively by environmental factors
(alcohol in and gestational diabetes mellitus). The conclusive or presumed
diagnosis was possible in 85% of the cases. Among them, the isolated congenital
heart disease (9.5%) and Down syndrome (9.5%) were the most common, followed by
gastroschisis (8.4%), neural tube defects (7.4%) and clubfoot (5.3%). Maternal
age, parental consanguinity, exposure to teratogenic agents and family
susceptibility were some of the identified risk factors. The most common observed
consequences were prolonged hospital stays and death. CONCLUSIONS: The current
incidence of birth defects among newborns and stillbirths of in our population is
similar to those obtained by other studies performed in Brazil and in other
underdeveloped countries. Birth defects are one of the major causes leading to
lost years of potential life. The study of birth defects in underdeveloped
countries should continue. The identification of incidence, risk factors and
consequences are essential for planning preventive measures and effective
treatments.
PMID- 21906300
TI - Pharmacokinetic and technical comparison of Sandostatin(r) LAR(r) and other
formulations of long-acting octreotide.
AB - BACKGROUND: Sandostatin(r) LAR(r) (Novartis Pharma AG) is a long-acting
repeatable formulation of the somatostatin analogue octreotide, the safety and
efficacy of which has been established through 15 years of clinical experience.
Recently, other formulations of octreotide using polymer poly(lactic-co-glycolic
acid) technology have been developed. This study compares the composition and
pharmacokinetic (PK) profile of Sandostatin LAR with three other versions of the
depot delivery system (formulations A, B and C, available in selected countries).
FINDINGS: Sandostatin LAR exhibited a characteristic concentration-time profile
with a limited initial release of octreotide ('burst'), an erosion phase from
weeks 3-5, and a slowly declining concentration to day 52. The PK profiles of
formulations A and B were characterized by a large initial burst during days 0-2,
with up to 41% of the overall area under the plasma-concentration time curve
achieved. Low and variable octreotide concentrations were observed during the
microparticle erosion phase (days 2-62 [day 82 formulation C]) for formulations
A, B and C. Sandostatin LAR microparticles are spherical in shape with an average
diameter of approximately 50 MUm, determined by scanning electron microscopy
evaluation. Formulation A had smaller, irregular microparticles, and formulations
B and C exhibited a large range of particle diameters (< 20 to > 100 MUm).
Inductively coupled plasma-optical emission spectroscopy detected a high tin
content of 104 mg/kg in formulation B, the presence of which may suggest
inadequate purification following polymer synthesis using tin(II)-octoate as
catalyst. PK profiles for formulations A, B and C after a single intramuscular
injection of 4 mg/kg in male New Zealand rabbits differed markedly from the PK
profile of Sandostatin LAR. CONCLUSIONS: Clear differences were seen between
Sandostatin LAR and formulations A, B and C, including variations in
microparticle size, shape and impurity content. Considering the significant
differences in the octreotide release profile between Sandostatin LAR and the
other formulations, the safety and efficacy of the other formulations cannot be
inferred from the Sandostatin LAR efficacy and safety profile; each of these
other formulations should be assessed accordingly.
PMID- 21906301
TI - Lactogenic differentiation of HC11 cells is not accompanied by downregulation of
AP-2 transcription factor genes.
PMID- 21906302
TI - Portion control for the treatment of obesity in the primary care setting.
AB - BACKGROUND: The increasing prevalence of obesity is a significant health threat
and a major public health challenge. A critical need exists to develop and
evaluate practical methods for the treatment of obesity in the clinical setting.
One of the factors contributing to the obesity epidemic is food portion sizes.
Limited data are available on the efficacy of visual or tactile devices designed
to enhance patient understanding and control of portion sizes. A portion control
plate is a commercially-available product that can provide visual cues of portion
size and potentially contribute to weight loss by enhancing portion size control
among obese patients. This tool holds promise as a useful adjunct to dietary
counseling. Our objective was to evaluate a portion control intervention
including dietary counseling and a portion control plate to facilitate weight
loss among obese patients in a primary care practice. FINDINGS: We randomized 65
obese patients [body mass index (BMI) >= 30 and < 40] to an intervention
including counseling by a dietitian incorporating a portion control plate or to
usual care. Following initial consultation, patients in the intervention arm were
contacted at 1, 3, and 5 months by the dietician for brief follow-up counseling.
Usual care subjects received instructional handouts on diet and exercise. Forty
two (65%) subjects returned to have weight assessed at 6 months. Subjects in the
portion control intervention had a greater percentage change (+/- SD) in weight
from baseline at 3 months (-2.4% +/- 3.7% vs. -0.5% +/- 2.2%; p = 0.041) and a
non significant trend in weight change from baseline at 6 months (-2.1% +/- 3.8%
vs. -0.7% +/- 3.7%; p = 0.232) compared with usual care. Nearly one-half of
patients assigned to the portion control intervention who completed the study
reported the overall intervention was helpful and the majority would recommend it
to others. CONCLUSIONS: Our findings suggest that a portion control intervention
incorporating dietary counseling and a portion control plate may be effective for
enhancing weight loss among obese subjects. A portion control intervention
deserves further evaluation as a weight control strategy in the primary care
setting. TRIAL REGISTRATION: Current controlled trials NCT01451554.
PMID- 21906303
TI - Intensive care unit course of infants and children after cranial vault
reconstruction for craniosynostosis.
AB - BACKGROUND: Craniosynostosis (CSS) results from the premature closure of one or
more cranial sutures, leading to deformed calvaria at birth. It is a common
finding in children with an incidence of one in 2000 births. Surgery is required
in order to release the synostotic constraint and promote normal calvaria growth.
Cranial vault remodeling is the surgical approach to CSS repair at our
institution and it involves excision of the frontal, parietal, and occipital
bones. The purpose of this article is to describe the post-operative course of
infants and children admitted to our PICU after undergoing cranial vault
remodeling for primary CSS. FINDINGS: Complete data was available for analyses in
only 82 patients, 44 males (M) and 38 females (F); M: F ratio was 1:1.2. Patients
(pts) age in months (mo) ranged from 2 mo to 132 mo, mean 18.2 +/--24.9 mo and
weights (wt) ranged from 4.7 kg to 31.4 kg, mean 10.24 +/- 5.5 Kg.. Duration of
surgery (DOS) ranged from 70 minutes to 573 minutes mean 331.6 +/- 89.0 minutes.
No significant correlation exist between duration of surgery, suture category,
patient's age or use of blood products (P > 0.05). IOP blood loss was higher in
older pts (P < 0.05) and it correlates with body temperature in the PICU (P <
.0001). Post-op use of FFP correlated with intra-operative PRBC transfusion (P <
0.0001). More PRBC was transfused within 12 hrs-24 hrs in PICU compared to other
time periods (P < 0.05). LOS in PICU was < 3 days in 68% and > 3 days in 32%. Pts
with fever had prolonged LOS (P < 0. 05); re-intubation rate was 2.4% and MVD
were 1.83 days. Repeat operation for poor cosmetic results occurred in 9.7% of
pts. CONCLUSIONS: Post-op morbidities from increased use of blood products can be
minimized if cranial vault remodeling is done at a younger age in patients with
primary CSS. PICU length of stay is determined in part by post-op pyrexia and it
can be reduced if extensive evaluations of post-op fever are avoided.
PMID- 21906304
TI - Aortic dissection at the University hospital of the West Indies: A 20-year
clinicopathological study of autopsy cases.
AB - BACKGROUND: An autopsy study of aortic dissection (AD) at our institution was
previously reported. In the approximately 20 years since then, however, many
aspects of diagnosis and treatment of this disease have changed, with a fall in
mortality reported in many centers around the world. An impression amongst our
pathologists that, there might be an increase in the prevalence of AD in the
autopsy service at our hospital, since that earlier report, led to this repeated
study, in an attempt to validate that notion. We also sought to identify any
changes in clinicopathological features between the two series or any occurring
during this study period itself. FINDINGS: All cases of AD identified at autopsy,
during the 20-year period since the conclusion of the last study, were collected
and pertinent clinical and pathological data were analyzed and compared, both
within the two decades of this study period and against the results of the last
study.Fifty-six cases comprised this study group including 36 males and 20
females, with a mean age of 63.9 years. There were, more patients in the second
decade (n = 33; 59%) compared with the first decade (n = 23; 41%). Hypertension
as a risk factor was identified in 52 (93%) cases and rupture occurred in 49
(88%) cases. A clinical diagnosis of AD was considered prior to surgery or
autopsy in 25 (45%) cases overall, more during the second decade. Surgery was
attempted in 25% of all cases with an increase in the second decade compared with
the first. CONCLUSIONS: Compared with the earlier review, a variety of changes in
the profile of patients with AD in the autopsy service has been noted, including
a reversal in the female predominance seen previously. Other observations include
an increase in cases where the correct clinical diagnosis was considered and in
which surgical treatment was attempted, changes also evident when the second
decade of the present study was compared with the earlier decade. Overall, there
were many positive trends. However, areas that could still be improved include an
increased index of suspicion for the diagnosis of AD and perhaps in the
initiation of treatment, earlier, in those cases where the correct diagnosis was
considered.
PMID- 21906305
TI - The BrainMap strategy for standardization, sharing, and meta-analysis of
neuroimaging data.
AB - BACKGROUND: Neuroimaging researchers have developed rigorous community data and
metadata standards that encourage meta-analysis as a method for establishing
robust and meaningful convergence of knowledge of human brain structure and
function. Capitalizing on these standards, the BrainMap project offers databases,
software applications, and other associated tools for supporting and promoting
quantitative coordinate-based meta-analysis of the structural and functional
neuroimaging literature. FINDINGS: In this report, we describe recent technical
updates to the project and provide an educational description for performing meta
analyses in the BrainMap environment. CONCLUSIONS: The BrainMap project will
continue to evolve in response to the meta-analytic needs of biomedical
researchers in the structural and functional neuroimaging communities. Future
work on the BrainMap project regarding software and hardware advances are also
discussed.
PMID- 21906306
TI - Chlamydia in canine or feline coronary arteriosclerotic lesions.
AB - BACKGROUND: There are numerous reports linking Chlamydia infection to human
coronary atherosclerosis. However, there is a lack of data regarding this
correlation in dogs and cats, and there are no reports investigating coronary
arteriosclerosis and Chlamydia in these species. The aim of the present study was
to examine whether there is a correlation between canine and feline spontaneous
atherosclerosis or arteriosclerosis and the presence of Chlamydia. Archived
histopathological samples of dogs (n = 16) and cats (n = 13) with findings of
atherosclerosis or arteriosclerosis in heart tissue were examined for the
presence of Chlamydiaceae using real-time PCR, ArrayTube Microarray and
immunohistochemistry. Additionally, arteriosclerotic lesions of all cases were
histologically classified and graded. RESULTS: Both canine atherosclerotic cases,
and all 14 canine arteriosclerotic cases were negative for Chlamydia. Only one of
the 13 arteriosclerotic feline cases was positive for Chlamydia by real-time PCR,
revealing C. abortus by ArrayTube Microarray. To our knowledge, this is the first
description of C. abortus in a cat. Overall, the type and grade of canine and
feline arteriosclerotic lesions revealed similarities, and were predominantly
moderate and hyperplastic. CONCLUSIONS: These findings suggest that there is no
obvious correlation between canine and feline coronary arteriosclerosis and the
presence of Chlamydia. In order to draw final conclusions about the correlation
between Chlamydia and canine atherosclerosis, examination of more samples is
required.
PMID- 21906307
TI - HOX genes in ovarian cancer.
AB - The HOX genes are a family of homeodomain-containing transcription factors that
determine cellular identity during development. Here we review a number of recent
studies showing that HOX genes are strongly expressed in ovarian cancer, and that
in some cases the expression of specific HOX genes is sufficient to confer a
particular identity and phenotype upon cancer cells. We also review the recent
advances in elucidating the different functions of HOX genes in ovarian cancer. A
literature search was performed using the search terms HOX genes (including
specific HOX genes), ovarian cancer and oncogenesis. Articles were accessed
through searches performed in ISI Web of Knowledge, PubMed and ScienceDirect.
Taken together, these studies have shown that HOX genes play a role in the
oncogenesis of ovarian cancer and function in the inhibition of apoptosis, DNA
repair and enhanced cell motility. The function of HOX genes in ovarian cancer
oncogenesis supports their potential role as prognostic and diagnostic markers,
and as therapeutic targets in this disease.
PMID- 21906308
TI - Adenovirus-mediated delivery of bFGF small interfering RNA reduces STAT3
phosphorylation and induces the depolarization of mitochondria and apoptosis in
glioma cells U251.
AB - Glioblastoma multiforme (GBM) carries a dismal prognosis primarily due to its
aggressive proliferation in the brain regulated by complex molecular mechanisms.
One promising molecular target in GBM is over-expressed basic fibroblast growth
factor (bFGF), which has been correlated with growth, progression, and
vascularity of human malignant gliomas. Previously, we reported significant
antitumor effects of an adenovirus-vector carrying bFGF small interfering RNA (Ad
bFGF-siRNA) in glioma in vivo and in vitro. However, its mechanisms are unknown.
Signal transducer and activator of transcription 3 (STAT3) is constitutively
active in GBM and correlates positively with the glioma grades. In addition, as a
specific transcription factor, STAT3 serves as the convergent point of various
signaling pathways activated by multiple growth factors and/or cytokines.
Therefore, we hypothesized that the proliferation inhibition and apoptosis
induction by Ad-bFGF-siRNA may result from the interruption of STAT3
phosphorylation. In the current study, we found that in glioma cells U251, Ad
bFGF-siRNA impedes the activation of ERK1/2 and JAK2, but not Src, decreases IL-6
secretion, reduces STAT3 phosphorylation, decreases the levels of downstream
molecules CyclinD1 and Bcl-xl, and ultimately results in the collapse of
mitochondrial membrane potentials as well as the induction of mitochondrial
related apoptosis. Our results offer a potential mechanism for using Ad-bFGF
siRNA as a gene therapy for glioma. To our knowledge, it is the first time that
the bFGF knockdown using adenovirus-mediated delivery of bFGF siRNA and its
potential underlying mechanisms are reported. Therefore, this finding may open
new avenues for developing novel treatments against GBM.
PMID- 21906309
TI - Mining the diseasome.
PMID- 21906311
TI - Ultra-long metal nanowire arrays on solid substrate with strong bonding.
AB - Ultra-long metal nanowire arrays with large circular area up to 25 mm in
diameter were obtained by direct electrodeposition on metalized Si and glass
substrates via a template-based method. Nanowires with uniform length up to 30
MUm were obtained. Combining this deposition process with lithography technology,
micrometre-sized patterned metal nanowire array pads were successfully fabricated
on a glass substrate. Good adhesion between the patterned nanowire array pads and
the substrate was confirmed using scanning acoustic microscopy characterization.
A pull-off tensile test showed strong bonding between the nanowires and the
substrate. Conducting atomic force microscopy (C-AFM) measurements showed that
approximately 95% of the nanowires were electrically connected with the
substrate, demonstrating its viability to use as high-density interconnect.
PMID- 21906310
TI - Chemotherapy in advanced bladder cancer: current status and future.
AB - Bladder cancer occurs in the majority of cases in males. It represents the
seventh most common cancer and the ninth most common cause of cancer deaths for
men. Transitional cell carcinoma is the most predominant histological type.
Bladder cancer is highly chemosensitive. In metastatic setting, chemotherapy
based on cisplatin should be considered as standard treatment of choice for
patients with good performance status (0-1) and good renal function-glomerular
filtration rate (GFR) > 60 mL/min. The standard treatment is based on cisplatin
chemotherapy regimens type MVAC, HD-MVAC, gemcitabine plus cisplatin (GC) or dose
dense GC. In unfit patients, carboplatin based regimes; gemcitabine plus
carboplatin or methotrexate plus carboplatin plus vinblastine (MCAVI) are
reasonable options. The role of targeted therapies when used alone, or in
combination with chemotherapy, or in maintenance, was evaluated; targeting
angiogenesis seem to be very promising. The purpose of this literature review is
to highlight the role of chemotherapy in the management of advanced transitional
cell carcinoma of the bladder.
PMID- 21906312
TI - Clinical relevance of "withdrawal therapy" as a form of hormonal manipulation for
breast cancer.
AB - BACKGROUND: It has been shown in in-vitro experiments that "withdrawal" of
tamoxifen inhibits growth of tumor cells. However, evidence is scarce when this
is extrapolated into clinical context. We report our experience to verify the
clinical relevance of "withdrawal therapy". METHODS: Breast cancer patients since
1998 who fulfilled the following criteria were selected from the departmental
database and the case-notes were retrospectively reviewed: (1) estrogen receptor
positive, operable primary breast cancer in elderly (age > 70 years), locally
advanced or metastatic breast cancer; (2) disease deemed suitable for treatment
by hormonal manipulation; (3) disease assessable by UICC criteria; (4) received
"withdrawal" from a prior endocrine agent as a form of therapy; (5) on
"withdrawal therapy" for >= 6 months unless they progressed prior. RESULTS:
Seventeen patients with median age of 84.3 (53.7-92.5) had "withdrawal therapy"
as second to tenth line of treatment following prior endocrine therapy using
tamoxifen (n = 10), an aromatase inhibitor (n = 5), megestrol acetate (n = 1) or
fulvestrant (n = 1). Ten patients (58.8%) had clinical benefit (CB) (complete
response/partial response/stable disease >= 6 months) with a median duration of
Clinical Benefit (DoCB) of 10+ (7-27) months. Two patients remain on "withdrawal
therapy" at the time of analysis. CONCLUSION: "Withdrawal therapy" appears to
produce sustained CB in a significant proportion of patients. This applies not
only to "withdrawal" from tamoxifen, but also from other categories of endocrine
agents. "Withdrawal" from endocrine therapy is, therefore, a viable intercalating
option between endocrine agents to minimise resistance and provide additional
line of therapy. It should be considered as part of the sequencing of endocrine
therapy.
PMID- 21906313
TI - Serum leptin levels in relation to circulating cytokines, chemokines, adhesion
molecules and angiogenic factors in normal pregnancy and preeclampsia.
AB - OBJECTIVE: In this study, we determined circulating levels of C-reactive protein,
several cytokines, chemokines, adhesion molecules and angiogenic factors along
with those of leptin in healthy non-pregnant and pregnant women and preeclamptic
patients, and investigated whether serum leptin levels were related to the
clinical characteristics and measured laboratory parameters of the study
participants. METHODS: Sixty preeclamptic patients, 60 healthy pregnant women and
59 healthy non-pregnant women were involved in this case-control study. Levels of
leptin and transforming growth factor (TGF)-beta1 in maternal sera were assessed
by ELISA. Serum levels of interleukin (IL)-1beta, IL-1 receptor antagonist (IL
1ra), IL-2, IL-4, IL-6, IL-8, IL-10, IL-12p40, IL-12p70, IL-18, interferon (IFN)
gamma, tumor necrosis factor (TNF)-alpha, interferon-gamma-inducible protein (IP)
10, monocyte chemotactic protein (MCP)-1, intercellular adhesion molecule (ICAM)
1 and vascular cell adhesion molecule (VCAM)-1 were determined by multiplex
suspension array. Serum C-reactive protein (CRP) concentrations were measured by
an autoanalyzer. Serum total soluble fms-like tyrosine kinase-1 (sFlt-1) and
biologically active placental growth factor (PlGF) levels were determined by
electrochemiluminescence immunoassay. For statistical analyses, non-parametric
methods were applied. RESULTS: There were significant differences in most of the
measured laboratory parameters among the three study groups except for serum IL
1beta and TGF-beta1 levels. Serum leptin levels were significantly higher in
preeclamptic patients and healthy pregnant women than in healthy non-pregnant
women. Additionally, preeclamptic patients had significantly higher leptin levels
as compared to healthy pregnant women. Serum leptin levels were independently
associated with BMI in healthy non-pregnant women. In healthy pregnant women,
both BMI and serum CRP concentrations showed significant positive linear
association with leptin levels. There were significant positive correlations
between serum leptin concentrations of healthy pregnant women and systolic blood
pressure, as well as serum levels of IP-10, while their serum leptin levels
correlated inversely with fetal birth weight. In preeclamptic patients, a
significant positive correlation was observed between serum concentrations of
leptin and IP-10. Furthermore, elevated serum leptin level and sFlt-1/PlGF ratio
had an additive (joint) effect in the risk of preeclampsia, as shown by the
substantially higher odds ratios of their combination than of either alone.
CONCLUSIONS: Simultaneous measurement of leptin with several inflammatory
molecules and angiogenic factors in this study enabled us to investigate their
relationship, which can help to understand the role of circulating leptin in
normal pregnancy and preeclampsia.
PMID- 21906314
TI - Salivary secretory immunoglobulin A secretion increases after 4-weeks ingestion
of chlorella-derived multicomponent supplement in humans: a randomized cross over
study.
AB - BACKGROUND: Chlorella, a unicellular green alga that grows in fresh water,
contains high levels of proteins, vitamins, minerals, and dietary fibers. Some
studies have reported favorable immune function-related effects on biological
secretions such as blood and breast milk in humans who have ingested a chlorella
derived multicomponent supplement. However, the effects of chlorella-derived
supplement on mucosal immune functions remain unclear. The purpose of this study
was to investigate whether chlorella ingestion increases the salivary secretory
immunoglobulin A (SIgA) secretion in humans using a blind, randomized, crossover
study design. METHODS: Fifteen men took 30 placebo and 30 chlorella tablets per
day for 4 weeks separated by a 12-week washout period. Before and after each
trial, saliva samples were collected from a sterile cotton ball that was chewed
after overnight fasting. Salivary SIgA concentrations were measured using ELISA.
RESULTS: Compliance rates for placebo and chlorella ingestions were 97.0 +/- 1.0%
and 95.3 +/- 1.6%, respectively. No difference was observed in salivary SIgA
concentrations before and after placebo ingestion (P = 0.38). However, salivary
SIgA concentrations were significantly elevated after chlorella ingestion
compared to baseline (P < 0.01). No trial * period interaction was identified for
the saliva flow rates. Although the SIgA secretion rate was not affected by
placebo ingestion (P = 0.36), it significantly increased after 4-week chlorella
ingestion than before intake (P < 0.01). CONCLUSIONS: These results suggest 4
week ingestion of a chlorella-derived multicomponent supplement increases
salivary SIgA secretion and possibly improves mucosal immune function in humans.
PMID- 21906315
TI - Upstream molecular signaling pathways of p27(Kip1) expression in human breast
cancer cells in vitro: differential effects of 4-hydroxytamoxifen and deficiency
of either D-(+)-glucose or L-leucine.
AB - BACKGROUND: The objective of this study was to investigate whether the levels of
glucose or certain amino acids could regulate the expression of a cell cycle
repressor protein p27(Kip1), thereby dictating the risk of cancer in either
obesity or caloric/dietary restriction. Previously, we identified and reported
four different upstream molecular signaling pathways of p27 expression in human
breast cancer cells. We called these four pathways as pathway #1, #2, #3 and #4.
We found that 4-hydroxytamoxifen - but not tamoxifen - up-regulated the
expression of p27 using pathway #1 which consisted mainly of receptor tyrosine
kinases and mTORC1. We now investigate, using 4-hydroxytamoxifen as a reference
anti-cancer agents, whether (a) the moderate increase in the concentration of D
(+)-glucose could down-regulate and, conversely, (b) the deficiency of D-(+)
glucose or certain L-amino acids could up-regulate the expression of p27 in these
cells using pathway #2 which consists mainly of AMPK and mTORC1. RESULTS: Using
human MDA-MB-231 breast cancer cells in vitro, these hypotheses were tested
experimentally by performing p27-luciferase reporter transfection assays and
western immunoblot analyses. The results obtained are consistent with these
hypotheses. Furthermore, the results indicated that, although 4-hydroxytamoxifen
used primarily pathway #1 to down-regulate the phosphorylation of 4E-BP1 and up
regulate the expression of p27, it also secondarily down-regulated the
phosphorylation of S6K1. In contrast, the deficiency of D-(+)-glucose or L
leucine used primarily pathway #2 to down-regulate the phosphorylation of S6K1,
but they also secondarily down-regulated the phosphorylation of 4E-BP1 and up
regulated the expression of p27. Finally, deficiency of D-(+)-glucose or L
leucine - but not 4-hydroxytamoxifen - up-regulated the expression of
mitochondrial ATP5A and SIRT3. CONCLUSIONS: (a) 4-Hydroxitamoxifen used primarily
pathway #1 to up-regulate the expression of p27. (b) Moderate increase in the
concentration of D-(+)-glucose used primarily pathway #2 to down-regulate the
expression of p27. (c) Deficiency of D-(+)-glucose or L-leucine also used
primarily pathway #2 to up-regulate the expression of p27. (d) Deficiency of D
(+)-glucose or L-leucine - but not 4-hydroxytamoxifen - up-regulated the
expression of mitochondrial ATP5A in the Complex V of respiratory oxidation
phosphorylation chain and mitochondrial SIRT3. The SIRT3 is one of the seven
mammalian anti-aging as well as anti-metabolic sirtuins.
PMID- 21906316
TI - A preliminary investigation assessing the viability of classifying hand postures
in seniors.
AB - BACKGROUND: Fear of frailty is a main concern for seniors. Surface
electromyography (sEMG) controlled assistive devices for the upper extremities
could potentially be used to augment seniors' force while training their muscles
and reduce their fear of frailty. In fact, these devices could both improve self
confidence and facilitate independent leaving in domestic environments. The
successful implementation of sEMG controlled devices for the elderly strongly
relies on the capability of properly determining seniors' actions from their sEMG
signals. In this research we investigated the viability of classifying hand
postures in seniors from sEMG signals of their forearm muscles. METHODS: Nineteen
volunteers, including seniors (70 years old in average) and young people (27
years old in average), participated in this study and sEMG signals from four of
their forearm muscles (i.e. Extensor Digitorum, Palmaris Longus, Flexor Carpi
Ulnaris and Extensor Carpi Radialis) were recorded. The feature vectors were
built by extracting features from each channel of sEMG including autoregressive
(AR) model coefficients, waveform length and root mean square (RMS). Multi-class
support vector machines (SVM) was used as a classifier to distinguish between
fifteen different essential hand gestures including finger pinching. RESULTS:
Classification of hand gestures both in the pronation and supination positions of
the arm was possible. Classified hand gestures were: rest, ulnar deviation,
radial deviation, grasp and four different finger pinching configurations. The
obtained average classification accuracy was 90.6% for the seniors and 97.6% for
the young volunteers. CONCLUSIONS: The obtained results proved that the pattern
recognition of sEMG signals in seniors is feasible for both pronation and
supination positions of the arm and the use of only four EMG channel is
sufficient. The outcome of this study therefore validates the hypothesis that,
although there are significant neurological and physical changes occurring in
humans while ageing, sEMG controlled hand assistive devices could potentially be
used by the older people.
PMID- 21906317
TI - New approach for T-wave end detection on electrocardiogram: performance in noisy
conditions.
AB - BACKGROUND: The detection of T-wave end points on electrocardiogram (ECG) is a
basic procedure for ECG processing and analysis. Several methods have been
proposed and tested, featuring high accuracy and percentages of correct
detection. Nevertheless, their performance in noisy conditions remains an open
problem. METHODS: A new approach and algorithm for T-wave end location based on
the computation of Trapezium's areas is proposed and validated (in terms of
accuracy and repeatability), using signals from the Physionet QT Database. The
performance of the proposed algorithm in noisy conditions has been tested and
compared with one of the most used approaches for estimating the T-wave end
point: the method based on the threshold on the first derivative. RESULTS: The
results indicated that the proposed approach based on Trapezium's areas
outperformed the baseline method with respect to accuracy and repeatability.
Also, the proposed method is more robust to wideband noise. CONCLUSIONS: The
trapezium-based approach has a good performance in noisy conditions and does not
rely on any empirical threshold. It is very adequate for use in scenarios where
the levels of broadband noise are significant.
PMID- 21906318
TI - Robust spectral analysis of videocapsule images acquired from celiac disease
patients.
AB - BACKGROUND: Dominant frequency (DF) analysis of videocapsule endoscopy images is
a new method to detect small intestinal periodicities that may result from
mechanical rhythms such as peristalsis. Longer periodicity is related to greater
image texture at areas of villous atrophy in celiac disease. However, extraneous
features and spatiotemporal phase shift may mask DF rhythms. METHOD: The
robustness of Fourier and ensemble averaging spectral analysis to compute DF was
tested. Videocapsule images from the distal duodenum of 11 celiac patients (frame
rate 2/s and pixel resolution 576 * 576) were analyzed. For patients 1, 2, ...
11, respectively, a total of 10, 11, ..., 20 sequential images were extracted
from a randomly selected time epoch. Each image sequence was artificially
repeated to 200 frames, simulating periodicities of 0.2, 0.18, ..., 0.1Hz,
respectively. Random white noise at four different levels, spatiotemporal phase
shift, and frames with air bubbles were added. Power spectra were constructed
pixel-wise over 200 frames, and an average spectrum was computed from the 576 *
576 individual spectra. The largest spectral peak in the average spectrum was the
estimated DF. Error was defined as the absolute difference between actual DF and
estimated DF. RESULTS: For Fourier analysis, the mean absolute error between
estimated and actual DF was 0.032 +/- 0.052Hz. Error increased with greater
degree of random noise imposed. In contrast, all ensemble average estimates
precisely predicted the simulated DF. CONCLUSIONS: The ensemble average DF
estimate of videocapsule images with simulated periodicity is robust to noise and
spatiotemporal phase shift as compared with Fourier analysis. Accurate estimation
of DF eliminates the need to impose complex masking, extraction, and/or
corrective preprocessing measures.
PMID- 21906319
TI - Monitoring specific antibody responses against the hydrophilic domain of the 23
kDa membrane protein of Schistosoma japonicum for early detection of infection in
sentinel mice.
AB - BACKGROUND: Schistosomiasis remains an important public health problem throughout
tropical and subtropical countries. Humans are infected through contact with
water contaminated with schistosome cercariae. Therefore, issuing early warnings
on the risk of infection is an important preventive measure against
schistosomiasis. Sentinel mice are used to monitor water body infestations, and
identifying appropriate antibody responses to schistosome antigens for early
detection of infection would help to improve the efficiency of this system. In
this study we explored the potential of detecting antibodies to the hydrophilic
domain (HD) of the 23-kDa membrane protein (Sj23HD) and soluble egg antigen (SEA)
of Schistosome japonicum for early detection of schistosome infection in sentinel
mice. RESULTS: Development of IgM and IgG antibody levels against Sj23HD and SEA
in S. japonicum infected mice was evaluated over the course of 42 days post
infection by enzyme-linked immunosorbent assay (ELISA) and immunoblotting. The
Sj23HD and SEA specific IgM and IgG levels in mice all increased gradually over
the course of infection, but IgM and IgG antibodies against Sj23HD presented
earlier than those against SEA. Furthermore, the rates of positive antibody
responses against Sj23HD were higher than those against SEA in the early stage of
schistosome infection, suggesting that the likelihood of detecting early
infection using anti-Sj23HD responses would be higher than that with anti-SEA
responses. The use of immunoblotting could further improve the early detection of
schistosome infection due to its greater sensitivity and specificity compared to
ELISA. Additionally, the levels of Sj23HD and SEA specific antibodies positively
correlated with the load of cercariae challenge and the duration of schistosome
infection. CONCLUSIONS: This study demonstrated that antibody responses to the
Sj23HD antigen could be monitored for early detection of schistosome infection in
mice, especially by immunoblotting which demonstrated greater sensitivity and
specificity than ELISA for detection Sj23HD antibodies.
PMID- 21906320
TI - Impact of repeated percutaneous coronary intervention on long-term survival after
subsequent coronary artery bypass surgery.
AB - BACKGROUND: In the current stent era, aggressive repeated percutaneous coronary
intervention (PCI) has become more common. The aim of this study was to
investigate the impact of previous repeated PCI on the subsequent coronary artery
bypass grafting (CABG). METHODS: Between January 1990 and January 2008, a total
of 894 patients underwent first-time isolated elective CABG. Among the 894
patients, 515 patients had had no PCI (group A), 179 patients had had single PCI
(Group B), and 200 patients had had multiple PCI (2-15 times, mean 3.6 +/- 2.3
times) (group C) before CABG. These groups were compared in terms of early and
late clinical results. RESULTS: Preoperative left ventricular ejection fraction
was significantly higher in group A (group A;58 +/- 13%, group B;54 +/- 12%, and
group C;54 +/- 12%). Number of bypass grafts was significantly smaller in group C
(A:3.3 +/- 1.0, B 3.4 +/- 0.9, C 3.1 +/- 1.0). Although there was no
statistically significant difference among the groups, in-hospital mortality in
group C was higher than that in group A and B (A:1.6%, B:1.1%, C:3.5%, p = 0.16).
Survival analysis by Kaplan-Meier method (mean follow-up: 58 +/- 43 methods)
revealed that freedom from all-cause death and cardiac death was significantly
lower in group C in comparison with group A. Freedom from cardiac event was
significantly higher in group C than that in group A. Multivariate analysis
identified a number of previous PCI as an independent risk factor for cardiac
death. CONCLUSIONS: Repeated PCI increased risk for long-term prognosis of
subsequent CABG.
PMID- 21906322
TI - Hemodynamic parameters to guide fluid therapy.
AB - The clinical determination of the intravascular volume can be extremely
difficult in critically ill and injured patients as well as those undergoing
major surgery. This is problematic because fluid loading is considered the first
step in the resuscitation of hemodynamically unstable patients. Yet, multiple
studies have demonstrated that only approximately 50% of hemodynamically unstable
patients in the intensive care unit and operating room respond to a fluid
challenge. Whereas under-resuscitation results in inadequate organ perfusion,
accumulating data suggest that over-resuscitation increases the morbidity and
mortality of critically ill patients. Cardiac filling pressures, including the
central venous pressure and pulmonary artery occlusion pressure, have been
traditionally used to guide fluid management. However, studies performed during
the past 30 years have demonstrated that cardiac filling pressures are unable to
predict fluid responsiveness. During the past decade, a number of dynamic tests
of volume responsiveness have been reported. These tests dynamically monitor the
change in stroke volume after a maneuver that increases or decreases venous
return (preload) and challenges the patients' Frank-Starling curve. These dynamic
tests use the change in stroke volume during mechanical ventilation or after a
passive leg raising maneuver to assess fluid responsiveness. The stroke volume is
measured continuously and in real-time by minimally invasive or noninvasive
technologies, including Doppler methods, pulse contour analysis, and
bioreactance.
PMID- 21906321
TI - A global view of porcine transcriptome in three tissues from a full-sib pair with
extreme phenotypes in growth and fat deposition by paired-end RNA sequencing.
AB - BACKGROUND: Elucidation of the pig transcriptome is essential for interpreting
functional elements of the genome and understanding the genetic architecture of
complex traits such as fat deposition, metabolism and growth. RESULTS: Here we
used massive parallel high-throughput RNA sequencing to generate a high
resolution map of the porcine mRNA and miRNA transcriptome in liver, longissimus
dorsi and abdominal fat from two full-sib F2 hybrid pigs with segregated
phenotypes on growth, blood physiological and biochemical parameters, and fat
deposition. We obtained 8,508,418-10,219,332 uniquely mapped reads that covered
78.0% of the current annotated transcripts and identified 48,045-122,931 novel
transcript fragments, which constituted 17,085-29,499 novel transcriptional
active regions in six tested samples. We found that about 18.8% of the annotated
genes showed alternative splicing patterns, and alternative 3' splicing is the
most common type of alternative splicing events in pigs. Cross-tissue comparison
revealed that many transcriptional events are tissue-differential and related to
important biological functions in their corresponding tissues. We also detected a
total of 164 potential novel miRNAs, most of which were tissue-specifically
identified. Integrated analysis of genome-wide association study and differential
gene expression revealed interesting candidate genes for complex traits, such as
IGF2, CYP1A1, CKM and CES1 for heart weight, hemoglobin, pork pH value and serum
cholesterol, respectively. CONCLUSIONS: This study provides a global view of the
complexity of the pig transcriptome, and gives an extensive new knowledge about
alternative splicing, gene boundaries and miRNAs in pigs. Integrated analysis of
genome wide association study and differential gene expression allows us to find
important candidate genes for porcine complex traits.
PMID- 21906323
TI - An integrated approach for prescribing fewer chest x-rays in the ICU.
AB - Chest x-rays (CXRs) are the main imaging tool in intensive care units (ICUs).
CXRs also are associated with concerns inherent to their use, considering both
healthcare organization and patient perspectives. In recent years, several
studies have focussed on the feasibility of lowering the number of bedside CXRs
performed in the ICU. Such a decrease may result from two independent and
complementary processes: a raw reduction of CXRs due to the elimination of
unnecessary investigations, and replacement of the CXR by an alternative
technique. The goal of this review is to outline emblematic examples
corresponding to these two processes. The first part of the review concerns the
accumulation of evidence-based data for abandoning daily routine CXRs in
mechanically ventilated patients and adopting an on-demand prescription strategy.
The second part of the review addresses the use of alternative techniques to
CXRs. This part begins with the presentation of ultrasonography or capnography
combined with epigastric auscultation for ensuring the correct position of
enteral feeding tubes. Ultrasonography is then also presented as an alternative
to CXR for diagnosing and monitoring pneumothoraces, as well as a valuable post
procedural technique after central venous catheter insertion. The combination of
the emblematic examples presented in this review supports an integrated global
approach for decreasing the number of CXRs ordered in the ICU.
PMID- 21906325
TI - Erythropoietin (EPO) in acute kidney injury.
AB - Erythropoietin (EPO) is a 30.4 kDa glycoprotein produced by the kidney, and is
mostly well-known for its physiological function in regulating red blood cell
production in the bone marrow. Accumulating evidence, however, suggests that EPO
has additional organ protective effects, which may be useful in the prevention or
treatment of acute kidney injury. These protective mechanisms are multifactorial
in nature and include inhibition of apoptotic cell death, stimulation of cellular
regeneration, inhibition of deleterious pathways, and promotion of recovery.In
this article, we review the physiology of EPO, assess previous work that supports
the role of EPO as a general tissue protective agent, and explain the mechanisms
by which it may achieve this tissue protective effect. We then focus on
experimental and clinical data that suggest that EPO has a kidney protective
effect.
PMID- 21906324
TI - Perioperative fluid and volume management: physiological basis, tools and
strategies.
AB - Fluid and volume therapy is an important cornerstone of treating critically ill
patients in the intensive care unit and in the operating room. New findings
concerning the vascular barrier, its physiological functions, and its role
regarding vascular leakage have lead to a new view of fluid and volume
administration. Avoiding hypervolemia, as well as hypovolemia, plays a pivotal
role when treating patients both perioperatively and in the intensive care unit.
The various studies comparing restrictive vs. liberal fluid and volume management
are not directly comparable, do not differ (in most instances) between colloid
and crystalloid administration, and mostly do not refer to the vascular barrier's
physiologic basis. In addition, very few studies have analyzed the use of
advanced hemodynamic monitoring for volume management.This article summarizes the
current literature on the relevant physiology of the endothelial surface layer,
discusses fluid shifting, reviews available research on fluid management
strategies and the commonly used fluids, and identifies suitable variables for
hemodynamic monitoring and their goal-directed use.
PMID- 21906326
TI - Identification and characterization of alkaline serine protease from goat skin
surface metagenome.
AB - Metagenomic DNA isolated from goat skin surface was used to construct plasmid
DNA library in Escherichia coli DH10B. Recombinant clones were screened for
functional protease activity on skim milk agar plates. Upon screening 70,000
clones, a clone carrying recombinant plasmid pSP1 exhibited protease activity. In
vitro transposon mutagenesis and sequencing of the insert DNA in this clone
revealed an ORF of 1890 bp encoding a protein with 630 amino acids which showed
significant sequence homology to the peptidase S8 and S53 subtilisin kexin
sedolisin of Shewanella sp. This ORF was cloned in pET30b and expressed in E.
coli BL21 (DE3). Although the cloned Alkaline Serine protease (AS-protease) was
overexpressed, it was inactive as a result of forming inclusion bodies. After
solubilisation, the protease was purified using Ni-NTA chromatography and then
refolded properly to retain protease activity. The purified AS-protease with a
molecular mass of ~63 kDa required a divalent cation (Co2+ or Mn2+) for its
improved activity. The pH and temperature optima for this protease were 10.5 and
42 degrees C respectively.
PMID- 21906327
TI - Characterization of diverse natural variants of CYP102A1 found within a species
of Bacillus megaterium.
AB - An extreme diversity of substrates and catalytic reactions of cytochrome P450
(P450) enzymes is considered to be the consequence of evolutionary adaptation
driven by different metabolic or environmental demands. Here we report the
presence of numerous natural variants of P450 BM3 (CYP102A1) within a species of
Bacillus megaterium. Extensive amino acid substitutions (up to 5% of the total
1049 amino acid residues) were identified from the variants. Phylogenetic
analyses suggest that this P450 gene evolve more rapidly than the rRNA gene
locus. It was found that key catalytic residues in the substrate channel and
active site are retained. Although there were no apparent variations in
hydroxylation activity towards myristic acid (C14) and palmitic acid (C16), the
hydroxylation rates of lauric acid (C12) by the variants varied in the range of
>25-fold. Interestingly, catalytic activities of the variants are promiscuous
towards non-natural substrates including human P450 substrates. It can be
suggested that CYP102A1 variants can acquire new catalytic activities through
site-specific mutations distal to the active site.
PMID- 21906328
TI - Alliinase from Ensifer adhaerens and Its Use for Generation of Fungicidal
Activity.
AB - A bacterium Ensifer adhaerens FERM P-19486 with the ability of alliinase
production was isolated from a soil sample. The enzyme was purified for
characterization of its general properties and evaluation of its application in
on-site production of allicin-dependent fungicidal activity. The bacterial
alliinase was purified 300-fold from a cell-free extract, giving rise to a
homogenous protein band on polyacrylamide gel electrophoresis. The bacterial
alliinase (96 kDa) consisted of two identical subunits (48 kDa), and was most
active at 60 degrees C and at pH 8.0. The enzyme stoichiometrically converted (-)
alliin ((-)-S-allyl-L-cysteine sulfoxide) to form allicin, pyruvic acid, and
ammonia more selectively than (+)-alliin, a naturally occurring substrate for
plant alliinase ever known. The C-S lyase activity was also detected with this
bacterial enzyme when S-alkyl-L-cysteine was used as a substrate, though such a
lyase activity is absolutely absent in alliinase of plant origin. The enzyme
generated a fungicidal activity against Saccharomyces cerevisiae in a time- and a
dose-dependent fashion using alliin as a stable precursor. Alliinase of Ensifer
adhaerens FERM P-19486 is the enzyme with a novel type of substrate specificity,
and thus considered to be beneficial when used in combination with garlic enzyme
with respect to absolute conversion of (+/-)-alliin to allicin.
PMID- 21906329
TI - A mutated xylose reductase increases bioethanol production more than a
glucose/xylose facilitator in simultaneous fermentation and co-fermentation of
wheat straw.
AB - Genetically engineered Saccharomyces cerevisiae strains are able to ferment
xylose present in lignocellulosic biomass. However, better xylose fermenting
strains are required to reach complete xylose uptake in simultaneous
saccharification and co-fermentation (SSCF) of lignocellulosic hydrolyzates. In
the current study, haploid Saccharomyces cerevisiae strains expressing a
heterologous xylose pathway including either the native xylose reductase (XR)
from P. stipitis, a mutated variant of XR (mXR) with altered co-factor
preference, a glucose/xylose facilitator (Gxf1) from Candida intermedia or both
mXR and Gxf1 were assessed in SSCF of acid-pretreated non-detoxified wheat straw.
The xylose conversion in SSCF was doubled with the S. cerevisiae strain
expressing mXR compared to the isogenic strain expressing the native XR,
converting 76% and 38%, respectively. The xylitol yield was less than half using
mXR in comparison with the native variant. As a result of this, the ethanol yield
increased from 0.33 to 0.39 g g-1 when the native XR was replaced by mXR. In
contrast, the expression of Gxf1 only slightly increased the xylose uptake, and
did not increase the ethanol production. The results suggest that ethanolic
xylose fermentation under SSCF conditions is controlled primarily by the XR
activity and to a much lesser extent by xylose transport.
PMID- 21906330
TI - Advances in utilization of renewable substrates for biosurfactant production.
AB - Biosurfactants are amphiphilic molecules that have both hydrophilic and
hydrophobic moieties which partition preferentially at the interfaces such as
liquid/liquid, gas/liquid or solid/liquid interfaces. Such characteristics enable
emulsifying, foaming, detergency and dispersing properties. Their low toxicity
and environmental friendly nature and the wide range of potential industrial
applications in bioremediation, health care, oil and food processing industries
makes them a highly sought after group of chemical compounds. Interest in them
has also been encouraged because of the potential advantages they offer over
their synthetic counterparts in many fields spanning environmental, food,
biomedical, petrochemical and other industrial applications. Their large scale
production and application however are currently restricted by the high cost of
production and by the limited understanding of their interactions with cells and
with the abiotic environment. In this paper, we review the current knowledge and
latest advances in the search for cost effective renewable agro industrial
alternative substrates for their production.
PMID- 21906332
TI - Corticosteroids for severe sepsis: an evidence-based guide for physicians.
AB - Septic shock is characterized by uncontrolled systemic inflammation that
contributes to the progression of organ failures and eventually death. There is
now ample evidence that the inability of the host to mount an appropriate
hypothalamic-pituitary and adrenal axis response plays a major in overwhelming
systemic inflammation during infections. Proinflammatory mediators released in
the inflamed sites oppose to the anti-inflammatory response, an effect that may
be reversed by exogenous corticosteroids. With sepsis, via nongenomic and genomic
effects, corticosteroids restore cardiovascular homeostasis, terminate systemic
and tissue inflammation, restore organ function, and prevent death. These effects
of corticosteroids have been consistently found in animal studies and in most
recent frequentist and Bayesian meta-analyses. Corticosteroids should be
initiated only in patients with sepsis who require 0.5 MUg/kg per minute or more
of norepinephrine and should be continued for 5 to 7 days except in patients with
poor hemodynamic response after 2 days of corticosteroids and with a cortisol
increment of more than 250 nmol/L after a standard adrenocorticotropin hormone
(ACTH) test. Hydrocortisone should be given at a daily dose of 200 mg and
preferably combined to enteral fludrocortisone at a dose of 50 MUg. Blood glucose
levels should be kept below 150 mg/dL.
PMID- 21906331
TI - Intensive care of the cancer patient: recent achievements and remaining
challenges.
AB - A few decades have passed since intensive care unit (ICU) beds have been
available for critically ill patients with cancer. Although the initial reports
showed dismal prognosis, recent data suggest that an increased number of patients
with solid and hematological malignancies benefit from intensive care support,
with dramatically decreased mortality rates. Advances in the management of the
underlying malignancies and support of organ dysfunctions have led to survival
gains in patients with life-threatening complications from the malignancy itself,
as well as infectious and toxic adverse effects related to the oncological
treatments. In this review, we will appraise the prognostic factors and discuss
the overall perspective related to the management of critically ill patients with
cancer. The prognostic significance of certain factors has changed over time. For
example, neutropenia or autologous bone marrow transplantation (BMT) have less
adverse prognostic implications than two decades ago. Similarly, because
hematologists and oncologists select patients for ICU admission based on the
characteristics of the malignancy, the underlying malignancy rarely influences
short-term survival after ICU admission. Since the recent data do not clearly
support the benefit of ICU support to unselected critically ill allogeneic BMT
recipients, more outcome research is needed in this subgroup. Because of the
overall increased survival that has been reported in critically ill patients with
cancer, we outline an easy-to-use and evidence-based ICU admission triage
criteria that may help avoid depriving life support to patients with cancer who
can benefit. Lastly, we propose a research agenda to address unanswered
questions.
PMID- 21906334
TI - Septic cardiomyopathy.
AB - Depression of left ventricular (LV) intrinsic contractility is constant in
patients with septic shock. Because most parameters of cardiac function are
strongly dependent on afterload, especially in this context, the cardiac
performance evaluated at the bedside reflects intrinsic contractility, but also
the degree of vasoplegia. Recent advances in echocardiography have allowed better
characterization of septic cardiomyopathy. It is always reversible providing the
patient's recovery. Unlike classic cardiomyopathy, it is not associated with high
filling pressures, for two reasons: improvement in LV compliance and associated
right ventricular dysfunction. Although, it is unclear to which extent it affects
prognosis, a hyperkinetic state is indicative of a profound and persistent
vasoplegia associated with a high mortality rate. Preliminary data suggest that
the hemodynamic response to a dobutamine challenge has a prognostic value, but
large studies are required to establish whether inotropic drugs should be used to
treat this septic cardiac dysfunction.
PMID- 21906333
TI - Efficacy and safety of recruitment maneuvers in acute respiratory distress
syndrome.
AB - Recruitment maneuvers (RM) consist of a ventilatory strategy that increases the
transpulmonary pressure transiently to reopen the recruitable lung units in acute
respiratory distress syndrome (ARDS). The rationales to use RM in ARDS are that
there is a massive loss of aerated lung and that once the end-inspiratory
pressure surpasses the regional critical opening pressure of the lung units,
those units are likely to reopen. There are different methods to perform RM when
using the conventional ICU ventilator. The three RM methods that are mostly used
and investigated are sighs, sustained inflation, and extended sigh. There is no
standardization of any of the above RM. Meta-analysis recommended not to use RM
in routine in stable ARDS patients but to run them in case of life-threatening
hypoxemia. There are some concerns regarding the safety of RM in terms of
hemodynamics preservation and lung injury as well. The rapid rising in pressure
can be a factor that explains the potential harmful effects of the RM. In this
review, we describe the balance between the beneficial effects and the harmful
consequences of RM. Recent animal studies are discussed.
PMID- 21906335
TI - Protecting vulnerable research subjects in critical care trials: enhancing the
informed consent process and recommendations for safeguards.
AB - Although critically ill patients represent a vulnerable group of individuals,
guidelines in research ethics assert that ethically acceptable research may
proceed with such vulnerable subjects if additional safeguards are in place to
minimize the risk of harm and exploitation. Such safeguards include the proper
obtainment of informed consent that avoids the presence of the therapeutic
misconception and the assessment of decisional capacity in critically ill
patients recruited for research. Also discussed in this review are additional
safeguards for such vulnerable subjects, as well as the issues involved with
proxy consent. Heightened awareness to principles of ethics and provision of
additional safeguards to enhance protections of vulnerable subjects would help to
maintain the public trust in the research endeavor.
PMID- 21906336
TI - Management of neurological complications of infective endocarditis in ICU
patients.
AB - Patients with infective endocarditis (IE) are generally referred to the
intensive care unit (ICU) for one or more organ dysfunctions caused by
complications of IE. Neurologic events are frequent causes of ICU admission in
patients with IE. They can arise through various mechanisms consisting of stroke
or transient ischemic attack, cerebral hemorrhage, mycotic aneurysm, meningitis,
cerebral abscess, or encephalopathy. Most complications occur early during the
course of IE and are a hallmark of left-sided abnormalities of native or
prosthetic valves. Occlusion of cerebral arteries, with stroke or transient
ischemic attack, accounts for 40% to 50% of the central nervous system
complications of IE. CT scan is the most easily feasible neuroimaging in
critically unstable patients. However, magnetic resonance imaging is more
sensitive and when performed should follow a standardized protocol. In patients
with ischemic stroke who are already receiving oral anticoagulant therapy, this
treatment should be replaced by unfractionated heparin for at least 2 weeks with
a close monitoring of coagulation tests. Mounting evidence shows that, for both
complicated left-sided native valve endocarditis and Staphylococcus aureus
prosthetic valve endocarditis, valve replacement combined with medical therapy is
associated with a better outcome than medical treatment alone. In a recent
series, approximately 50% of patients underwent valve replacement during the
acute phase of IE before completion of antibiotic treatment. After a neurological
event, most patients have at least one indication for cardiac surgery. Recent
data from literature suggest that after a stroke, surgery indicated for heart
failure, uncontrolled infection, abscess, or persisting high emboli risk should
not be delayed, provided that the patient is not comatose or has no severe
deficit. Neurologic complications of IE contribute to a severe prognosis in ICU
patients. However, patients with only silent or transient stroke had a better
prognosis than patients with symptomatic events. In addition, more than
neurologic event per se, a better predictor of mortality is neurologic
dysfunction, which is associated with location and extension of brain damage.
Patients with severe neurological impairment and those with brain hemorrhage have
the worse outcome.
PMID- 21906337
TI - Physiological modeling, tight glycemic control, and the ICU clinician: what are
models and how can they affect practice?
AB - Critically ill patients are highly variable in their response to care and
treatment. This variability and the search for improved outcomes have led to a
significant increase in the use of protocolized care to reduce variability in
care. However, protocolized care does not address the variability of outcome due
to inter- and intra-patient variability, both in physiological state, and the
response to disease and treatment. This lack of patient-specificity defines the
opportunity for patient-specific approaches to diagnosis, care, and patient
management, which are complementary to, and fit within, protocolized
approaches.Computational models of human physiology offer the potential, with
clinical data, to create patient-specific models that capture a patient's
physiological status. Such models can provide new insights into patient condition
by turning a series of sometimes confusing clinical data into a clear
physiological picture. More directly, they can track patient-specific conditions
and thus provide new means of diagnosis and opportunities for optimising
therapy.This article presents the concept of model-based therapeutics, the use of
computational models in clinical medicine and critical care in specific, as well
as its potential clinical advantages, in a format designed for the clinical
perspective. The review is presented in terms of a series of questions and
answers. These aspects directly address questions concerning what makes a model,
how it is made patient-specific, what it can be used for, its limitations and,
importantly, what constitutes sufficient validation.To provide a concrete
foundation, the concepts are presented broadly, but the details are given in
terms of a specific case example. Specifically, tight glycemic control (TGC) is
an area where inter- and intra-patient variability can dominate the quality of
care control and care received from any given protocol. The overall review
clearly shows the concept and significant clinical potential of using
computational models in critical care medicine.
PMID- 21906338
TI - Enhanced incorporation of 3-hydroxy-4-methylvalerate unit into biosynthetic
polyhydroxyalkanoate using leucine as a precursor.
AB - Ralstonia eutropha PHB-4 expressing Pseudomonas sp. 61-3 polyhydroxyalkanoate
(PHA) synthase 1 (PhaC1Ps) synthesizes PHA copolymer containing 3-hydroxybutyrate
(3HB) and a small amount (0.5 mol%) of 3-hydroxy-4-methylvalerate (3H4MV) from
fructose as a carbon source. In this study, enhanced incorporation of 3H4MV into
PHA was investigated using branched amino acid leucine as a precursor of 3H4MV.
Leucine has the same carbon backbone as 3H4MV and is expected to be a natural and
self-producible precursor. We found that the incorporation of 3H4MV was enhanced
by the supplementation of excess amount (10 g/L) of leucine in the culture
medium. This finding indicates that 3H4MV can be derived from leucine. To
increase metabolic flux to leucine biosynthesis in the host strain by eliminating
the feedback inhibition, the cells were subjected to N-methyl-N'-nitro-N
nitrosoguanidine (NTG) mutagenesis and leucine analog resistant mutants were
generated. The mutants showed statistically higher 3H4MV fraction than the parent
strain without supplementing leucine. Additionally, by supplying excess amount of
leucine, the mutants synthesized 3HB-based PHA copolymer containing 3.1 mol%
3H4MV and 1.2 mol% 3-hydroxyvalerate (3HV) as minor constituents, which
significantly affected the thermal properties of the copolymer. This study
demonstrates that it is possible to enhance the monomer supply of 3H4MV into PHA
by manipulating leucine metabolism.
PMID- 21906339
TI - Breeding of a new wastewater treatment yeast by genetic engineering.
AB - We previously developed a host vector system for the wastewater treatment yeast
Hansenula fabianii J640. The promoter and terminator regions of the gene encoding
glucoamylase from H. fabianii J640 were used for a new expression vector, pHFGE
1. The performance of pHFGE-1 was compared with that of the widely used pG-1
transformant vector. H. fabianii J640 (HF-TAMY) cells were transformed with pHFGE
1, and Saccharomyces cerevisiae YPH-499 (SC-TAMY) cells were transformed with pG
1, both of which carried the Taka-amylase. Expression of Taka-amylase by HF-TAMY
showed higher than that by SC-TAMY. By using this new system, we bred the new
wastewater treatment yeast that shows alpha-amylase activity. This yeast appears
to grow well under experimental wastewater conditions, and is effective in
treating model wastewater containing soluble and insoluble starch.
PMID- 21906340
TI - Purification and characterization of hydroquinone dioxygenase from Sphingomonas
sp. strain TTNP3.
AB - Hydroquinone-1,2-dioxygenase, an enzyme involved in the degradation of
alkylphenols in Sphingomonas sp. strain TTNP3 was purified to apparent
homogeneity. The extradiol dioxygenase catalyzed the ring fission of hydroquinone
to 4-hydroxymuconic semialdehyde and the degradation of chlorinated and several
alkylated hydroquinones. The activity of 1 mg of the purified enzyme with
unsubstituted hydroquinone was 6.1 MUmol per minute, the apparent Km 2.2 MUM. ICP
MS analysis revealed an iron content of 1.4 moles per mole enzyme. The enzyme
lost activity upon exposure to oxygen, but could be reactivated by Fe(II) in
presence of ascorbate. SDS-PAGE analysis of the purified enzyme yielded two bands
of an apparent size of 38 kDa and 19 kDa, respectively. Data from MALDI-TOF
analyses of peptides of the respective bands matched with the deduced amino acid
sequences of two neighboring open reading frames found in genomic DNA of
Sphingomonas sp strain TTNP3. The deduced amino acid sequences showed 62% and 47%
identity to the large and small subunit of hydroquinone dioxygenase from
Pseudomonas fluorescens strain ACB, respectively. This heterotetrameric enzyme is
the first of its kind found in a strain of the genus Sphingomonas sensu latu.
PMID- 21906341
TI - Understanding urine output in critically ill patients.
AB - Urine output often is used as a marker of acute kidney injury but also to guide
fluid resuscitation in critically ill patients. Although decrease of urine output
may be associated to a decrease of glomerular filtration rate due to decrease of
renal blood flow or renal perfusion pressure, neurohormonal factors and
functional changes may influence diuresis and natriuresis in critically ill
patients. The purpose of this review is to discuss the mechanisms of diuresis
regulation, which may help to interpret the urine output in critically ill
patients and the appropriate treatment to be initiated in case of changes in
urine output.
PMID- 21906342
TI - Fluid management in acute lung injury and ards.
AB - ARDS is particularly characterized by pulmonary edema caused by an increase in
pulmonary capillary permeability. It is considered that limiting pulmonary edema
or accelerating its resorption through the modulation of fluid intake or oncotic
pressure could be beneficial. This review discusses the principal clinical
studies that have made it possible to progress in the optimization of the fluid
state during ARDS. Notably, a randomized, multicenter study has suggested that
fluid management with the goal to obtain zero fluid balance in ARDS patients
without shock or renal failure significantly increases the number of days without
mechanical ventilation. On the other hand, it is accepted that patients with
hemodynamic failure must undergo early and adapted vascular filling. Liberal and
conservative filling strategies are therefore complementary and should ideally
follow each other in time in the same patient whose hemodynamic state
progressively stabilizes. At present, although albumin treatment has been
suggested to improve oxygenation transiently in ARDS patients, no sufficient
evidence justifies its use to mitigate pulmonary edema and reduce respiratory
morbidity. Finally, the resorption of alveolar edema occurs through an active
mechanism, which can be pharmacologically upregluated. In this sense, the use of
beta-2 agonists may be beneficial but further studies are needed to confirm
preliminary promising results.
PMID- 21906343
TI - Biosurfactant-mediated biodegradation of straight and methyl-branched alkanes by
Pseudomonas aeruginosa ATCC 55925.
AB - Accidental oil spills and waste disposal are important sources for environmental
pollution. We investigated the biodegradation of alkanes by Pseudomonas
aeruginosa ATCC 55925 in relation to a rhamnolipid surfactant produced by the
same bacterial strain. Results showed that the linear C11-C21 compounds in a
heating oil sample degraded from 6% to 100%, whereas the iso-alkanes tended to be
recalcitrant unless they were exposed to the biosurfactant; under such condition
total biodegradation was achieved. Only the biodegradation of the commercial C12
C19 alkanes could be demonstrated, ranging from 23% to 100%, depending on the
experimental conditions. Pristane (a C19 branched alkane) only biodegraded when
present alone with the biosurfactant and when included in an artificial mixture
even without the biosurfactant. In all cases the biosurfactant significantly
enhanced biodegradation. The electron scanning microscopy showed that cells
depicted several adaptations to growth on hydrocarbons, such as biopolymeric
spheres with embedded cells distributed over different layers on the spherical
surfaces and cells linked to each other by extracellular appendages. Electron
transmission microscopy revealed transparent inclusions, which were associated
with hydrocarbon based-culture cells. These patterns of hydrocarbon
biodegradation and cell adaptations depended on the substrate bioavailability,
type and length of hydrocarbon.
PMID- 21906345
TI - Renal and neurological side effects of colistin in critically ill patients.
AB - Colistin is a complex polypeptide antibiotic composed mainly of colistin A and
B. It was abandoned from clinical use in the 1970s because of significant renal
and, to a lesser extent, neurological toxicity. Actually, colistin is
increasingly put forward as salvage or even first-line treatment for severe
multidrug-resistant, Gram-negative bacterial infections, particularly in the
intensive care setting. We reviewed the most recent literature on colistin
treatment, focusing on efficacy and toxicity issues. The method used for
literature search was based on a PubMed retrieval using very precise
criteria.Despite large variations in dose and duration, colistin treatment
produces relatively high clinical cure rates. Colistin is potentially nephrotoxic
but currently used criteria tend to overestimate the incidence of kidney injury.
Nephrotoxicity independently predicts fewer cures of infection and increased
mortality. Total cumulative colistin dose is associated with kidney damage,
suggesting that shortening of treatment duration could decrease the incidence of
nephrotoxicity. Factors that may enhance colistin nephrotoxicity (i.e., shock,
hypoalbuminemia, concomitant use of potentially nephrotoxic drugs) must be
combated or controlled. Neurotoxicity does not seem to be a major issue during
colistin treatment. A better knowledge of colistin pharmacokinetics in critically
ill patients is imperative for obtaining colistin dosing regimens that ensure
maximal antibacterial activity at minimal toxicity.
PMID- 21906346
TI - Noninvasive positive pressure ventilation for acute respiratory failure in
children: a concise review.
AB - Noninvasive positive pressure ventilation (NPPV) refers to the delivery of
mechanical respiratory support without the use of endotracheal intubation (ETI).
The present review focused on the effectiveness of NPPV in children > 1 month of
age with acute respiratory failure (ARF) due to different conditions. ARF is the
most common cause of cardiac arrest in children. Therefore, prompt recognition
and treatment of pediatric patients with pending respiratory failure can be
lifesaving. Mechanical respiratory support is a critical intervention in many
cases of ARF. In recent years, NPPV has been proposed as a valuable alternative
to invasive mechanical ventilation (IMV) in this acute setting. Recent
physiological studies have demonstrated beneficial effects of NPPV in children
with ARF. Several pediatric clinical studies, the majority of which were
noncontrolled or case series and of small size, have suggested the effectiveness
of NPPV in the treatment of ARF due to acute airway (upper or lower) obstruction
or certain primary parenchymal lung disease, and in specific circumstances, such
as postoperative or postextubation ARF, immunocompromised patients with ARF, or
as a means to facilitate extubation. NPPV was well tolerated with rare major
complications and was associated with improved gas exchange, decreased work of
breathing, and ETI avoidance in 22-100% of patients. High FiO2 needs or high
PaCO2 level on admission or within the first hours after starting NPPV appeared
to be the best independent predictive factors for the NPPV failure in children
with ARF. However, many important issues, such as the identification of the
patient, the right time for NPPV application, and the appropriate setting, are
still lacking. Further randomized, controlled trials that address these issues in
children with ARF are recommended.
PMID- 21906344
TI - Pharmacological treatment of delayed cerebral ischemia and vasospasm in
subarachnoid hemorrhage.
AB - Subarachnoid hemorrhage after the rupture of a cerebral aneurysm is the cause of
6% to 8% of all cerebrovascular accidents involving 10 of 100,000 people each
year. Despite effective treatment of the aneurysm, delayed cerebral ischemia
(DCI) is observed in 30% of patients, with a peak on the tenth day, resulting in
significant infirmity and mortality. Cerebral vasospasm occurs in more than half
of all patients and is recognized as the main cause of delayed cerebral ischemia
after subarachnoid hemorrhage. Its treatment comprises hemodynamic management and
endovascular procedures. To date, the only drug shown to be efficacious on both
the incidence of vasospasm and poor outcome is nimodipine. Given its modest
effects, new pharmacological treatments are being developed to prevent and treat
DCI. We review the different drugs currently being tested.
PMID- 21906347
TI - Development of butanol-tolerant Bacillus subtilis strain GRSW2-B1 as a potential
bioproduction host.
AB - As alternative microbial hosts for butanol production with organic-solvent
tolerant trait are in high demands, a butanol-tolerant bacterium, Bacillus
subtilis GRSW2-B1, was thus isolated. Its tolerance covered a range of organic
solvents at high concentration (5%v/v), with remarkable tolerance in particular
to butanol and alcohol groups. It was susceptible for butanol acclimatization,
which resulted in significant tolerance improvement. It has versatility for
application in a variety of fermentation process because it has superior
tolerance when cells were exposed to butanol either as high-density, late
exponential grown cells (up to 5%v/v) or under growing conditions (up to
2.25%v/v). Genetic transformation procedure was optimized, yielding the highest
efficiency at 5.17 * 103 colony forming unit (MUg DNA)-1. Gene expression could
be effectively driven by several promoters with different levels, where as the
highest expression was observed with a xylose promoter. The constructed vector
was stably maintained in the transformants, in the presence or absence of butanol
stress. Adverse effect of efflux-mediated tetracycline resistance determinant
(TetL) to bacterial organic-solvent tolerance property was unexpectedly observed
and thus discussed. Overall results indicate that B. subtilis GRSW2-B1 has
potential to be engineered and further established as a genetic host for
bioproduction of butanol.
PMID- 21906348
TI - Surviving sepsis: going beyond the guidelines.
AB - The Surviving Sepsis Campaign is a global effort to improve the care of patients
with severe sepsis and septic shock. The first Surviving Sepsis Campaign
Guidelines were published in 2004 with an updated version published in 2008.
These guidelines have been endorsed by many professional organizations throughout
the world and come regarded as the standard of care for the management of
patients with severe sepsis. Unfortunately, most of the recommendations of these
guidelines are not evidence-based. Furthermore, the major components of the 6
hour bundle are based on a single-center study whose validity has been recently
under increasing scrutiny. This paper reviews the validity of the Surviving
Sepsis Campaign 6-hour bundle and provides a more evidence-based approach to the
initial resuscitation of patients with severe sepsis.
PMID- 21906349
TI - Plasma proteomic profile of sulfur mustard exposed lung diseases patients using 2
dimensional gel electrophoresis.
AB - INTRODUCTION: Sulfur mustard "bis (2-chlroethyl) sulphide" (SM) is a chemical
warfare agent that remains a threat to human health. The aim of this study was to
identify protein expression signature or biomarkers that reflect chronic lung
damages induced by SM exposure. METHODS: Prior to analysis, plasma was
fractionated using ethanol precipitation. Using two dimensional SDS-PAGE;
fractionated protein profiles of 20 healthy and 20 exposed patients with lung
diseases were established. Selected protein spots were successfully identified
with MALDI TOF MS/MS. RESULTS: The results show that alpha1 haptoglobin isoforms
were detected in plasma of the all lung disease patients but none of the healthy
controls. Amyloid A1 isoforms was also detected in plasma of the lung disease
patients but none of the healthy controls. Moreover, low molecular weight
proteins were enriched in ethanol supernatant compared to ethanol precipitate.
CONCLUSION: Our present results and previous studies suggest that ongoing tissue
remodeling is involved in SM exposed lung damage patients. These finding might
improve patient care and suitable therapies.
PMID- 21906350
TI - Hacking into bacterial biofilms: a new therapeutic challenge.
AB - Microbiologists have extensively worked during the past decade on a particular
phase of the bacterial cell cycle known as biofilm, in which single-celled
individuals gather together to form a sedentary but dynamic community within a
complex structure, displaying spatial and functional heterogeneity. In response
to the perception of environmental signals by sensing systems, appropriate
responses are triggered, leading to biofilm formation. This process involves
various molecular systems that enable bacteria to identify appropriate surfaces
on which to anchor themselves, to stick to those surfaces and to each other, to
construct multicellular communities several hundreds of micrometers thick, and to
detach from the community. The biofilm microbial community is a unique, highly
competitive, and crowded environment facilitating microevolutionary processes and
horizontal gene transfer between distantly related microorganisms. It is governed
by social rules, based on the production and use of "public" goods, with actors
and recipients. Biofilms constitute a unique shield against external aggressions,
including drug treatment and immune reactions. Biofilm-associated infections in
humans have therefore generated major problems for the diagnosis and treatment of
diseases. Improvements in our understanding of biofilms have led to innovative
research designed to interfere with this process.
PMID- 21906351
TI - Proteomic profiling of the mesenteric lymph after hemorrhagic shock: Differential
gel electrophoresis and mass spectrometry analysis.
AB - Experiments show that upon traumatic injury the composition of mesenteric lymph
changes such that it initiates an immune response that can ultimately result in
multiple organ dysfunction syndrome (MODS). To identify candidate protein
mediators of this process we carried out a quantitative proteomic study on
mesenteric lymph from a well characterized rat shock model. We analyzed three
animals using analytical 2D differential gel electrophoresis. Intra-animal
variation for the majority of protein spots was minor. Functional clustering of
proteins revealed changes arising from several global classes that give novel
insight into fundamental mechanisms of MODS. Mass spectrometry based proteomic
analysis of proteins in mesenteric lymph can effectively be used to identify
candidate mediators and loss of protective agents in shock models.
PMID- 21906352
TI - Production of polyhydroxyalkanoates from waste frying oil by Cupriavidus necator.
AB - Polyhydroxyalkanoates (PHAs) are biopolymers, which can replace petrochemical
plastics in many applications. However, these bioplastics are currently far more
expensive than petrochemical plastics. Many researchers are investigating the use
of inexpensive substrates derived from waste streams. Waste frying oil is
abundant and can be used in PHA production without filtration.Cupriavidus necator
(formerly known as Ralstonia eutropha) is a versatile organism for the production
of PHAs. Small-scale batch fermentation studies have been set up, using different
concentrations of pure vegetable oil, heated vegetable oil and waste frying oil.
These oils are all rapeseed oils.It has been shown that Cupriavidus necator
produced the homopolymer polyhydroxybutyrate (PHB) from the rapeseed oils. The
achieved PHB concentration from waste frying oil was 1.2 g/l, which is similar to
a concentration that can be obtained from glucose. The PHB harvest from pure oil
and heated oil was 0.62 g/l and 0.9 g/l respectively. A feed of waste frying oil
could thus achieve more biopolymer than pure vegetable oil. While the use of a
waste product is beneficial from a life-cycle perspective, PHB is not the only
product that can be made from waste oil. The collection of waste frying oil is
becoming more widespread, making waste oil a good alternative to purified oil or
glucose for PHB production.
PMID- 21906353
TI - Two-dimensional difference gel electrophoresis (DIGE) analysis of sera from
visceral leishmaniasis patients.
AB - INTRODUCTION: Visceral leishmaniasis is a parasitic infection caused by
Lesihmania donovani complex and transmitted by the bite of the phlebotomine sand
fly. It is an endemic disease in many developing countries with more than 90% of
the cases occurring in Bangladesh, India, Nepal, Sudan, Ethiopia and Brazil. The
disease is fatal if untreated. The disease is conventionally diagnosed by
demonstrating the intracellular parasite in bone marrow or splenic aspirates.
This study was carried out to discover differentially expressed proteins which
could be potential biomarkers. METHODS: Sera from six visceral leishmaniasis
patients and six healthy controls were depleted of high abundant proteins by
immunodepletion. The depleted sera were compared by 2-D Difference in gel
electrophoresis (DIGE). Differentially expressed proteins were identified the by
tandem mass spectrometry. Three of the identified proteins were further validated
by western blotting. RESULTS: This is the first report of serum proteomics study
using quantitative Difference in gel electrophoresis (DIGE) in visceral
leishmaniasis. We identified alpha-1-acidglycoprotein and C1 inhibitor as up
regulated and transthyretin, retinol binding protein and apolipoprotein A-I as
down regulated proteins in visceral leishmaniasis sera in comparison with healthy
controls. Western blot validation of C1 inhibitor, transthyretin and
apolipoprotein A-I in a larger cohort (n = 29) confirmed significant difference
in the expression levels (p < 0.05). CONCLUSIONS: In conclusion, DIGE based
proteomic analysis showed that several proteins are differentially expressed in
the sera of visceral leishmaniasis. The five proteins identified here have
potential, either independently or in combination, as prognostic biomarkers.
PMID- 21906354
TI - Exciting news from Clinical Proteomics.
PMID- 21906355
TI - The ADAMs family of proteases: new biomarkers and therapeutic targets for cancer?
AB - The ADAMs are transmembrane proteins implicated in proteolysis and cell
adhesion. Forty gene members of the family have been identified, of which 21 are
believed to be functional in humans. As proteases, their main substrates are the
ectodomains of other transmembrane proteins. These substrates include precursor
forms of growth factors, cytokines, growth factor receptors, cytokine receptors
and several different types of adhesion molecules. Although altered expression of
specific ADAMs has been implicated in different diseases, their best-documented
role is in cancer formation and progression. ADAMs shown to play a role in cancer
include ADAM9, ADAM10, ADAM12, ADAM15 and ADAM17. Two of the ADAMs, i.e., ADAM10
and 17 appear to promote cancer progression by releasing HER/EGFR ligands. The
released ligands activate HER/EGFR signalling that culminates in increased cell
proliferation, migration and survival. Consistent with a causative role in
cancer, several ADAMs are emerging as potential cancer biomarkers for aiding
cancer diagnosis and predicting patient outcome. Furthermore, a number of
selective ADAM inhibitors, especially against ADAM10 and ADAM17, have been shown
to have anti-cancer effects. At least one of these inhibitors is now undergoing
clinical trials in patients with breast cancer.
PMID- 21906356
TI - Clinical significance of elevated B-type natriuretic peptide in patients with
acute lung injury with or without right ventricular dilatation: an observational
cohort study.
AB - BACKGROUND: The primary objective of this study was to examine levels of B-type
natriuretic peptide (BNP) in mechanically ventilated patients with acute lung
injury and to test whether the level of BNP would be higher in patients with
right ventricular dilatation and would predict mortality. METHODS: This was a
prospective, observational cohort study of 42 patients conducted in the intensive
care unit of a tertiary care university hospital. BNP was measured and
transthoracic echocardiography was performed within 48 hours of the onset of
acute lung injury. The left ventricular systolic and diastolic function, right
ventricular systolic function, and cardiac output were assessed. BNP was compared
in patients with and without right ventricular dilatation, as well as in
survivors versus nonsurvivors. RESULTS: BNP was elevated in mechanically
ventilated patients with acute lung injury (median 420 pg/ml; 25-75%
interquartile range 156-728 pg/ml). There was no difference between patients with
and without right ventricular dilatation (420 pg/ml, 119-858 pg/ml vs. 387 pg/ml,
156-725 pg/ml; p = 0.96). There was no difference in BNP levels between the
patients who died and those who survived at 30 days (420 pg/ml, 120-728 pg/ml vs.
385 pg/ml, 159-1070 pg/ml; p = 0.71). CONCLUSIONS: In patients with acute lung
injury the level of BNP is increased, but there is no difference in the BNP level
between patients with and without right ventricular dilatation. Furthermore, BNP
level is not predictive of mortality in this population.
PMID- 21906358
TI - Challenges in implementing individualized medicine illustrated by antimetabolite
therapy of childhood acute lymphoblastic leukemia.
AB - Predicting the response to medical therapy and subsequently individualizing the
treatment to increase efficacy or reduce toxicity has been a longstanding
clinical goal. Not least within oncology, where many patients fail to be cured,
and others are treated to or beyond the limit of acceptable toxicity, an
individualized therapeutic approach is indicated. The mapping of the human genome
and technological developments in DNA sequencing, gene expression profiling, and
proteomics have raised the expectations for implementing genotype-phenotype data
into the clinical decision process, but also multiplied the complex interaction
of genetic and other laboratory parameters that can be used for therapy
adjustments. Thus, with the advances in the laboratory techniques, post
laboratory issues have become major obstacles for treatment individualization.
Many of these challenges have been illustrated by studies involving childhood
acute lymphoblastic leukemia (ALL), where each patient may receive up to 13
different anticancer agents over a period of 2-3 years. The challenges include i)
addressing important, but low-frequency outcomes, ii) difficulties in
interpreting the impact of single drug or single gene response data that often
vary across treatment protocols, iii) combining disease and host genomics with
outcome variations, and iv) physicians' reluctance in implementing potentially
useful genotype and phenotype data into clinical practice, since unjustified
downward or upward dose adjustments could increase the of risk of relapse or life
threatening complications. In this review we use childhood ALL therapy as a model
and discuss these issues, and how they may be addressed.
PMID- 21906359
TI - The strategy of antibiotic use in critically ill neutropenic patients.
AB - Suspicion of sepsis in neutropenic patients requires immediate antimicrobial
treatment. The initial regimen in critically ill patients should cover both Gram
positive and Gram-negative pathogens, including Pseudomonas aeruginosa. However,
the risk of selecting multidrug-resistant pathogens should be considered when
using broad-spectrum antibiotics for a prolonged period of time. The choice of
the first-line empirical drugs should take into account the underlying
malignancy, local bacterial ecology, clinical presentation and severity of acute
illness. This review provides an up-to-date guide that will assist physicians in
choosing the best strategy regarding the use of antibiotics in neutropenic
patients, with a special focus on critically ill patients, based on the above
mentioned considerations and on the most recent international guidelines and
literature.
PMID- 21906357
TI - Aberrant glycosylation associated with enzymes as cancer biomarkers.
AB - BACKGROUND: One of the new roles for enzymes in personalized medicine builds on a
rational approach to cancer biomarker discovery using enzyme-associated aberrant
glycosylation. A hallmark of cancer, aberrant glycosylation is associated with
differential expressions of enzymes such as glycosyltransferase and glycosidases.
The aberrant expressions of the enzymes in turn cause cancer cells to produce
glycoproteins with specific cancer-associated aberrations in glycan structures.
CONTENT: In this review we provide examples of cancer biomarker discovery using
aberrant glycosylation in three areas. First, changes in glycosylation machinery
such as glycosyltransferases/glycosidases could be used as cancer biomarkers.
Second, most of the clinically useful cancer biomarkers are glycoproteins.
Discovery of specific cancer-associated aberrations in glycan structures of these
existing biomarkers could improve their cancer specificity, such as the discovery
of AFP-L3, fucosylated glycoforms of AFP. Third, cancer-associated aberrations in
glycan structures provide a compelling rationale for discovering new biomarkers
using glycomic and glycoproteomic technologies. SUMMARY: As a hallmark of cancer,
aberrant glycosylation allows for the rational design of biomarker discovery
efforts. But more important, we need to translate these biomarkers from discovery
to clinical diagnostics using good strategies, such as the lessons learned from
translating the biomarkers discovered using proteomic technologies to OVA 1, the
first FDA-cleared In Vitro Diagnostic Multivariate Index Assay (IVDMIA). These
lessons, providing important guidance in current efforts in biomarker discovery
and translation, are applicable to the discovery of aberrant glycosylation
associated with enzymes as cancer biomarkers as well.
PMID- 21906360
TI - Down-regulation of kallikrein-related peptidase 5 (KLK5) expression in breast
cancer patients: a biomarker for the differential diagnosis of breast lesions.
AB - BACKGROUND: Kallikrein-related peptidase 5 (KLK5) is a secreted trypsin-like
protease of the KLK family, encoded by the KLK5 gene. KLK5 has been found to
cleave various extracellular matrix components, as well as to activate several
other KLK proteases, triggering the stimulation of tissue microenvironment
proteolytic cascades. MATERIAL AND METHODS: KLK5 expression levels were
quantified in 102 cancerous and benign breast tissue specimens, obtained by
randomly chosen patients, using RT-qPCR assay. Subsequently, advanced
biostatistics were applied in order to analyze the KLK5 expression profile in the
two patients' cohorts and also to evaluate its clinical significance for the
discrimination of breast tumors. RESULTS: A statistically significant (p < 0.001)
down-regulation of the KLK5 expression levels were observed in the malignant
specimens compared to the benign ones. Logistic regression and ROC curve analysis
revealed the significant (p < 0.001) and the independent (p < 0.001) value of the
KLK5 expression quantification, for the discrimination of the malignant from the
benign mammary gland biopsies. Moreover, KLK5 expression levels correlate with
the pre-menopausal status (p < 0.005) as well as the ER-negative staining (p =
0.028) of women with breast cancer. CONCLUSIONS: The quantification of KLK5
expression in breast tissue biopsies may be considered as a novel and independent
biomarker for the differential diagnosis between malignant and benign tumors of
the mammary gland.
PMID- 21906361
TI - Spectral counting assessment of protein dynamic range in cerebrospinal fluid
following depletion with plasma-designed immunoaffinity columns.
AB - BACKGROUND: In cerebrospinal fluid (CSF), which is a rich source of biomarkers
for neurological diseases, identification of biomarkers requires methods that
allow reproducible detection of low abundance proteins. It is therefore crucial
to decrease dynamic range and improve assessment of protein abundance. RESULTS:
We applied LC-MS/MS to compare the performance of two CSF enrichment techniques
that immunodeplete either albumin alone (IgYHSA) or 14 high-abundance proteins
(IgY14). In order to estimate dynamic range of proteins identified, we measured
protein abundance with APEX spectral counting method.Both immunodepletion methods
improved the number of low-abundance proteins detected (3-fold for IgYHSA, 4-fold
for IgY14). The 10 most abundant proteins following immunodepletion accounted for
41% (IgY14) and 46% (IgYHSA) of CSF protein content, whereas they accounted for
64% in non-depleted samples, thus demonstrating significant enrichment of low
abundance proteins. Defined proteomics experiment metrics showed overall good
reproducibility of the two immunodepletion methods and MS analysis. Moreover,
offline peptide fractionation in IgYHSA sample allowed a 4-fold increase of
proteins identified (520 vs. 131 without fractionation), without hindering
reproducibility. CONCLUSIONS: The novelty of this study was to show the
advantages and drawbacks of these methods side-to-side. Taking into account the
improved detection and potential loss of non-target proteins following extensive
immunodepletion, it is concluded that both depletion methods combined with
spectral counting may be of interest before further fractionation, when searching
for CSF biomarkers. According to the reliable identification and quantitation
obtained with APEX algorithm, it may be considered as a cheap and quick
alternative to study sample proteomic content.
PMID- 21906362
TI - Advancing donor management research: design and implementation of a large,
randomized, placebo-controlled trial.
AB - BACKGROUND: Given the persistent shortage of organs for transplantation, new
donor management strategies to improve both organ utilization and quality of
procured organs are needed. Current management protocols for the care of the
deceased donor before organ procurement are based on physiological rationale,
experiential reasoning, and retrospective studies without rigorous testing.
Although many factors contribute to the lack of controlled clinical trials in
donor management, a major factor is the unique challenges posed by research in
the brain-dead organ donor. METHODS AND RESULTS: This article describes the study
design and the challenges faced during implementation of the Beta-agonists for
Oxygenation in Lung Donors (BOLD) study, a randomized, placebo-controlled
clinical trial of nebulized albuterol vs. placebo in 500 organ donors. The study
design and implementation are described with emphasis on aspects of the study
that are unique to research in brain-dead organ donors. CONCLUSIONS: Experience
gained during the design and implementation of the BOLD study should be useful
for investigators planning future clinical trials in the brain-dead donor
population and for intensivists who are involved in the care of the brain-dead
organ donor.
PMID- 21906363
TI - FGF-23 and PTH levels in patients with acute kidney injury: A cross-sectional
case series study.
AB - BACKGROUND: Fibroblast growth factor-23 (FGF-23), a novel regulator of mineral
metabolism, is markedly elevated in chronic kidney disease and has been
associated with poor long-term outcomes. However, whether FGF-23 has an analogous
role in acute kidney injury is unknown. The goal of this study was to measure FGF
23 levels in critically ill patients with acute kidney injury to determine
whether FGF-23 levels were elevated, as in chronic kidney disease. METHODS:
Plasma FGF-23 and intact parathyroid hormone (PTH) levels were measured in 12
patients with acute kidney injury and 8 control subjects. RESULTS: FGF-23 levels
were significantly higher in acute kidney injury cases than in critically ill
subjects without acute kidney injury, with a median FGF-23 level of 1948 RU/mL
(interquartile range (IQR), 437-4369) in cases compared with 252 RU/mL (IQR, 65
533) in controls (p = 0.01). No correlations were observed between FGF-23 and
severity of acute kidney injury (defined by the Acute Kidney Injury Network
criteria); among patients with acute kidney injury, FGF-23 levels were higher in
nonsurvivors than survivors (median levels of 4446 RU/mL (IQR, 3455-5443) versus
544 RU/mL (IQR, 390-1948; p = 0.02). Severe hyperparathyroidism (defined as
intact PTH >250 mg/dL) was present in 3 of 12 (25%) of the acute kidney injury
subjects versus none of the subjects without acute kidney injury, although this
result did not meet statistical significance. CONCLUSIONS: We provide novel data
that demonstrate that FGF-23 levels are elevated in acute kidney injury,
suggesting that FGF-23 dysregulation occurs in acute kidney injury as well as
chronic kidney disease. Further studies are needed to define the short- and long
term clinical effects of dysregulated mineral metabolism in acute kidney injury
patients.
PMID- 21906364
TI - Serinol: small molecule - big impact.
AB - The amino alcohol serinol (2-amino-1,3-propanediol) has become a common
intermediate for several chemical processes. Since the 1940s serinol was used as
precursor for synthesis of synthetic antibiotics (chloramphenicol). In the last
years, new scopes of applications were discovered. Serinol is used for X-ray
contrast agents, pharmaceuticals or for chemical sphingosine/ceramide synthesis.
It can either be obtained by chemical processes based on 2-nitro-1,3-propanediol,
dihydroxyacetone and ammonia, dihydroxyacetone oxime or 5-amino-1,3-dioxane, or
biotechnological application of amino alcohol dehydrogenases (AMDH) or
transaminases. This review provides a survey of synthesis, properties and
applications for serinol.
PMID- 21906365
TI - Study of enzymatic properties of phenol oxidase from nitrogen-fixing Azotobacter
chroococcum.
AB - Azotobacter chroococcum is a widespread free-living soil bacterium within the
genus of Azotobacter known for assimilation of atmospheric nitrogen and
subsequent conversion into nitrogenous compounds, which henceforth enrich the
nitrogen content of soils. A. chroococcum SBUG 1484, isolated from composted
earth, exhibits phenol oxidase (PO) activity when growing under nitrogen-fixing
conditions. In the present study we provide incipient analysis of the crude PO
activity expressed by A. chroococcum SBUG 1484 within comparative analysis to
fungal crude PO from the white-rot fungus Pycnoporus cinnabarinus SBUG-M 1044 and
tyrosinase (PPO) from the mushroom Agaricus bisporus in an attempt to reveal
desirable properties for exploitation with future recombinant expression of this
enzyme. Catalytic activity increased with pre-incubation at 35 degrees C; however
70% of activity remained after pre-treatment at 50 degrees C. Native A.
chroococcum crude PO exhibited not only strong preference for 2,6
dimethoxyphenol, but also towards related methoxy-activated substrates as well as
substituted ortho-benzenediols from over 40 substrates tested. Presence of CuSO4
enhanced crude phenol oxidase activity up to 30%, whereas NaN3 (0.1 mM) was
identified as the most inhibiting substance of all inhibitors tested. Lowest
inhibition of crude PO activity occurred after 60 minutes of incubation in
presence of 15% methanol and ethanol with 63% and 77% remaining activities
respectively, and presence of DMSO even led to increasing oxidizing activities.
Substrate scope and inhibitor spectrum strongly differentiated A. chroococcum PO
activity comprised in crude extracts from those of PPO and confirmed distinct
similarities to fungal PO.
PMID- 21906366
TI - Recombinant expression and purification of the 2,5-diketocamphane 1,2
monooxygenase from the camphor metabolizing Pseudomonas putida strain NCIMB
10007.
AB - Three different Baeyer-Villiger monooxygenases (BVMOs) were reported to be
involved in the camphor metabolism by Pseudomonas putida NCIMB 10007. During (+)
camphor degradation, 2,5-diketocamphane is formed serving as substrate for the
2,5-diketocamphane 1,2-monooxygenase. This enzyme is encoded on the CAM plasmid
and depends on the cofactors FMN and NADH and hence belongs to the group of type
II BVMOs. We have cloned and recombinantly expressed the oxygenating subunit of
the 2,5-diketocamphane 1,2-monooxygenase (2,5-DKCMO) in E. coli followed by His
tag-based affinity purification. A range of compounds representing different BVMO
substrate classes were then investigated, but only bicyclic ketones were
converted by 2,5-DKCMO used as crude cell extract or after purification.
Interestingly, also (-)-camphor was oxidized, but conversion was about 3-fold
lower compared to (+)-camphor. Moreover, activity of purified 2,5-DKCMO was
observed in the absence of an NADH-dehydrogenase subunit.
PMID- 21906367
TI - Bicarbonate in diabetic ketoacidosis - a systematic review.
AB - OBJECTIVE: This study was designed to examine the efficacy and risk of
bicarbonate administration in the emergent treatment of severe acidemia in
diabetic ketoacidosis (DKA). METHODS: PUBMED database was used to identify
potentially relevant articles in the pediatric and adult DKA populations. DKA
intervention studies on bicarbonate administration versus no bicarbonate in the
emergent therapy, acid-base studies, studies on risk association with cerebral
edema, and related case reports, were selected for review. Two reviewers
independently conducted data extraction and assessed the citation relevance for
inclusion. RESULTS: From 508 potentially relevant articles, 44 were included in
the systematic review, including three adult randomized controlled trials (RCT)
on bicarbonate administration versus no bicarbonate in DKA. We observed a marked
heterogeneity in pH threshold, concentration, amount, and timing for bicarbonate
administration in various studies. Two RCTs demonstrated transient improvement in
metabolic acidosis with bicarbonate treatment within the initial 2 hours. There
was no evidence of improved glycemic control or clinical efficacy. There was
retrospective evidence of increased risk for cerebral edema and prolonged
hospitalization in children who received bicarbonate, and weak evidence of
transient paradoxical worsening of ketosis, and increased need for potassium
supplementation. No studies involved patients with an initial pH < 6.85.
CONCLUSIONS: The evidence to date does not justify the administration of
bicarbonate for the emergent treatment of DKA, especially in the pediatric
population, in view of possible clinical harm and lack of sustained benefits.
PMID- 21906368
TI - Helium in the adult critical care setting.
AB - Helium is a low-density inert gas whose physical properties are very different
from those of nitrogen and oxygen. Such properties could be clinically useful in
the adult critical care setting, especially in patients with upper to more distal
airway obstruction requiring moderate to intermediate levels of FiO2. However,
despite decades of utilization and reporting, it is still difficult to give any
firm clinical recommendation in this setting. Numerous case reports are available
in the context of upper airway obstruction of different origins, but there is a
lack of controlled studies for this indication. One study reported a helium
induced beneficial effect on surrogates of work of breathing after extubation in
non-COPD patients, possibly in relation to laryngeal consequences of tracheal
intubation. Physiological benefits of helium-oxygen breathing have been
demonstrated in the context of acute severe asthma, but there is a lack of large
controlled studies demonstrating an effect on pertinent clinical endpoints,
except for a study reported only as an abstract, which mentioned a reduction in
the intubation rate in helium-treated patients. Finally, there are a number of
physiological studies in the context of COLD-COPD patients demonstrating a
beneficial effect, mainly by a reduction in the resistive inspiratory work of
breathing but also by a reduction in hyperinflation. Reduction of hypercapnia was
mainly observed in spontaneously breathing and noninvasively ventilated helium
treated patients but not in intubated patients during controlled ventilation,
suggesting that the decrease in PaCO2 was mainly in relation to a diminution in
CO2 production, related to the diminution in work of breathing and not an
improved alveolar ventilation. Moreover, there is little evidence that helium
oxygen could improve parameters of heterogeneity in such patients. Two RCTs were
unable to demonstrate a reduction in the intubation rate in such setting, but
they were likely underpowered. An adequately powered international multicentric
study is ongoing and will help to determinate the exact place of the helium
oxygen mixture in the future. The place of the mixture during the weaning period
will deserve further evaluation.
PMID- 21906369
TI - Enhancement of beta-xylosidase productivity in cellulase producing fungus
Acremonium cellulolyticus.
AB - Enzymatic hydrolysis is one of the most important processes in bioethanol
production from lignocellulosic biomass. Acremonium cellulolyticus is a
filamentous fungus with high cellulase production but productivity of
hemicellulase, especially beta-xylosidase, is lower than other filamentous fungi.
We identified 2.4 Kb beta-xylosidase gene in the A. cellulolyticus genome
sequence information and it encoded 798 amino acids without introns. To enhance
hemicellulase productivity in A. cellulolyticus, we transformed this fungus with
the identified beta-xylosidase gene driven by the cellobiohydrolase Iota (cbh1)
promoter, using the protoplast-polyethyleneglycol (PEG) method, and obtained a
transformant, YKX1. Hydrolysis rate of xylooligosaccharides was more than 50-fold
higher using culture supernatant from YKX1 than that from the parental strain, Y
94. Total cellulase activity (measured by filter paper assay) in YKX1 was not
affected by the cbh1 promoter used for expression of beta-xylosidase, and induced
by cellulose. Since YKX1 can produce larger amount of beta-xylosidase without
affecting cellulase productivity, it is considered to be beneficial for practical
monosaccharide recoveries from lignocellulosic biomass.
PMID- 21906370
TI - Functional proteomics can define prognosis and predict pathologic complete
response in patients with breast cancer.
AB - PURPOSE: To determine whether functional proteomics improves breast cancer
classification and prognostication and can predict pathological complete response
(pCR) in patients receiving neoadjuvant taxane and anthracycline-taxane-based
systemic therapy (NST). METHODS: Reverse phase protein array (RPPA) using 146
antibodies to proteins relevant to breast cancer was applied to three independent
tumor sets. Supervised clustering to identify subgroups and prognosis in surgical
excision specimens from a training set (n = 712) was validated on a test set (n =
168) in two cohorts of patients with primary breast cancer. A score was
constructed using ordinal logistic regression to quantify the probability of
recurrence in the training set and tested in the test set. The score was then
evaluated on 132 FNA biopsies of patients treated with NST to determine ability
to predict pCR. RESULTS: Six breast cancer subgroups were identified by a 10
protein biomarker panel in the 712 tumor training set. They were associated with
different recurrence-free survival (RFS) (log-rank p = 8.8 E-10). The structure
and ability of the six subgroups to predict RFS was confirmed in the test set
(log-rank p = 0.0013). A prognosis score constructed using the 10 proteins in the
training set was associated with RFS in both training and test sets (p = 3.2E-13,
for test set). There was a significant association between the prognostic score
and likelihood of pCR to NST in the FNA set (p = 0.0021). CONCLUSION: We
developed a 10-protein biomarker panel that classifies breast cancer into
prognostic groups that may have potential utility in the management of patients
who receive anthracycline-taxane-based NST.
PMID- 21906371
TI - Implications of various phosphoenolpyruvate-carbohydrate phosphotransferase
system mutations on glycerol utilization and poly(3-hydroxybutyrate) accumulation
in Ralstonia eutropha H16.
AB - The enhanced global biodiesel production is also yielding increased quantities
of glycerol as main coproduct. An effective application of glycerol, for example,
as low-cost substrate for microbial growth in industrial fermentation processes
to specific products will reduce the production costs for biodiesel. Our study
focuses on the utilization of glycerol as a cheap carbon source during
cultivation of the thermoplastic producing bacterium Ralstonia eutropha H16, and
on the investigation of carbohydrate transport proteins involved herein. Seven
open reading frames were identified in the genome of strain H16 to encode for
putative proteins of the phosphoenolpyruvate-carbohydrate phosphotransferase
system (PEP-PTS). Although the core components of PEP-PTS, enzyme I (ptsI) and
histidine phosphocarrier protein (ptsH), are available in strain H16, a complete
PTS-mediated carbohydrate transport is lacking. Growth experiments employing
several PEP-PTS mutants indicate that the putative ptsMHI operon, comprising ptsM
(a fructose-specific EIIA component of PTS), ptsH, and ptsI, is responsible for
limited cell growth and reduced PHB accumulation (53%, w/w, less PHB than the
wild type) of this strain in media containing glycerol as a sole carbon source.
Otherwise, the deletion of gene H16_A0384 (ptsN, nitrogen regulatory EIIA
component of PTS) seemed to largely compensate the effect of the deleted ptsMHI
operon (49%, w/w, PHB). The involvement of the PTS homologous proteins on the
utilization of the non-PTS sugar alcohol glycerol and its effect on cell growth
as well as PHB and carbon metabolism of R. eutropha will be discussed.
PMID- 21906372
TI - Glutamine supplementation.
AB - Intravenous glutamine supplementation is standard care when parenteral nutrition
is given for critical illness. There are data of a reduced mortality when
glutamine supplementation is given. In addition, standard commercial products for
parenteral nutrition do not contain any glutamine due to glutamine instability in
aqueous solutions. For the majority of critical ill patients who are fed
enterally, the available evidence is insufficient to recommend glutamine
supplementation. Standard formulation of enteral nutrition contains some
glutamine: 2-4 g/L. However, this dose is insufficient to normalize glutamine
plasma concentration.Plasma concentration of glutamine is low in many patients
with critical illness and a low level is an independent risk factor for
mortality. A low plasma glutamine concentration is the best indicator of
glutamine depletion. Data are emerging about how the endogenous production of
glutamine is regulated. We know that skeletal muscle is the major producer of
glutamine and that a part of the profound depletion of skeletal muscle seen in
critical illness is a reflection of the need to produce glutamine.Glutamine is
utilized in rapidly dividing cells in the splanchnic area. Quantitatively most
glutamine is oxidized, but the availability of glutamine in surplus is important
for the de novo synthesis of nucleotides and necessary for cell division and
protein synthesis. More knowledge about the regulation of the endogenous
production of glutamine is needed to outline better guidelines for glutamine
supplementation in the future.
PMID- 21906373
TI - Simultaneous polyhydroxyalkanoates and rhamnolipids production by Thermus
thermophilus HB8.
AB - The ability of Thermus thermophilus HB8 to produce simultaneously two
environmentally-friendly biodegradable products, polyhydroxyalkanoates (PHAs) and
rhamnolipids (RLs), using either sodium gluconate or glucose as sole carbon
source, was demonstrated. The utilization of sodium gluconate resulted in higher
levels of PHAs and RLs production than when glucose was used as sole carbon
source. The initial phosphate concentration (as PO43-) influences both PHAs and
RLs productions that were increased during cultivation time. PHAs accumulation
was enhanced (> 300 mg/L) after 72 h of cultivation in an initial [PO43-] of 25
mM, while RLs production (> 200 mg/L) was started after 35 h and continued until
72 h of cultivation, in a phosphate-limited medium containing initially 5 mM of
[PO43-]. In addition, the combine effect of initial [PO43-] and cultivation time
on biomass, PHAs and RLs production was evaluated from 2D contour plots. The
results revealed that low initial phosphate concentrations (up to 5 mM) and long
incubation time (72 h) promoted RLs biosynthesis while higher initial phosphate
concentrations (up to 25 mM) where favorable for biomass and PHAs production. The
molecular composition of the produced bio-products was identified. The
accumulated PHAs were co-polymers which mainly consisted of 3-hydroxydecanoate
(3HD) as resulted by gas chromatography (GC) analysis. The secreted RLs were
extracted and their total mixture contained both mono- and di- RLs identified by
thin-layer chromatography (TLC). Moreover, the molecular composition of the
produced RLs characterized in details by LC-MS analysis showed a plethora of
diversity including mono-, and di-RLs, di-rhamno-monolipidic congeners differing
in the length of the lipidic chain, which additionally were found to be saturated
or unsaturated in some cases.
PMID- 21906374
TI - Escherichia coli inactivation kinetics in anaerobic digestion of dairy manure
under moderate, mesophilic and thermophilic temperatures.
AB - Batch anaerobic digestion experiments using dairy manure as feedstocks were
performed at moderate (25 degrees C), mesophilic (37 degrees C), and thermophilic
(52.5 degrees C) temperatures to understand E. coli, an indicator organism for
pathogens, inactivation in dairy manure. Incubation periods at 25, 37, and 52.5
degrees C, were 61, 41, and 28 days respectively. Results were used to develop
models for predicting E. coli inactivation and survival in anaerobic digestion.
For modeling we used the decay of E. coli at each temperature to calculate the
first-order inactivation rate coefficients, and these rates were used to
formulate the time - temperature - E. coli survival relationships. We found the
inactivation rate coefficient at 52.5 degrees C was 17 and 15 times larger than
the inactivation rate coefficients at 25 and 37 degrees C, respectively. Decimal
reduction times (D10; time to achieve one log removal) at 25, 37, and 52.5
degrees C, were 9 -10, 7 - 8 days, and < 1 day, respectively. The Arrhenius
correlation between inactivation rate coefficients and temperatures over the
range 25 -52.5 degrees C was developed to understand the impacts of temperature
on E. coli inactivation rate. Using this correlation, the time - temperature - E.
coli survival relationships were derived. Besides E. coli inactivation, impacts
of temperature on biogas production, methane content, pH change, ORP, and solid
reduction were also studied. At higher temperatures, biogas production and
methane content was greater than that at low temperatures. While at thermophilic
temperature pH was increased, at mesophilic and moderate temperatures pH were
reduced over the incubation period. These results can be used to understand
pathogen inactivation during anaerobic digestion of dairy manure, and impacts of
temperatures on performance of anaerobic digesters treating dairy manure.
PMID- 21906375
TI - Harnessing the self-harvesting capability of benthic cyanobacteria for use in
benthic photobioreactors.
AB - Benthic species of algae and cyanobacteria (i.e., those that grow on surfaces),
may provide potential advantages over planktonic species for some commercial
scale biotechnological applications. A multitude of different designs of
photobioreactor (PBR) are available for growing planktonic species but to date
there has been little research on PBR for benthic algae or cyanobacteria. One
notable advantage of some benthic cyanobacterial species is that during their
growth cycle they become positively buoyant, detach from the growth surface and
form floating mats. This 'self-harvesting' capability could be advantageous in
commercial PBRs as it would greatly reduce dewatering costs. In this study we
compared the growth rates and efficiency of 'self-harvesting' among three species
of benthic cyanobacteria; Phormidium autumnale; Phormidium murrayi and
Planktothrix sp.. Phormidium autumnale produced the greatest biomass and formed
cohesive mats once detached. Using this strain and an optimised MLA media, a
variety of geometries of benthic PBRs (bPBRs) were trialed. The geometry and
composition of growth surface had a marked effect on cyanobacterial growth. The
highest biomass was achieved in a bPBR comprising of a vertical polyethylene bag
with loops of silicone tubing to provide additional growth surfaces. The
productivity achieved in this bPBR was a similar order of magnitude as planktonic
species, with the additional advantage that towards the end of the exponential
phase the bulk of the biomass detached forming a dense mat at the surface of the
medium.
PMID- 21906376
TI - Retrospective evaluation of possible renal toxicity associated with continuous
infusion of vancomycin in critically ill patients.
AB - BACKGROUND: Continuous infusion of vancomycin is increasingly preferred as an
alternative to intermittent administration in critically ill patients.
Intermittent vancomycin treatment is associated with an increased occurrence of
nephrotoxicity. This study was designed to determine the incidence and risk
factors of acute kidney injury (AKI) during continuous infusion of vancomycin.
METHODS: This was a retrospective, observational, two-center, cohort study in
patients with microbiologically documented Gram-positive pneumonia and/or
bacteremia and normal baseline renal function. Vancomycin dose was adjusted daily
aiming at plateau concentrations of 15-25 MUg/mL. AKI was defined as an increase
in serum creatinine of 0.3 mg/dL or a 1.5 to 2 times increase from baseline on at
least 2 consecutive days after the initiation of vancomycin. Primary data
analysis compared patients with AKI with patients who did not develop AKI. A
binary logistic regression analysis using the forward stepwise method was used to
assess the risk factors associated with AKI. RESULTS: A total of 129 patients
were studied of whom 38 (29.5%) developed AKI. Patients with AKI had higher body
weight (77.3 +/- 15 vs. 70.5 +/- 15.2 kg; p = 0.02), more diabetes (79% vs. 54%;
p = 0.01), and a higher vasopressor need (87% vs. 59%; p = 0.002). Serum
vancomycin levels, body weight, and SAPS 3 score were identified as variables
contributing to AKI. The incidence of AKI increased substantially when treatment
duration was prolonged (14.9 +/- 9.8 vs. 9.2 +/- 4.9 days; p = 0.05) and plasma
levels exceeded 30 MUg/mL. CONCLUSIONS: AKI is frequently observed during
continuous vancomycin infusion, particularly when conditions that cause acute
(shock) or chronic (diabetes) renal dysfunction are present and vancomycin levels
above target range are achieved. Although this study challenges the concept that
continuous vancomycin infusion might alleviate the risk of nephrotoxicity in
critically ill patients, a direct relationship between vancomycin and
nephrotoxicity remains to be proven.
PMID- 21906377
TI - A simple method to evaluate the number of bradyrhizobia on soybean seeds and its
implication on inoculant quality control.
AB - Soybean seeds are non-sterile and their bacterial population interferes with the
enumeration of beneficial bacteria, making it difficult to assess survival under
different conditions. Within this context, the principal aims of this work were:
(1) to improve a selective media for the enumeration of B. japonicum recovered
from inoculated soybean seeds; (2) to establish the most representative
mathematical function for B. japonicum mortality on soybean seeds after
inoculation; (3) to evaluate if environmental or physiological conditions modify
B. japonicum mortality on soybean seeds; and (4) to create a new protocol for
quality control of soybean inoculants. We successfully evaluated the combination
of pentachloronitrobenzene and vancomycin added to the yeast-mannitol medium to
inhibit most fungi and Gram-positive soybean microbiota, thus producing reliable
counts of B. japonicum from inoculated soybean seeds. Percentages of recovery and
survival factors were obtained and used to construct a two-phase exponential
decay non-linear regression function. High temperature and desiccation decreased
these parameters, while the optimization of temperature and the use of
osmoprotective compounds with inoculants increased them. The use of this protocol
minimized heterogeneity between experiments and may be considered more reliable
than the simple expression of direct colony count of bacteria recovered from
seeds.
PMID- 21906378
TI - Analysis and application of Bacillus subtilis sortases to anchor recombinant
proteins on the cell wall.
AB - Bacillus subtilis codes for two putative sortases, YhcS and YwpE, and two
surface proteins, YhcR and YfkN, harboring sorting motifs supposed to be
recognized by the putative sortase(s). However, there is no experimental evidence
to show a direct link between these sortases and sorting sequences. To study the
role of these two putative sortases on displaying YhcR and YfkN on the cell wall,
expression of yhcS and ywpE was analyzed by transcriptional fusions and by
Northern blot. It turned out that yhcS gene is expressed at a higher level during
the late stationary phase from both experiments, while ywpE expression is not
confirmed in the Northern blot analysis. Next, we constructed yhcS and ywpE
single and double knockout strains and plasmids that express one or both genes to
restore the functions of the knockout strains. It could be shown that display of
YhcR and YfkN on the surface depended on the presence of YhcS while YwpE seems
not to play a major role if any as a sortase. Finally, the putative sorting motif
together with a 123-amino-acid spacer derived from YhcR and YfkN designated
YhcR123 and YfkN123, respectively, were fused to an alpha-amylase reporter
enzyme. The fusion protein YhcR123-AmyQ could be displayed on the surface at high
amounts, while YfkN123-AmyQ could be hardly detected. We conclude that the
sortase YhcS can recognize and anchor YhcR on the cell wall. This result further
indicates that the YhcR sorting sequence can be used to display recombinant
proteins on the surface of B. subtilis cells.
PMID- 21906380
TI - Microcirculatory alterations: potential mechanisms and implications for therapy.
AB - Multiple experimental and human trials have shown that microcirculatory
alterations are frequent in sepsis. In this review, we discuss the
characteristics of these alterations, the various mechanisms potentially
involved, and the implications for therapy. Sepsis-induced microvascular
alterations are characterized by a decrease in capillary density with an
increased number of stopped-flow and intermittent-flow capillaries, in close
vicinity to well-perfused capillaries. Accordingly, the surface available for
exchange is decreased but also is highly heterogeneous. Multiple mechanisms may
contribute to these alterations, including endothelial dysfunction, impaired
inter-cell communication, altered glycocalyx, adhesion and rolling of white blood
cells and platelets, and altered red blood cell deformability. Given the
heterogeneous nature of these alterations and the mechanisms potentially
involved, classical hemodynamic interventions, such as fluids, red blood cell
transfusions, vasopressors, and inotropic agents, have only a limited impact, and
the microcirculatory changes often persist after resuscitation. Nevertheless,
fluids seem to improve the microcirculation in the early phase of sepsis and
dobutamine also can improve the microcirculation, although the magnitude of this
effect varies considerably among patients. Finally, maintaining a sufficient
perfusion pressure seems to positively influence the microcirculation; however,
which mean arterial pressure levels should be targeted remains controversial.
Some trials using vasodilating agents, especially nitroglycerin, showed promising
initial results but they were challenged in other trials, so it is difficult to
recommend the use of these agents in current practice. Other agents can markedly
improve the microcirculation, including activated protein C and antithrombin,
vitamin C, or steroids. In conclusion, microcirculatory alterations may play an
important role in the development of sepsis-related organ dysfunction. At this
stage, therapies to target microcirculation specifically are still being
investigated.
PMID- 21906379
TI - Ventilator-induced lung injury: historical perspectives and clinical
implications.
AB - Mechanical ventilation can produce lung physiological and morphological
alterations termed ventilator-induced lung injury (VILI). Early experimental
studies demonstrated that the main determinant of VILI is lung end-inspiratory
volume. The clinical relevance of these experimental findings received resounding
confirmation with the results of the acute respiratory distress syndrome (ARDS)
Network study, which showed a 22% reduction in mortality in patients with the
acute respiratory distress syndrome through a simple reduction in tidal volume.
In contrast, the clinical relevance of low lung volume injury remains debated and
the application of high positive end-expiratory pressure levels can contribute to
lung overdistension and thus be deleterious. The significance of inflammatory
alterations observed during VILI is debated and has not translated into clinical
application. This review examines seminal experimental studies that led to our
current understanding of VILI and contributed to the current recommendations in
the respiratory support of ARDS patients.
PMID- 21906381
TI - Immobilized pH gradient-driven paper-based IEF: a new method for fractionating
complex peptide mixtures before MS analysis.
AB - INTRODUCTION: The vast difference in the abundance of different proteins in
biological samples limits the determination of the complete proteome of a cell
type, requiring fractionation of proteins and peptides before MS analysis.
METHODS: We present a method consisting of electrophoresis of complex mixtures of
peptides using a strip of filter paper cut into 20 sections laid end to end over
a 24-cm-long IPG strip, the pH gradient of which would drive the electrophoresis.
Peptides absorbed onto individual paper pads after electrophoresis are
subsequently recovered into a buffer solution, thus dividing a complex peptide
mixture according to pI into 20 liquid fractions. This paper-based IEF method
(PIEF) was compared side-by-side with a similar but liquid-based Offgel
electrophoresis (OGE) by analyzing iTRAQ-labeled peptide mixtures of membrane
proteins from four different cell types. RESULTS: PIEF outperformed OGE in
resolving acidic peptides, whereas OGE did a better job in recovering relatively
basic peptides. OGE and PIEF were quite comparable in their coverage, identifying
almost equal number of distinct proteins (PIEF =1174; OGE = 1080). Interestingly,
however, only 675 were identified by both of them, each method identifying many
unique proteins (PIEF = 499; OGE = 415). Thus, the two methods uncovered almost
40% more proteins compared to what is obtained by only one method. CONCLUSION:
This initial investigation demonstrates the technical feasibility of PIEF for
complementing OGE. PIEF uses standard IPG IEF equipment, requires no specialized
apparatus (e.g., OGE fractionator) and may be integrated into peptide mapping
strategies for clinical samples.
PMID- 21906382
TI - Colistin: recent data on pharmacodynamics properties and clinical efficacy in
critically ill patients.
AB - Recent clinical studies performed in a large number of patients showed that
colistin "forgotten" for several decades revived for the management of infections
due to multidrug-resistant (MDR) Gram-negative bacteria (GNB) and had acceptable
effectiveness and considerably less toxicity than that reported in older
publications. Colistin is a rapidly bactericidal antimicrobial agent that
possesses a significant postantibiotic effect against MDR Gram-negative
pathogens, such as Pseudomonas aeruginosa, Acinetobacter baumannii, and
Klebsiella pneumoniae. The optimal colistin dosing regimen against MDR GNB is
still unknown in the intensive care unit (ICU) setting. A better understanding of
the pharmacokinetic-pharmacodynamic relationship of colistin is urgently needed
to determine the optimal dosing regimen. Although pharmacokinetic and
pharmacodynamic data in ICU patients are scarce, recent evidence shows that the
pharmacokinetics/pharmacodynamics of colistimethate sodium and colistin in
critically ill patients differ from those previously found in other groups, such
as cystic fibrosis patients. The AUC:MIC ratio has been found to be the parameter
best associated with colistin efficacy. To maximize the AUC:MIC ratio, higher
doses of colistimethate sodium and alterations in the dosing intervals may be
warranted in the ICU setting. In addition, the development of colistin resistance
has been linked to inadequate colistin dosing. This enforces the importance of
colistin dose optimization in critically ill patients. Although higher colistin
doses seem to be beneficial, the lack of colistin pharmacokinetic-pharmacodynamic
data results in difficulty for the optimization of daily colistin dose. In
conclusion, although colistin seems to be a very reliable alternative for the
management of life-threatening nosocomial infections due to MDR GNB, it should be
emphasized that there is a lack of guidelines regarding the ideal management of
these infections and the appropriate colistin doses in critically ill patients
with and without multiple organ failure.
PMID- 21906383
TI - The challenge of admitting the very elderly to intensive care.
AB - The aging of the population has increased the demand for healthcare resources.
The number of patients aged 80 years and older admitted to the intensive care
unit (ICU) increased during the past decade, as has the intensity of care for
such patients. Yet, many physicians remain reluctant to admit the oldest, arguing
a "squandering" of societal resources, that ICU care could be deleterious, or
that ICU care may not actually be what the patient or family wants in this
instance. Other ICU physicians are strong advocates for admission of a selected
elderly population. These discrepant opinions may partly be explained by the
current lack of validated criteria to select accurately the patients (of any age)
who will benefit most from ICU hospitalization. This review describes the
epidemiology of the elderly aged 80 years and older admitted in the ICU, their
long-term outcomes, and to discuss some of the solutions to cope with the burden
of an aging population receiving acute care hospitalization.
PMID- 21906384
TI - Drug metabolizing enzyme activities versus genetic variances for drug of clinical
pharmacogenomic relevance.
AB - Enzymes are critically important in the transportation, metabolism, and
clearance of most therapeutic drugs used in clinical practice today. Many of
these enzymes have significant genetic polymorphisms that affect the enzyme's
rate kinetics. Regarding drug metabolism, specific polymorphisms to the
cytochrome (CYP) P450 enzyme family are linked to phenotypes that describe
reaction rates as "ultra", "intermediate", and "poor," as referenced to
"extensive" metabolizers that are assigned to wildtype individuals. Activity
scores is an alternate designation that provides more genotype-to-phenotype
resolution. Understanding the relative change in enzyme activities or rate of
clearance of specific drugs relative to an individual's genotypes is an important
component in the interpretation of pharmacogenomic data for personalized
medicine. Currently, the most relevant drug metabolizing enzymes are CYP 2D6, CYP
2C9, CYP 2C19, thiopurine methyltransferase (TPMT) and UDP
glucuronosyltransferase (UGT). Each of these enzymes is reactive to a host of
different drug substrates. Pharmacogenomic tests that are in routine clinical
practice include CYP 2C19 for clopidogrel, TPMT for thiopurine drugs, and UDP-1A1
for irinotecan. Other tests where there is considerable data but have not been
widely implemented includes CYP 2C9 for warfarin, CYP 2D6 for tamoxifen and
codeine, and CYP 2C19 for the proton pump inhibitors.
PMID- 21906385
TI - Variability in the pediatric intensivists' threshold for withdrawal/limitation of
life support as perceived by bedside nurses: a multicenter survey study.
AB - BACKGROUND: We hypothesized that bedside nurses perceive significant variability
in the pediatric intensivist thresholds for approaching a family about
withdrawal/limitation of life-sustaining therapy. METHODS: All nurses working in
four university-affiliated medical-surgical pediatric intensive care units
staffed by 11, 7, 6, and 5 intensivists with 36, 18, 10, and 8 beds were sent
three mailings of a survey asking questions about intensivist decisions for
withdrawal/limitation of life-sustaining therapy. Responses were tabulated; chi
square compared results among centers; a p < 0.05 after Bonferroni correction was
significant. RESULTS: The response rate was 205 of 415 (49%); 152 of 205 (74%)
disagreed with the statement that each of the intensivists had the same threshold
for approaching a family to suggest withdrawal/limitation of life-sustaining
therapy, with no significant difference between centers. Also, 110 of 205 (54%)
and 119 of 205 (58%) disagreed with the statement that each intensivist has the
same threshold of the patient's chance for survival or projected quality of life
when making a decision to withdraw/limit life-sustaining therapy with no
significant difference between centers. The threshold to suggest withdraw/limit
life-sustaining therapy based on chance of survival or projected quality of life
differs between intensivists by at least 10% according to 113 of 184 (61%) and
121 of 184 (66%) nurses; the two larger centers had significantly higher
difference among intensivists for projected quality of life. Fifty-five of 200
(27%) disagreed with the statement that they would have equal confidence in each
intensivist accepting a recommendation for withdrawal/limitation of life
sustaining therapy for their own child, with no difference between centers.
CONCLUSIONS: Bedside pediatric intensive care unit nurses in this multicenter
Canadian study perceive wide variability in intensivist thresholds for
approaching a family to suggest withdrawal/limitation of life-sustaining therapy.
PMID- 21906386
TI - Sensitivity to electrical stimulation of human immunodeficiency virus type 1 and
MAGIC-5 cells.
AB - To determine the sensitivities to low electrical potential of human
immunodeficiency virus type 1 (HIV-1) and its target cells, HIV-1 and MAGIC-5
cells were directly stimulated with a constant direct current potential of 1.0 V
(vs. Ag/AgCl). HIV-1 was incubated for 3 h at 37 degrees C on a poly-L-lysine
coated indium-tin oxide electrode, and then stimulated by an electrical
potential. MAGIC-5 cells were seeded onto the electrically stimulated HIV-1 and
cultured for 3 days at 37 degrees C. HIV-1-infected cells were measured by
multinuclear activation via a galactosidase indicator assay. MAGIC-5 cells were
also stimulated by an electrical potential of 1.0 V; cell damage, proliferation
and apoptosis were evaluated by trypan blue staining, cell counting and in situ
apoptosis detection, respectively. HIV-1 was found to be damaged to a greater
extent by electrical stimulation than the cells. In particular, after application
of a 1.0-V potential for 3 min, HIV-1LAI and HIV-1KMT infection were inhibited by
about 90%, but changes in cell damage, proliferation and apoptosis were virtually
undetectable. These results suggested that HIV-1 is significantly more
susceptible to low electrical potential than cells. This finding could form the
basis of a novel therapeutic strategy against HIV-1 infection.
PMID- 21906387
TI - Septic AKI in ICU patients. diagnosis, pathophysiology, and treatment type,
dosing, and timing: a comprehensive review of recent and future developments.
AB - Evidence is accumulating showing that septic acute kidney injury (AKI) is
different from non-septic AKI. Specifically, a large body of research points to
apoptotic processes underlying septic AKI. Unravelling the complex and
intertwined apoptotic and immuno-inflammatory pathways at the cellular level will
undoubtedly create new and exciting perspectives for the future development
(e.g., caspase inhibition) or refinement (specific vasopressor use) of
therapeutic strategies. Shock complicating sepsis may cause more AKI but also
will render treatment of this condition in an hemodynamically unstable patient
more difficult. Expert opinion, along with the aggregated results of two recent
large randomized trials, favors continuous renal replacement therapy (CRRT) as
preferential treatment for septic AKI (hemodynamically unstable). It is suggested
that this approach might decrease the need for subsequent chronic dialysis. Large
scale introduction of citrate as an anticoagulant most likely will change CRRT
management in intensive care units (ICU), because it not only significantly
increases filter lifespan but also better preserves filter porosity. A possible
role of citrate in reducing mortality and morbidity, mainly in surgical ICU
patients, remains to be proven. Also, citrate administration in the predilution
mode appears to be safe and exempt of relevant side effects, yet still requires
rigorous monitoring. Current consensus exists about using a CRRT dose of 25
ml/kg/h in non-septic AKI. However, because patients should not be undertreated,
this implies that doses as high as 30 to 35 ml/kg/h must be prescribed to account
for eventual treatment interruptions. Awaiting results from large, ongoing
trials, 35 ml/kg/h should remain the standard dose in septic AKI, particularly
when shock is present. To date, exact timing of CRRT is not well defined. A
widely accepted composite definition of timing is needed before an appropriate
study challenging this major issue can be launched.
PMID- 21906388
TI - Clinical detection and monitoring of acute pulmonary embolism: proof of concept
of a computer-based method.
AB - BACKGROUND: The diagnostic ability of computer-based methods for cardiovascular
system (CVS) monitoring offers significant clinical potential. This research
tests the clinical applicability of a newly improved computer-based method for
the proof of concept case of tracking changes in important hemodynamic indices
due to the influence acute pulmonary embolism (APE). METHODS: Hemodynamic
measurements from a porcine model of APE were used to validate the method. Of
these measurements, only those that are clinically available or inferable were
used in to identify pig-specific computer models of the CVS, including the aortic
and pulmonary artery pressure, stroke volume, heart rate, global end diastolic
volume, and mitral and tricuspid valve closure times. Changes in the computer
derived parameters were analyzed and compared with experimental metrics and
clinical indices to assess the clinical applicability of the technique and its
ability to track the disease state. RESULTS: The subject-specific computer models
accurately captured the increase in pulmonary resistance (Rpul), the main
cardiovascular consequence of APE, in all five pigs trials, which related well
(R2 = 0.81) with the experimentally derived pulmonary vascular resistance. An
increase in right ventricular contractility was identified, as expected,
consistent with known reflex responses to APE. Furthermore, the modeled right
ventricular expansion index (the ratio of right to left ventricular end diastolic
volumes) closely followed the trends seen in the measured data (R2 = 0.92) used
for validation, with sharp increases seen in the metric for the two pigs in a
near-death state. These results show that the pig-specific models are capable of
tracking disease-dependent changes in pulmonary resistance (afterload), right
ventricular contractility (inotropy), and ventricular loading (preload) during
induced APE. Continuous, accurate estimation of these fundamental metrics of
cardiovascular status can help to assist clinicians with diagnosis, monitoring,
and therapy-based decisions in an intensive care environment. Furthermore,
because the method only uses measurements already available in the ICU, it can be
implemented with no added risk to the patient and little extra cost. CONCLUSIONS:
This computer-based monitoring method shows potential for real-time, continuous
diagnosis and monitoring of acute CVS dysfunction in critically ill patients.
PMID- 21906389
TI - Characteristics of Chinese patients with cough in primary care centre.
AB - BACKGROUND: Cough is one of the most common respiratory symptoms and is well
characterized in specialized cough clinics with high success rates of diagnosis
and treatment. However, there is a paucity of data regarding cough in primary
care settings. The present study aimed at investigating clinical epidemiology of
cough through a national study of two questionnaire surveys sent to primary care
physicians in China. METHODS: Approximately 18,000 subjects recruited were having
daytime or night symptoms of cough and diagnoses of respiratory disease from
February 2005 to April 2006 as Survey 1 and from June 2007 to December 2007 as
Survey 2. Patients suffering from respiratory malignancy, hyperthyroidism,
hypertension, heart disease, diabetes, severe hypohepatia or renal dysfunction,
pregnancy, possible pregnancy or lactation, neutropenia were not eligible.
Information regarding demography, history of allergies, symptomatic profile,
treatment and curative effects for cough was elicited. RESULTS: 8216
questionnaires were collected in Survey 1 and 9711 in Survey 2. The mean values
of ages were 25.7 and 22.3 years old, respectively. Symptoms included
expectoration (74% and 76%), wheeze (59% and 74%), breathlessness (22% and 26%),
chest pain (9% and 13%) and fever (15% and 18%). About 15% and 23% patients had
hypersusceptibility, of whom 6% to 17% had a family history. More than 50% of the
cases had histories of allergic rhinitis, asthma, conjunctivitis or atopic
dermatitis. Asthma, COPD, and bronchitis were dominant etiologies of cough.
Procaterol or the combination of antibiotics and steroids were used as the
treatment. CONCLUSION: Causes and outcomes of cough differed with ages and time
in this particular national study, while successful and precise diagnosis and
management of cough in primary care settings need to be further improved in
China.
PMID- 21906390
TI - High frequency chest wall oscillation for asthma and chronic obstructive
pulmonary disease exacerbations: a randomized sham-controlled clinical trial.
AB - BACKGROUND: High frequency chest wall oscillation (HFCWO) is used for airway
mucus clearance. The objective of this study was to evaluate the use of HFCWO
early in the treatment of adults hospitalized for acute asthma or chronic
obstructive pulmonary disease (COPD). METHODS: Randomized, multi-center, double
masked phase II clinical trial of active or sham treatment initiated within 24
hours of hospital admission for acute asthma or COPD at four academic medical
centers. Patients received active or sham treatment for 15 minutes three times a
day for four treatments. Medical management was standardized across groups. The
primary outcomes were patient adherence to therapy after four treatments (minutes
used/60 minutes prescribed) and satisfaction. Secondary outcomes included change
in Borg dyspnea score (>= 1 unit indicates a clinically significant change),
spontaneously expectorated sputum volume, and forced expired volume in 1 second.
RESULTS: Fifty-two participants were randomized to active (n = 25) or sham (n =
27) treatment. Patient adherence was similarly high in both groups (91% vs. 93%;
p = 0.70). Patient satisfaction was also similarly high in both groups. After
four treatments, a higher proportion of patients in the active treatment group
had a clinically significant improvement in dyspnea (70.8% vs. 42.3%, p = 0.04).
There were no significant differences in other secondary outcomes. CONCLUSIONS:
HFCWO is well tolerated in adults hospitalized for acute asthma or COPD and
significantly improves dyspnea. The high levels of patient satisfaction in both
treatment groups justify the need for sham controls when evaluating the use of
HFCWO on patient-reported outcomes. Additional studies are needed to more fully
evaluate the role of HFCWO in improving in-hospital and post-discharge outcomes
in this population. TRIAL REGISTRATION: ClinicalTrials.gov: NCT00181285.
PMID- 21906391
TI - Effects of calcium channel blockers on glucose tolerance, inflammatory state, and
circulating progenitor cells in non-diabetic patients with essential
hypertension: a comparative study between azelnidipine and amlodipine on glucose
tolerance and endothelial function--a crossover trial (AGENT).
AB - BACKGROUND: Hypertension is associated with impaired glucose tolerance and
insulin resistance. Medical treatment that interferes with various steps in the
renin-angiotensin system improves glucose tolerance and insulin resistance.
However, it remains unclear if long-acting calcium channel blockers (CCBs) such
as azelnidipine and amlodipine affect glucose tolerance and insulin resistance in
clinical practice. METHODS: Seventeen non-diabetic patients with essential
hypertension who had controlled blood pressure levels using amlodipine (5 mg/day)
were enrolled in this study. After randomization, either azelnidipine (16 mg/day)
or amlodipine (5 mg/day) was administered in a crossover design for 12-weeks. At
baseline and the end of each CCB therapy, samples of blood and urine were
collected and 75 g oral glucose tolerance test (OGTT) was performed. In addition,
hematopoietic progenitor cells (HPCs) were measured at each point by flow
cytometry and endothelial functions were measured by fingertip pulse amplitude
tonometry using EndoPAT. RESULTS: Although blood pressure levels were identical
after each CCB treatment, the heart rate significantly decreased after
azelnidipine administration than that after amlodipine administration (P <
0.005). Compared with amlodipine administration, azelnidipine significantly
decreased levels of glucose and insulin 120 min after the 75 g OGTT (both P <
0.05). Serum levels of high-sensitivity C-reactive protein (P = 0.067) and
interleukin-6 (P = 0.035) were decreased. Although endothelial functions were not
different between the two medication groups, the number of circulating HPCs was
significantly increased after azelnidipine administration (P = 0.016).
CONCLUSIONS: These results suggest that azelnidipine treatment may have
beneficial effects on glucose tolerance, insulin sensitivity, the inflammatory
state, and number of circulating progenitor cells in non-diabetic patients with
essential hypertension.
PMID- 21906392
TI - Genome-wide expression assay comparison across frozen and fixed postmortem brain
tissue samples.
AB - BACKGROUND: Gene expression assays have been shown to yield high quality genome
wide data from partially degraded RNA samples. However, these methods have not
yet been applied to postmortem human brain tissue, despite their potential to
overcome poor RNA quality and other technical limitations inherent in many
assays. We compared cDNA-mediated annealing, selection, and ligation (DASL)- and
in vitro transcription (IVT)-based genome-wide expression profiling assays on RNA
samples from artificially degraded reference pools, frozen brain tissue, and
formalin-fixed brain tissue. RESULTS: The DASL-based platform produced expression
results of greater reliability than the IVT-based platform in artificially
degraded reference brain RNA and RNA from frozen tissue-based samples. Although
data associated with a small sample of formalin-fixed RNA samples were poor when
obtained from both assays, the DASL-based platform exhibited greater reliability
in a subset of probes and samples. CONCLUSIONS: Our results suggest that the DASL
based gene expression-profiling platform may confer some advantages on mRNA
assays of the brain over traditional IVT-based methods. We ultimately consider
the implications of these results on investigations of neuropsychiatric
disorders.
PMID- 21906393
TI - Gut flora enhance bacterial clearance in lung through toll-like receptors 4.
AB - BACKGROUND: The influence of the gut flora on lung inflammatory reaction against
bacterial challenge remains undefined. This study was designed to investigate
whether gut flora enhances lung defense against E.coli pneumonia through TLR4
signaling. METHODS: C3H/HeN (WT) mice and C3H/HeJ (TLR4 deficient) mice were
treated with antibiotics in drinking water for 4 weeks to deplete gut commensal
microflora. At week 3, drinking water was supplemented with lipopolysaccharide
(LPS); a ligand for TLR4, to trigger TLRs in intestinal tract. At the end of 4th
week, E.coli was injected to trachea to induce E.coli pneumonia. RESULTS: We
found that commensal depletion by antibiotic pretreatment before E.coli pneumonia
challenge induced a 30% decrease of MPO activity in the lung, a significant
decrease of bacterial killing activity of alveolar macrophage, and bacterial
counts in C3H/HeN mice but not in C3H/HeJ (TLR4 deficient) mice. LPS, a TLR4
ligand, supplementation during antibiotic pretreatment reversed these effects and
decreased E.coli pneumonia-induced mortality in C3H/HeN mice. Furthermore,
commensal depletion induced a suppression of NF-kappaB DNA binding activity and
an increase of KC, MIP-2, IL-1beta expression in the lung in C3H/HeN mice but not
in C3H/HeJ mice. CONCLUSIONS: Taken together with that commensal depletion
increased E.coli pneumonia-induced mortality and LPS supplementation decreased
it, we conclude that gut flora enhances bacterial clearance against E.coli
pneumonia through TLR4.
PMID- 21906394
TI - Serotype and genotype analysis of dengue virus by sequencing followed by
phylogenetic analysis using samples from three mini outbreaks-2007-2009 in
Pakistan.
AB - BACKGROUND: Since the first reported outbreak of dengue hemorrhagic fever in
Pakistan, several mini outbreaks have erupted in the region. Dengue virus
serotype 3 (DEN-3) was first documented in 2005 outbreak in Karachi. Reports show
that serotype 3 is prevalent in Lahore since 2008. Serotype 2 (DEN-2) is the
major circulating serotype in Pakistan as it is documented since 1994. We have
conducted a detailed study of three outbreaks of dengue virus infection that
occurred in years 2007, 2008 and 2009 in Lahore by using molecular techniques
such as PCR and nucleotide sequencing of the C-prM gene junction of Dengue virus.
RESULTS: Through the analysis of 114 serum samples collected over the period of
three years (2007-2009), total 20 patients were found to be infected with dengue
virus. In year 2007, four were positive for serotype 2 and one sample was
positive for serotype DEN-3. In 2008, five samples had concurrent infection with
serotypes DEN-2 and DEN-3 while three samples were infected only with serotype
DEN-2. In year 2009, one sample had concurrent infection with serotypes DEN-2 and
DEN-3 while six were positive for serotype DEN-2 only. CONCLUSIONS: Our study
showed that serotype DEN-2 was dominant in positive samples of dengue virus
infection collected during the period of three years (2007-2009). The other
serotype present was serotype DEN-3. Genotypes of serotype DEN-2 and serotype DEN
3 were subtype IV and subtype III, respectively.
PMID- 21906395
TI - Male predominance of pneumonia and hospitalization in pandemic influenza A (H1N1)
2009 infection.
AB - BACKGROUND: Pandemic influenza A (H1N1) disproportionately affects different age
groups. The purpose of the current study was to describe the age and gender
difference of pandemic influenza A (H1N1) cases that lead to pneumonia,
hospitalization or ICU admission. METHODS: Data were collected retrospectively
between May 2009 and December 2009. All of the diagnoses of H1N1 were confirmed
by real-time reverse-transcription polymerase chain reaction (RT-PCR). RESULTS:
During the study period there were 3402 cases of RT-PCR positive H1N1, among
which 1812 were males and 1626 were adults (> 15 years of age). 6% (206/3402) of
patients required hospitalization, 3.6% (122/3402) had infiltrates on chest
radiographs, and 0.70% (24/3402) were admitted to intensive care unit (ICU). The
overall fatality rate was 0.1% (4/3402). The rate of hospitalization was sharply
increased in patients >= 50 years of age especially in male. Out of 122 pneumonia
patients, 68.8% (84 patients) were male. Among the patients admitted to the ICU,
70.8% (17 patients) were male. Approximately 1 of 10 H1N1-infected patients
admitted to the ICU were >= 70 years of age. CONCLUSIONS: Among the confirmed
cases of H1N1, the ICU admission rate was < 1% and the case fatality rate was
0.1%. Male had a significantly higher rate of pneumonia and hospital admission.
These findings should be taken into consideration when developing vaccination and
treatment strategies.
PMID- 21906396
TI - Point of care technology or standard laboratory service in an emergency
department: is there a difference in time to action? A randomised trial.
AB - BACKGROUND: Emergency Departments (ED) have a high flow of patients and time is
often crucial. New technologies for laboratory analysis have been developed,
including Point of Care Technologies (POCT), which can reduce the transport time
and time of analysis significantly compared with central laboratory services.
However, the question is if the time to clinical action is also reduced if a
decisive laboratory answer is available during the first contact between the
patient and doctor. The present study addresses this question: Does a laboratory
answer, provided by POCT to the doctor who first attends the patient on
admission, change the time to clinical decision in commonly occurring diseases in
an ED compared with the traditional service from a central laboratory? METHODS:
We performed a randomised clinical trial with parallel design and allocation
ratio 1:1. The eligibility Criteria were: All patients referred from General
Practitioner or another referring doctor suspected for a deep venous thrombosis
(DVT), acute coronary syndrome (ACS), acute appendicitis (AA) or acute infection
(ABI). The outcome measure was the time spend from the blood sample was taken to
a clinical decision was made. RESULTS: The study period took place in October-
November 2009 and from February to April 2010. 239 patients were eligible for the
study. There was no difference between the groups suspected for DVT, ACS and AA,
but a significant reduction in time for the ABI group (p:0.009), where the median
time to decision was reduced from 7 hours and 33 minutes to 4 hours and 38
minutes when POCT was used. Only in the confirmation of ABI the time to action
was significantly shorter. CONCLUSIONS: Fast laboratory answers by POCT in an ED
reduce the time to clinical decision significantly for bacterial infections. We
suggest further studies which include a sufficient number of patients on deep
venous thrombosis, acute appendicitis and acute coronary syndrome.
PMID- 21906397
TI - Prolonged survival after splenectomy in Wiskott-Aldrich syndrome: a case report.
AB - Wiskott-Aldrich syndrome is a rare X-linked immunodeficiency disorder that is
characterized by a variable clinical phenotype. Matched donor bone marrow
transplantation is currently the only curative therapeutic option. We present the
case of a 24-year-old male who was diagnosed at the age of seven with Wiskott
Aldrich syndrome. He did not respond to intravenous gammaglobulin and he
experienced recurrent pulmonary infections despite prophylactic antibiotics. The
patient had no matched donor. At the age of nine, he was submitted to splenectomy
and his platelet count was normalized. Fifteen years later, the patient remains
asymptomatic with a normal platelet count. He is still receiving prophylactic
antibiotics and no bleeding episodes or septic complications have been reported.
This case demonstrates that splenectomy can represent a safe therapeutic option
in selected WAS patients, provided that there is a tight follow-up program,
patient education and adherence to guidelines regarding post-splenectomy
prophylaxis.
PMID- 21906398
TI - The impact of surgical outcome after pancreaticoduodenectomy in elderly patients.
AB - BACKGROUND: The elderly population has increased in many countries. Indications
for cancer treatment in elderly patients have expanded, because surgical
techniques and medical management have improved remarkably.
Pancreaticoduodenectomy (PD) requires high-quality techniques and perioperative
management methods. If it is possible for elderly patients to withstand an
aggressive surgery, age should not be considered a contraindication for PD.
Appropriate preoperative evaluation of elderly patients will lead to their safer
management. The purpose of the present study was to evaluate the safety of PD in
patients older than 75 years and to show the influence of advanced age on the
morbidity and mortality associated with this operation. PATIENTS AND METHODS:
Subjects were 98 patients who underwent PD during the time period from April 2005
to April 2011. During this study, 31 patients were 75 years of age or older
(group A), and the other 67 patients were less than 75 years old (group B).
Preoperative demographic and clinical data, surgical procedure, pathologic
diagnosis, postoperative course and complication details were collected
prospectively and they were analyzed in two group. RESULTS: There was no
statistical difference between patient groups in terms of gender, comorbidity,
preoperative drainage, diagnosis, or laboratory data. Preoperative albumin values
were lower in group A (P = 0.04). The mean surgical time in group A was 408.1 +/-
73.47 min. Blood loss and blood transfusion were not significantly different
between both groups. There was no statistical differences in mortality rate (P =
0.14), morbidity rate (P = 0.43), and mean length of hospital stay (P = 0.22)
between both groups. Long-term survival was also no statistically significant
difference between the two groups using the log-rank test (P = 0.10). CONCLUSION:
It cannot be ignored that the elderly population is getting larger. We must
investigate the management of elderly patients after PD and prepare further for
more experiences of PD. If appropriate surgical management is provided to elderly
patients, we suggest that PD will lead to no adverse effects after surgery, and
PD can be performed safely in elderly patients. We conclude that age should not
be a contraindication to PD.
PMID- 21906399
TI - Incidence of pancreatitis, secondary causes, and treatment of patients referred
to a specialty lipid clinic with severe hypertriglyceridemia: a retrospective
cohort study.
AB - BACKGROUND: Severe hypertriglyceridemia (HTG) is one cause of acute pancreatitis,
yet the level of plasma triglycerides likely to be responsible for inducing
pancreatitis has not been clearly defined. METHODS AND RESULTS: A retrospective
cohort study was conducted on patients presenting non-acutely to the Healthy
Heart Program Lipid Clinic at St. Paul's Hospital with a TG level > 20 mM (1772
mg/dl) between 1986 and 2007. Ninety-five patients with TG > 20 mM at the time of
referral were identified, in who follow up data was available for 84. Fifteen
patients (15.8%), with a mean outpatient TG level of 38.1 mM, had a history of
acute pancreatitis. Among 91 additional patients with less severe HTG, none had a
history of pancreatitis when TG were between 10 and 20 mM. Among patients with TG
> 20 mM on presentation, 8 (8.5%), with a mean TG level of 67.8 mM, exhibited
eruptive xanthomata. A diet high in carbohydrates and fats (79%) and obesity
(47.6%) were the two most frequent secondary causes of HTG at initial visit. By
2009, among patients with follow up data 53% exhibited either pre-diabetes or
overt Type 2 diabetes mellitus. Upon referral only 23 patients (24%) were
receiving a fibrate as either monotherapy or part of combination lipid-lowering
therapy. Following initial assessment by a lipid specialist this rose to 84%, and
remained at 67% at the last follow up visit. CONCLUSIONS: These results suggest
hypertriglyceridemia is unlikely to be the primary cause of acute pancreatitis
unless TG levels are > 20 mM, that dysglycemia, a diet high in carbohydrates and
fats, and obesity are the main secondary causes of HTG, and that fibrates are
frequently overlooked as the drug of first choice for severe HTG.
PMID- 21906400
TI - Infrared thermography fails to visualize stimulation-induced meridian-like
structures: comment by Rixin Chen and Zhimai Lv and reply from Gerhard Litscher.
AB - A comment on G. Litscher: Infrared thermography fails to visualize stimulation
induced meridian-like structures. Biomed. Eng. OnLine 2005, 4:38 (15 June 2005),
with a response by the author.
PMID- 21906401
TI - Generation and characterization of an Advillin-Cre driver mouse line.
AB - Progress in the somatosensory field has been restricted by the limited number of
genetic tools available to study gene function in peripheral sensory neurons.
Here we generated a Cre-driver mouse line that expresses Cre-recombinase from the
locus of the sensory neuron specific gene Advillin. These mice displayed almost
exclusive Cre-mediated recombination in all peripheral sensory neurons. As such,
the Advillin-Cre-driver line will be a powerful tool for targeting peripheral
neurons in future investigations.
PMID- 21906402
TI - Cognitive function is associated with risk aversion in community-based older
persons.
AB - BACKGROUND: Emerging data from younger and middle-aged persons suggest that
cognitive ability is negatively associated with risk aversion, but this
association has not been studied among older persons who are at high risk of
experiencing loss of cognitive function. METHODS: Using data from 369 community
dwelling older persons without dementia from the Rush Memory and Aging Project,
an ongoing longitudinal epidemiologic study of aging, we examined the correlates
of risk aversion and tested the hypothesis that cognition is negatively
associated with risk aversion. Global cognition and five specific cognitive
abilities were measured via detailed cognitive testing, and risk aversion was
measured using standard behavioral economics questions in which participants were
asked to choose between a certain monetary payment ($15) versus a gamble in which
they could gain more than $15 or gain nothing; potential gamble gains ranged from
$21.79 to $151.19 with the gain amounts varied randomly over questions. We first
examined the bivariate associations of age, education, sex, income and cognition
with risk aversion. Next, we examined the associations between cognition and risk
aversion via mixed models adjusted for age, sex, education, and income. Finally,
we conducted sensitivity analyses to ensure that our results were not driven by
persons with preclinical cognitive impairment. RESULTS: In bivariate analyses,
sex, education, income and global cognition were associated with risk aversion.
However, in a mixed effect model, only sex (estimate = -1.49, standard error (SE)
= 0.39, p < 0.001) and global cognitive function (estimate = -1.05, standard
error (SE) = 0.34, p < 0.003) were significantly inversely associated with risk
aversion. Thus, a lower level of global cognitive function and female sex were
associated with greater risk aversion. Moreover, performance on four out of the
five cognitive domains was negatively related to risk aversion (i.e., semantic
memory, episodic memory, working memory, and perceptual speed); performance on
visuospatial abilities was not. CONCLUSION: A lower level of cognitive ability
and female sex are associated with greater risk aversion in advanced age.
PMID- 21906403
TI - Decrease in Shiga toxin expression using a minimal inhibitory concentration of
rifampicin followed by bactericidal gentamicin treatment enhances survival of
Escherichia coli O157:H7-infected BALB/c mice.
AB - BACKGROUND: Treatment of Escherichia coli O157:H7 infections with antimicrobial
agents is controversial due to an association with potentially fatal sequelae.
The production of Shiga toxins is believed to be central to the pathogenesis of
this organism. Therefore, decreasing the expression of these toxins prior to
bacterial eradication may provide a safer course of therapy. METHODS: The utility
of decreasing Shiga toxin gene expression in E. coli O157:H7 with rifampicin
prior to bacterial eradication with gentamicin was evaluated in vitro using real
time reverse-transcription polymerase chain reaction. Toxin release from treated
bacterial cells was assayed for with reverse passive latex agglutination. The
effect of this treatment on the survival of E. coli O157:H7-infected BALB/c mice
was also monitored. RESULTS: Transcription of Shiga toxin-encoding genes was
considerably decreased as an effect of treating E. coli O157:H7 in vitro with the
minimum inhibitory concentration (MIC) of rifampicin followed by the minimum
bactericidal concentration (MBC) of gentamicin (> 99% decrease) compared to
treatment with gentamicin alone (50-75% decrease). The release of Shiga toxins
from E. coli O157:H7 incubated with the MIC of rifampicin followed by addition of
the MBC of gentamicin was decreased as well. On the other hand, the highest
survival rate in BALB/c mice infected with E. coli O157:H7 was observed in those
treated with the in vivo MIC equivalent dose of rifampicin followed by the in
vivo MBC equivalent dose of gentamicin compared to mice treated with gentamicin
or rifampicin alone. CONCLUSIONS: The use of non-lethal expression-inhibitory
doses of antimicrobial agents prior to bactericidal ones in treating E. coli
O157:H7 infection is effective and may be potentially useful in human infections
with this agent in addition to other Shiga toxin producing E. coli strains.
PMID- 21906404
TI - Effectiveness of motivational interviewing and physical activity on prescription
on leisure exercise time in subjects suffering from mild to moderate
hypertension.
AB - BACKGROUND: Physical inactivity is considered to be the strongest individual risk
factor for poor health in Sweden. It has been shown that increased physical
activity can reduce hypertension and the risk of developing cardiovascular
diseases. The objective of the present pilot study was to investigate whether a
combination of Motivational Interviewing (MI) and Physical Activity on
Prescription (PAP) would increase leisure exercise time and subsequently improve
health-related variables. METHODS: This pilot study was of a repeated measures
design, with a 15 months intervention in 31 patients with mild to moderate
hypertension. Primary outcome parameter was leisure exercise time and secondary
outcome parameters were changes in blood pressure, Body Mass Index (BMI), waist
circumference, lipid status, glycosylated haemoglobin (HbA1c) and maximal oxygen
uptake (VO2 max). Assessments of the outcome parameters were made at baseline and
after 3, 9 and 15 months. RESULTS: Leisure exercise time improved significantly
from < 60 min/week at baseline to a mean activity level of 300 (+/- 165)
minutes/week at 15 months follow up. Furthermore, statistically significant
improvements (p < 0.05) were observed in systolic (-14,5 +/- 8.3 mmHg) and
diastolic blood pressure (-5,1 +/- 5.8 mmHg), heart rate (-4.9 +/- 8.7 beats/min,
weight (-1.2 +/- 3.4 kg) BMI -0.6 +/- 1.2 kg/m2), waist circumference (-3.5 +/-
4.1 cm) as well as in VO2 max (2.94 +/- 3.8 ml/kg and 0.23, +/- 0.34 lit/min)
upon intervention as compared to baseline. CONCLUSIONS: A 15 month intervention
period with MI, in combination with PAP, significantly increased leisure exercise
time and improved health-related variables in hypertensive patients. This outcome
warrants further research to investigate the efficacy of MI and PAP in the
treatment of mild to moderate hypertension.
PMID- 21906405
TI - Impact of perinatal prebiotic consumption on gestating mice and their offspring:
a preliminary report.
AB - To assess the impact of prebiotic supplementation during gestation and fetal and
early neonatal life, gestating BALB/cj dam mice were fed either a control or a
prebiotic (galacto-oligosaccharides-inulin, 9:1 ratio)-enriched diet throughout
pregnancy and lactation, and allowed to nurse their pups until weaning. At the
time of weaning, male offspring mice were separated from their mothers, weaned to
the same solid diet as their dam and their growth was monitored until killed 48 d
after weaning. Prebiotic treatment affected neither the body-weight gain nor the
food intake of pregnant mice. In contrast, at the time of weaning, pups that had
been nursed by prebiotic-fed dams had a higher body weight (11.0 (se 1.2) g) than
pups born from control dams (9.8 (se 0.9) g). At 48 d after weaning,
significantly higher values were observed for colon length and muscle mass in the
offspring of prebiotic-fed dams (1.2 (se 0.1) cm/cm and 5.7 (se 1.8) mg/g,
respectively), compared with control offspring (1.1 (se 0.1) cm/cm and 2.9 (se
0.9) mg/g, respectively), without any difference in spleen and stomach weight, or
serum leptin concentration. The present preliminary study suggests that altering
the fibre content of the maternal diet during both pregnancy and lactation
enhances offspring growth, through an effect on intestinal and muscle mass rather
than fat mass accretion.
PMID- 21906406
TI - Regulatory responses to excess zinc ingestion in growing rats.
AB - The growth of weaning piglets is effectively improved by feeding a high-Zn diet
(3000 mg Zn/kg of diet). The present study examined whether feeding a diet
supplemented with Zn (1016-3000 mg/kg) for 10 d induces growth benefits in rats.
In addition, tissue weight, Zn content of tissues and expression of Zn
transporters were examined in these rats. Zn supplementation did not
significantly increase body weight. Breaking line model analyses indicated that
the weight of the pancreas, the organ most sensitive to excess Zn, significantly
decreased with increasing Zn intake beyond 15.2 mg/d. Excess Zn has been
suggested to accumulate in the liver, kidney and bone in order to protect the
pancreas. Zn concentrations in the plasma, liver, kidney and femur increased with
increasing Zn intake up to approximately 30 mg/d, whereas those in the pancreas
increased up to 8.4 mg/d and decreased by Zn intake beyond 8.4 mg/d. The
expression levels of the Zn transporters Zip4 and ZnT1 in the intestinal
epithelium were significantly lower in rats fed a diet supplemented with 1016
mg/kg Zn compared to those fed the basal diet. The present study reveals that (1)
excess Zn intake does not accelerate growth in rats, but is detrimental to the
pancreas, (2) the excess Zn is effectively accumulated in the liver, kidney and
bone, without sufficient protection of the pancreas and (3) expression of Zn
transporters is down-regulated in response to excess Zn intake.
PMID- 21906408
TI - The importance of reducing SFA to limit CHD.
PMID- 21906407
TI - Dietary plasma proteins attenuate the innate immunity response in a mouse model
of acute lung injury.
AB - We examined whether oral plasma protein supplements affect the innate immune
response in a model of acute lung inflammation. Mice were fed diets supplemented
with 8 % spray-dried plasma (SDP) or 2 % plasma Ig concentrate (IC) from day 19
(weaning) until day 34. The mice were challenged with intranasal
lipopolysaccharide (LPS) at day 33 (and killed 24 h later for cytokine and
leucocyte analyses) or at day 34 (and killed 6 h later for cytokine
determinations). In bronchoalveolar lavage fluid (BALF), LPS increased the number
of leucocytes by twenty-sevenfold, an effect that was partly prevented by both
SDP and IC, and by twentyfold the percentage of activated monocytes, which was
partly prevented by SDP. In the lung tissue, LPS increased the infiltrated
leucocytes, and this effect was prevented in part by SDP. In unchallenged mice,
both SDP and IC diets reduced the percentage of resident neutrophils and
monocytes (P < 0.05). In the blood, both SDP and IC completely prevented LPS
dependent monocyte activation (CD14+; P < 0.05). LPS dramatically increased the
concentration of cytokines (TNF-alpha, IL-1alpha, IL-6, granulocyte-macrophage
colony-stimulating factor and granulocyte colony-stimulating factor) and
chemokines (CXCL1, CCL2, CCL3 and CCL4) in BALF. The acute response of cytokine
production was reduced by 20-80 % by both SDP and IC. For chemokines, plasma
supplements had no effect on LPS-induced CXCL1 expression but significantly
reduced CCL2, CCL3 and CCL4 production (P < 0.05). The results support the view
that dietary plasma proteins can be used to attenuate endotoxin-associated lung
inflammation.
PMID- 21906409
TI - The first dominant co-circulation of both dengue and chikungunya viruses during
the post-monsoon period of 2010 in Delhi, India.
AB - Independent outbreaks of dengue virus (DENV) infection and sporadic cases of
chikungunya virus (CHIKV) have been recorded in the metropolitan city of Delhi on
several occasions in the past. However, during a recent 2010 arboviral outbreak
in Delhi many cases turned negative for DENV. This prompted us to use duplex
reverse transcriptase-polymerase chain reaction (D-RT-PCR) to establish the
aetiology of dengue/chikungunya through sequencing of CprM and E1 genes of dengue
and chikungunya viruses. Interestingly, for the first time, both DENV and CHIKV
co-circulated simultaneously and in equally dominant proportion during the post
monsoon period of 2010. DENV-1 genotype III and the East Central South African
genotype of CHIKV were associated with post-monsoon spread of these viruses.
PMID- 21906411
TI - Meteorological factors and El Nino Southern Oscillation are independently
associated with dengue infections.
AB - Our objective was to determine the association between temperature, humidity,
rainfall and dengue activity in Singapore, after taking into account lag periods
as well as long-term climate variability such as the El Nino Southern Oscillation
Index (SOI). We used a Poisson model which allowed for autocorrelation and
overdispersion in the data. We found weekly mean temperature and mean relative
humidity as well as SOI to be significantly and independently associated with
dengue notifications. There was an interaction effect by periods of dengue
outbreaks, but periods where El Nino was present did not moderate the
relationship between humidity and temperature with dengue notifications. Our
results help to understand the temporal trends of dengue in Singapore, and
further reinforce the findings that meteorological factors are important in the
epidemiology of dengue.
PMID- 21906410
TI - The incidence of varicella and herpes zoster in Taiwan during a period of
increasing varicella vaccine coverage, 2000-2008.
AB - The introduction and the widespread use of the varicella vaccine in Taiwan has
led to a 75-80% decrease in the incidence of varicella in children. However the
vaccine's long-term impact on the incidence of herpes zoster (HZ) has attracted
attention. By controlling gender, underlying diseases, and age effects, a Poisson
regression was applied on the 2000-2008 chart records of 240 000 randomly
selected residents who enrolled in the Universal National Health Insurance. The
results show that, as the vaccine coverage in children increases, the incidence
of varicella decreases. However, the incidence of HZ increased even before the
implementation of the free varicella vaccination programme in 2004, particularly
in females. The increase in the incidence of HZ cannot be entirely and directly
attributed to the widespread vaccination of children. Continuous monitoring is
needed to understand the secular trends in HZ before and after varicella
vaccination in Taiwan and in other countries.
PMID- 21906412
TI - Rapid assessment of influenza vaccine effectiveness: analysis of an internet
based cohort.
AB - The effectiveness of influenza vaccination programmes is seldom known during an
epidemic. We developed an internet-based system to record influenza-like symptoms
and response to infection in a participating cohort. Using self-reports of
influenza-like symptoms and of influenza vaccine history and uptake, we estimated
vaccine effectiveness (VE) without the need for individuals to seek healthcare.
We found that vaccination with the 2010 seasonal influenza vaccine was
significantly protective against influenza-like illness (ILI) during the 2010
2011 influenza season (VE 52%, 95% CI 27-68). VE for individuals who received
both the 2010 seasonal and 2009 pandemic influenza vaccines was 59% (95% CI 27
77), slightly higher than VE for those vaccinated in 2010 alone (VE 46%, 95% CI 9
68). Vaccinated individuals with ILI reported taking less time off work than
unvaccinated individuals with ILI (3.4 days vs. 5.3 days, P<0.001).
PMID- 21906413
TI - M-protein gene-type distribution and hyaluronic acid capsule in group A
Streptococcus clinical isolates in Chile: association of emm gene markers with
csrR alleles.
AB - Streptococcus pyogenes causes a variety of infections because of virulence
factors such as capsular hyaluronic acid and M protein. The aim of this study was
to determine emm types and capsule phenotype in 110 isolates of S. pyogenes from
patients with invasive (sterile sites) and non-invasive (mainly pharyngitis)
infections in Chile, and the relationship between both virulence factors. The
most abundant types found were emm12, emm1, emm4 and emm28 and their distribution
was similar to that seen in Latin America and developed countries, but very
different from that in Asia and Pacific Island countries. Ten of 16 emm types
identified in pharyngeal isolates were found in sterile-site isolates, and three
of nine emm types of sterile-site isolates occurred in pharyngeal isolates; three
emm subtypes were novel. The amount of hyaluronic acid was significantly higher
in sterile-site isolates but did not differ substantially among emm types. Only
three isolates were markedly capsulate and two of them had mutations in the csrR
gene that codes for a repressor of capsule synthesis genes. We found a non-random
association between emm types and csrR gene alleles suggesting that horizontal
gene transfer is not freely occurring in the population.
PMID- 21906414
TI - Risk factors for 30-day mortality in adult patients with pneumococcal
bacteraemia, and the impact of antimicrobial resistance on clinical outcomes.
AB - The clinical impact of antimicrobial resistance on the outcome of pneumococcal
bacteraemia has remained unclear. This study aimed to evaluate risk factors for
mortality and determine the impact of antimicrobial resistance on clinical
outcomes. A total of 150 adult patients with pneumococcal bacteraemia were
identified over a period of 11 years at Seoul National University Hospital. Of
the 150 patients, 122 (81.3%) had penicillin-susceptible (Pen-S) strains and 28
(18.7%) penicillin-non-susceptible (Pen-NS) strains; 43 (28.7%) had erythromycin
susceptible (EM-S) strains and 107 (71.3%) erythromycin-non-susceptible (EM-NS)
strains. On multivariate analysis, elevated APACHE II score [odds ratio (OR)
1.24, 95% confidence interval (CI) 1.14-1.34, P<0.001) and presence of solid
organ tumour (OR 2.99, 95% CI 1.15-7.80, P=0.025) were independent risk factors
for mortality. Neither erythromycin resistance nor penicillin resistance had a
significant effect on clinical outcomes. However, for the 76 patients with
pneumococcal pneumonia, the time required for defervescence was significantly
longer in the EM-NS group than in the EM-S group (5.45 +/- 4.39 vs. 2.93 +/-
2.56, P=0.03 by log rank test). In conclusion, antimicrobial resistance does not
have an effect on mortality in adult patients with pneumococcal bacteraemia.
PMID- 21906415
TI - Zoonotic bacteria, antimicrobial use and antimicrobial resistance in ornamental
fish: a systematic review of the existing research and survey of aquaculture
allied professionals.
AB - Using systematic review methodology, global research reporting the frequency of
zoonotic bacterial pathogens, antimicrobial use (AMU) and antimicrobial
resistance (AMR) in ornamental fish, and human illness due to exposure to
ornamental fish, was examined. A survey was performed to elicit opinions of
aquaculture-allied personnel on the frequency of AMU and AMR in ornamental fish.
The most commonly reported sporadic human infections were associated with
Mycobacterium marinum, while Salmonella Paratyphi B var. Java was implicated in
all reported outbreaks. Aeromonas spp. were most frequently investigated (n=10
studies) in 25 studies surveying ornamental fish from various sources. High
levels of resistance were reported to amoxicillin, penicillin, tetracycline and
oxytetracycline, which was also in agreement with the survey respondents' views.
Studies on AMU were not found in our review. Survey respondents reported frequent
use of quinolones, followed by tetracyclines, nitrofurans, and aminoglycosides.
Recommendations for future surveillance and public education efforts are
presented.
PMID- 21906416
TI - Effects of climatic elements on Campylobacter-contaminated chicken products in
Japan.
AB - Japanese weather data for areas that produced Campylobacter spp.-positive chicken
products were compared with those for areas producing negative samples. Regarding
samples produced during the period of rising temperature (spring and summer), the
mean weekly air temperatures for Campylobacter-positive samples were higher than
those for negative samples for the period of the week in which the samples were
purchased (18.7 degrees C vs. 13.1 degrees C, P = 0.006) to a 12-week lag (12
weeks before purchasing samples; 7.9 degrees C vs. 3.4 degrees C, P = 0.009).
Significant differences in weekly mean minimum humidity and sunshine duration per
day were also observed for 1- and 2-week lag periods. We postulated that the high
air temperature, high humidity and short duration of sunshine for the chicken
rearing period increased Campylobacter colonization in chickens during the period
of rising temperature. Consequently, the number of Campylobacter-contaminated
chicken products on the market in Japan may fluctuate because of the climatic
conditions to which reared chickens are exposed.
PMID- 21906417
TI - Seasonality--still confusing.
PMID- 21906418
TI - Comprehensive comparison of various techniques for the analysis of elemental
distributions in thin films.
AB - The present work shows results on elemental distribution analyses in Cu(In,Ga)Se2
thin films for solar cells performed by use of wavelength-dispersive and energy
dispersive X-ray spectrometry (EDX) in a scanning electron microscope, EDX in a
transmission electron microscope, X-ray photoelectron, angle-dependent soft X-ray
emission, secondary ion-mass (SIMS), time-of-flight SIMS, sputtered neutral mass,
glow-discharge optical emission and glow-discharge mass, Auger electron, and
Rutherford backscattering spectrometry, by use of scanning Auger electron
microscopy, Raman depth profiling, and Raman mapping, as well as by use of
elastic recoil detection analysis, grazing-incidence X-ray and electron
backscatter diffraction, and grazing-incidence X-ray fluorescence analysis. The
Cu(In,Ga)Se2 thin films used for the present comparison were produced during the
same identical deposition run and exhibit thicknesses of about 2 MUm. The
analysis techniques were compared with respect to their spatial and depth
resolutions, measuring speeds, availabilities, and detection limits.
PMID- 21906420
TI - An enhancement of the firing activity of dopamine neurons as a common denominator
of antidepressant treatments?
PMID- 21906419
TI - Acute D-serine treatment produces antidepressant-like effects in rodents.
AB - Research suggests that dysfunctional glutamatergic signalling may contribute to
depression, a debilitating mood disorder affecting millions of individuals
worldwide. Ketamine, a N-methyl-D-aspartate (NMDA) receptor antagonist, exerts
rapid antidepressant effects in approximately 70% of patients. Glutamate evokes
the release of D-serine from astrocytes and neurons, which then acts as a co
agonist and binds at the glycine site on the NR1 subunit of NMDA receptors.
Several studies have implicated glial deficits as one of the underlying facets of
the neurobiology of depression. The present study tested the hypothesis that D
serine modulates behaviours related to depression. The behavioural effects of a
single, acute D-serine administration were examined in several rodent tests of
antidepressant-like effects, including the forced swim test (FST), the female
urine sniffing test (FUST) following serotonin depletion, and the learned
helplessness (LH) paradigm. D-serine significantly reduced immobility in the FST
without affecting general motor function. Both D-serine and ketamine
significantly rescued sexual reward-seeking deficits caused by serotonin
depletion in the FUST. Finally, D-serine reversed LH behaviour, as measured by
escape latency, number of escapes, and percentage of mice developing LH. Mice
lacking NR1 expression in forebrain excitatory neurons exhibited a depression
like phenotype in the same behavioural tests, and did not respond to D-serine
treatment. These findings suggest that D-serine produces antidepressant-like
effects and support the notion of complex glutamatergic dysfunction in
depression. It is unclear whether D-serine has a convergent influence on
downstream synaptic plasticity cascades that may yield a similar therapeutic
profile to NMDA antagonists like ketamine.
PMID- 21906422
TI - Updating the Italian Society for Rheumatology recommendations for biologic
therapy in adult patients with inflammatory rheumatic diseases.
PMID- 21906421
TI - Longitudinal predictors of past-year non-suicidal self-injury and motives among
college students.
AB - BACKGROUND: Non-suicidal self-injury (NSSI) is the deliberate and direct injuring
of body tissue without suicidal intent for purposes not socially sanctioned. Few
studies have examined the correlates of NSSI among young adults. This study aimed
to identify predictors of lifetime and past-year NSSI, and describe motives for
NSSI and disclosure of NSSI to others. METHOD: Interviews were conducted annually
with 1081 students enrolled in the College Life Study, a prospective longitudinal
study conducted at a large public mid-Atlantic university. NSSI characteristics
were assessed at Year 4. Demographic and predictor variables were assessed during
Years 1 to 4. Multivariate logistic regression models were used to identify
correlates of lifetime NSSI and predictors of past-year NSSI. RESULTS: The
prevalence of past-year and lifetime NSSI was 2% and 7% respectively (>70% were
female for both lifetime and past-year NSSI). Seven percent of NSSI cases self
injured once, whereas almost half self-injured six or more times. Independent
predictors of past-year NSSI were maternal depression, non-heterosexual
orientation, affective dysregulation and depression. Independent predictors of
lifetime NSSI were depression, non-heterosexual orientation, paternal depression
and female sex. One in six participants with NSSI had attempted suicide by young
adulthood. The three most commonly reported motives for NSSI were mental
distress, coping and situational stressors. Most (89%) told someone about their
NSSI, most commonly a friend (68%). CONCLUSIONS: This study identified unique
predictors of NSSI, which should help to elucidate its etiology and has
implications for early identification and interventions.
PMID- 21906423
TI - Recommendations for the use of biologic therapy in rheumatoid arthritis: update
from the Italian Society for Rheumatology I. Efficacy.
AB - Given the availability of novel biologic agents for the treatment of rheumatoid
arthritis (RA), various national scientific societies have developed specific
recommendations in order to assist rheumatologists in prescribing these drugs.
The Italian Society for Rheumatology (Societa Italiana di Reumatologia, SIR)
decided to update its recommendations and, to this end, a systematic literature
review was carried out and the evidence derived from it was discussed and
summarised as expert opinions. Levels of evidence, strength of recommendations
and levels of agreement were reported. The recommendations reported are intended
to help prescribing rheumatologists to optimise the use of biologic agents in
patients with RA seen in everyday practice; they are not to be considered as a
regulatory rule.
PMID- 21906424
TI - Recommendations for the use of biologic therapy in rheumatoid arthritis: update
from the Italian Society for Rheumatology II. Safety.
AB - Given the availability of novel biologic agents for the treatment of rheumatoid
arthritis (RA), various national scientific societies have developed specific
recommendations in order to assist rheumatologists in prescribing these drugs.
The Italian Society for Rheumatology (Societa Italiana di Reumatologia, SIR)
decided to update its recommendations, and, to this end, a systematic literature
review was performed and the evidence derived from it was discussed and
summarized as expert opinions. Levels of evidence and strength of recommendations
were reported. The recommendations reported refer to the safety of biologic
agents and are intended to help prescribing rheumatologists to optimise the use
of biologic agents in patients with RA seen in everyday practice; they are not to
be considered as a regulatory rule.
PMID- 21906425
TI - Recommendations for the use of biologic therapy in the treatment of psoriatic
arthritis: update from the Italian Society for Rheumatology.
AB - OBJECTIVES: To update the 2006 Italian Society for Rheumatology recommendations
for the use of biologic (TNF-alpha blocking) agents in the treatment of psoriatic
arthritis (PsA). METHODS: A panel of experts performed a literature search and
identified the items that required updating on the basis of new published data. A
draft of the updated recommendations was circulated to a group of Italian
Rheumatologists with a specific expertise in PsA and in therapy with biologic
agents, and their suggestions were incorporated in the final version. RESULTS: A
consensus was achieved regarding the initiation and the monitoring of anti-TNF
alpha agents in PsA. Inclusion and exclusion criteria were defined and specific
recommendations were made for patients with psoriatic peripheral synovitis,
spondylitis, enthesitis, and dactylitis, respectively. We also specified criteria
for assessment of response to treatment and for withholding and withdrawal of
therapy. CONCLUSIONS: These recommendations may be used for guidance in deciding
which patients with PsA should receive biologic therapy. Further updates of these
recommendations may be published on the basis of the results of new clinical
studies and of data from post-marketing surveillance.
PMID- 21906426
TI - Recommendations for the use of biologic therapy from the Italian Society for
Rheumatology: off-label use.
AB - The advent of biological agents has provided further opportunities to treat
resistant or relapsing rheumatic diseases, with robust data for rheumatoid
arthritis and spondyloarthritis coming from randomised controlled trials. However
there are data also on other rare inflammatory rheumatic diseases even if the
evidence available may be heterogeneous and/or controversial. Another challenging
scenario is represented by diseases that are not uncommon, but that may present
with multiple manifestations and prove resistant to conventional therapies, thus
requiring the use of biological agents. To assist physicians in making correct
therapeutic choices in such cases, the Italian Society for Rheumatology (SIR) has
developed specific recommendations for the use of biological agents in rare
disease or for the off-label use of such agents in refractory inflammatory
disorders.
PMID- 21906427
TI - Ultrasound imaging for the rheumatologist XXXIV. Sonographic assessment of the
painful foot.
AB - The foot is increasingly the focus of attention for rheumatologists when
assessing patients presenting to a clinic and may represent underlying intra
articular inflammatory pathology or involvement of the surrounding soft tissues.
This study describes the correlation between clinical and ultrasound (US)
findings in patients presenting with a variety of rheumatic disorders linked with
foot pain. Poor correlation was found between conventional clinical examination
and US in cases with joint inflammation, tendonitis and, more particularly, those
cases with enthesopathy.
PMID- 21906428
TI - Efficacy of serum angiopoietin-1 measurement in the diagnosis of early rheumatoid
arthritis.
AB - OBJECTIVES: Previous studies showed that angiopoietin-1(Ang-1) expression was
increased in the synovium in early rheumatoid arthritis (RA) patients. The
present study was therefore designed to examine whether determination of serum
Ang-1 might be effective in diagnosis of early RA. METHODS: One hundred and five
serum samples of RA (21 males, 84 females) were studied for serum Ang-1 level.
Serum samples were also collected from other collagen diseases, including 35
cases of SLE, 29 cases of systemic sclerosis, 16 cases of
polymyositis/dermatomyositis. Serum samples were additionally obtained from 34
patients who visited our clinic for evaluation of symmetrical polyarthritis with
morning stiffness. After one year of follow-up, those patients who satisfied the
ACR 1987 classification criteria for RA were defined as 'early RA'. Serum Ang-1
levels were measured by sandwich ELISA using anti-angiopoietin-1 antibodies (both
monoclonal and polyclonal antibodies). Serum anti-CCP antibody and rheumatoid
factor (RF) were measured by ELISA and by laser nepherometry, respectively.
RESULTS: Serum Ang-1 in RA patients was significantly higher than those in other
collagen diseases. Serum Ang-1 levels in 50 normal healthy individuals were 5.8
+/- 0.31 pg/ml (mean +/- SEM). There was no significant difference in CRP and
serum RF at the first visit between early RA patients and non-RA patients,
whereas serum Ang-1 levels at the first visit were significantly higher in early
RA (58.7 +/- 17.9 pg/ml [mean +/- SEM]) than those in non-RA (8.2 +/- 4.5 pg/ml).
ROC analysis revealed that serum Ang-1 (cut-off 23.91 pg/ml) could diagnose early
RA at sensitivity 57.1% and specificity 84.6%, providing comparable area under
the curve (0.71, 95% CI: 0.54-0.88) to that of serum anti-CCP antibody (0.72, 95%
CI: 0.53-0.92). There was no significant correlation between anti-CCP antibody
and Ang-1. CONCLUSIONS: These results indicate that serum Ang-1 is as useful a
marker for the diagnosis of early RA as serum anti-CCP antibody.
PMID- 21906429
TI - Efficacy and safety of ultrasound-guided local injections of etanercept into
entheses of ankylosing spondylitis patients with refractory Achilles enthesitis.
AB - OBJECTIVES: Enthesitis is one of the most common and specific manifestations of
ankylosing spondylitis (AS). However, the treatment of enthesitis is still a
challenge for rheumatologist so far, especially to those AS patients with serious
enthesitis. This study aimed to evaluate the efficacy and safety of ultrasound
(US)-guided local injection of etanercept into entheses of AS patients with
refractory Achilles enthesitis. METHODS: Twelve AS patients with severe
unilateral refractory Achilles enthesitis were enrolled. Among them, 5 patients
received US-guided local injection of etanercept and the other 7 received
betamethasone. BASDAI, BASFI, VAS of the affected heel, the percentage of ASAS20
responders, CRP, ESR and any adverse events were recorded at baseline, 2, 4, 8
and 12 weeks after the treatment. US was also used to evaluate the corresponding
entheses at baseline and every follow-up visit. RESULTS: The BASDAI, BASFI, VAS
of the affected heel, CRP, ESR, the percentage of ASAS20 responders and the
regional blood flow signals detected by colour Doppler were all improved in both
the etanercept group and the betamethasone group, at each follow-up visit
compared to the baseline data (p<0.05). There were no significant differences in
the improvements of any of the above parameters between the two groups (p>0.05).
In addition, there were no adverse events from the etanercept group, except for
the mild acid bilges feeling during the injection procedure, while 1 patient from
the betamethasone group developed mild local atrophoderma since the week 4 follow
up visit and the atrophoderma kept stable at the 2 subsequent visits.
CONCLUSIONS: US-guided local injection of etanercept might be a highly effective,
safe and well tolerated treatment for Achilles enthesitis in patients with AS.
PMID- 21906430
TI - Advanced glycation end products affect growth and function of osteoblasts.
AB - OBJECTIVES: Advanced glycation end products (AGEs) have been implicated in the
pathogenesis of bone-destructive disorders. Yet reports on the influence of AGEs
on human osteoblasts remain lacking. The aim of the study is to investigate the
influence of AGE-modified bovine serum albumin (AGE-BSA) on cell growth and
expression of osteoblastic markers associated with osteogenesis and
osteoclastogenesis. METHODS: Human osteoblasts established from bone tissue
specimens were stimulated with AGE-BSA and investigated in vitro. Expression of
mRNA for the receptor for AGEs (RAGE), nuclear factor kappa B subunit p65
(NFkappaB p65), tumour necrosis factor alpha (TNF-alpha), matrix metallo
proteinase-1 (MMP-1), receptor activator of NFkappaB ligand (RANKL),
osteoprotegerin, collagen type I (Col1), osteocalcin (OC) and alkaline
phosphatase (ALP) were measured using real-time polymerase chain reaction (PCR).
Respective protein expressions were evaluated by western blot analysis or ELISA.
NFkappaB activation was investigated by luciferase assay and electrophoretic
mobility shift assay (EMSA). Cell cycle analysis, cell proliferation and markers
of necrosis and early apoptosis were assessed. RESULTS: AGE-BSA was actively
taken up into osteoblasts and induced cell cycle arrest and an increase in
necrotic, but not apoptotic cells. The increased expression of RAGE and TNF-alpha
together with NFkappaB activation indicates an AGE-mediated inflammatory
response. The decreased expression of Col1, OC and ALP presumably reflects a
diminished osteogenic potential, whereas upregulation of RANKL and TNF-alpha
enhances osteoclastogenesis. CONCLUSIONS: The present study demonstrates that AGE
BSA affects the growth and function of osteoblasts. Modulation of the expression
of various target genes involved in bone metabolism provides evidence that AGEs
accumulated in the bone matrix have the potential to suppress osteogenic and to
promote osteoclastogenic properties of osteoblasts in vivo, thereby leading to
functional and structural impairment of bone.
PMID- 21906431
TI - The European ankylosing spondylitis infliximab cohort (EASIC): a European
multicentre study of long term outcomes in patients with ankylosing spondylitis
treated with infliximab.
AB - OBJECTIVES: To study the long-term efficacy and safety of treatment with
infliximab in patients with ankylosing spondylitis (AS) in a real life setting.
METHODS: AS patients from 6 European countries who had finished the 2-year trial
ASSERT were invited to participate in the open- label investigator-driven study
EASIC. At baseline, 2 groups were formed: patients of group 1 had not been
treated with infliximab after ASSERT, while those of group 2 had continuously
received it. Patients of group 1 were further subdivided in group 1a: patients
with a relapse and 1b: in remission. All patients of group 1a and 2 continuously
received infliximab for 96 weeks, mean dose 5 mg/kg, intervals 6-8 weeks.
Patients of group 1b were also treated in case of relapse. RESULTS: A total of
103/149 patients (69%) were included in EASIC, 1.3 +/- 0.9 years after the end of
ASSERT: 9 in group 1a, 5 in group 1b and 89 in group 2. Most patients were male
(83%), mean age 44 years. Most patients of group 2 completed the trial (86%) vs.
only 5 of group 1 (33%) - mostly due to allergic reactions after readministration
of infliximab. In total, there were 22 drop-outs due to 6 adverse events, 4 lack
of efficacy, 3 planned pregnancy. All standard assessments indicated beneficial
values over time, at week 96 significantly better than at baseline of ASSERT.
CONCLUSIONS: The majority of patients were continuously and successfully treated
with infliximab for 5 years, whereas discontinuation and reintroduction of
therapy was less satisfactory due to the frequent occurrence of hypersensitivity
reactions. Anti-TNF therapy with infliximab proved to be effective and safe on a
long-term basis.
PMID- 21906432
TI - Lack of association of NAMPT rs9770242 and rs59744560 polymorphisms with disease
susceptibility and cardiovascular risk in patients with rheumatoid arthritis.
AB - OBJECTIVES: Visfatin is an adipokine encoded by the NAMPT (PBEF1) gene. In this
study we assessed the potential association of two NAMPT gene polymorphisms with
disease susceptibility and cardiovascular (CV) risk in patients with rheumatoid
arthritis (RA). METHODS: A total of 1,395 patients fulfilling the 1987 ACR
classification criteria for RA and 1,230 matched controls, were genotyped for the
NAMPT rs9770242 and rs59744560 gene polymorphisms, located within the proximal
promoter, using predesigned TaqMan single nucleotide polymorphism genotyping
assay. Also, HLA-DRB1 genotyping was performed using molecular based methods. In
a second step, 1,196 patients in whom full information was available were
assessed to determine the influence of NAMPT rs9770242 and rs59744560
polymorphisms in the development of CV events. Also, the potential influence of
these polymorphisms in the development of subclinical atherosclerosis was
assessed in a subgroup of patients with no history of CV events by brachial
artery reactivity to determine flow-mediated endothelium-dependent and
endothelium-independent vasodilatation (n=125) and by B-mode ultrasonography to
determine the carotid artery intima-media thickness (n=105). RESULTS: No
statistically significant differences in the allele or genotype frequencies for
the NAMPT gene polymorphisms between RA patients and controls were found. A
modest non significant lower frequency of the minor allele G of rs9770242
polymorphism was observed among patients with CV disease (20.62%) compared to
those without CV disease (22.83%) (p=0.39). Also, a slight nonsignificant lower
frequency of the minor allele T of rs59744560 polymorphism in patients with CV
events (9.81%) compared with those RA patients who did not experience CV disease
(13.07%) (p=0.11) was observed. Likewise, no significant association between the
NAMPT polymorphisms with surrogate markers of subclinical atherosclerosis was
found in patients with RA. CONCLUSIONS: NAMPT rs9770242 and rs59744560
polymorphisms are not markers of disease susceptibility and CV disease in RA.
PMID- 21906433
TI - Rapid growing mycobacteria and TNF-alpha blockers: case report of a fatal lung
infection with Mycobacterium abscessus in a patient treated with infliximab, and
literature review.
AB - Rapid growing mycobacteria pose as a serious emerging threat for patients treated
with TNF-alpha blockers. We report a case of a progressive and fatal pulmonary
infection with M. abscessus in a patient previously treated with infliximab for
Crohn's disease and review seven other previously reported patients. Five out of
eight patients were female. The patients were treated with either anti-TNF-alpha
antibodies or TNF-alpha blocking receptor. The diagnosis of RGM came in the first
6 months after initiation of TNF-alpha blockers in five out eight patients. The
two patients with M. abscessus lung infection died in spite of antibiotic
treatment, whereas the other patients with skin affection and lever affection due
to M. Fortuitum had a resolution of their symptoms with discontinuation of TNF
alpha blockers and antibiotics.
PMID- 21906434
TI - Bacille Calmette Guerin (BCG) can induce Kawasaki disease-like features in
programmed death-1 (PD-1) gene knockout mice.
AB - OBJECTIVES: Various genetic variants of inhibitory immune signals have been
suspected as feasible causes of Kawasaki disease (KD). We investigated the
associative role of programmed death-1 (PD-1) gene in the pathogenesis of KD by
injecting bacilli Calmette Guerin (BCG) to PD-1 gene knockout (PD-1KO) mice.
METHODS: In order to induce KD-like clinical manifestations in young PD-1KO mice,
intradermal injection of the bacilli Calmette Guerin (BCG) was performed twice on
the abdominal skin with a 4-week interval. For defining the role of BCG, heat
shock protein (HSP) 65 was challenged. In addition, Staphylococcus aureus was
adopted as a microorganism that does not contain HSP65 structure. One month after
the second injection, heart, liver, and kidneys were removed and examined.
RESULTS: PD-1KO mice showed KD-like features including prolonged fever for more
than 5 days, erythematous swelling on soles, tail skin desquamation, and
gallbladder (GB) hydrops. Inflammatory cell aggregation and intimal proliferation
in at least more than one coronary artery was found in all PD-1KO mice whereas
scanty coronary lesion was found in wild type (WT) mice. When the PD-1KO mice
were injected twice with HSP65, coronary arterial lesions similar to those seen
after BCG injection were observed. Inflammatory reactions in other organs
including hepatic arteries, renal arteries, and biliary arteries were also
observed in PD-1KO mice. CONCLUSIONS: Our data suggest that PD-1 gene may be one
of the genetic predispositions of KD and antigens containing HSP65 structure
could be a triggering factor of KD by our animal model of KD.
PMID- 21906435
TI - Association of small ubiquitin-like modifier 4 gene polymorphisms with rheumatoid
arthritis in a Tunisian population.
PMID- 21906437
TI - Platelet-rich plasma (PRP) intra-articular ultrasound-guided injections as a
possible treatment for hip osteoarthritis: a pilot study.
PMID- 21906436
TI - Efficacy of rituximab on pulmonary nodulosis occurring or increasing in patients
with rheumatoid arthritis during anti-TNF-?alpha therapy.
PMID- 21906438
TI - Atlanto-axial joint involvement as exclusive manifestation of juvenile idiopathic
arthritis (JIA).
PMID- 21906439
TI - [Bio panning of human stem cell factor(2) mimetic peptides from phage displayed
random peptide library].
AB - OBJECTIVE: To screen human stem cell factor (hSCF) mimetic peptides in vitro with
a phage-display random peptide library. METHODS: Phage clones with high hSCF
receptor (rc-kit/Ig 1-3)-binding activity was screened from phage-displayed
random hepta/dodecapeptide library by phage enzyme-linked immunosorbent assay
(ELISA). Phage single DNA was extracted and sequenced. Four kinds of peptide with
higher c-Kit/Ig 1-3 binding activity were chosen for synthesis and characterized
by using cell proliferation assay with 3-(4,5-dimethylthiazol-2-yl)2,5
diphenyltetrazolium bromide (MTT) method in UT-7 cells. RESULTS: Eleven Ph.D.-C7C
clones and eight Ph.D-12 phage clones with high hSCF receptor-binding activity
were selected from phage-displayed random hepta/dodecapeptide library,
respectively. Sequence analysis showed there were no homologous sequence between
hSCF and these screened mimetic peptides except one homologous sequence DPSPHTH
found in heptapeptide library. All these four synthesized peptides (CE3, CE16,
LE4, and LE20), particularly CE16 and LE20, stimulated UT-7 cell proliferation.
CONCLUSION: Four hSCF mimetic peptides were successfully isolated from phage
displayed random peptide library..
PMID- 21906440
TI - [Effect of endemic fluoride poisoning caused by coal burning on the oxidative
stress in rat testis].
AB - OBJECTIVE: To explore the effect of endemic fluoride poisoning caused by coal
burning on the oxidative stress in rat testis. METHODS: Totally 40 male SD rats
were equally randomized into four groups control group, low fluorosis group,
middle fluorosis group, and high fluorosis group. Rats in all three fluorosis
groups were fed with corn dried by burning coal obtained from endemic fluorosis
areas with high fluoride, and thus the animal models of fluorosis were
established. After 120 and 180 days, all the rats were sacrificed. Testis tissues
were stained with hematoxylin eosin and observed under light microscope. The
malonaldehyde (MDA) content, superoxide dismutase (SOD) activity, total nitric
oxide synthase (TNOS), and inducible nitric oxidase synthase (iNOS) were measured
by biochemical methods in the testis tissues. The content of NaF in testis was
measured by fluorine selective electrode. RESULTS: The rat fluorosis models were
successfully established. The fluoride content in testis was significantly
increased in all the fluorosis groups(P<0.01). Testicular structures were damaged
in all of fluoride groups. The TNOS, iNOS activities, and MDA content of each
fluoride group were significantly higher than that of the control group on day
120 and 180 (P<0.05 or 0.01 ). The TNOS, iNOS activities, and MDA content
significantly increased in a dose dependent manner (P<0.05 or 0.01). The SOD
activities significantly decreased in all the fluoride groups (P<0.05 or 0.01).
CONCLUSIONS: Endemic fluoride poisoning caused by coal burning can cause
disorders in the oxidative system and antioxidative system in rat testis. The
oxidative stress may play an important role in the fluorides induced reproductive
toxicity in male rats.
PMID- 21906441
TI - [Association between the polymorphisms of cluster of differentiation 14 gene
promoters and the susceptibility of multiple organ dysfunction syndrome after
severe chest trauma].
AB - OBJECTIVE: To investigate the polymorphisms of cluster of differentiation
14(CD14)gene promoters and explore whether such polymorphisms are associated with
the susceptibility to multiple organ dysfunction syndrome(MODS) in Chongqing
population. METHODS: The single nucleotide polymorphisms of the promoter region
of CD14 gene at position -1145 and -159 were detected using polymerase chain
reaction-restriction fragment length polymorphism method in 106 patients with
severe chest trauma, among whom 47 were with MODS. RESULTS: Trauma patients
carrying G allele tended to have a higher risk of MODS than those carrying A
allele at position-1145, the MODS scores in trauma patients carrying G allele
were significantly higher than those carrying A allele (P=0.217 for dominant
effect and P=0.037 for recessive effect), and the MODS scores in trauma patients
carrying T allele were significantly higher than those carrying C allele at
position -159 (P=0.048 for dominant effect and P=0.198 for recessive effect). The
genotypes of CD14 gene at positions -1145 and -159 were significantly correlated
with the MODS scores (P=0.043,P=0.046). Compare with single-point mutation,
simultaneous two-point mutation had significantly higher risk of MODS (Pu0.01),
while the difference of MODS scores showed no statistical significance (P=0.239).
CONCLUSION: The polymorphisms of CD14 gene promoters are associated with MODS
after severe chest trauma in Chongqing population.
PMID- 21906442
TI - [Combination of AD5-10 and epirubicin in treating rheumatoid arthritis].
AB - OBJECTIVE: To investigate the mechanism of anti-death receptor 5-10 (AD5-10)
combined with epirubicin in treating rheumatoid arthritis (RA). METHODS: We
detected the cell viability of the fibroblast-like synoviocytes (FLS) from RA
patients with MTT. The expression level of apoptosis signaling pathways protein,
p53, and p21 were evaluated with Western blot. RESULTS: We found that epirubicin,
at different doses, could enhance the effect of AD5-10 on FLS, promoting the
apoptosis of FLS. The expression levels of caspase-3, -8, -9, c-FLIP, Bcl-2, p53,
and p21 in the FLS changed after epirubicin treatment. CONCLUSION: Epirubicin may
coordinate with AD5-10 in inducing FLS apoptosis through affecting the levels of
p53, p21, c-FLIP, and Bcl-2.
PMID- 21906443
TI - [Effect of phospholipomannan of Candida albicans on the production of interleukin
6 and interleukin 8 in monocytes].
AB - OBJECTIVE: To investigate whether Candida albicans-native phospholipomannan (PLM)
induce an inflammation response through Toll-like receptor(TLRe2 in human acute
monocytic leukemia cell line (THP-1) cells. METHODS: Human THP-1 monocytes were
challenged with PLM in vitro. The mRNA expressions of TLR2, TLR4, proinflammatory
cytokine [interleukin(IL)-6], and chemokine (IL-8) were assayed by real time
reverse transcription polymerase chain reaction. The secretions of IL-6 and IL-8
were measured by enzyme-linked immunosorbent assay. The expression of TLR2 was
analyzed with Western blot. RESULTS: PLM increased the mRNA expressions and
secretions of proinflammatory cytokines (IL-6) and chemokines (IL-8) in THP-1
cells (all P=0.0000). PLM up-regulated the mRNA and protein levels of TLR2
(P=0.0000), whereas the mRNA level of TLR4 was not altered. PLM hydrolyzed with
beta-D-mannoside manno hydrolase failed to induce gene and protein expressions of
TLR2, IL-6, and IL-8. Anti-TLRS-neutralizing antibody blocked the PLM-induced
secretions of IL-6 and IL-8 in THP-1 cells (P = 0.0003, P = 0.0010). CONCLUSION:
Canidada albicans-native PLM may contribute to the inflammatory responses during
Candida infection in a TLR2-dependent manner.
PMID- 21906444
TI - [A new animal model of osteonecrosis induced by focal alternative cooling and
heating insults].
AB - OBJECTIVE: To establish a new animal model of osteonecrosis of the femoral
head(ONFH) with improved consistency and incidence of femoral head collapse for
studies on the mechanism of osteonecrosis. and on the assessment of treatment
effectiveness. METHODS: Twenty adult male emus were used. Guide instrumentation
was constructed to position the customized probe just articularly and at the
proximal part of the femoral head. An alternating focal liquid nitrogen freezing
and radiofrequency heating was applied. At 2, 4, 8, 12 and 16 weeks after
surgery, hip magnetic resonance imaging (MRI) was performed. Before the emus were
sacrificed, barium sulfate was infused to lower extremities for microangiography.
The femoral samples were scanned by micro-computed tomography (Micro-CT) and
evaluated histologically. RESULTS: No bird demonstrated signs of infection or
died unexpectedly. Hip MRI showed changes massive edema at the 4th week,
increasingly localized abnormal signals at the 8th'" week, and femoral head
collapse at the 12'h week. Micro-CT scans and histological images at the 16th
week showed human-like osteonecrotic changes with impaired local blood supply.
Bone mineral density of the collapsed head was (380. 31 + 28. 12) mg/cm3 and
trabecular spaces were (0. 86 +/-0.32) mm; both were significantly lower than
those in the control side, which were (415.75 41.28) mg/cm3 and (1. 17 +/- 0. 17)
mm, respectively (P < 0. 05). Bone volume fraction of the collapsed head
was(47.28 +/- 17. 14)% and trabecular thickness was (506. 17 +/- 220. 58) p.m;
both were significantly higher than those at control side, which were (30. 92 +/-
4. 01)% and (325. 50 +/-44. 53) pm, respectively (P <0. 05). The microangiography
at the 16th week showed that vessel volume fraction was (0. 315 +/- 0. 055)% ,
which was significantly higher than the collapsed side [ (0. 142 +/- 0. 059)% ]
(P <0. 05). CONCLUSIONS: The emu model of fem-oral head osteonecrosis was
successfully established using focal alternating cooling and heating insults. The
models, with improved consistency and incidence of femoral head collapse, can be
used in studies on the mechanism of osteonecrosis and on the assessment of
treatment effectiveness.
PMID- 21906445
TI - [Biological features and ultrastructure of human umbilical cord mesenchymal stem
cells].
AB - OBJECTIVE: To isolate and culture human umbilical cord mesenchymal stem cells
(MSCs) and explore their biological features and ultrastructure. METHODS: After
isolating MSCs from the human umbilical cord, the proliferation, cycle, and
apoptosis were observed. The cell ultrastructure was observed under transmission
electron microscope. The cytokines including vascular endothelial growth factor
(VEGF), hepatocyte growth factor (HGF), and insulin-like growth factor-1 (IGF-1)
were detected using enzyme-linked immunosorbent assay. RESULTS: Human umbilical
cord MSCs had fibroblast-like morphology and increased proliferation capability.
Ultrastructural analysis showed that the MSCs had active cellular metabolism and
strong migration and differentiation capabilities. Meanwhile, they could secrete
anti-apoptotic cytokines such as VEGF, IGF-1, and HGF. CONCLUSION: Human
umbilical cord MSCs can secrete many anti-apoptotic cytokine and have good
biological features.
PMID- 21906446
TI - [Application of enriched bone marrow compound with fibrin glue in repairing old
radial bone defect in rabbits].
AB - OBJECTIVE: To explore the feasibility of using enriched bone marrow (BM) compound
with fibrin glue (FG) in repairing old radial bone defect. METHODS: Totally 36
New Zealand rabbits were equally randomized into three groups: simple FG group,
BM+FG group, and enriched BM+FG group. A 1.5-cm segmental bone defect was made at
the left radial in each animal. After one month, the defect was implanted with
the engineered bone. Before implantation, a compound of enriched BM with FG
underwent electron microscopy, long-term culture, and bacteriological culture.
Four, 8, and 12 weeks after operations, the osteogenetic effect was evaluated
using X-ray observation, HE staining, or Van Gieson staining, and a semi
quantitative analysis was performed. RESULTS: Electron microscopy showed enriched
BM were compatible well with FG. No bacterial contamination or oncogenicity was
observed after long-term culture. X-ray showed the repair effectiveness was
significantly higher in BM+FG group and enriched BM+FG group than in simple FG
group. Eight and 12 weeks after surgery, the Yang scores were significantly
higher in enriched BM+FG group than in BM+FG group [(9.348+/-0.364evs.(7.984+/
0.229eiF=40.167iP=0.001; (12.664+/-0.388)vs. (10.584+/-0.836e,
F=20.3647iP=0.004]. In addition, the Yang's scores at bone defects in BM+FG group
and enriched BM+FG group were higher at the 12(th) week than in the 8(th) week.
(F=36.004iP=0.001; F=155.141iP=0.000; respectively)The bone defects were repaired
at varied degrees were histologically observed in BM+FG group and enriched BM+FG
group during the observations. CONCLUSION: Implantation of BM+FG or enriched
BM+FG are effective in repairing old radial bone defects, while simple FG shows
not such effect.
PMID- 21906447
TI - [Expressions of receptor tyrosine kinases mRNA and protein in carcinoma of
bladder].
AB - OBJECTIVE: To detect the expressions of receptor tyrosine kinases (RTKs) mRNA and
protein and to explore potentially promising tumor markers and conceivable drug
target in bladder cancer. METHODS: The expressions of RTKs mRNA and protein in
tissue from invasive urothelial carcinoma of the bladder were examined by real
time quantitative PCR array and cytokine antibody array, with normal bladder
tissue as control. The Results were analyzed using bioinformatic approaches.
RESULTS: The expressions of TGFA, STAB1, SERPINE1, ANGPT2, SPINK5, ANGPTL1,
PROK1, MDK, CXCL9, GRN, RUNX1, VEGFA, and TGFB1 were obviously upregulated in
bladder cancer tissue, while those of EDIL3, PTN, CCL2, PDGFD, FGF13, KITLG,
FGF2, SERPINF1, and TNF were downregulated. ALK, Btk, EphB2, ErbB4, PDGFR-alpha,
ROS, Tie-2, Tyk2, and VEGFR3 were over-expressed in bladder cancer, while FRK,
Fyn, IGF-IR, Insulin R, Itk, JAK1, JAK3, and LCK were low-expressed. CONCLUSION:
Vascular endothelial growth factor/platelet-derived growth factor-targeted
therapies may play an active role in treating carcinoma of bladder.
PMID- 21906448
TI - [Polymorphism of 17 short tandem repeat Loci of Tibetan minority ethnic group
from Lhasa].
AB - OBJECTIVE: To investigate the polymorphism of 17 short tandem repeat (STR) loci
of Tibetan minority ethnic group from Lhasa. METHODS: Blood samples were obtained
from 132 unrelated Tibetan individuals from Lhasa. DNA templates were screened by
home-made AGCU17+1 kit and 3130XL genetic analyzer. Genotyping was performed
using GeneMapper software (version 3.2). RESULTS: The allele frequencies of 17
STR loci ranged 0.0038-0.5720, and the power of discrimination ranged 0.779
0.979, the power of exclusion ranged 0.327-0.737, the polymorphism information
contents ranged 0.538-0.910, and the heterozygosity ranged 0.629-0.871. The
cumulative coupling probability was 3.93 * 10(-20), and the cumulative power of
exclusion was 0.9999995234. Of 17 STR loci, Penta E and D6S1043 had the highest
polymorphism indicators, while TPOX had the lowest. CONCLUSION: The 17 STR loci
used in this study are highly polymorphism in Tibetan minority ethnic group from
Lhasa and fit for the population genetic study and forensic cases.
PMID- 21906449
TI - [Application of melanocytes and bone marrow mesenchymal stem cells in tissue
engineered skin construction].
AB - OBJECTIVE: To explore the method of constructing tissue-engineered skin using
melanocytes and bone marrow mesenchymal stem cells (BMSCs) in vivo. METHODS:
Melanocytes were isolated from human foreskin. BMSCs were isolated from human
bone marrow. Both of them were co-cultured at a ratio of 1:10, and then were
implanted into the collagen membrane to construct the tissue-engineered skin,
which was applied for wound repair in nude mice. The effectiveness of wound
repair and the distribution of melanocytes were evaluated by morphological
observation, in vivo 4,6-diamidino-2-phenylindole, dihydrochloride (DAPI)
fluorescent staining tracing, HE staining, S-100 immunohistochemistry, and
transmission electron microscopy. RESULTS: The wounds were satisfactorily
repaired among the nude mice. The melanocytes were distributed in the skin with
normal structure, as confirmed by DAPI fluorescent staining tracing, HE staining,
S-100 immunohistochemistry, and transmission electron microscopy. CONCLUSION:
Melanocytes and BMSCs, after proper in vitro culture at an appropriate ratio, can
construct the tissue-engineered skin with I type collagen membrane.
PMID- 21906450
TI - [Estrogen induced rat model of uterine leiomyoma].
AB - OBJECTIVE: To establish an appropriate animal model of uterine leiomyoma and to
understand the pathogenesis of this disease. METHODS: Mature female rats were
intramuscularly injected with estradiol benzoate at 200 MUg or 300 MUg twice a
week. After injection for 8 or 10 weeks, the rats were sacrificed. We measured
the serum levels of estrogen (E(2)) and progesterone (P), evaluated ER and PR
expression, and calculated the leiomyoma forming rate and mortality of the rats.
Histological changes were compared between rat uterine leiomyoma and human
uterine leiomyoma with HE staining. The optimal dose and duration of E(2) for
induction of uterine leiomyoma in rat were determined. RESULTS: In the rats
treated with estradiol benzoate 200 MUg for 8 weeks in the serum E(2) level
increased significantly (P<0.01). Uterine nodules were visible in some of the
tested rats. Based on the pathohistological Results , the uterine leiomyoma
developed in the treated rats demonstrated similar features as in human uterine
leiomyoma. The expressions of ER and PR were increased in the leiomyoma tissues.
CONCLUSION: The rat model of uterine leiomyoma can be established by
intramuscular injection of estradiol benzoate at 200 MUg twice per week for 8
weeks, with similar features as those of human uterine leiomyoma. The high
concentrations of ER and PR in uterine tissue might be related with the
development of uterine leiomyoma in animal.
PMID- 21906451
TI - [Prevention of platelet transfusion refractoriness and HLA alloimmunization by
leukocyte filtered platelet transfusion: a meta analysis].
AB - OBJECTIVE: To compare and assess the effectiveness of leukocyte-filtered platelet
and standard platelet concentrates transfusion in preventing platelet transfusion
refractoriness (PTR) and human leukocyte antigen (HLA)-alloimmunization. METHODS:
Randomized controlled trials (RCTs) or quasi-RCTs comparing leukocyte-filtered
platelet with standard platelet concentrates transfusion (up to December 31,
2009) were searched and identified from Medline, EMBASE, The Cochrane Library,
and CBM. A meta-analysis was conducted with Cochrane Collaboration's RevMan 5. 0.
RESULTS: The search identified 558 citations in total, in which 7 articles in
English were finally included in the meta-analysis. The analysis showed that
compared with standard platelet concentrates transfusion, leukocyte-filtered
platelet transfusion significantly decreased PTR [ RR = 0. 59, 95% CI (0. 42, 0.
82) , P = 0. 002 ] and HLA-alloimmunization [ RR = 0. 49,95% CI (0. 33, 0. 74) ,
P =0. 0006]. Subgroup analysis showed that HLA-alloimmunization was significantly
reduced by leukocyte-filtered platelet transfusion among the patients with acute
myelocytic leukemia [ RR =0.42, 95% CI (0.32, 0.56), P <0. 00001], while no
significant difference was detected in patients with acute lymphoblastic leukemia
because of the limited sample size [ RR = 0. 50, 95% CI (0. 10, 2.41) , P =0.
39]. CONCLUSIONS: The current evidence shows that leukocyte-filtered platelet
transfusion can prevent PTR and HLA-alloimmunization more effectively than
standard platelet transfusion. Well-designed large-scale RCTs are still needed to
further confirm this finding.
PMID- 21906453
TI - [Clinical significance of tissue factor and vascular endothelial growth factor
expressions on CD14+ monocytes in patients with non Hodgkin lymphoma].
AB - OBJECTIVE: To explore the values of tissue factor (TF) and vascular endothelial
growth factor (VEGF) expressions on peripheral CD14+ monocytes in disease
assessment, prognosis, and short-term efficacy evaluation of non-Hodgkin lymphoma
(NHL) patients. METHODS: TF and VEGF expressions on CD14+monocytes in 47 NHL
patients (disease group) before chemotherapy and after 4 chemotherapy cycles and
in 30 healthy subjects (control group) were detected by flow cytometry, and the
potential relationship among TF, VEGF, International Prognostic Index (IPI), and
short-term efficacy were analyzed. RESULTS: TF and VEGF expressions on CD14 +
monocytes in disease group were significantly higher than those in control group
( all P <0. 01) and positive correlation was showed between them (r = 0. 708, P =
0.00). TF and VEGF expressions in Ann Arbor stage III and IV (n = 22 and 19) ,
symptomatic (n = 22) , lactate dehydrogenase (LDH) increased (n = 21) , Eastern
Cooperative Oncology Group (ECOG) score 2-4 (n = 12) and extranodal lesions >1 (n
= 16) groups were significantly higher than those in Ann Arbor stage II (an = 6)
, asymptomatic (an =25) , LDH normal (n = 26) , ECOG score 0-1 ( n = 35) and
extranodal lesions ~1 ( na = 31) groups, respectively (all P <0.05). The
expressions of TF and VEGF on CD14 + monocytes in high-risk (n = 7) or high
middle-risk (n = 11) groups were significantly increased compared with low-risk
(n = 15) or low-middle-risk(n = 14) groups, respectively (all P <0. 01). TF and
VEGF expressions in non-remission group before chemotherapy (n = 11) were both
obviously higher than those in remission group (an = 36, all P <0. 01) , and
after chemotherapy their expressions in remission group were significantly lower
than those before chemotherapy (all P <0. 01) , while such significant changes
were not observed in the non-remission group ( all P > 0. 05). CONCLUSION: The
high expressions of TF and VEGF on peripheral CD14 + monocytes can be useful
markers in dis-ease assessment, prognosis evaluation and short-term efficacy
observation of NHL patients.
PMID- 21906452
TI - [Change in body compositions in female patients with human immunodeficiency virus
related lipodystrophy syndrome].
AB - OBJECTIVE: To study the changes of body composition in females patients with
human immunodeficiency virus (HIV)-related lipodystrophy (LD) syndrome (HIV-LD).
METHODS: Totally 25 female patients who were treated in our hospital from January
2002 to December 2009 were divided into LD group and non-LD group based on the
existence of LD. All these patients were receiving highly active antiretroviral
therapy (HAART). In addition, 12 healthy women were set as the controls. Total
and regional body composition were measured by dual X-ray absorptiometry in all
three groups. RESULTS: The fat mass (FM) was correlated negatively with the
duration of HAART (r=-0.431, P=0.029). Multiple linear regression analysis showed
that FM had positive correlation with weight and negative correlation with lean
mass (LM) (r = - 0. 973, P =0. 000). Total, trunk and leg FM were significantly
lower in LD patients than that in controls (P <0.05).Meanwhile, total, trunk and
leg bone mineral contents were statistically lower in LD patients than that in
controls (P <0. 05). Lumbar bone mineral density of LD patients was lower than
that of non-LD patients and controls, and there was significant difference
between LD patients and controls (P = 0. 001). LM of LD patients was higher than
that of non-LD patients but without statistical difference (P > 0. 05).
CONCLUSIONS: The peripheral and central FM and bone mineral contents remarkably
decrease in female patients with HIV-LD. How-ever, HIV-LD patients tend to have
higher LM than non-LD patients. .
PMID- 21906454
TI - [Clinical analysis of anti glomerular basement membrane disease with normal renal
function].
AB - OBJECTIVE: To investigate the clinical and pathologic characteristics of anti
glomerular basement membrane(GBM) disease with normal renal function. METHODS:
The clinical and pathologic data of 6 patients with anti-GBM disease and normal
renal function in Peking Union Medical College Hospital were reviewed
retrospectively. Furthermore, 29 patients with anti-GBM disease and impaired
renal function in the same period in the same hospital were enrolled as the
control group. Factors that may influence the prognosis were analyzed. RESULTS:
Six (17.1%) of all 35 patients maintained normal renal function for 12-133 months
during follow-up. Five patients had microhematuria and proteinuria, one had
pulmonary hemorrhage only, and three manifested as Goodpasture syndrome. Renal
biopsies from 4 patients revealed linear deposition of IgG 2+-3+ along the
glomerular capillary walls by immunofluorescence. As shown by normal light
microscopy, mild mesangial proliferation and crescentic glomerulonephritis with a
large amount of fibrinoid necrosis of glomerular capillary walls were observed in
different patients; however, most pathological changes were mild. Five of these
six patients were treated with immunosuppressive drugs and/or plasma exchange.
Compared with the control group, the 6 patients with normal renal function had
significantly higher hemoglobin[(77.97+/-20.62 vs.(99.67+/-19.80 g/L P=0.024],
lower titers of anti-GBM antibody[(224.34 +/- 145.79 vs.(80.23 +/- 85.73 EU/ml
P=0.027], and lower ratio of glomeruli with crescents[(0.58+/-0.29 vs.(0.17+/
0.27 ,P=0.005]. These 6 patients with normal renal function were followed up for
12-133 months, among whom 4 patients achieved complete remission and 2 had mild
proteinuria and microhematuria. CONCLUSION: Anti-GBM disease with normal renal
function is not uncommon. Most patients have mild pathologic changes and good
prognosis.
PMID- 21906455
TI - [Short term clinical outcomes of laparoscopic fertility preserving radical
hysterectomy in the management of early stage cervical cancer].
AB - OBJECTIVE: To investigate the feasibility and effectiveness of laparoscopic
radical trachelectomy and lymphadenectomy in the treatment of early-stage
cervical cancer. METHODS: The clinical data of 6 patients (stage 1a2 to 1b1), who
underwent laparoscopic fertility-preserving radical operation for cervical cancer
in our department from February 2009 to October 2010, were retrospectively
analyzed in terms of operation duration, intra-operative blood loss,
postoperative pathology, complications, and pregnancy. RESULTS: Both radical
resection of cervical and pelvic lymph node dissection were completed under
laparoscopy, and only the cervical and vaginal cuffs were closed from vagina. The
operation duration ranged 155-210 min (mean: 185 min) and the intra-operative
blood loss was approximately 60-120 ml(mean: 105 ml). The average length of
hospital stay was 18 days without complications, postoperative infection, and
bleeding. Postoperative pathology showed no lymph node metastasis, and no
ligament, blood vessels, vaginal cutting margin, or upper part of cervix was
invaded by tumor cells. During the 8-20-month follow-up, 1 patient had become
pregnant for 4 months and no case experienced tumor recurrence. CONCLUSION:
Laparoscopic fertility-preserving lymphadenectomy and radical trachelectomy is
feasible for patients with early-stage cervical cancer who have strong wish to
have a child.
PMID- 21906456
TI - [Induction of tracheal intubation without muscle relaxant by target controlled
infusion of propofol combined with remifentanil in children].
AB - OBJECTIVE: To observe the safety and feasibility of tracheal intubation by target
controlled infusion of propofol and remifentanil without muscle relaxant in
children. METHODS: Totally 100 4-10-year-old pediatric patients (ASA1) who had
been scheduled for plastic surgery were equally divided into remifentanil group
and control group through computer-generated randomized grouping. In all
patients, five minutes after intravenous administration of atropine 0.01 mg/kg
and midazolam 0.1 mg/kg, propofol was infused at the targeted effect-site
concentration (Ce of 6 MUg/ml. When the intended target Ce of propofol was
reached, the remifentanil group began to be infused with remifentanil at a Ce of
5 ng/ml, and normal saline (0.1 ml/kg) was injected simultaneously. In the
control group remifentanil was replaced by normal saline and rocuronium (0.8
mg/kg) was injected together with the normal saline. After the equilibration of
plasma and the Ce of remifentanil were reached, tracheal intubation was
attempted. The complications during the induction and tracheal intubation were
recorded. The intubating conditions were assessed using a five-point scoring
system based on ease of laryngoscopy, vocal cords position, coughing, jaw
relaxation and limb movement. RESULTS: The success rate of tracheal intubation
was in 90% in remifentanil group and 98% in the control group
(P=0.122).CONCLUSION Target-controlled infusion of propofol and remifentanil at
Ce of 6 MUg/ml and 5 ng/ml is feasible for the induction and tracheal intubation
without muscle relaxant in children.
PMID- 21906457
TI - [Preventive efficacy of ondansetron and granisetron for postoperative nausea and
vomiting in high risk patients].
AB - OBJECTIVE: To compare the efficacy of ondansetron and granisetron in the
prevention of postoperative nausea and vomiting (PONV) in high-risk patients.
METHODS: Totally 200 patients with three key risk factors for PONV (female, non
smoking and postoperative opioid use) were equally randomized into ondansetron
group and granisetron group. Ondansetron (4 mg) or granisetron (3 mg) was
intravenously administered upon the completion of surgery. The episodes of nausea
and vomiting were observed for 24 hours after surgery. RESULTS: A significantly
greater proportion of patients in granisetron group achieved a complete response
(i.e., no PONV or rescue medication) during the first 24 hours postoperatively
versus those in ondansetron group (62.6% vs. 46.9%, respectively; P=0.048). There
were no significant differences in terms of postoperative nausea incidences
(42.9% vs. 34.3%, respectively), postoperative vomiting incidences (25.5% vs.
20.2%, respectively) and postoperative rescue anti-emetics incidences (19.4% vs.
15.2%, respectively) (P>0.05). CONCLUSION: Granisetron is more effective than
ondansetron in preventing PONV in high-risk patients during the first 24 hours
postoperatively.
PMID- 21906458
TI - [Research advances in induced pluripotent stem cells].
AB - Differentiated somatic cells can be directly reprogrammed into induced
pluripotent stem (iPS) cells in vitro. Similarly to embryonic stem (ES) cells,
iPS cells have pluripotency to differentiate into all cell types and capability
to self-renew themselves indefinitely. Without immune rejection and ethical
issues, patient-specific iPS cells promise to be an ideal tool for regenerative
medicine, drug screening, and toxicity testing.
PMID- 21906459
TI - [Vasculogenic mimicry and mosaic vessels and targeted therapy in renal cell
carcinoma].
AB - Renal cell carcinoma is one of the most common malignant tumors of urinary
system. The annual incidence rate is approximately 17.9/100 000 populations, and
there is a continually rising trend in number of new diagnosis. Metastatic and
high-risk renal cell cancer is associated with a poor prognosis and is resistant
to traditional chemotherapy and/or radiotherapy. Although cytokine-based
therapies (interferon and interleukin-2) have been widely used, their
effectiveness remained unsatisfactory due to their low response rates and short
survival. Drugs targeting anti-angiogenesis pathways have shown benefits in
relapse-free survival. In this review, we introduce the recent advances in the
treatment of renal cancer, especially the application of vasculogenic mimicry and
mosaic vessels. Although targeted therapies with anti-angiogenic properties have
proposed new treatment criteria for advanced renal cell carcinoma, new drugs or
new combinations are needed to improve the clinical efficacy and minimize adverse
effects.
PMID- 21906460
TI - [Metabolic disorder after androgen deprivation therapy in patients with prostate
cancer].
AB - The prevalence of prostate cancer, a common malignancy of urinary system in
elderly males, has increased rapidly in China in recent years. Currently most
prostate cancer patients are treated with androgen deprivation therapy (ADT).
However, ADT-induced metabolic disorders such as metabolic syndrome has
remarkably impaired the quality of life and decreased the survival rate.
PMID- 21906461
TI - [Subcutaneous implanted system for the treatment of type 2 diabetes].
AB - Type 2 diabetes is a complex metabolic disorder characterized by hyperglycemia
arising from a combination of insufficient insulin secretion and resistance to
insulin action. Insulin has become an important agent in treating this disease,
but long-term administration of insulin not only brings pain and inconvenience to
the patients but also causes local adipose tissue atrophy and scleroma.
Therefore, novel delivery method has become a hot topic, mainly including
improving delivery systems, developing new recombinant insulin, and changing the
route of administration. This article introduces DUROS (an implanted delivery
system), a new route of drug administration.
PMID- 21906462
TI - The clinical effectiveness and cost-effectiveness of genotyping for CYP2D6 for
the management of women with breast cancer treated with tamoxifen: a systematic
review.
AB - BACKGROUND: Breast cancer is the most common cancer affecting women in the UK.
Tamoxifen (TAM) is considered as the standard of care for many women with
oestrogen receptor positive breast cancer. However, wide variability in the
response of individuals to drugs at the same doses may occur, which may be a
result of interindividual genetic differences (pharmacogenetics). TAM is known to
be metabolised to its active metabolites N-desmethyl TAM and 4-hydroxytamoxifen
by a number of CYP450 enzymes, including CYP2D6, CYP3A4, CYP2C9, CYP2C19 and
CYP2B6. N-desmethyl TAM is further metabolised to endoxifen by CYP2D6. Endoxifen,
which is also formed via the action of CYP2D6, is 30- to 100-fold more potent
than TAM in suppressing oestrogen-dependent cell proliferation, and is considered
an entity responsible for significant pharmacological effects of TAM. Thus, an
association between the cytochrome P450 2D6 (CYP2D6) genotype and phenotype
(expected drug effects) is believed to exist and it has been postulated that
CYP2D6 testing may play a role in optimising an individual's adjuvant hormonal
treatment. OBJECTIVES: To determine whether or not testing for cytochrome P450
2D6 (CYP2D6) polymorphisms in women with early hormone receptor positive breast
cancer leads to improvement in outcomes, is useful for health decision-making and
is a cost-effective use of health-care resources. DATA SOURCES: Relevant
electronic databases and websites including MEDLINE, EMBASE and HuGENet? [Centers
for Disease Control and Prevention (Office of Public Health Genomics), Human
Genome Epidemiology Network] were searched until July 2009. Further studies that
became known to the authors via relevant conferences or e-mail alerts from an
automatically updated search of the Scopus database were also included as the
review progressed, up to March 2010. REVIEW METHODS: A systematic review of the
clinical effectiveness and cost-effectiveness of CYP2D6 testing was undertaken.
As it was not possible to conduct meta-analyses, data were extracted into
structured tables and narratively discussed. An exploratory analysis of
sensitivity and specificity was undertaken. A review of economic evaluations and
models of CYP2D6 testing for patients treated with TAM was also carried out.
RESULTS: A total of 25 cohorts were identified which examined clinical efficacy
(overall survival and relapse/recurrence), adverse events and endoxifen plasma
concentrations by genotype/phenotype. Significantly, six cohorts suggest
extensive metabolisers (Ems) appear to have better outcomes than either poor
metabolisers (PMs) or PMs + intermediate metabolisers in terms of
relapse/recurrence; however, three cohorts report apparently poorer outcomes for
EMs (albeit not statistically significant). There was heterogeneity across the
studies in terms of the patient population, alleles tested and outcomes used and
defined. One decision model proposing a strategy for CYP2D6 testing for TAM was
identified, but this was not suitable for developing a model to examine the cost
effectiveness of CYP2D6 testing. It was not possible to produce a de novo model
because of a lack of data to populate it. CONCLUSION: This is a relatively new
area of research that is evolving rapidly and, although international consortia
are collaborating, the data are limited and conflicting. Therefore, it is not
possible to recommend pharmacogenetic testing in this patient population. Future
research needs to focus on which alleles (including, or in addition to, those
related to CYP2D6) reflect patient response, the link between endoxifen levels
and clinical outcomes, and the appropriate pathways for implementation of such
pharmacogenetic testing in patient care pathways.
PMID- 21906463
TI - [A study on the construction, expression and immunosterility of Lagurus laguru
zona pellucida 3 DNA vaccine pVAX1-sig-LTB-lZP3-C3d3].
AB - AIM: To enhance the immunocontraceptive effect of Lagurus lagurus zona pellucida
3 DNA vaccine, and to achieve the prospect of application through the pVAX1-sig
LTB-lZP3-C3d3 different immunity pathway. METHODS: Two adjuvant molecules were
constructed into the recombinant plasmid pVAX1-sig-LTB-lZP3-C3d3 as DNA vaccine
which contains Escherichia coli heat-labile enterotoxin B subunit and the
molecular adjuvant 3 copies of C3d. The results of RT-PCR and western blot showed
that the DNA vaccine was expressed in mRNA and protein level. The female C57BL/6
mice were immunized by three ways: intramuscular injection, intranasal or oral
route.Antibody levels and types were detected by ELISA. RESULTS: ELISA results
showed that recombinant plasmid pVAX1-sig-LTB-lZP3-C3d3 immunization induced
specific IgG, IgA levels were significantly different comparing with control
(P<0.01). Antifertility experiment showed that the experimental group reduced the
average fertility significantly different compared with the control group
(P<0.01). CONCLUSION: Restriction analysis, RT-PCR and Western blot showed that
the recombinant plasmid constructed correctly and can be the expression of mRNA
and protein levels.It resulted that the recombinant plasmid pVAX1-sig-LTB-lZP3
C3d3 can induce the specific immune response efficiently and enhance the
immunocontraceptive effects.
PMID- 21906464
TI - [TLR4 expression of human PBMC treated by BCG and its role of immune activation].
AB - AIM: To investigate TLR4 expression of human peripheral blood mononuclear cells
(hPBMC) treated with BCG and its role of immune activation. METHODS: hPBMC were
treated with BCG in vitro. TLR4 expression were detected by flow cytometry, IFN
gamma and TNF-alpha expression of hPBMC in both BCG stimulated group and the
control group were detected by ELISA. RESULTS: The expression of TLR4 in hPBMC
treated with BCG was stronger than the control group significantly (P<0.01) and
increased with the time. In 72 h the TLR4 expression of BCG group was (44.73 +/-
0.0066)%, while the control group was (1.02 +/- 0.0024)%. BCG can promote hPBMC
proliferation, and this enhancement was time-dependent. In 24 h, 48 h and 72 h
IFN-gamma and TNF-alpha expression of BCG group were significantly higher than
the control group(P<0.05), and this enhancement was time-dependent. CONCLUSION:
BCG can on enhance TLR4 expression and promote immune activation of hPBMC.
PMID- 21906465
TI - [Differences between CD3+ TCRvalpha24+ NKT cell and CD3+ TCRvbeta11+ NKT cell in
PBMC].
AB - AIM: Clarified the differences between CD3(+);TCRvalpha24(+); NKT cells and
CD3(+);TCRvbeta11(+); NKT cells in their frequencies, subpopulations, phenotypes
and biological functions, so as to fully understand the effects of NKT cells in
immune responses. METHODS: PBMCs from blood donors were isolated and cell surface
markers (CD3, TCRvalpha24, TCRvbeta11, CD4, CD8, CD45RA, CD62L, CCR7) and
intracellular cytokines (IL-4, IFN-gamma) were detected by flow cytometry
directly or after stimulation with PMA plus Ionomycin. RESULTS: The mean
frequencies of CD3(+);TCRvalpha24(+); NKT cells and CD3(+);TCRvbeta11(+); NKT
cells in PBMCs were 0.63% and 0.43% and they varied according to individuals. A
small population of NKT cells coexpressed TCRvalpha24 and TCRvbeta11. The
subpopulations of CD4(+); NKT 64.35%, CD8(+); NKT 19.04%, CD4(-);CD8(-); NKT
17.18% in human CD3(+);TCRvalpha24(+); NKT cells and CD4(+); NKT 53.69%, CD8(+);
NKT 18.99%, CD4(-);CD8(-); NKT 29.74% in CD3(+);TCRvbeta11(+); NKT cells could be
identified based upon the expressions of CD4 and CD8 molecules. There were no
significant differences between relative subtypes. The frequency of
CD45RA(+);CD3(+);TCRvbeta11(+); NKT cells(71.14%) was higher than the frequency
of CD45RA(+);CD3(+);TCRvalpha24(+); NKT cells and the differences between them
were significant. The differences between the frequencies of
CD62L(+);CD3(+);TCRvalpha24(+); NKT cells(46.26%) and
CD62L(+);CD3(+);TCRvbeta11(+); NKT cells(42.36%), the frequencies of
CCR7(+);CD3(+);TCRvalpha24(+); NKT cells(9.24%) and CCR7(+);CD3(+);TCRvbeta11(+);
NKT cells(8.22%) were not significant. There were no significant differences in
the secretions of IL-4 by CD3(+);TCRvalpha24(+); NKT cells(13.01%) and
CD3(+);TCRvbeta11(+); NKT cells(6.62%), and IFN-gamma by CD3(+);TCRvalpha24(+);
NKT cells(38.12%) and CD3(+);TCRvbeta11(+); NKT cells(26.95%). However, there
were significant differences between the mean frequency of IFN-gamma(+);IL
4(+);CD3(+);TCRvalpha24(+); NKT cells(12.65%) and that of IFN-gamma(+);IL
4(+);CD3(+);TCRvbeta11(+); NKT cells(3.02%). CONCLUSION: There were some
differences between CD3(+);TCRvalpha24(+); NKT cells and CD3(+);TCRvbeta11(+);
NKT cells in their frequencies, phenotypes and productions of cytokines. In all,
although their frequencies were low, the complicated phenotypes and high
secretions of cytokines(IL-4 and IFN-gamma) assigned NKT cells immunoregulatory
effects.
PMID- 21906466
TI - [Induction of proinflammatory cytokines and cell apoptosis by Chlamydia
pneumoniae Cpn0810].
AB - AIM: To expresse the Chlamydia pneumoniae Cpn0810 in E.coli BL21, and to study
weather could it inducing proinflamatory cytokines including TNF-alpha and IL-6
in human monocytic (THP-1) and cell apoptosis. METHODS: Polymerase chain
reaction(PCR) was used to amplify the Cpn0810 gene, PCR products were purified
and cloned into the prokaryotic expression vector pGEX6p-2. The restriction
plasmids pGEX6p-2/Cpn0810 confirmed by PCR and sequencing was transformed into
E.coli BL21. The recombinant protein was purified with glutathione S-transferase
(GST) resin chromatography of Novagen after renaturation. THP-1 cells were
stimulated by different concentrations of Cpn0810 and for various durations to
test the production and the expression of TNF-alpha and IL-6 by ELISA. Cell
apoptosis was detected in C.pneumoniae Cpn0810 cells by Hoechst33258 fluorescence
staining and Cell apoptosis was detected in THP-1 cells by Annexin-V-FITC
propidiu-m iodide (PI) staining. RESULTS: The restriction enzymes cleavage
analysis and nucleotide sequencing showed the target gene was successfully
inserted into pGEX6p-2 prokaryotic expression vector. Cpn0810 stimulated THP-1
cell to produce proinflamatory cytokines including TNF-alpha and IL-6 in a dose
and time-dependent manner. After THP-1 cells were treated with 10 mg/L Cpn0810
for 24 h, apoptosis with nuclear chromatin fragmentation as well as cell
shrinkage was observed by fluorescent staining and microscopy; apoptosis of cell
was detected after 24 h in THP-1 cells treated with Cpn0810. CONCLUSION: Cpn0810
recombinant protein could stimulate THP-1 cell to produce and express
proinflamatory cytokines including TNF-alpha and IL-6; After THP-1 cells were
treated with 10 mg/L Cpn0810 for 24 h, apoptosis of cell was detected after 24 h
in THP-1 cells treated with Cpn0810.
PMID- 21906467
TI - [Effect of curcumin on IL-17-induced nitric oxide production and expression of
iNOS in human keratinocytes].
AB - AIM: To investigate the effect of curcumin on IL-17-induced NO production, mRNA
and protein expression of iNOS in human keratinocyte cell lines(HaCaT cells).
METHODS: HaCaT cells were stimulated with IL-17 and incubated with three doses of
curcumin for 24h in vitro. After collections of supernatant, total RNA and
protein, NO levels in supernatant were detected and fluorescence quantitative PCR
and Western blot were performed to determine the effect of curcumin on NO levels
and iNOS. RESULTS: IL-17 increased NO levels, and expression of iNOS in HaCaT
cells(P<0.01). Curcumin decreased IL-17 induced NO production and the iNOS
expression at mRNA (P<0.01) and protein (P<0.01) levels significantly.
CONCLUSION: Curcumin down-regulates IL-17-induced NO secretions and iNOS
expression in HaCaT cells, thus provides a theoretical basis for the treatment of
inflammatory diseases of skin related to keratinocytes.
PMID- 21906469
TI - [Construction of Trim6 eukaryotic expression vector and its expression in HEK293
cells].
AB - AIM: To construct the recombinant eukaryotic expression vector pcDNA3.1 (+)
Trim6, and observe its expression in HEK293T cells in vitro. METHODS: The total
RNA was isolated from HeLa cells. After amplification with reverse transcription
polymerase chain reaction (RT-PCR), the target sequences were cloned into the
pcDNA3.1(+). The recombinant vector was confirmed by restriction enzyme
digestion, PCR and sequencing. Then it was transfected into HEK293T cells.After
24 hours, the Trim6 expression was detected by Western blot. RESULTS: The results
of the restriction enzyme digestion, PCR and sequencing confirmed the vector was
constructed successfully, and it can express Trim6 protein in HEK293T cells.
CONCLUSION: The vector is constructed successfully, which establishes the
foundation for future research on the effect of Trim6.
PMID- 21906468
TI - [Influence of angiotensin-(1-7) on angiotensin II induced rat's tubular
epithelial-myofibroblast transdifferentiation].
AB - AIM: To explore the influence of angiotensin-(1-7)[Ang-(1-7)] on angiotension
II(Ang II) induced rat's tubular epithelial-myofibroblast transdifferentiation
and the secretion of extracellular matrix. METHODS: The NRK52E were maintained
and sub-cultured treated with Ang-(1-7) (10(-6); mmol/L) and Ang II(10(-6);
mmol/L) for 24, 48, 72, 96 hours, we detect the protein expressions of E-cadherin
and alpha-SMA by immunocytochemistry method; The content of Col I and FN in the
cultured supernatant were measured by ELISA; The mRNA expression of E-cadherin,
alpha-SMA, Col I and FN was detected by real-time PCR. RESULTS: Treat with ang II
96 h, the protein and mRNA expression of E-cadherin decreased significantly
(P<0.05), but the protein and mRNA expression alpha-SMA, col I and FN increased
significantly (P<0.05); treat with Ang II and Ang-(1-7), the protein and mRNA
expression of E-cadherin increased significantly (P<0.05), but the protein and
mRNA expression alpha-SMA, col I and FN decreased significantly (P<0.05).
CONCLUSION: Ang-(1-7) can inhibits Ang II-induced rat's tubular epithelial
myofibroblast transdifferentiation and decrease the secretion of FN and Col I.
PMID- 21906470
TI - [Synthesis and identification of the hapten and complete antigens for
Zearalenone].
AB - AIM: To synthesize and identify the hapten and complete antigens for Zearalenone
for the purpose of preparation of anti-Zearalenone antibodies. METHODS:
Zearalenone and carboxymethoxylamine hemihydrochloride were incubated to
synthesize the hapten ZEN-oxime. The ZEN-oxime was identified by thin layer
chromatography (TLC), high performance liquid chromatographic (HPLC) and liquid
chromatography-tandem mass spectrometry (LC-MSn). The ZEN-oxime was further
conjugated to bovine serum albumin (BSA) or ovalbumin (OVA) to form the complete
antigens by NHS ester method. The complete antigens were identified by
ultraviolet spectrum, 2, 4, 6-trinitrobenzene sulfonicacid method (TNBS) and
immunological analysis. RESULTS: The results indicated that the hapten and
complete antigens were prepared successfully. CONCLUSION: The hapten and complete
antigens for Zearalenone have been successfully synthesized and identified by
several methods, which enables further preparation of anti-Zearalenone
antibodies.
PMID- 21906471
TI - [Screening of single-chain variable fragment (scFv) to bone sialoprotein].
AB - AIM: To select single-chain variable fragment(scFv) antibody specific for bone
sialoprotein(BSP) from Human Single Fold scFv Libraries. METHODS: Human Single
Fold scFv Libraries were panned against immobilized BSP in a microtiter plate,
after three rounds of panning, 96 clones were determined specific to BSP. The
specificity of each scFv clone was determined by ELISA. The coding gene for BSP
protein scFv has been sequenced. RESULTS: Phage antibody for BSP protein had a
specific combination character. There were 368 bp, 527 bp, 935 bp which werer
light chain, heavy chain and joint gene fragment with the resuLt of PCR. The DNA
sequence data showed that there were 11 differences of the amino acids in the
light chain, while there were only 3 differences in the heavy chain of scFv.
CONCLUSION: scFv specific to BSP has been identified by means of phage display
technology.
PMID- 21906472
TI - [Preparation and identification of OmpW monoclonal antibodies].
AB - AIM: To prepare and characterize the mouse monoclonal antibodies against Vibrio
parahaemolyticus OmpW. METHODS: The OmpW amino acid sequence from three diseased
Vibrio was analyzed by Bioinformatics. Mice were immunized with r-OmpW which was
highly expressed and purified in E.coli. Five Vibrio(Va, Vp, Vh, Vv, Van) were
chosen as antigen for mAb selection.The characters of the anti-OmpW monoclonal
antibodies were studied by Western blot, Flow Cytometry, indirect
immunofluorescence. RESULTS: OmpW was testified a highly conservative membrane
protein.Three clones of anti-OmpW mAb was obtained. The Ig subclass of the mAb
secreted from fused cell S5C10 was IgG3, which of the titer was 4.6*10(4);. The
mAb could specifically recognize Vibrio parahaemolyticus, Vibrio alginolyticus,
Vibrio harveyi, Vibrio anguillarum, Vibrio vulnificus, which could not react with
Pseudomonas flurosecens, Aeromonas hydrophila, Aeromonas sobria, Aeromonas
hydrophila, Escherichia coli. CONCLUSION: The mAb could specially recognize five
diseased Vibrio, which is a useful tool for the further study of the diagnosis of
Vibrio.
PMID- 21906473
TI - [Preparation and identification of monoclonal antibodies against Pla protein of
Yersinia pestis].
AB - AIM: To prepare the monoclonal antibody of Pla with recombinant Pla (rPla) by
hybridoma cell technology, which will lay the foundation for related research
work. METHODS: Purified rPla was collected by washing repeatedly with urea, and
BALB/c mice were immunized by them. Hybridoma cells were achieved by Sp2/0 cell
fusion with mouse spleen cells from successfully immunized mice. Monoclonal
antibody was screened by indirect ELISA and Western blots with rPla, natural
crude Pla and GST respectively. RESULTS: Three strains of hybridoma cells (named
15B8, 14H4 and 19A4 respectively) which secreted stably the monoclonal antibody
of Pla were obtained. Their subclasses were IgG2a and IgG1 in heavy chains and
kappa chains in light chains. The ELISA titers of ascites were 10(6);
respectively.Three of monoclonal antibody can react with natural crude Pla tested
by western blots. CONCLUSION: Monoclonal antibody of natural Pla of Yersinia
pestis were successfully got, which has laid the foundation for further study of
the Pla protein and development diagnosis reagent.
PMID- 21906474
TI - [Preparation and application of polyclonal antibody against mouse IL-1alpha].
AB - AIM: To construct a recombinant plasmid encoding mouse IL-1alpha (mIL-1alpha),
express and purify mIL-1alpha protein, and prepare its polyclonal antibody.
METHODS: The cDNAs were obtained from the spleen cells of BALB/c mice and the
full length of mIL-1alpha gene was amplified by RT-PCR. Then the mIL-1alpha gene
was inserted into a prokaryotic expression vector pET32a(+) and the resulting
recombinant plasmid was transformed into E.coli BL21(DE3). After auto-induction,
the mIL-1alpha protein was expressed and purified by electro-elution. An anti-mIL
1alpha polyclonal antibody was raised in New Zealand rabbits after immunization
with the purified mIL-1alpha and the titer was determined by ELISA. The
specificity of the polyclonal antibody was identified by Western blot and flow
cytometry. RESULTS: The recombinant prokaryotic expression vector pET32a(+)-IL
1alpha was successfully constructed, and the mIL-1alpha protein was expressed and
purified. ELISA showed the titer of the anti-mIL-1alpha serum was 1:25 600.
Western blot and flow cytometry demonstrated the high specificity of the
polyclonal antibody to IL-1alpha. CONCLUSION: The rabbit anti-mIL-1alpha
polyclonal antibody with high titer and specificity has been prepared after
immunization with the purified mIL-1alpha protein, facilitating further
functional studies of IL-1alpha.
PMID- 21906475
TI - [Preparation of anti-human 4-1BB monoclonal antibody and characterization of its
biological activities].
AB - AIM: To prepare an anti-human 4-1BB functional monoclonal antibody and to
characterize its biological activities. METHODS: A stable human 4-1BB molecule
transfected cell line 293T/4-1BB was used as an antigen to immunize BALB/c mice.
By means of the cell fusion by hybridoma technique and multiple cell subcloning
and repeated screening with 293T/4-1BB as the antibody screening positive cell
while 293T/mock as the negative cell. The hybridoma cell lines specifically
secreting anti-4-1BB monoclonal antibodies were selected. Then their
characteristics and its biological activities were investigated by Western blot,
fast-strip routine Ig subclass typing method, indirect immunofluorescence,
competitive inhibition test, (3);H-TdR and cell apoptosis analysis. RESULTS:
Three hybridoma cell lines 1G5, 4B11 and 9F11 with the property of secreting
specific anti-4-1BB monoclonal antibody continuously and steadily were
successfully obtained. These monoclonal antibodies could bind to human 4-1BB
epitopes on activated T cells and monocytoes and DC. Additionally, mAb 4B11 could
promote T proliferation and enhance the growth and maturation of Mo-DC.
CONCLUSION: Three hybridoma cell lines which secrete anti-4-1BB monoclonal
antibodies steadily have been established. These monoclonal antibodies could
specifically recognize 4-1BB molecule and mAb 4B11 had a potent function to
promote T proliferation cell as well as to enhance the growth and maturation of
Mo-DC in vitro.
PMID- 21906477
TI - [The clinical significance of S100beta protein in cerebrospinal fluid and serum
from the patients with cerebral hemorrhage].
AB - AIM: To observe the change and the clinical significance of S100beta protein
level in cerebrospinal fluid and serum from the patients with cerebral hemorrhage
(CH). METHODS: ELISA was used to detect the expression of S100beta protein in CSF
and serum from CH patients control with Inguinal Hernia or great saphenous varix
patients. Meanwhile, rabbit CH model at 6 h, 12 h, 24 h, 48 h, 72 h and 96 h .
RESULTS: The levels of CSF S100beta protein at acute stage of CH patients
increased significantly compared with those at recovery stage of CH patients and
control group(P<0.01). The levels of S100beta protein in CSF from CH patients
increased significantly compared with those in serum (P<0.01).The levels of
S100beta protein in CSF of rabbit experimental group increased significantly
compared with those of sham operation group at different time points(P<0.01).
CONCLUSION: The level of S100beta protein in CSF from CH patients increases. It
may be a biomarker as reflecting degree of pathogenetic and predicting outcome in
the CH patients.
PMID- 21906476
TI - [The effects of VEGF-R inhibitor on podocytopathy of rats with type I diabetic
nephropathy].
AB - AIM: To explore the effect of VEGF inhibitor SU5416 on podocytopathy of rats with
type I diabetic nephropathy. METHODS: Thirty male SD rats were randomly divided
into three groups: normal control group(NC), diabetic nephropathy group(DN) and
diabetic nephropathy treated with SU5416 group(SU5416). Rats with DN were induced
by STZ. At the end of 8 weeks after SU5416 treatment, body weight (BW), kidney
weight (KW), 24 h urine albuminuria excretion rate(24 h UAER), plasma glucose and
creatinine were detected respectively. Renal morphology were stained with
periodic acid-Schiff (PAS). And the expression of podocyte-specific genes nephrin
and podocin were detected by immunofluorescence. The mRNA levels of genes and
VEGF were assessed by real time-PCR respectively. RESULTS: Compared with NC
group, DN rats'BW were decreased but the KW were increased, and the levels of
blood glucose, creatinine, 24 h UAER and kidney cortex VEGF mRNA were
significantly higher. The expression of nephrin and podocin were
decreased(P<0.05), and GBM thickening and mesangial matrix expansion were
developed. Treatment with SU5416 leads to a marked decrease of KW and the level
of 24 h UAER. Concurrently, the expressions of nephrin and podocin were revert
partly in response to SU5416(P<0.05), and pathological changes were successfully
ameliorated. However, the KW, glucose, creatinine and the level of VEGF mRNA were
not significantly affected by SU5416 treatment(P>0.05). CONCLUSION: VEGF-R
inhibitor SU5416 can obviously ameliorate albuminuria and histologic changes, and
restore the expression of podocyte-specific genes nephrin and podocin in DN rats,
suggesting that VEGF-R inhibitor is beneficial for the repair of podocytes in DN,
which might be an important adjunct for podocytopathy therapy.
PMID- 21906478
TI - [Screening the serum protein biomarker of patients with sepsis by cytokine
antibody chips].
AB - AIM: To investigate the expression of inflammatory cytokines in patients with
sepsis by cytokine antibody chips. METHODS: To screen the protein biomarker for
rapidly diagnosing sepsis, 79 cytokines in 9 cases of patients with sepsis and 4
cases of healthy control were measured using cytokine antibody chip. Analyse the
signaling values of these 79 cytokines using SAM (significance analysis of
microarray, SAM) software. RESULTS: By SAM analysis: insulin like growth factor
binding protein-1 (IGFBP-1), epidermal growth factor (HGF), osteopotin, insulin
like growth factor binding protein-4 (IGFBP-4), interferon inducible protein-10
(IP-10) and B-lymphocyte chemoattractant (BLC) were identified to be highly
expressed in the sepsis while platelet-derived growth factor-BB (PDGF-BB), brain
derived neurotrophic (BDNF), macrophage inflammatory protein-1beta (MIP-1beta),
interleukin-8 (IL-8), epidermal growth factor (EGF) and interleukin-1beta(IL
1beta)lowly expressed. Cluster analysis of significantly expressed cytokines
showed that the sepsis and the control formed distinctly separate groups.
CONCLUSION: Antibody chips demonstrate a significant change in sepsis patients,
screening the protein biomarker for rapidly diagnosing sepsis is feasible.
PMID- 21906479
TI - Bioequivalence of topical clotrimazole formulations: an improved tape stripping
method.
AB - PURPOSE: Investigations were carried out to assess the use of tape stripping (TS)
for the determination of bioequivalence of topical products containing 1%
clotrimazole. METHODS: The study design involved the establishment of an
appropriate application time, which was determined by conducting a dose duration
study. Subsequently, two bioequivalence studies were conducted: i) using the
brand (Canesten Topical - 1% clotrimazole cream) as both the test and the
reference product and ii) comparing Canesten cream with a gel product containing
the same concentration of clotrimazole (1%). Each tape strip was individually
analyzed for clotrimazole content using an HPLC method and Transepidermal Water
Loss (TEWL) measurements were used to normalize the stratum corneum thicknesses
between subjects. RESULTS: The results of the TS investigations showed that, if
the study is sufficiently powered, tape stripping may be used to determine
bioequivalence according to the conventional bioequivalence limits of 0.8-1.25,
as well as detect formulation differences between different clotrimazole
products. CONCLUSIONS: The data from this study provided compelling evidence that
tape stripping has the necessary attributes and potential to be used as a tool
for the bioequivalence assessment of topical clotrimazole and/or other topical
formulations, thereby circumventing the need to undertake expensive and time
consuming clinical trials for such products. This article is open to POST
PUBLICATION REVIEW. Registered readers (see "For Readers") may comment by
clicking on ABSTRACT on the issue's contents page.
PMID- 21906481
TI - Kabuki syndrome: a new case associated with Becker nevus.
AB - Kabuki syndrome or Kabuki makeup syndrome was first described in 1981 in Japan by
two different groups of authors. These investigators described a group of
patients sharing typical facial features, skeletal anomalies, mental retardation,
short stature, and dermatoglyphic anomalies. The term Kabuki makeup syndrome was
coined because the peculiar facial features of the patients were reminiscent of
the Japanese Kabuki theater masks. In 1988, Niikawa et al, after studying 62
patients, proposed five diagnostic criteria for this disease: peculiar facies (in
100% of all patients), skeletal anomalies (92%), dermatoglyphic anomalies (93%),
medium to moderate mental retardation (92%), and short stature (83% of all
cases). In addition to these findings, a variety of anomalies have been
associated with this syndrome - the most serious being cardiac, renal, and
urogenital abnormalities. We present a case of Kabuki syndrome in a 6-year-old
boy who, in addition to the various features typical of the disease, also
exhibited a Becker nevus - a condition not previously associated with this
syndrome. The usefulness of dermoscopy in studying alterations in the
dermatoglyphic patterns is also discussed.
PMID- 21906480
TI - Application of 12S rRNA gene for the identification of animal-derived drugs.
AB - PURPOSE. Animal-derived drugs are the major source of biological products and
traditional medicine, but they are often difficult to identify, causing confusion
in the clinical application. Among these medicinal animals, a number of animal
species are endangered, leading to the destruction of biodiversity. The
identification of animal-derived drugs and their alternatives would be a first
step toward biodiversity conservation and safe medication. Until now, no
effective method for identifying animal-derived drugs has been demonstrated; DNA
based species identification presents a brand-new technique. METHODS. We designed
primers to amplify a 523-bp fragment of 12S rRNA and generated sequences for 13
individuals within six medicinal animal species. We examined the efficiency of
species recognition based on this sequence, and we also tested the taxonomic
affiliations against the GenBank database. RESULTS. All the tested drugs were
identified successfully, and a visible gap was found between the inter-specific
and intra-specific variation. We further demonstrated the importance of data
exploration in DNA-based species identification practice by examining the
sequence characteristics of relative genera in GenBank. This region of the 12S
rRNA gene had a 100% success rate of species recognition within the six medicinal
animal species. CONCLUSIONS. We propose that the 12S rRNA locus might be
universal for identifying animal-derived drugs and their adulterants. The
development of 12S rRNA for indentifying animal-derived drugs that share a common
gene target would contribute significantly to the clinical application of animal
derived drugs and the conservation of medicinal animal species. This article is
open to POST-PUBLICATION REVIEW. Registered readers (see "For Readers") may
comment by clicking on ABSTRACT on the issue's contents page.
PMID- 21906482
TI - Digital dermatofibromas--common lesion, uncommon location: a series of 26 cases
and review of the literature.
AB - Dermatofibroma (DF), also referred to as cutaneous fibrous histiocytoma (CFH), is
a common tumor of the skin presenting as a firm nodule located predominantly on
the limbs and shoulder and pelvic girdles that often extends into superficial
subcutaneous tissue. This is a retrospective study of 26 DFs located on digits.
All case slides were retrieved from saved files for diagnostic verification. One
case was rejected after revision of the diagnosis to giant cell tumor of the
tendon sheath. The 26 remaining cases constitute this reported series. Digital
DFs affected 27 to 70 year-olds in a 2.25:1 male to female ratio. The most common
clinical diagnosis submitted was "growth" or wart. In only 6 out of the 26 cases
was the pre-biopsy diagnosis of DF ventured. Although lesional tissue went to
dermal margins in 14 specimens, only one has been re-excised in follow up ranging
from 2 months to 10 years. Because DFs can resemble several entities including
leiomyosarcoma and dermatofibrosarcoma protuberans, a lack of familiarity with
the occurrence of DF on the digits may result in more aggressive treatment than
otherwise necessary. DF should be in the differential diagnosis of circumscribed,
firm nodules presenting on the digits.
PMID- 21906483
TI - Dyschromatosis universalis hereditaria in an African American male.
AB - Dyschromatosis universalis hereditaria (DUH) is a very rare genodermatosis
characterized by generalized skin dyspigmentation. It is most common in Japan,
but has also been reported in other parts of Asia, Europe, South America, and
Africa. We report a case of a 44-year-old man born and raised in North America
who presented with total skin discoloration since birth.
PMID- 21906484
TI - [Atopic dermatitis, innate immunity, and infection].
AB - The prevalence of atopic dermatitis is increasing in western societies and this
disease has a significant effect on the quality of life of patients and their
families. The pathophysiology is complex, but there are recent insights on innate
and adaptive immunity dysfunction in this condition that increases the
predisposition to colonization/infection with characteristic types of
microorganisms. We provide an up-to-date review of the pathophysiology of atopic
dermatitis, highlighting the clinical implications of skin barrier dysfunction
and immunological deregulation. We suggest a useful approach for handling
exacerbations and infectious events.
PMID- 21906485
TI - Linear syringocystadenoma papilliferum: an uncommon event with a favorable
prognosis.
AB - Syringocystadenoma papilliferum (SCAP) is an uncommon cutaneous adnexal tumor of
uncertain histogenesis. Various authors have postulated that the tumor
differentiates toward apocrine gland, eccrine gland, or the apo-eccrine gland. We
present a patient with an unusual linear form.
PMID- 21906486
TI - Commentary on 'Degos disease: a C5b-9/interferon-alpha-mediated endotheliopathy
syndrome' by Magro et al: a reconsideration of Degos disease as hematologic or
endothelial genetic disease.
AB - Magro et al in April of 2011 published a new article in the American Journal of
Clinical Pathology on the etiology and treatment of Degos Disease (DD), and
importantly, its fatal variant malignant atrophic papulosis (MAP). Specifically,
Magro noted that MAP is a disease involving the complement cascade that can be
treated effectively with eculizumab. DD has two variants, a benign variant
confined to the skin and a malignant (heretofore fatal) variant that involves the
skin and systemic organs. Five aspects of DD are discussed: (1) the clinical
findings of DD, (2) thrombosis and DD, (3) the histology of DD, (4) the presence
of viral like inclusions in the endothelial cells of patients with DD, and (5)
the lack of any apparent immune defect that relates to DD. It seems the previous
criteria for Degos Disease must be amended. Paroxysmal nocturnal hemoglobinuria
(PNH) is discussed and its relationship with DD explored. Eculizumab has been
approved to treat paroxysmal nocturnal hemoglobinuria. A review of the data
suggests that MAP is a hematological or endothelial disease like PNH. PNH,
eculizumab, and data about DD is discussed to give a basis for understanding DD
and speculate why eculizumab may be promising for the treatment of MAP.
PMID- 21906487
TI - Unknown: Multiple asymptomatic skin colored to yellowish papules over vulva.
AB - Multiple syringomas of the vulva are an unusal finding. We report a 42-year-old
woman with a 2 year history of asymptomatic yellow papules on the vulva that were
proven to be syringomas by histopathologic examination.
PMID- 21906488
TI - Genital primary herpes simplex infection in a 5-month-old infant.
AB - Genital primary herpes simplex infection is very uncommon in infants.
Asymptomatic and oral primary infections are the most frequent presentations in
this age group. We report a case of genital primary herpetic infection in a 5
month-old male, exclusively breastfed, whose mother suffered from active labial
herpes.
PMID- 21906489
TI - Phacomatosis pigmento-pigmentaria: aberrant dermal melanocytosis and nevus
spilus.
AB - We present a dermal melanocytosis with superimposed nevus spilus on the arm of a
5-year-old boy. We recently introduced a new type of phacomatosis, which we
termed "phacomatosis pigmentopigmentalis," that is analogous to phacomatosis
pigmentokeratotica or pigmentovascularis, for describing the association of two
pigmented nevi. The present case is an example of this type of phacomatosis,
which now we prefer to define phacomatosis pigmento-pigmentaria according to the
correct Latin terminology.
PMID- 21906490
TI - [Scrotal ulcers revealing pulmonary and genitourinary tuberculosis].
AB - A 76-year-old male patient with an angioimmunoblastic T-cell lymphoma under
treatment with fludarabine was referred because of scrotal ulcers, evolving for
several months. Respiratory, gastrointestinal, and urinary symptoms were denied.
Histopathological examination showed the presence of a chronic inflammatory
process with epithelioid granulomas. Ziehl-Neelsen stain was positive for acid
fast bacilli. PCR analysis allowed the identification of a mycobacteria strain
belonging to the Mycobaterium tuberculosis complex. Skin biopsy was repeated and
culture revealed M. tuberculosis sensitive to traditional tuberculostatic drugs.
This bacteria was also isolated in bronchial and urinary specimens. Although no
abnormal findings were detected on chest radiography or abdominal
ultrasonography, scrotal ultrasound showed areas of nodular thickening in the
lower part of the epididymis. The diagnosis of cutaneous, lung, and genitourinary
tuberculosis was made and the patient was treated with multidrug therapy
(rifampicin 600 mg/day, isoniazid 250 mg/day, pyrazinamide 1500 mg/day, and
ethambutol 1200 mg/day for the first 2 months, followed by rifampicin and
isoniazid with the same dosages for the subsequent 7 months). Complete resolution
of skin lesions was observed after two months of treatment. Diagnosis and
treatment modalities are discussed. This case emphasizes the importance of
considering tuberculosis in the differential diagnosis of genital ulcer.
PMID- 21906491
TI - Practical tip: Chicago Sky Blue (CSB) stain can be added to the routine potassium
hydroxide (KOH) wet-mount to provide a color contrast and facilitate the
diagnosis of dermatomycoses.
AB - Rapid confirmation of dermatomycoses is desirable because it allows the clinician
to initiate appropriate therapy without delay. The routine potassium hydroxide
(KOH) wet-mount is cheap and rapid to use but this method lacks a color contrast.
We offer a simple practical tip of adding Chicago Sky Blue (CSB) stain to KOH to
highlight fungal elements and provide a color contrast that makes reading and
interpretation simple, even for the novice.
PMID- 21906492
TI - Cutaneous melanoma in patients in treatment with biological therapy: review of
the literature and case report.
AB - Herein we report a case of a melanoma arising in a patient receiving adalimumab
and methotrexate for rheumatoid arthritis. A limited number of studies reported
melanoma growth in patients undergoing treatment with biologics. This case report
with a brief review of literature suggests that patients under treatment with
biologics should be counseled to identify new pigmented lesions or changes in
preexisting nevi. Clinicians' collaboration will facilitate recognition and
timely diagnosis of early melanoma. If there is any doubt, excision for
histological evaluation should be considered. Pending new studies, careful
observation is encouraged.
PMID- 21906493
TI - Pigmented extramammary Paget disease of the abdomen: a potential mimicker of
melanoma.
AB - Extramammary Paget disease (EMPD) is a rare condition that usually presents in
areas that are rich in apocrine sweat glands such as the vulva, scrotum, and
perianal areas. The majority of these tumors represent cutaneous extension from a
visceral adenocarcinoma, whereas a smaller proportion arise in the cutaneous
apocrine glands themselves. Women in their sixth to eighth decades are most
commonly affected. It is exceedingly rare for EMPD to present on the face, chest,
or abdomen, and even more unusual for it to present as a pigmented lesion. We
report the case of a 63-year-old woman with an underlying colon cancer who
presented with a pigmented lesion in the midline of the abdomen above the
umbilicus. Immunohistochemical stains demonstrated the lesion to be CK7+/CK20- as
well as negative for melanocytic markers (S100, MiTF, Melan-A, HMB-45). Further,
the immunophenotype of the EMPD differed from the patient's underlying colon
adenocarcinoma (CK20+/CK7-), arguing against an ectopic focus of her established
disease. Making the distinction between pigmented EMPD and melanoma is a
potential diagnostic pitfall because of the histologic similarities. Extramammary
Paget disease should be considered in the morphologic differential diagnosis of
melanoma and, if necessary, supporting studies should performed to aid in this
distinction.
PMID- 21906494
TI - DRESS syndrome associated with raltegravir.
AB - Drug reaction with eosinophilia and systemic symptoms (DRESS) syndrome or drug
induced hypersensitivity is a potentially life-threatening drug hypersensitivity
syndrome most commonly associated with anticonvulsants, allopurinol, long-acting
sulfonamides, dapsone, and minocycline. In the setting of HIV infection, the
antiretroviral medicines abacavir, nevirapine, and efavirenz have all shown well
documented associations with DRESS syndrome. There has only been one case (in a
poster presentation) of this syndrome in a patient who was taking raltegravir.
PMID- 21906495
TI - Salim Haim and the syndrome that bears his name.
AB - Professor Salim Haim (1919-1983) was a well-known dermatologist. In 1965, Dr.
Haim and Dr. Munk, a radiologist, reported a rare congenital type of
genodermatosis, later known as Haim-Munk syndrome. This syndrome is characterized
by palmoplantar keratosis, pes planus, onychogryphosis, periodontitis,
arachnodactyly, and acroosteolysis. This report discusses Haim and Haim-Munk
syndrome.
PMID- 21906496
TI - Sexual assault and substance use in male veterans receiving a brief alcohol
intervention.
AB - OBJECTIVE: Many studies have documented the link between substance use and a
history of sexual assault in women; however, few studies have examined this
relationship in men. The purpose of this study was to explore the rates of sexual
assault in a sample of male veterans reporting alcohol misuse and to further
explore potential differences in alcohol use patterns and alcohol-related
characteristics in those with and without a history of sexual assault. We also
explored the types of illicit drugs being used in the past 90 days and whether a
clinical sample of male veterans reporting sexual assault are at greater odds of
using these substances when compared with their peers with no history of sexual
assault. METHOD: Data were collected on a nationwide sample (N = 880) of male
veterans receiving care in Veterans Administration outpatient mental health
clinics. RESULTS: We found that 9.5% of our sample reported a history of sexual
assault, and those with this history reported increased alcohol consumption, a
greater number of alcohol-related consequences, and an increased likelihood of
using an illicit substance in the past 90 days. The most commonly used illicit
substances were cannabis, cocaine, and opiates. Those with sexual assault
histories were also more likely to report risk factors that may exacerbate the
negative effects of any level of alcohol consumption. CONCLUSIONS: Our findings
highlight the burden of alcohol and illicit drug use among male veterans and
suggest that substance use disorder treatment settings may be a context in which
prevalence of a history of sexual assault is high. Our findings further support
prior call for universal screening for sexual assault among this population.
PMID- 21906497
TI - Association between adolescent drinking and adult violence: evidence from a
longitudinal study of urban African Americans.
AB - OBJECTIVE: This study examined the relationship between adolescent alcohol use
and adult violence from a developmental perspective, specifically whether
frequent adolescent drinking predicts adult violence once shared risk factors are
taken into account through propensity score matching. The research considered
multiple types of violence, including assault, robbery, and suicidal behavior, as
well as other types of offending. It tested whether educational attainment and
adult alcohol use and problems contribute to the adolescent drinking-adult
violence relationship. METHOD: Data came from a longitudinal epidemiological
study of a community cohort of urban African Americans followed from age 6 to 42
(N = 702; 51% female). Frequent adolescent drinking was operationalized as 20
times or more by age 16. Data on violent arrests and offenses were collected
throughout adulthood from self-reports and official criminal records. Matching
variables came from childhood and adolescence and included such shared risk
factors as childhood externalizing behaviors, school achievement, and family
functioning. RESULTS: Adjusted logistic regression analyses on the sample matched
on childhood and adolescent risk factors showed that frequent adolescent drinking
was associated with an increased risk of violence in young adulthood (in
particular assault) but not with other types of crime, self-directed violence, or
violence in midlife. Findings varied by gender. Heavy episodic drinking in
adulthood seemed to account for some of the association between frequent
adolescent drinking and adult assault. CONCLUSIONS: The results of this study
suggest that preventing frequent adolescent drinking could potentially decrease
adult assault. This study adds to the growing body of literature suggesting long
term negative consequences of adolescent alcohol use.
PMID- 21906498
TI - Parental problem drinking, marital aggression, and child emotional insecurity: a
longitudinal investigation.
AB - OBJECTIVE: Marital aggression plays an important role in relations between
parental problem drinking and child maladjustment. The purpose of the current
study was to apply emotional security theory as a framework for understanding the
role of marital aggression. METHOD: A community sample of 235 children in
kindergarten participated once a year for 3 years. Parents completed measures of
parental problem drinking and marital aggression, and children were interviewed
about their emotional security reactions to marital conflict vignettes. RESULTS:
Greater parental problem drinking was directly associated with children's more
negative emotional reactions to conflict. Maternal problem drinking predicted
increased sad reactions and negative expectations for the future. Paternal
problem drinking predicted increases in child anger reactions and negative
expectations for the future. Parental problem drinking was also indirectly
associated with child reactions via marital aggression. CONCLUSIONS: Results
confirmed hypotheses that parental problem drinking would be related to child
emotional insecurity and that associations would be indirect via greater marital
conflict. Findings are interpreted in terms of emotional security theory as a
framework for understanding the effects of parental problem drinking on marital
aggression and child development.
PMID- 21906499
TI - Alcohol and homicide in Russia and the United States: a comparative analysis.
AB - OBJECTIVE: The object of this study was to perform a comparative analysis of the
aggregate relationship between alcohol and homicide in Russia and in the United
States. The comparison was based on the magnitude of the alcohol effect, the
alcohol attributable fraction (AAF), and the degree to which total consumption
could account for trends in homicide. METHOD: We analyzed total and sex-specific
homicide rates for the age groups 15-64 years, 15-34 years, and 35-64 years. The
study period was 1959-1998 for Russia and 1950-2002 for the United States. For
the United States, alcohol consumption was gauged by sales of alcohol; for
Russia, estimated unrecorded consumption was included as well. The data were
analyzed through autoregressive integrated moving average (ARIMA) modeling.
RESULTS: The results show that, for Russia as well as for the United States, a 1
L increase in consumption was associated with an increase in homicides of about
10%, although the absolute effect was markedly larger in Russia because of
differences in homicide rates. The AAF estimates suggested that 73% and 57% of
the homicides would be attributable to alcohol in Russia and in the United
States, respectively. Most of the temporal variation in the Russian homicide rate
could be accounted for by the trend in drinking, whereas the U.S. trend in total
alcohol consumption had a more limited ability to predict the trend in homicides.
CONCLUSIONS: We conclude that the role of alcohol in homicide seems to be larger
in Russia than in the United States.
PMID- 21906500
TI - Fire fatality and alcohol intake: analysis of key risk factors.
AB - OBJECTIVE: After a brief review of the literature on the role of alcohol in
residential fire deaths, a comparison of different risk factors for residential
fire fatality was undertaken by closely analyzing the circumstances of fire
victims as a function of alcohol intake. METHOD: Analyses were based on
Australian coroners' fire fatality records for the state of Victoria (1998-2006)
and considered demographic, behavioral, and environmental factors for the 95
adult fire victims who were tested for alcohol (64 male, 31 female). RESULTS:
Most (58%) had a positive blood alcohol concentration (BAC) test, with 31% of the
total sample having a BAC of more than 0.20 gm per 100 ml. Odds ratio analyses
showed that four variables were significantly more associated with victims who
had consumed alcohol compared with sober victims. In descending odds ratio order,
these variables were as follows: (a) being aged 18-60 years, (b) involving
smoking materials (e.g. cigarettes, pipes), (c) having no conditions preventing
escape, and (d) being male. An important new finding is that fire fatalities with
positive BAC levels were more than three times less likely to have their clothing
alight or exits blocked than sober fire victims. CONCLUSIONS: The risk of dying
in a fire for alcohol-affected people who are capable of being alerted and
escaping may be reduced if they can be alerted more quickly and effectively.
Suitable measures for improving smoke alarms via interlinking and the use of an
alarm signal demonstrated to be more effective at waking sleepers, including
those who are alcohol affected, are discussed.
PMID- 21906501
TI - Marked decline in 3,4-methylenedioxymethamphetamine (MDMA) based on wastewater
analysis.
AB - OBJECTIVE: Recent reports in Europe suggest a decline in 3,4
methylenedioxymethamphetamine (MDMA; Ecstasy) use, but quantifiable and objective
measurement is unavailable. The global extent of changes in MDMA and related
stimulant use is also unclear. This study aims to quantify changes in MDMA use in
Australia and determine whether these changes have been accompanied by differing
amounts of other stimulant use. METHOD: We acquired information on recent use of
MDMA and related illicit stimulants in Australia using the method of wastewater
analysis. Untreated wastewater samples collected from three metropolitan
treatment plants in Adelaide from May to July 2009 and the same months in 2010
were analyzed. Concentrations of MDMA, methamphetamine, and benzoylecgonine (a
metabolite of cocaine) were determined using solid phase extraction-liquid
chromatography- tandem mass spectrometry. Weekly consumed doses of MDMA,
methamphetamine, and cocaine per 1,000 people were estimated. RESULTS: From 2009
to 2010, weekly consumption of MDMA decreased from mean of 4.52 (SEM = 0.74)
doses/week per 1,000 people to 0.08 (0.01) doses/week per 1,000 people (p <
.001); weekly consumption of methamphetamine increased from a mean of 48.35
(6.13) doses/week per 1,000 people to 68.13 (5.33) doses/week per 1,000 people (p
< .05); and weekly consumed doses of cocaine did not significantly change. Local
roadside saliva testing data also showed that the MDMA-positive test rate
decreased from 0.30% to 0.05% and the methamphetamine-positive test rate
increased from 1.43% to 1.52% during the past 2 years. CONCLUSIONS: This study
shows a 50-fold decrease in consumed doses of MDMA with a rise in methamphetamine
use in Australia over a 1-year period.
PMID- 21906502
TI - Childhood risk factors for early-onset drinking.
AB - OBJECTIVE: There is relatively little research on the childhood antecedent
predictors of early-onset alcohol use. This study examined an array of
psychosocial variables assessed at age 10 and reflecting Problem Behavior Theory
as potential antecedent risk factors for the initiation of alcohol use at age 14
or younger. METHOD: A sample of 452 children (238 girls) ages 8 or 10 and their
families was drawn from Allegheny County, PA, using targeted-age directory
sampling and random-digit dialing procedures. Children and parents were
interviewed using computer-assisted interviews. Logistic regression analyses were
used to examine the age-10 univariate and multivariate predictors of the
initiation of alcohol use by age 14 or younger. RESULTS: Twenty-five percent of
the sample reported having more than a sip or a taste of alcohol in their life by
age 14. Sex, race, and age cohort did not relate to early drinking status.
Children with two parents were less likely to initiate drinking early. Early
initiation of drinking related significantly to an array of antecedent risk
factors (personality, social environment, and behavioral) assessed at age 10 that
reflect psychosocial proneness for problem behavior. In the multivariate model,
the variables most predictive of early-onset drinking were having a single
parent, sipping or tasting alcohol by age 10, having parents who also started
drinking at an early age, and parental drinking frequency. CONCLUSIONS:
Initiation of alcohol use by age 14 reflects childhood psychosocial proneness to
engage in problem behavior as measured by Problem Behavior Theory and having a
family environment conducive to alcohol use.
PMID- 21906503
TI - Different phenotypic and genotypic presentations in alcohol dependence: age at
onset matters.
AB - OBJECTIVE: Several theoretical typology models have been proposed to classify
alcoholism into more homogeneous subtypes using various criteria, for which age
at onset of alcohol dependence is shared across many models. We investigated the
evidence for the distinction between early- versus late-onset alcoholism by
examining relevant phenotypic and genotypic variables. METHOD: Data are from
1,248 individuals with alcohol dependence, who were interviewed to collect
detailed clinical information. Early versus late onset of alcohol dependence was
defined by the age at onset of 22 years. Odds ratio (OR) and Cohen's d were
calculated as effect size for comparisons of clinical features between the two
groups. We adjusted interviewed age and gender in logistic regression models.
Case-control genetic analyses were conducted for the association between HTR1B,
SLC6A4, DRD2, and OPRMU1 genes and subgroups of alcohol dependence using a sample
of 530 controls screened for alcohol problems. RESULTS: Early-onset alcoholism
exhibited significantly (p < .01) different clinical characteristics from late
onset alcoholism, including higher severity in alcohol dependence symptoms (d =
0.22) and maximum drinking quantity within 24 hours (d = 0.40), more rapid
progression from regular drinking to meet alcohol dependence diagnosis (d =
1.73), higher expectancies for alcohol (d = 0.22-0.47), more comorbidity with
externalizing disorders (ORs = 2.8-2.9), and greater prevalence of family alcohol
use problems (d = 0.26-0.43). In addition, markers in the HTR1B and OPRMU1 genes
showed genetic associations with subgroups of alcohol dependence (ORs = 1.5-2.4).
CONCLUSIONS: Our findings support that subgroups of alcohol dependence defined by
onset age have phenotypic and genetic differences. The early-onset subgroup had
more severe features for almost every aspect we examined. Coupled with genetic
association findings, age at onset of alcohol dependence may serve as a simple
but important clinical marker with implications for future etiological research
and intervention.
PMID- 21906504
TI - Men's and women's pathways to adulthood and associated substance misuse.
AB - OBJECTIVE: Social role transitions have been linked to changes in substance use
and misuse during young adulthood. This study examined how commonly observed
pathways to adulthood, defined by education, employment, marriage, and
parenthood, were associated with alcohol, tobacco, and marijuana misuse from ages
18 to 33. METHOD: Data came from a longitudinal panel of 412 men and 396 women
recruited when they were in fifth grade in Seattle public schools in 1985.
Participants were followed through age 33 in 2008, with 92% retention. RESULTS:
Young adults who had little postsecondary education and remained unmarried
through age 30 generally had the highest rates of substance misuse. Those who
were involved in postsecondary education and postponed family formation had the
lowest rates, particularly with respect to daily smoking and nicotine dependence.
Parenting during the young adult years was associated with lower rates of
substance misuse for both men and women. However, taking on parenting
responsibilities early, during the late teen years and early 20s (observed mostly
for women), was associated with higher rates of tobacco misuse. Differences in
substance misuse by pathways to adulthood were fairly constant across the young
adulthood years and were already observed at age 18, suggesting that substance
misuse patterns are established early. CONCLUSIONS: Young adults may change their
substance use only partially in response to new freedoms and responsibilities in
young adulthood. Preventive efforts should include a focus on early initiation of
substance use and educational experiences that move people into life trajectories
and associated substance misuse patterns.
PMID- 21906505
TI - Hospitalizations for alcohol and drug overdoses in young adults ages 18-24 in the
United States, 1999-2008: results from the Nationwide Inpatient Sample.
AB - OBJECTIVE: Recent reports indicate an increase in rates of hospitalizations for
drug overdoses in the United States. The role of alcohol in hospitalizations for
drug overdoses remains unclear. Excessive consumption of alcohol and drugs is
prevalent in young adults ages 18-24. The present study explores rates and costs
of inpatient hospital stays for alcohol overdoses, drug overdoses, and their co
occurrence in young adults ages 18-24 and changes in these rates between 1999 and
2008. METHOD: Data from the Nationwide Inpatient Sample were used to estimate
numbers, rates, and costs of inpatient hospital stays stemming from alcohol
overdoses (and their subcategories, alcohol poisonings and excessive consumption
of alcohol), drug overdoses (and their subcategories, drug poisonings and
nondependent abuse of drugs), and their co-occurrence in 18- to 24-year-olds.
RESULTS: Hospitalization rates for alcohol overdoses alone increased 25% from
1999 to 2008, reaching 29,412 cases in 2008 at a cost of $266 million.
Hospitalization rates for drug overdoses alone increased 55%, totaling 113,907
cases in 2008 at a cost of $737 million. Hospitalization rates for combined
alcohol and drug overdoses increased 76%, with 29,202 cases in 2008 at a cost of
$198 million. CONCLUSIONS: Rates of hospitalizations for alcohol overdoses, drug
overdoses, and their combination all increased from 1999 to 2008 among 18- to 24
year-olds. The cost of such hospitalizations now exceeds $1.2 billion annually.
The steepest increase occurred among cases of combined alcohol and drug
overdoses. Stronger efforts are needed to educate medical practitioners and the
public about the risk of overdoses, particularly when alcohol is combined with
other drugs.
PMID- 21906506
TI - Psychosocial correlates of alcohol use and reduction for individuals with
hepatitis C.
AB - OBJECTIVE: Patients with hepatitis C virus (HCV) are advised to refrain from
alcohol consumption. A questionnaire was developed to measure concepts associated
with alcohol use for individuals with HCV. METHOD: Subjects with HCV (N = 527)
completed a telephone survey. Eligible respondents had screened negative for
current abuse/dependence disorders (Alcohol Use Disorders Identification Test
[AUDIT] <= 10). Measures of personality, self-efficacy, knowledge, readiness,
coping styles, stigma, and symptoms were examined for associations with alcohol
use. RESULTS: Factor analysis supported a measurement structure of 105 items in
35 subdomains. A total of 26 subdomains had significant bivariate associations
with alcohol use. Higher self-efficacy for resisting drinking in social
situations was associated with lower alcohol use (r = -.68, p < .001), as was
knowledge of alcohol and HCV (r = -.27, p < .001). Although agreeableness and
marital status are typically associated with lower current drinking in samples of
those with alcohol use problems, in our study agreeableness (beta = .13, p < .01)
and marital status (beta = .08, p < .05) were modestly associated with higher
current drinking. The final multivariate R2 was .55. CONCLUSIONS: The pattern of
associations suggests the importance of the social aspects of drinking for
drinking decisions. Existing brief interventions will need to be tailored to a
contextualized psychosocial model for medical patients with HCV and AUDIT scores
<= 10 to optimize effectiveness. Such future interventions should emphasize the
potential medical hazards of drinking for persons with HCV, the maintenance of
social relationships in the absence of alcohol use, and strategies for building
confidence for resisting drinking in specific situations.
PMID- 21906508
TI - Psychometric performance of DSM-IV alcohol use disorders in young adulthood:
evidence from an Australian general population sample.
AB - OBJECTIVE: The current study investigates the performance of alcohol use
disorders in young adults using item response theory and differential item
functioning (DIF). METHOD: The 1997 National Survey of Mental Health and
Wellbeing (Australia) sample was based on a stratified, multistage area
probability sample of people ages 18 years and older in the Australian
population. Diagnostic and Statistical Manual of Mental Disorders, Fourth Edition
(DSM-IV), alcohol use disorders were assessed in all current alcohol users (N =
7,746; 44.2% female). The psychometric properties of the DSM-IV alcohol use
disorder criteria in young adults were assessed using item response theory. Age
based DIF was also assessed in each of the DSM-IV criteria for alcohol use
disorders. The presence of age-based DIF in subgroups defined by sex and
consumption was also examined. RESULTS: Overall, problems were identified in the
use in hazardous situations, persistent desire/inability to quit/cut down, and
tolerance criteria in young adults. However, the DIF identified at the criterion
level had little impact on total information provided by the criteria across the
two age groups. Subgroup analyses indicated that for the female-only and non
heavy using subgroups, DIF was no longer detected in the use in hazardous
situations criterion. The alcohol use disorder criteria were found to provide
maximum information about moderate to severe pathology among young adults. There
was little evidence for the DSM-IV abuse/dependence distinction in young
adulthood. CONCLUSIONS: Some of the DSM-IV alcohol use disorder criteria appear
problematic when applied to young adults, and future research needs to focus on
clarifying young adults' understanding of these problematic criteria. Although
DIF was identified in three of the alcohol use disorder criteria, the total
information provided by these criteria was largely the same among younger and
older age groups.
PMID- 21906507
TI - Racial/ethnic differences in the etiology of alcohol use among urban adolescents.
AB - OBJECTIVE: We examined relations between neighborhood context, home and family
management practices, deviant peer affiliations, beliefs favorable to use, and
alcohol use among urban African American and Hispanic adolescents. METHOD: The
sample comprised 4,027 African American and Hispanic adolescents who were 50%
boys and 75% low income. Participants completed surveys in 2002-2005 and 2008
2009. Structural equation modeling assessed direct and indirect relations between
neighborhood context in 6th grade, home and family management practices in 7th
grade, deviant peer affiliations and beliefs favorable to use in 8th grade, and
alcohol use in 12th grade. RESULTS: There was significant variation in structural
models across race/ethnicity but not gender. Differences included the influence
of neighborhood and school strength and, where similarities existed, differences
in effect magnitude. Similarities included significant correlations among
measurement components; the indirect influence of alcohol advertisement exposure,
gender, area deprivation, and home alcohol access on alcohol use; direct
influence of deviant peer affiliations and beliefs favorable to use on alcohol
use; and indirect effects highlighting the importance of preventing home alcohol
access, deviant peer affiliations, and beliefs favorable to use and promoting
protective family management practices. CONCLUSIONS: Neighborhood and school
strength may be particularly important in preventing alcohol use among African
Americans, whereas preventing early onset of alcohol use among Hispanics remains
important. Preventive efforts may wish to focus on neighborhood deprivation,
exposure to alcohol advertisements, and home risks and protective factors because
they have direct and indirect effects on intrapersonal factors and alcohol use.
PMID- 21906509
TI - Multisite cost analysis of a school-based voluntary alcohol and drug prevention
program.
AB - OBJECTIVE: This article estimates the societal costs of Project CHOICE, a
voluntary after-school alcohol and other drug prevention program for adolescents.
To our knowledge, this is the first cost analysis of an after-school program
specifically focused on reducing alcohol and other drug use. METHOD: The article
uses microcosting methods based on the societal perspective and includes a number
of sensitivity analyses to assess how the results change with alternative
assumptions. Cost data were obtained from surveys of participants, facilitators,
and school administrators; insights from program staff members; program
expenditures; school budgets; the Bureau of Labor Statistics; and the National
Center for Education Statistics. RESULTS: From the societal perspective, the cost
of implementing Project CHOICE in eight California schools ranged from $121 to
$305 per participant (Mdn = $238). The major cost drivers included labor costs
associated with facilitating Project CHOICE, opportunity costs of displaced class
time (because of in-class promotions for Project CHOICE and consent obtainment),
and other efforts to increase participation. Substituting nationally
representative cost information for wages and space reduced the range to $100
$206 (Mdn = $182), which is lower than the Substance Abuse and Mental Health
Services Administration's estimate of $262 per pupil for the "average effective
school-based program in 2002." Denominating national Project CHOICE costs by
enrolled students instead of participants generates a median per-pupil cost of
$21 (range: $14-$28). CONCLUSIONS: Estimating the societal costs of school-based
prevention programs is crucial for efficiently allocating resources to reduce
alcohol and other drug use. The large variation in Project CHOICE costs across
schools highlights the importance of collecting program cost information from
multiple sites.
PMID- 21906510
TI - Descriptive drinking norms: For whom does reference group matter?
AB - OBJECTIVE: Perceived descriptive drinking norms often differ from actual norms
and are positively related to personal consumption. However, it is not clear how
normative perceptions vary with specificity of the reference group. Are drinking
norms more accurate and more closely related to drinking behavior as reference
group specificity increases? Do these relationships vary as a function of
participant demographics? The present study examined the relationship between
perceived descriptive norms and drinking behavior by ethnicity (Asian or White),
sex, and fraternity/sorority status. METHOD: Participants were 2,699 (58% female)
White (75%) or Asian (25%) undergraduates from two universities who reported
their own alcohol use and perceived descriptive norms for eight reference groups:
"typical student"; same sex, ethnicity, or fraternity/sorority status; and all
combinations of these three factors. RESULTS: Participants generally reported the
highest perceived norms for the most distal reference group (typical student),
with perceptions becoming more accurate as individuals' similarity to the
reference group increased. Despite increased accuracy, participants perceived
that all reference groups drank more than was actually the case. Across specific
subgroups (fraternity/sorority members and men) different patterns emerged.
Fraternity/sorority members reliably reported higher estimates of drinking for
reference groups that included fraternity/ sorority status, and, to a lesser
extent, men reported higher estimates for reference groups that included men.
CONCLUSIONS: The results suggest that interventions targeting normative
misperceptions may need to provide feedback based on participant demography or
group membership. Although reference group-specific feedback may be important for
some subgroups, typical student feedback provides the largest normative
discrepancy for the majority of students.
PMID- 21906511
TI - They drink how much and where? Normative perceptions by drinking contexts and
their association to college students' alcohol consumption.
AB - OBJECTIVE: Prior research has shown that normative perceptions of others'
drinking behavior strongly relates to one's own drinking behavior. Most research
examining the perceived drinking of others has generally focused on specificity
of the normative referent (i.e., gender, ethnicity). The present study expands
the research literature on social norms by examining normative perceptions by
various drinking contexts. Specifically, this research aimed to determine if
college students overestimate peer drinking by several drinking contexts (i.e.,
bar, fraternity/sorority party, non-fraternity/sorority party, sporting event)
and to examine whether normative perceptions for drinking by contexts relate to
one's own drinking behavior specific to these contexts. METHOD: Students (N =
1,468; 56.4% female) participated in a web-based survey by completing measures
assessing drinking behavior and perceived descriptive drinking norms for various
contexts. RESULTS: Findings demonstrated that students consistently overestimated
the drinking behavior for the typical same-sex student in various drinking
contexts, with the most prominent being fraternity/sorority parties. In addition,
results indicated that same-sex normative perceptions for drinking by contexts
were associated with personal drinking behavior within these contexts.
CONCLUSIONS: Results stress the importance of specificity of social norms beyond
those related to the normative referent. Clinical implications are discussed in
terms of preventions and intervention efforts as well as risks associated with
drinking in a novel context.
PMID- 21906512
TI - Attachment avoidance and anxiety as predictors of 12-step group engagement.
AB - OBJECTIVE: Twelve-step mutual help groups such as Alcoholics Anonymous (AA) rely
heavily on social interactions and support to reduce drinking, but little is
known about how individual differences in social behavior tendencies, such as
adult attachment, affect 12-step group engagement and resulting benefits. This
prospective study investigated relationships between the anxiety and avoidance
dimensions of adult attachment and subsequent 12-step meeting attendance, program
behaviors, sponsorship, and alcohol use. METHOD: Early 12-step group affiliates
(N = 253) were recruited from community-based AA and from outpatient treatment.
Participants completed baseline interviews that included the Relationship
Questionnaire, measures of motivation and professional treatment, and measures of
12-step meeting attendance, practices, and sponsorship. Follow-up interviews were
conducted at 3, 6, 9, 12, 18, and 24 months. RESULTS: At baseline, participants
reported elevated attachment anxiety relative to a college population. Lagged
analyses demonstrated that, as predicted, high attachment avoidance was related
to lower rates of 12-step meeting attendance, practice of behaviors prescribed by
12-step organizations, and lower probability of acquiring a sponsor. Attachment
anxiety did not predict any of these aspects of subsequent 12-step group
engagement. Contrary to predictions, baseline attachment avoidance did not
moderate the relationship between early sponsorship and alcohol use. CONCLUSIONS:
Findings support the hypothesis that social demands of behaviors prescribed by 12
step groups may deter high-avoidance individuals from fully engaging in them.
Perhaps because of instability in attachment avoidance in this population,
however, baseline attachment avoidance did not predict drinking outcomes or
moderate sponsor benefits.
PMID- 21906513
TI - Twelve-step program attendance and polysubstance use: interplay of alcohol and
illicit drug use.
AB - OBJECTIVE: The primary aim of this study was to advance understanding of the
efficacy of 12-step programs by determining the temporal relationships between
alcohol and illicit drug use among 12- step program affiliates. METHOD: A total
of 253 early 12-step affiliates without extensive histories of Alcoholics
Anonymous (AA) attendance were recruited from substance use treatment and
community-based AA. A majority of the sample met criteria for a diagnosis of
alcohol dependence, reported lifetime use of illicit drugs, and reported illicit
drug use in the 90-day period before recruitment. After informed consent,
participants were interviewed at intake and in 3-month increments for 1 year.
RESULTS: Preliminary analyses indicated that 12-step attendance was predictive of
reductions in substance use and that such reductions were not moderated by
illicit substance use disorder diagnosis or alcohol problem severity. Lagged
hierarchical linear models indicated that illicit drug use was a robust predictor
of later use of alcohol, although the frequency and intensity of drinking were
contingent on whether participants sustained 12-step program affiliation. Alcohol
use did not predict later illicit drug use among participants who sustained 12
step program participation. CONCLUSIONS: Findings suggest that 12-step
participation may serve as a protective factor after substance use occurs.
Although our results suggest that the initiation of illicit drug use may
undermine efforts to achieve and sustain abstinence from alcohol, our findings do
not suggest that alcohol use necessarily mobilizes relapse across different
substances among 12-step program affiliates.
PMID- 21906514
TI - Gender differences in outcome at 2-year follow-up of treated bipolar and
depressed alcoholics.
AB - OBJECTIVE: Alcohol dependence and affective disorders are significant health
problems, and their co-occurrence is mutually detrimental. There are few long
term studies on the impact of treatment on the prognosis of these comorbid
disorders. We wished to study if the impact of effective inpatient integrated
treatment for these co-occurring disorders was maintained 2 years after discharge
from the hospital. METHOD: A total of 189 patients with Diagnostic and
Statistical Manual of Mental Disorders, Fourth Edition, criteria for alcohol
dependence and either bipolar disorder or depression were recruited, assessed,
and assigned to an inpatient treatment unit. Following intensive integrated
treatment that was designed to integrate psychotherapy with pharmacotherapy,
affective disorder with substance use disorder treatment, and inpatient with
outpatient therapy, this population was followed for 2 years after discharge.
RESULTS: Treatment improvements above baseline that were achieved over the course
of the 4-week intensive inpatient treatment were essentially maintained over a 2
year outpatient period. Depression, elation, anxiety, and craving scores all
fell, as did all drinking outcome measures in both depressed and bipolar alcohol
dependent groups. Findings suggested that women with a diagnosis of bipolar
disorder reported higher levels of depression and anxiety symptoms than male
bipolar patients at 2-year follow-up. More women than men remained abstinent at 2
years after treatment, with this difference mainly in the depressed sample.
CONCLUSIONS: Comorbid bipolar alcoholics and depressed alcoholics can be treated
successfully together on an integrated inpatient treatment program, and the
benefits can last for up to 2 years. There also appeared to be significant gender
differences in treatment outcomes.
PMID- 21906515
TI - [A logical framework derived from philosophy of language for analysis of the
terms of traditional Chinese medicine and an example for analysis of "kidney
essence"].
AB - The true meanings of the terms of traditional Chinese medicine (TCM) need to be
analyzed on a logical basis. It is not suitable to use a new term to interpret an
old term of TCM, or arbitrarily specify the special term of TCM corresponding to
some substances of modern medicine. In philosophy of language, language has a
logical structure, which reflects the structure of the world, that is to say,
language is the picture of the world in a logical sense. Using this idea, the
authors collected the ancient literature on "kidney essence", and extracted each
necessary condition for "kidney essence". All necessary conditions formed a
sufficient condition to define the term "kidney essence". It is expected that
this example can show the effectiveness of philosophy of language in analysis of
the terms of TCM.
PMID- 21906516
TI - [Relationship between symptom stratification and syndrome differentiation of
traditional Chinese medicine for depressive episode].
AB - On the basis of medical literature review and clinical research experience, the
authors analyzed the reasons for low recognition rate of depression and poor
progress of traditional Chinese medicine (TCM) differentiation of depression in
this paper and put forward that depressive episode symptoms and the corresponding
common terminology classification of Chinese and Western medicine should be the
breakthrough points. Through symptom stratification and combination, as well as
distinguishing between primary and secondary symptoms, the comprehensive
integrative medicine clinical assessment of depression was explored so as to
further obtain expert consensus and provide a methodology reference for the TCM
differentiation of depression and the research of etiology and pathogenesis.
PMID- 21906517
TI - The repetition principle in scientific research.
AB - The repetition principle is important in scientific research, because the
observational indexes are random variables, which require a certain amount of
samples to reveal their changing regularity. The repetition principle stabilizes
the mean and the standard variation, so that statistics of the sample can well
represent the parameters of the population. Thus, the statistical inference will
be reliable. This article discussed the repetition principle from the perspective
of common sense and specialty with examples.
PMID- 21906518
TI - [Ridit analysis of experimental data from animal models of yang deficiency
induced by different doses of hydrocortisone].
AB - OBJECTIVE: Hydrocortisone-induced yang-deficiency animal model has now become the
generally accepted model of yang deficiency. However, assessing the most
appropriate dose of hydrocortisone is a long-term challenge. For analyzing the
modeling dose, the authors have built several kinds of yang-deficiency models
induced by hydrocortisone at different doses, and analyzed the experimental data
with various mathematical statistical methods. In order to discuss the effects of
the modeling dose on the basis of previous research, the authors introduced Ridit
analysis. METHODS: After categorizing 27 batches of experiments with four
different doses (including 2.5, 3.75, 10 and 20 mg/kg), the data were
standardized and the data type was changed to meet the needs of subsequent
calculations. Then, by using Ridit analysis, the authors compared the variation
of 19 biochemistry indexes involving nervous-endocrine system, immune system,
metabolic system and the function of the liver and kidney, so as to analyze the
similarities and differences of those yang-deficiency models mentioned above. The
effects of modeling dose were then discussed. RESULTS: With regard to the overall
state of the animal model, the difference between the models induced by different
doses of hydrocortisone (2.5, 3.75, 10 and 20 mg/kg) showed no statistical
significance, indicating that differences in hydrocortisone dose barely lead to
significant changes in yang-deficiency models. For the trends of changing in
different indexes, indexes had different performances when the doses differs:
gonad indexes (estradiol and testosterone) showed better performance in 2.5 mg/kg
group, immunological indexes (immunoglobulin M and immunoglobulin G) showed
better performance in 3.75 mg/kg group and metabolic indexes (triglyceride and
total cholesterol) showed better performance in 10 mg/kg group, etc. This
indicates that the dose of hydrocortisone should be determined according to the
research purposes. CONCLUSION: Ridit analysis can be used as an integration
analysis method for animal models of yang deficiency induced by hydrocortisone at
different doses.
PMID- 21906519
TI - [Colorimetric investigation of normal tongue and lip colors from 516 healthy
adults by visible reflection spectrum].
AB - OBJECTIVE: Using the data from normal tongue and lip colors of normal people
which were collected by the visible reflection spectrum, we analyzed the
colorimetric parameters of tongue and lip colors. METHODS: In this study, 516
healthy students aging from 19 to 26 from the colleges and universities of
Guangdong Province of China were taken as research subjects. After collecting the
data of tongue and lip colors of the 516 subjects using visible reflectance
spectroscopy, CIE XYZ tristimulus values as defined by the International
Commission on Illumination in 1964 were calculated, and the colorimetric
parameters of the normal tongue and lip colors were obtained, such as the CIE
1964 chromaticity coordinate, brightness, dominant wavelength and excitation
purity. RESULTS: The results of CIE 1964 chromaticity diagram calculated on the
visible reflection spectrum showed that the normal tongue color chromaticity
coordinate x(10) was 0.341 3+/-0.008 5 and y(10) was 0.332 6+/-0.005 1, and the
normal lip color chromaticity coordinate x(10) was 0.357 7+/-0.009 2 and y(10)
was 0.338 3+/-0.005 7; the brightness Y values of the normal tongue color and lip
colors were 17.96+/-3.78 and 19.78+/-3.72, the dominant wavelength values of the
normal tongue color and lip color were (626.3+/-51.6) nm and (600.4+/-18.2) nm,
and the excitation purity values of the normal tongue color and lip color were
0.083+/-0.031 and 0.144+/-0.036, respectively. CONCLUSION: Application of the
visible reflection spectrum is a standard way to collect colorimetric data for
inspection of the complexion. The investigation of chromaticity coordinates,
brightness, dominant wavelength and excitation purity of the normal tongue and
lip colors may offer the basic reference for diagnosing morbid complexion on the
tongue and lip colors in traditional Chinese medicine.
PMID- 21906520
TI - [Traditional Chinese medicine syndrome factors of patients with HIV infection or
AIDS in China].
AB - OBJECTIVE: To study the characteristics of traditional Chinese medicine (TCM)
syndrome factors of patients from different areas of China with human
immunodeficiency virus (HIV) infection or acquired immunodeficiency syndrome
(AIDS). METHODS: A cross-sectional investigation study was conducted in Henan,
Guangdong and Yunnan Provinces and Xinjiang Uygur Autonomous Region of China from
October 2008 to August 2010. Based on literature review and expert opinion, a
clinical questionnaire of TCM syndromes was drawn up. This survey was carried out
after the investigators were professionally trained. Wenfeng III Auxiliary
Diagnosis and Treat System of TCM was used to analyze the frequencies of AIDS
patients' signs and symptoms with scores above 70 of syndrome factors
respectively. Based on this work, syndrome factors of AIDS were analyzed in
different areas. RESULTS: There were 608 HIV/AIDS cases investigated from October
2008 to August 2010 in total; among them, 276 cases were from Henan, 126 cases
from Guangdong, 120 cases from Xinjiang and 86 cases from Yunnan. The results of
syndrome factor analysis indicated that the syndromes of four provinces were
similar. HIV/AIDS patients in the four areas exhibited qi deficiency, blood
deficiency, yin deficiency, yang deficiency, dampness, phlegm, qi stagnation and
essence deficiency syndromes. Patients in each area also had their own
characteristics, such as that the scores of dampness of Guangdong and yin
deficiency of Xinjiang were higher than the other syndromes, whereas the scores
of Henan Province were higher than the other areas. AIDS patients had higher
scores of syndromes than HIV-infected patients. CONCLUSION: HIV/AIDS patients
from different areas had similar syndrome elements. The theory of "AIDS toxin
injuring primordial qi" can sum up the TCM etiology and pathogenesis of HIV/AIDS.
PMID- 21906521
TI - Efficacy of Chinese patent medicine Tian Gui Capsule in patients with polycystic
ovary syndrome: a randomized controlled trial.
AB - BACKGROUND: Polycystic ovary syndrome (PCOS) is a complex hormonal disorder and
one of the most common reproductive endocrinology abnormalities in women.
Recently, many studies have been conducted assessing Chinese herbal medicine as
an alternative treatment for women with PCOS, it is, therefore, worthwhile to
analyze and observe the curative effects of traditional Chinese medicine
treatment in PCOS. OBJECTIVE: To evaluate the efficacy of the Chinese patent
medicine Tian Gui Capsule, in women with PCOS and compare its effects with
metformin and ethinyl estradiol plus cyproterone acetate (Diane-35). DESIGN,
SETTING, PARTICIPANTS AND INTERVENTION: A total of 47 PCOS outpatients from the
Obstetrics and Gynecology Hospital of Fudan University were randomly divided into
3 groups. Patients in group A (n=19) were given Tian Gui Capsule, patients in
group B (n=17) were given metformin, and patients in group C (n=11) were given
Diane-35. The 3 groups of patients were treated for 3 months. MAIN OUTCOME
MEASURES: Serum testosterone (T), sex hormone binding globulin (SHBG) and
dehydroepiandrosterone sulfate (DHEA-S) levels, free androgen index (FAI),
fasting blood glucose (FPG), fasting insulin (FINS), homeostasis model assessment
of insulin resistance (HOMA-IR), insulin sensitive index (ISI) and left and right
ovary volumes of the 3 groups were evaluated before and after treatment .
RESULTS: After 3 months of treatment, when compared with before treatment data,
group A patients showed decreased serum T and SHBG levels, FAI, FINS, and left
and right ovary volumes (P<0.05), and increased serum DHEA-S (P<0.05), while the
FPG level showed no significant change. Although the level of serum T and FINS
among the 3 groups after the treatment were similar, group A demonstrated better
results than group B in reducing the FAI and increasing the serum SHBG, but less
significant results than group C besides, group B was the only group showed
improved insulin sensitivity. Although the level of FPG of the 3 groups after
treatment were similar, group C had the most increased FPG. CONCLUSION: The
effects of Tian Gui Capsule on hyperandrogenism are not as significant as Diane
35, but more effective than metformin. The effects of Tian Gui Capsule on
hyperinsulinemia are not as significant as metformin but better than Diane-35.
Tian Gui Capsule treats PCOS by regulating ovarian functions and reducing blood
insulin level without inhibiting the function of the hypothalamic-pituitary
ovarian axis. Further studies with larger sample size are needed to confirm the
above results.
PMID- 21906522
TI - [Establishment of a rat model of rheumatoid arthritis with kidney deficiency
syndrome].
AB - OBJECTIVE: To establish a rat model of rheumatoid arthritis (RA) with kidney
deficiency syndrome. METHODS: A total of 110 six-week-old specific pathogen-free
male and female Sprague-Dawley rats were randomly divided into normal control
group, sham-operated group, collagen-induced arthritis (CIA) control group,
castration plus CIA group and hydroxyurea plus CIA group. Testiculus or ovary of
rats in the castration plus CIA group was cut off, respectively. Rats in the
hydroxyurea plus CIA group were given 375 mg/(kg.d) hydroxyurea by gavage
administration for 17 d. Then rats in the CIA control group, castration plus CIA
group and hydroxyurea plus CIA group were subcutaneously injected with mixture of
type II collagen and incomplete Freund's adjuvant to induce rheumatoid arthritis.
General state, arthritis index and joint swelling of the rats were observed to
evaluate the onset of CIA. Contents of anti-type II collagen antibody,
interleukin-6 (IL-6), IL-10, interferon-gamma (IFN-gamma) and corticosterone
(CORT) in plasma were detected by enzyme-linked immunosorbent assay, and adrenal
cyclic adenylic acid (cAMP) and cyclic guanylic acid (cGMP) levels were detected
by radioimmunoassay. RESULTS: Compared with the CIA control group, the degrees of
joint swelling and joint damage were significantly increased in the kidney
deficiency CIA rats (castration plus CIA group and hydroxyurea plus CIA group),
with kidney deficiency syndrome similar to human clinical symptoms, such as
depressed, bowed back, dullness, reduced diet and perianal contamination; the
rats in those two groups were noted with a significantly decreased ratio of
cAMP/cGMP; the content of CORT was increased in male rats while decreased in
female rats, with an obvious increase in the content of anti-type II collagen
antibody; the contents of IFN-gamma, IL-6 and IL-10 were obviously increased in
the castration plus CIA group. CONCLUSION: The rat model of RA with kidney
deficiency syndrome has both obvious kidney deficiency syndrome and
characteristics of RA and can reflect part of the patient's characteristics.
However, castration is more suitable for inducing RA with kidney deficiency
syndrome in rats.
PMID- 21906523
TI - [Pharmacological effects of Oleum Cinnamomi and water extract of Cortex Cinnamomi
in rats with yang-deficiency cold syndrome and the mathematical analysis].
AB - OBJECTIVE: To compare the effects of Oleum Cinnamomi and water extract of Cortex
Cinnamomi in rats with yang-deficiency cold syndrome based on mathematical
analysis. METHODS: A total of 48 male Sprague-Dawley rats were randomly divided
into 4 groups (normal, model, Oleum Cinnamomi and water extract of Cortex
Cinnamomi). Yang-deficiency cold syndrome was induced by hydrocortisone sodium
succinate. Oleum Cinnamomi and water extract of Cortex Cinnamomi were given by
gastrogavage daily for one week to respective groups. Material metabolism indexes
such as glucose (GLU), uric acid (UA), total cholesterol (TC), triacylylyceral
(TAG), total protein (TP) and albumin (ALB); energy metabolism indexes such as
lactic acid (LAC), lactate dehydrogenase (LDH), succinate dehydrogenase (SDH) and
adenosine triphosphatase (ATPase); endocrine system indexes such as
corticosteroid (CS), triiodothyronine (T3), tetraiodothyronine (T4), thyroid
stimulating hormone (TSH), estradiol (E(2)), vitamin C (VC) and 17
hydroxycorticosteriod (17-OHCS); and immune system indexes such as immunoglobulin
M (IgM), immunoglobulin G (IgG), complement 3 (C3) and complement 4 (C4) were
measured. And then the data were analyzed by mathematical analysis method.
RESULTS: Oleum Cinnamomi and water extract of Cortex Cinnamomi had similar
influence on some indexes of material metabolism, energy metabolism and endocrine
and immune systems in rats with yang-deficiency cold syndrome. Positive effects
were showed in GLU, TC, TAG, TP, ALB, IgM, E(2), CS, VC and 17-OHCS. Water
extract of Cortex Cinnamomi had no significant effects on TSH, LAC and SDH, but
Oleum Cinnamomi had, while water extract of Cortex Cinnamomi had significant
effects on ATPase, LDH and IgG. CONCLUSION: There is a complex relationship
between Oleum Cinnamomi and water extract of Cortex Cinnamomi in their effects on
rats with yang-deficiency cold syndrome. They have similar property, however,
disparities exist between them to some extent. The exact mechanism needs further
research.
PMID- 21906524
TI - [Protective effects of Chinese herbal medicine Zuogui Pill on retina ganglion
cells after optical nerve clipping injury in rats].
AB - OBJECTIVE: To investigate the protective effects of Zuogui Pill (ZGP), a compound
Chinese herbal medicine, on retina ganglion cells (RGCs) in rats after optical
nerve clipping injury. METHODS: Optic nerves in Sprague-Dawley rats were crushed
intraorbitally at 2 mm behind eyeball by using a forceps with a 140-gram chucking
power for 30 s to induce unilateral injured model. The injured rats were fed with
ZGP (ZGP group, 4.0 g/kg body weight each day) and equal volume of normal saline
(injury group) respectively. Morphological changes in the retina were observed
and the RGCs were quantified in the specimens. Nestin and glial fibrillary acidic
protein (GFAP) expressions in the retina were detected by immunohistochemical
fluorescence staining at different time points after nerve injury. RESULTS: After
optical never crushing, the thickness of retina and the number of RGCs were
significantly decreased in injury group as compared with the normal group. Nearly
50% of the total RGCs were disappeared at the 4th week in the injury group. The
expression levels of nestin and GFAP in the retina were markedly increased after
optical never crushing at the 2nd week and this lasted to the 8th week, and
gradually decreased to the normal level at the 16th week. In contrast, the
arrangement of retinal cells was regular in the ZGP group, and the number of
survival RGCs was greater than that of the injury group. Meanwhile, the nestin
and GFAP expressions in retina were significantly enhanced as compared with the
injury group at all time points. CONCLUSION: ZGP may have protective effects on
rat optic nerve and RGCs after contusion by promoting the nestin and GFAP
expressions in Muller cells of the retina.
PMID- 21906525
TI - [Effects of Chinese herbal medicine Jiangzhi Granule on expressions of liver X
receptor alpha and sterol regulatory element-binding protein-1c in a rat model of
non-alcoholic fatty liver disease].
AB - OBJECTIVE: To study the effects of Jiangzhi Granule (JZG), a compound traditional
Chinese herbal medicine, in regulating liver X receptor alpha (LXRalpha) and
sterol regulatory element-binding protein-1c (SREBP-1c) expressions in a rat
model of non-alcoholic fatty liver disease (NAFLD). METHODS: Forty specific
pathogen-free Wistar male rats were randomly divided into normal group, untreated
group, pioglitazone (PIO) group and JZG group. All rats were fed with high-fat
diet (88% normal chow plus 10% lard plus 2% cholesterol) for 4 weeks except for
the normal group. After the NAFLD model was established, PIO and JZG were fed to
rats in the corresponding groups respectively for another 4 weeks. At the end of
the 8th week, liver steatosis level was observed under a light microscope with
hematoxylin and eosin (HE) staining; serum alanine aminotransferase (ALT) and
aspartate aminotransferase (AST) activities and triacylglycerol (TAG) and free
fatty acid (FFA) contents in liver tissues were measured. LXRalpha and SREBP-1c
expressions in liver tissues were determined by real-time polymerase chain
reaction and Western blot methods. RESULTS: Compared with the normal group, there
were physiological changes for hepatic steatosis in liver tissues in the
untreated group as observed by HE staining. JZG improved serum ALT and AST levels
which were significantly increased in the untreated group. Both JZG and PIO
improved FFA and TAG levels in liver tissues which were significantly increased
in the untreated group. mRNA and protein levels of LXRalpha and SREBP-1c in the
untreated group were higher than those in the normal group, while the treatment
of JZG and PIO lowered their expressions. CONCLUSION: JZG may regulate fatty acid
metabolic disorder by decreasing the levels of LXRalpha and SREBP-1c.
PMID- 21906526
TI - Anti-angiogenic effects of water extract of a formula consisting of Pulsatilla
koreana, Panax ginseng and Glycyrrhiza uralensis.
AB - OBJECTIVE: This study aimed to investigate the anti-angiogenic effects of the
water extract of Pulsatilla koreana (Yabe ex Nakai) Nakai ex T. Mori., Panax
ginseng C.A. Meyer and Glycyrrhiza uralensis Fisch (WEPPG). METHODS: The effects
of WEPPG on fibroblast growth factor (bFGF)-induced angiogenesis were evaluated
by human umbilical vein endothelial cell (HUVEC) proliferation, adhesion, and
migration assays. Capillary tube formation of HUVECs and bFGF-induced chick
chorioallantoic membrane (CAM) angiogenesis were also observed. WEPPG was used to
treat the HUVECs and CAMs, and then various activities such as proliferation,
adhesion, migration, capillary tube formation and cell cycle proteins were
analyzed. RESULTS: WEPPG significantly inhibited bFGF-induced HUVEC
proliferation, adhesion, migration, and capillary tube formation. Signaling
protein analysis showed up-regulated expressions of various proteins including
cyclin A, p63 and KIP2 and down-regulated expressions of nibrin and focal
adhesion kinase. The blood vessel formation in a CAM treated with WEPPG was
markedly reduced compared with the control group. CONCLUSION: These results
suggested that the inhibition of angiogenesis by WEPPG can be an action mechanism
for its anti-cancer effects.
PMID- 21906527
TI - Scutellarin benzyl ester partially secured the ischemic injury by its anti
apoptosis mechanism in cardiomyocytes of neonatal rats.
AB - OBJECTIVE: To investigate the protective effects of scutellarin benzyl ester on
neonatal rats' cardiomyocytes injured by ischemia and its anti-apoptosis
mechanism. METHODS: The cardiomyocytes in primary culture were prepared from
ventricular tissue of 1- to 3-day-old Sprague-Dawley rats and the cells in good
condition were assigned to five groups: control group, ischemic model group and
three scutellarin benzyl ester groups (doses of 100, 50 and 25MUmol/L,
respectively). The model of ischemic injury was established in the primary
culture of cardiomyocytes under glucose-free anoxic condition. After ischemia for
6 h, the metabolic ability of the cells was detected by MTT (3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyl-tetrazolium bromide) assay and the activity of
lactate dehydrogenase (LDH) in the media was measured by biochemistry approaches.
The nuclear damage was revealed by Hoechst-propidium iodide staining. The
percentage of apoptotic cells was monitored by flow cytometry. The expression
levels of cytochrome c and caspase-3 mRNAs and proteins were determined by
reverse transcription-polymerase chain reaction and Western blotting,
respectively. RESULTS: After exposure to ischemic condition, the cell viability
of the model group was degraded compared with that of the control group (P<0.01)
and scutellarin benzyl ester (high and medium doses) could attenuate the loss of
cell viability induced by ischemia (P<0.01 and P<0.05). In addition, each dose of
scutellarin benzyl ester could significantly reduce the release of LDH from
cardiomyocytes injured by ischemia (P<0.01). In morphology, the injured nuclei
presented significant changes such as condensation of chromatin, and shrinkage
and fragmentation of nuclei, which could be attenuated remarkably by pretreatment
with scutellarin benzyl ester. Furthermore, scutellarin benzyl ester could
significantly decrease the percentage of apoptosis induced by ischemia (P<0.01)
and inhibit the increased expression levels of cytochrome c and caspase-3 mRNAs
and proteins (<0.01). CONCLUSION: Scutellarin benzyl ester has a remarkable
protective effect against myocardial ischemic injury and the protective mechanism
may associate with its anti-apoptosis effect by inhibiting cytochrome c release
and caspase-3 activation.
PMID- 21906528
TI - Simultaneous determination of quercetin, rutin and kaempferol in the leaf
extracts of Moringa oleifera Lam. and Raphinus sativus Linn. by liquid
chromatography-tandem mass spectrometry.
AB - OBJECTIVE: To develop a rapid and sensitive liquid chromatography-tandem mass
spectrometry (LC-MS/MS) method to analyze quercetin (QU), rutin (RU) and
kaempferol (KA) simultaneously in the leaf extracts of Moringa oleifera Lam. and
Raphinus sativus Linn. METHODS: Samples were prepared by extracting the leaves of
the M. oleifera and R. sativus by cold-maceration technique using 90% ethanol.
Chromatographic separation was operated with a mixture of 0.2% formic acid in
water and acetonitrile at a flow rate of 0.4 mL/min on a Phenomenex Gemini C18
column with a total run time of 5.01 min. RESULTS: The MS/MS ion transitions
monitored were 303.03 to 153.1 for QU, 611.1 to 303.1 for RU, 287.1 to 153.2 for
KA and 180.1 to 110.1 for internal standard. The lower limit of quantitation
achieved for QU, RU and KA was 5 ng/mL and the linearity was observed from 5 to 2
000 ng/mL. The correlation coefficients of linear regression analysis were 0.994
6, 0.995 1 and 0.996 9 for QU, RU and KA, respectively. CONCLUSION: The results
indicate that the LC-MS/MS method is fast and sensitive and may provide excellent
specificity for simultaneous determination of QU, RU and KA in leaf extracts of
M.oleifera and R.sativus.
PMID- 21906529
TI - Gastric antisecretory and cytoprotective effects of leaf extracts of Amaranthus
tricolor Linn. in rats.
AB - OBJECTIVE: The present study was aimed to evaluate the antiulcer activity of leaf
extracts of Amaranthus tricolor Linn. (Amaranthaceae) in rats. METHODS: The
effects of A. tricolor leaves on gastric secretion and the effect of gastric
cytoprotection were evaluated using five different models of gastric ulcers:
acetic acid-induced, pylorus ligation-induced, ethanol-induced, indomethacin
induced and ischemia-reperfusion-induced gastric ulcers. The different extracts,
namely, ethanolic extract (EAT), petroleum ether extract (PEAT), chloroform
extract (CAT) and ethyl acetate extract (EAAT) of A. tricolor leaves were
administered at a dose of 200 mg/kg per oral (p.o.). RESULTS: The acute oral
toxicity study revealed that all the extracts were safe up to 2 000 mg/kg, p.o;
hence one-tenth of this dose was selected for evaluation of antiulcer activity.
The EAT and EAAT (200 mg/kg, p.o.) showed gastric ulcer-healing effect in acetic
acid-induced chronic gastric ulcers. The EAT and EAAT inhibited gastric secretion
in pylorus-ligated rats and showed gastric cytoprotective effect in ethanol
induced and indomethacin-induced gastric ulcers, while PEAT and CAT showed no
significant antiulcer effect. CONCLUSION: The leaf extracts of A. tricolor are
found to possess very good antiulcer property in the experimental animal models
of gastric ulcers which is consistent with the literature report in folk
medicine.
PMID- 21906530
TI - Comparative study on WHO Western Pacific Region and World Federation of Chinese
Medicine Societies international standard terminologies on traditional medicine:
various patterns identification/syndrome differentiation (part 1).
PMID- 21906531
TI - Apoptosis-targeted drug delivery.
PMID- 21906532
TI - The relation between disgust-sensitivity, blood-injection-injury fears and
vasovagal symptoms in blood donors: disgust sensitivity cannot explain fainting
or blood donation-related symptoms.
AB - BACKGROUND AND OBJECTIVES: Page's (1994) prominent theory for the explanation of
fainting in blood-injection-injury situations holds that disgust sensitivity
contributes to syncopal reactions. We investigated if blood donation-related
vasovagal symptoms (1) or fainting related to blood donations (2) are associated
with disgust sensitivity. METHODS: In an online sample of 361 blood donors, we
assessed blood-injection-injury fears, disgust sensitivity, history of blood
donation related fainting and retrospective self-ratings of vasovagal symptoms.
For the assessment of blood-injection-injury fears we used the BII-Q which has
excellent psychometric properties and does not confound disgust and anxiety
sensitivity. Vasovagal symptoms were measured by the Blood Donation Reactions
Inventory (BDRI) which captures mild and strong vasovagal symptoms and has been
used in previous studies with blood donors. RESULTS: Disgust sensitivity did not
significantly contribute to the explanation of self-reported vasovagal symptoms
in a regression model with gender, blood-injection-injury fear and disgust
sensitivity as predictors. We did not find any significant group differences in
disgust sensitivity for blood donors with or without a fainting history
(statistical power = 0.95) and a Bayesian model selection procedure showed that
it is more likely that both groups are equally disgust sensitive than it is that
the fainters are more disgust sensitive. LIMITATIONS: Further research is
required to confirm the findings in prospective studies. CONCLUSION: Our results
indicate that disgust sensitivity is not relevant for the development of
vasovagal syncopes.
PMID- 21906533
TI - Age-altered susceptibility in hexavalent chromium-exposed Daphnia schodleri
(Anomopoda: Daphniidae): integrated biomarker response implementation.
AB - Daphnia schodleri is a naturally occurring cladoceran in Mexican freshwater
bodies and its relative big size and short life cycle allow its use in
toxicological bioassays. Generally, life stages at opposite sides of a normal
distribution are considered to be the most susceptible and/or sensitive because
of several sub-individual level processes, such as ageing, in which antioxidant
activity decreases and reactive oxygen species (ROS) cannot be totally
neutralized. Most aquatic populations are structured by organisms of different
ages, in which physiological and biochemical responses differ (in magnitude) from
each other. According to these statements, seven age groups of D. schodleri (0,
3, 5, 7, 14, 21, and 28 d) were exposed to Cr (VI) in both acute and non-lethal
bioassays. Results from acute bioassays were used to estimate the chromium LC(50)
values for every age group, which ranged from 0.12 to 0.61 mg L(-1), with a
normal pattern distribution. Antioxidant enzymatic activity of superoxide
dismutase (SOD), catalase (CAT), glutathione peroxidase (GPx), and glutathione
reductase (GR) was assessed in organisms exposed to two sublethal Cr(VI)
concentrations (0.032 and 0.0064 mg L(-1)). Results showed that neonates'
antioxidant activity increased with respect to their controls; nevertheless, the
same pattern was not seen in the other age groups. Moreover, GPx activities
followed a decreasing pattern with respect to their control groups in organisms 3
d and older. In addition, GR activities were barely modified by chromium exposure
of neonates, but not in the other age groups; CAT was only modified in younger
daphnids. Once the biomarker responses were normalized, it was possible to
observe that enzyme participation differed during the life cycle of this
cladoceran and, as a result of their decreasing antioxidant activity, relatively
old organisms could have lower capability to deal with pro-oxidant toxicants such
as Cr(VI).
PMID- 21906536
TI - Attention in Drosophila.
AB - As bluntly summarized by a psychologist over a century ago, everyone knows what
attention is [James (1890). The Principles of Psychology]. Attention describes
our capacity to focus perception on one or a group of related stimuli while
filtering out irrelevant stimuli. The ease we have in recognizing this astounding
capacity in ourselves is matched by a surprising difficulty in identifying it in
others, and this is especially the case for measuring attention in other animals.
Identifying and measuring attention-like processes in simple animals such as
flies requires, to some extent, even more rigor than asking the same question for
our closer animal relatives, such as apes and monkeys. This is because flies have
completely different brains than humans do, so to study attention in these
creatures one must rely purely on operational or behavioral measures rather than
comparative neuroanatomy. There is a long history of using sophisticated
behavioral paradigms to study visual responses in Drosophila melanogaster, and
these studies have often provided early evidence of attention-like processes in
flies. More recently, these fly paradigms have been applied to measuring visual
attention directly, and the combination of electrophysiology with these
preparations has provided insight into how a fly might pay attention. Together
with more efficient methods for measuring some aspects of attention, such as
stimulus suppression, these approaches should begin to uncover how visual
attention might work in a small brain.
PMID- 21906534
TI - Seizure and epilepsy: studies of seizure disorders in Drosophila.
AB - Despite the frequency of seizure disorders in the human population, the genetic
and physiological basis for these defects has been difficult to resolve. Although
many genetic contributions to seizure susceptibility have been identified, these
involve disparate biological processes, many of which are not neural specific.
The large number and heterogeneous nature of the genes involved makes it
difficult to understand the complex factors underlying the etiology of seizure
disorders. Examining the effect known genetic mutations have on seizure
susceptibility is one approach that may prove fruitful. This approach may be
helpful in both understanding how different physiological processes affect
seizure susceptibility and identifying novel therapeutic treatments. We review
here factors contributing to seizure susceptibility in Drosophila, a genetically
tractable system that provides a model for human seizure disorders. Seizure-like
neuronal activities and behaviors in the fruit fly are described, as well as a
set of mutations that exhibit features resembling some human epilepsies and
render the fly sensitive to seizures. Especially interesting are descriptions of
a novel class of mutations that are second-site mutations that act as seizure
suppressors. These mutations revert epilepsy phenotypes back to the wild-type
range of seizure susceptibility. The genes responsible for seizure suppression
are cloned with the goal of identifying targets for lead compounds that may be
developed into new antiepileptic drugs.
PMID- 21906535
TI - Homeostatic control of neural activity: a Drosophila model for drug tolerance and
dependence.
AB - Drug addiction is a complex condition of compulsive drug use that results in
devastating physical and social consequences. Drosophila melanogaster has
recently emerged as a valuable genetic model for investigating the mechanisms of
addiction. Drug tolerance is a measurable endophenotype of addiction that can be
easily generated and detected in animal models. The counteradaptive theory for
drug dependence postulates that the homeostatic adaptations that produce drug
tolerance become counteradaptive after drug clearance, resulting in symptoms of
dependence. In flies, a single sedation with ethanol or with an organic solvent
anesthetic (benzyl alcohol) induces functional tolerance, an adaptation of the
nervous system that reduces the effect of these neural depressants. Here we
review the role of the BK channel gene (slo) and genes that encode other synaptic
proteins in the process of producing functional tolerance. These proteins are
predicted to be part of an orchestrated response that involves specific
interactions across a highly complex synaptic protein network. The response of
the slo gene to drug exposure and the consequence of induced slo expression fit
nicely the tenets of the counteradaptive theory for drug tolerance and
dependence. Induction of slo expression represents an adaptive process that
generates tolerance because it enhances neuronal excitability, which counters the
sedative effects of the drugs. After drug clearance, however, the increase in slo
expression leads to an allostatic withdrawal state that is characterized by an
increase in the susceptibility for seizure. Together, these results demonstrate a
common origin for development of drug tolerance and withdrawal hyperexcitability
in Drosophila.
PMID- 21906537
TI - The roles of fruitless and doublesex in the control of male courtship.
AB - Male courtship in Drosophila melanogaster is a robust innate behavior that is
shaped by sensory input and experience. It is regulated by the general sex
determination pathway through the sex-specific forms of fruitless and doublesex.
Recent findings have shown that both fruitless and doublesex are required for
courtship. This chapter reviews the role of these proteins and the neurons that
express them in the regulation of courtship behavior. In particular it discusses
how doublesex and fruitless contribute to the generation of sexually dimorphic
neurons, the role of cell death, and the emerging information about circuits that
underlie the behavior.